diff --git a/.gitignore b/.gitignore index 3990088..a8510ae 100644 --- a/.gitignore +++ b/.gitignore @@ -14,7 +14,6 @@ _autosave-* *-save.pro *-save.kicad_pcb fp-info-cache -GR8RAM-backups/* # Netlist files (exported from Eeschema) *.net @@ -25,6 +24,13 @@ GR8RAM-backups/* *.DS_Store *.kicad_prl -cpld/db/* -cpld/incremental_db/* -cpld/GR8RAM.qws + +CPLD/MAXII/db/* +CPLD/MAXII/incremental_db/* +CPLD/MAXII/GR8RAM.qws +CPLD/MAXV/db/* +CPLD/MAXV/incremental_db/* +CPLD/MAXV/GR8RAM.qws + +/Hardware/MAX/GR8RAM-backups/* +/Hardware/LCMXO/GR8RAM-backups/* diff --git a/CPLD/GR8RAM-old.v b/CPLD/GR8RAM-old.v new file mode 100644 index 0000000..d8e9a51 --- /dev/null +++ b/CPLD/GR8RAM-old.v @@ -0,0 +1,568 @@ +module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, + INTin, INTout, DMAin, DMAout, + nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, + RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, + SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, + nFCS, FCK, MISO, MOSI); + + /* Clock signals */ + input C25M, PHI0; + reg PHI0r1, PHI0r2; + always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end + + /* Reset filter */ + input nRES; + reg [3:0] nRESf = 0; + reg nRESr = 0; + always @(posedge C25M) begin + nRESf[3:0] <= { nRESf[2:0], nRES }; + nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; + end + + /* Firmware select */ + input [1:0] SetFW; + reg [1:0] SetFWr; + reg SetFWLoaded = 0; + always @(posedge C25M) begin + if (!SetFWLoaded) begin + SetFWLoaded <= 1; + SetFWr[1:0] <= SetFW[1:0]; + end + end + wire [1:0] SetROM = ~SetFWr[1:0]; + wire SetEN16MB = SetROM[1:0]==2'b11; + wire SetEN24bit = SetROM[1]; + + /* State counter from PHI0 rising edge */ + reg [3:0] PS = 0; + wire PSStart = PS==0 && PHI0r1 && !PHI0r2; + always @(posedge C25M) begin + if (PSStart) PS <= 1; + else if (PS==0) PS <= 0; + else PS <= PS+1; + end + + /* Long state counter: counts from 0 to $3FFF */ + reg [13:0] LS = 0; + always @(posedge C25M) begin if (PS==15) LS <= LS+1; end + + /* Init state */ + output reg nRESout = 0; + reg [2:0] IS = 0; + always @(posedge C25M) begin + if (IS==7) nRESout <= 1; + else if (PS==15) begin + if (LS==14'h1FCE) IS <= 1; // PC all + load mode + else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select + else if (LS==14'h1FFA) IS <= 5; // SPI flash command + else if (LS==14'h1FFF) IS <= 6; // Flash load driver + else if (LS==14'h3FFF) IS <= 7; // Operating mode + end + end + + /* Apple IO area select signals */ + input nIOSEL, nDEVSEL, nIOSTRB; + + /* Apple address bus */ + input [15:0] RA; input nWE; + reg [11:0] RAr; reg nWEr; + reg CXXXr; + always @(posedge PHI0) begin + CXXXr <= RA[15:12]==4'hC; + RAr[11:0] <= RA[11:0]; + nWEr <= nWE; + end + + /* Apple select signals */ + wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (!RAr[11])); + wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; + wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; + wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; + wire RAMSpecSEL = RAMRegSpecSEL && (!SetEN24bit || SetEN16MB || !Addr[23]); + wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; + wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; + wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; + wire BankSEL = REGEN && !nDEVSEL && BankSpecSEL; + wire RAMRegSEL = !nDEVSEL && RAMRegSpecSEL; + wire RAMSEL = !nDEVSEL && RAMSpecSEL; + wire RAMWR = RAMSEL && !nWEr; + wire AddrHSEL = REGEN && !nDEVSEL && AddrHSpecSEL; + wire AddrMSEL = REGEN && !nDEVSEL && AddrMSpecSEL; + wire AddrLSEL = REGEN && !nDEVSEL && AddrLSpecSEL; + + /* IOROMEN and REGEN control */ + reg IOROMEN = 0; + reg REGEN = 0; + reg nIOSTRBr; + wire IOROMRES = RAr[10:0]==11'h7FF && !nIOSTRB && !nIOSTRBr; + always @(posedge C25M, negedge nRESr) begin + if (!nRESr) REGEN <= 0; + else if (PS==8 && !nIOSEL) REGEN <= 1; + end + always @(posedge C25M) begin + nIOSTRBr <= nIOSTRB; + if (!nRESr) IOROMEN <= 0; + else if (PS==8 && IOROMRES) IOROMEN <= 0; + else if (PS==8 && !nIOSEL) IOROMEN <= 1; + end + + /* Apple data bus */ + inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; + reg [7:0] RDD; + output RDdir = !(PHI0r2 && nWE && PHI0 && + (!nDEVSEL || !nIOSEL || (!nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + + /* Slinky address registers */ + reg [23:0] Addr = 0; + reg AddrIncL = 0; + reg AddrIncM = 0; + reg AddrIncH = 0; + always @(posedge C25M, negedge nRESr) begin + if (!nRESr) begin + Addr[23:0] <= 24'h000000; + AddrIncL <= 0; + AddrIncM <= 0; + AddrIncH <= 0; + end else begin + if (PS==8 && RAMRegSEL) AddrIncL <= 1; + else AddrIncL <= 0; + + if (PS==8 && AddrLSEL && !nWEr) begin + Addr[7:0] <= RD[7:0]; + AddrIncM <= Addr[7] && !RD[7]; + end else if (AddrIncL) begin + Addr[7:0] <= Addr[7:0]+1; + AddrIncM <= Addr[7:0]==8'hFF; + end else AddrIncM <= 0; + + if (PS==8 && AddrMSEL && !nWEr) begin + Addr[15:8] <= RD[7:0]; + AddrIncH <= Addr[15] && !RD[7]; + end else if (AddrIncM) begin + Addr[15:8] <= Addr[15:8]+1; + AddrIncH <= Addr[15:8]==8'hFF; + end else AddrIncH <= 0; + + if (PS==8 && AddrHSEL && !nWEr) begin + Addr[23:16] <= RD[7:0]; + end else if (AddrIncH) begin + Addr[23:16] <= Addr[23:16]+1; + end + end + end + + /* ROM bank register */ + reg Bank = 0; + always @(posedge C25M, negedge nRESr) begin + if (!nRESr) Bank <= 0; + else if (PS==8 && BankSEL && !nWEr) begin + Bank <= RD[0]; + end + end + + /* SPI flash control signals */ + output nFCS = FCKOE ? !FCS : 1'bZ; + reg FCS = 0; + output FCK = FCKOE ? FCKout : 1'bZ; + reg FCKOE = 0; + reg FCKout = 0; + inout MOSI = MOSIOE ? MOSIout : 1'bZ; + reg MOSIOE = 0; + input MISO; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + FCKout <= 1'b1; + end 1: begin // ACT + FCKout <= !(IS==5 || IS==6); + end 2: begin // RD + FCKout <= 1'b1; + end 3: begin // NOP CKE + FCKout <= !(IS==5 || IS==6); + end 4: begin // NOP CKE + FCKout <= 1'b1; + end 5: begin // NOP CKE + FCKout <= !(IS==5 || IS==6); + end 6: begin // NOP CKE + FCKout <= 1'b1; + end 7: begin // NOP CKE + FCKout <= !(IS==5 || IS==6); + end 8: begin // WR AP + FCKout <= 1'b1; + end 9: begin // NOP CKE + FCKout <= !(IS==5); + end 10: begin // PC all + FCKout <= 1'b1; + end 11: begin // AREF + FCKout <= !(IS==5); + end 12: begin // NOP CKE + FCKout <= 1'b1; + end 13: begin // NOP CKE + FCKout <= !(IS==5); + end 14: begin // NOP CKE + FCKout <= 1'b1; + end 15: begin // NOP CKE + FCKout <= !(IS==5); + end + endcase + FCS <= IS==4 || IS==5 || IS==6; + MOSIOE <= IS==5; + FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; + end + + /* SPI flash MOSI control */ + reg MOSIout = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 1: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 7 + 3'h4: MOSIout <= 1'b0; // Address bit 23 + 3'h5: MOSIout <= 1'b0; // Address bit 15 + 3'h6: MOSIout <= 1'b0; // Address bit 7 + default MOSIout <= 1'b0; + endcase + end 3: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 6 + 3'h4: MOSIout <= 1'b0; // Address bit 22 + 3'h5: MOSIout <= SetROM[1]; // Address bit 14 + 3'h6: MOSIout <= 1'b0; // Address bit 6 + default MOSIout <= 1'b0; + endcase + end 5: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 5 + 3'h4: MOSIout <= 1'b0; // Address bit 21 + 3'h5: MOSIout <= SetROM[0]; // Address bit 13 + 3'h6: MOSIout <= 1'b0; // Address bit 5 + default MOSIout <= 1'b0; + endcase + end 7: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 4 + 3'h4: MOSIout <= 1'b0; // Address bit 20 + 3'h5: MOSIout <= 1'b0; // Address bit 12 + 3'h6: MOSIout <= 1'b0; // Address bit 4 + default MOSIout <= 1'b0; + endcase + end 9: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 3 + 3'h4: MOSIout <= 1'b0; // Address bit 19 + 3'h5: MOSIout <= 1'b0; // Address bit 11 + 3'h6: MOSIout <= 1'b0; // Address bit 3 + default MOSIout <= 1'b0; + endcase + end 11: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 2 + 3'h4: MOSIout <= 1'b0; // Address bit 18 + 3'h5: MOSIout <= 1'b0; // Address bit 10 + 3'h6: MOSIout <= 1'b0; // Address bit 2 + default MOSIout <= 1'b0; + endcase + end 13: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 1 + 3'h4: MOSIout <= 1'b0; // Address bit 16 + 3'h5: MOSIout <= 1'b0; // Address bit 9 + 3'h6: MOSIout <= 1'b0; // Address bit 1 + default MOSIout <= 1'b0; + endcase + end 15: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 0 + 3'h4: MOSIout <= 1'b0; // Address bit 15 + 3'h5: MOSIout <= 1'b0; // Address bit 7 + 3'h6: MOSIout <= 1'b0; // Address bit 0 + default MOSIout <= 1'b0; + endcase + end + endcase + end + + /* SDRAM data bus */ + inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; + reg [7:0] WRD; + reg SDOE = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 1: begin // ACT + end 2: begin // RD + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 3: begin // NOP CKE + end 4: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 5: begin // NOP CKE + end 6: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 7: begin // NOP CKE + end 8: begin // WR AP + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 9: begin // NOP CKE + end 10: begin // PC all + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 11: begin // AREF + end 12: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 13: begin // NOP CKE + end 14: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 15: begin // NOP CKE + end + endcase + end + + /* Apple data bus from SDRAM */ + always @(negedge C25M) begin + if (PS==5) begin + if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; + else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; + else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + else RDD[7:0] <= SD[7:0]; + end + end + + /* SDRAM command */ + output reg RCKE = 1; + output reg nRCS = 1; + output reg nRAS = 1; + output reg nCAS = 1; + output reg nSWE = 1; + wire RefReqd = LS[1:0] == 2'b11; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE / NOP CKD + RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 1: begin // ACT CKE / NOP CKD (ACT) + RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); + nRCS <= !(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRAS <= 0; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 2: begin // RD CKE / NOP CKD (RD) + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= !(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 1; + SDOE <= 0; + end 3: begin // NOP CKE / CKD + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 4: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 5: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 6: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 7: begin // NOP CKE / CKD + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 8: begin // WR AP CKE / NOP CKD (WR AP) + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= !(IS==6 || (RAMWR && IS==7)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 0; + SDOE <= IS==6 || (RAMWR && IS==7); + end 9: begin // NOP CKE / NOP CKD + RCKE <= 1; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 10: begin // PC all CKE / PC all CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= 0; + nRAS <= 0; + nCAS <= 1; + nSWE <= 0; + SDOE <= 0; + end 11: begin // LDM CKE / AREF CKE / NOP CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= !(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); + nRAS <= 0; + nCAS <= 0; + nSWE <= !(IS==1); + SDOE <= 0; + end default: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end + endcase + end + + /* SDRAM address */ + output reg DQML = 1; + output reg DQMH = 1; + output reg [1:0] SBA; + output reg [12:0] SA; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 1: begin // ACT + DQML <= 1'b1; + DQMH <= 1'b1; + if (IS==6) begin + SBA[1:0] <= { 2'b10 }; + SA[12:0] <= { 10'b0011000100, LS[12:10] }; + end else if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; + SA[9:0] <= Addr[19:10]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + end + end 2: begin // RD + if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= !Addr[0]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, RAr[9:1]}; + DQML <= RAr[0]; + DQMH <= !RAr[0]; + end + end 3: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 4: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 5: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 6: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 7: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 8: begin // WR AP + if (IS==6) begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, LS[9:1] }; + DQML <= LS[0]; + DQMH <= !LS[0]; + end else begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= !Addr[0]; + end + end 9: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 10: begin // PC all + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 11: begin // AREF / load mode + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0001000100000; + end 12: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 13: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 14: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 15: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end + endcase + end + + /* DMA/INT in/out */ + input INTin, DMAin; + output INTout = INTin; + output DMAout = DMAin; + + /* Unused Pins */ + output RAdir = 1; + output nDMAout = 1; + output nNMIout = 1; + output nINHout = 1; + output nRDYout = 1; + output nIRQout = 1; + output RWout = 1; +endmodule diff --git a/cpld/GR8RAM.qpf b/CPLD/MAXII/GR8RAM.qpf similarity index 100% rename from cpld/GR8RAM.qpf rename to CPLD/MAXII/GR8RAM.qpf diff --git a/cpld/GR8RAM.qsf b/CPLD/MAXII/GR8RAM.qsf similarity index 100% rename from cpld/GR8RAM.qsf rename to CPLD/MAXII/GR8RAM.qsf diff --git a/cpld/GR8RAM.sdc b/CPLD/MAXII/GR8RAM.sdc similarity index 100% rename from cpld/GR8RAM.sdc rename to CPLD/MAXII/GR8RAM.sdc diff --git a/cpld/output_files/GR8RAM.asm.rpt b/CPLD/MAXII/output_files/GR8RAM.asm.rpt similarity index 100% rename from cpld/output_files/GR8RAM.asm.rpt rename to CPLD/MAXII/output_files/GR8RAM.asm.rpt diff --git a/cpld/output_files/GR8RAM.cdf b/CPLD/MAXII/output_files/GR8RAM.cdf similarity index 100% rename from cpld/output_files/GR8RAM.cdf rename to CPLD/MAXII/output_files/GR8RAM.cdf diff --git a/cpld/output_files/GR8RAM.done b/CPLD/MAXII/output_files/GR8RAM.done similarity index 100% rename from cpld/output_files/GR8RAM.done rename to CPLD/MAXII/output_files/GR8RAM.done diff --git a/cpld/output_files/GR8RAM.eda.rpt b/CPLD/MAXII/output_files/GR8RAM.eda.rpt similarity index 100% rename from cpld/output_files/GR8RAM.eda.rpt rename to CPLD/MAXII/output_files/GR8RAM.eda.rpt diff --git a/cpld/output_files/GR8RAM.fit.rpt b/CPLD/MAXII/output_files/GR8RAM.fit.rpt similarity index 100% rename from cpld/output_files/GR8RAM.fit.rpt rename to CPLD/MAXII/output_files/GR8RAM.fit.rpt diff --git a/cpld/output_files/GR8RAM.fit.smsg b/CPLD/MAXII/output_files/GR8RAM.fit.smsg similarity index 100% rename from cpld/output_files/GR8RAM.fit.smsg rename to CPLD/MAXII/output_files/GR8RAM.fit.smsg diff --git a/cpld/output_files/GR8RAM.fit.summary b/CPLD/MAXII/output_files/GR8RAM.fit.summary similarity index 100% rename from cpld/output_files/GR8RAM.fit.summary rename to CPLD/MAXII/output_files/GR8RAM.fit.summary diff --git a/cpld/output_files/GR8RAM.flow.rpt b/CPLD/MAXII/output_files/GR8RAM.flow.rpt similarity index 100% rename from cpld/output_files/GR8RAM.flow.rpt rename to CPLD/MAXII/output_files/GR8RAM.flow.rpt diff --git a/cpld/output_files/GR8RAM.jdi b/CPLD/MAXII/output_files/GR8RAM.jdi similarity index 100% rename from cpld/output_files/GR8RAM.jdi rename to CPLD/MAXII/output_files/GR8RAM.jdi diff --git a/cpld/output_files/GR8RAM.map.rpt b/CPLD/MAXII/output_files/GR8RAM.map.rpt similarity index 100% rename from cpld/output_files/GR8RAM.map.rpt rename to CPLD/MAXII/output_files/GR8RAM.map.rpt diff --git a/cpld/output_files/GR8RAM.map.smsg b/CPLD/MAXII/output_files/GR8RAM.map.smsg similarity index 100% rename from cpld/output_files/GR8RAM.map.smsg rename to CPLD/MAXII/output_files/GR8RAM.map.smsg diff --git a/cpld/output_files/GR8RAM.map.summary b/CPLD/MAXII/output_files/GR8RAM.map.summary similarity index 100% rename from cpld/output_files/GR8RAM.map.summary rename to CPLD/MAXII/output_files/GR8RAM.map.summary diff --git a/cpld/output_files/GR8RAM.pin b/CPLD/MAXII/output_files/GR8RAM.pin similarity index 100% rename from cpld/output_files/GR8RAM.pin rename to CPLD/MAXII/output_files/GR8RAM.pin diff --git a/cpld/output_files/GR8RAM.pof b/CPLD/MAXII/output_files/GR8RAM.pof similarity index 100% rename from cpld/output_files/GR8RAM.pof rename to CPLD/MAXII/output_files/GR8RAM.pof diff --git a/cpld/output_files/GR8RAM.sld b/CPLD/MAXII/output_files/GR8RAM.sld similarity index 100% rename from cpld/output_files/GR8RAM.sld rename to CPLD/MAXII/output_files/GR8RAM.sld diff --git a/cpld/output_files/GR8RAM.sta.rpt b/CPLD/MAXII/output_files/GR8RAM.sta.rpt similarity index 100% rename from cpld/output_files/GR8RAM.sta.rpt rename to CPLD/MAXII/output_files/GR8RAM.sta.rpt diff --git a/cpld/output_files/GR8RAM.sta.summary b/CPLD/MAXII/output_files/GR8RAM.sta.summary similarity index 100% rename from cpld/output_files/GR8RAM.sta.summary rename to CPLD/MAXII/output_files/GR8RAM.sta.summary diff --git a/cpld/output_files/GR8RAM.svf b/CPLD/MAXII/output_files/GR8RAM.svf similarity index 100% rename from cpld/output_files/GR8RAM.svf rename to CPLD/MAXII/output_files/GR8RAM.svf diff --git a/Docs.kicad_sch b/Docs.kicad_sch deleted file mode 100644 index 8ef4c2b..0000000 --- a/Docs.kicad_sch +++ /dev/null @@ -1,2750 +0,0 @@ -(kicad_sch (version 20211123) (generator eeschema) - - (uuid 750e60a2-e808-4253-8275-b79930fb2714) - - (paper "USLetter") - - (title_block - (title "GR8RAM") - (date "2021-04-20") - (rev "1.9") - (company "Garrett's Workshop") - ) - - (lib_symbols - ) - - (junction (at 76.2 74.93) (diameter 0) (color 0 0 0 0) - (uuid 0df798c0-963e-4340-a737-18e50763521e) - ) - (junction (at 81.28 78.74) (diameter 0) (color 0 0 0 0) - (uuid 33e40dd5-556d-4de0-ab08-235c61b7ba9f) - ) - (junction (at 52.07 27.94) (diameter 0) (color 0 0 0 0) - (uuid 3785b88e-f652-4024-afb0-be4c22cdaea8) - ) - (junction (at 102.87 86.36) (diameter 0) (color 0 0 0 0) - (uuid 68f7174d-ce7a-41b4-89f8-dd7e3ded57a1) - ) - (junction (at 82.55 74.93) (diameter 0) (color 0 0 0 0) - (uuid 8e1983d7-818b-423d-95d2-7f219e4f6ba3) - ) - (junction (at 83.82 82.55) (diameter 0) (color 0 0 0 0) - (uuid e746ec00-0dfd-4bc7-b357-6b4860c148ef) - ) - (junction (at 54.61 25.4) (diameter 0) (color 0 0 0 0) - (uuid f8e927af-4836-4b0f-8a57-dbca5a18a442) - ) - - (wire (pts (xy 92.71 59.69) (xy 93.98 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 009b0d62-e9ea-4825-9fdf-befd291c76ce) - ) - (wire (pts (xy 78.74 62.23) (xy 82.55 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 017667a9-f5de-49c7-af53-4f9af2f3a311) - ) - (wire (pts (xy 101.6 16.51) (xy 101.6 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 01c59306-91a3-452b-92b5-9af8f8f257d6) - ) - (wire (pts (xy 139.7 69.85) (xy 143.51 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0208dcec-5844-41d6-8382-4437ac8ac82d) - ) - (wire (pts (xy 118.11 36.83) (xy 119.38 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 020b7e1f-8bb0-4882-91d4-7894bf18db84) - ) - (wire (pts (xy 58.42 52.07) (xy 62.23 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 02289c61-13df-495e-a809-03e3a71bb201) - ) - (wire (pts (xy 118.11 52.07) (xy 119.38 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 02491520-945f-40c4-9160-4e5db9ac115d) - ) - (wire (pts (xy 44.45 85.09) (xy 45.72 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 037a257a-ceb2-409c-ab24-48a743172dae) - ) - (wire (pts (xy 52.07 52.07) (xy 53.34 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 052acc87-8ff9-4162-8f55-f7121d221d0a) - ) - (wire (pts (xy 99.06 54.61) (xy 102.87 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 056788ec-4ecf-4826-b996-bd884a6442a0) - ) - (wire (pts (xy 139.7 52.07) (xy 143.51 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0588e431-d56d-4df4-9ffd-6cd4bba412cb) - ) - (wire (pts (xy 62.23 36.83) (xy 63.5 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 058e77a4-10af-4bc8-a984-5984d3bbee4c) - ) - (wire (pts (xy 46.99 85.09) (xy 48.26 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 062fbe79-da43-4e6a-bd6f-509557f2df9b) - ) - (wire (pts (xy 58.42 67.31) (xy 62.23 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0674c5a1-ca4b-4b6b-aa60-3847e1a37d52) - ) - (wire (pts (xy 77.47 69.85) (xy 78.74 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 06b6db7e-5210-41ec-a47b-0127ebbe0786) - ) - (wire (pts (xy 87.63 39.37) (xy 88.9 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 073c8287-235c-4712-a9a0-60a07a1119d5) - ) - (wire (pts (xy 52.07 59.69) (xy 53.34 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 08926936-9ea4-4894-afca-caca47f3c238) - ) - (wire (pts (xy 83.82 36.83) (xy 87.63 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 08ac4c42-16f0-4513-b91e-bf0b3a111257) - ) - (wire (pts (xy 77.47 87.63) (xy 78.74 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 09321bf4-1ea1-49b5-b1f9-ac29d6606a74) - ) - (wire (pts (xy 99.06 59.69) (xy 102.87 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 094dc71e-7ea9-4e30-8ba7-749216ec2a8b) - ) - (wire (pts (xy 78.74 36.83) (xy 82.55 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 09ab0b5c-3dee-42c8-b9e5-de0673874ccd) - ) - (wire (pts (xy 60.96 13.97) (xy 63.5 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0a79db37-f1d9-40b1-a24d-8bdfb8f637e2) - ) - (wire (pts (xy 57.15 67.31) (xy 58.42 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0aa1e38d-f07a-4820-b628-a171234563bb) - ) - (wire (pts (xy 107.95 39.37) (xy 109.22 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0ab1512b-eb91-4574-b11f-326e0ff10082) - ) - (wire (pts (xy 97.79 67.31) (xy 99.06 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0ba3fcf8-07bd-443d-be28-f69a4ad80df4) - ) - (wire (pts (xy 63.5 39.37) (xy 67.31 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0bbd2e43-3eb0-4216-861b-a58366dbe43d) - ) - (wire (pts (xy 123.19 39.37) (xy 124.46 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0e18138e-f1a3-4288-bb34-3b6bcfb64ff6) - ) - (wire (pts (xy 93.98 39.37) (xy 97.79 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0e416ef5-3e03-4fa4-b2a6-3ab634a5ee03) - ) - (wire (pts (xy 67.31 67.31) (xy 68.58 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0f3121ae-1081-4d81-b548-dceafa613e21) - ) - (wire (pts (xy 132.08 16.51) (xy 132.08 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0f9b475c-adb7-41fc-b827-33d4eaa86b99) - ) - (wire (pts (xy 102.87 69.85) (xy 104.14 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0fe3ebe2-61a9-477a-a657-d783c4c4d70e) - ) - (wire (pts (xy 52.07 27.94) (xy 53.34 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0fffb828-f291-41d3-a83c-4eaa3df13f3a) - ) - (wire (pts (xy 123.19 52.07) (xy 124.46 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 100847e3-630c-4c13-ba45-180e92370805) - ) - (wire (pts (xy 58.42 59.69) (xy 62.23 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1053b01a-057e-4e79-a21c-42780a737ea9) - ) - (wire (pts (xy 128.27 59.69) (xy 129.54 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 105d44ff-63b9-4299-9078-473af583971a) - ) - (wire (pts (xy 83.82 82.55) (xy 72.39 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 11547ba3-d459-4ced-9333-92979d5b86e1) - ) - (wire (pts (xy 57.15 36.83) (xy 58.42 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 133d5403-9be3-4603-824b-d3b76147e745) - ) - (wire (pts (xy 138.43 69.85) (xy 139.7 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1569382e-a4f5-4166-a19c-b78580f8c980) - ) - (wire (pts (xy 114.3 67.31) (xy 118.11 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 159c8092-f459-40eb-b409-c2cace814e6e) - ) - (wire (pts (xy 58.42 39.37) (xy 62.23 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 15a0f067-831a-4ddb-bdef-5fb7df267d8f) - ) - (wire (pts (xy 106.68 13.97) (xy 109.22 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 15a5a11b-0ea1-4f6e-b356-cc2d530615ed) - ) - (wire (pts (xy 133.35 62.23) (xy 134.62 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 15e1670d-9e79-4a5e-88ad-fbbb238a3e8a) - ) - (wire (pts (xy 72.39 85.09) (xy 73.66 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 16aa2316-1a67-45e5-b6c4-e59dd85814f4) - ) - (wire (pts (xy 71.12 21.59) (xy 72.39 24.13)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 173fd4a7-b485-4e9d-8724-470865466784) - ) - (wire (pts (xy 104.14 36.83) (xy 107.95 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 18208121-3872-4be3-a687-40854be3e1c8) - ) - (wire (pts (xy 58.42 55.88) (xy 77.47 55.88)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 186c3f1e-1c94-498e-abf2-1069980f6633) - ) - (wire (pts (xy 58.42 16.51) (xy 60.96 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 188eabba-12a3-47b7-9be1-03f0c5a948eb) - ) - (wire (pts (xy 87.63 36.83) (xy 88.9 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 19264aae-fe9e-4afc-84ac-56ec33a3b20d) - ) - (wire (pts (xy 82.55 54.61) (xy 83.82 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 19a5aacd-255a-4bf3-89c1-efd2ab61016c) - ) - (wire (pts (xy 82.55 85.09) (xy 83.82 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1a1da3ab-0792-420a-a2dd-c670f9cd52e8) - ) - (wire (pts (xy 40.64 21.59) (xy 71.12 21.59)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1a7e7b16-fc7c-4e64-9ace-48cc78112437) - ) - (wire (pts (xy 72.39 67.31) (xy 73.66 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1a85ffd6-ef8b-418f-990e-456d1ffab00e) - ) - (wire (pts (xy 72.39 36.83) (xy 73.66 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1ab4dceb-24cc-4050-aa74-e8fbb39d3760) - ) - (wire (pts (xy 83.82 62.23) (xy 87.63 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1ae3634a-f90f-4c6a-8ba7-b38f98d4ccb2) - ) - (wire (pts (xy 43.18 13.97) (xy 40.64 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1bb16fed-1537-47fa-90f6-8dc136da5d16) - ) - (wire (pts (xy 92.71 67.31) (xy 93.98 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1c7ec62e-d96c-4a0d-ac32-e919b90a3c5b) - ) - (wire (pts (xy 53.34 39.37) (xy 57.15 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1c92f382-4ec3-478f-a1ca-afadd3087787) - ) - (wire (pts (xy 46.99 69.85) (xy 48.26 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1cbbfee4-06dd-44ee-af91-d336edf2459c) - ) - (wire (pts (xy 81.28 87.63) (xy 82.55 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1d2d8ec8-1f1b-4d06-9a35-eff8e386bdb8) - ) - (wire (pts (xy 82.55 74.93) (xy 87.63 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1d6518e1-cfe9-4078-adc2-cf8e6477b5cb) - ) - (wire (pts (xy 53.34 25.4) (xy 54.61 25.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1d6c2d6c-bee0-401d-9749-98f17833afdd) - ) - (wire (pts (xy 45.72 16.51) (xy 43.18 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1d801ac4-6429-45d9-ad70-9dd82bd9c030) - ) - (wire (pts (xy 41.91 59.69) (xy 43.18 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1d9dc91c-3457-4ca5-8e42-43be60ae0831) - ) - (wire (pts (xy 63.5 36.83) (xy 67.31 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1eca5f72-2356-4c55-919d-595727faf3b9) - ) - (wire (pts (xy 58.42 69.85) (xy 62.23 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 1f01b2a1-9ae4-4793-9d17-5ed5c0966b9f) - ) - (wire (pts (xy 77.47 66.04) (xy 78.74 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2056f16f-2d4a-4f35-8a56-49ab69eeef16) - ) - (wire (pts (xy 77.47 39.37) (xy 78.74 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 20e1c48c-ae14-4a88-835e-87633cbb6a1c) - ) - (wire (pts (xy 107.95 63.5) (xy 109.22 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 21c9358c-c2dd-4df5-9cfe-ea9bd0b49374) - ) - (wire (pts (xy 57.15 62.23) (xy 58.42 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 21ca1c08-b8a3-4bdc-9356-70a4d86ee444) - ) - (wire (pts (xy 85.09 87.63) (xy 86.36 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 22614aba-2c26-4590-8e12-a7a6b6de48de) - ) - (wire (pts (xy 50.8 85.09) (xy 52.07 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 226f524c-89b4-46ed-86fd-c8ea41059fd4) - ) - (wire (pts (xy 139.7 36.83) (xy 143.51 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 245a6fb4-6361-4438-82ca-8861d43ca7f5) - ) - (wire (pts (xy 111.76 16.51) (xy 111.76 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 24a492d9-25a9-4fba-b51b-3effb576b351) - ) - (wire (pts (xy 127 16.51) (xy 127 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 24fd922c-d488-4d61-b6dc-9d3e359ccc82) - ) - (wire (pts (xy 76.2 40.64) (xy 105.41 40.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 25247d0c-5910-484b-9651-5750d422a450) - ) - (wire (pts (xy 63.5 54.61) (xy 67.31 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 25625d99-d45f-4b2f-9e62-009a122611f4) - ) - (wire (pts (xy 124.46 52.07) (xy 128.27 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2571f4c8-d7fc-4e8c-94df-f480e56bb717) - ) - (wire (pts (xy 137.16 13.97) (xy 139.7 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 26296271-780a-4da9-8e69-910d9240bca1) - ) - (wire (pts (xy 48.26 20.32) (xy 49.53 17.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2765a021-71f1-4136-b72b-81c2c6882946) - ) - (wire (pts (xy 93.98 54.61) (xy 97.79 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 278deae2-fb37-4957-b2cb-afac30cacb12) - ) - (wire (pts (xy 88.9 52.07) (xy 92.71 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 27e3c71f-5a63-4710-8adf-b600b805ce02) - ) - (wire (pts (xy 97.79 59.69) (xy 99.06 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 28d267fd-6d61-43bb-9705-8d59d7a44e81) - ) - (wire (pts (xy 139.7 67.31) (xy 143.51 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 291e4200-f3c9-4b61-8158-17e8c4424a24) - ) - (wire (pts (xy 82.55 67.31) (xy 83.82 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2949af22-2432-469e-9f07-eee60be8acbd) - ) - (wire (pts (xy 142.24 13.97) (xy 144.78 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 296ded40-ed53-4798-8db4-dad7b794226b) - ) - (wire (pts (xy 119.38 39.37) (xy 123.19 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 29ec1a54-dea0-4d1a-a3dc-a7441a09bb9e) - ) - (wire (pts (xy 46.99 59.69) (xy 48.26 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2a4f1c24-6486-4fd8-8092-72bb07a81274) - ) - (wire (pts (xy 83.82 16.51) (xy 86.36 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2ad4b4ba-3abd-4313-bed9-1edce936a95e) - ) - (wire (pts (xy 82.55 39.37) (xy 83.82 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2b7c4f37-42c0-4571-a44b-b808484d3d74) - ) - (wire (pts (xy 53.34 85.09) (xy 54.61 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2b894b8a-c098-4d9d-be0f-2ef41dea274e) - ) - (wire (pts (xy 43.18 59.69) (xy 46.99 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2c10387c-3cac-4a7c-bbfb-95d69f41a890) - ) - (wire (pts (xy 58.42 54.61) (xy 62.23 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2cb05d43-df82-498c-aae1-4b1a0a350f82) - ) - (wire (pts (xy 102.87 36.83) (xy 104.14 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2cd2fee2-51b2-4fcd-8c94-c435e6791358) - ) - (wire (pts (xy 147.32 13.97) (xy 148.59 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2e0f69a6-955c-44f2-af4d-b4ad566ef54b) - ) - (wire (pts (xy 45.72 16.51) (xy 45.72 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2e1d63b8-5189-41bb-8b6a-c4ada546b2d5) - ) - (wire (pts (xy 123.19 54.61) (xy 124.46 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2edc487e-09a5-4e4e-9675-a7b323f56380) - ) - (wire (pts (xy 109.22 66.04) (xy 143.51 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f122013-8dbc-4371-941a-b52e2115db20) - ) - (wire (pts (xy 57.15 50.8) (xy 40.64 50.8)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f29ffe5-cbdc-4a3f-81e6-c7d9f4c5145a) - ) - (wire (pts (xy 50.8 16.51) (xy 50.8 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f33286e-7553-4442-acf0-23c61fcd6ab0) - ) - (wire (pts (xy 129.54 54.61) (xy 133.35 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f4c659c-2ccb-4fb1-808e-7868af588a89) - ) - (wire (pts (xy 50.8 13.97) (xy 53.34 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f5467a7-bd49-433c-92f2-60a842e66f7b) - ) - (wire (pts (xy 83.82 67.31) (xy 87.63 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2f8ebbbf-0f11-4a15-9648-1d28e5593127) - ) - (wire (pts (xy 58.42 85.09) (xy 59.69 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2fea3f9c-a97b-4a77-88f7-98b3d8a00622) - ) - (wire (pts (xy 87.63 54.61) (xy 88.9 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 31070a40-077c-4123-96dd-e39f8a0007ce) - ) - (wire (pts (xy 62.23 59.69) (xy 63.5 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 312474c5-a081-4cd1-b2e6-730f0718514a) - ) - (wire (pts (xy 63.5 13.97) (xy 63.5 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 315d2b15-cfe6-4672-b3ad-24773f3df12c) - ) - (wire (pts (xy 138.43 39.37) (xy 139.7 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 337d1242-91ab-4446-8b9e-7609c6a49e3c) - ) - (wire (pts (xy 73.66 59.69) (xy 77.47 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3382bf79-b686-4aeb-9419-c8ab591662bb) - ) - (wire (pts (xy 43.18 54.61) (xy 46.99 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3388a811-b444-4ecc-a564-b22a1b731ab4) - ) - (wire (pts (xy 52.07 69.85) (xy 53.34 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 33891c62-a79f-4243-b776-6be292690ac3) - ) - (wire (pts (xy 128.27 62.23) (xy 129.54 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 341e67eb-d5e1-4cb7-9d11-5aa4ab832a2a) - ) - (wire (pts (xy 82.55 36.83) (xy 83.82 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 35431843-170f-401f-88d7-da91172bed86) - ) - (wire (pts (xy 104.14 67.31) (xy 107.95 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 356199c8-c0f7-4995-bef0-53ad752a30c5) - ) - (wire (pts (xy 85.09 81.28) (xy 97.79 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 35e60fa0-27cf-4d0e-8bab-b364400c08c0) - ) - (wire (pts (xy 52.07 36.83) (xy 53.34 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 36210d52-4f9a-42bc-a022-019a63c67fc2) - ) - (wire (pts (xy 69.85 87.63) (xy 71.12 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3742a313-c63e-4807-a7bf-be5a0ae2c781) - ) - (wire (pts (xy 97.79 39.37) (xy 99.06 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3768cce7-1e64-480e-bb38-0c6794a852ac) - ) - (wire (pts (xy 133.35 67.31) (xy 134.62 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 376a6f44-cf22-4d88-ac13-30f83803795f) - ) - (wire (pts (xy 129.54 52.07) (xy 133.35 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 37f8ba3f-cca4-4b16-b699-07a704844fc9) - ) - (wire (pts (xy 82.55 69.85) (xy 83.82 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3997254a-8057-4464-ba07-e37f0720cbd8) - ) - (wire (pts (xy 83.82 82.55) (xy 85.09 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3a274653-eff3-4ffe-9be8-2bfd0950af0a) - ) - (wire (pts (xy 88.9 67.31) (xy 92.71 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3a568413-17bd-4a87-b1ac-928e77fa1b6a) - ) - (wire (pts (xy 128.27 36.83) (xy 129.54 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3b19a97f-624a-48d9-8072-15bdeede0fff) - ) - (wire (pts (xy 71.12 87.63) (xy 72.39 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3b909fd4-b382-4019-8708-80d1d9a9fe1c) - ) - (wire (pts (xy 97.79 69.85) (xy 99.06 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3ba59656-e36e-4caa-8957-90ed8686b3d3) - ) - (wire (pts (xy 119.38 13.97) (xy 119.38 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3bb9c3d4-9a6f-41ac-8d1e-92ed4fe334c0) - ) - (wire (pts (xy 119.38 69.85) (xy 123.19 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3bdaeac5-b4b7-4a96-b0da-b5e1b46798c2) - ) - (wire (pts (xy 104.14 39.37) (xy 107.95 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3c19fda9-55de-469e-9693-2d8993bca106) - ) - (wire (pts (xy 52.07 87.63) (xy 53.34 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3ce4c631-4e8b-4ee6-a520-34bf7b12880c) - ) - (wire (pts (xy 99.06 67.31) (xy 102.87 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3cfddd47-0913-4692-89bb-8a69d22be5a7) - ) - (wire (pts (xy 97.79 36.83) (xy 99.06 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3d213c37-de80-490e-9f45-2814d3fc958b) - ) - (wire (pts (xy 102.87 62.23) (xy 104.14 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3d2a15cb-c492-4d9a-b1dd-7d5f099d2d31) - ) - (wire (pts (xy 107.95 62.23) (xy 109.22 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3d70e675-48ae-4edd-b95d-3ca51e634018) - ) - (wire (pts (xy 44.45 87.63) (xy 45.72 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3d8571f7-688f-49ac-8d91-22508c277f45) - ) - (wire (pts (xy 78.74 52.07) (xy 82.55 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3dbc1b14-20e2-4dcb-8347-d33c13d3f0e0) - ) - (wire (pts (xy 92.71 39.37) (xy 93.98 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3dfbccca-f469-4a6f-a8bd-5f55435b5cfa) - ) - (wire (pts (xy 109.22 52.07) (xy 113.03 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3e011a46-81bd-4ecd-b93e-57dffb1143e5) - ) - (wire (pts (xy 53.34 36.83) (xy 57.15 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3e147ce1-21a6-4e77-a3db-fd00d575cd22) - ) - (wire (pts (xy 86.36 87.63) (xy 87.63 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3f206607-332e-4c96-8963-5302804f476f) - ) - (wire (pts (xy 104.14 13.97) (xy 104.14 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3f43c2dc-daa2-45ba-b8ca-7ae5aebed882) - ) - (wire (pts (xy 93.98 67.31) (xy 97.79 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3f9f133b-59b8-4791-b0ab-6fa861da9e3f) - ) - (wire (pts (xy 81.28 85.09) (xy 82.55 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 401b5a0c-f502-4551-9d61-fa50a303707e) - ) - (wire (pts (xy 41.91 85.09) (xy 43.18 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 40800b4d-424c-4738-8041-4662989d2010) - ) - (wire (pts (xy 54.61 85.09) (xy 55.88 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4116bfc2-eab3-4c29-a983-44eacd9f10f5) - ) - (wire (pts (xy 53.34 16.51) (xy 55.88 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 41524d81-a7f7-45af-a8c6-15609b68d1fd) - ) - (wire (pts (xy 129.54 59.69) (xy 133.35 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 41ab46ed-40f5-461d-81aa-1f02dc069a49) - ) - (wire (pts (xy 78.74 63.5) (xy 107.95 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4266f6dc-b108-467a-bc4a-756158b1a271) - ) - (wire (pts (xy 118.11 69.85) (xy 119.38 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4375ab9a-cebb-448a-bb75-1fa4fe977171) - ) - (wire (pts (xy 40.64 31.75) (xy 57.15 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 443de8e6-6c50-4145-a643-8098c9ffc1e6) - ) - (wire (pts (xy 129.54 36.83) (xy 133.35 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 44509293-79e2-4fab-8860-b0cecb591afa) - ) - (wire (pts (xy 68.58 54.61) (xy 72.39 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 44e77d57-d16f-4723-a95f-1ac45276c458) - ) - (wire (pts (xy 40.64 16.51) (xy 40.64 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45245258-c97a-4586-bc43-2154c85c0ef6) - ) - (wire (pts (xy 116.84 13.97) (xy 119.38 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45484f82-420e-44d0-a58e-382bb939dac5) - ) - (wire (pts (xy 138.43 52.07) (xy 139.7 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45676199-bb82-4d58-98c1-b606deb355be) - ) - (wire (pts (xy 92.71 62.23) (xy 93.98 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45836d49-cd5f-417d-b0f6-c8b43d196a36) - ) - (wire (pts (xy 43.18 85.09) (xy 44.45 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45899113-d22e-4a5b-822e-9aca23b124ee) - ) - (wire (pts (xy 78.74 16.51) (xy 81.28 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45a58c23-3e6d-4df0-af01-6d5948b0075c) - ) - (wire (pts (xy 68.58 39.37) (xy 72.39 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 45b7fe01-a2fa-40c2-a3a2-4a9ae7c34dba) - ) - (wire (pts (xy 46.99 36.83) (xy 48.26 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4648968b-aa58-4f57-8f45-54b088364670) - ) - (wire (pts (xy 133.35 83.82) (xy 137.16 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4687c479-536f-4d7c-9d3c-04c9b426c43c) - ) - (wire (pts (xy 62.23 85.09) (xy 63.5 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 46a20b99-b616-4fa4-af79-eecf92b5c191) - ) - (wire (pts (xy 48.26 16.51) (xy 48.26 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 47484446-e64c-4a82-88af-15de92cf6ad4) - ) - (wire (pts (xy 41.91 52.07) (xy 43.18 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 47957453-fce7-4d98-833c-e34bb8a852a5) - ) - (wire (pts (xy 147.32 16.51) (xy 147.32 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 47be24ee-e15b-4cee-b84b-350111ac1499) - ) - (wire (pts (xy 76.2 16.51) (xy 76.2 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 48034820-9d25-4020-8e74-d44c1441e803) - ) - (wire (pts (xy 144.78 13.97) (xy 144.78 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 49b38f13-9789-4c6d-bbd5-2c69a9e19e69) - ) - (wire (pts (xy 124.46 35.56) (xy 148.59 35.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4aee84d1-0859-48ac-a053-5a981ee1b24a) - ) - (wire (pts (xy 97.79 54.61) (xy 99.06 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4b042b6c-c042-4cf1-ba6e-bd77c51dbedb) - ) - (wire (pts (xy 83.82 54.61) (xy 87.63 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4b534cd1-c414-4029-9164-e46766faf60e) - ) - (wire (pts (xy 77.47 54.61) (xy 78.74 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4be2b882-65e4-4552-9482-9d622928de2f) - ) - (wire (pts (xy 81.28 78.74) (xy 82.55 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4c069f0b-8c76-44a0-a999-7bd72a3e8dee) - ) - (wire (pts (xy 82.55 59.69) (xy 83.82 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4c144ffa-02d0-42da-aef1-f5175cbde9c0) - ) - (wire (pts (xy 67.31 36.83) (xy 68.58 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4c4b4317-29d0-438a-b331-525ede18773a) - ) - (wire (pts (xy 119.38 54.61) (xy 123.19 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4c6a1dad-7acf-4a52-99b0-316025d1ab04) - ) - (wire (pts (xy 73.66 36.83) (xy 77.47 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4c717b47-484c-4d70-8fcd-83c406ff2d17) - ) - (wire (pts (xy 78.74 44.45) (xy 107.95 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4d55ddc7-73be-49f7-98ea-a0ba474cbdb0) - ) - (wire (pts (xy 88.9 36.83) (xy 92.71 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4d6dfe4f-0070-449e-bb5c-a3b1d4b26ba7) - ) - (wire (pts (xy 58.42 63.5) (xy 62.23 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4e0c0da6-a302-49a1-8b88-4dccac856a0b) - ) - (wire (pts (xy 129.54 69.85) (xy 133.35 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4e66ba18-389e-4ff9-97c1-8bd8fb047a01) - ) - (wire (pts (xy 121.92 13.97) (xy 124.46 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4ef07d45-f940-4cb6-bb96-2ddec13fd099) - ) - (wire (pts (xy 87.63 62.23) (xy 88.9 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4f3dc5bc-04e8-4dcc-91dd-8782e84f321d) - ) - (wire (pts (xy 69.85 85.09) (xy 71.12 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5080cf4c-abda-4232-b279-44d0e6b9bde3) - ) - (wire (pts (xy 107.95 17.78) (xy 109.22 20.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 50a799a7-f8f3-4f13-9288-b10696e9a7da) - ) - (wire (pts (xy 52.07 85.09) (xy 53.34 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 51320c8c-9c4a-48b8-a7b8-e2c8d1f2e5ad) - ) - (wire (pts (xy 57.15 54.61) (xy 58.42 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5160b3d5-0622-412f-84ed-9900be82a5a6) - ) - (wire (pts (xy 53.34 59.69) (xy 57.15 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 51f5536d-48d2-4807-be44-93f427952b0e) - ) - (wire (pts (xy 50.8 16.51) (xy 48.26 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5206328f-de7d-41ba-bad8-f1768b7701cb) - ) - (wire (pts (xy 93.98 16.51) (xy 96.52 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 524d7aa8-362f-459a-b2ae-4ca2a0b1612b) - ) - (wire (pts (xy 58.42 29.21) (xy 118.11 29.21)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5290e0d7-1f24-4c0b-91ff-28c5a304ab9a) - ) - (wire (pts (xy 133.35 69.85) (xy 134.62 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 52d326d4-51c9-4c17-8412-9aaf3e6cdf4c) - ) - (wire (pts (xy 104.14 52.07) (xy 107.95 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 53ae21b8-f187-4817-8c27-1f06278d249b) - ) - (wire (pts (xy 113.03 62.23) (xy 114.3 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 54d76293-1ce2-46f8-9be7-a3d7f9f28112) - ) - (wire (pts (xy 138.43 54.61) (xy 139.7 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 55ac7ee1-f461-406b-8cf5-da47a7717180) - ) - (wire (pts (xy 118.11 39.37) (xy 119.38 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 55fa5fa0-9426-4801-b40c-682e71189d8a) - ) - (wire (pts (xy 67.31 52.07) (xy 68.58 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5626e5e1-59f4-4773-828e-16057ddc3518) - ) - (wire (pts (xy 81.28 16.51) (xy 81.28 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5641be26-f5e9-482f-8616-297f17f4eae2) - ) - (wire (pts (xy 139.7 62.23) (xy 143.51 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 567a04d6-5dce-4e5f-9e8e-f34010ecea5b) - ) - (wire (pts (xy 132.08 13.97) (xy 134.62 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 56f0a67a-a93a-477a-9778-70fe2cfeeb5a) - ) - (wire (pts (xy 134.62 59.69) (xy 138.43 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 57121f1d-c971-4830-b974-00f7d706f0c9) - ) - (wire (pts (xy 119.38 36.83) (xy 123.19 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5778dc8c-60fe-435e-b75a-362eae1b81ab) - ) - (wire (pts (xy 50.8 87.63) (xy 52.07 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 57e17378-f1f7-42d0-9ad3-fb44c2d5cdc3) - ) - (wire (pts (xy 99.06 62.23) (xy 102.87 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 583b0bf3-0699-44db-b975-a241ad040fa4) - ) - (wire (pts (xy 102.87 54.61) (xy 104.14 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 586ec748-563a-478a-82db-706fb951336a) - ) - (wire (pts (xy 71.12 85.09) (xy 72.39 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5891aa7f-2e48-4492-8db1-d54810991036) - ) - (wire (pts (xy 52.07 67.31) (xy 53.34 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 59058a09-f800-497d-b8e1-cdf9632c6766) - ) - (wire (pts (xy 105.41 40.64) (xy 106.68 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 59142adb-6887-41fc-851e-9a7f51511d60) - ) - (wire (pts (xy 127 13.97) (xy 129.54 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 59ee13a4-660e-47e2-a73a-01cfe11439e9) - ) - (wire (pts (xy 63.5 62.23) (xy 67.31 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5a010660-4a0b-4680-b361-32d4c3b60537) - ) - (wire (pts (xy 63.5 16.51) (xy 66.04 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5a319d05-1a85-43fe-a179-ebcee7212a03) - ) - (wire (pts (xy 40.64 43.18) (xy 74.93 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5b04e20f-8575-4362-b040-2e2133d670c8) - ) - (wire (pts (xy 45.72 85.09) (xy 46.99 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5b5611ee-3a4f-4573-978f-2e48db0ecaf5) - ) - (wire (pts (xy 74.93 87.63) (xy 76.2 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5b867f3d-ce38-4d21-95dd-fe114f76e9dc) - ) - (wire (pts (xy 134.62 16.51) (xy 137.16 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5c1d6842-15a5-4f73-b198-8836681840a1) - ) - (wire (pts (xy 41.91 62.23) (xy 40.64 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5cc7655c-62f2-43d2-a7a5-eaa4635dada8) - ) - (wire (pts (xy 113.03 25.4) (xy 114.3 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5da06777-0696-4bb2-8c9a-78c96b4b3e90) - ) - (wire (pts (xy 102.87 86.36) (xy 124.46 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5de5a872-aa15-495b-b53b-b8a64bbfa4f0) - ) - (wire (pts (xy 76.2 87.63) (xy 77.47 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5e27f565-c85a-4f3b-9862-58c0accdd5e3) - ) - (wire (pts (xy 87.63 67.31) (xy 88.9 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5ef603f2-8407-4088-9f29-0b64dd4b046f) - ) - (wire (pts (xy 57.15 87.63) (xy 58.42 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5f74c6fb-337b-40a9-9b79-933f2f30429a) - ) - (wire (pts (xy 64.77 87.63) (xy 66.04 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5f8cf0a3-5039-4ac4-8310-e201f8c0505f) - ) - (wire (pts (xy 82.55 52.07) (xy 83.82 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5fba7ff8-02f1-4ac0-93c4-5bd7becbcf63) - ) - (wire (pts (xy 85.09 81.28) (xy 83.82 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 60628c1f-f7b2-4a4b-be6f-62bc1a819432) - ) - (wire (pts (xy 134.62 69.85) (xy 138.43 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 60d30b2f-02cb-42f2-b2ed-c84cb33e3e36) - ) - (wire (pts (xy 63.5 33.02) (xy 123.19 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 617edc57-1dbf-4296-b365-6d76f68a1c0f) - ) - (wire (pts (xy 41.91 54.61) (xy 40.64 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 61a18b62-4111-4a9d-8fca-04c4c6f90cc3) - ) - (wire (pts (xy 41.91 69.85) (xy 40.64 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 61eb7a4f-888e-4082-9c74-1d94f58e7c05) - ) - (wire (pts (xy 133.35 54.61) (xy 134.62 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 61fae217-e18a-4e68-8630-42cc06a8ba2f) - ) - (wire (pts (xy 68.58 36.83) (xy 72.39 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6239967a-77bd-4ec9-89cd-e04efd8dbe26) - ) - (wire (pts (xy 57.15 31.75) (xy 58.42 29.21)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 62a1b97d-067d-487c-835b-0166330d25fe) - ) - (wire (pts (xy 138.43 83.82) (xy 142.24 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 62c6f8ce-78e5-4ab3-bb01-2fcb0df87aa6) - ) - (wire (pts (xy 93.98 62.23) (xy 97.79 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 62cbcc21-2cec-41ab-be06-499e1a78d7e7) - ) - (wire (pts (xy 48.26 67.31) (xy 52.07 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 637c5908-9371-4d80-a19b-036e111ef5cd) - ) - (wire (pts (xy 118.11 54.61) (xy 119.38 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 64269ac3-771b-4c0d-91e0-eafc3dc4a07f) - ) - (wire (pts (xy 123.19 67.31) (xy 124.46 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 644ebc55-9b92-49bd-8dfa-8a3a0dd8d76d) - ) - (wire (pts (xy 40.64 74.93) (xy 76.2 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6579642b-a152-47f7-af0e-0d8866bdfcb8) - ) - (wire (pts (xy 124.46 62.23) (xy 128.27 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6597e724-ffad-43f1-9619-cca25cced87f) - ) - (wire (pts (xy 82.55 80.01) (xy 90.17 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 664ea685-f665-4315-aadf-581a656f41df) - ) - (wire (pts (xy 114.3 13.97) (xy 114.3 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 665081dc-8354-4d41-8855-bde8901aee4c) - ) - (wire (pts (xy 68.58 69.85) (xy 72.39 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 66cc4ddc-a52d-4ad7-986e-68f000539802) - ) - (wire (pts (xy 60.96 87.63) (xy 62.23 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6776c573-26e6-4a02-ab96-18129f258651) - ) - (wire (pts (xy 48.26 36.83) (xy 52.07 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 67d6d490-a9a4-4ec7-8744-7c7abc821282) - ) - (wire (pts (xy 119.38 31.75) (xy 148.59 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 69f75991-c8c0-49a9-aed8-daa6ca9a5d73) - ) - (wire (pts (xy 119.38 59.69) (xy 123.19 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6a25c4e1-7129-430c-892b-6eecb6ffdb47) - ) - (wire (pts (xy 49.53 87.63) (xy 50.8 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ae47305-86b3-4e27-b3c6-46e195fdaa6d) - ) - (wire (pts (xy 133.35 39.37) (xy 134.62 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ae901e7-3f37-4fdc-9fbb-f82666744826) - ) - (wire (pts (xy 77.47 76.2) (xy 81.28 76.2)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6c715627-9fe9-4566-9325-aed34f2a0ebd) - ) - (wire (pts (xy 86.36 85.09) (xy 87.63 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6d646c30-feab-4e3e-adf0-5427b73b5f08) - ) - (wire (pts (xy 58.42 87.63) (xy 59.69 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6dfa921c-8a4f-4fcf-a0e7-8718b6271ea9) - ) - (wire (pts (xy 87.63 87.63) (xy 101.6 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6e21d8a8-05db-450e-863d-764ba51b5b58) - ) - (wire (pts (xy 76.2 74.93) (xy 77.47 76.2)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6e416a78-df14-48ee-9842-e6e24081191e) - ) - (wire (pts (xy 46.99 52.07) (xy 48.26 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6e508bf2-c65e-4107-867d-a3cf9a86c69e) - ) - (wire (pts (xy 73.66 69.85) (xy 77.47 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ee71a3c-fedb-4cc6-a3c6-f3d6f3ac6767) - ) - (wire (pts (xy 58.42 36.83) (xy 62.23 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6f78c1fb-f693-4737-b750-74e50c35a564) - ) - (wire (pts (xy 78.74 39.37) (xy 82.55 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6fddc16f-ccc1-4ade-884c-d6efda461da8) - ) - (wire (pts (xy 87.63 52.07) (xy 88.9 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 70186eba-dcad-4878-bf16-887f6eee49df) - ) - (wire (pts (xy 54.61 87.63) (xy 55.88 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 704ba6e6-ee13-4d9d-b544-d836a743bdda) - ) - (wire (pts (xy 144.78 16.51) (xy 147.32 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 71079b24-2e2e-494b-a607-86ccdae75c6e) - ) - (wire (pts (xy 49.53 85.09) (xy 50.8 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 710852c3-85af-44f2-af12-adc5798f2795) - ) - (wire (pts (xy 46.99 87.63) (xy 48.26 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7147b342-4ca8-4694-a1ec-b615c151a5d0) - ) - (wire (pts (xy 41.91 52.07) (xy 40.64 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 717b25a7-c9c2-4f6f-b744-a96113325c99) - ) - (wire (pts (xy 109.22 20.32) (xy 148.59 20.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 71a9f036-1f13-462e-ac9e-81caaaa7f807) - ) - (wire (pts (xy 53.34 13.97) (xy 53.34 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 71aa3829-956e-4ff9-af3f-b06e50ab2b5a) - ) - (wire (pts (xy 114.3 62.23) (xy 118.11 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7247fe96-7885-4063-8282-ea2fd2b28b0d) - ) - (wire (pts (xy 54.61 25.4) (xy 113.03 25.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 72733f59-fc61-4ff2-8fe5-0440be71758a) - ) - (wire (pts (xy 67.31 59.69) (xy 68.58 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 72f9157b-77da-4a6d-9880-0711b21f6e23) - ) - (wire (pts (xy 46.99 54.61) (xy 48.26 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 73a6ec8e-8641-4014-be28-4611d398be32) - ) - (wire (pts (xy 77.47 67.31) (xy 78.74 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 741879e3-3045-40c7-849d-7f437c35ee91) - ) - (wire (pts (xy 92.71 36.83) (xy 93.98 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 751752b1-1f0f-490c-ba43-2d34c357b41e) - ) - (wire (pts (xy 57.15 58.42) (xy 58.42 55.88)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 761492e2-a989-4596-80c3-fcd6943df072) - ) - (wire (pts (xy 134.62 62.23) (xy 138.43 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 76862e4a-1816-475c-9943-666036c637f7) - ) - (wire (pts (xy 41.91 69.85) (xy 43.18 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 76ee303c-1cfc-45a8-ae72-af3efaba6c47) - ) - (wire (pts (xy 67.31 54.61) (xy 68.58 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7700fef1-de5b-4197-be2d-18385e1e18f9) - ) - (wire (pts (xy 123.19 62.23) (xy 124.46 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 771cb5c1-62ba-4cca-999e-cdcbe417213c) - ) - (wire (pts (xy 88.9 59.69) (xy 92.71 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 778b0e81-d70b-4705-ae45-b4c475c88dab) - ) - (wire (pts (xy 57.15 59.69) (xy 58.42 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 784e3230-2053-4bc9-a786-5ac2bd0df0f5) - ) - (wire (pts (xy 40.64 20.32) (xy 48.26 20.32)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 78a228c9-bbf0-49cf-b917-2dec23b390df) - ) - (wire (pts (xy 99.06 69.85) (xy 102.87 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7983b95c-14e4-4dec-ab4e-09c81071d9de) - ) - (wire (pts (xy 41.91 36.83) (xy 43.18 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7a6d9a4e-fe6a-4427-9f0c-a10fd3ceb923) - ) - (wire (pts (xy 142.24 16.51) (xy 142.24 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7ac1ccc5-26c5-4b73-8425-7bbec927bf24) - ) - (wire (pts (xy 48.26 69.85) (xy 52.07 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7c11b885-29b4-4eb2-b782-dde8e3724f0c) - ) - (wire (pts (xy 134.62 52.07) (xy 138.43 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7c3df708-fb44-40cc-b435-cd67e8cec48a) - ) - (wire (pts (xy 124.46 16.51) (xy 127 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7ce4aab5-8271-4432-a4b1-bff168293b45) - ) - (wire (pts (xy 78.74 59.69) (xy 82.55 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7d2422a2-6679-4b2f-b253-47eef0da2414) - ) - (wire (pts (xy 78.74 85.09) (xy 80.01 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7d3a9372-4f99-452e-9767-51a31df66106) - ) - (wire (pts (xy 137.16 83.82) (xy 138.43 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7da6dd22-6820-4812-8b65-ceb1440c016d) - ) - (wire (pts (xy 73.66 13.97) (xy 73.66 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7df9ce6f-7f38-4582-a049-7f92faf1abc9) - ) - (wire (pts (xy 88.9 39.37) (xy 92.71 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7e232027-e1fd-4d55-a751-dd67130d7d22) - ) - (wire (pts (xy 57.15 66.04) (xy 40.64 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7e509ce7-bdc7-45fb-b2d0-c14a958a5480) - ) - (wire (pts (xy 72.39 87.63) (xy 73.66 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7f4b7c2c-9af8-4317-9338-c2a6d8990ded) - ) - (wire (pts (xy 139.7 54.61) (xy 143.51 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8019bb27-2172-4d60-932e-7bd55a890b6c) - ) - (wire (pts (xy 66.04 13.97) (xy 68.58 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 80ace02d-cb21-4f08-bc25-572a9e56ff99) - ) - (wire (pts (xy 81.28 78.74) (xy 68.58 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 810d1828-323c-409a-960d-456fda8be10a) - ) - (wire (pts (xy 123.19 33.02) (xy 124.46 35.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 811f5389-c208-4640-ab1a-b454491bb330) - ) - (wire (pts (xy 72.39 52.07) (xy 73.66 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8202d57b-d5d2-4a80-8c03-3c6bdbbd1ddf) - ) - (wire (pts (xy 124.46 67.31) (xy 128.27 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 825ca21e-b6a1-4e84-a612-f8e2fae8ac04) - ) - (wire (pts (xy 57.15 66.04) (xy 58.42 63.5)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 82782dc2-cb84-4d0c-b85e-b3903aca1e13) - ) - (wire (pts (xy 68.58 13.97) (xy 68.58 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 82907d2e-4560-49c2-9cfc-01b127317195) - ) - (wire (pts (xy 91.44 13.97) (xy 93.98 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8313e187-c805-4927-8002-313a51839243) - ) - (wire (pts (xy 109.22 67.31) (xy 113.03 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 832b1e20-f118-4505-ad00-93c040f2f83d) - ) - (wire (pts (xy 104.14 54.61) (xy 107.95 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 83d85a81-e014-4ee9-9433-a9a045c80893) - ) - (wire (pts (xy 67.31 39.37) (xy 68.58 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 83d9db3e-661a-47bf-b26c-99313ad8bac9) - ) - (wire (pts (xy 43.18 69.85) (xy 46.99 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 844f01a0-ac23-4a99-910e-4e91c579bb2b) - ) - (wire (pts (xy 43.18 52.07) (xy 46.99 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 846ce0b5-f99e-4df4-8803-62f82ae6f3e3) - ) - (wire (pts (xy 114.3 39.37) (xy 118.11 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 84d5cf13-52aa-4648-82e7-8be6e886a6b2) - ) - (wire (pts (xy 48.26 85.09) (xy 49.53 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 84e154cc-34e9-48ac-ab7e-fc52b3bc90d0) - ) - (wire (pts (xy 81.28 73.66) (xy 77.47 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8527ef2e-5212-4629-b6f5-b0130ab61dab) - ) - (wire (pts (xy 93.98 69.85) (xy 97.79 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 85621d90-361e-49b6-9449-b54a16cce021) - ) - (wire (pts (xy 133.35 81.28) (xy 137.16 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 858b182d-fdce-45a6-8c3a-626e9f7a9971) - ) - (wire (pts (xy 77.47 36.83) (xy 78.74 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 85d211d4-76e7-4e49-a9c8-2e1cc8ab5805) - ) - (wire (pts (xy 62.23 67.31) (xy 63.5 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 85ec87eb-bb51-43f3-adf5-d04ca264762d) - ) - (wire (pts (xy 83.82 13.97) (xy 83.82 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 86143bb0-7899-4df8-b1df-baa3c0ac7889) - ) - (wire (pts (xy 102.87 59.69) (xy 104.14 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 868b5d0d-f911-4724-9580-d9e69eb9f709) - ) - (wire (pts (xy 113.03 67.31) (xy 114.3 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 86f6faec-7eee-404c-a73a-2ae625f33d8c) - ) - (wire (pts (xy 113.03 54.61) (xy 114.3 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 87a32952-c8e5-40ba-af1d-1a8829a6c906) - ) - (wire (pts (xy 129.54 39.37) (xy 133.35 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 87f44303-a6e8-48e5-bb6d-f89abb09a999) - ) - (wire (pts (xy 41.91 62.23) (xy 43.18 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 897277a3-b7ce-4d18-8c5f-1c984a246298) - ) - (wire (pts (xy 74.93 85.09) (xy 76.2 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 89be6ff8-dff7-4df0-876d-d5989d658e36) - ) - (wire (pts (xy 121.92 16.51) (xy 121.92 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 89fb4a63-a18d-4c7e-be12-f061ef4bf0c0) - ) - (wire (pts (xy 41.91 54.61) (xy 43.18 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8aa8d47e-f495-4049-8ac9-7f2ac3205412) - ) - (wire (pts (xy 109.22 13.97) (xy 109.22 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8afe1dbf-1187-4362-8af8-a90ca839a6b3) - ) - (wire (pts (xy 73.66 87.63) (xy 74.93 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8ddee80f-a354-4a11-ae03-acb37cf50626) - ) - (wire (pts (xy 74.93 43.18) (xy 76.2 40.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8e715b73-353f-4cfc-aa33-1eac54b89b6c) - ) - (wire (pts (xy 63.5 59.69) (xy 67.31 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8e75264b-b45e-45ec-b230-7e1dce7d68b3) - ) - (wire (pts (xy 109.22 69.85) (xy 113.03 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8eacb9d3-c41d-4b39-abd1-0bc8f2e97411) - ) - (wire (pts (xy 62.23 48.26) (xy 63.5 50.8)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8ecc0874-e7f5-4102-a6b7-0222cf1fccc2) - ) - (wire (pts (xy 41.91 59.69) (xy 40.64 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8efe6411-1919-4082-b5b8-393585e068c8) - ) - (wire (pts (xy 67.31 69.85) (xy 68.58 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8f8bb641-6f96-48dd-a2de-b7e2aaf6efe0) - ) - (wire (pts (xy 77.47 52.07) (xy 78.74 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8fbab3d0-cb5e-47c7-8764-6fa3c0e4e5f7) - ) - (wire (pts (xy 96.52 16.51) (xy 96.52 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8fd0b33a-45bf-4216-9d7e-a62e1c071730) - ) - (wire (pts (xy 92.71 54.61) (xy 93.98 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 900cb6c8-1d05-4537-a4f0-9a7cc1a2ea1c) - ) - (wire (pts (xy 113.03 69.85) (xy 114.3 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 90337a8b-a8c5-48e1-ad0f-b0e67716fe3c) - ) - (wire (pts (xy 76.2 85.09) (xy 77.47 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9050328c-80d1-449f-94a8-27658961ba9d) - ) - (wire (pts (xy 119.38 52.07) (xy 123.19 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 909d0bdd-8a15-40f2-9dfd-be4a5d2d6b25) - ) - (wire (pts (xy 81.28 13.97) (xy 83.82 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 90d503cf-92b2-4120-a4b0-03a2eddde893) - ) - (wire (pts (xy 97.79 52.07) (xy 99.06 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 90f2ca05-313f-4af8-87b1-a8109224a221) - ) - (wire (pts (xy 88.9 69.85) (xy 92.71 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 914a2046-646f-4d53-b355-ce2139e25907) - ) - (wire (pts (xy 58.42 48.26) (xy 62.23 48.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 914ccec4-572a-4ec0-b281-596368eea274) - ) - (wire (pts (xy 109.22 59.69) (xy 113.03 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 926b329f-cd0d-410a-bc4a-e36446f8965a) - ) - (wire (pts (xy 133.35 52.07) (xy 134.62 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 927b1eb6-e6f4-412f-9a58-8dc81a4889a0) - ) - (wire (pts (xy 85.09 85.09) (xy 86.36 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 92822296-9b31-4c78-bfe1-2dc7c2e425bc) - ) - (wire (pts (xy 77.47 55.88) (xy 78.74 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 92d17eb0-c75d-48d9-ae9e-ea0c7f723be4) - ) - (wire (pts (xy 73.66 62.23) (xy 77.47 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 92d938cc-f8b1-437d-8914-3d97a0938f67) - ) - (wire (pts (xy 109.22 46.99) (xy 143.51 46.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 92ec60c8-e914-4456-8d37-4b88fc0eb9c6) - ) - (wire (pts (xy 82.55 77.47) (xy 90.17 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 933a17ae-06d4-4de3-aae1-d3835cc0d957) - ) - (wire (pts (xy 71.12 13.97) (xy 73.66 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 93afd2e8-e16c-4e06-b872-cf0e624aee35) - ) - (wire (pts (xy 118.11 67.31) (xy 119.38 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9475edbb-286b-4bed-b5f0-0b68a18bdc52) - ) - (wire (pts (xy 78.74 58.42) (xy 143.51 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 95aed042-4cef-4360-9184-83bbe2dcfbaa) - ) - (wire (pts (xy 129.54 16.51) (xy 132.08 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9600911d-0df3-419b-8d4a-8d1432a7daf2) - ) - (wire (pts (xy 72.39 24.13) (xy 107.95 24.13)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 96ee9b8e-4543-4639-b9ea-44b8baaaf94e) - ) - (wire (pts (xy 62.23 62.23) (xy 63.5 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 97693043-81ba-44a2-b87b-aca6193e0970) - ) - (wire (pts (xy 57.15 50.8) (xy 58.42 48.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 978f967d-6cc0-4f07-b852-e2800feefa07) - ) - (wire (pts (xy 116.84 16.51) (xy 116.84 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 97cc05bf-4ed5-449c-b0c8-131e5126a7ac) - ) - (wire (pts (xy 80.01 85.09) (xy 81.28 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 99c0b885-9395-4eaa-a204-8d7dea094883) - ) - (wire (pts (xy 107.95 36.83) (xy 109.22 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9a458d6a-a84c-4faf-913e-90bab231d3f8) - ) - (wire (pts (xy 63.5 67.31) (xy 67.31 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9ad8e352-005c-4299-8beb-56f3b58c96b7) - ) - (wire (pts (xy 57.15 39.37) (xy 58.42 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9b315454-a4a0-4952-bdbe-d4a8e96c16f9) - ) - (wire (pts (xy 59.69 87.63) (xy 60.96 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9ba85d0a-e58f-45a8-9d86-ad6c976003b7) - ) - (wire (pts (xy 62.23 39.37) (xy 63.5 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9bac5a37-2a55-41dd-96ea-ec02b69e3ef4) - ) - (wire (pts (xy 78.74 54.61) (xy 82.55 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9c2a29da-c83f-4ec8-bbcf-9d775812af04) - ) - (wire (pts (xy 124.46 54.61) (xy 128.27 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9cab0c4e-2726-433f-a46f-c25156ae2489) - ) - (wire (pts (xy 85.09 83.82) (xy 97.79 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9d2af601-5327-4706-9acb-978b65e95af5) - ) - (wire (pts (xy 99.06 52.07) (xy 102.87 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9e5fe65d-f158-4eb5-af93-2b5d0b9a0d55) - ) - (wire (pts (xy 43.18 67.31) (xy 46.99 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9ed54841-4bec-491f-817d-b7e8b25ca06c) - ) - (wire (pts (xy 138.43 81.28) (xy 142.24 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9f5c7a80-7220-432e-865b-d1468e8a8d4c) - ) - (wire (pts (xy 67.31 87.63) (xy 68.58 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9fa51663-d9ff-42d5-ab2b-c96b6768fc7a) - ) - (wire (pts (xy 53.34 67.31) (xy 57.15 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9fa58e42-4d1f-4e7f-a5a2-6fc9857446e3) - ) - (wire (pts (xy 72.39 62.23) (xy 73.66 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a04f8542-6c38-4d5c-bdbb-c8e0311a0936) - ) - (wire (pts (xy 59.69 85.09) (xy 60.96 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a067c43d-047d-48ca-a682-5bbb620e3988) - ) - (wire (pts (xy 118.11 62.23) (xy 119.38 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a08c061a-7f5b-4909-b673-0d0a59a012a3) - ) - (wire (pts (xy 71.12 16.51) (xy 71.12 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a09cb1c4-cc63-49c7-a35f-4b80c3ba2217) - ) - (wire (pts (xy 72.39 59.69) (xy 73.66 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a1701438-3c8b-4b49-8695-36ec7f9ae4d2) - ) - (wire (pts (xy 73.66 52.07) (xy 77.47 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a25ec672-f935-4d0c-ae67-7c3ebe078d85) - ) - (wire (pts (xy 128.27 54.61) (xy 129.54 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a2a33a3d-c501-4e33-b67b-7d07ef8aa4a7) - ) - (wire (pts (xy 113.03 39.37) (xy 114.3 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a2a4b1ad-c51a-492d-9e99-410eec4f55a3) - ) - (wire (pts (xy 138.43 67.31) (xy 139.7 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a2ead14b-89a8-4438-a7df-7876de28e69a) - ) - (wire (pts (xy 55.88 13.97) (xy 58.42 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a311f3c6-42e3-4584-9725-4a62ff91b6e3) - ) - (wire (pts (xy 99.06 36.83) (xy 102.87 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a353a360-a1da-42d3-a5f2-38aafc184a50) - ) - (wire (pts (xy 80.01 87.63) (xy 81.28 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a3a9b316-86eb-411d-82d0-37407c2e4142) - ) - (wire (pts (xy 99.06 16.51) (xy 101.6 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4911204-1308-4d17-90a9-1ff5f9c57c9b) - ) - (wire (pts (xy 114.3 27.94) (xy 146.05 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4971cc2-2bc0-4979-86df-10f6aaaa3b65) - ) - (wire (pts (xy 109.22 36.83) (xy 113.03 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4a80e68-9a9c-4dac-84a7-a9f3c47a0961) - ) - (wire (pts (xy 137.16 81.28) (xy 138.43 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a543a4a0-b8e2-45a4-be48-7207020a5b1f) - ) - (wire (pts (xy 48.26 87.63) (xy 49.53 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a57e46ab-4127-4b88-afea-d94b5d7bc928) - ) - (wire (pts (xy 134.62 67.31) (xy 138.43 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a6694369-d7a9-41d0-a88e-8a3c16982564) - ) - (wire (pts (xy 41.91 87.63) (xy 43.18 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a67b97a6-51fd-4a32-8231-3fd10436b6ab) - ) - (wire (pts (xy 48.26 62.23) (xy 52.07 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a7c83b25-afbd-4974-8870-387db8f81a5c) - ) - (wire (pts (xy 43.18 36.83) (xy 46.99 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a7cad282-51c3-4f24-be5e-311c2c5e959b) - ) - (wire (pts (xy 139.7 13.97) (xy 139.7 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a819bf9a-0c8b-443a-b488-e5f1395d77ad) - ) - (wire (pts (xy 113.03 52.07) (xy 114.3 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a8a389df-8d18-4e17-a74f-f60d5d77371e) - ) - (wire (pts (xy 57.15 85.09) (xy 58.42 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a9ad6ea5-8293-424c-89d4-c01baf033429) - ) - (wire (pts (xy 102.87 67.31) (xy 104.14 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a9ff0621-eacb-4187-ba89-29f236eec881) - ) - (wire (pts (xy 114.3 52.07) (xy 118.11 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aa0e7fe7-e9c2-477f-bcb2-53a1ebd9e3a6) - ) - (wire (pts (xy 77.47 85.09) (xy 78.74 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aa52a4ee-249d-4f84-a65a-9c1702b5bb75) - ) - (wire (pts (xy 128.27 39.37) (xy 129.54 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aaf0fd50-bb22-4408-be5a-88f5ba4193be) - ) - (wire (pts (xy 63.5 87.63) (xy 64.77 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ab26a42e-b7f6-4a80-b26c-c01085e448c7) - ) - (wire (pts (xy 68.58 16.51) (xy 71.12 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ab34b936-8ca5-4be1-8599-504cb86609fc) - ) - (wire (pts (xy 72.39 54.61) (xy 73.66 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid abe3c03e-744a-4406-8e50-6a10745f0c43) - ) - (wire (pts (xy 82.55 77.47) (xy 81.28 78.74)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ac0e5582-f44c-4bc2-8ae7-2c3f1115fb00) - ) - (wire (pts (xy 73.66 67.31) (xy 77.47 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ac81fb15-6f1a-451b-a962-fb87ffd26f6b) - ) - (wire (pts (xy 129.54 13.97) (xy 129.54 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ac8576da-4e00-41a0-9609-eb655e96e10b) - ) - (wire (pts (xy 63.5 66.04) (xy 77.47 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ac99d2b9-3592-44c3-94eb-e556103750a4) - ) - (wire (pts (xy 133.35 59.69) (xy 134.62 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ad09de7f-a090-4e65-951a-7cf11f73b06d) - ) - (wire (pts (xy 107.95 24.13) (xy 109.22 21.59)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ae293969-fa6d-4cb1-9969-16f8784d07e3) - ) - (wire (pts (xy 124.46 59.69) (xy 128.27 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aeae1c08-0511-41ff-896d-95b95a86eb35) - ) - (wire (pts (xy 48.26 52.07) (xy 52.07 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid af7ed34f-31b5-4744-97e9-29e5f4d85343) - ) - (wire (pts (xy 109.22 54.61) (xy 113.03 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b1240f00-ec43-4c0b-9a41-43264db8a893) - ) - (wire (pts (xy 48.26 59.69) (xy 52.07 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b1731e91-7698-42fa-ad60-5c60fdd0e1fc) - ) - (wire (pts (xy 101.6 85.09) (xy 102.87 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b20fb198-6b0b-4cab-9ba8-ea9b46e8088f) - ) - (wire (pts (xy 87.63 85.09) (xy 101.6 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b2f7301d-582c-4990-a060-4a71ef08c6eb) - ) - (wire (pts (xy 43.18 39.37) (xy 46.99 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b31ebd25-cf4c-4c3e-b83d-0ec793b65cd9) - ) - (wire (pts (xy 107.95 67.31) (xy 109.22 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b4afdd30-7a78-4cd8-8670-bb6dd787dcdc) - ) - (wire (pts (xy 92.71 52.07) (xy 93.98 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b500fd76-a613-4f44-aac4-99213e86ff44) - ) - (wire (pts (xy 93.98 13.97) (xy 93.98 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b5cea0b5-192f-476b-a3c8-0c26e2231699) - ) - (wire (pts (xy 107.95 52.07) (xy 109.22 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b5d84bc0-4d9a-4d1d-a476-5c6b51309fca) - ) - (wire (pts (xy 64.77 85.09) (xy 66.04 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b5de2bf0-583c-45d9-bc5e-15007fe3ede8) - ) - (wire (pts (xy 114.3 59.69) (xy 118.11 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b5ffe018-0d06-4a1b-95ee-b5763a35798d) - ) - (wire (pts (xy 40.64 58.42) (xy 57.15 58.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b6924901-677d-424a-a3f4-52c8dd1fa5f5) - ) - (wire (pts (xy 106.68 43.18) (xy 143.51 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b6f041a4-3ea0-418b-94a2-50c938beafa2) - ) - (wire (pts (xy 68.58 62.23) (xy 72.39 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b7dfd91c-6180-48d0-832a-f6a5a032a686) - ) - (wire (pts (xy 133.35 36.83) (xy 134.62 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b7ed4c31-5417-4fb5-9261-7dca42c1c776) - ) - (wire (pts (xy 46.99 39.37) (xy 48.26 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b8382866-f10b-4adc-84fc-f6e5dd44681b) - ) - (wire (pts (xy 49.53 17.78) (xy 107.95 17.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b83b087e-7ec9-44e7-a1c9-81d5d26bbf79) - ) - (wire (pts (xy 113.03 36.83) (xy 114.3 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b9f8b708-1745-43ec-9646-59495cbc6e07) - ) - (wire (pts (xy 40.64 46.99) (xy 77.47 46.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid baa534a0-611b-4c48-8e86-5106dc852bd8) - ) - (wire (pts (xy 134.62 39.37) (xy 138.43 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bb5e8a0f-2ed5-4c2a-91b7-cb63c4c66e15) - ) - (wire (pts (xy 109.22 21.59) (xy 148.59 21.59)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bb673c7a-d2b0-45b0-bfe2-0b113c092a77) - ) - (wire (pts (xy 88.9 13.97) (xy 88.9 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bc01f3e7-a131-4f66-8abc-cc13e855d5e5) - ) - (wire (pts (xy 93.98 52.07) (xy 97.79 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bc05cdd5-f72f-4c21-b397-0fa889871114) - ) - (wire (pts (xy 82.55 62.23) (xy 83.82 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bc204c79-0619-4b16-889d-335bfdd71ce0) - ) - (wire (pts (xy 55.88 16.51) (xy 55.88 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bcacf97a-a49b-480c-96ed-a857f56faeb2) - ) - (wire (pts (xy 78.74 67.31) (xy 82.55 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bce25bd3-0fe5-4c8f-bd6c-39e2d62ee70a) - ) - (wire (pts (xy 68.58 52.07) (xy 72.39 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bcfbc157-43ce-49f7-bd18-6a9e2f2f30a3) - ) - (wire (pts (xy 76.2 13.97) (xy 78.74 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid be118b00-015b-445a-8fc5-7bf35350fda8) - ) - (wire (pts (xy 128.27 67.31) (xy 129.54 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bf26cee8-9c9f-4547-9a40-e7028b986d1e) - ) - (wire (pts (xy 83.82 85.09) (xy 85.09 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bf3524aa-7451-4bff-a4df-53f0aa1c0aeb) - ) - (wire (pts (xy 40.64 35.56) (xy 62.23 35.56)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bf958b11-f26e-429d-9cb0-d1379a98f463) - ) - (wire (pts (xy 68.58 87.63) (xy 69.85 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bfdbfa5d-af60-4bcb-aaee-563dc6121e2f) - ) - (wire (pts (xy 45.72 87.63) (xy 46.99 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c1b73b2b-a0dd-4b0e-8d3d-c3beea420b93) - ) - (wire (pts (xy 102.87 52.07) (xy 104.14 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c1c05ce7-1c25-4382-b3b9-d3ec327783d4) - ) - (wire (pts (xy 40.64 87.63) (xy 41.91 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c1d39a30-006e-4167-9c23-81a57fa0c1bb) - ) - (wire (pts (xy 99.06 39.37) (xy 102.87 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c202ddee-78ab-4ebb-beca-559aaf118430) - ) - (wire (pts (xy 92.71 69.85) (xy 93.98 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c2079b33-906e-4c67-b0b6-7e228acc166b) - ) - (wire (pts (xy 93.98 59.69) (xy 97.79 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c2211bf7-6ed0-4800-9f21-d6a078bedba2) - ) - (wire (pts (xy 46.99 67.31) (xy 48.26 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c2e901e5-a4cd-4374-af38-0566255ecbea) - ) - (wire (pts (xy 58.42 13.97) (xy 58.42 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c38f28b6-5bd4-4cf9-b273-1e7b230f6b42) - ) - (wire (pts (xy 106.68 16.51) (xy 106.68 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c482f4f0-b441-4301-a9f1-c7f9e511d699) - ) - (wire (pts (xy 52.07 62.23) (xy 53.34 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c7db4903-f95a-49f5-bcce-c52f0ca8defc) - ) - (wire (pts (xy 48.26 39.37) (xy 52.07 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c860c4e9-3ddd-4065-857c-b9aedc01e6ad) - ) - (wire (pts (xy 109.22 39.37) (xy 113.03 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c88340d4-f51e-4560-b5d7-7144fb4e8a04) - ) - (wire (pts (xy 109.22 16.51) (xy 111.76 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c8b93f12-bc5c-4ce5-b954-377d903895f1) - ) - (wire (pts (xy 62.23 63.5) (xy 63.5 66.04)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c94b6f38-b2c7-494d-9fba-9edbdd8e122a) - ) - (wire (pts (xy 104.14 69.85) (xy 107.95 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cb0f5a26-0827-4807-aea7-55b25947b9d5) - ) - (wire (pts (xy 129.54 67.31) (xy 133.35 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cc5561df-9d20-4574-af60-64f10025a0ed) - ) - (wire (pts (xy 86.36 16.51) (xy 86.36 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cd2580a0-9e4c-4895-a13c-3b2ee33bafc4) - ) - (wire (pts (xy 73.66 54.61) (xy 77.47 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ce3f834f-337d-4957-8d02-e900d7024614) - ) - (wire (pts (xy 67.31 62.23) (xy 68.58 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ce55d4e5-cb2b-4927-9979-4a7fc840f632) - ) - (wire (pts (xy 62.23 69.85) (xy 63.5 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cebfc912-6282-4a1e-923e-74c4961c2aad) - ) - (wire (pts (xy 81.28 76.2) (xy 82.55 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cf45f134-35c0-4b31-91e7-048e45f34bf8) - ) - (wire (pts (xy 57.15 52.07) (xy 58.42 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cfcae4a3-5d05-48fe-9a5f-9dcd4da4bd65) - ) - (wire (pts (xy 123.19 69.85) (xy 124.46 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cfec88d2-05ea-4320-9be6-2559d89ee700) - ) - (wire (pts (xy 82.55 87.63) (xy 83.82 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d0060422-f68b-4ffa-bca8-6f70dc4f862d) - ) - (wire (pts (xy 128.27 69.85) (xy 129.54 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d0111086-5d68-4ab0-b707-7da6b263c90b) - ) - (wire (pts (xy 77.47 59.69) (xy 78.74 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d04eabf5-018b-4006-a739-ce16277681b7) - ) - (wire (pts (xy 41.91 39.37) (xy 43.18 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d1422f38-9fce-4f5e-878a-341530beaf9c) - ) - (wire (pts (xy 63.5 52.07) (xy 67.31 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d23840a6-3c61-45ca-968a-bc57332fd7a4) - ) - (wire (pts (xy 66.04 87.63) (xy 67.31 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d25a1e45-06d1-4c1c-9b3a-0fd8abd0bfed) - ) - (wire (pts (xy 66.04 13.97) (xy 66.04 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d26fce45-c1d6-42bc-931d-972bf3799097) - ) - (wire (pts (xy 63.5 50.8) (xy 143.51 50.8)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d316b729-072f-4d15-a495-cbeb8407aea0) - ) - (wire (pts (xy 86.36 13.97) (xy 88.9 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d337c492-7429-4618-b378-df29f72737e3) - ) - (wire (pts (xy 83.82 52.07) (xy 87.63 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d33c6077-a8ec-48ca-b0e0-97f3539ef54c) - ) - (wire (pts (xy 55.88 87.63) (xy 57.15 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d36e7ed4-f2bc-4d88-86ae-317d3c24af1a) - ) - (wire (pts (xy 114.3 69.85) (xy 118.11 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d3db736b-0e33-4126-b950-5488923df40e) - ) - (wire (pts (xy 83.82 69.85) (xy 87.63 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d433e10e-a10c-42c7-9409-f756ab1084a2) - ) - (wire (pts (xy 62.23 35.56) (xy 63.5 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d4876469-b949-49ce-b8fe-43cb458692a4) - ) - (wire (pts (xy 119.38 16.51) (xy 121.92 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d554632b-6dd0-47f8-b59b-3ce25177ca3e) - ) - (wire (pts (xy 41.91 39.37) (xy 40.64 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d5b0938b-9efb-4b58-8ac4-d92da9ed2e30) - ) - (wire (pts (xy 60.96 16.51) (xy 60.96 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d5c86a84-6c8b-48b5-b583-2fe7052421ab) - ) - (wire (pts (xy 118.11 29.21) (xy 119.38 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d68589fa-205b-4356-a20d-821c85f5f45e) - ) - (wire (pts (xy 134.62 13.97) (xy 134.62 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d70bfdec-de0f-45e5-9452-2cd5d12b83b9) - ) - (wire (pts (xy 111.76 13.97) (xy 114.3 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d7df1f01-3f56-437b-a452-e88ad90a9805) - ) - (wire (pts (xy 129.54 62.23) (xy 133.35 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d8d71ad3-6fd1-4a98-9c1f-70c4fbf3d1d1) - ) - (wire (pts (xy 119.38 62.23) (xy 123.19 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d8f24303-7e52-49a9-9e82-8d60c3aaa009) - ) - (wire (pts (xy 123.19 36.83) (xy 124.46 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d9198b20-68ab-4f03-9039-95a74aeba0d6) - ) - (wire (pts (xy 107.95 44.45) (xy 109.22 46.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d9ad01c4-9416-4b1f-8447-afc1d446fa8a) - ) - (wire (pts (xy 119.38 67.31) (xy 123.19 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid da7e6488-201f-4286-b86a-ca5aced3697a) - ) - (wire (pts (xy 68.58 59.69) (xy 72.39 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dbbbcbf5-ed09-4c20-902c-70f108158aba) - ) - (wire (pts (xy 53.34 87.63) (xy 54.61 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dbd87a35-3166-440e-a8f0-c71d214a12a6) - ) - (wire (pts (xy 124.46 36.83) (xy 128.27 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dbfb14d7-1f97-4dd2-9004-1d129d3b4221) - ) - (wire (pts (xy 53.34 69.85) (xy 57.15 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dc0df782-a446-4364-8dc7-0190637b5f77) - ) - (wire (pts (xy 43.18 16.51) (xy 43.18 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dd01ca49-c8a2-4580-af9a-2e9bce9769bc) - ) - (wire (pts (xy 73.66 16.51) (xy 76.2 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dd3da890-32ef-4a5a-aea4-e5d2141f1ff1) - ) - (wire (pts (xy 78.74 69.85) (xy 82.55 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dd4f23cd-8f89-457c-8b93-3828f8c20a8d) - ) - (wire (pts (xy 48.26 13.97) (xy 45.72 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dd5f7736-b8aa-44f2-a044-e514d63d48f3) - ) - (wire (pts (xy 114.3 36.83) (xy 118.11 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid de2abbd8-9b48-47ba-b77e-4c65ca048af6) - ) - (wire (pts (xy 88.9 54.61) (xy 92.71 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid de588ed9-a530-46f0-aa03-e0307ff72286) - ) - (wire (pts (xy 72.39 39.37) (xy 73.66 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid de5c2064-b9e1-4057-a8cc-9308019ef4d3) - ) - (wire (pts (xy 60.96 85.09) (xy 62.23 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid df1435bb-8018-455d-9925-63e774164119) - ) - (wire (pts (xy 88.9 62.23) (xy 92.71 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid dfba7148-cad3-4f40-9835-b1394bd30a2c) - ) - (wire (pts (xy 91.44 16.51) (xy 91.44 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e002a979-85bc-451a-a77b-29ce2a8f19f9) - ) - (wire (pts (xy 57.15 69.85) (xy 58.42 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e0692317-3143-4681-97c6-8fbe46592f31) - ) - (wire (pts (xy 83.82 39.37) (xy 87.63 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e0781b80-6f1b-4d08-b53f-b7d3f582e2ea) - ) - (wire (pts (xy 53.34 54.61) (xy 57.15 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e1c71a89-4e45-4a56-a6ef-342af5f92d5c) - ) - (wire (pts (xy 104.14 16.51) (xy 106.68 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e1fe6230-75c5-4750-aaea-24a9b80589d8) - ) - (wire (pts (xy 53.34 52.07) (xy 57.15 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e20929e2-2c15-4a75-b1ed-9caa9bd27df7) - ) - (wire (pts (xy 78.74 87.63) (xy 80.01 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e2349eb5-0f2d-4c2a-b154-1cfe1ab9cd91) - ) - (wire (pts (xy 139.7 16.51) (xy 142.24 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e29e8d7d-cee8-47d4-8444-1d7032daf03c) - ) - (wire (pts (xy 72.39 69.85) (xy 73.66 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e2df2a45-3811-4210-89e0-9a66f3cb9430) - ) - (wire (pts (xy 83.82 87.63) (xy 85.09 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e315fb88-f764-4ec7-a92b-006692d5e26f) - ) - (wire (pts (xy 101.6 87.63) (xy 102.87 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e3903eeb-8b72-4b40-a088-cbbba270c01b) - ) - (wire (pts (xy 93.98 36.83) (xy 97.79 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e463ba2a-1cbc-4995-82d8-59710b3fcd2f) - ) - (wire (pts (xy 87.63 69.85) (xy 88.9 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e4d60aa0-829b-452e-a0b4-f0b282cbe2f3) - ) - (wire (pts (xy 102.87 39.37) (xy 104.14 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e5889358-36b5-4652-9d71-4d4aa652a144) - ) - (wire (pts (xy 53.34 27.94) (xy 54.61 25.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e6235600-87cc-4c82-b15f-34fb66b9bf0e) - ) - (wire (pts (xy 46.99 62.23) (xy 48.26 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e6bf257d-5112-423c-b70a-adf8446f29da) - ) - (wire (pts (xy 124.46 39.37) (xy 128.27 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e6cd2cdd-d49b-4491-8a15-4c46254b5c0a) - ) - (wire (pts (xy 114.3 16.51) (xy 116.84 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e6e468d8-2bb7-49d5-a4d0-fde0f6bbe8c6) - ) - (wire (pts (xy 40.64 27.94) (xy 52.07 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e73ef891-c9f9-42ab-894b-b2580ee0b0a1) - ) - (wire (pts (xy 41.91 67.31) (xy 40.64 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e75a90f1-d275-4ca6-86ea-4b6dddffab59) - ) - (wire (pts (xy 78.74 13.97) (xy 78.74 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e8312cc4-6502-4783-b578-55c01e0393af) - ) - (wire (pts (xy 63.5 85.09) (xy 64.77 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e8558fbd-ea42-43a6-966a-7bd304bdfaad) - ) - (wire (pts (xy 67.31 85.09) (xy 68.58 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e8a49c58-e69f-4870-ab15-e73f66a8d02b) - ) - (wire (pts (xy 52.07 54.61) (xy 53.34 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e8e598ff-c991-433d-8dd6-c9fce2fe1eaa) - ) - (wire (pts (xy 138.43 59.69) (xy 139.7 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ea8efd53-9e19-4e37-86f5-e6c0c681f735) - ) - (wire (pts (xy 76.2 74.93) (xy 77.47 73.66)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid eac540a2-0555-4530-b9cb-9b037a65c0a7) - ) - (wire (pts (xy 52.07 27.94) (xy 53.34 25.4)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ec1ade12-3e4c-4517-be56-01c5cfbeed11) - ) - (wire (pts (xy 52.07 39.37) (xy 53.34 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ed1f5df2-cfb6-4083-a9e5-5d196546ef9b) - ) - (wire (pts (xy 107.95 59.69) (xy 109.22 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ed247857-b2a3-4b23-90ad-758c01ae5e8e) - ) - (wire (pts (xy 83.82 59.69) (xy 87.63 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ed612f6d-67c1-4198-976d-84139f8d99bc) - ) - (wire (pts (xy 73.66 85.09) (xy 74.93 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ed76cb21-0b5e-4ca2-8075-7e28e38e7199) - ) - (wire (pts (xy 73.66 39.37) (xy 77.47 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ed9596e5-f4f2-4fc2-bb34-16ad21b3b120) - ) - (wire (pts (xy 77.47 46.99) (xy 78.74 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid edb2db40-12f7-45b3-a514-2a1299ac0231) - ) - (wire (pts (xy 62.23 87.63) (xy 63.5 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ee3188d0-94cf-4bcc-9f57-e516684fc142) - ) - (wire (pts (xy 123.19 59.69) (xy 124.46 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ee9a2826-2513-480e-a552-3d07af5bf8a5) - ) - (wire (pts (xy 43.18 87.63) (xy 44.45 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid eecd895d-4aa1-458c-8512-c9957fd00fad) - ) - (wire (pts (xy 101.6 13.97) (xy 104.14 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ef3a2f4c-5879-4e98-ad30-6b8614410fba) - ) - (wire (pts (xy 138.43 62.23) (xy 139.7 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f11a78b7-152e-46cf-81d1-bc8194db05a9) - ) - (wire (pts (xy 43.18 62.23) (xy 46.99 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f1c2e9b0-6f9f-485b-b482-d408df476d0f) - ) - (wire (pts (xy 104.14 59.69) (xy 107.95 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f2044410-03ac-4994-9652-9e5f480320f0) - ) - (wire (pts (xy 139.7 39.37) (xy 143.51 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f205e125-3760-485b-b76a-dc2502dc5679) - ) - (wire (pts (xy 99.06 13.97) (xy 99.06 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f240e733-157e-4a15-812f-78f42d8a8322) - ) - (wire (pts (xy 62.23 54.61) (xy 63.5 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f2c43eeb-76da-49f4-b8e6-cd74ebb3190b) - ) - (wire (pts (xy 113.03 59.69) (xy 114.3 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f321809c-ab7a-4356-9b11-4c0d46c421ba) - ) - (wire (pts (xy 134.62 54.61) (xy 138.43 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f364b99f-4502-4cba-a96d-4ed35ad108b5) - ) - (wire (pts (xy 139.7 59.69) (xy 143.51 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f413d088-6fb9-4a8a-88fd-666ff68b7fdf) - ) - (wire (pts (xy 107.95 69.85) (xy 109.22 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f46fb303-7470-41c0-b6e8-4553c1d6503f) - ) - (wire (pts (xy 87.63 59.69) (xy 88.9 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f565cf54-67ba-4424-8d47-087433645499) - ) - (wire (pts (xy 134.62 36.83) (xy 138.43 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f58fca4c-73af-416f-b236-f3bb62b8fd00) - ) - (wire (pts (xy 109.22 62.23) (xy 113.03 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f5a3f95b-1a53-41b4-b208-bf168c9d9c6d) - ) - (wire (pts (xy 138.43 36.83) (xy 139.7 39.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f60d71f9-9a8e-4a62-960d-f7b9664aea76) - ) - (wire (pts (xy 66.04 85.09) (xy 67.31 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f61adca3-c1e4-457e-8212-9dc978cabab5) - ) - (wire (pts (xy 137.16 16.51) (xy 137.16 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f66bb685-9833-454c-bf31-b96598f50347) - ) - (wire (pts (xy 128.27 52.07) (xy 129.54 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f6a5cab3-78e5-4acf-8c67-f401df2846d0) - ) - (wire (pts (xy 63.5 69.85) (xy 67.31 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f7475c2a-e91e-435c-bec2-3307ef3e1f94) - ) - (wire (pts (xy 104.14 62.23) (xy 107.95 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f7758f2a-e5c9-405c-960a-353b36eaf72d) - ) - (wire (pts (xy 62.23 52.07) (xy 63.5 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f87a4771-a0a7-489f-9d85-4574dbea71cc) - ) - (wire (pts (xy 58.42 62.23) (xy 62.23 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f8a90052-1a8b-4ce5-a1fd-87db944dceac) - ) - (wire (pts (xy 124.46 69.85) (xy 128.27 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f8db64f8-1695-46e3-9667-49f16b5c734b) - ) - (wire (pts (xy 41.91 67.31) (xy 43.18 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f8e9fc00-8f60-4688-b1c9-6de1e4c0c204) - ) - (wire (pts (xy 81.28 73.66) (xy 82.55 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fa574bf3-ac2e-449d-91be-bcb1e35bdaba) - ) - (wire (pts (xy 77.47 62.23) (xy 78.74 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fab985e9-e679-4dd8-a59c-e3195d08506a) - ) - (wire (pts (xy 48.26 54.61) (xy 52.07 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fb126c26-740a-4781-a5dd-5ef5455e4878) - ) - (wire (pts (xy 40.64 85.09) (xy 41.91 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fc052ac4-77ec-4901-baf8-c95f94903836) - ) - (wire (pts (xy 96.52 13.97) (xy 99.06 13.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fc13962a-a464-4fa2-b9a6-4c26667104ee) - ) - (wire (pts (xy 118.11 59.69) (xy 119.38 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fcb4f52a-a6cb-4ca0-970a-4c8a2c0f3942) - ) - (wire (pts (xy 41.91 36.83) (xy 40.64 36.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fd146ca2-8fb8-4c71-9277-84f69bc5d3fc) - ) - (wire (pts (xy 88.9 16.51) (xy 91.44 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fd34aa56-ded2-4e97-965a-a39457716f0c) - ) - (wire (pts (xy 68.58 85.09) (xy 69.85 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fd693e1b-ee8d-4a26-aae0-561ba4b09a82) - ) - (wire (pts (xy 124.46 13.97) (xy 124.46 16.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe1ad3bd-92cc-4e1c-8cc9-a77278095945) - ) - (wire (pts (xy 68.58 67.31) (xy 72.39 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe1c93f4-4468-424b-a088-27aef08b62b4) - ) - (wire (pts (xy 53.34 62.23) (xy 57.15 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe4068b9-89da-4c59-ba51-b5949772f5d8) - ) - (wire (pts (xy 114.3 54.61) (xy 118.11 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe431a80-868e-482d-aa91-c96eb8387d6a) - ) - (wire (pts (xy 107.95 54.61) (xy 109.22 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fe9bdc33-eab1-4bdc-9603-57decb38d2a2) - ) - (wire (pts (xy 55.88 85.09) (xy 57.15 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff203a9b-3d2e-4e1d-a6f0-12d16e5120fb) - ) - (wire (pts (xy 97.79 62.23) (xy 99.06 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ffb86135-b43f-4a42-9aa6-73aa7ba972a9) - ) - - (text "NOP" (at 133.35 83.82 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 00627221-b0fd-448e-b5a6-250d249697c2) - ) - (text "PHI0r2" (at 36.83 31.75 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 02b1295e-cf95-47ff-9c57-f8ada28f2e94) - ) - (text "NOP" (at 109.22 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 03d57b22-a0ad-4d3d-9d1c-5573371e6c2f) - ) - (text "PHI0r1" (at 36.83 27.94 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 064853d1-fee5-4dc2-a187-8cbdd26d3919) - ) - (text "NOP" (at 78.74 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0b43a8fb-b3d3-4444-a4b0-cf952c07dcfe) - ) - (text "NOP" (at 124.46 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 0d7333ca-0587-43cb-9af7-f59016c85820) - ) - (text "0" (at 44.45 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1020b588-7eb0-4b70-bbff-c77a867c3142) - ) - (text "CKE (wr)" (at 36.83 66.04 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 121b7b08-bed9-441b-b060-efed31f37089) - ) - (text "CMD (wr)" (at 36.83 69.85 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 14a3cbec-b1b9-4736-8e00-ba5be98954ab) - ) - (text "3" (at 69.85 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 18e95a1d-9d1d-4b93-8e4c-2d03c344acc0) - ) - (text "5" (at 80.01 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1a734ace-0cd0-489a-9380-915322ff12bd) - ) - (text "DEVSELr2" (at 36.83 46.99 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 1ba3e338-9465-4844-8361-6715d7885c15) - ) - (text "NOP" (at 109.22 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 1d1a7683-c090-4798-9b40-7ed0d9f3ce3b) - ) - (text "NOP" (at 83.82 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 207932d1-3fbf-4bd3-8ef6-a6601aaaae72) - ) - (text "PHI0" (at 36.83 20.32 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 29cd9e70-9b68-44f7-96b2-fe993c246832) - ) - (text "NOP" (at 58.42 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2ba21493-929b-4122-ac0f-7aeaf8602cef) - ) - (text "CMD (rd)" (at 36.83 62.23 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 2bbd6c26-4114-4518-8f4a-c6fdadc046b6) - ) - (text "9" (at 100.33 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 31b8e579-7afa-4dee-9f20-b2fefaae3c16) - ) - (text "NOP" (at 88.9 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 3273ec61-4a33-41c2-82bf-cde7c8587c1b) - ) - (text "ROMSPC <= A[15:12]==4’hC && A[11:8]!=4’h0 (use ROMSPCr2)\nRAMSPC <= A[15:12]==4’hC && A[11:8]==4’h0 && A[7] && A[7:0]!=4’h8 (use RAMSPCr2)" - (at 40.64 95.25 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 33064f56-88c0-44a1-ac52-96957fe5ad49) - ) - (text "DEVSELr1\n" (at 36.83 43.18 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3675ad1a-972f-4046-b23a-e6ca04304035) - ) - (text "NOP" (at 48.26 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 39614f9f-2df5-492b-a093-45b7a48e295d) - ) - (text "NOP" (at 104.14 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4198eb99-d244-457e-8768-395280df1a66) - ) - (text "RD" (at 36.83 80.01 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 4208e41d-1d0a-40b9-bf94-fcbeb6562f9d) - ) - (text "NOP" (at 43.18 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 44a8a96b-3053-4222-9241-aa484f5ebe13) - ) - (text "2" (at 64.77 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 44e993be-f2df-4e61-a598-dfd6e106a208) - ) - (text "NOP" (at 139.7 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4625ef31-ba9f-4b3e-8ebc-93b4658ad74a) - ) - (text "AREF" (at 138.43 83.82 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 47890384-6eaa-420c-b9ae-e68a6a7f17b5) - ) - (text "CKE (rd)" (at 36.83 58.42 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 4e7a230a-c1a4-4455-81ee-277835acf4a2) - ) - (text "4" (at 74.93 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 4fc3183f-297c-42b7-b3bd-25a9ea18c844) - ) - (text "NOP" (at 63.5 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 56b53988-7c92-40d8-a754-683f4429d93e) - ) - (text "NOP" (at 104.14 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 56bbedad-6259-4443-b321-0ffa1f89c336) - ) - (text "PD" (at 36.83 83.82 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 578f33ff-8d12-4136-bb61-e55b7655fa5b) - ) - (text "0" (at 49.53 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5bb32dcb-8a97-4374-8a16-bc17822d4db3) - ) - (text "0" (at 120.65 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5dffd1d6-faf9-418e-b9a0-84fb6b6b4454) - ) - (text "NOP" (at 78.74 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 5f059fcf-8990-4db3-9058-7f232d9600e1) - ) - (text "PHI0r3" (at 36.83 35.56 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 5fc4054a-b929-433e-a947-747fb7ed003d) - ) - (text "NOP" (at 73.66 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 60960af7-b938-44a8-82b5-e9c36f2e6817) - ) - (text "CKE (idle)" (at 36.83 50.8 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 617498ce-8469-4f4b-9f2b-09a2437561eb) - ) - (text "0" (at 140.97 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 624c6565-c4fd-4d29-87af-f77dd1ba0898) - ) - (text "8" (at 95.25 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6540157e-dd56-419f-8e12-b9f763e7e5a8) - ) - (text "NOP" (at 129.54 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6999550c-f78a-4aae-9243-1b3881f5bb3b) - ) - (text "NOP" (at 119.38 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6a1ae8ee-dea6-4015-b83e-baf8fcdfaf0f) - ) - (text "NOP" (at 99.06 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6d1e2df9-cc89-4e18-a541-699f0d20dd45) - ) - (text "S" (at 36.83 39.37 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 6df433d7-73cd-4877-8d2e-047853b9077c) - ) - (text "NOP" (at 73.66 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6f3f676d-a47a-4e8c-8d6e-02275a3490d7) - ) - (text "NOP" (at 129.54 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7043f61a-4f1e-4cab-9031-a6449e41a893) - ) - (text "C25M" (at 36.83 16.51 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 7114de55-86d9-46c1-a412-07f5eb895435) - ) - (text "1" (at 59.69 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7684f860-395c-40b3-8cc0-a644dcdbc220) - ) - (text "NOP" (at 93.98 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 792ace59-9f73-49b7-92df-01568ab2b00b) - ) - (text "NOP" (at 99.06 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7c1dbd41-291a-4aad-bf3b-16497f84df7b) - ) - (text "NOP" (at 114.3 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 7e90deb5-aef9-4d2b-a440-4cb0dbfaaa93) - ) - (text "NOP" (at 58.42 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 80b9a57f-3326-43ca-b6ca-5e911992b3c4) - ) - (text "ACT" (at 63.5 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 81ab7ed7-7160-4650-b711-4daa2902dc8b) - ) - (text "ACT" (at 88.9 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 82941cb3-7e8d-4836-8b43-647cd4390ab6) - ) - (text "NOP" (at 114.3 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 830aee7f-dfce-42cd-85ef-6370f6dc02f5) - ) - (text "NOP" (at 43.18 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 835d4ac3-3fb1-48d9-8c28-6093fe917376) - ) - (text "NOP" (at 104.14 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 848901d5-fdee-4920-a04d-fbc03c912e79) - ) - (text "NOP" (at 58.42 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 872313a4-03e6-4e4a-b850-f54dcb50f9fc) - ) - (text "NOP" (at 124.46 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 895d5ca3-0e9a-421e-88ea-3017edd2db62) - ) - (text "NOP" (at 83.82 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 905b154b-e92b-469d-b2e2-340d67daddb7) - ) - (text "NOP" (at 53.34 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 92574e8a-729f-48de-afcb-97b4f5e826f8) - ) - (text "0" (at 110.49 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 934c5f28-c928-4621-8122-b999b3ed10dd) - ) - (text "NOP" (at 68.58 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 9404ce4c-2ce6-4f88-8062-13577800d257) - ) - (text "NOP" (at 68.58 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a16dbf15-8f5b-4766-b048-90ba89efcc02) - ) - (text "0" (at 105.41 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a1d977e9-aa2c-4b7a-b2e3-8ff3b816e1f2) - ) - (text "NOP" (at 119.38 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a43f2e19-4e11-4e86-a12a-58a691d6df28) - ) - (text "NOP" (at 109.22 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a46a2b22-69cf-45fb-b1d2-32ac89bbd3c8) - ) - (text "RD" (at 68.58 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a6dd3322-fcf5-4e4f-88bb-77a3d82a4d05) - ) - (text "NOP" (at 48.26 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid a86cc026-cc17-4a81-85bf-4c26f61b9f32) - ) - (text "NOP" (at 129.54 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid aae29862-3850-48eb-b7a8-38a62a8029dd) - ) - (text "0" (at 130.81 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid acd72527-a657-482d-a530-89a1347375fc) - ) - (text "0" (at 135.89 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid acfcaba7-a8b8-4c21-a793-d3e0373f34dc) - ) - (text "NOP" (at 119.38 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid aeaaa120-9cc5-4520-9a70-067fbc8f5b7b) - ) - (text "NOP" (at 139.7 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b14aea3f-7e9b-4416-ac0e-1c7beb3cd27c) - ) - (text "NOP" (at 88.9 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid b4fbe1fb-a9a3-4020-9a82-d3fa1900cd85) - ) - (text "~{DEVSEL}" (at 36.83 24.13 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid bab3431c-ede6-417b-8033-763748a11a9f) - ) - (text "0" (at 125.73 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid bbb99edd-f016-43ea-b1c7-0bcdd1915ee8) - ) - (text "NOP" (at 99.06 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c0c62e93-8e84-4f2b-96ae-e90b55e0550a) - ) - (text "6" (at 85.09 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c11e04e4-f63f-46b9-9a9c-9c7df49e614a) - ) - (text "SD" (at 36.83 76.2 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid c2564ecf-bd43-431d-b9a2-c7be54487485) - ) - (text "NOP" (at 78.74 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ca2c5f3f-362b-4808-b8c2-86726d31aa11) - ) - (text "NOP" (at 134.62 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid cce1404b-fc30-47cc-b852-e0061990f2bb) - ) - (text "MD" (at 36.83 87.63 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid d1f81642-eb3a-4277-b357-9cbb5a3aa5ac) - ) - (text "7" (at 90.17 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d3dd0ba2-2496-4e95-8d54-12ee57bcbce2) - ) - (text "NOP" (at 73.66 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d799aac7-79c2-4447-bfa3-8eb302b60af7) - ) - (text "0" (at 54.61 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid d91b4df3-08ca-4c95-92de-3004566cf2e7) - ) - (text "NOP" (at 43.18 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid de438bc3-2eba-4b9f-95e9-35ce5db157f6) - ) - (text "NOP" (at 134.62 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid df3e0d78-29b1-4811-9600-571610f4b8a8) - ) - (text "WR" (at 93.98 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid e62e65e6-b466-4769-8746-eb8cd9450c76) - ) - (text "NOP" (at 114.3 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid eb83440d-aa8b-4a1e-9e93-00cf0de78de9) - ) - (text "NOP" (at 53.34 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ebadfd51-5a1d-4821-b341-8a1acb4abb01) - ) - (text "NOP" (at 139.7 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ec13b96e-bc69-4de2-80ef-a515cc44afb5) - ) - (text "NOP" (at 93.98 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ef400389-7e37-4c93-8647-76318089d59f) - ) - (text "NOP" (at 134.62 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f1128c56-7c01-4d79-834b-ceab4dc35180) - ) - (text "NOP" (at 53.34 69.85 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f2a44eaf-666f-422c-bb4d-a717499c3d1a) - ) - (text "0" (at 115.57 39.37 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f7c5fcef-379b-481f-a910-961b8aba9e9d) - ) - (text "Information here may be out of date,\nsuperseded by ./cpld/GR8RAM.v" - (at 12.7 201.93 0) - (effects (font (size 5.08 5.08)) (justify left bottom)) - (uuid f879c0e8-5893-4eb4-8e59-2292a632100f) - ) - (text "NOP" (at 83.82 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f8e92727-5789-4ef6-9dc3-be888ad72e45) - ) - (text "NOP" (at 63.5 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid f931f973-5615-451c-bb04-9a02aede6e6f) - ) - (text "CMD (idle)" (at 36.83 54.61 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid faa605d9-8c1c-4d31-b7c1-3dc31a22eb34) - ) - (text "NOP" (at 48.26 62.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fc12372f-6e31-40f9-8043-b00b861f0171) - ) - (text "NOP" (at 124.46 54.61 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fc329e60-968a-4f61-ba77-53d29ff8c1c7) - ) -) diff --git a/Documentation/Assembly.html b/Documentation/Assembly.html deleted file mode 100644 index ee76622..0000000 --- a/Documentation/Assembly.html +++ /dev/null @@ -1,4345 +0,0 @@ - - - - - - - Interactive BOM for KiCAD - - - - - - -
-
-
- -
- - - -
-
- - - -
-
- - - -
- - -
-
- - - - - - - - - - - -
- Title - - Revision -
- Company - - Date -
-
-
-
-
-
- - -
- -
-
-
- - - - - -
-
-
-
-
- - - - -
-
-
-
- - - - -
-
-
-
-
- - - - diff --git a/Documentation/FrontIsom.png b/Documentation/FrontIsom.png deleted file mode 100644 index e243e88..0000000 Binary files a/Documentation/FrontIsom.png and /dev/null differ diff --git a/Documentation/FrontIsomTransparent.png b/Documentation/FrontIsomTransparent.png deleted file mode 100644 index 74bbae7..0000000 Binary files a/Documentation/FrontIsomTransparent.png and /dev/null differ diff --git a/Documentation/Initialization Sequence b/Documentation/Initialization Sequence deleted file mode 100644 index 345e443..0000000 --- a/Documentation/Initialization Sequence +++ /dev/null @@ -1,13 +0,0 @@ -Init sequence - -LS SDRAM Flash IS -------------------------------------------------------------------- -$0000-$1FCE Nothing Nothing 0 -$1FCF Init: Precharge Nothing 1 -$1FD0-$1FFA Init: AREF Pause SPI Select 4 -$1FFB Init: AREF Pause Dual Read (0x3B) 5 -$1FFC Init: AREF Pause A[23:16] (0) 5 -$1FFD Init: AREF Pause A[15:08] (FW in 14:13) 5 -$1FFE Init: AREF Pause A[07:00] (0) 5 -$1FFF Init: AREF Pause Dummy 5 -$2000-$3FFF Init: Write ROM Shift MISO into WRD 6 diff --git a/Documentation/Placement.pdf b/Documentation/Placement.pdf deleted file mode 100644 index 542d20b..0000000 Binary files a/Documentation/Placement.pdf and /dev/null differ diff --git a/Documentation/Schematic.pdf b/Documentation/Schematic.pdf deleted file mode 100644 index 8814676..0000000 Binary files a/Documentation/Schematic.pdf and /dev/null differ diff --git a/GR8RAM.4205A-gerber.zip b/GR8RAM.4205A-gerber.zip deleted file mode 100644 index fa8c8fc..0000000 Binary files a/GR8RAM.4205A-gerber.zip and /dev/null differ diff --git a/GR8RAM.kicad_pcb b/GR8RAM.kicad_pcb deleted file mode 100644 index 66ca9ed..0000000 --- a/GR8RAM.kicad_pcb +++ /dev/null @@ -1,101878 +0,0 @@ -(kicad_pcb (version 20211014) (generator pcbnew) - - (general - (thickness 1.6) - ) - - (paper "A4") - (title_block - (title "GR8RAM (GW4205A)") - (date "2021-04-20") - (rev "1.0") - (company "Garrett's Workshop") - ) - - (layers - (0 "F.Cu" signal) - (1 "In1.Cu" power) - (2 "In2.Cu" power) - (31 "B.Cu" signal) - (32 "B.Adhes" user "B.Adhesive") - (33 "F.Adhes" user "F.Adhesive") - (34 "B.Paste" user) - (35 "F.Paste" user) - (36 "B.SilkS" user "B.Silkscreen") - (37 "F.SilkS" user "F.Silkscreen") - (38 "B.Mask" user) - (39 "F.Mask" user) - (40 "Dwgs.User" user "User.Drawings") - (41 "Cmts.User" user "User.Comments") - (42 "Eco1.User" user "User.Eco1") - (43 "Eco2.User" user "User.Eco2") - (44 "Edge.Cuts" user) - (45 "Margin" user) - (46 "B.CrtYd" user "B.Courtyard") - (47 "F.CrtYd" user "F.Courtyard") - (48 "B.Fab" user) - (49 "F.Fab" user) - ) - - (setup - (pad_to_mask_clearance 0.0762) - (solder_mask_min_width 0.127) - (pad_to_paste_clearance -0.0381) - (pcbplotparams - (layerselection 0x00010f8_ffffffff) - (disableapertmacros false) - (usegerberextensions true) - (usegerberattributes false) - (usegerberadvancedattributes false) - (creategerberjobfile false) - (svguseinch false) - (svgprecision 6) - (excludeedgelayer true) - (plotframeref false) - (viasonmask false) - (mode 1) - (useauxorigin false) - (hpglpennumber 1) - (hpglpenspeed 20) - (hpglpendiameter 15.000000) - (dxfpolygonmode true) - (dxfimperialunits true) - (dxfusepcbnewfont true) - (psnegative false) - (psa4output false) - (plotreference true) - (plotvalue true) - (plotinvisibletext false) - (sketchpadsonfab false) - (subtractmaskfromsilk true) - (outputformat 1) - (mirror false) - (drillshape 0) - (scaleselection 1) - (outputdirectory "gerber/") - ) - ) - - (net 0 "") - (net 1 "+5V") - (net 2 "GND") - (net 3 "/A4") - (net 4 "/D7") - (net 5 "/D6") - (net 6 "/A8") - (net 7 "/A7") - (net 8 "/A6") - (net 9 "/A5") - (net 10 "/A3") - (net 11 "/A2") - (net 12 "/A1") - (net 13 "/A0") - (net 14 "/A9") - (net 15 "/D1") - (net 16 "/D5") - (net 17 "/D0") - (net 18 "/D2") - (net 19 "/D3") - (net 20 "/D4") - (net 21 "/A10") - (net 22 "+12V") - (net 23 "-12V") - (net 24 "-5V") - (net 25 "/~{IOSEL}") - (net 26 "/A11") - (net 27 "/A12") - (net 28 "/A13") - (net 29 "/A14") - (net 30 "/A15") - (net 31 "/R~{W}") - (net 32 "/~{IOSTRB}") - (net 33 "/~{NMI}") - (net 34 "/~{IRQ}") - (net 35 "/~{RES}") - (net 36 "/~{INH}") - (net 37 "/COLORREF") - (net 38 "/7M") - (net 39 "/Q3") - (net 40 "/PHI1") - (net 41 "/USER1") - (net 42 "/PHI0") - (net 43 "/~{DEVSEL}") - (net 44 "/INTin") - (net 45 "/DMAin") - (net 46 "/TCK") - (net 47 "/TDO") - (net 48 "/TMS") - (net 49 "Net-(J2-Pad6)") - (net 50 "Net-(J2-Pad7)") - (net 51 "Net-(J2-Pad8)") - (net 52 "/TDI") - (net 53 "/RA0") - (net 54 "/RA1") - (net 55 "/RA2") - (net 56 "/RA3") - (net 57 "/RA4") - (net 58 "/RA5") - (net 59 "/RA6") - (net 60 "/RA7") - (net 61 "/RA8") - (net 62 "/RA9") - (net 63 "/RA10") - (net 64 "/RD0") - (net 65 "/RD1") - (net 66 "/RD2") - (net 67 "/RD3") - (net 68 "/RD4") - (net 69 "/RD5") - (net 70 "/RD6") - (net 71 "/RD7") - (net 72 "/VIDSYNC") - (net 73 "+3V3") - (net 74 "/~{DMA}") - (net 75 "/R~{RES}") - (net 76 "/R~{IOSTRB}") - (net 77 "/R~{DEVSEL}") - (net 78 "/R~{IOSEL}") - (net 79 "/RA11") - (net 80 "/RA12") - (net 81 "/RA13") - (net 82 "/RA14") - (net 83 "/RA15") - (net 84 "/Ddir") - (net 85 "Net-(U13-Pad3)") - (net 86 "/ACLK") - (net 87 "/RCLK") - (net 88 "/Dr0") - (net 89 "/Dr2") - (net 90 "/Dr1") - (net 91 "/Dr3") - (net 92 "/Dr7") - (net 93 "/Dr5") - (net 94 "/Dr6") - (net 95 "/Dr4") - (net 96 "/SD0") - (net 97 "/SD1") - (net 98 "/SD3") - (net 99 "/SD2") - (net 100 "/SD6") - (net 101 "/SD7") - (net 102 "/SD5") - (net 103 "/SD4") - (net 104 "/SDQML") - (net 105 "/S~{WE}") - (net 106 "/S~{CAS}") - (net 107 "/S~{RAS}") - (net 108 "/S~{CS}") - (net 109 "/SA0") - (net 110 "/SA3") - (net 111 "/SA4") - (net 112 "/SA6") - (net 113 "/SCKE") - (net 114 "/SDQMH") - (net 115 "/RR~{W}in") - (net 116 "Net-(RN1-Pad6)") - (net 117 "Net-(RN1-Pad7)") - (net 118 "Net-(RN1-Pad1)") - (net 119 "Net-(RN1-Pad2)") - (net 120 "Net-(RN1-Pad3)") - (net 121 "/~{RDY}") - (net 122 "Net-(R22-Pad2)") - (net 123 "/SA12") - (net 124 "/SBA0") - (net 125 "/SA11") - (net 126 "/SBA1") - (net 127 "/SA9") - (net 128 "/SA10") - (net 129 "/SA8") - (net 130 "/SA7") - (net 131 "/SA1") - (net 132 "/SA2") - (net 133 "/SA5") - (net 134 "/MISO") - (net 135 "/MOSI") - (net 136 "/F~{CS}") - (net 137 "/FCK") - (net 138 "/RES~{OE}") - (net 139 "/FD2") - (net 140 "/FD3") - (net 141 "Net-(J5-Pad10)") - (net 142 "Net-(J5-Pad9)") - (net 143 "Net-(J4-Pad6)") - (net 144 "Net-(J4-Pad7)") - (net 145 "Net-(J4-Pad8)") - (net 146 "Net-(RN5-Pad2)") - (net 147 "Net-(RN5-Pad3)") - (net 148 "/RPHI0") - (net 149 "Net-(J5-Pad6)") - (net 150 "Net-(U1-Pad49)") - (net 151 "Net-(U1-Pad48)") - (net 152 "Net-(U1-Pad33)") - (net 153 "Net-(U1-Pad29)") - (net 154 "Net-(U1-Pad28)") - (net 155 "Net-(U1-Pad27)") - (net 156 "Net-(U1-Pad26)") - (net 157 "Net-(U1-Pad21)") - (net 158 "Net-(U1-Pad20)") - (net 159 "Net-(U1-Pad18)") - (net 160 "Net-(U5-Pad11)") - (net 161 "Net-(U5-Pad12)") - (net 162 "/FW1") - (net 163 "/FW0") - (net 164 "Net-(U1-Pad19)") - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d0a23) - (at 103.75 100.9 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb40") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 87dd3f0d-60ab-4c46-961b-49546bc57d04) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp d0bfe201-daa4-4d35-9ccd-fa6a79ba80ae) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp baae70b6-0dd8-49ae-afd1-a7595ef75074) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8a481b42-55a3-4c74-b3bd-a1e1e2bf083b)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp a7a683cc-6e6c-411e-8736-b3c97afc4cf7)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 13f4df76-5af2-405c-b137-958f9a82537a)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 31a86bef-90f5-47a9-9b95-49abf4ba4160)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 34d17749-c226-4d41-8b18-439e868c88b7)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp c96e7a55-b8eb-44d6-be3d-c0744c75107b)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 2df5561c-5d72-4a02-b07b-88bcb9fd2f51)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 320e4724-6d2c-4fdb-8f38-e333191a5637)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6ff726eb-39ac-4f14-9931-29153036cf12)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f3ee1261-d1e2-46db-8b55-a0658d91b6f0)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 00d7bdb8-e5cc-4c48-a1a8-da005ded2e3f)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 4dcf95d2-8f09-4bdb-8a06-5c371d680091)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d0a83) - (at 90.15 91.7 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb8d") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C26" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 4c305212-0a8d-47af-a993-c682fdfd43dc) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 0542db7c-c6c7-4c1c-927b-967200d43085) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fcd7587b-250b-43ce-aec7-cf368d21a790) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 81a06844-82b9-490f-a008-d12a7e53e709)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 911e3d6b-cf86-4464-87b7-5a8ce01698ac)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 3e93a0f3-64ae-4ace-8112-ca0fdafa3766)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 857f8b63-861b-4606-a453-4a0c1a3dfbd6)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp cdec5554-e5bc-48fd-a006-a11106ad8ace)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp f3f8e943-4a7f-41e4-aa49-89560822c912)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2d984774-10c5-4a20-8f63-2c34b15b3e99)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3b288875-2ce0-47d9-83ab-a1573d82ddd3)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 55eff66c-f607-4843-9f40-d64a3628cddb)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e5c8db7e-a584-4c9a-99c5-abdff2b2a1d1)) - (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp c45cd6e9-669a-4092-b2ea-69846c598647)) - (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 83cc00d5-9476-491d-b7fa-1ceb05aadf02)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d0ab3) - (at 97.15 91.7 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb46") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C25" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0a6850b9-6c76-4a15-b2c7-adfe81b113a0) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 61c8c7e1-b45c-40a9-a906-069e8954db6f) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6268c652-d638-4cca-ac66-175d0b3f43a2) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b7a81511-f5c9-4dd3-b797-f8b13d4f2234)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp fdc7a4e4-172e-491b-b3c1-84a2eb962521)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 1ec4a888-a5d5-44b6-92f6-4e8b94f3dedd)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 68c2e5ee-bfba-4305-9518-fc0b0f2daa4f)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 8a539dc2-f476-48de-9eae-7d7ce05370cf)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp a0db2c5f-7239-49ae-ba47-437c621e7ff8)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 31a6abab-2a1c-4858-a076-141d460f469b)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9dc37e5b-0117-4b30-bbb6-13710814b0cf)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp aea18c8f-da69-49ff-bccc-e326c38e9829)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp eb49195a-f9b0-43e3-b552-e477ecff063d)) - (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 1da93d0b-7ffa-4107-a474-9c3680373a84)) - (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 706b8b7e-33b7-4969-a0a6-d35601c9e6e8)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d0b13) - (at 84.35 100.9 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bc0c") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 152462ae-8941-4aed-aaec-87510e0adfc2) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp ca0dd4ea-33e1-45ac-ac40-e969c4627703) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 47d0e121-9040-4b4c-9cb2-92cb3ddca634) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 53293114-f068-4c13-81bb-2b7566cccbce)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 8dd3d482-04ac-42de-bfa4-5bbdc8350196)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 59b7583e-cb82-4825-a5f4-a44aa4d09aca)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 86b22f09-59ca-4a8d-81ce-f44eea393466)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp a66411bb-7d69-4ecd-b16d-584b5878d88e)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp d926ac38-d11c-4d9e-978c-95fd4122b466)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 37299e3d-49ce-49aa-8376-30d30c6526f0)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3f8c4ada-3193-43a5-9868-069b43dbca60)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8db05113-bb5d-40cb-916f-5b0147562482)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp caab02da-e67e-41b8-abc1-6f3bd467a493)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 83867fb3-6ba0-43af-96e8-c8471ca3061a)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 4442bbd3-d8c0-4204-a455-7ee1bc0d1e7f)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d0b73) - (at 97.8 111.1) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bc26") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C22" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6be724f3-84e2-4f15-86cb-5e411a200e25) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4cb60a9e-dc2d-41fb-bbf5-8affa4ccdb46) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e5732a90-7446-4a2b-afb4-0f17831210f3) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 42dcf4c2-601f-4248-9d68-3b40103215d8)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 8391c159-3c0f-41d2-b069-b583500f9bae)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2db22596-7756-409b-877c-a2ae72fcb010)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 4ffc0e0c-9347-4d35-9453-dabe6b98a910)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp cfd9f318-341d-4688-a5f7-9f02b76cbe65)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp d9428ba8-61ed-446b-8b4b-fa1c8f46fc2e)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5727536a-8c6a-4bb0-af83-c22206ca8d67)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 721bf5ed-d208-4c96-9ac4-79f167b8d3d5)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ba05f146-ce56-491f-b141-b40dae51b132)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ed6a7995-c5b4-46cf-b3b3-ce6f24c5c605)) - (pad "1" smd roundrect locked (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 38a89dcc-e0df-44b2-86bb-b9431bdc48d9)) - (pad "2" smd roundrect locked (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 8e27167b-bfd1-4762-bf73-25e300f92208)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d0ba3) - (at 103.75 104.3 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bc34") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C23" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5f89a2b1-7f27-4b10-80e4-ace4b437d04b) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 9d7fcd45-455f-4fd8-bf85-82863fd70975) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e532328d-13c5-48c8-97f2-c71bc34adc3f) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 283581a1-f471-4116-ab97-30668023e6da)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 45cff702-858f-4958-bbb7-801917e8fe4b)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 07bbfff7-99f4-459c-bb77-18a421617bb2)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 75d3542e-a1c5-45f6-9db6-74f1ab28661a)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 77107900-8d99-4f0d-b62a-0b3ea5be1118)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp b7abf33e-e51f-435d-92a9-82865d2f9e7f)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 075a33e9-28d6-416f-946c-7d0db63b563d)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3d1c775d-ed73-4c85-8a9b-21201101af2e)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5db0e8f7-853d-4bfd-b058-31a29010fd44)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 82916c6f-d03a-434a-9a9d-482533ae132e)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 01313a5e-7e20-4f63-acc5-b2bd8779e634)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 9bcf2f5a-dcdd-4cb9-9016-05080097ffef)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-00005f3d0e5b) - (at 119.976 128.27 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005e8640ba") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C2" (at 0 -1.5) (layer "F.SilkS") hide - (effects (font (size 0.8128 0.8128) (thickness 0.1524))) - (tstamp a3e8945e-4a0d-4498-a558-bc33c66da063) - ) - (fp_text value "10u" (at 0 0.9) (layer "F.Fab") hide - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 984a4be6-5afa-41c2-88e2-c05a0c036b5c) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 92736bff-f2a5-4e43-a85f-356a0a2aea9b) - ) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp 38e3eaf8-4377-4e7b-89b2-34f95ff2036c)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp 6cb6ad82-8cde-47eb-bec8-fdac8242a0a0)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 4f048c70-d061-4940-a5d1-4a1c18bd81f4)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp a234104b-29ee-46dd-b1c7-a7162f374fcf)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp e713afcb-0ad9-4202-8fce-2fb74830a851)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp fe7bd78a-b083-48dc-ade0-89dc959d85bc)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp 1d03e574-9354-45ba-848e-c84e6726245c)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp 7d4690d6-98e7-49ea-8aa6-66bebdebdb93)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 889b7988-1077-42f7-83aa-edd157867819)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp e79f0671-f01d-45ed-880e-82be7512c2d1)) - (pad "1" smd roundrect locked (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 82eb6b3d-b2d3-40f2-9b62-2ac01a9ee8f6)) - (pad "2" smd roundrect locked (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 23 "-12V") (tstamp f534dda9-0971-4076-9717-405f5f6f1264)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-00005f3d0e8b) - (at 116.244 128.27) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005d12ab6d") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C3" (at 0 -1.5) (layer "F.SilkS") hide - (effects (font (size 0.8128 0.8128) (thickness 0.1524))) - (tstamp d71882e2-ac98-42c6-8f8a-dd4c82632084) - ) - (fp_text value "10u" (at 0 0.9) (layer "F.Fab") hide - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 64b07081-1844-451b-b501-e3bd1f51abf0) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3e482299-1b94-4b50-b2b3-d92850ebc6a4) - ) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp 66a471df-9e27-4c02-97f6-035bd21a62a3)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp 66db944e-15d2-4c8d-8dcc-ffa5424e7299)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 0c51559e-be02-4372-a745-9c5631171ef6)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 5bce6910-55ea-4910-b304-87259c09561e)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 7a84a828-7961-4cfe-a885-3f420b0333bd)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 89f369fb-9287-45d5-8cdb-7f26814e18d2)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp 5bc4085b-1275-4275-91d1-1be3e047a6ab)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp 8556a47b-6325-46ac-8760-30d99a9bc002)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 91639490-cb13-49ab-a4b9-22ddd2dcc72e)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp c265fe87-2142-40a8-8b6d-54cfde524a38)) - (pad "1" smd roundrect locked (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp f9956e6f-c3ae-49ff-9b0d-066510b0ba40)) - (pad "2" smd roundrect locked (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 24 "-5V") (tstamp 122b398f-ee45-4e4e-b430-80089f7e6427)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:AppleIIBus_Edge" (layer "F.Cu") - (tedit 5E89051C) (tstamp 00000000-0000-0000-0000-00005f3d0f80) - (at 106.68 135.382) - (path "/00000000-0000-0000-0000-00005cfc517d") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "J1" (at 25.4 -5.08) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp a1c622cd-4fb4-4e53-83e7-417a0ab6ebcd) - ) - (fp_text value "AppleIIBus" (at 0 5.207) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.1524))) - (tstamp e0b72a8b-67fb-4e7a-aded-f100d8af0ab6) - ) - (fp_text user "J1" (at 25.4 -5.08) (layer "B.SilkS") hide - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp be6b17f9-34f5-44e9-a4c7-725d2e274a9d) - ) - (fp_line (start 32.512 4.318) (end -32.512 4.318) (layer "B.Fab") (width 0.127) (tstamp 0cfe3b21-18cc-4625-8af8-26e91e607731)) - (fp_line (start -32.512 4.318) (end -32.512 -3.81) (layer "B.Fab") (width 0.127) (tstamp 2d8fea3e-b347-4547-82bf-81e11b815f72)) - (fp_line (start 32.512 -3.81) (end 32.512 4.318) (layer "B.Fab") (width 0.127) (tstamp 77e4705a-d1ce-4eb8-b74f-441b11701d1f)) - (fp_line (start -32.512 4.318) (end -32.512 -3.81) (layer "F.Fab") (width 0.127) (tstamp 913eb625-8010-4705-9dba-28581a25b3e0)) - (fp_line (start 32.512 4.318) (end -32.512 4.318) (layer "F.Fab") (width 0.127) (tstamp d1c434bf-b7f0-418c-819d-86a4a535331b)) - (fp_line (start 32.512 -3.81) (end 32.512 4.318) (layer "F.Fab") (width 0.127) (tstamp d30f5a07-df70-48a4-b905-70ef1bf2b18f)) - (pad "1" smd roundrect locked (at -30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 25 "/~{IOSEL}") (tstamp 42e15592-6be6-4195-9d8a-70697df9dc26)) - (pad "2" smd roundrect locked (at -27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 13 "/A0") (tstamp dfc6c58b-b2d7-478a-8280-348471912cc8)) - (pad "3" smd roundrect locked (at -25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 12 "/A1") (tstamp b4182176-94b4-4042-a047-ced8894735c1)) - (pad "4" smd roundrect locked (at -22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 11 "/A2") (tstamp 0383ded5-fa86-42c2-b225-b677173a2e63)) - (pad "5" smd roundrect locked (at -20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 10 "/A3") (tstamp 88460b60-161e-4b15-8289-16f0dcbec01a)) - (pad "6" smd roundrect locked (at -17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 3 "/A4") (tstamp bdd9a6a6-57ba-43f2-9b3d-d661f1860a8b)) - (pad "7" smd roundrect locked (at -15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 9 "/A5") (tstamp be5a11e3-3e40-47eb-9c87-de078cedeed2)) - (pad "8" smd roundrect locked (at -12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 8 "/A6") (tstamp 97526e5b-f3c1-4be4-bbaf-223c60948cda)) - (pad "9" smd roundrect locked (at -10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 7 "/A7") (tstamp c85ace76-c1ae-478f-9c4b-eef923a2984d)) - (pad "10" smd roundrect locked (at -7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 6 "/A8") (tstamp 128013b4-92f0-4f80-a481-fccffd513291)) - (pad "11" smd roundrect locked (at -5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 14 "/A9") (tstamp 9d209bce-2b1d-4859-b729-fb49b74adacd)) - (pad "12" smd roundrect locked (at -2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/A10") (tstamp a7409129-13bc-4d7a-8cc3-d55e89e4a486)) - (pad "13" smd roundrect locked (at 0 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 26 "/A11") (tstamp 5580f029-0d73-4d2c-af54-06e31f51c0c7)) - (pad "14" smd roundrect locked (at 2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 27 "/A12") (tstamp a6f5341c-35ea-43dc-87a2-8a9e0eb79996)) - (pad "15" smd roundrect locked (at 5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 28 "/A13") (tstamp e6daff5c-d445-4c90-98e4-c209d0b5c4d1)) - (pad "16" smd roundrect locked (at 7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 29 "/A14") (tstamp c7004714-a632-4445-b0df-bb4644d1bf5f)) - (pad "17" smd roundrect locked (at 10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 30 "/A15") (tstamp 1a74e4ef-9f04-445e-a4d4-879b5e2f061a)) - (pad "18" smd roundrect locked (at 12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 31 "/R~{W}") (tstamp 7d8c055d-4eed-4649-b6f4-62afe1b9f5a9)) - (pad "19" smd roundrect locked (at 15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 72 "/VIDSYNC") (tstamp 743faa0d-9458-49ff-804f-08ea0d7c26e5)) - (pad "20" smd roundrect locked (at 17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 32 "/~{IOSTRB}") (tstamp 8e583f97-15ba-4914-92f3-b244f09eb9dd)) - (pad "21" smd roundrect locked (at 20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 121 "/~{RDY}") (tstamp 23a2bbba-f5b1-4ac5-afce-63d0bb06e293)) - (pad "22" smd roundrect locked (at 22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 74 "/~{DMA}") (tstamp a66ae5a0-1026-4e58-8b7d-a865e1e240b4)) - (pad "23" smd roundrect locked (at 25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 44 "/INTin") (tstamp 72736ef0-440f-445e-90eb-c81ae8522fbc)) - (pad "24" smd roundrect locked (at 27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 45 "/DMAin") (tstamp 62b9c199-3c77-4c44-a4fe-53867aefe7d2)) - (pad "25" smd roundrect locked (at 30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (tstamp d6b6326d-c925-4334-96f5-218472fffcc2)) - (pad "26" smd roundrect locked (at 30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 79ede70e-9855-4371-b98c-b65c34fa0309)) - (pad "27" smd roundrect locked (at 27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 45 "/DMAin") (tstamp 483b7e8e-4d33-4fa9-ad55-51d1f684cb5f)) - (pad "28" smd roundrect locked (at 25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 44 "/INTin") (tstamp abd8f63e-8fbf-4ad4-a216-38dee4937522)) - (pad "29" smd roundrect locked (at 22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 33 "/~{NMI}") (tstamp 038aeddc-6d2a-4762-b5a9-bb808a6321d9)) - (pad "30" smd roundrect locked (at 20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 34 "/~{IRQ}") (tstamp dc9dea80-2c29-4fad-a713-8cffd4365ce9)) - (pad "31" smd roundrect locked (at 17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (tstamp 5423be76-3cf4-4929-b119-321703c4311f)) - (pad "32" smd roundrect locked (at 15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 36 "/~{INH}") (tstamp af66195b-d32c-42e8-b5e8-081fb74851c7)) - (pad "33" smd roundrect locked (at 12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 23 "-12V") (tstamp 857de716-20e5-42ab-af4e-373b887c2e9d)) - (pad "34" smd roundrect locked (at 10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 24 "-5V") (tstamp df76744a-2672-4df3-b0f1-8632a1e0c956)) - (pad "35" smd roundrect locked (at 7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 37 "/COLORREF") (tstamp 092bd764-4a2b-48e9-b1b3-8d92072d4239)) - (pad "36" smd roundrect locked (at 5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 38 "/7M") (tstamp b5fd13b1-afc0-46e0-8a94-ced2c102d545)) - (pad "37" smd roundrect locked (at 2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 39 "/Q3") (tstamp 62c1f671-9c5a-42d1-bb72-93a0fddab631)) - (pad "38" smd roundrect locked (at 0 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 40 "/PHI1") (tstamp 462300d8-5235-4268-b945-a065aa4bccf1)) - (pad "39" smd roundrect locked (at -2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 41 "/USER1") (tstamp b87a01ba-9438-409e-8ee0-7b599746cffd)) - (pad "40" smd roundrect locked (at -5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 42 "/PHI0") (tstamp 7879eef7-0cd6-4b28-a2a3-4b84c2150254)) - (pad "41" smd roundrect locked (at -7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 43 "/~{DEVSEL}") (tstamp af34f5bd-9c3b-44b4-99ba-829473dbca73)) - (pad "42" smd roundrect locked (at -10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 4 "/D7") (tstamp 07dd891f-9b97-4653-b99a-854fc7239a79)) - (pad "43" smd roundrect locked (at -12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 5 "/D6") (tstamp 38471577-701a-4bf2-934b-75b2b984b254)) - (pad "44" smd roundrect locked (at -15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 16 "/D5") (tstamp 4a628444-da22-4536-bd76-0f08b97bd6ad)) - (pad "45" smd roundrect locked (at -17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 20 "/D4") (tstamp f74d16ce-07bc-40a1-9379-7e7fa809b111)) - (pad "46" smd roundrect locked (at -20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 19 "/D3") (tstamp 96a5c046-2bb8-4223-addc-0967a661f4f5)) - (pad "47" smd roundrect locked (at -22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 18 "/D2") (tstamp cebbf6fc-d03b-437a-8cdc-3f5a9d9fca58)) - (pad "48" smd roundrect locked (at -25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 15 "/D1") (tstamp 830285c1-8bd7-4405-9db4-47f2bd6aa43e)) - (pad "49" smd roundrect locked (at -27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 17 "/D0") (tstamp a133212b-4ede-4fe9-89c1-db6ffbb2f2e4)) - (pad "50" smd roundrect locked (at -30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) - (net 22 "+12V") (tstamp cb009da4-648f-43fe-9e7c-121350173aa3)) - ) - - (footprint "stdpads:Fiducial" (layer "F.Cu") - (tedit 5F1BCA76) (tstamp 00000000-0000-0000-0000-00005f3d1029) - (at 143.002 82.423 -90) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d10c") - (attr smd) - (fp_text reference "FID1" (at 0 -1.6 90) (layer "F.SilkS") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp ace74cf6-fd28-4884-9baa-d9f2aa9480dc) - ) - (fp_text value "Fiducial" (at 0 2 90) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp e6c3ab45-2af8-493c-ab47-0c0dc092a8c1) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 749d9ed0-2ff2-4b55-abc5-f7231ec3aa28) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 4be4cec3-a7c3-4cd9-84cd-e328276cb23e)) - (pad "~" smd circle locked (at 0 0 270) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 86b01ef4-965c-4060-a9de-b3e20d6f4e09)) - ) - - (footprint "stdpads:Fiducial" (layer "F.Cu") - (tedit 5F1BCA76) (tstamp 00000000-0000-0000-0000-00005f3d1053) - (at 143.002 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d10f") - (attr smd) - (fp_text reference "FID4" (at 2.032 0) (layer "F.SilkS") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 2d21e9d4-5f95-4bd5-bafa-7195b8da2940) - ) - (fp_text value "Fiducial" (at 0 2) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 31aad1ff-f8eb-44a2-b0e6-6f2533cadd8a) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 29126f72-63f7-4275-8b12-6b96a71c6f17) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp e57bcf50-81ce-4f5a-839b-106d5a693317)) - (pad "~" smd circle locked (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 4cf23c4a-c03c-4897-b0d2-e5da4fd74f72)) - ) - - (footprint "stdpads:PasteHole_1.1mm_PTH" (layer "F.Cu") - (tedit 5E892B4B) (tstamp 00000000-0000-0000-0000-00005f3d1068) - (at 140.462 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d121") - (zone_connect 2) - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "H1" (at -1.905 0) (layer "F.SilkS") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 662ecc62-5101-4658-ba95-fd6acdb2ca0d) - ) - (fp_text value " " (at 0 2) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 51b2997d-b40d-4e0c-81eb-c728c8350e74) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp f33ec0db-ef0f-4576-8054-2833161a8f30) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 158854d2-1c74-4d00-bdb8-b2c9790a58ad)) - (pad "1" thru_hole circle locked (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) - (net 2 "GND") (zone_connect 2) (tstamp d0c4d413-94c8-4da4-90b6-8efb84109196)) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-00005f3d109b) - (at 75.35 128.27 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005e8640a9") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C4" (at 0 -1.5) (layer "F.SilkS") hide - (effects (font (size 0.8128 0.8128) (thickness 0.1524))) - (tstamp ac5bf277-3416-495c-afc2-55995107a595) - ) - (fp_text value "10u" (at 0 0.9) (layer "F.Fab") hide - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp f18c02a5-5547-491a-88ba-3ae46155e175) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2f677aa4-d5a3-4a37-97a5-385066770256) - ) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp 272f63a1-f713-42f0-8f58-4fac6dd018cc)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp b284637f-e8af-425e-817c-730a06fc9348)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 656203b8-2496-463d-a564-4049bc862a59)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp a719c4b4-e195-436e-bb36-11336adf330b)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp cf8be8e8-fba6-419a-9f21-3be1ca8645c2)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp e6645d7c-8aa7-428c-9047-b9994c003579)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 5363aa10-d5af-4a6f-b8d7-b6072faeb087)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp 64811abf-516c-4f50-8601-bf447456fb6b)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp 6b758e48-052b-4247-9b99-f1669fe75d16)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 850a69bf-4897-48b7-9b9a-bf8d97e8837f)) - (pad "1" smd roundrect locked (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 22 "+12V") (tstamp 05b544c2-a26d-4af7-9516-78e8af8d4f5d)) - (pad "2" smd roundrect locked (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 62c1974a-816e-4477-a1c3-21a16c6b106b)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") - (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-00005f3d1276) - (at 99.425 122) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path "/00000000-0000-0000-0000-00005f3a6cfe") - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "U9" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 8990791b-000f-4111-b559-4220d588528a) - ) - (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 1dfd57e6-2750-423f-b47b-e3e12643c855) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp d1f174fe-a19e-485a-9ff6-832f3ca08f22) - ) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 39c8d197-45cb-47f1-b6ff-4d3cf9bef033)) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 45222c51-d95a-4e37-a825-726bb9f8623e)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 5fcf0938-4f7f-49e5-9efc-b4b8388d773e)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp e12dcc5b-202c-4d4d-bf0e-cd968f03e9ae)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp f76eb4d9-b3e9-4a24-8a13-8f5b348d5556)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp fcc81d5c-065c-487d-ac8d-bf63e37a89d7)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 37d883e9-5e29-40df-bb0d-9720d9b8cc07)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 5096f779-d40a-45b4-8e27-d733f3f6f43f)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp 87a2bf4a-6de2-4857-a6f4-86a3b5a9deca)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp c0ab907f-6134-431a-8226-f4f890408d89)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp f9c9da1f-0e0d-4e35-9865-f07faa925b0f)) - (pad "1" smd roundrect locked (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp e1317347-9d56-410c-919e-b3874fc7384d)) - (pad "2" smd roundrect locked (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 6 "/A8") (tstamp 762693c7-928e-4f73-93d7-9a0e8179b8ca)) - (pad "3" smd roundrect locked (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 14 "/A9") (tstamp ba972fd4-a0e9-422d-b4b8-a983b2ed4a1b)) - (pad "4" smd roundrect locked (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 21 "/A10") (tstamp c4ec2259-b1f1-4b5a-8fe9-70f14cafe0d8)) - (pad "5" smd roundrect locked (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 26 "/A11") (tstamp 41c7907d-e45f-4953-accd-543a86eb1cdc)) - (pad "6" smd roundrect locked (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 27 "/A12") (tstamp 256d0f41-abcb-432e-a4cc-bcef1aa15bc3)) - (pad "7" smd roundrect locked (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 28 "/A13") (tstamp 5427ddc0-d96f-43bd-a5d9-b8b11c7e0a97)) - (pad "8" smd roundrect locked (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 29 "/A14") (tstamp 171a73d0-178b-4eb4-abc7-99b18df5c0d6)) - (pad "9" smd roundrect locked (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 30 "/A15") (tstamp 4e47e4af-bbb8-4836-acf7-ed8caa23763c)) - (pad "10" smd roundrect locked (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 308f90dc-c8d4-4b07-abb6-4b5518c51e08)) - (pad "11" smd roundrect locked (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 83 "/RA15") (tstamp 1dee2cf1-154f-4a62-a397-ec8b576f7a90)) - (pad "12" smd roundrect locked (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 82 "/RA14") (tstamp e0907bf5-2902-4663-9649-b9258e80cfa1)) - (pad "13" smd roundrect locked (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/RA13") (tstamp 3f86eac7-8e7c-4082-8e32-8a0bcadd7874)) - (pad "14" smd roundrect locked (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 80 "/RA12") (tstamp fa579a4b-0a1e-45bd-8ebd-0fe5f24110b3)) - (pad "15" smd roundrect locked (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 79 "/RA11") (tstamp dccc5e09-72ce-4aaf-8f50-a6f2433d41de)) - (pad "16" smd roundrect locked (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/RA10") (tstamp 8fc72e2b-f1f4-4914-8929-867f04088408)) - (pad "17" smd roundrect locked (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "/RA9") (tstamp 4b50eb49-5ca1-45d8-b0dd-19e60e3bb90c)) - (pad "18" smd roundrect locked (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "/RA8") (tstamp 1ae5f305-82c0-4608-bb44-6f54108bdca6)) - (pad "19" smd roundrect locked (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp ead84776-ed6d-4174-bd4e-7ec24866ecbd)) - (pad "20" smd roundrect locked (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 1ec0ce2e-e50a-400a-befc-90da75632739)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d139e) - (at 85.8 119.8 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006232c221") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C14" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bf813ca6-c9b0-496b-a4b2-6cd15e7fa5b4) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 5a6be6a3-f5c4-4588-90de-f3e15e2ac316) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp be3610cd-c4e5-4eef-a19b-2490be584221) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 051258cf-e8cf-4c5f-af6d-377250908b9f)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 5ab73428-d0c8-4ba7-be53-e4ec8278507c)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 0d2f6e7a-778e-4010-98e5-3d0e95a69636)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 12571566-c362-4020-afc1-5afc9948a4d0)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 85efdcf2-b2af-4074-a8ec-46a9b78ab437)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp e132594a-a911-409b-854b-e36aba5c0a64)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 477159c8-6842-4fdb-8985-f042f4e62500)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5c7c0c83-cf5c-46a4-ae62-2577f5eb25b0)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp db9957af-88ae-4b9b-878b-fca16796b164)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e25678c3-7a6f-406f-b60f-2c8f0cc0a45b)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 703150a7-37b9-4b76-9d37-11ae64256376)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 828b8d03-83cc-420b-b714-b293db456120)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d145b) - (at 90.8 111.1) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bc14") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C21" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e1a2236e-9438-4cf8-9628-4a2edf553390) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b3d1a865-d236-4925-8344-02aa33a87f58) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b34cff2e-78c2-49a6-9001-30a5ac33b0bb) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 76926de7-4e2d-46c2-94e3-06e636e68fe8)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp be08acd7-a864-4f45-92a0-9002e4167f37)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 1105d3b9-bc53-43b4-ae1a-b055e6af346e)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 687376ad-5699-4b83-a0f3-7beeae7f0dfc)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 8ca2531e-66a7-48f1-b9df-3f8772a5d0a6)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp c110c828-4037-49df-84fb-0c1dcd0bc208)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 258b4191-2455-41db-b59c-93c0efa41086)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp da182520-2530-4b4f-b294-b5f2da2e0167)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e4030a5f-e6b4-4560-aef2-6d9501812e36)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f04877ba-3e22-4dc1-8682-1eed619df86c)) - (pad "1" smd roundrect locked (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 75b252a7-0139-4502-9cd4-8ce04a5a16ec)) - (pad "2" smd roundrect locked (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 2abf9bd9-2e75-4bc7-9218-8b355c67c085)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f3d158d) - (at 95 119.8 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006232c22f") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C15" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 61d13cee-4f2e-474a-83cd-b170ceb0626e) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 483dd64e-74ad-4e0b-8c97-c0bda14b5bdc) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 06b2597a-7b06-4c2d-b3aa-db28e4d2d6bb) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 70360e87-cd28-463c-b340-4363199e3805)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp f917d6d0-234e-4961-85b0-ecc42951988a)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 36733aa9-2374-46bb-b660-87f95d2680aa)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 81159ac3-7921-42b2-a3a5-0c2fe60432d9)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 9789e66b-b010-4f52-be15-b9f26aed51fa)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp fb261cc0-bc3a-4384-8aa6-b331b82227fe)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3275017f-79af-4711-ab80-c58ed52f4b73)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 610945fc-9efc-4817-8112-800396c8b577)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e44ebcdd-421a-4d0e-a6a9-b5a8694a3c1c)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp f4a67bd9-ce12-4c47-acfb-748d19847edd)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp c61301da-1ef8-499e-9a32-cbb3c6153f2c)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 1bbd18cb-9fc4-4ea0-9bcb-de4193872036)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-00005f3d166b) - (at 136.31 128.27 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005f45d107") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C1" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 8fc26687-2a80-4623-a274-b870d0121a8d) - ) - (fp_text value "10u" (at 0 0.35) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0bdac341-4a9a-4585-8dcb-b900c1d495cf) - ) - (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5d1c59eb-d2de-455a-af16-8a63dfeb751e) - ) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp 38868197-ef96-4af1-9b8d-b9122742e090)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp 86fdabc7-3c2d-4842-81f0-390980c737eb)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 147d6422-7196-4627-bb74-1d6dfdb2b30d)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 1a34c18a-d210-4365-8418-876153a178ca)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 38e4c682-b8e9-4a67-86a3-41a486258505)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp e9fa7908-c2ef-44b7-9c54-c9ac90fe023a)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp 0d5f0063-2997-415b-9799-75c937163557)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp cc888f43-ca19-462c-adfd-2e20cb8dfede)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp eace7729-e4d3-4128-bd9f-a5e3326cc2de)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp f2c5891c-2769-4d45-9661-e1c46777588f)) - (pad "1" smd roundrect locked (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (tstamp d605dd99-2afa-4862-ad86-bb1825478583)) - (pad "2" smd roundrect locked (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp d39f803e-b408-4148-9dc1-1268cfb6cee1)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") - (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-00005f3d16ed) - (at 108.625 122) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path "/00000000-0000-0000-0000-00005f5039e1") - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp ae09d266-612f-49be-998b-bb8348477546) - ) - (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp f9af0c73-ea7c-475c-9ccf-a30dcb7be7d5) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp f2681407-fae3-42b5-b6d7-8f7b7fe06cca) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 7ba21785-b853-4c68-8aac-d6661bcf4b12)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp e205c85d-545e-4caf-a814-f7d0e94d19fe)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 82e568da-903e-41ac-963d-d3294f0b39c3)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp aa0b8d25-848b-4739-80af-5e56edd94fc8)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp aada76e4-0d7c-47c4-9c7e-35d8df0791ca)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp b63cf49d-ce07-465a-aab3-e2d4a195530e)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp 10b7a7e3-d9dd-4fc6-b9a1-925f489e94d0)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 8d882fe8-01ed-4240-b8ad-ea839e58b2d6)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp dfa6d6bf-7c05-47a7-8bd2-c0e61991c5a3)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp e49a1fc6-e358-4f79-bd57-ad07a0a04c0a)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp ea824684-2e9f-4e36-a8a1-62ab66a70f9a)) - (pad "1" smd roundrect locked (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp c98e2383-fe10-492f-b75d-4be13fdba13d)) - (pad "2" smd roundrect locked (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 25 "/~{IOSEL}") (tstamp 557ecd77-57f1-4262-bb6e-8cb4f0026557)) - (pad "3" smd roundrect locked (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 43 "/~{DEVSEL}") (tstamp c496a4be-1cf5-484b-9728-311269d5705d)) - (pad "4" smd roundrect locked (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 42 "/PHI0") (tstamp 2e99c72f-433e-43d7-a922-bab95a602d23)) - (pad "5" smd roundrect locked (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 32 "/~{IOSTRB}") (tstamp c7e381d8-ee41-45c6-90eb-5d8a70d113f4)) - (pad "6" smd roundrect locked (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (tstamp 19f19d0e-cc7c-4e6f-8815-01b2911ca0dc)) - (pad "7" smd roundrect locked (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 31 "/R~{W}") (tstamp 0b2ff669-05bc-415a-b402-c71d10956b2a)) - (pad "8" smd roundrect locked (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 15e4cafe-b37b-45ee-84cd-466da84d8e61)) - (pad "9" smd roundrect locked (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp d2f72cbc-e1a3-49c0-b76e-d4b4cbb83949)) - (pad "10" smd roundrect locked (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 71f84c36-6a99-4d10-95c3-11e6a7154235)) - (pad "11" smd roundrect locked (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 160 "Net-(U5-Pad11)") (tstamp 32273d73-9aea-43dc-8b1d-5fd14681d5b5)) - (pad "12" smd roundrect locked (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 161 "Net-(U5-Pad12)") (tstamp 930c0237-7b36-4327-9a95-555c98c65755)) - (pad "13" smd roundrect locked (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/RR~{W}in") (tstamp b743f018-1cb1-4dfa-b0fc-43a6feeb2b6d)) - (pad "14" smd roundrect locked (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/R~{RES}") (tstamp 1ee01bd5-7d92-4feb-b91a-9abda1fb692a)) - (pad "15" smd roundrect locked (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 76 "/R~{IOSTRB}") (tstamp 4e7cb327-d3ed-4082-8343-f97db8234673)) - (pad "16" smd roundrect locked (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "/RPHI0") (tstamp 4159b9db-f042-4114-881d-a2435edf9af9)) - (pad "17" smd roundrect locked (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "/R~{DEVSEL}") (tstamp 995780ec-48b1-4b0d-bc50-ef12102751c2)) - (pad "18" smd roundrect locked (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "/R~{IOSEL}") (tstamp cb4f2bdf-6be5-4993-afae-ec4e7ba25b92)) - (pad "19" smd roundrect locked (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 004a789c-097d-41f7-b2ad-329ae8393b85)) - (pad "20" smd roundrect locked (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp cc830e9f-e8c6-4eb8-897d-fbe572bc2b6c)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4403d9) - (at 84.35 98 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bc03") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0789c018-baf5-4a9a-94f8-ebb91f545f31) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 4e7277b8-8a25-4181-95e8-e09bd3dcd4ba) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6d6ba75b-1862-4e3b-9547-9c8624ed35d7) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 5eb4aecd-e0df-42c4-9716-e679c869f63c)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 7897f435-ca2e-4185-ae83-837e505bab70)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2954cbf6-557e-41c5-b14d-1c0f3f541f12)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 93aeef62-c4d4-4345-8487-cc4871395e12)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 9985c9ac-c2cb-4bc0-a36c-a2fd435d77ee)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp c9dbb32b-71f2-4c94-afae-76ff97321dd5)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 13b942f4-70b4-4c16-8221-c0d89d92d9f4)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5162987c-ba0e-48a9-b339-be2441c4705f)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 88888024-6d70-4fa8-9829-8295a00fc2c9)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp eccc4eee-4290-4334-8514-2f5669abdefa)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 575fdc89-9805-4266-a2d9-595fa263b11a)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 0ad96c7a-dc28-4121-8e73-05b2064bbec3)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4b2625) - (at 126.45 103.8 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb81") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C31" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp daaa414d-49a8-4397-86ba-295d6dedf1a8) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp f8b22858-19d0-4658-8c54-d50707981b51) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6175e2dc-603e-498f-8d62-339725f0603f) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 33d1fa0e-96de-4bd9-8bed-eb3d75d0d7d8)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 39156b1c-bf91-438d-afb8-2007458c0b8e)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 69ea0263-5b5c-4ce0-8820-f9c214b9e26e)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp a82cc152-d30e-40b2-9e4e-82bb1a5767f8)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp df26e74b-d3e8-42be-a468-53fe16f8ab6b)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp ecd17538-5422-4aa3-9951-3654d3d4054e)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 63f5f9dd-ae0b-4073-8990-df8e590bc1fa)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a9ab4c03-304d-42e9-832e-c69cff939dec)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp aaaa32a0-360f-4462-b895-9b01f1f86048)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d00fd3c4-c025-4e55-a99a-45a0290a21ff)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp e302535d-6503-4dfd-b65e-6c8293a3f335)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 496facad-c51d-4258-aa3e-af4dd0955a5f)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4b2635) - (at 126.45 112.6 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb61") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C29" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a90e599f-c2fb-475b-92c6-fbc7b041f04b) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 49339521-16b8-463d-be4d-2afce06e238b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 3ef4ab53-e075-473a-a75f-e14427f3de70) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2da13d6f-05be-455d-b411-4e9c5197856e)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c39ea287-ed92-42cf-a42f-0a058487a5d7)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2bd656cc-07a1-4b36-bd3d-1849c60a6f25)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 81b704e3-ad79-4155-bf9f-926a6d6c3a72)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 8f87def2-8b5f-4cdc-b76b-7f23b04c3f1e)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp fc2e6937-75ad-4c5e-862d-3bdf44129aa7)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 1b9e0624-2feb-4d8b-9181-d73925756ba3)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1de85031-9810-4511-a8e5-8fc28f5bb9bb)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4ab5e19c-47cf-42ae-9678-1e36abeeae59)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 78e288f6-3219-43f5-b222-0b33e0a0eac8)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp fc3cefba-b5a8-4781-91cd-bb2492cf8ab6)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 4216af48-181c-4ad6-9c60-e90f53b26cc9)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4b2645) - (at 126.45 107.8 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb73") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C30" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 62629d86-7812-48e5-a4ee-cba4cce675c7) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 20383790-76be-4aaa-94de-c17756682b7b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 27efb570-bb39-4d73-adb9-f0d14b77f4de) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 5aa61e5e-1b75-483a-806b-011ec86386b5)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp cad2873e-719f-4144-9660-5fc414d59799)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 25d791be-c0ad-4d09-9eea-96847634371d)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 4056a76c-ed40-4375-8958-fab8c8eb89d1)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp cdef7daf-67d7-4201-b58f-46f98efe4b29)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp fc507f37-311a-4a88-8803-5135386aa883)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 30daebf0-cbeb-4922-b5ac-6bcdc6ef7222)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 500a323d-22a9-445d-94a4-e107a0e1ae23)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp add8f578-f85e-442e-acd9-d94db2a34358)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp dd287ecc-48c7-4569-84ef-5639ce72358e)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 1d791352-d591-40b1-be05-1476d831bec2)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp c8fda475-a48f-44dc-957f-bbaec0e7ed26)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4b2675) - (at 113.65 115.35 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000615a02a1") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C35" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 52af4d52-1c10-4108-ac48-c962fc638454) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp fbf73848-904a-4dfd-971f-de433de20b31) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ebe481cd-0b72-4be5-881d-17c207ec2037) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 4a4c2fef-30f6-4fcf-aec2-2533b3d18ca7)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 6f49c89f-fa64-4f2f-8bcb-5053c0f22c14)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2108f818-6fd7-4088-b15a-befe58ba058e)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 5c8ced3e-af16-492a-b4c1-54592b3edb5b)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp bf5bff82-2993-4c62-8b41-21e2a1a34eab)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp e8e183ef-e20b-4007-8bc1-334764b225d3)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2f375a34-8f19-4e0e-9faa-8667ac532147)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 7552c34f-abdc-47b8-80f8-cbb3b75bea92)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp a15842ea-9532-464d-b8f5-26b47baa934a)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp fe7a5a10-5c4d-469c-9a98-6ec80008f4da)) - (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp ed9da27c-3c96-4beb-8589-c4637c5d4563)) - (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp e4bf9cd1-67ca-4347-9ebb-c28f3861a56d)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") - (tedit 61E17B8C) (tstamp 00000000-0000-0000-0000-00005f4b2685) - (at 118.65 103.05 90) - (descr "54-lead TSOP typ II package") - (tags "TSOPII TSOP2") - (path "/00000000-0000-0000-0000-00005f3e609f") - (solder_mask_margin 0.05) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference "U2" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 613f5c37-6166-4d0d-8387-9370b92bf8af) - ) - (fp_text value "W9825" (at 0 0.95 90) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 6cb757f3-d367-4e42-b4ff-e793de5436d2) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 7b48a524-2ba6-4975-a1d9-6b9e727bbab1) - ) - (fp_line (start -10.9 6.5) (end -10.9 5.3) (layer "F.SilkS") (width 0.12) (tstamp 5e0e1251-015c-4df1-8b19-0718597c2462)) - (fp_line (start 10.9 5.3) (end 11.3 5.3) (layer "F.SilkS") (width 0.12) (tstamp 64c267bd-795b-4198-b4cb-7506721c9ded)) - (fp_line (start 11.3 5.3) (end 11.3 -5.3) (layer "F.SilkS") (width 0.12) (tstamp 916d0db0-cf8b-4ce1-998d-347122b67f96)) - (fp_line (start -11.3 5.3) (end -11.3 -5.3) (layer "F.SilkS") (width 0.12) (tstamp a5419243-521f-4e0e-87a5-68bb7d8c3242)) - (fp_line (start -10.9 5.3) (end -11.3 5.3) (layer "F.SilkS") (width 0.12) (tstamp b9e9c933-22fa-4d2d-a4d0-dc3c11362cff)) - (fp_line (start 10.9 -5.3) (end 11.3 -5.3) (layer "F.SilkS") (width 0.12) (tstamp f1af136d-fc23-40d6-9ece-115c567a709f)) - (fp_line (start -11.3 -5.3) (end -10.9 -5.3) (layer "F.SilkS") (width 0.12) (tstamp ff7046de-b1bb-4567-9f8a-b88a2390cfe9)) - (fp_line (start 11.36 -6.76) (end 11.36 6.76) (layer "F.CrtYd") (width 0.05) (tstamp 7b09b870-d8c6-4d8e-ab69-f64de272452d)) - (fp_line (start -11.36 6.76) (end 11.36 6.76) (layer "F.CrtYd") (width 0.05) (tstamp a7931472-8eb9-46f7-bf1d-76ac50946cbd)) - (fp_line (start -11.36 -6.76) (end 11.36 -6.76) (layer "F.CrtYd") (width 0.05) (tstamp bee792b8-9203-4aad-8f99-b15b8c4b4bf6)) - (fp_line (start -11.36 6.76) (end -11.36 -6.76) (layer "F.CrtYd") (width 0.05) (tstamp c12bcfd0-f22b-44ee-806d-1ce457b0912f)) - (fp_line (start -11.11 4.08) (end -10.11 5.08) (layer "F.Fab") (width 0.1) (tstamp 1cd75ba7-afa9-45d1-91be-0b8ee2eaa1d9)) - (fp_line (start -11.11 -5.08) (end 11.11 -5.08) (layer "F.Fab") (width 0.1) (tstamp 3a5ee06b-945b-492c-a56e-3a026dd80211)) - (fp_line (start 11.11 -5.08) (end 11.11 5.08) (layer "F.Fab") (width 0.1) (tstamp a509ceef-7394-4576-b9ec-46b3bab1460d)) - (fp_line (start -11.11 4.08) (end -11.11 -5.08) (layer "F.Fab") (width 0.1) (tstamp d62acfcd-78e9-48e2-8cfb-4057b64de403)) - (fp_line (start 11.11 5.08) (end -10.11 5.08) (layer "F.Fab") (width 0.1) (tstamp d77614ae-c298-4020-be51-193d9eb2c5b6)) - (pad "1" smd roundrect locked (at -10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp fa948cb7-f816-4068-a84f-bc166e26758c)) - (pad "2" smd roundrect locked (at -9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/SD0") (tstamp b11c515b-624c-4b88-b48d-5b63def05648)) - (pad "3" smd roundrect locked (at -8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 414ced61-351c-4509-8c48-62fdbb80dfb2)) - (pad "4" smd roundrect locked (at -8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/SD1") (tstamp 05e65b06-4a6d-49f9-a6e8-c5bc53ef3e2a)) - (pad "5" smd roundrect locked (at -7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/SD2") (tstamp bed53e4e-1d66-4231-aa03-48383d000db6)) - (pad "6" smd roundrect locked (at -6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 340a3c97-4720-46c0-af55-cba214657808)) - (pad "7" smd roundrect locked (at -5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/SD3") (tstamp 48db5cc7-6a04-41b6-8cd9-2f0e9a53c028)) - (pad "8" smd roundrect locked (at -4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/SD4") (tstamp cf5aade5-95a9-4391-a214-46323ac86f00)) - (pad "9" smd roundrect locked (at -4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 16b539e2-6442-4fdd-94ea-e25262a3b780)) - (pad "10" smd roundrect locked (at -3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/SD5") (tstamp ab3d7b3a-bd6a-44ad-8cdf-4873210aa41a)) - (pad "11" smd roundrect locked (at -2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/SD6") (tstamp b17aea1f-56dd-4513-bc82-c4a6c326f278)) - (pad "12" smd roundrect locked (at -1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 710aa302-c08b-49a6-ae64-eb8d40cfc0b4)) - (pad "13" smd roundrect locked (at -0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/SD7") (tstamp 1192a5e1-afd6-41f2-84dc-b2ce7a7ddf4e)) - (pad "14" smd roundrect locked (at 0 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 29b46b10-4680-4031-8ebf-b247e083a871)) - (pad "15" smd roundrect locked (at 0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "/SDQML") (tstamp bfadb7d7-c745-4ec8-852a-2d5bc4a10414)) - (pad "16" smd roundrect locked (at 1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/S~{WE}") (tstamp ff2cca04-7dcf-44e6-b570-048a1f9b5bb5)) - (pad "17" smd roundrect locked (at 2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "/S~{CAS}") (tstamp d7f4784a-38a5-47f1-bce1-82f15295dd02)) - (pad "18" smd roundrect locked (at 3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/S~{RAS}") (tstamp b6245759-5959-413b-bba6-48e382636e72)) - (pad "19" smd roundrect locked (at 4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/S~{CS}") (tstamp bea3d93c-d179-4fe1-829f-51f109827be1)) - (pad "20" smd roundrect locked (at 4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/SBA0") (tstamp 52632697-1ea5-4741-8c22-5a4aacd71df2)) - (pad "21" smd roundrect locked (at 5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "/SBA1") (tstamp 34f54799-d007-4803-95be-90acb9c9fde1)) - (pad "22" smd roundrect locked (at 6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/SA10") (tstamp d6a8d6d1-aca0-4ad1-bf65-d90600eaf4be)) - (pad "23" smd roundrect locked (at 7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/SA0") (tstamp 79048715-9f92-434f-b411-a3af8b441479)) - (pad "24" smd roundrect locked (at 8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/SA1") (tstamp 16753c81-1a3b-47e2-8a5b-29fa84e3a60a)) - (pad "25" smd roundrect locked (at 8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/SA2") (tstamp 6d62c5f8-5b9c-4fae-97e5-05b4d9f0a22d)) - (pad "26" smd roundrect locked (at 9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/SA3") (tstamp f6248e18-9694-4940-9f52-e9013acaf5de)) - (pad "27" smd roundrect locked (at 10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 7086e12c-1a71-4c57-90ac-c49606d1553f)) - (pad "28" smd roundrect locked (at 10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 59dcb24e-d4ab-49d8-94a8-9510788c3cb1)) - (pad "29" smd roundrect locked (at 9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/SA4") (tstamp eb0627ea-4705-4e2d-904f-1e06af050957)) - (pad "30" smd roundrect locked (at 8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/SA5") (tstamp 22092cce-d385-4bb7-a697-ead1917d943f)) - (pad "31" smd roundrect locked (at 8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/SA6") (tstamp 5685e99e-ba7e-4c8d-a3d8-8fe2d18b6a06)) - (pad "32" smd roundrect locked (at 7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/SA7") (tstamp b82eac96-548e-4330-8147-4c032a9779e8)) - (pad "33" smd roundrect locked (at 6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "/SA8") (tstamp 6d88bdbe-c888-4646-8a33-0dccb85024be)) - (pad "34" smd roundrect locked (at 5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 127 "/SA9") (tstamp 35096634-172a-4266-8e0e-bd8ec50b4814)) - (pad "35" smd roundrect locked (at 4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "/SA11") (tstamp 61a355d9-5231-4699-9738-3ec704d44035)) - (pad "36" smd roundrect locked (at 4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/SA12") (tstamp 02024209-ce7c-4340-91a2-371cc383fe20)) - (pad "37" smd roundrect locked (at 3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/SCKE") (tstamp 7e67eba7-8ee1-4d91-b055-56645a68c83d)) - (pad "38" smd roundrect locked (at 2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "/RCLK") (tstamp c2084e80-7105-4b3f-8361-ce886bc5d872)) - (pad "39" smd roundrect locked (at 1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/SDQMH") (tstamp 9a188f99-fcd4-4cb5-833f-980f2e868f71)) - (pad "40" smd roundrect locked (at 0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp a91c344c-b76d-479a-95ac-db602c96f4cf)) - (pad "41" smd roundrect locked (at 0 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 914c5b3a-21b5-462d-8f55-ca0b3cc81d2e)) - (pad "42" smd roundrect locked (at -0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/SD7") (tstamp 761ae40f-3f8a-403d-a7cc-68e01bb7c218)) - (pad "43" smd roundrect locked (at -1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 5f2b75bc-47c4-4c49-bf25-158a32ecac5e)) - (pad "44" smd roundrect locked (at -2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/SD6") (tstamp c78dbc5c-fbc9-42b0-819d-4b9e5bf239a3)) - (pad "45" smd roundrect locked (at -3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/SD5") (tstamp b139b84f-c3af-4879-bcf4-dc1250c4be79)) - (pad "46" smd roundrect locked (at -4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp bdea12a2-2bc1-4239-be1f-49df65c20ec0)) - (pad "47" smd roundrect locked (at -4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/SD4") (tstamp 3a3aca63-b635-4677-a0de-862a06867a82)) - (pad "48" smd roundrect locked (at -5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/SD3") (tstamp ee5398f2-011c-4cd0-a781-c87bc39f5028)) - (pad "49" smd roundrect locked (at -6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 7cd13748-dc37-449f-911e-3cd5ca334db4)) - (pad "50" smd roundrect locked (at -7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/SD2") (tstamp d856f467-8c41-4d04-a42b-b39340914446)) - (pad "51" smd roundrect locked (at -8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/SD1") (tstamp d0bc009c-d82a-462e-93a3-53eae1df5f45)) - (pad "52" smd roundrect locked (at -8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 883fdba5-f647-446e-8db4-99b5492ced0c)) - (pad "53" smd roundrect locked (at -9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/SD0") (tstamp 402e213f-c311-46e7-be4a-e0ac37124efc)) - (pad "54" smd roundrect locked (at -10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp ddeb7290-ccba-4429-869f-ccaeb1cc6577)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4b26cf) - (at 110.85 108.7 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000612ba8bd") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C34" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 201f2701-cc61-42d9-86cf-3a7042359134) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 960654b4-29a3-4176-bd1f-b4393dae5e89) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 68806d56-dd8c-470f-b2c4-6204e9fbeedd) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 2a138c15-b5ba-42d5-b3a6-5ed7c2526e0a)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 51820fb2-2061-48d4-9ff9-cbe29d3a9e13)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 185e829f-2552-4105-840f-92799e71a280)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 18728706-fe11-4492-80e9-6eb9f030261a)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp d3445e47-0590-4a03-a952-6ef27276d436)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp f207f6a3-3d59-4e1c-8780-48e56e98eb26)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 56df0dc1-7cc2-400a-b812-0be910d395e3)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 88803ba1-1870-416b-9aea-20f525eada78)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp db8cd789-7078-46d4-b800-78e2c20aea99)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f9a59e30-fe31-43d6-ae0a-371b33ec2ac1)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 0fdde613-0252-412a-91e4-9bc55f835499)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp d65bfe3e-6a02-45b7-84f0-622ebafa1ff3)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") - (tedit 5F326081) (tstamp 00000000-0000-0000-0000-00005f4c1814) - (at 107.1 102.5) - (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") - (tags "SMD SMT crystal") - (path "/00000000-0000-0000-0000-00005f710408") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "U13" (at 0 0) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 381ca88e-c25f-4661-bc09-16c51b36a2d9) - ) - (fp_text value "25M" (at 0 0.75) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 178bb273-5a55-4b3d-8fce-d62581bd2b81) - ) - (fp_line (start -2.15 -0.85) (end -2.15 1.75) (layer "F.SilkS") (width 0.1524) (tstamp 6f5820b5-edec-4f34-8c29-6d97fb86e53d)) - (fp_line (start -2.15 1.75) (end 1.1 1.75) (layer "F.SilkS") (width 0.1524) (tstamp 90210df1-c3d3-4a5b-b0e7-5428563ab8a0)) - (fp_line (start 2.1 -1.7) (end -2.1 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp 468e69d9-a6c3-44d2-84a1-085e4dfcaa51)) - (fp_line (start -2.1 -1.7) (end -2.1 1.7) (layer "F.CrtYd") (width 0.05) (tstamp 6d8c26be-6a42-402b-acee-7b1a9d70afbd)) - (fp_line (start -2.1 1.7) (end 2.1 1.7) (layer "F.CrtYd") (width 0.05) (tstamp b71144cf-2d30-4142-b405-459ae007e043)) - (fp_line (start 2.1 1.7) (end 2.1 -1.7) (layer "F.CrtYd") (width 0.05) (tstamp c625f4a3-b306-48d4-837d-1f1af0935084)) - (fp_line (start -1.6 -1.25) (end -1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 0b41b90f-841a-4c73-8d53-09d129e37f82)) - (fp_line (start 1.6 -1.25) (end -1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp 33a4f64c-08f7-427f-a216-67593d49afd9)) - (fp_line (start -1.6 1.25) (end 1.6 1.25) (layer "F.Fab") (width 0.1) (tstamp 3a7b0d96-131e-4483-8a2e-554f23364816)) - (fp_line (start 1.6 1.25) (end 1.6 -1.25) (layer "F.Fab") (width 0.1) (tstamp e485771e-94a9-4883-83cf-3bb66b7c263c)) - (fp_line (start -1.6 0.25) (end -0.6 1.25) (layer "F.Fab") (width 0.1) (tstamp e9bcb93b-c826-4e57-9556-d0b274eabb4d)) - (pad "1" smd roundrect locked (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 9be2511d-ade2-4226-92b7-3aaaf18f4666)) - (pad "2" smd roundrect locked (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 8dc98b11-1919-421a-8564-71d82f74ac4b)) - (pad "3" smd roundrect locked (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "Net-(U13-Pad3)") (tstamp d1900729-d56b-4976-aa55-9cc260dc60e7)) - (pad "4" smd roundrect locked (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp c297b840-adfe-4d79-b351-a7564512dd90)) - (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:SOT-353" (layer "F.Cu") - (tedit 5F739FE4) (tstamp 00000000-0000-0000-0000-00005f4c428a) - (at 108.2 98.85 -90) - (tags "SOT-353 SC-70-5") - (path "/00000000-0000-0000-0000-0000600066d4") - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "U16" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp cfe340c9-e55a-4efa-92f9-4a9ada8b9084) - ) - (fp_text value "74LVC1G125GW" (at -0.35 0 180) (layer "F.Fab") - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 624d7dfe-b62c-43d1-be54-9365187252a4) - ) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer "F.SilkS") (width 0.12) (tstamp 6f521512-dc80-4bfa-b1e7-99b2bbf7dc8f)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer "F.SilkS") (width 0.12) (tstamp 8bf3af56-e566-4455-b8d0-59fd6ebf0477)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer "F.CrtYd") (width 0.05) (tstamp 85f108a3-c093-4b2f-96b6-48f3a532e42d)) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp a4846049-f592-4cc8-a6ee-d1d1f746da0a)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp b3a9743e-0986-4452-a05e-5d2e63b68298)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer "F.CrtYd") (width 0.05) (tstamp eff17511-db80-4bf2-a5df-08ce5539f064)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer "F.Fab") (width 0.1) (tstamp 6871d271-2c45-4198-8143-c27a9519af3d)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer "F.Fab") (width 0.1) (tstamp 8a43a549-7c53-4159-9079-e3706117cb9f)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer "F.Fab") (width 0.1) (tstamp 971438bc-4cd8-4327-b08b-db03d03a9a5d)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer "F.Fab") (width 0.1) (tstamp b26f05c6-45b4-4835-b8be-d2c660fd83ad)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer "F.Fab") (width 0.1) (tstamp d4f7fae7-033b-42f6-b7ba-d553a4e26289)) - (pad "1" smd roundrect locked (at 0.85 0.65 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 302b35e4-6670-451d-a393-9bd0d52c33af)) - (pad "2" smd roundrect locked (at 0.85 0 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 85 "Net-(U13-Pad3)") (tstamp 6f15beb2-0106-4fbf-8adb-ccdb5a75c0e0)) - (pad "3" smd roundrect locked (at 0.85 -0.65 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp efde4761-1d3f-4df0-a2c7-e8d55a6f1436)) - (pad "4" smd roundrect locked (at -0.85 -0.65 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "Net-(RN1-Pad1)") (tstamp 4bece68a-1596-4915-9b75-74c59a81fbd6)) - (pad "5" smd roundrect locked (at -0.85 0.65 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 359d4095-7e08-4e54-945e-63f380a9ecd6)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4ce1de) - (at 105.95 98.75 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb50") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C27" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1a8ebf2d-7e4b-42ba-8c6a-2fd162e248cb) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 8af0d93e-c3d1-4e62-8f2d-cd3cb376b0cc) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5df6cb4a-3143-4fde-9e5e-43900021c7b8) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 32198aee-b3a1-43b6-86b6-d59a912d0744)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 979cb235-e50e-410a-b85f-545dcd71f86a)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 1b5b0942-22ae-408a-9dfc-a25f58bd9361)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 59c43686-2605-4964-898c-ea48ea3b121e)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 6ce1a3f9-d221-4655-860f-c7f654857f7f)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp cd523462-966c-42a1-a83b-36f0c40e4d09)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 110c646a-5ec6-4203-8722-d47c66b9bc09)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1b782cae-11f0-42dc-ad13-ba5bbade0716)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8129d831-cd27-4847-abcd-664194cf1819)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e52e7cef-7a64-4848-8436-290ba3f36ee4)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 5a254248-1fcd-4f4e-a0d9-ddad36b2d9ea)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp b053247b-f866-485a-a971-bfcfe4821494)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f4dda3e) - (at 110.8 104.05 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000612ba8af") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C33" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 576cb25e-63be-4e68-a8bd-bd1946c153c6) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 477b3c91-b7dc-4a73-9fd3-8aa69a1645ae) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 9d5c2274-b455-4ffd-92d8-2c44b26b0be2) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 98eb0372-3de1-41da-b001-ce9a466d4945)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp b2e64346-2240-41fc-8a8b-2450f998ed11)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 1285f68a-7f52-4fe0-a7c6-0c227137ee9f)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2b1c9679-da16-4ce6-bcb1-ccceda8c22f6)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp bf560347-a02a-4c1b-8761-729f1a06bdf0)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp d55f392d-d4f3-4a54-a03f-0d22662e9b09)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7317c837-1082-495c-96ba-4115a3a6dc0c)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp ba399cf3-6213-4233-9b2e-a844344c3329)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp cd274adc-f096-42c6-b43f-9b19a671badd)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f499cbd6-6be5-4b96-9064-133f1dfd9ad3)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp e69906cd-1084-40b8-bc4a-8f253ac53ca8)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 8953531d-425e-47dc-806f-15428ad1c010)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f556ca1) - (at 123.65 115.35 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000628afb59") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C28" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 264fda7a-4e7a-474b-a008-73f6ca3742d8) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp edcce368-457c-403e-8282-741e0b393044) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e6dad23c-52f8-427c-9d48-e45804cebb57) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 20e55d3a-378b-4708-bcfa-d218097eedf6)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp e614e1da-5910-48a1-9b36-eec68bdac8c3)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 331643f8-45d2-4beb-8a56-9cafc0693e1a)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp ca784954-eee2-4a0e-9d00-8e29ca2e7ab2)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp ed31c493-038c-4c18-87f7-7643cf7eab38)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp ffb1658e-8fc7-4d75-b356-86c97a5cc99b)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 408c43f7-2f28-41a0-a672-053b7ed21817)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 668b02e0-6d65-4bd5-abac-2463b7559f01)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 978c7904-18d8-450a-b68c-631fe47f63cd)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e6ff74fb-7895-4055-8f07-ecd44566f2b2)) - (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 6632c065-5b3d-4738-9808-dcc1c873fa66)) - (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 40daa9fb-c3d7-4fdd-bdd6-d71cf467dcd4)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") - (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-00005f58ea10) - (at 90.225 122) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path "/00000000-0000-0000-0000-00005f3ca754") - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 6d5abaf9-386d-48e2-8d68-f12022156cfb) - ) - (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 7411876f-dfb5-438e-a6c1-81c5124fafb1) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 0001fea5-902d-48c7-836e-fc3524aaf22c) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp cb051859-21d0-429e-8e5d-3839f35e9493)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp fd1ddf9b-9304-414c-a1f6-b3b6e9202494)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 19e8cd29-dd25-43f1-974f-f3cb2499abd7)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 4f2d18f2-0648-4ba5-95f6-438a018721c4)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp a1b36478-25ef-4f12-8a89-fe4bdd02450d)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp bc1c6757-8d7f-44c5-a88b-fd87e79051f1)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 06b68aba-7f6c-4f40-9f07-1c2834f87c18)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 58c1dbb6-0752-401f-a414-4eb0b0f933eb)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp 74ae0038-0133-4cb9-bb45-74ec7afdfbd3)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp d150ceb9-17a4-4723-af81-fa40df62506e)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp ed293712-8d5a-435a-961e-9b57346df3e2)) - (pad "1" smd roundrect locked (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 54d447ed-ecb0-4641-8563-09c9efa7df49)) - (pad "2" smd roundrect locked (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 13 "/A0") (tstamp 70232c01-7fc0-4126-82cc-0623442915e7)) - (pad "3" smd roundrect locked (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 12 "/A1") (tstamp 5047408e-8153-4ca8-8606-252773d7aac6)) - (pad "4" smd roundrect locked (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 11 "/A2") (tstamp f1ea9629-0db9-4218-8ce7-dd9e9c262b5b)) - (pad "5" smd roundrect locked (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 10 "/A3") (tstamp 7d663b6d-0b70-443a-86ee-8d4b801b2530)) - (pad "6" smd roundrect locked (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 3 "/A4") (tstamp 47f9bd50-a0ed-49db-94fa-652b5541b992)) - (pad "7" smd roundrect locked (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 9 "/A5") (tstamp 5d9c22fb-42c3-4167-a359-ed95935ae3c8)) - (pad "8" smd roundrect locked (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 8 "/A6") (tstamp 74c3cb8b-3314-4879-ad3b-efe14ff14e90)) - (pad "9" smd roundrect locked (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 7 "/A7") (tstamp 909c1db5-af41-4110-8cb0-78acc05d1061)) - (pad "10" smd roundrect locked (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 8c09a0f7-3ec8-49f5-ad5b-5cda9e7460a8)) - (pad "11" smd roundrect locked (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "/RA7") (tstamp ae34ca85-3607-4b02-a720-7f76e8d7e328)) - (pad "12" smd roundrect locked (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "/RA6") (tstamp 972f569d-cd89-44b5-a8ee-30e99bf37dfa)) - (pad "13" smd roundrect locked (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "/RA5") (tstamp 43e183f8-eba8-46d8-a65c-a8d0be153c73)) - (pad "14" smd roundrect locked (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "/RA4") (tstamp eab7a0f6-bf18-4cd1-9e3d-ecc293d15364)) - (pad "15" smd roundrect locked (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "/RA3") (tstamp ce6bff41-358d-4602-85a1-56a4f5d110bc)) - (pad "16" smd roundrect locked (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "/RA2") (tstamp be2a08e0-4c4c-4f3d-89e7-66ba2148d9d7)) - (pad "17" smd roundrect locked (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 54 "/RA1") (tstamp be1276b1-af91-44c1-849e-7bf34847b6a5)) - (pad "18" smd roundrect locked (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "/RA0") (tstamp 7dc39591-bc79-4a9b-95c0-417b27d600d5)) - (pad "19" smd roundrect locked (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp fc1fd922-1399-4cf9-995f-3863006e8d86)) - (pad "20" smd roundrect locked (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 51d88d34-e7c1-4ff4-9140-56d9a685a6a7)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") - (tedit 5F27C9F6) (tstamp 00000000-0000-0000-0000-00005f5a468a) - (at 81.025 122) - (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SSOP 0.65") - (path "/00000000-0000-0000-0000-00005fd6dfdd") - (solder_mask_margin 0.024) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 9ec68018-b9bf-46d4-abca-61860a1554fc) - ) - (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 368610a4-ce61-4176-ae06-b4809496ef34) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 9a744607-d61d-4f17-b3bc-8c366b63de19) - ) - (fp_line (start -3.45 3.75) (end -3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp 2d97daf5-8313-4876-b8d6-0e364fe2f148)) - (fp_line (start 3.45 2.225) (end 3.45 -2.225) (layer "F.SilkS") (width 0.15) (tstamp f8cf072c-c4fc-4d55-b98b-7c6c391e32de)) - (fp_line (start -3.55 -3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 54f83d66-d17d-4991-ae54-0b7e54a802b4)) - (fp_line (start -3.55 3.95) (end -3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp 6df6b11d-ad92-420c-803d-b7655c958175)) - (fp_line (start -3.55 3.95) (end 3.55 3.95) (layer "F.CrtYd") (width 0.05) (tstamp 8a5ff09f-7252-4a5d-bcb9-7621274b5a31)) - (fp_line (start 3.55 3.95) (end 3.55 -3.95) (layer "F.CrtYd") (width 0.05) (tstamp fe2655a8-13d8-4039-aba4-1bba81ac6fee)) - (fp_line (start -3.25 -2.2) (end 3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 14d192b0-885c-455a-b2a6-3fdf6a32d351)) - (fp_line (start -3.25 1.2) (end -3.25 -2.2) (layer "F.Fab") (width 0.15) (tstamp 1b7f84f8-1316-4230-98de-13f85760a252)) - (fp_line (start 3.25 2.2) (end -2.25 2.2) (layer "F.Fab") (width 0.15) (tstamp 5c6445f2-55d2-4158-9cae-a532a6f47f3c)) - (fp_line (start -2.25 2.2) (end -3.25 1.2) (layer "F.Fab") (width 0.15) (tstamp 720bbb24-9924-4a02-9dbf-a7fbaed0aefc)) - (fp_line (start 3.25 -2.2) (end 3.25 2.2) (layer "F.Fab") (width 0.15) (tstamp f98aefbe-1069-4ca1-858e-dbbdbc56769e)) - (pad "1" smd roundrect locked (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/Ddir") (tstamp 848b9538-2d58-4033-a17d-c5449fb2184a)) - (pad "2" smd roundrect locked (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 17 "/D0") (tstamp 8ebbd1d9-0c96-49ea-aefd-e5785f478386)) - (pad "3" smd roundrect locked (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 15 "/D1") (tstamp abff7dc0-05c1-476c-910f-e2dccec38564)) - (pad "4" smd roundrect locked (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 18 "/D2") (tstamp 384027c2-f5dc-4526-9c2f-8372a755ccd6)) - (pad "5" smd roundrect locked (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 19 "/D3") (tstamp 51b13de0-6c82-4f3f-824b-3c2c45d71020)) - (pad "6" smd roundrect locked (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 20 "/D4") (tstamp 1f08696a-ad33-46fc-a1c5-a75f59ea9e09)) - (pad "7" smd roundrect locked (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 16 "/D5") (tstamp b830ae61-5cf3-45d7-b837-1eb84500b62b)) - (pad "8" smd roundrect locked (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 5 "/D6") (tstamp a4adf70d-579e-48be-98b3-0c3dd0c56bb8)) - (pad "9" smd roundrect locked (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 4 "/D7") (tstamp 31eef6d1-6ebe-404f-bd71-c39600a26bf8)) - (pad "10" smd roundrect locked (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp f44755e3-5528-40e7-b654-c7ee94b81b7b)) - (pad "11" smd roundrect locked (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 71 "/RD7") (tstamp ea0c23f3-3436-4bd1-9549-88d209a079e7)) - (pad "12" smd roundrect locked (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "/RD6") (tstamp 0ed54a9f-cef2-4aad-aa09-bf9da4b2b979)) - (pad "13" smd roundrect locked (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "/RD5") (tstamp ac5e020e-ce9e-470e-9c13-077e1d3a37a1)) - (pad "14" smd roundrect locked (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "/RD4") (tstamp 6d4e8352-b3b3-4e7f-9252-867f20e93f0a)) - (pad "15" smd roundrect locked (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "/RD3") (tstamp 6a0e9fc4-907e-4e68-949e-61a95824c68c)) - (pad "16" smd roundrect locked (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "/RD2") (tstamp 1c9f6949-579f-4f7a-8636-af665cf2edf8)) - (pad "17" smd roundrect locked (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "/RD1") (tstamp 07dda897-b3e5-48e9-9d64-5128b51da11a)) - (pad "18" smd roundrect locked (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/RD0") (tstamp e59a45f7-0f09-4246-896d-98146d3ce6bd)) - (pad "19" smd roundrect locked (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp c8ebcf56-ab51-4286-a3b5-56505a1e9990)) - (pad "20" smd roundrect locked (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 75b9c91b-0604-4669-a4db-6d5324694214)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f656d46) - (at 104.2 119.8 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bbf3") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C16" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0013ae5a-6b13-491b-83e1-6fe165e71981) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 56e249b0-5f8f-4e93-8344-b755160032fa) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 5946d17a-6d30-42c7-bf95-061ef09ccfda) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 35e7459f-3015-4ae0-9485-99bac4455b07)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 6bbf1b9d-0f47-4388-94e2-4c15e1b28ae4)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2ccfcfe9-e825-4a0c-aed7-12e981805eb5)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 3c70dee1-9664-4d68-9e46-2890a0d7d1e7)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp ca20dbbc-2ba3-4df2-bec9-b514f9a5f609)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp caaf7ce4-620a-49dd-be69-9f6f42de6c7d)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 20acf63d-8155-441b-99f1-aa553e9e9d3d)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 38a92ea4-dcb4-4dc3-bb9d-175eb17f7640)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 77a38863-9e91-443b-bd99-2106dfc479ce)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e5f139e5-97bc-47bb-b471-319a359a6376)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp dea90e56-45b9-4ba4-8611-0ad6fd74b7ce)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 2db8df86-1062-446b-9531-cb2985ffef06)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f6ddbd1) - (at 126.45 93.4 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000612ba8a4") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C32" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 29c8a56e-f858-4995-97a1-6154dffe4743) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 69ce9cff-8751-44e9-b0dc-cc3015cf7444) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp ae700de5-667d-40ae-8885-0b9b8d11de92) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 34511ff2-0ca6-4976-b7f7-10fe0561a1ce)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c390bfe9-0f73-4f1c-87a4-3d9a847a4aeb)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2a45d19a-bd53-4f7f-8ef7-8a9f488de5b3)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 31bf3618-806b-46b3-b3ae-8da0396465b6)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 8e99b9b4-928e-4520-97fe-abf10b937ad8)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp c1a02897-d479-4b2e-baa0-0a1083d7aae8)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0b2cbb30-f928-4117-8c4e-9fa271851416)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1081cebc-8caa-46c9-b438-2af5f67fb199)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 811f2797-0046-4316-9b63-b2432002f9d6)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c4cbdec2-7bd4-4cee-8ad0-c4c5f2090f87)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 337197bd-62e7-4a8d-b19c-f1fcdf6c5ad3)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 059a050b-b794-4975-9d18-0f051a10004c)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:Fiducial" (layer "F.Cu") - (tedit 5F1BCA76) (tstamp 00000000-0000-0000-0000-00005f727df6) - (at 48.133 93.599 90) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005d321d2b") - (attr smd) - (fp_text reference "FID2" (at 0 -1.6 90) (layer "F.SilkS") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 0de344d2-7071-44b7-a74b-6ef02cc41e5b) - ) - (fp_text value "Fiducial" (at 0 2 90) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp d02c62c5-0acf-4b35-97a6-f1f3a880eb8b) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 1a6d2848-e78e-49fe-8978-e1890f07836f) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 17eac3a0-7059-46ed-95c3-c4a565b27154)) - (pad "~" smd circle locked (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 7fc10263-0123-4329-93ac-413dbb014f64)) - ) - - (footprint "stdpads:Fiducial" (layer "F.Cu") - (tedit 5F1BCA76) (tstamp 00000000-0000-0000-0000-00005f727e0b) - (at 58.801 82.931 90) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005d321da8") - (attr smd) - (fp_text reference "FID3" (at 0 -1.6 90) (layer "F.SilkS") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 1f651130-4b16-4a21-8d7d-9225aa15d5e4) - ) - (fp_text value "Fiducial" (at 0 2 90) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp b7180800-fed1-4ced-9ae9-5f0eaa5fc6f7) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 099473f1-6598-46ff-a50f-4c520832170d) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 7e7c7c11-3faf-4288-b54c-c1a96cdb53f0)) - (pad "~" smd circle locked (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 19b9118f-9963-408d-98ae-d8338e54c9f0)) - ) - - (footprint "stdpads:Fiducial" (layer "F.Cu") - (tedit 5F1BCA76) (tstamp 00000000-0000-0000-0000-00005f727e20) - (at 48.133 129.54) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d110") - (attr smd) - (fp_text reference "FID5" (at 0 -1.6) (layer "F.SilkS") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 56659a02-3d41-4a83-8024-d90208cbdd68) - ) - (fp_text value "Fiducial" (at 0 2) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 282c2a00-c620-4c22-b231-af99b73d071e) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.06))) - (tstamp 6d2a06fb-0b1e-452a-ab38-11a5f45e1b32) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp fd73bab2-fc71-4b2e-9d46-53bd4b06d591)) - (pad "~" smd circle locked (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") - (solder_mask_margin 0.5) (clearance 0.575) (tstamp 309a4843-d0f2-44f7-9e6c-5e7259031bd1)) - ) - - (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") - (tedit 5F27B084) (tstamp 00000000-0000-0000-0000-00005f72b32b) - (at 48.133 96.139 90) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d123") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "H3" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 88e96532-f122-4aca-9226-fff5fca97e61) - ) - (fp_text value " " (at 0 2 90) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 9e32ab2a-3d06-45ec-8679-6330988a7a82) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp fcb23687-79e7-494b-b78d-610b9b788477)) - (pad "" np_thru_hole circle locked (at 0 0 90) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148) (tstamp 5755f436-0e3d-4d45-8c1a-0bdd2b442e0b)) - ) - - (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") - (tedit 5F27B084) (tstamp 00000000-0000-0000-0000-00005f72b330) - (at 57.023 84.709 90) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d0fd") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "H4" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 57252f9a-43d2-40ac-ac6f-0a32208dd743) - ) - (fp_text value " " (at 0 2 90) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp cc35a772-bde8-4d78-a9ae-b0d5dc36a3f2) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp dbb26299-96da-4f8e-80e9-62a513b64fd3)) - (pad "" np_thru_hole circle locked (at 0 0 90) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148) (tstamp 94b43586-8936-44b2-a4c2-d7e2f3070afb)) - ) - - (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") - (tedit 5F27B084) (tstamp 00000000-0000-0000-0000-00005f72b335) - (at 143.002 127) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005ff3d1f9") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "H6" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp 64c3b56e-9893-49f5-8367-6228bbe40788) - ) - (fp_text value " " (at 0 2) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 83ae7dbf-fe84-437d-ab57-38fb182aa005) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 63ea53ac-05a8-4a46-9570-149e01f1cbc3)) - (pad "" np_thru_hole circle locked (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148) (tstamp 68d56830-5948-491b-9668-8360717c16bd)) - ) - - (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") - (tedit 5F27B084) (tstamp 00000000-0000-0000-0000-00005f72b33a) - (at 48.133 127) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d122") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "H2" (at 0 0) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp c8ca09c4-3864-43ce-949c-ef7e8a8f9575) - ) - (fp_text value " " (at 0 2) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp d9c48155-d6b2-476b-99ba-ae1111eacf7d) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 172d6b03-a4be-4cff-ac34-9b84e4b169a9)) - (pad "" np_thru_hole circle locked (at 0 0) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148) (tstamp b4281b35-de41-4fca-89f2-f4ae5132491f)) - ) - - (footprint "stdpads:R4_0402" (layer "F.Cu") - (tedit 5F27CA30) (tstamp 00000000-0000-0000-0000-00005f73f49d) - (at 108.2 95.15) - (tags "resistor array") - (path "/00000000-0000-0000-0000-00006006d01e") - (solder_mask_margin 0.024) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference "RN1" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7cecc63c-48fa-4634-8c97-d56136d96d15) - ) - (fp_text value "4x33" (at 0 -0.3 180) (layer "F.Fab") - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 3dd7ba6d-58d0-4668-bf89-3ae2dc7e4cfb) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 10bca99a-9783-4bab-9a0d-22da2c8443bf) - ) - (fp_line (start -1.18 -0.25) (end -1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp 1ab613bc-c8cb-43fc-9c7d-fb51bbd43b94)) - (fp_line (start 1.18 -0.25) (end 1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp 567280a0-0fd2-442e-8d65-0abc04d4b153)) - (fp_line (start 1.25 -1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 5f32fa3d-c341-4ae2-baea-ed817563f04c)) - (fp_line (start 1.25 -1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp 67cfc63e-a0c5-4bef-b56a-b4fee9ef1190)) - (fp_line (start -1.25 1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp ca4ade4b-071e-4766-bfd1-eee3b7fe162d)) - (fp_line (start -1.25 1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp fdf1462c-06f7-4a5a-8aaf-cc7b8625f1e0)) - (fp_line (start -1 0.5) (end -1 -0.5) (layer "F.Fab") (width 0.1) (tstamp 03e60fcd-1460-4b22-8cbb-05cc45895638)) - (fp_line (start 1 0.5) (end -1 0.5) (layer "F.Fab") (width 0.1) (tstamp 576c6c00-ef43-4258-b9e2-7e731edf5a01)) - (fp_line (start -1 -0.5) (end 1 -0.5) (layer "F.Fab") (width 0.1) (tstamp 5eb019f5-5d23-4a49-9a25-844aebbb058f)) - (fp_line (start 1 -0.5) (end 1 0.5) (layer "F.Fab") (width 0.1) (tstamp d12f3746-91cf-421e-a1ce-ff00eaa6ba46)) - (pad "1" smd roundrect locked (at -0.8 0.5 90) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "Net-(RN1-Pad1)") (solder_paste_margin -0.035) (tstamp 9dc5d0a9-3e3f-4a4b-822a-3b8b4f503bce)) - (pad "2" smd roundrect locked (at -0.25 0.5 90) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 119 "Net-(RN1-Pad2)") (tstamp 2c5a7349-9aeb-4819-a9d9-8eb7dc2a19db)) - (pad "3" smd roundrect locked (at 0.25 0.5 90) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 120 "Net-(RN1-Pad3)") (tstamp b77e1d5f-840e-4bdf-b3d8-15e289b4eb95)) - (pad "4" smd roundrect locked (at 0.8 0.5 90) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 118 "Net-(RN1-Pad1)") (solder_paste_margin -0.035) (tstamp ad5ee137-0046-42f6-89e8-503cdde3189c)) - (pad "5" smd roundrect locked (at 0.8 -0.5 90) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 87 "/RCLK") (solder_paste_margin -0.035) (tstamp cbf5cb4f-9a84-421b-b9e2-a8f313ec7a95)) - (pad "6" smd roundrect locked (at 0.25 -0.5 90) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 116 "Net-(RN1-Pad6)") (tstamp 295c6d13-2059-4604-98a9-b81bcd528128)) - (pad "7" smd roundrect locked (at -0.25 -0.5 90) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 117 "Net-(RN1-Pad7)") (tstamp eca5cc54-aa24-4f61-9363-0329f77b8552)) - (pad "8" smd roundrect locked (at -0.8 -0.5 90) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "/ACLK") (solder_paste_margin -0.035) (tstamp cb5a623a-6c46-4175-812a-552213cdeeaf)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_Array_Convex_4x0402.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:R4_0402" (layer "F.Cu") - (tedit 5F27CA30) (tstamp 00000000-0000-0000-0000-00005f755388) - (at 108.45 106.25 -90) - (tags "resistor array") - (path "/00000000-0000-0000-0000-0000602f02a7") - (solder_mask_margin 0.024) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference "RN2" (at 0 0 -90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 68dcda1c-eaae-492f-8b38-af27e9acf9e5) - ) - (fp_text value "4x33" (at 0 -0.3 -90) (layer "F.Fab") - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 0e99035f-c61f-4426-ac10-e866e4fcf076) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7bca4f9e-b0b4-4c1d-9e2f-67e281cb4cce) - ) - (fp_line (start -1.18 -0.25) (end -1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp 508ac672-4f4a-4781-9c53-b80271b3c07a)) - (fp_line (start 1.18 -0.25) (end 1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp 7e0a94a5-71e1-4db6-a3c9-ed35b8d6c216)) - (fp_line (start -1.25 1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 30f77187-6365-4f8c-8407-651d77d99d1c)) - (fp_line (start 1.25 -1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp 502b1353-1337-48f3-a5c2-40503eabf49c)) - (fp_line (start 1.25 -1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 8c8940ff-6009-4f74-90e6-62f8102eed91)) - (fp_line (start -1.25 1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp f6a1ce86-747d-4346-8cbe-ed71c52ec825)) - (fp_line (start 1 -0.5) (end 1 0.5) (layer "F.Fab") (width 0.1) (tstamp 49415054-d373-4bf5-a4db-6c8d65272c77)) - (fp_line (start -1 0.5) (end -1 -0.5) (layer "F.Fab") (width 0.1) (tstamp 96710eaf-74e6-46e5-9e37-650474b8978d)) - (fp_line (start -1 -0.5) (end 1 -0.5) (layer "F.Fab") (width 0.1) (tstamp c7cefabf-20bb-41a0-b2e1-88ce019f67c5)) - (fp_line (start 1 0.5) (end -1 0.5) (layer "F.Fab") (width 0.1) (tstamp e61af61b-f89f-4a48-879e-35703da1433f)) - (pad "1" smd roundrect locked (at -0.8 0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/Dr7") (solder_paste_margin -0.035) (tstamp 5b5db768-b407-4784-a482-03da519391d4)) - (pad "2" smd roundrect locked (at -0.25 0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/Dr6") (tstamp 3e9a2644-bc3f-4e19-9fa6-57985adfdcba)) - (pad "3" smd roundrect locked (at 0.25 0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/Dr5") (tstamp 631af5b7-e61b-4366-8eed-06c2ec7f414f)) - (pad "4" smd roundrect locked (at 0.8 0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Dr4") (solder_paste_margin -0.035) (tstamp cb9b7848-9d34-4033-abc0-fb3ddbc2d6ee)) - (pad "5" smd roundrect locked (at 0.8 -0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 103 "/SD4") (solder_paste_margin -0.035) (tstamp bc8bf929-a5cc-4f99-8d2a-948f66411e56)) - (pad "6" smd roundrect locked (at 0.25 -0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 102 "/SD5") (tstamp 17b625a4-a888-44e0-b5b5-5c9335e7d116)) - (pad "7" smd roundrect locked (at -0.25 -0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 100 "/SD6") (tstamp 5cdb3719-e8d8-46d8-be76-15a49b96a5e9)) - (pad "8" smd roundrect locked (at -0.8 -0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 101 "/SD7") (solder_paste_margin -0.035) (tstamp cdd5f992-704a-4275-b34b-d59a09f96eeb)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_Array_Convex_4x0402.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:R4_0402" (layer "F.Cu") - (tedit 5F27CA30) (tstamp 00000000-0000-0000-0000-00005f75539e) - (at 108.45 110.65 -90) - (tags "resistor array") - (path "/00000000-0000-0000-0000-0000602f6b86") - (solder_mask_margin 0.024) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference "RN3" (at 0 0 -90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0781324b-6d97-4f8a-9d7f-bf1f3d539067) - ) - (fp_text value "4x33" (at 0 -0.3 -90) (layer "F.Fab") - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp 82ea5262-8cb0-4aa3-ac2d-a35dc022e2a3) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 444fadf8-90df-4474-a32e-ead56bdeedca) - ) - (fp_line (start 1.18 -0.25) (end 1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp 0a32f905-3f97-4852-af67-7addb4d70265)) - (fp_line (start -1.18 -0.25) (end -1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp 130b9329-4a4c-4794-ac2d-017f1c00c883)) - (fp_line (start 1.25 -1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 327164a7-bde3-40aa-adf2-70ca6e963d5f)) - (fp_line (start -1.25 1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 6de6b1a8-62b8-402a-ac1c-48bdfb0163aa)) - (fp_line (start -1.25 1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp 8d9943a0-30e0-4fcc-926f-acf21b5b98b5)) - (fp_line (start 1.25 -1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp e00954a8-2653-40f5-804e-f3c28ab1b559)) - (fp_line (start -1 0.5) (end -1 -0.5) (layer "F.Fab") (width 0.1) (tstamp 0bb80455-a1ea-4287-a5e3-1366c4bf5abb)) - (fp_line (start 1 -0.5) (end 1 0.5) (layer "F.Fab") (width 0.1) (tstamp 4f3e0480-a16b-40f1-8aa8-17abdd49f8de)) - (fp_line (start 1 0.5) (end -1 0.5) (layer "F.Fab") (width 0.1) (tstamp 9e3c6631-71f8-40d5-98da-0f0ad50bfdee)) - (fp_line (start -1 -0.5) (end 1 -0.5) (layer "F.Fab") (width 0.1) (tstamp a3eb5fff-8b61-445b-97e2-373c87a23cb5)) - (pad "1" smd roundrect locked (at -0.8 0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Dr3") (solder_paste_margin -0.035) (tstamp 44a3b687-2767-439f-ba58-898185e9215f)) - (pad "2" smd roundrect locked (at -0.25 0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/Dr2") (tstamp ae815d24-d5bc-4e33-80fa-4e948d3968a6)) - (pad "3" smd roundrect locked (at 0.25 0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Dr1") (tstamp 18713da5-414f-48bd-8ba8-ddb7ff8ba239)) - (pad "4" smd roundrect locked (at 0.8 0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/Dr0") (solder_paste_margin -0.035) (tstamp d7ee5663-f5e4-4e82-bb88-fcd536cfc09e)) - (pad "5" smd roundrect locked (at 0.8 -0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 96 "/SD0") (solder_paste_margin -0.035) (tstamp e2ec5c16-1e72-4a79-81b2-711742440b39)) - (pad "6" smd roundrect locked (at 0.25 -0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 97 "/SD1") (tstamp 4f6c0664-4549-49ae-af0d-6cf06e77d059)) - (pad "7" smd roundrect locked (at -0.25 -0.5) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 99 "/SD2") (tstamp d85a0275-919b-42e2-9088-0c24a402b671)) - (pad "8" smd roundrect locked (at -0.8 -0.5) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 98 "/SD3") (solder_paste_margin -0.035) (tstamp 953c44e4-bdea-427a-843e-71c192fd9b83)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_Array_Convex_4x0402.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") - (tedit 5F27B084) (tstamp 00000000-0000-0000-0000-00005f77370a) - (at 140.462 82.423 -90) - (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") - (tags "marker") - (path "/00000000-0000-0000-0000-00005f45d0fe") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "H5" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.4 0.4) (thickness 0.1))) - (tstamp a897a025-cdd4-40ed-9dc0-1f46fe662301) - ) - (fp_text value " " (at 0 2 90) (layer "F.Fab") hide - (effects (font (size 0.508 0.508) (thickness 0.127))) - (tstamp 776006be-d866-43cf-9116-5ed82bc41dd3) - ) - (fp_circle (center 0 0) (end 1 0) (layer "F.Fab") (width 0.1) (fill none) (tstamp 861f715d-bebd-4107-920a-f8f72fbe705d)) - (pad "" np_thru_hole circle locked (at 0 0 270) (size 1.152 1.152) (drill 1.152) (layers *.Cu *.Mask) - (solder_mask_margin 0.148) (tstamp 5c40247e-5f02-469b-86e7-d7bb4f416cca)) - ) - - (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") - (tedit 608E5AA2) (tstamp 00000000-0000-0000-0000-00005f7bd125) - (at 94.05 101.4 -90) - (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") - (tags "TQFP QFP") - (path "/00000000-0000-0000-0000-0000619f5fd5") - (solder_mask_margin 0.024) - (solder_paste_margin -0.035) - (attr smd) - (fp_text reference "U1" (at 0 0) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 2bb03519-896f-4cf7-a0cc-f90e3fa5eb89) - ) - (fp_text value "EPM240T100C5N" (at 1.25 0) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp b13a7fa4-c7eb-4f15-a166-656a06416050) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 8067e148-74fb-48f7-89c2-0c30d67886a4) - ) - (fp_line (start 7.11 6.41) (end 7.11 7.11) (layer "F.SilkS") (width 0.12) (tstamp 1e583e6b-ac3d-4fbd-a79c-9a027d85f978)) - (fp_line (start -7.11 6.41) (end -7.11 7.11) (layer "F.SilkS") (width 0.12) (tstamp 3df49ca4-3fdf-42f9-8eae-baf8f360bfb9)) - (fp_line (start -7.11 -6.41) (end -7.11 -7.11) (layer "F.SilkS") (width 0.12) (tstamp 45693bd3-2758-4dc9-984c-ae0fb6900171)) - (fp_line (start -7.11 -7.11) (end -6.41 -7.11) (layer "F.SilkS") (width 0.12) (tstamp 4717f17f-41cf-4c5a-92ed-5ad542321215)) - (fp_line (start -6.41 7.11) (end -6.41 8.4) (layer "F.SilkS") (width 0.12) (tstamp 4e694cae-33a1-4fea-91aa-8afce5008a39)) - (fp_line (start 7.11 7.11) (end 6.41 7.11) (layer "F.SilkS") (width 0.12) (tstamp 53a5ce38-b209-45ef-b9df-efa5f5858712)) - (fp_line (start -7.11 7.11) (end -6.41 7.11) (layer "F.SilkS") (width 0.12) (tstamp 6fcbd4b1-8d51-4cf7-b6c3-b9b53cdb01bc)) - (fp_line (start 7.11 -7.11) (end 6.41 -7.11) (layer "F.SilkS") (width 0.12) (tstamp 88c19d7e-539d-4c4e-8a1c-c36d32707ae8)) - (fp_line (start 7.11 -6.41) (end 7.11 -7.11) (layer "F.SilkS") (width 0.12) (tstamp a9d5de95-f9fc-4bc9-8240-4dea9673b68c)) - (fp_line (start -7.25 6.4) (end -7.25 7.25) (layer "F.CrtYd") (width 0.05) (tstamp 2002d5ce-0f2a-4ea6-8925-5f3839cb3fa0)) - (fp_line (start 7.25 7.25) (end 6.4 7.25) (layer "F.CrtYd") (width 0.05) (tstamp 2a1aef59-5e7a-4662-aaed-0099dfa9fe8d)) - (fp_line (start -8.65 -6.4) (end -7.25 -6.4) (layer "F.CrtYd") (width 0.05) (tstamp 33a38490-ecf5-4d95-a2fc-3fa9f18870e0)) - (fp_line (start 8.65 -6.4) (end 7.25 -6.4) (layer "F.CrtYd") (width 0.05) (tstamp 3b63e46e-9a44-4741-a96e-c1c6dd8a6002)) - (fp_line (start -6.4 -7.25) (end -6.4 -8.65) (layer "F.CrtYd") (width 0.05) (tstamp 4602f25e-67da-4174-86d6-bbb672ef7724)) - (fp_line (start 6.4 -7.25) (end 6.4 -8.65) (layer "F.CrtYd") (width 0.05) (tstamp 46d5f958-3ccf-4e5d-a9db-8cb3c25ac641)) - (fp_line (start 7.25 6.4) (end 7.25 7.25) (layer "F.CrtYd") (width 0.05) (tstamp 48b25522-1a0b-44c2-87a3-176f8bbb9455)) - (fp_line (start 8.65 0) (end 8.65 -6.4) (layer "F.CrtYd") (width 0.05) (tstamp 5c081f5d-6bb7-4195-baa0-c37b80da7e6e)) - (fp_line (start -6.4 -8.65) (end 0 -8.65) (layer "F.CrtYd") (width 0.05) (tstamp 6bf2ddd8-3d23-43ed-a1e2-b7086dd5470f)) - (fp_line (start 8.65 6.4) (end 7.25 6.4) (layer "F.CrtYd") (width 0.05) (tstamp 82521023-fca4-4765-b8eb-26747626ff88)) - (fp_line (start -6.4 8.65) (end 0 8.65) (layer "F.CrtYd") (width 0.05) (tstamp 83989aaa-fa6d-43c3-8d41-3810ce46fcf8)) - (fp_line (start -7.25 -7.25) (end -6.4 -7.25) (layer "F.CrtYd") (width 0.05) (tstamp 85e44034-f9bc-44b9-b472-042f560d4782)) - (fp_line (start -6.4 7.25) (end -6.4 8.65) (layer "F.CrtYd") (width 0.05) (tstamp a0fe5828-2da1-46f5-81e5-d868e1a34a9b)) - (fp_line (start 8.65 0) (end 8.65 6.4) (layer "F.CrtYd") (width 0.05) (tstamp a241d262-e90f-4c1d-ad32-d7bc9604623b)) - (fp_line (start -8.65 0) (end -8.65 -6.4) (layer "F.CrtYd") (width 0.05) (tstamp a80e97c6-7764-4d4b-bd03-51a1601d2e5e)) - (fp_line (start 6.4 -8.65) (end 0 -8.65) (layer "F.CrtYd") (width 0.05) (tstamp aa4ce2d9-75a6-4b3b-ac28-3dd349ac11d3)) - (fp_line (start -8.65 6.4) (end -7.25 6.4) (layer "F.CrtYd") (width 0.05) (tstamp c038dbef-e2ac-4ad6-b310-2d1aec7fcd5f)) - (fp_line (start -7.25 7.25) (end -6.4 7.25) (layer "F.CrtYd") (width 0.05) (tstamp c7fcefc7-be4d-45ff-beea-1ef27ca28911)) - (fp_line (start 6.4 8.65) (end 0 8.65) (layer "F.CrtYd") (width 0.05) (tstamp d0418be5-7225-4358-847a-a8d87e76b033)) - (fp_line (start 6.4 7.25) (end 6.4 8.65) (layer "F.CrtYd") (width 0.05) (tstamp e7061457-c30d-41a7-8e56-5619edf2c48b)) - (fp_line (start -7.25 -6.4) (end -7.25 -7.25) (layer "F.CrtYd") (width 0.05) (tstamp ed3e869d-b4e7-48c5-b69f-e55e9e2896b4)) - (fp_line (start 7.25 -7.25) (end 6.4 -7.25) (layer "F.CrtYd") (width 0.05) (tstamp f1d00af1-68b5-4489-9488-6653e3850b93)) - (fp_line (start 7.25 -6.4) (end 7.25 -7.25) (layer "F.CrtYd") (width 0.05) (tstamp f3245e87-e57c-4a07-8e6c-7d6293b755be)) - (fp_line (start -8.65 0) (end -8.65 6.4) (layer "F.CrtYd") (width 0.05) (tstamp f45dc938-ed69-4202-967b-bb2970755ad7)) - (fp_line (start -7 -7) (end 7 -7) (layer "F.Fab") (width 0.1) (tstamp 15941d7a-f595-4f96-b806-3fd20660689a)) - (fp_line (start 7 7) (end -6 7) (layer "F.Fab") (width 0.1) (tstamp 67b7e656-d97a-42c9-a675-a902deda8c1d)) - (fp_line (start 7 -7) (end 7 7) (layer "F.Fab") (width 0.1) (tstamp 889278bf-0fab-440b-8e14-cf47818b4f62)) - (fp_line (start -7 6) (end -7 -7) (layer "F.Fab") (width 0.1) (tstamp 9af04539-4182-4512-940c-a96ffb5af5a3)) - (fp_line (start -6 7) (end -7 6) (layer "F.Fab") (width 0.1) (tstamp bdfc9df7-0a09-44be-8e52-f965c2d2d08a)) - (pad "1" smd roundrect locked (at -6 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 57 "/RA4") (tstamp 3f00994b-99c9-4ca0-835d-a6fc3df540f3)) - (pad "2" smd roundrect locked (at -5.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 58 "/RA5") (tstamp b390f0af-7a61-4c98-ac77-e7b7e792afe8)) - (pad "3" smd roundrect locked (at -5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 59 "/RA6") (tstamp 11326df8-91c5-4a48-ad95-868a8d8a0e57)) - (pad "4" smd roundrect locked (at -4.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 56 "/RA3") (tstamp 3a56bcd7-2912-4d74-a639-976e978271fb)) - (pad "5" smd roundrect locked (at -4 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/F~{CS}") (tstamp eb7e65a0-b986-4675-847d-b26c9557c6b0)) - (pad "6" smd roundrect locked (at -3.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 60 "/RA7") (tstamp e411400f-f937-4acb-90e3-db8997a8ecfe)) - (pad "7" smd roundrect locked (at -3 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 61 "/RA8") (tstamp 204990dd-a67c-4a88-be91-d3fc9ecf7970)) - (pad "8" smd roundrect locked (at -2.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 62 "/RA9") (tstamp 23de4f92-efaa-4fb9-8d12-86b4c3f48f7b)) - (pad "9" smd roundrect locked (at -2 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 0b63a427-acfb-46c4-bf29-d66cd3a49f36)) - (pad "10" smd roundrect locked (at -1.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 08008bbd-f452-4b8c-ac73-7658dcbd4ee9)) - (pad "11" smd roundrect locked (at -1 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp e331473d-fb9b-4078-a5f3-833ed906d869)) - (pad "12" smd roundrect locked (at -0.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/FCK") (tstamp 514d91ef-5121-4346-9b32-b13496945041)) - (pad "13" smd roundrect locked (at 0 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 2dc52309-427e-4ccc-bea0-b10b1df03758)) - (pad "14" smd roundrect locked (at 0.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 63 "/RA10") (tstamp 2375b28c-bfe9-4fb6-9ce9-c6b47fc6d2ca)) - (pad "15" smd roundrect locked (at 1 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/MOSI") (tstamp 84be6aa0-9f8a-4486-ba56-d6d300ae0e28)) - (pad "16" smd roundrect locked (at 1.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "/MISO") (tstamp 8d90c7cc-28e8-4343-8b12-4fcd7cc025df)) - (pad "17" smd roundrect locked (at 2 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 84 "/Ddir") (tstamp 23b6b3a2-0ec2-422a-8c06-732e779774d4)) - (pad "18" smd roundrect locked (at 2.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 159 "Net-(U1-Pad18)") (tstamp b015c65f-406b-4a57-aee0-50a8dc22f188)) - (pad "19" smd roundrect locked (at 3 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 164 "Net-(U1-Pad19)") (tstamp 6a4bc668-5f4e-4186-b37b-5eaa10d491ba)) - (pad "20" smd roundrect locked (at 3.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 158 "Net-(U1-Pad20)") (tstamp fc38854d-c25e-4702-a4ae-ae60743fb063)) - (pad "21" smd roundrect locked (at 4 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 157 "Net-(U1-Pad21)") (tstamp e6306ac1-b37d-4dce-8052-6ffc57b26d60)) - (pad "22" smd roundrect locked (at 4.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "/TMS") (tstamp 49da9b1e-de7a-42e1-a530-b587f27f37b4)) - (pad "23" smd roundrect locked (at 5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 52 "/TDI") (tstamp d2193c6b-a2a6-402a-ab00-07bd951f8c13)) - (pad "24" smd roundrect locked (at 5.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (tstamp d563df24-8aae-473c-90ab-d7558a128a7a)) - (pad "25" smd roundrect locked (at 6 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 47 "/TDO") (tstamp a225b47c-53ff-49e6-b80b-c1fbd4c67b87)) - (pad "26" smd roundrect locked (at 7.6625 6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 156 "Net-(U1-Pad26)") (tstamp 272ae958-16ef-45f2-9f0d-0fae42f59449)) - (pad "27" smd roundrect locked (at 7.6625 5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 155 "Net-(U1-Pad27)") (tstamp 5f8366f2-fcb9-4ecb-864e-a2552057df23)) - (pad "28" smd roundrect locked (at 7.6625 5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 154 "Net-(U1-Pad28)") (tstamp e5762204-9e07-48f8-b103-f84e0a819e65)) - (pad "29" smd roundrect locked (at 7.6625 4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 153 "Net-(U1-Pad29)") (tstamp 6f468701-74ef-4f73-9cc6-989210aaccf7)) - (pad "30" smd roundrect locked (at 7.6625 4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "/RES~{OE}") (tstamp ab3538b4-1758-4218-abbe-e1209be38a1a)) - (pad "31" smd roundrect locked (at 7.6625 3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 16f927ca-e2a8-4c78-abbe-c12caa86584b)) - (pad "32" smd roundrect locked (at 7.6625 3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 4f2b9d7a-4a36-4487-b0bc-898e14ecb261)) - (pad "33" smd roundrect locked (at 7.6625 2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 152 "Net-(U1-Pad33)") (tstamp ea4b0aed-b78a-41f8-b3ee-24489d9d9e4b)) - (pad "34" smd roundrect locked (at 7.6625 2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 79 "/RA11") (tstamp 53cd6fe7-9fad-4ab1-896d-8bc104fb96e0)) - (pad "35" smd roundrect locked (at 7.6625 1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 80 "/RA12") (tstamp 0525c176-3a29-4644-b384-c37966d7948a)) - (pad "36" smd roundrect locked (at 7.6625 1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 81 "/RA13") (tstamp b219425b-1e3c-402c-9212-80c64265626f)) - (pad "37" smd roundrect locked (at 7.6625 0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 82 "/RA14") (tstamp 2a8bb1ad-e963-4f2e-8fe0-9df9a81ea98d)) - (pad "38" smd roundrect locked (at 7.6625 0) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 83 "/RA15") (tstamp 74a941b3-1107-4d21-99ef-ddeb9693344e)) - (pad "39" smd roundrect locked (at 7.6625 -0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 78 "/R~{IOSEL}") (tstamp 0ecfe6bb-f211-42e4-a36a-d001895cf897)) - (pad "40" smd roundrect locked (at 7.6625 -1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 77 "/R~{DEVSEL}") (tstamp e6200739-a290-4f1c-8a4b-c01b538e79f8)) - (pad "41" smd roundrect locked (at 7.6625 -1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 148 "/RPHI0") (tstamp 3efdd4a3-c021-476a-b9c0-c05b5f4b458f)) - (pad "42" smd roundrect locked (at 7.6625 -2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 76 "/R~{IOSTRB}") (tstamp a3bc0f27-8e24-4634-804b-65255a364f99)) - (pad "43" smd roundrect locked (at 7.6625 -2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 115 "/RR~{W}in") (tstamp 4ee7021d-3d07-45a0-b963-059743232411)) - (pad "44" smd roundrect locked (at 7.6625 -3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 75 "/R~{RES}") (tstamp 92c9ecf7-1875-44c0-9340-a240ef6e8a1e)) - (pad "45" smd roundrect locked (at 7.6625 -3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 769d4241-97a4-44a9-8a0c-d8a3727e03c0)) - (pad "46" smd roundrect locked (at 7.6625 -4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 52b8e218-a7cd-4fb2-83e6-4518df4190d5)) - (pad "47" smd roundrect locked (at 7.6625 -4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 90 "/Dr1") (tstamp d0a4ad72-4127-47e8-92cd-43e6b934ba89)) - (pad "48" smd roundrect locked (at 7.6625 -5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 151 "Net-(U1-Pad48)") (tstamp 473c1a0c-70e0-4d05-b902-bd616eb7360e)) - (pad "49" smd roundrect locked (at 7.6625 -5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 150 "Net-(U1-Pad49)") (tstamp 1cbc6c5d-7a35-45cb-ae88-dbb9f3f2a2b7)) - (pad "50" smd roundrect locked (at 7.6625 -6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 88 "/Dr0") (tstamp b67b3f48-4975-4ee2-89be-c0f6b3d9ca2c)) - (pad "51" smd roundrect locked (at 6 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 95 "/Dr4") (tstamp 68b94f1b-fbf3-49d2-af60-1ff7c3d86e32)) - (pad "52" smd roundrect locked (at 5.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 93 "/Dr5") (tstamp 9401c2ec-6cbf-417d-84b9-8770850dc7b2)) - (pad "53" smd roundrect locked (at 5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 94 "/Dr6") (tstamp 8ad620c6-b718-4418-8fdb-1e8e4731b28c)) - (pad "54" smd roundrect locked (at 4.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 92 "/Dr7") (tstamp 7c756541-de1f-4b68-ae54-a8375e0d71e3)) - (pad "55" smd roundrect locked (at 4 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 91 "/Dr3") (tstamp 273bb3e6-4d50-47f7-8edd-3059b9c5cc06)) - (pad "56" smd roundrect locked (at 3.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 89 "/Dr2") (tstamp 46781ffc-36cb-47d3-bb4c-31d33adab846)) - (pad "57" smd roundrect locked (at 3 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 114 "/SDQMH") (tstamp 51c35612-239c-46f4-b749-f339b582bca5)) - (pad "58" smd roundrect locked (at 2.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 105 "/S~{WE}") (tstamp 7b835f41-a4ae-413c-9aa8-0345d755cada)) - (pad "59" smd roundrect locked (at 2 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 1046d054-95ff-4eb8-9dd7-fea69f45682b)) - (pad "60" smd roundrect locked (at 1.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp e68a001f-cb70-45a5-adee-04178ad0205f)) - (pad "61" smd roundrect locked (at 1 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 106 "/S~{CAS}") (tstamp 829c96b5-8b78-410c-8c40-05e38adec259)) - (pad "62" smd roundrect locked (at 0.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 107 "/S~{RAS}") (tstamp 4ebf32c3-aa7d-4215-af82-59b1f156be08)) - (pad "63" smd roundrect locked (at 0 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 3365d16b-cf28-4d73-bdfb-7189c36cf5d1)) - (pad "64" smd roundrect locked (at -0.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 86 "/ACLK") (tstamp 6d5b22e2-3bd7-4461-b9c3-170ef4a0afd8)) - (pad "65" smd roundrect locked (at -1 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp be53af9c-99ef-40c6-b249-96cc827f8933)) - (pad "66" smd roundrect locked (at -1.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 113 "/SCKE") (tstamp 7fbb63eb-d109-4d3e-9c03-fc88e695b9e0)) - (pad "67" smd roundrect locked (at -2 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 108 "/S~{CS}") (tstamp 2bd49722-eefa-46a9-9d92-84f1a85602a5)) - (pad "68" smd roundrect locked (at -2.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 123 "/SA12") (tstamp 2be39d4a-0288-46fc-88cd-e57defc6f3ed)) - (pad "69" smd roundrect locked (at -3 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 124 "/SBA0") (tstamp 8957093d-7411-4579-8d2a-4766341ebd21)) - (pad "70" smd roundrect locked (at -3.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 125 "/SA11") (tstamp b84b8a72-eea4-4848-bbd3-d8807cc8dbd9)) - (pad "71" smd roundrect locked (at -4 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 126 "/SBA1") (tstamp d361048e-03e4-4300-b107-4773ab57864f)) - (pad "72" smd roundrect locked (at -4.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 127 "/SA9") (tstamp 5c2fd002-181f-47cf-b659-f3e455c0bf84)) - (pad "73" smd roundrect locked (at -5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 128 "/SA10") (tstamp 1d9de41e-8178-4029-a5ba-daa900f303d7)) - (pad "74" smd roundrect locked (at -5.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 129 "/SA8") (tstamp dc7db200-720e-4148-851d-61f1c7f68e17)) - (pad "75" smd roundrect locked (at -6 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 109 "/SA0") (tstamp 833486ca-7736-4c68-9174-ad7ea336b67f)) - (pad "76" smd roundrect locked (at -7.6625 -6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 111 "/SA4") (tstamp 856b513e-c165-417e-bd42-284ab44e0e5f)) - (pad "77" smd roundrect locked (at -7.6625 -5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 112 "/SA6") (tstamp 140d75c1-82dd-4353-8ae7-cb57f1cbde4f)) - (pad "78" smd roundrect locked (at -7.6625 -5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 130 "/SA7") (tstamp 3e1e9c4f-a7a5-485c-bbb5-99a3cc68a8a5)) - (pad "79" smd roundrect locked (at -7.6625 -4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 2d24cf55-0868-45fd-af1c-f28785251f78)) - (pad "80" smd roundrect locked (at -7.6625 -4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 5dae85e2-41a6-483c-aac6-9f2f6ef2b7fe)) - (pad "81" smd roundrect locked (at -7.6625 -3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 131 "/SA1") (tstamp b617f7de-403c-4ac9-8cc0-9cefaed0a266)) - (pad "82" smd roundrect locked (at -7.6625 -3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 132 "/SA2") (tstamp 713849ec-d40e-45dd-a95b-d9cc78aa5888)) - (pad "83" smd roundrect locked (at -7.6625 -2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 133 "/SA5") (tstamp 799bd4aa-da7a-42c0-928e-76e7f81147bc)) - (pad "84" smd roundrect locked (at -7.6625 -2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 110 "/SA3") (tstamp d4698f02-08ca-4079-af29-36ea0201e9ca)) - (pad "85" smd roundrect locked (at -7.6625 -1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 104 "/SDQML") (tstamp 18c75862-c5fb-4ab2-8303-dcda4de82b09)) - (pad "86" smd roundrect locked (at -7.6625 -1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 64 "/RD0") (tstamp 642d20ae-c5e0-48bd-b938-e5d0e34ee32b)) - (pad "87" smd roundrect locked (at -7.6625 -0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 65 "/RD1") (tstamp 0ca76e49-9082-464d-8784-09e77db774d2)) - (pad "88" smd roundrect locked (at -7.6625 0) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 66 "/RD2") (tstamp c2a57e48-486b-4b03-9956-74f9371d1585)) - (pad "89" smd roundrect locked (at -7.6625 0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 67 "/RD3") (tstamp e9733d40-65e7-4b6b-8dff-ac3db77a22f4)) - (pad "90" smd roundrect locked (at -7.6625 1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 68 "/RD4") (tstamp fe99561b-aa95-4a36-97d7-9991ca2a84e3)) - (pad "91" smd roundrect locked (at -7.6625 1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 69 "/RD5") (tstamp aa674e63-2fbf-422c-b96d-8d397615fb17)) - (pad "92" smd roundrect locked (at -7.6625 2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 70 "/RD6") (tstamp c7f83622-d138-4711-a3a0-24a4f4d8a132)) - (pad "93" smd roundrect locked (at -7.6625 2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 3ad0079a-f5c2-4d19-8858-62b1390dcf68)) - (pad "94" smd roundrect locked (at -7.6625 3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 7f7be97f-733f-436c-b4c0-c678dbfa2bd9)) - (pad "95" smd roundrect locked (at -7.6625 3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/FW1") (tstamp a9856c1a-046a-4e52-ae6a-3b57ddd09541)) - (pad "96" smd roundrect locked (at -7.6625 4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/FW0") (tstamp 9d053ddf-727f-4ac3-99a4-04f1659f5de2)) - (pad "97" smd roundrect locked (at -7.6625 4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 55 "/RA2") (tstamp 9a7ba945-6285-4e95-bb92-a3c60c534114)) - (pad "98" smd roundrect locked (at -7.6625 5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 54 "/RA1") (tstamp 3e9635fe-023d-471e-8e69-40099cf4f4ec)) - (pad "99" smd roundrect locked (at -7.6625 5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 71 "/RD7") (tstamp 037e429e-1ced-441d-b81b-0a1fc8cbe772)) - (pad "100" smd roundrect locked (at -7.6625 6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 53 "/RA0") (tstamp 9b4a6d70-ed5f-4967-a99e-5336542e686a)) - (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:SOT-23" (layer "F.Cu") - (tedit 5F29B98F) (tstamp 00000000-0000-0000-0000-00005f8af690) - (at 136.25 124.2 180) - (tags "SOT-23") - (path "/00000000-0000-0000-0000-000060cd7812") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "U8" (at 0 0 270) (layer "F.Fab") - (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp e64de5f4-ff91-40e3-952f-9e9eece738bc) - ) - (fp_text value "XC6206P332MR" (at 0.45 0 270) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b78ef055-b30c-483d-b7e4-23728ac3c7b4) - ) - (fp_line (start -0.76 -1.58) (end -0.76 -0.65) (layer "F.SilkS") (width 0.12) (tstamp 4c1ebf08-4672-4223-bb4a-3ab36efa0501)) - (fp_line (start -0.76 1.58) (end 1.4 1.58) (layer "F.SilkS") (width 0.12) (tstamp b4288231-cf5a-4699-8692-bd3c1e92915a)) - (fp_line (start -0.76 1.58) (end -0.76 0.65) (layer "F.SilkS") (width 0.12) (tstamp c8b0e906-d6ec-41ce-9673-4bee50d56b94)) - (fp_line (start -0.76 -1.58) (end 0.7 -1.58) (layer "F.SilkS") (width 0.12) (tstamp cbf837f3-d7f1-4de3-ae80-77587c27131c)) - (fp_line (start 2 -1.8) (end 2 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 357f3f38-571d-411b-870b-d2de90c010ea)) - (fp_line (start -2 -1.8) (end 2 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 509b2109-41dc-4000-8ade-b3a088fe8826)) - (fp_line (start -2 1.8) (end -2 -1.8) (layer "F.CrtYd") (width 0.05) (tstamp 55fe1573-a6d3-4ce8-a706-99c72cd955c5)) - (fp_line (start 2 1.8) (end -2 1.8) (layer "F.CrtYd") (width 0.05) (tstamp 6d4a937c-f0ac-45a6-b2b0-6bf7d5647558)) - (fp_line (start 0.15 1.52) (end -0.7 1.52) (layer "F.Fab") (width 0.1) (tstamp 244a9bff-fad0-4070-811a-ad148eff6f83)) - (fp_line (start 0.7 0.95) (end 0.15 1.52) (layer "F.Fab") (width 0.1) (tstamp 2bb279c6-7858-44a2-80a7-8b26b3123813)) - (fp_line (start -0.7 1.52) (end -0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp 2ce62814-1fd7-4b41-b5b1-ec2bd852795c)) - (fp_line (start 0.7 -1.52) (end -0.7 -1.52) (layer "F.Fab") (width 0.1) (tstamp 4db07c9f-36cf-4174-abe2-5a7dd4ed0a2f)) - (fp_line (start 0.7 0.95) (end 0.7 -1.5) (layer "F.Fab") (width 0.1) (tstamp ebf8eae1-a68c-49bc-b8dc-ee758be7a834)) - (pad "1" smd roundrect locked (at 1.05 0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp ad4b1132-3a8b-45eb-a3a4-67e7dc4aa528)) - (pad "2" smd roundrect locked (at 1.05 -0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 0097d7da-4004-45ff-ac1d-29bb4ec84929)) - (pad "3" smd roundrect locked (at -1.05 0) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (tstamp 290dc87d-1a3b-48ed-8159-46573b5d460e)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-00005f8af6c6) - (at 132.75 124.2 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005f45d0f9") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C10" (at 0 0 270) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 604b004a-8c68-43c6-b47f-3ab31e54b98a) - ) - (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 47b449cf-f719-48ae-957b-b0535fe635bf) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2e4c93c3-ccbd-4aa6-890e-edeec472811e) - ) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp 22a3ce71-a4ae-4cd8-bb34-3bb51cddae6e)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp 55c6a896-bc50-4f6e-8630-f9d93838f599)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 08da6cb6-b663-49cd-8db1-313b315f7f38)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 58d1691c-3401-4a2a-8559-08047ed60495)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp bb486f10-5494-4967-982d-cc0af4132b0e)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp d637952c-31cb-40c6-91ee-0bb2f9dd241b)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 080225d7-b073-4739-bf27-52f996e870be)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp 2fde3170-8a3c-4d9b-abce-2427992d84af)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 39dbfefa-cbfc-4e2a-b536-78ff7b7d84e1)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp 76300a2b-40e0-46b4-a77c-0e244bbf4d43)) - (pad "1" smd roundrect locked (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 56f4a1e6-5182-4e16-9a37-9794d14bce9e)) - (pad "2" smd roundrect locked (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 3a7fbff6-5f27-472a-bcc1-181e9c3ea75e)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f98bdd2) - (at 117.8 122.1 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000612b7ae0") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C42" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a3feaa53-0740-4255-8bbb-e470492ffb35) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 041f51d7-cb1d-4b3f-9cb8-df3670109a64) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp fbdd9cd3-cf3a-4762-b53a-74e215aeb40d) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 456566e5-4a6c-4840-b241-38d78bdd335f)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 59ad4c52-a1db-429b-ab90-2403dcce7c01)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 0b11a35f-c3f0-4b31-9607-1e55d26a3e2f)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 73baf3ee-9d96-4ce3-bd3d-cd7bafc190ec)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp b131e105-d8fd-4e6d-a016-0d571cef49bf)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp d5cbbc24-3b7d-428f-9d98-e2c63ae74e98)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4c869b94-8745-4cff-a708-2b4db13a4bf6)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 56e94a8e-3cd5-442c-8f03-51c5a442538b)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c7c26be6-3ae7-406b-9e6c-85002dbcc202)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e7a575fb-25dd-408e-b14a-7f84e0f51005)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 882165d7-0d20-4f07-a723-e7a32e170eef)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 9fcbb68c-dde7-493f-8677-173c05b255d9)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:R_0603" (layer "F.Cu") - (tedit 5EE29B72) (tstamp 00000000-0000-0000-0000-00005f98c431) - (at 115.8 124.2 180) - (tags "resistor") - (path "/00000000-0000-0000-0000-0000602499ad") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R22" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2920abe4-3113-4b26-bf5b-73f26c4847c8) - ) - (fp_text value "33" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b41d4f5f-bec5-4d55-9bc9-80aff9c6a63a) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 1dff74ec-b419-4e41-aa3e-04d43f7d763e) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 0eefdba6-3552-4610-aea7-16f534639176)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 619095d6-04e9-4545-931a-64195608e09e)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2487e543-0fe3-4f50-ad65-7f63b9866e06)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 6b8b5300-e2e6-4e87-b138-9b642474c6c5)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp abb527ce-fc6a-4aaa-8ada-c7c80e1a1bba)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp e0d7af27-99b4-4383-a580-dadf6f46f747)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 49b5e8ae-5e93-456e-a9f1-bf59ac6925f7)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 581bd609-cfed-47bd-81f1-e850bfe8f1d2)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 5c2ddb99-0ddd-465d-bed9-1c251ec639fa)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d36fc2aa-e8e7-4b73-8c93-134063ca1627)) - (pad "1" smd roundrect locked (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 35 "/~{RES}") (tstamp be563e35-c363-494b-b934-cc67deffc33a)) - (pad "2" smd roundrect locked (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "Net-(R22-Pad2)") (tstamp cad04383-a214-4b93-91a9-dfc37f34658a)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:SOT-353" (layer "F.Cu") - (tedit 5F739FE4) (tstamp 00000000-0000-0000-0000-00005f98c4f4) - (at 115.65 121.85 90) - (tags "SOT-353 SC-70-5") - (path "/00000000-0000-0000-0000-0000602499a6") - (solder_mask_margin 0.04) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "U14" (at 0 0 180) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp be1b9aad-573d-42e8-b716-389223a37be4) - ) - (fp_text value "74LVC1G125GW" (at -0.35 0 180) (layer "F.Fab") - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp c124c07e-0c02-484c-a86c-cd4a118597df) - ) - (fp_line (start 0.68 -1.16) (end -0.73 -1.16) (layer "F.SilkS") (width 0.12) (tstamp 05cae152-075a-4b6b-8e86-0aa0eb33bc6e)) - (fp_line (start -0.73 1.16) (end 1.3 1.16) (layer "F.SilkS") (width 0.12) (tstamp c434d867-e31f-4345-bdde-33c75f8c31bd)) - (fp_line (start -1.6 1.3) (end -1.6 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp 0d7b9435-abe1-4b4c-828c-a2dbb4b536fc)) - (fp_line (start 1.6 1.3) (end -1.6 1.3) (layer "F.CrtYd") (width 0.05) (tstamp 513aabc1-aa7c-4159-99c1-307e620cd477)) - (fp_line (start 1.6 -1.3) (end 1.6 1.3) (layer "F.CrtYd") (width 0.05) (tstamp 79ee9d48-1926-4b57-899e-9246b3188593)) - (fp_line (start -1.6 -1.3) (end 1.6 -1.3) (layer "F.CrtYd") (width 0.05) (tstamp e53f3a6a-59f5-42fd-8aec-3b5dafa6ea51)) - (fp_line (start 0.68 0.6) (end 0.68 -1.1) (layer "F.Fab") (width 0.1) (tstamp 2dce06cd-538a-4f71-aab8-276266792019)) - (fp_line (start -0.67 1.1) (end 0.18 1.1) (layer "F.Fab") (width 0.1) (tstamp 6de6955c-5095-4966-b561-c6f1054da006)) - (fp_line (start -0.67 1.1) (end -0.67 -1.1) (layer "F.Fab") (width 0.1) (tstamp bd8ddffb-7899-4cf4-9fe7-215a8b480c0d)) - (fp_line (start 0.18 1.1) (end 0.68 0.6) (layer "F.Fab") (width 0.1) (tstamp e9ba1dd8-4d05-431e-add2-9afb8a4ae700)) - (fp_line (start -0.67 -1.1) (end 0.68 -1.1) (layer "F.Fab") (width 0.1) (tstamp ed64deab-654b-4c5b-9bab-d4c290087c0b)) - (pad "1" smd roundrect locked (at 0.85 0.65 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 138 "/RES~{OE}") (tstamp f02f4a57-b101-406d-ba14-1f5a9e608860)) - (pad "2" smd roundrect locked (at 0.85 0 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 5d42758e-6deb-4c52-9c9e-fe0028efdb4b)) - (pad "3" smd roundrect locked (at 0.85 -0.65 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp bed376c0-86df-462f-b894-9153f0f4499d)) - (pad "4" smd roundrect locked (at -0.85 -0.65 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 122 "Net-(R22-Pad2)") (tstamp 1831fafe-2a76-4a39-8d85-571aa2db03e3)) - (pad "5" smd roundrect locked (at -0.85 0.65 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 7990be55-913d-48bb-ac1b-1ae4819156f0)) - (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 180)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f9ccfb5) - (at 69 100.65 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000612b7aee") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C44" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 70815813-8fc8-447e-a7bf-e7cd5af3ba62) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp db94d2ab-3e2f-40b0-86b3-f05bdf4acb1d) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b86886d4-80ee-4076-b57d-7944af24a713) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp c7e8df49-07ea-43f3-b58d-855558f538bb)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp e5f8f082-43e5-49bf-b6f9-8d5f83fc0792)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 0749dc55-ed45-46ee-bddd-1003a455b6d4)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 7d596273-21ce-44a5-b713-91e59863afb2)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp d0892135-273b-4130-9ade-02c8e716a515)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp d31d14a8-7d3e-4bf7-b827-ecef787d3529)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 107af519-2566-427f-aa88-2a667ee55924)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 2aaef12d-a2d2-453f-94df-20ab915a40e9)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8da25ba9-3e2e-4aa4-9cac-afa203a2271d)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9bf7df4a-3907-4ebb-b7aa-16b372a2bf78)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 973bce1e-0f4c-42c6-b451-69e104eb3f79)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 8a3d03e2-f2c7-455f-8484-ae69e20feae4)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00005f9fc3b5) - (at 82.8 103.551 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00006288bc40") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b612ec34-4e60-40c6-8dfa-ae022674664f) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp fc2bb018-dde2-4b0b-a99c-b00f15099188) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e533541f-16ff-4d27-9820-32cb51ad64ed) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 6a6c5866-364c-4148-b5c1-654fd25e249e)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp d1601f28-725a-4957-9cd8-d7afc6c55907)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 5d3d59fd-9137-49f9-825c-2ca723dca6b4)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 72e034a6-27fd-4138-ba60-85f16cfd19ff)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 93be0f32-8bcf-4b52-b5b1-7ca6220bf0c4)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 9b320319-e0d7-4c9f-8c6e-965d6323fcad)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 291dcb04-9602-44a1-ad42-4379a9874dc3)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 58ae74d4-6edb-404a-86ac-95da0c75c263)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp bf8b41f1-4b0f-415f-9476-223afd16e22c)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp d3dc734e-87c0-4648-97d5-049adaf22b9b)) - (pad "1" smd roundrect locked (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp a86f3b62-f619-483b-bccd-2e1837949b48)) - (pad "2" smd roundrect locked (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 1c21eeb8-ac17-45e5-ae4d-a94263a012cb)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") - (tedit 5A29CEC3) (tstamp 00000000-0000-0000-0000-00005fe7592e) - (at 63.881 119.253) - (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") - (tags "tag connect programming header pogo pins") - (path "/00000000-0000-0000-0000-00005e4199b1") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "J2" (at -7.366 1.825) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 454a4ee0-f295-4a0f-a14a-a979862efa35) - ) - (fp_text value "JTAG" (at -7.366 3.302) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp ec022e02-80cb-489a-b327-d91c9312c53e) - ) - (fp_text user "KEEPOUT" (at 0 0) (layer "Cmts.User") - (effects (font (size 0.4 0.4) (thickness 0.07))) - (tstamp d448241e-1162-4cfb-926f-571a6b109ba6) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 1d0aef7e-0fd0-4830-8fd5-da1c57499c68) - ) - (fp_line (start -2.54 1.27) (end -3.175 1.27) (layer "F.SilkS") (width 0.12) (tstamp cdfc51a4-930f-4ca0-b99e-2563b3a3f320)) - (fp_line (start -3.175 1.27) (end -3.175 0.635) (layer "F.SilkS") (width 0.12) (tstamp e29b0970-09c7-4a95-8eea-9f06cd50f91d)) - (fp_line (start -1.27 0.635) (end 0 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 073115fe-ae8c-4b49-8f88-ac6b1f235e02)) - (fp_line (start -2.54 0.635) (end -2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 09d152ab-d8ea-4e81-bdbf-483d74afde3d)) - (fp_line (start 0.635 0.635) (end 1.905 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 2527f746-9375-4a31-9d56-edb1d5f7c5cf)) - (fp_line (start -1.905 0.635) (end -0.635 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 42a35d17-188c-4c94-b815-7e101b353954)) - (fp_line (start -2.54 -0.635) (end 2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 43de4f0e-05a9-4fb0-adb7-cc4f6eb32c34)) - (fp_line (start -0.635 0.635) (end 0.635 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 45ac4c2c-b129-4b0d-9e6d-a853dfd93ea3)) - (fp_line (start -2.54 0.635) (end -1.27 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 58892a31-c3c6-4299-af1e-222fd7ec9228)) - (fp_line (start 1.27 0.635) (end 2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 63291042-7f6e-491c-9e09-1965a29f9f6e)) - (fp_line (start 2.54 0.635) (end -2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp 8792303e-f86e-414c-a93f-c956c1d1a36e)) - (fp_line (start -2.54 0) (end -1.905 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp a4013d9d-8640-419a-bcf5-49009ffe4d08)) - (fp_line (start 1.905 0.635) (end 2.54 0) (layer "Dwgs.User") (width 0.1) (tstamp ad3e50ca-f995-4053-8f43-ef66078385cd)) - (fp_line (start 0 0.635) (end 1.27 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp f51d6720-3cd3-4c3d-8979-4df4b50b3cad)) - (fp_line (start 2.54 -0.635) (end 2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp f9b17dee-0df2-4fdd-8cb4-8cdc9d01aa64)) - (fp_line (start -5.5 -4.25) (end 4.75 -4.25) (layer "F.CrtYd") (width 0.05) (tstamp 2a60278f-4162-41da-9e92-7d75d5982986)) - (fp_line (start -5.5 4.25) (end -5.5 -4.25) (layer "F.CrtYd") (width 0.05) (tstamp 5ca4e86b-8a6e-49d6-b6b8-a834e527b7e1)) - (fp_line (start 4.75 -4.25) (end 4.75 4.25) (layer "F.CrtYd") (width 0.05) (tstamp 9c7d4e4f-56d1-414e-a12e-f1bb67d89197)) - (fp_line (start 4.75 4.25) (end -5.5 4.25) (layer "F.CrtYd") (width 0.05) (tstamp eff7de0d-4c11-4461-98e3-4f1c1e5374a8)) - (pad "" np_thru_hole circle (at 3.81 1.016) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp 03f9b782-a494-46cb-99cc-49a29443c36e)) - (pad "" np_thru_hole circle (at 1.905 -2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 0d599925-1ab2-42f1-9d14-9aeda5b9e62a)) - (pad "" np_thru_hole circle (at 1.905 2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 11fc5a14-1abb-4a8f-954a-c68371176626)) - (pad "" np_thru_hole circle (at -3.81 2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 1d2d30db-b500-450a-b39e-6551efbcdc39)) - (pad "" np_thru_hole circle (at -3.81 0) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp 3175d492-723d-4038-8b05-68ce2a5b3b7f)) - (pad "" np_thru_hole circle (at 3.81 -1.016) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp 4ec9364d-b723-45b7-bdba-20e0efd8d7a9)) - (pad "" np_thru_hole circle (at -3.81 -2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp dfffd613-7efa-4a4d-9b87-224c3aac0512)) - (pad "1" connect circle (at -2.54 0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 46 "/TCK") (tstamp 3a0a35db-9488-4ff9-949e-11c81b4f5b78)) - (pad "2" connect circle (at -1.27 0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 2 "GND") (tstamp fc70bcb4-9b5a-4912-b50f-d97777b843b6)) - (pad "3" connect circle (at 0 0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 47 "/TDO") (tstamp 98e009f5-56d1-4472-bc3a-80598df6df3f)) - (pad "4" connect circle (at 1.27 0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 73 "+3V3") (tstamp 77f7d9fe-e1de-4bc5-b5c5-897f0ba0960b)) - (pad "5" connect circle (at 2.54 0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 48 "/TMS") (tstamp b5d8cc91-c95d-4a91-a5c3-ab2fc9394965)) - (pad "6" connect circle (at 2.54 -0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 49 "Net-(J2-Pad6)") (tstamp bb7ef63d-f340-44ed-ab31-262b395d9d1b)) - (pad "7" connect circle (at 1.27 -0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 50 "Net-(J2-Pad7)") (tstamp 8ecf8344-aa5f-4f9f-952f-8a5c3abf3701)) - (pad "8" connect circle (at 0 -0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 51 "Net-(J2-Pad8)") (tstamp 412c9f8e-992c-447d-9c7f-f2ce0a91bc73)) - (pad "9" connect circle (at -1.27 -0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 52 "/TDI") (tstamp 2ab5dd4f-b122-47f2-b4e0-735fc56e9921)) - (pad "10" connect circle (at -2.54 -0.635) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") - (net 2 "GND") (tstamp 488309c6-1a88-4b18-a1e4-7de51261b083)) - ) - - (footprint "stdpads:SOIC-8_5.3mm" (layer "F.Cu") - (tedit 5FDA6A09) (tstamp 00000000-0000-0000-0000-00005fea70ba) - (at 79.121 100.711 180) - (descr "8-Lead Plastic Small Outline (SM) - Medium, 5.28 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") - (tags "SOIC 1.27") - (path "/00000000-0000-0000-0000-00006009ebad") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "U3" (at 0 0 270) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 20a60b8c-c833-4167-a072-ed5559d4c476) - ) - (fp_text value "W25Q128JVSIQ" (at 1.27 0 270) (layer "F.Fab") - (effects (font (size 0.381 0.381) (thickness 0.09525))) - (tstamp 4dc4d49a-0dc4-4b5e-b4fd-155a86e958c9) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp b59da9c7-75f9-4e1b-b42e-a77ff79125bd) - ) - (fp_line (start 2.755 2.75) (end 2.455 2.75) (layer "F.SilkS") (width 0.15) (tstamp 075bf9a3-f37c-4136-b3cc-94a528ffd27f)) - (fp_line (start -2.755 2.75) (end -2.755 -2.75) (layer "F.SilkS") (width 0.15) (tstamp 461b5226-c7e6-4891-b05d-712f94645be1)) - (fp_line (start 2.755 -2.75) (end 2.455 -2.75) (layer "F.SilkS") (width 0.15) (tstamp 83f52612-e4c7-4d1a-abe9-9b9adae639d8)) - (fp_line (start -2.755 -2.75) (end -2.455 -2.75) (layer "F.SilkS") (width 0.15) (tstamp 95ccfff9-86e5-4dfe-af7e-97c6963f38c4)) - (fp_line (start -2.755 2.75) (end -2.55 2.75) (layer "F.SilkS") (width 0.15) (tstamp d282ef67-18ab-4c3f-8989-1483c2ba5c05)) - (fp_line (start 2.755 2.75) (end 2.755 -2.75) (layer "F.SilkS") (width 0.15) (tstamp e9edb235-fe63-4090-aec0-86a4c7615d5d)) - (fp_line (start -2.55 2.75) (end -2.55 4.5) (layer "F.SilkS") (width 0.15) (tstamp eebcfaf0-6dc9-4ebc-a826-fa766441a24f)) - (fp_line (start -2.95 4.75) (end -2.95 -4.75) (layer "F.CrtYd") (width 0.05) (tstamp 05219d1b-fdad-4656-85f8-b1a6da53f89f)) - (fp_line (start -2.95 -4.75) (end 2.95 -4.75) (layer "F.CrtYd") (width 0.05) (tstamp 3ad39445-2a48-4e0e-b061-e59b720f1301)) - (fp_line (start 2.95 4.75) (end 2.95 -4.75) (layer "F.CrtYd") (width 0.05) (tstamp 4cd42a70-004f-41aa-84db-4fbf65123a3b)) - (fp_line (start -2.95 4.75) (end 2.95 4.75) (layer "F.CrtYd") (width 0.05) (tstamp 60f9efa5-2c29-4ecc-8386-9287143fecc3)) - (fp_line (start -2.65 -2.65) (end 2.65 -2.65) (layer "F.Fab") (width 0.15) (tstamp 1e1c7634-89d3-4b24-8518-9e1cecb7ae67)) - (fp_line (start -2.65 1.65) (end -2.65 -2.65) (layer "F.Fab") (width 0.15) (tstamp 9f2256e4-98e8-4a7c-acfc-3ba65cef95cf)) - (fp_line (start 2.65 -2.65) (end 2.65 2.65) (layer "F.Fab") (width 0.15) (tstamp ae7594cf-9dde-4afe-9715-f49ab7dc4b9f)) - (fp_line (start -1.65 2.65) (end -2.65 1.65) (layer "F.Fab") (width 0.15) (tstamp f4e3c329-5fff-48f4-a24e-60d0704c077f)) - (fp_line (start 2.65 2.65) (end -1.65 2.65) (layer "F.Fab") (width 0.15) (tstamp fe3ba7b8-81c3-4a5a-ae1e-f2f16daf28ce)) - (pad "1" smd roundrect locked (at -1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 136 "/F~{CS}") (tstamp 3fa1556d-ab9f-4dbd-96b1-a5804892d512)) - (pad "2" smd roundrect locked (at -0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 134 "/MISO") (tstamp abc6e239-66ab-4918-a061-2e7afcd65035)) - (pad "3" smd roundrect locked (at 0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "/FD2") (tstamp bc419036-3013-4eea-94e8-b100b5edffa5)) - (pad "4" smd roundrect locked (at 1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 00b0c225-33b8-4a4d-99aa-80d038217568)) - (pad "5" smd roundrect locked (at 1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 135 "/MOSI") (tstamp 0121f8aa-cc67-46ce-ad73-af02da4c494d)) - (pad "6" smd roundrect locked (at 0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/FCK") (tstamp d2b0df5e-da60-46f9-b0de-e69cc740866f)) - (pad "7" smd roundrect locked (at -0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/FD3") (tstamp 39a098e6-513b-420c-bea4-da8aa54a81fe)) - (pad "8" smd roundrect locked (at -1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp d0654a02-fbf6-4913-9fce-8b01687a8a7e)) - (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:R_0603" (layer "F.Cu") - (tedit 5EE29B72) (tstamp 00000000-0000-0000-0000-00005fee6b07) - (at 70.55 112.1 180) - (tags "resistor") - (path "/00000000-0000-0000-0000-0000607ad37b") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R29" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c49325ca-6e5b-4c60-8939-7c88aab7173b) - ) - (fp_text value "22k" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 859869d4-902d-438e-92f5-1d82a8870e75) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 7b041b23-e2dc-4e47-b657-da0dade0c839) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp a637d1dd-0cff-48f9-96f5-0ddfa0b83074)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp d36372ad-7ff2-48fe-b98c-626930168fbb)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 1aa09def-c4b9-47ce-aaa8-8798f24f7f4a)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 4e8d2ee4-895b-45d4-ab5d-ac123520bd37)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp dc1bc50b-558e-4cb6-94ac-66fcc09714e2)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp eeea16dd-f865-41dc-a96f-ca67425fc4b3)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 545ac1fc-0ea7-461f-897f-9295ab6a428f)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 66d28696-33c7-46d2-830a-d59fa45e0fa4)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 7ba15a9e-861b-430a-8032-a331bf9e28cb)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp e31de6b5-cb39-4a03-86a6-edc251e9d263)) - (pad "1" smd roundrect locked (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 46 "/TCK") (tstamp 9015c223-c09c-4d00-bdaf-e24ac5b061cb)) - (pad "2" smd roundrect locked (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 3eec278b-3741-4d98-ba31-0735d26f5e5a)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:R4_0402" (layer "F.Cu") - (tedit 5F27CA30) (tstamp 00000000-0000-0000-0000-00005ff594ba) - (at 69.1 96.45 90) - (tags "resistor array") - (path "/00000000-0000-0000-0000-0000613f8109") - (solder_mask_margin 0.024) - (solder_paste_margin -0.03) - (attr smd) - (fp_text reference "RN5" (at 0 0 270) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2ccb505a-0613-4946-814a-15045c4bb55e) - ) - (fp_text value "4x10k" (at 0 -0.3 270) (layer "F.Fab") - (effects (font (size 0.1905 0.1905) (thickness 0.047625))) - (tstamp e9c00185-2e8e-439a-8580-c34c86aa57be) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 731d2fe6-61bf-4c7b-a720-ce41dc523a3a) - ) - (fp_line (start -1.18 -0.25) (end -1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp b5ff5279-bcc1-4f3f-b2a4-2521b257a639)) - (fp_line (start 1.18 -0.25) (end 1.18 0.25) (layer "F.SilkS") (width 0.12) (tstamp c9f98397-ebb0-463b-bb42-4e48cbe2e3a6)) - (fp_line (start -1.25 1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 807f25c3-883b-4f3e-92b1-c33ed6e6f3f5)) - (fp_line (start 1.25 -1.1) (end -1.25 -1.1) (layer "F.CrtYd") (width 0.05) (tstamp 99891563-5063-4e61-b4ec-5f1961a8981f)) - (fp_line (start 1.25 -1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp a98e7850-1ab5-43dd-a65d-463ad23ede16)) - (fp_line (start -1.25 1.1) (end 1.25 1.1) (layer "F.CrtYd") (width 0.05) (tstamp fa072ed4-7b3e-4496-8c73-ae3fe7a2c104)) - (fp_line (start -1 0.5) (end -1 -0.5) (layer "F.Fab") (width 0.1) (tstamp 07abf502-9c81-4fc9-8d40-8d0dfda1bf35)) - (fp_line (start 1 -0.5) (end 1 0.5) (layer "F.Fab") (width 0.1) (tstamp 18bcb126-698a-4107-b3b6-6494ff5620c9)) - (fp_line (start 1 0.5) (end -1 0.5) (layer "F.Fab") (width 0.1) (tstamp 47ea8403-309f-4b8a-bc8b-bf702d94c456)) - (fp_line (start -1 -0.5) (end 1 -0.5) (layer "F.Fab") (width 0.1) (tstamp bbe41752-0e54-4bc9-af0b-6ccbaa206b74)) - (pad "1" smd roundrect locked (at -0.8 0.5 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 139 "/FD2") (solder_paste_margin -0.035) (tstamp a98ab1e6-bf78-4db5-9e23-985e77dd3833)) - (pad "2" smd roundrect locked (at -0.25 0.5 180) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 146 "Net-(RN5-Pad2)") (tstamp 474d4243-235c-488b-86c1-9613c3d59f32)) - (pad "3" smd roundrect locked (at 0.25 0.5 180) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 147 "Net-(RN5-Pad3)") (tstamp 03999e6e-f086-4690-a1e1-2fb536faffae)) - (pad "4" smd roundrect locked (at 0.8 0.5 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 140 "/FD3") (solder_paste_margin -0.035) (tstamp 5548fe02-9124-498b-b5f7-ce3695240ae9)) - (pad "5" smd roundrect locked (at 0.8 -0.5 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (solder_paste_margin -0.035) (tstamp 53c518ac-d58b-4fb6-ae0e-c81843505bf0)) - (pad "6" smd roundrect locked (at 0.25 -0.5 180) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp ca49d009-84fa-4129-b874-cf92ff68cb47)) - (pad "7" smd roundrect locked (at -0.25 -0.5 180) (size 0.65 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 08227c52-9e79-4e34-91ca-6b92b35d7963)) - (pad "8" smd roundrect locked (at -0.8 -0.5 180) (size 0.65 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (solder_paste_margin -0.035) (tstamp 7cadf606-ff23-4dff-94a1-d990cc9e8b6f)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_Array_Convex_4x0402.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 -90)) - ) - ) - - (footprint "stdpads:R_0603" (layer "F.Cu") - (tedit 5EE29B72) (tstamp 00000000-0000-0000-0000-00005ffa9fc0) - (at 70.55 110.65) - (tags "resistor") - (path "/00000000-0000-0000-0000-0000607acb3c") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R28" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a702021a-75fa-4937-8e74-1d70aae395cf) - ) - (fp_text value "22k" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp c1d9b722-2d95-4429-8bc1-423997dfbf46) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 59b4f748-5947-453e-b4c9-9178c5709e25) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 544c60f8-33c3-4072-9128-159b26301f32)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 735f37c0-266e-4e04-9f8a-f6cde3127c80)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 26728b6e-9118-480b-99e3-604f9a910611)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 40e578f2-1ac9-4226-a5eb-3394be61171f)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 6837edd1-0808-44ba-871a-f13c8040a564)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp e83de6d9-e2fe-48ab-aeb7-834d6c1c834b)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 329980e2-6d3b-4872-a4a9-f6b4c29649e1)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 41b76ae1-33a4-4f6a-be62-e7517cb2e6cb)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp c482c94a-1189-49d4-a319-e3ae64100959)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d9b4b9ec-e761-4f6a-8f3c-09025e57fd3d)) - (pad "1" smd roundrect locked (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 489d770a-8d64-48be-be65-ba3104a6bb11)) - (pad "2" smd roundrect locked (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 48 "/TMS") (tstamp 26e14888-7056-40ff-b5b3-cfe8935186b6)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Connector_IDC:IDC-Header_2x05_P2.54mm_Vertical" (layer "F.Cu") - (tedit 5EAC9A07) (tstamp 00000000-0000-0000-0000-00005ffdb877) - (at 64.135 108.204 180) - (descr "Through hole IDC box header, 2x05, 2.54mm pitch, DIN 41651 / IEC 60603-13, double rows, https://docs.google.com/spreadsheets/d/16SsEcesNF15N3Lb4niX7dcUr-NY5_MFPQhobNuNppn4/edit#gid=0") - (tags "Through hole vertical IDC box header THT 2x05 2.54mm double row") - (path "/00000000-0000-0000-0000-0000607e109b") - (attr through_hole) - (fp_text reference "J4" (at 1.27 -6.604 180) (layer "F.SilkS") hide - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 0985d560-b936-4c90-a006-be5d87a88b1f) - ) - (fp_text value "JTAG" (at 1.27 16.764 180) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp 48eda5fd-354e-4cb9-9c8f-1051ccc98136) - ) - (fp_text user "${REFERENCE}" (at 1.27 5.08 180) (layer "F.Fab") - (effects (font (size 1 1) (thickness 0.15))) - (tstamp e89ca98a-d457-4a58-91ed-dd388189a442) - ) - (fp_line (start -3.29 -5.21) (end 5.83 -5.21) (layer "F.SilkS") (width 0.12) (tstamp 16dc313a-02ee-43df-9b38-e4cab59f0dcb)) - (fp_line (start -4.68 0.5) (end -3.68 0) (layer "F.SilkS") (width 0.12) (tstamp 329b6ec3-bab9-46aa-ad8b-3119c6de4a40)) - (fp_line (start 5.83 15.37) (end -3.29 15.37) (layer "F.SilkS") (width 0.12) (tstamp 4e231571-4d62-4c4e-8bc2-9e04e3301d97)) - (fp_line (start -4.68 -0.5) (end -4.68 0.5) (layer "F.SilkS") (width 0.12) (tstamp 5a0304ca-711e-43ef-8b44-c1c180904dbf)) - (fp_line (start 4.52 14.07) (end -1.98 14.07) (layer "F.SilkS") (width 0.12) (tstamp 66c53c1e-e1fb-42d3-acc9-0649d313b0b2)) - (fp_line (start -1.98 14.07) (end -1.98 7.13) (layer "F.SilkS") (width 0.12) (tstamp 739df591-5663-4a2c-8c94-b22becda8e40)) - (fp_line (start 4.52 -3.91) (end 4.52 14.07) (layer "F.SilkS") (width 0.12) (tstamp 7e7558c5-e60c-4f14-93b5-2a571b80a783)) - (fp_line (start -1.98 7.13) (end -1.98 7.13) (layer "F.SilkS") (width 0.12) (tstamp 9551bca0-ee45-4512-b8d3-e6c20263ec61)) - (fp_line (start -1.98 7.13) (end -3.29 7.13) (layer "F.SilkS") (width 0.12) (tstamp 975d3599-b1fc-4a35-a234-a6b3b166c899)) - (fp_line (start -1.98 3.03) (end -1.98 -3.91) (layer "F.SilkS") (width 0.12) (tstamp a048156c-2ed0-4c91-ab21-be92231eebc4)) - (fp_line (start -3.68 0) (end -4.68 -0.5) (layer "F.SilkS") (width 0.12) (tstamp c2c221b2-fa6a-4438-9ec4-fba8e9855c13)) - (fp_line (start -3.29 3.03) (end -1.98 3.03) (layer "F.SilkS") (width 0.12) (tstamp c8dfb728-e5a4-4a6a-be2d-7e34fb3e947f)) - (fp_line (start -1.98 -3.91) (end 4.52 -3.91) (layer "F.SilkS") (width 0.12) (tstamp cd90204e-0966-4300-ab67-a774016ca94c)) - (fp_line (start -3.29 15.37) (end -3.29 -5.21) (layer "F.SilkS") (width 0.12) (tstamp d13f6c50-ce8f-484d-9604-839a4d68748d)) - (fp_line (start 5.83 -5.21) (end 5.83 15.37) (layer "F.SilkS") (width 0.12) (tstamp e0e7c14d-a277-4420-a907-722087747162)) - (fp_line (start -3.68 -5.6) (end -3.68 15.76) (layer "F.CrtYd") (width 0.05) (tstamp 8bec1c04-af2c-4882-a13b-06833af203c9)) - (fp_line (start -3.68 15.76) (end 6.22 15.76) (layer "F.CrtYd") (width 0.05) (tstamp 9bb52bb6-ba05-49ba-bcb1-b76ece7e2459)) - (fp_line (start 6.22 15.76) (end 6.22 -5.6) (layer "F.CrtYd") (width 0.05) (tstamp eaeddb05-e533-4609-bddf-fd121af10df2)) - (fp_line (start 6.22 -5.6) (end -3.68 -5.6) (layer "F.CrtYd") (width 0.05) (tstamp fe12649d-bb0a-40f3-8811-3d0e7b82a240)) - (fp_line (start 5.72 15.26) (end -3.18 15.26) (layer "F.Fab") (width 0.1) (tstamp 0964ad46-f7c0-4f54-95e3-ab9412ba5c6e)) - (fp_line (start -3.18 -4.1) (end -2.18 -5.1) (layer "F.Fab") (width 0.1) (tstamp 1abf0cf4-47c1-4303-8818-61d53ccf70c0)) - (fp_line (start -1.98 7.13) (end -3.18 7.13) (layer "F.Fab") (width 0.1) (tstamp 31a8ba14-c84f-4a28-b759-06fbdb564728)) - (fp_line (start -1.98 14.07) (end -1.98 7.13) (layer "F.Fab") (width 0.1) (tstamp 41ef0a84-a269-4f91-a39c-9bcbeea8f01a)) - (fp_line (start -3.18 3.03) (end -1.98 3.03) (layer "F.Fab") (width 0.1) (tstamp 4515268e-67db-4b3e-a87d-4e26467a6e03)) - (fp_line (start 4.52 -3.91) (end 4.52 14.07) (layer "F.Fab") (width 0.1) (tstamp 49376614-af83-439b-b042-181abe97eab2)) - (fp_line (start -1.98 7.13) (end -1.98 7.13) (layer "F.Fab") (width 0.1) (tstamp 5a40e1e8-0901-4323-b0ff-884cab6e649b)) - (fp_line (start 4.52 14.07) (end -1.98 14.07) (layer "F.Fab") (width 0.1) (tstamp 5d2d58f1-2c17-434a-939f-eb9d6c62defd)) - (fp_line (start -1.98 -3.91) (end 4.52 -3.91) (layer "F.Fab") (width 0.1) (tstamp c5246819-cd34-4b36-841b-15ff0bc8ef76)) - (fp_line (start 5.72 -5.1) (end 5.72 15.26) (layer "F.Fab") (width 0.1) (tstamp e8f21d8f-d2ef-4a3e-9e27-90387e4222d5)) - (fp_line (start -1.98 3.03) (end -1.98 -3.91) (layer "F.Fab") (width 0.1) (tstamp efbe8ac8-01a0-484c-9ead-ace458bce9bf)) - (fp_line (start -3.18 15.26) (end -3.18 -4.1) (layer "F.Fab") (width 0.1) (tstamp efcc8f75-89ba-4076-8da8-1d180c20e07f)) - (fp_line (start -2.18 -5.1) (end 5.72 -5.1) (layer "F.Fab") (width 0.1) (tstamp f31a4a9c-3148-4f0c-8291-52deb884a4bd)) - (pad "1" thru_hole roundrect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) (roundrect_rratio 0.147059) - (net 46 "/TCK") (tstamp 2a99343c-ab57-4c33-93de-7039bbcb6e47)) - (pad "2" thru_hole circle (at 2.54 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 2 "GND") (tstamp c15267e5-df87-4d89-a845-ae37d78e27c1)) - (pad "3" thru_hole circle (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 47 "/TDO") (tstamp 5a69603b-311f-4f79-83cb-b593b6e81796)) - (pad "4" thru_hole circle (at 2.54 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 73 "+3V3") (tstamp c783ab4c-6f9b-478c-bda9-be5294b6d6a7)) - (pad "5" thru_hole circle (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 48 "/TMS") (tstamp 0b8b4750-7729-44b5-8e0a-30b9e9fd9f34)) - (pad "6" thru_hole circle (at 2.54 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 143 "Net-(J4-Pad6)") (tstamp ff3964e6-1b45-4d3e-8ec0-44ec8995dd1d)) - (pad "7" thru_hole circle (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 144 "Net-(J4-Pad7)") (tstamp 0d9f8516-569c-4853-9151-e26e0b59ceb4)) - (pad "8" thru_hole circle (at 2.54 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 145 "Net-(J4-Pad8)") (tstamp 5789807f-8206-4167-8265-a48b49816abb)) - (pad "9" thru_hole circle (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 52 "/TDI") (tstamp 97d95ebe-f81c-4b0f-a8d5-32fb88fdcdc8)) - (pad "10" thru_hole circle (at 2.54 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) - (net 2 "GND") (tstamp 64c838ab-9297-48be-a737-67cee7ebeafb)) - (model "${KICAD6_3DMODEL_DIR}/Connector_IDC.3dshapes/IDC-Header_2x05_P2.54mm_Vertical.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:R_0603" (layer "F.Cu") - (tedit 5EE29B72) (tstamp 00000000-0000-0000-0000-000060217eb5) - (at 80.95 108.5 90) - (tags "resistor") - (path "/00000000-0000-0000-0000-000060bd9796") - (solder_mask_margin 0.05) - (solder_paste_margin -0.05) - (attr smd) - (fp_text reference "R31" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp efb3bc95-b257-4268-822f-f80ab2b89561) - ) - (fp_text value "33" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp cfa82190-a0ae-430f-bfc8-4113205faaf4) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 16ab3cf3-2a08-482e-99cb-0ca360e40df2) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 5b7ac3d0-d976-4048-8018-ef9da1715560)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 780ce6d2-f54f-4bbc-a6aa-d43d29897ba3)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 19c77d2a-fa87-4a00-863c-4c1b684defd0)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 258c03fe-0121-4d86-a0f9-1f43ede8ae71)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp d652afe8-bb44-4c73-a782-165d9817f4af)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp d6e047fd-eab7-4db3-8b54-409bdd5ef572)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 71868ca1-17da-4e71-a50a-e6fa00d89597)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 8456b5a4-b514-49f0-90fd-52d02fa3c08e)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 9479784f-d61f-42d0-b60d-2e0534b653a1)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 9def8ea8-0316-48d3-827c-21a21398e6d0)) - (pad "1" smd roundrect locked (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 137 "/FCK") (tstamp d87b4a87-5883-4edc-9ed5-8b7902b6705b)) - (pad "2" smd roundrect locked (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 149 "Net-(J5-Pad6)") (tstamp c0dc6dfd-e21e-4f4e-8f96-990341689d66)) - (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-00006059a13f) - (at 104.8 112.25) - (tags "capacitor") - (path "/00000000-0000-0000-0000-0000612b7ae7") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C43" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp c535b148-9f7c-41fb-b15b-087853a99dec) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 7ac8676b-4c51-4523-afd8-983479a7d24e) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 2d961e72-a8e5-4419-b78b-470ad5ce44af) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 4dafd423-21bb-4cda-9cc6-66b0c26cf67f)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 9273fd04-97f0-47cf-9769-5fb053b3dd86)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 1712bce1-82b2-4061-8271-332eb75bb1a6)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 3856022b-991c-4aa2-97f4-b7b438fba46c)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 6088c564-dc0f-4dad-bb08-94d7acd78a5b)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp e98fa171-a152-4d39-ac15-d9feddb1adfc)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 6c8fe750-f5d5-45e3-8031-75f1034c352b)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 860deae9-2d76-44fb-8a89-7f345d3dd842)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp c0688a3d-2db9-45a9-ac3a-bf92f4cd962d)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp e5ddd04d-76f1-4f42-ab4b-980719c3dba6)) - (pad "1" smd roundrect locked (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp b05de7ca-a659-467a-84b1-52a60302fca4)) - (pad "2" smd roundrect locked (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 36b98e31-3876-40da-8067-6274e9b9502f)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" (layer "F.Cu") - (tedit 606F8F23) (tstamp 00000000-0000-0000-0000-0000606e5671) - (at 135.763 95.885) - (descr "SMD 8x-dip-switch SPST KingTek_DSHP08TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf)") - (tags "SMD DIP Switch SPST Slide 7.62mm 300mil") - (path "/00000000-0000-0000-0000-000062d6aa13") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "SW1" (at 0 -1.905 180) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp 95a4fce3-698a-4756-a471-fd47aef072be) - ) - (fp_text value "FW" (at 0 1.905 180) (layer "F.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp efafb1f6-4bcb-4f2b-8b14-93b678d16b6d) - ) - (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") - (effects (font (size 0.8128 0.8128) (thickness 0.2032))) - (tstamp d46f35b0-01aa-4f06-84cb-3e9a783a7752) - ) - (fp_text user "on" (at -1.5525 0.055 90) (layer "F.Fab") - (effects (font (size 0.8 0.8) (thickness 0.12))) - (tstamp 8d88d601-1569-4988-8016-0d1b7715fd52) - ) - (fp_line (start 0.695 2.76) (end 2.13 2.76) (layer "F.SilkS") (width 0.12) (tstamp 37ba04c2-b201-4f29-919c-bcd861d42582)) - (fp_line (start -1.315 4.446) (end -1.315 2.76) (layer "F.SilkS") (width 0.12) (tstamp 4f668c1c-8d1a-4d06-8608-a206ce7c416a)) - (fp_line (start -2.131 2.76) (end -2.131 -2.76) (layer "F.SilkS") (width 0.12) (tstamp 5806f166-c5b7-446b-9db4-5eae522d94cc)) - (fp_line (start 0.695 -2.76) (end 2.13 -2.76) (layer "F.SilkS") (width 0.12) (tstamp 8ccddae8-ce7d-463e-b220-c77ddaa0c987)) - (fp_line (start -2.131 -2.76) (end -0.696 -2.76) (layer "F.SilkS") (width 0.12) (tstamp ada46483-405b-43ae-86e2-bd8983c99f2a)) - (fp_line (start 2.13 2.76) (end 2.13 -2.76) (layer "F.SilkS") (width 0.12) (tstamp e4c118e7-fc0e-477e-b5cb-73e30aeb4d51)) - (fp_line (start -2.131 2.76) (end -1.315 2.76) (layer "F.SilkS") (width 0.12) (tstamp f2e9ecaa-da89-438e-8e68-032115ecd315)) - (fp_line (start -2.413 -4.826) (end -2.413 4.826) (layer "F.CrtYd") (width 0.05) (tstamp 86a90217-63e4-4c2b-9404-9f0616ce7413)) - (fp_line (start 2.413 -4.826) (end -2.413 -4.826) (layer "F.CrtYd") (width 0.05) (tstamp aac8b5fd-6654-4702-a3bd-3f090630cb58)) - (fp_line (start 2.413 4.826) (end 2.413 -4.826) (layer "F.CrtYd") (width 0.05) (tstamp d9517e42-846f-4a2c-afd7-7bb11cf0f75e)) - (fp_line (start -2.413 4.826) (end 2.413 4.826) (layer "F.CrtYd") (width 0.05) (tstamp f00e0ade-7a8f-4ddf-9754-ec23a77ee26a)) - (fp_line (start -1.035 -1) (end -1.035 1) (layer "F.Fab") (width 0.1) (tstamp 093a326c-bf8d-4bd1-b561-d37858ab5bca)) - (fp_line (start -0.435 1) (end -0.435 0.333333) (layer "F.Fab") (width 0.1) (tstamp 094b81b7-7d25-439c-8480-b22a7509223a)) - (fp_line (start 0.935 1) (end 0.935 0.333333) (layer "F.Fab") (width 0.1) (tstamp 15977b9f-0668-4275-8989-ca48f55d1789)) - (fp_line (start 2.07 2.7) (end -1.07 2.7) (layer "F.Fab") (width 0.1) (tstamp 2bcc86c8-ee7d-4c26-aca5-3b5ce36fa788)) - (fp_line (start 1.035 1) (end 1.035 -1) (layer "F.Fab") (width 0.1) (tstamp 3a694b42-670f-4cf1-ba79-aea0eadc7924)) - (fp_line (start 0.435 1) (end 0.435 0.333333) (layer "F.Fab") (width 0.1) (tstamp 425377cf-8ef6-4013-8b3f-871d122fe0a8)) - (fp_line (start 0.835 1) (end 0.835 0.333333) (layer "F.Fab") (width 0.1) (tstamp 4a7a87a3-c3fe-448a-a1e8-8e721ddc9f70)) - (fp_line (start -0.635 1) (end -0.635 0.333333) (layer "F.Fab") (width 0.1) (tstamp 4ef43a28-c510-45db-8ee8-6c1460c14f33)) - (fp_line (start 0.335 1) (end 0.335 0.333333) (layer "F.Fab") (width 0.1) (tstamp 52383fda-2145-4d35-82d5-ec6836ffcf7c)) - (fp_line (start -1.035 1) (end -0.235 1) (layer "F.Fab") (width 0.1) (tstamp 53f66f6a-6785-4407-a6fd-09013b88876d)) - (fp_line (start 0.635 1) (end 0.635 0.333333) (layer "F.Fab") (width 0.1) (tstamp 571399c7-53c5-4ad8-9e48-6facbcde591b)) - (fp_line (start 1.035 -1) (end 0.235 -1) (layer "F.Fab") (width 0.1) (tstamp 6d958d99-2e45-4c61-bdde-07df8e45b28a)) - (fp_line (start -0.235 1) (end -0.235 -1) (layer "F.Fab") (width 0.1) (tstamp 700c69dc-6ed3-40ed-ad9c-7efb2c64ff49)) - (fp_line (start -2.07 1.7) (end -2.07 -2.7) (layer "F.Fab") (width 0.1) (tstamp 7cb4a1d0-213c-47e5-a060-44bf4f81626e)) - (fp_line (start 2.07 -2.7) (end 2.07 2.7) (layer "F.Fab") (width 0.1) (tstamp 7cf1ea13-2013-4eeb-8faa-c334604ec7a5)) - (fp_line (start 0.235 -1) (end 0.235 1) (layer "F.Fab") (width 0.1) (tstamp 8f4c5cbb-9ab2-4030-8344-056f2e1fcd3f)) - (fp_line (start -0.535 1) (end -0.535 0.333333) (layer "F.Fab") (width 0.1) (tstamp 93c69146-814b-449c-bc87-3914f2db8fea)) - (fp_line (start -2.07 -2.7) (end 2.07 -2.7) (layer "F.Fab") (width 0.1) (tstamp 973cdbd1-4020-4cc9-8de4-8caf4d7cb28a)) - (fp_line (start 0.535 1) (end 0.535 0.333333) (layer "F.Fab") (width 0.1) (tstamp ada23054-e29c-425b-8710-f8a6e4acd6cc)) - (fp_line (start 0.735 1) (end 0.735 0.333333) (layer "F.Fab") (width 0.1) (tstamp b626734b-a059-4d1e-bccd-887e5fdeab35)) - (fp_line (start -0.335 1) (end -0.335 0.333333) (layer "F.Fab") (width 0.1) (tstamp bcebf495-b818-4816-942c-9ed831082907)) - (fp_line (start -1.035 0.333333) (end -0.235 0.333333) (layer "F.Fab") (width 0.1) (tstamp cae0343e-9397-48ef-abec-5a67b2cbee95)) - (fp_line (start 0.235 0.333333) (end 1.035 0.333333) (layer "F.Fab") (width 0.1) (tstamp ce715071-ad4b-4098-acb6-fc62951890b0)) - (fp_line (start 0.235 1) (end 1.035 1) (layer "F.Fab") (width 0.1) (tstamp d9ad62b5-daa9-4a0a-a73f-9fcbea0fc2cb)) - (fp_line (start -0.835 1) (end -0.835 0.333333) (layer "F.Fab") (width 0.1) (tstamp db08d23b-92e3-4f99-8704-1a66ba98c4e9)) - (fp_line (start -0.735 1) (end -0.735 0.333333) (layer "F.Fab") (width 0.1) (tstamp e665780a-d5ab-4539-a610-702f10a9b044)) - (fp_line (start -1.07 2.7) (end -2.07 1.7) (layer "F.Fab") (width 0.1) (tstamp e77c19b6-db3d-4b9e-a098-b8ca8297a370)) - (fp_line (start -0.235 -1) (end -1.035 -1) (layer "F.Fab") (width 0.1) (tstamp ed04d373-43ed-4e91-b258-65d3cb22e6d7)) - (fp_line (start -0.935 1) (end -0.935 0.333333) (layer "F.Fab") (width 0.1) (tstamp f97d3732-d2db-4cf7-a7d3-9847986c0ebc)) - (pad "1" smd roundrect locked (at -0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 92916c6c-15e2-41a4-a2ad-12b08bd1a9bb)) - (pad "2" smd roundrect locked (at 0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp aec07987-ab4d-4958-ae91-100d056a971d)) - (pad "3" smd roundrect locked (at 0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 163 "/FW0") (tstamp 56b97677-5d64-402b-b31e-cbf5466247aa)) - (pad "4" smd roundrect locked (at -0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 162 "/FW1") (tstamp 45e009ac-89b1-46d2-bf14-48d9d69a4da5)) - (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 90)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-0000606e86f7) - (at 123.65 90.75 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005e680811") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C12" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp e16e12e4-4474-4a08-adaf-2e0eeb929873) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp b4a24207-4f42-47cf-a580-d115cf12cb67) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 585012c5-c30d-48c0-b574-1cd2a355bb65) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 04514622-c64f-469d-a431-4f17de13a770)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 3e20cdce-b526-4e52-a612-46cb14eba6d6)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 45ab6588-080b-44bd-a20f-3c136dd830f1)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 77f29395-c123-4a77-9f5e-e7c311bb8d64)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 7bbdc5bd-fbcf-49ad-8c1d-18b9c16b619b)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 83bbdb87-3dc1-40f5-bf5e-3bb0f8dfc548)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 21c6c7e7-df66-4768-ac7a-cc15bd42c463)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 3c085010-f4a5-47e1-8934-1d91bd9a53c7)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 3e578775-358c-42cd-a13a-16c074fd53b9)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 4e71b559-c0db-4450-97bc-30bfe9107285)) - (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 20307bb2-bb0a-439b-89ee-216a65a45f5a)) - (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 45c8c8d1-7203-4e66-89e3-2a26fd425d94)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-0000606e8738) - (at 113.65 90.75 180) - (tags "capacitor") - (path "/00000000-0000-0000-0000-000060865cde") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C5" (at 0 0) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp a2ddf05f-e61c-41d4-96f6-aa4e922066a7) - ) - (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 8b8a43c9-19b2-40d0-a272-11bdd5b5feab) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 0005d565-c18d-44b3-b153-3749fc4cf380) - ) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp 62f05b82-c85f-41b9-8363-9550f11ac4f3)) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 9a3519d7-ebfa-46a5-a572-5252a6310af5)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 2c460627-3821-49f7-9468-7ae3b6ed0c7c)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 3c095d21-be24-4ad3-b65b-9f1fbd4e4c83)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 44774ced-613c-41f0-aa80-c983b0a8caac)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp c1790502-a8e6-452b-a29e-f8ba7c05abc9)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0fb4b634-f20b-4d9a-b454-341527c4648c)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 35994bfd-8c30-4e24-91f4-5e25fa06b3df)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 46bf2ce1-315b-4eab-888d-0c57051708e5)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp f0ddf170-75b9-4c94-8fda-3268a8b6e44d)) - (pad "1" smd roundrect locked (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp a8249370-66a6-4cb0-9909-0e5a16887cb6)) - (pad "2" smd roundrect locked (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 652c6842-347e-4901-affd-e00bf28b84c7)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0603" (layer "F.Cu") - (tedit 5EE29C36) (tstamp 00000000-0000-0000-0000-0000606fb5cd) - (at 76.6 119.8 -90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005f45d114") - (solder_mask_margin 0.05) - (solder_paste_margin -0.04) - (attr smd) - (fp_text reference "C13" (at 0 0 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp dbd47384-e52c-42e4-90e5-a3624c0e5d4a) - ) - (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") - (effects (font (size 0.127 0.127) (thickness 0.03175))) - (tstamp 1fe718b6-d5d9-4f6a-bb08-1cd784bbf9d6) - ) - (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 724b965b-d9cf-4661-9265-9a22539b4d73) - ) - (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) (layer "F.SilkS") (width 0.12) (tstamp 3f6d185e-f550-440a-899d-361dc95bf216)) - (fp_line (start -0.162779 0.51) (end 0.162779 0.51) (layer "F.SilkS") (width 0.12) (tstamp e4b7cff2-d883-48bd-82a9-00ecae128736)) - (fp_line (start 1.4 0.7) (end -1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp 91dda16f-f6ba-47f8-b9ff-bca5dbff204d)) - (fp_line (start -1.4 0.7) (end -1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp 97e39d73-5296-4edc-b75a-073e8d95324f)) - (fp_line (start 1.4 -0.7) (end 1.4 0.7) (layer "F.CrtYd") (width 0.05) (tstamp c5e6356a-6ff9-4d3e-87b8-2db7cc980b64)) - (fp_line (start -1.4 -0.7) (end 1.4 -0.7) (layer "F.CrtYd") (width 0.05) (tstamp ef00bf81-62fd-48b2-9acb-08e196f412f8)) - (fp_line (start -0.8 -0.4) (end 0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 0aa2d383-1bdd-4dcb-b0d1-699efad1e260)) - (fp_line (start 0.8 -0.4) (end 0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp 1cbb50a0-15c0-44ab-a4f4-9c88a35f3dc5)) - (fp_line (start -0.8 0.4) (end -0.8 -0.4) (layer "F.Fab") (width 0.1) (tstamp 5a6287cc-e2c6-4796-ac1a-100d7e922017)) - (fp_line (start 0.8 0.4) (end -0.8 0.4) (layer "F.Fab") (width 0.1) (tstamp d1f567e5-4a0e-4704-9a1a-47611a6a97b8)) - (pad "1" smd roundrect locked (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp cab92e7f-c930-4a05-a0ff-e2dc17b22a97)) - (pad "2" smd roundrect locked (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 9f30d468-47c0-4633-896e-707b66bc8332)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-00006071e4b9) - (at 140.1 124.2 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005f45d109") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C7" (at 0 0 270) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp b1d44735-de6a-407f-8761-6caacb321f00) - ) - (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp bd7f6585-395f-4516-a315-2accd27f9bc7) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 6697032f-fc3c-453b-9c1d-49bdea125250) - ) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp a03dfce1-346c-4044-a2ce-ca449db813c8)) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp b159d518-66c9-41e6-95d9-8e2fe3d1e170)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 185002d4-4349-49e5-a273-f9f8d497ca79)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 64325fca-6a80-47a9-bb75-6d3a4ba84407)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 6df7b6ee-11df-405a-a3df-464c70bca063)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp ff973e3c-abf4-47ff-88fa-70f490087101)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp 11b7ce2b-2202-46b8-a0b9-71b7244c9a78)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 38e927a7-fbed-43df-be40-29a27a48e201)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp bd88b8ae-94ec-4f01-a02d-1c2ede5335ce)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp f44548a4-2596-4583-9353-79511e3a86dc)) - (pad "1" smd roundrect locked (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 1 "+5V") (tstamp 10567ef6-5d6c-4204-9c78-4e043ed6b1be)) - (pad "2" smd roundrect locked (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp 6f0d0817-4305-4493-9b53-68e7055024b0)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "stdpads:C_0805" (layer "F.Cu") - (tedit 5F02840E) (tstamp 00000000-0000-0000-0000-000060726a8f) - (at 130.35 124.2 90) - (tags "capacitor") - (path "/00000000-0000-0000-0000-00005cc13929") - (solder_mask_margin 0.05) - (solder_paste_margin -0.025) - (attr smd) - (fp_text reference "C11" (at 0 0 270) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 66f7278d-9166-450e-85a4-99ad54275601) - ) - (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp df098ebb-6753-486b-9fca-3a569d753e07) - ) - (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide - (effects (font (size 0.254 0.254) (thickness 0.0635))) - (tstamp 419fd244-d44d-49e3-8e21-9ac7623a9cc0) - ) - (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer "F.SilkS") (width 0.1524) (tstamp 33d9a8cf-31ed-405a-9422-9faebf8878bc)) - (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer "F.SilkS") (width 0.1524) (tstamp 9e539c59-6346-4901-bd6a-7dc90968b8dc)) - (fp_line (start -1.7 -1) (end 1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 1ace960f-743d-4336-9cc3-ceb066bf1d33)) - (fp_line (start 1.7 -1) (end 1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp 62f3a1ec-ab57-4298-95f2-d6257c1f4a8e)) - (fp_line (start -1.7 1) (end -1.7 -1) (layer "F.CrtYd") (width 0.05) (tstamp 887d568b-5bf2-47ac-9115-013da04c8703)) - (fp_line (start 1.7 1) (end -1.7 1) (layer "F.CrtYd") (width 0.05) (tstamp d01a1cba-43b8-4b5a-9986-ca75404fad1e)) - (fp_line (start 1 0.625) (end -1 0.625) (layer "F.Fab") (width 0.15) (tstamp 02132cb4-54e8-4416-833f-5bf6af50d9c7)) - (fp_line (start -1 -0.625) (end 1 -0.625) (layer "F.Fab") (width 0.15) (tstamp 1225cf11-428c-4935-a428-9817787f3cb2)) - (fp_line (start 1 -0.625) (end 1 0.625) (layer "F.Fab") (width 0.15) (tstamp a4de59ef-b1a0-4ee0-8d22-5a9d04a86858)) - (fp_line (start -1 0.625) (end -1 -0.625) (layer "F.Fab") (width 0.15) (tstamp fc228f37-e30a-4ae5-9295-55ab57c236b2)) - (pad "1" smd roundrect locked (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 73 "+3V3") (tstamp 3c2f55c3-e552-49e6-a345-d7b9fdb60171)) - (pad "2" smd roundrect locked (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) - (net 2 "GND") (tstamp c9ab3aec-242e-41c2-b166-94dff047d4f7)) - (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" - (offset (xyz 0 0 0)) - (scale (xyz 1 1 1)) - (rotate (xyz 0 0 0)) - ) - ) - - (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "B.Cu") - (tedit 5A29CEC3) (tstamp 00000000-0000-0000-0000-00005fe75acf) - (at 63.881 119.253) - (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") - (tags "tag connect programming header pogo pins") - (path "/00000000-0000-0000-0000-0000607c0f47") - (attr exclude_from_pos_files exclude_from_bom) - (fp_text reference "J5" (at -7.239 -1.19) (layer "B.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) - (tstamp 3aa405ac-d4bb-4c24-9d29-928b6888466e) - ) - (fp_text value "JTAG" (at -7.239 -2.667) (layer "B.Fab") - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) - (tstamp c543297c-e0a1-4a70-8afc-74909d8a4147) - ) - (fp_text user "KEEPOUT" (at 0 0) (layer "Cmts.User") - (effects (font (size 0.4 0.4) (thickness 0.07))) - (tstamp 548448ca-d03e-4849-b350-2cf91023bb9d) - ) - (fp_text user "${REFERENCE}" (at 0 0) (layer "B.Fab") - (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) - (tstamp ea47cdd8-68da-4e42-8dd7-6aff2dc060e4) - ) - (fp_line (start -2.54 -1.27) (end -3.175 -1.27) (layer "B.SilkS") (width 0.12) (tstamp 5588b5a5-244c-4298-8e13-0e28f3399ca2)) - (fp_line (start -3.175 -1.27) (end -3.175 -0.635) (layer "B.SilkS") (width 0.12) (tstamp be3c1a07-d7c7-4961-a2bf-88f27591b364)) - (fp_line (start 1.905 -0.635) (end 2.54 0) (layer "Dwgs.User") (width 0.1) (tstamp 078febc3-6e7c-4da9-a2f4-288a922e88fe)) - (fp_line (start 2.54 0.635) (end 2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp 3a582103-5d4e-4956-acc4-e64fc6bb88a2)) - (fp_line (start 0.635 -0.635) (end 1.905 0.635) (layer "Dwgs.User") (width 0.1) (tstamp 3b2bac1a-1ef0-450e-9597-a68fe8adac05)) - (fp_line (start -2.54 0.635) (end 2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp 3f95d178-4956-495f-95a9-23b546571839)) - (fp_line (start -0.635 -0.635) (end 0.635 0.635) (layer "Dwgs.User") (width 0.1) (tstamp 66e950dd-c09b-4c99-b636-9d966fca99b5)) - (fp_line (start -2.54 0) (end -1.905 0.635) (layer "Dwgs.User") (width 0.1) (tstamp a7ca47dd-1151-4851-9fda-27a9e4aedeb2)) - (fp_line (start -1.905 -0.635) (end -0.635 0.635) (layer "Dwgs.User") (width 0.1) (tstamp af1a5134-bc52-4cc1-b322-f38a966e94c8)) - (fp_line (start 2.54 -0.635) (end -2.54 -0.635) (layer "Dwgs.User") (width 0.1) (tstamp b332e204-7bb1-4429-a8f0-2694ea85959e)) - (fp_line (start 1.27 -0.635) (end 2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp bb577846-6cdc-45d3-bf90-477828bbfe1c)) - (fp_line (start -1.27 -0.635) (end 0 0.635) (layer "Dwgs.User") (width 0.1) (tstamp d140f61b-05cc-4457-a933-4af9f6fec536)) - (fp_line (start -2.54 -0.635) (end -1.27 0.635) (layer "Dwgs.User") (width 0.1) (tstamp d8c36ddf-4b24-4ec0-a65d-ca2a7692767a)) - (fp_line (start -2.54 -0.635) (end -2.54 0.635) (layer "Dwgs.User") (width 0.1) (tstamp dfd57df2-9b3d-44b7-940b-2891e33c9b91)) - (fp_line (start 0 -0.635) (end 1.27 0.635) (layer "Dwgs.User") (width 0.1) (tstamp e23d99fc-a483-4ef1-8fad-cdf02092ab67)) - (fp_line (start 4.75 -4.25) (end -5.5 -4.25) (layer "B.CrtYd") (width 0.05) (tstamp 2137906f-9d9a-4f2a-b4c3-18311f4a4bdf)) - (fp_line (start -5.5 -4.25) (end -5.5 4.25) (layer "B.CrtYd") (width 0.05) (tstamp 22db35ee-4455-4953-8441-c3ceb16425a1)) - (fp_line (start 4.75 4.25) (end 4.75 -4.25) (layer "B.CrtYd") (width 0.05) (tstamp 8cbbddaa-560a-4858-a57e-0d4d594fb21f)) - (fp_line (start -5.5 4.25) (end 4.75 4.25) (layer "B.CrtYd") (width 0.05) (tstamp fba4920e-fdf5-46ca-bff8-f6116ea09a34)) - (pad "" np_thru_hole circle (at -3.81 2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 0504d703-b843-4030-bc98-78936cc272ba)) - (pad "" np_thru_hole circle (at 1.905 2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 6174ff9d-b396-48af-af74-61c8efa9e518)) - (pad "" np_thru_hole circle (at 3.81 1.016) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp 79a3868b-51be-4940-9fb9-587951cd7b21)) - (pad "" np_thru_hole circle (at 1.905 -2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 9971bc0e-c92e-4044-b7f4-be9ae3113372)) - (pad "" np_thru_hole circle (at -3.81 -2.54) (size 2.3749 2.3749) (drill 2.3749) (layers *.Cu *.Mask) (tstamp 9cacb0d9-d577-4ed9-acef-1936b30304fe)) - (pad "" np_thru_hole circle (at 3.81 -1.016) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp a5d2a7d4-11d3-4ff9-a61d-2d4964edff84)) - (pad "" np_thru_hole circle (at -3.81 0) (size 0.9906 0.9906) (drill 0.9906) (layers *.Cu *.Mask) (tstamp c941ec54-73ba-44d4-80d8-cc471212017b)) - (pad "1" connect circle (at -2.54 -0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 136 "/F~{CS}") (tstamp bacc9b22-f238-4f63-8390-1290cdc595e3)) - (pad "2" connect circle (at -1.27 -0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 73 "+3V3") (tstamp 1fc2dbaf-1fa2-4a4d-84e9-d55cb2f026a8)) - (pad "3" connect circle (at 0 -0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 134 "/MISO") (tstamp 1013dbaf-2030-4b19-85bd-3658da4d0627)) - (pad "4" connect circle (at 1.27 -0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 140 "/FD3") (tstamp 2b1643d4-0ee8-436c-8dac-31a9f0b9c255)) - (pad "5" connect circle (at 2.54 -0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 139 "/FD2") (tstamp c56af65a-343a-459f-98cd-e41415611fef)) - (pad "6" connect circle (at 2.54 0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 149 "Net-(J5-Pad6)") (tstamp 5734c599-c465-44c2-80dc-aee5a5f975ea)) - (pad "7" connect circle (at 1.27 0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 2 "GND") (tstamp 1323f5f3-dbac-4a0a-af10-526e72d94ecd)) - (pad "8" connect circle (at 0 0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 135 "/MOSI") (tstamp fbc9f5eb-34a8-43b6-a221-6b8fc9700042)) - (pad "9" connect circle (at -1.27 0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 142 "Net-(J5-Pad9)") (tstamp 413078ef-44b8-4eba-944e-21004800040c)) - (pad "10" connect circle (at -2.54 0.635) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") - (net 141 "Net-(J5-Pad10)") (tstamp 9680b06e-8bd5-49a6-9f23-ef9a640c5e60)) - ) - - (gr_line (start 129.032 107.696) (end 130.81 107.696) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-00006070684f)) - (gr_line (start 130.81 105.918) (end 129.032 105.918) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706852)) - (gr_line (start 130.2384 106.4895) (end 130.2385 106.4895) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-000060706855)) - (gr_line (start 129.6034 107.1245) (end 129.6035 107.1245) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-000060706858)) - (gr_line (start 130.81 107.696) (end 130.81 105.918) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-00006070685b)) - (gr_line (start 129.032 107.696) (end 129.032 105.918) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-00006070685e)) - (gr_line (start 129.032 105.156) (end 130.81 105.156) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706861)) - (gr_line (start 130.81 105.156) (end 130.81 103.378) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706864)) - (gr_line (start 129.032 105.156) (end 129.032 103.378) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706867)) - (gr_line (start 129.6034 104.5845) (end 129.6035 104.5845) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-00006070686a)) - (gr_line (start 130.23855 104.5845) (end 130.23865 104.5845) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-00006070686d)) - (gr_line (start 130.81 103.378) (end 129.032 103.378) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706870)) - (gr_line (start 129.032 112.776) (end 130.81 112.776) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706873)) - (gr_line (start 130.81 110.998) (end 129.032 110.998) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706876)) - (gr_line (start 130.23855 111.5695) (end 130.23865 111.5695) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-000060706879)) - (gr_line (start 129.6034 111.5695) (end 129.6035 111.5695) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-00006070687c)) - (gr_line (start 130.81 112.776) (end 130.81 110.998) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-00006070687f)) - (gr_line (start 129.032 112.776) (end 129.032 110.998) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706882)) - (gr_line (start 129.032 110.236) (end 130.81 110.236) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706885)) - (gr_line (start 130.81 110.236) (end 130.81 108.458) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706888)) - (gr_line (start 129.032 110.236) (end 129.032 108.458) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-00006070688b)) - (gr_line (start 129.6034 109.0295) (end 129.6035 109.0295) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-00006070688e)) - (gr_line (start 130.23855 109.6645) (end 130.23865 109.6645) (layer "F.SilkS") (width 0.35) (tstamp 00000000-0000-0000-0000-000060706891)) - (gr_line (start 130.81 108.458) (end 129.032 108.458) (layer "F.SilkS") (width 0.2032) (tstamp 00000000-0000-0000-0000-000060706894)) - (gr_poly - (pts - (xy 139.7 139.446) - (xy 139.192 139.954) - (xy 74.168 139.954) - (xy 73.66 139.446) - (xy 73.66 132.08) - (xy 139.7 132.08) - ) (layer "B.Mask") (width 0) (fill solid) (tstamp 00000000-0000-0000-0000-00005d312675)) - (gr_poly - (pts - (xy 139.7 139.446) - (xy 139.192 139.954) - (xy 74.168 139.954) - (xy 73.66 139.446) - (xy 73.66 132.08) - (xy 139.7 132.08) - ) (layer "F.Mask") (width 0) (fill solid) (tstamp 00000000-0000-0000-0000-00005d312690)) - (gr_arc (start 143.002 80.391) (mid 144.438841 80.986159) (end 145.034 82.423) (layer "Dwgs.User") (width 0.01) (tstamp 00000000-0000-0000-0000-00005f3d1128)) - (gr_arc (start 145.034 129.54) (mid 144.438841 130.976841) (end 143.002 131.572) (layer "Dwgs.User") (width 0.01) (tstamp 00000000-0000-0000-0000-00005f3d16bc)) - (gr_arc (start 48.133 131.572) (mid 46.696159 130.976841) (end 46.101 129.54) (layer "Dwgs.User") (width 0.01) (tstamp 00000000-0000-0000-0000-00005f727e7d)) - (gr_arc (start 46.101 93.599) (mid 46.205444 92.955919) (end 46.508041 92.378946) (layer "Dwgs.User") (width 0.01) (tstamp 00000000-0000-0000-0000-00005ff58bb8)) - (gr_arc (start 57.684041 81.202946) (mid 58.40074 80.605285) (end 59.309 80.391) (layer "Dwgs.User") (width 0.01) (tstamp 00000000-0000-0000-0000-00005ff58bbf)) - (gr_line (start 73.914 132.08) (end 73.914 139.192) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d1101)) - (gr_arc (start 139.446 139.192) (mid 139.29721 139.55121) (end 138.938 139.7) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d1104)) - (gr_arc (start 74.422 139.7) (mid 74.06279 139.55121) (end 73.914 139.192) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d1107)) - (gr_line (start 145.542 129.54) (end 145.542 82.423) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d110d)) - (gr_line (start 138.938 139.7) (end 74.422 139.7) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d1113)) - (gr_arc (start 143.002 79.883) (mid 144.798051 80.626949) (end 145.542 82.423) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d1125)) - (gr_arc (start 145.542 129.54) (mid 144.798051 131.336051) (end 143.002 132.08) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d16bf)) - (gr_line (start 139.446 132.08) (end 139.446 139.192) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d16c2)) - (gr_line (start 143.002 132.08) (end 139.446 132.08) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f3d16c5)) - (gr_line (start 48.133 132.08) (end 73.914 132.08) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f72373c)) - (gr_arc (start 48.133 132.08) (mid 46.336949 131.336051) (end 45.593 129.54) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f727e80)) - (gr_line (start 45.593 129.54) (end 45.593 93.599) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005f727e83)) - (gr_arc (start 45.593 93.599) (mid 45.723345 92.795781) (end 46.101001 92.074999) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005ff58bb9)) - (gr_arc (start 57.785 80.391) (mid 58.505781 80.013344) (end 59.309 79.883) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005ff58bc0)) - (gr_line (start 57.785 80.391) (end 46.101001 92.074999) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005ff58bc5)) - (gr_line (start 59.309 79.883) (end 143.002 79.883) (layer "Edge.Cuts") (width 0.15) (tstamp 00000000-0000-0000-0000-00005ff592c8)) - (gr_text "ZK, GF" (at 132.461 129.921) (layer "B.SilkS") (tstamp 00000000-0000-0000-0000-0000607fe28a) - (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) - ) - (gr_text "Firmware Select:" (at 135.636 102.108) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-000060706897) - (effects (font (size 0.9 0.9) (thickness 0.2032))) - ) - (gr_text "1 MB Slinky" (at 131.318 104.267) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00006070689a) - (effects (font (size 0.9 0.9) (thickness 0.2032)) (justify left)) - ) - (gr_text "1 MB RAMFactor" (at 131.318 106.807) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00006070689d) - (effects (font (size 0.9 0.9) (thickness 0.2032)) (justify left)) - ) - (gr_text "16 MB RAMFactor*" (at 131.318 111.887) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-0000607068a0) - (effects (font (size 0.9 0.9) (thickness 0.2032)) (justify left)) - ) - (gr_text "8 MB RAMFactor" (at 131.318 109.347) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-0000607068a3) - (effects (font (size 0.9 0.9) (thickness 0.2032)) (justify left)) - ) - (gr_text "GW" (at 90.678 85.217) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00006070ed92) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) - ) - (gr_text "4205A" (at 90.678 85.217) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00006070ed9b) - (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) - ) - (gr_text "Garrett’s Workshop" (at 92.583 82.423) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-00006070f898) - (effects (font (size 1.524 1.524) (thickness 0.225))) - ) - (gr_text "for Apple II" (at 113.538 84.963) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-0000607d493a) - (effects (font (size 1.016 1.016) (thickness 0.2032))) - ) - (gr_text "8 MB RAM" (at 113.538 82.677) (layer "F.SilkS") (tstamp 00000000-0000-0000-0000-0000607d493d) - (effects (font (size 1.524 1.524) (thickness 0.3))) - ) - - (segment (start 137.16 128.27) (end 137.16 127) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d2016)) - (segment (start 137.16 128.27) (end 138.303 128.27) (width 0.762) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d202b)) - (segment (start 137.16 127) (end 137.75 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d2034)) - (segment (start 137.16 135.382) (end 137.16 129.794) (width 1.524) (layer "F.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d203d)) - (segment (start 137.16 129.794) (end 137.668 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp 009b0d62-e9ea-4825-9fdf-befd291c76ce)) - (segment (start 137.16 130.937) (end 137.16 135.382) (width 0.8) (layer "F.Cu") (net 1) (tstamp 186c3f1e-1c94-498e-abf2-1069980f6633)) - (segment (start 137.3 126.86) (end 137.16 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 1d1a7683-c090-4798-9b40-7ed0d9f3ce3b)) - (segment (start 137.3 124.2) (end 137.3 126.378) (width 0.8) (layer "F.Cu") (net 1) (tstamp 3d70e675-48ae-4edd-b95d-3ca51e634018)) - (segment (start 138.303 129.159) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp 45836d49-cd5f-417d-b0f6-c8b43d196a36)) - (segment (start 138.25 124.2) (end 139.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp 54d76293-1ce2-46f8-9be7-a3d7f9f28112)) - (segment (start 137.16 128.27) (end 137.16 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp 62cbcc21-2cec-41ab-be06-499e1a78d7e7)) - (segment (start 137.3 124.2) (end 138.25 124.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp 7247fe96-7885-4063-8282-ea2fd2b28b0d)) - (segment (start 137.16 127) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 761492e2-a989-4596-80c3-fcd6943df072)) - (segment (start 138.303 127.381) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp 92d17eb0-c75d-48d9-ae9e-ea0c7f723be4)) - (segment (start 137.3 124.2) (end 137.3 126.86) (width 0.8) (layer "F.Cu") (net 1) (tstamp b5ffe018-0d06-4a1b-95ee-b5763a35798d)) - (segment (start 137.3 126.378) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp ed247857-b2a3-4b23-90ad-758c01ae5e8e)) - (segment (start 137.668 129.794) (end 138.303 129.159) (width 0.8) (layer "F.Cu") (net 1) (tstamp ef400389-7e37-4c93-8647-76318089d59f)) - (segment (start 139.1 125.05) (end 140.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp f321809c-ab7a-4356-9b11-4c0d46c421ba)) - (segment (start 137.922 127) (end 138.303 127.381) (width 0.8) (layer "F.Cu") (net 1) (tstamp fc12372f-6e31-40f9-8043-b00b861f0171)) - (via (at 138.303 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d2019)) - (via (at 137.16 129.794) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d2028)) - (via (at 137.16 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-00005f3d2037)) - (segment (start 138.1125 127.9525) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp 094dc71e-7ea9-4e30-8ba7-749216ec2a8b)) - (segment (start 137.16 127) (end 136.906 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp 28d267fd-6d61-43bb-9705-8d59d7a44e81)) - (segment (start 138.303 128.27) (end 138.303 128.651) (width 1.524) (layer "B.Cu") (net 1) (tstamp 3273ec61-4a33-41c2-82bf-cde7c8587c1b)) - (segment (start 138.53 128.27) (end 138.303 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp 3d2a15cb-c492-4d9a-b1dd-7d5f099d2d31)) - (segment (start 138.303 128.651) (end 137.16 129.794) (width 1.524) (layer "B.Cu") (net 1) (tstamp 4f3dc5bc-04e8-4dcc-91dd-8782e84f321d)) - (segment (start 138.6205 127.9525) (end 138.1125 127.9525) (width 0.8) (layer "B.Cu") (net 1) (tstamp 583b0bf3-0699-44db-b975-a241ad040fa4)) - (segment (start 137.16 129.794) (end 137.16 128.651) (width 0.5) (layer "B.Cu") (net 1) (tstamp 848901d5-fdee-4920-a04d-fbc03c912e79)) - (segment (start 137.856 129.794) (end 137.16 129.794) (width 0.45) (layer "B.Cu") (net 1) (tstamp 868b5d0d-f911-4724-9580-d9e69eb9f709)) - (segment (start 137.16 127) (end 137.31 126.85) (width 0.5) (layer "B.Cu") (net 1) (tstamp 926b329f-cd0d-410a-bc4a-e36446f8965a)) - (segment (start 138.303 128.143) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp c2211bf7-6ed0-4800-9f21-d6a078bedba2)) - (segment (start 137.16 127) (end 137.16 127.96) (width 0.8) (layer "B.Cu") (net 1) (tstamp dfba7148-cad3-4f40-9835-b1394bd30a2c)) - (segment (start 137.16 129.794) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp f565cf54-67ba-4424-8d47-087433645499)) - (segment (start 138.303 128.27) (end 138.303 128.143) (width 1.524) (layer "B.Cu") (net 1) (tstamp f5a3f95b-1a53-41b4-b208-bf168c9d9c6d)) - (segment (start 137.16 128.94) (end 137.16 129.794) (width 0.5) (layer "B.Cu") (net 1) (tstamp f7758f2a-e5c9-405c-960a-353b36eaf72d)) - (segment (start 136.915002 127) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp ffb86135-b43f-4a42-9aa6-73aa7ba972a9)) - (segment (start 120.826 128.27) (end 120.826 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ce6)) - (segment (start 120.826 129.491) (end 120.777 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ce9)) - (segment (start 74.5 128.27) (end 74.5 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d4f)) - (segment (start 74.5 129.491) (end 74.549 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d52)) - (segment (start 98.05 109.0625) (end 98.05 108) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d5e)) - (segment (start 98.05 108) (end 98.15 107.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d61)) - (segment (start 91.05 109.0625) (end 91.05 108) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d6d)) - (segment (start 91.05 108) (end 91.15 107.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d70)) - (segment (start 98.55 93.7375) (end 98.55 94.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d9a)) - (segment (start 98.55 94.8) (end 98.65 94.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d9d)) - (segment (start 101.7125 100.4) (end 100.55 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1da3)) - (segment (start 101.7125 102.9) (end 100.65 102.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1da9)) - (segment (start 100.65 102.9) (end 100.55 102.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1dac)) - (segment (start 96.4 91.7) (end 96.4 92.49999) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1dbb)) - (segment (start 96.4 92.49999) (end 96.3 92.59999) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1dbe)) - (segment (start 98.55 93.7375) (end 98.55 92.75) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e1b)) - (segment (start 98.55 92.75) (end 98.7 92.6) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e1e)) - (segment (start 84.35 100.15) (end 85.25 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e33)) - (segment (start 85.45 99.9) (end 85.25 100.1) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e36)) - (segment (start 85.25 100.1) (end 85.25 100.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e39)) - (segment (start 86.3875 99.9) (end 85.45 99.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e3c)) - (segment (start 85.45 100.4) (end 85.25 100.2) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e3f)) - (segment (start 85.25 100.2) (end 85.25 100.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e42)) - (segment (start 86.3875 100.4) (end 85.45 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e45)) - (segment (start 103 100.15) (end 102.85 100.3) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e4b)) - (segment (start 102.85 100.3) (end 102.85 100.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e4e)) - (segment (start 103.75 100.15) (end 103 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e51)) - (segment (start 101.7125 102.9) (end 102.7 102.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e54)) - (segment (start 102.7 102.9) (end 102.85 102.75) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e57)) - (segment (start 101.7125 100.4) (end 102.85 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e5d)) - (segment (start 91.55 110.35) (end 91.4 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e84)) - (segment (start 91.4 110.2) (end 91.2 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e87)) - (segment (start 91.55 111.1) (end 91.55 110.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e8a)) - (segment (start 91.05 109.0625) (end 91.05 110.05) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e8d)) - (segment (start 91.05 110.05) (end 91.2 110.2) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e93)) - (segment (start 98.55 110.35) (end 98.4 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e96)) - (segment (start 98.4 110.2) (end 98.2 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e99)) - (segment (start 98.55 111.1) (end 98.55 110.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e9c)) - (segment (start 98.05 109.0625) (end 98.05 110.05) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ea8)) - (segment (start 98.05 110.05) (end 98.2 110.2) (width 0.3) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1eab)) - (segment (start 102.35 124.95) (end 102.35 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ee7)) - (segment (start 102.35 124.95) (end 103 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1eed)) - (segment (start 102.35 124.95) (end 102.35 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ef3)) - (segment (start 93.15 124.95) (end 93.8 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ef9)) - (segment (start 93.15 124.95) (end 93.15 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1eff)) - (segment (start 93.15 124.95) (end 93.15 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f05)) - (segment (start 83.95 124.95) (end 84.6 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f0b)) - (segment (start 83.95 124.95) (end 83.95 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f11)) - (segment (start 83.95 124.95) (end 83.95 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f17)) - (segment (start 135.46 128.27) (end 135.46 129.491) (width 0.762) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f4d)) - (segment (start 135.46 129.491) (end 135.509 129.54) (width 0.762) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f50)) - (segment (start 135.46 128.27) (end 135.46 127.049) (width 0.762) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f56)) - (segment (start 135.46 127.049) (end 135.509 127) (width 0.762) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f59)) - (segment (start 135.46 128.27) (end 134.366 128.27) (width 0.762) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f5f)) - (segment (start 115.394 128.27) (end 114.3 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f65)) - (segment (start 115.394 128.27) (end 115.394 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f6b)) - (segment (start 115.394 129.491) (end 115.443 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f6e)) - (segment (start 94.975 120.55) (end 95.875 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1fe6)) - (segment (start 76.575 120.55) (end 77.475 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d2004)) - (segment (start 112.9 92.65) (end 112.9 91.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2499)) - (segment (start 112.9 92.65) (end 114.05 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24b6)) - (segment (start 112.9 103.05) (end 114.05 103.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24b7)) - (segment (start 110.8 103.3) (end 111.6 103.3) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24b8)) - (segment (start 112.9 111.85) (end 114.05 111.85) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24b9)) - (segment (start 124.4 109.45) (end 123.25 109.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24ba)) - (segment (start 124.4 104.65) (end 125.55 104.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24c0)) - (segment (start 126.45 104.55) (end 125.65 104.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24c4)) - (segment (start 112.9 111.85) (end 111.75 111.85) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24ca)) - (segment (start 126.45 108.55) (end 126.45 109.5) (width 0.762) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24ce)) - (segment (start 125.65 104.55) (end 125.55 104.65) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d0)) - (segment (start 124.4 104.65) (end 123.25 104.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d2)) - (segment (start 124.4 109.45) (end 126.4 109.45) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d5)) - (segment (start 112.9 103.05) (end 111.75 103.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d6)) - (segment (start 111.65 103.15) (end 111.75 103.05) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d7)) - (segment (start 126.4 109.45) (end 126.45 109.5) (width 0.508) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d9)) - (segment (start 112.9 107.05) (end 114.05 107.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24db)) - (segment (start 132.75 123.35) (end 132.75 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f8af957)) - (segment (start 105.55 112.25) (end 105.55 111.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059a163)) - (segment (start 105.55 111.55) (end 105.4 111.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059a166)) - (segment (start 105.4 113.1) (end 105.55 112.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059a16c)) - (segment (start 105.55 112.95) (end 105.55 112.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059a16f)) - (segment (start 130.35 123.35) (end 129.15 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec3dd)) - (segment (start 130.35 123.35) (end 131.35 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec401)) - (segment (start 131.35 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec404)) - (segment (start 130.35 122.3) (end 130.35 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec40a)) - (segment (start 141.1 123.35) (end 141.3 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006071e4dd)) - (segment (start 140.1 123.35) (end 140.1 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006071e4e0)) - (segment (start 140.1 123.35) (end 141.1 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006071e4e6)) - (segment (start 127.2 94.15) (end 127.45 93.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp 0452da17-4ccf-4bdc-9fc3-b0a09600bd55)) - (segment (start 89.4 91.7) (end 89.4 90.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp 04868f85-bc69-4fa9-8e62-d78ffe5ae58e)) - (segment (start 76.4845 97.061) (end 76.454 97.0915) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0648b195-3f37-49a2-a952-4c5886b521de)) - (segment (start 95 120.55) (end 94.25 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 07838c19-bdee-4759-9a7b-a62a5deb9737)) - (segment (start 103.75 100.15) (end 104.65 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 09321bf4-1ea1-49b5-b1f9-ac29d6606a74)) - (segment (start 82.8 102.801) (end 81.951 102.801) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0a83f85d-78ad-480a-a5ba-773caced8f09)) - (segment (start 112.9 113.45) (end 114.05 113.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 0d678ff1-21aa-4e6f-ae06-abf24406f3c8)) - (segment (start 75.85 120.55) (end 75.7 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1354903a-b7d2-4e04-b220-6c6c8f058ef7)) - (segment (start 106.35 120.15) (end 106.35 119.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 16aa2316-1a67-45e5-b6c4-e59dd85814f4)) - (segment (start 110.8 103.3) (end 110.8 102.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 172b515f-13aa-42a2-b6ac-db67c2e524e7)) - (segment (start 104.2 120.55) (end 103.45 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 18ee575f-d41e-4a26-ac0a-b229112d8877)) - (segment (start 122.9 114.6) (end 123.05 114.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1c4dfe58-85b1-467f-8e9d-bdb7a0d0ca8e)) - (segment (start 117.1 121.35) (end 116.95 121.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1d20c966-0439-42a1-b5e3-5e76b52f827f)) - (segment (start 106.35 119.05) (end 106.35 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 224e8890-cdee-45fd-bd2e-64fe49c2de75)) - (segment (start 73.66 128.27) (end 74.5 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 22614aba-2c26-4590-8e12-a7a6b6de48de)) - (segment (start 61.341 118.618) (end 61.341 117.7925) (width 0.6) (layer "F.Cu") (net 2) (tstamp 226f524c-89b4-46ed-86fd-c8ea41059fd4)) - (segment (start 127.2 104.55) (end 127.45 104.3) (width 0.762) (layer "F.Cu") (net 2) (tstamp 2276bf47-b441-4aa2-ba22-8213875ce0ee)) - (segment (start 91.6 92.6) (end 91.55 92.65) (width 0.3) (layer "F.Cu") (net 2) (tstamp 25b39db8-8576-4473-b331-b912323e85f4)) - (segment (start 122.9 90.75) (end 122.9 91.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 28f921ab-5f55-47f8-b726-02e567145cd5)) - (segment (start 103.45 120.55) (end 103.3 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 2aabebab-10c6-4637-946b-cda31980f550)) - (segment (start 126.45 104.55) (end 127.2 104.55) (width 0.762) (layer "F.Cu") (net 2) (tstamp 2af1d271-3c6a-476d-8eba-6b2aab466da3)) - (segment (start 126.45 104.55) (end 126.45 105.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 2b1a1d99-4ea2-4cae-846a-5609aadc4265)) - (segment (start 77.216 97.061) (end 76.4845 97.061) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2ca148b4-658e-4a63-ab5c-2e293c8a2284)) - (segment (start 87.5 100.15) (end 87.5 100.05) (width 0.3) (layer "F.Cu") (net 2) (tstamp 2dba072b-3aba-4c6e-8dad-0c854cc5ab37)) - (segment (start 96.4 91.7) (end 95.55 91.7) (width 0.6) (layer "F.Cu") (net 2) (tstamp 335263d3-7e35-4a9c-83c2-cd71d45f0688)) - (segment (start 96.4 91.7) (end 96.4 90.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp 33b48673-c959-4510-b6fa-fd3f7bdb00fd)) - (segment (start 126.45 113.35) (end 127.2 113.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 3497045f-d218-47c9-8fd1-2d0a39585aa6)) - (segment (start 85.1 97.25) (end 84.35 97.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3742a313-c63e-4807-a7bf-be5a0ae2c781)) - (segment (start 82.8 102.801) (end 82.8 102) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3aec5e23-e675-4bcf-9a9e-48cb59d51927)) - (segment (start 111.55 124.95) (end 111.55 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3b5147db-69cc-4871-96a7-79c3437a6213)) - (segment (start 115 121) (end 115 120.1) (width 0.4) (layer "F.Cu") (net 2) (tstamp 3c5840eb-164e-426c-ab78-faa89624b9dc)) - (segment (start 112.9 115.35) (end 112.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 3cf0233f-86e3-4b85-ad75-fb8a46f37498)) - (segment (start 107.55 99.7) (end 107.55 100.55) (width 0.4) (layer "F.Cu") (net 2) (tstamp 3f0c3fb9-57f0-4439-b2df-3c934842d7db)) - (segment (start 102.975 105.05) (end 103.75 105.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 401b5a0c-f502-4551-9d61-fa50a303707e)) - (segment (start 91.55 92.65) (end 91.55 93.7375) (width 0.3) (layer "F.Cu") (net 2) (tstamp 40962e92-90b6-487d-b0dc-0a6c42b5ebc2)) - (segment (start 89.4 90.95) (end 89.55 90.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4102ae0e-3d75-40cd-957b-0b4db5d3f5ee)) - (segment (start 69 101.4) (end 68.3 101.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 42012069-f136-4cdf-8386-a5e648d61587)) - (segment (start 122.9 91.5) (end 123.05 91.65) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4263a0e8-33fc-439f-9b56-889a4f5d7b26)) - (segment (start 117.8 121.35) (end 117.8 120.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 43b7aab0-ec9b-4c58-bfa1-8dda8fccb53f)) - (segment (start 139 123.35) (end 138.9 123.45) (width 0.8) (layer "F.Cu") (net 2) (tstamp 44c331f8-33e4-4ba1-bb1e-3071cc175bfd)) - (segment (start 105.55 112.25) (end 106.35 112.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 44cd273f-f3a1-4b9a-83a6-972b276409e1)) - (segment (start 112.9 116.1) (end 113.05 116.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp 481354ed-51b9-4db2-9835-781681979b4b)) - (segment (start 85.05 120.55) (end 84.9 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4a56ac62-5ec2-46fc-a86c-9adf2d8fead1)) - (segment (start 78.75 119.05) (end 78.75 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 4b3cefd2-e7d7-4d25-8bb9-37548c3e8b03)) - (segment (start 95 120.55) (end 95 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4fe15866-5386-4410-a27b-4fc15182a4f3)) - (segment (start 110.8 103.3) (end 109.5 103.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp 5080cf4c-abda-4232-b279-44d0e6b9bde3)) - (segment (start 126.45 114.3) (end 126.45 113.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 51320c8c-9c4a-48b8-a7b8-e2c8d1f2e5ad)) - (segment (start 112.9 114.7) (end 112.9 114.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 51bdd1cb-8a01-4b1c-940a-3ff4dd1de87c)) - (segment (start 122.9 90.75) (end 122.9 90) (width 0.6) (layer "F.Cu") (net 2) (tstamp 557d128f-cf69-4c70-9959-d139ac95c63c)) - (segment (start 132.75 123.35) (end 131.75 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 55b28997-b330-40d1-b32a-125cd071668d)) - (segment (start 104.175 120.55) (end 105.05 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 5891aa7f-2e48-4492-8db1-d54810991036)) - (segment (start 112.9 114.7) (end 112.9 115.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 59246647-4e57-4b5f-9f1e-b0cc1fb90bb2)) - (segment (start 112.9 115.35) (end 112.05 115.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 594594ee-9de8-45bc-b621-a9251877b0c2)) - (segment (start 112.9 113.45) (end 112.9 114.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 5aa0e472-160b-49ac-864f-0fa7cd9cf9b0)) - (segment (start 109.5 103.3) (end 109.45 103.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 5b867f3d-ce38-4d21-95dd-fe114f76e9dc)) - (segment (start 111.9 92.65) (end 112.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 5bd90e77-727e-49e2-881e-09f4ce3768d4)) - (segment (start 68.3 101.4) (end 68.15 101.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5d7cb436-106e-4464-b448-3b8bd128554c)) - (segment (start 108.2 103.35) (end 109.45 103.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 5e27f565-c85a-4f3b-9862-58c0accdd5e3)) - (segment (start 105.95 99.5) (end 106.85 99.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5f8cf0a3-5039-4ac4-8310-e201f8c0505f)) - (segment (start 110.9 107.05) (end 110.85 107) (width 0.45) (layer "F.Cu") (net 2) (tstamp 6025c071-1487-4c03-a645-f67437519813)) - (segment (start 126.45 108.55) (end 127.2 108.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 62ab9051-fded-466c-9df1-9b40d76dc590)) - (segment (start 111.55 124.95) (end 112.2 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 646182ef-83d3-48ef-8f13-39bd3cf49786)) - (segment (start 91.55 111.85) (end 91.4 112) (width 0.6) (layer "F.Cu") (net 2) (tstamp 6476e233-d260-45fe-84d2-9ade7d0003a0)) - (segment (start 118.5 121.35) (end 118.65 121.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 663e5097-d637-4088-8d27-2d72ff835abc)) - (segment (start 115 121) (end 114.4 121) (width 0.4) (layer "F.Cu") (net 2) (tstamp 67320774-1745-4c89-bec7-2213f7bb7ecc)) - (segment (start 134 123.5) (end 133.85 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 689e49bf-7f41-4390-9297-8151fb94eb64)) - (segment (start 125.75 113.35) (end 125.55 113.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 69675058-6b96-42da-8df5-92aaf6930be8)) - (segment (start 122.9 116.1) (end 123.05 116.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp 6a5b3eea-de35-4a54-8316-e56ea2a634e4)) - (segment (start 84.35 100.15) (end 83.65 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6afdccaa-d9c7-4949-88e8-e04bfdac5efc)) - (segment (start 126.45 94.15) (end 125.6 94.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6c715627-9fe9-4566-9325-aed34f2a0ebd)) - (segment (start 78.75 118) (end 78.8 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 6d401fdd-c1f6-4321-96c4-4843b6143be9)) - (segment (start 134.25 123.25) (end 134 123.5) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6e9aab82-e6c0-4960-99af-e7c5a83d520f)) - (segment (start 131.75 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6fff55eb-076f-4a2f-86d3-091fcb2366e9)) - (segment (start 62.611 119.888) (end 62.611 120.7135) (width 0.6) (layer "F.Cu") (net 2) (tstamp 710852c3-85af-44f2-af12-adc5798f2795)) - (segment (start 103.75 105.05) (end 103.75 105.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 7147b342-4ca8-4694-a1ec-b615c151a5d0)) - (segment (start 87.35 100.4) (end 86.3875 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 7195a7f5-2a0f-4cae-8649-2cc5cbdffe2b)) - (segment (start 83.649 102.801) (end 83.65 102.8) (width 0.5) (layer "F.Cu") (net 2) (tstamp 72729c20-0465-4f8c-be80-3c22bb337ef7)) - (segment (start 112.9 90) (end 113.05 89.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp 740c9c9e-c377-4082-a7c2-2dfeb8296429)) - (segment (start 77.216 97.061) (end 77.216 98.298) (width 0.5) (layer "F.Cu") (net 2) (tstamp 74d2d2c1-d0d5-412f-ab06-bb67df0a3900)) - (segment (start 122.9 115.35) (end 122.05 115.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7a332b0c-4cba-438b-85c1-9efe2690fb62)) - (segment (start 140.1 123.35) (end 139 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 7b694997-43fc-41fd-818b-681c539b1571)) - (segment (start 84.35 97.25) (end 83.65 97.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 7c3fa13a-5250-4394-8d82-80430597df04)) - (segment (start 87.5 100.05) (end 87.35 99.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 7fc6eda3-a41a-4ab9-935d-37e18cb30594)) - (segment (start 126.45 94.15) (end 127.2 94.15) (width 0.8) (layer "F.Cu") (net 2) (tstamp 82bf2831-f69a-4cf1-ad28-e7c6c4e8c86f)) - (segment (start 94.25 120.55) (end 94.1 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 833beff7-0439-4b25-8f23-ed949f699ed1)) - (segment (start 83.65 100.15) (end 83.5 100.3) (width 0.5) (layer "F.Cu") (net 2) (tstamp 8634edb8-50db-43d2-95bb-5918d2cd24cc)) - (segment (start 85.8 120.55) (end 85.05 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 88a7e34c-57e7-48ce-a358-6866b2c01d90)) - (segment (start 69.75 112.1) (end 69.75 112.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 88fb8817-4ee2-4465-a9af-37fedc8b835b)) - (segment (start 112.9 90.75) (end 112.05 90.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8a0095e3-f64e-4bc6-8d5a-1cdcee192b11)) - (segment (start 69.7 101.4) (end 69.85 101.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 8d054a8d-7435-41ed-8832-6067aada259a)) - (segment (start 136.398 99.695) (end 136.398 100.838) (width 0.15) (layer "F.Cu") (net 2) (tstamp 8dcf91a3-1716-406f-975d-a5e4d347a64c)) - (segment (start 103.75 105.05) (end 104.65 105.05) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8e5a3783-142f-42f6-a215-d0f81a05c5c0)) - (segment (start 111.55 124.95) (end 111.55 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 8fa4f87a-9012-4f6f-a6c0-ec1c5f716184)) - (segment (start 87.95 119.05) (end 87.95 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 90671817-460f-456a-a6e3-6cfa468bea55)) - (segment (start 122.9 115.35) (end 122.9 114.6) (width 0.6) (layer "F.Cu") (net 2) (tstamp 90912a07-8f0d-457a-b78a-1c112c8f2052)) - (segment (start 112.9 90.75) (end 112.9 90) (width 0.6) (layer "F.Cu") (net 2) (tstamp 90b3e3a5-04e0-491b-97bf-2e8a21e1833b)) - (segment (start 87.5 100.15) (end 87.5 100.25) (width 0.3) (layer "F.Cu") (net 2) (tstamp 920101e0-4dde-4453-ba02-4211cb357ea2)) - (segment (start 102.875 105.15) (end 102.975 105.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 92822296-9b31-4c78-bfe1-2dc7c2e425bc)) - (segment (start 110.25 124.95) (end 110.25 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9ad54c14-6dd1-4741-ab11-80a0275cae72)) - (segment (start 109.95 107.95) (end 110.85 107.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9b315454-a4a0-4952-bdbe-d4a8e96c16f9)) - (segment (start 69 101.4) (end 69 102.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9d541d6f-313d-4469-a000-68242c1dd6d6)) - (segment (start 87.5 100.25) (end 87.35 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp a12c94a5-1fd0-4cb6-9bfe-f7529f451405)) - (segment (start 91.55 111.1) (end 91.55 111.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp a29e1299-22c5-4fd2-9a37-e405785962a9)) - (segment (start 91.7 94.85) (end 91.55 94.7) (width 0.3) (layer "F.Cu") (net 2) (tstamp a2f96f4e-d95d-4c20-90ff-804397e6e6ba)) - (segment (start 82.8 102.801) (end 83.649 102.801) (width 0.5) (layer "F.Cu") (net 2) (tstamp a5fcd820-f4f0-487d-8e2f-6defe7618982)) - (segment (start 91.55 94.7) (end 91.55 93.7375) (width 0.3) (layer "F.Cu") (net 2) (tstamp a6347fea-87e1-4897-bfe2-729d24d2f085)) - (segment (start 87.95 118) (end 88 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp a6d88d7d-92d8-4fc8-b103-7599e55f18c0)) - (segment (start 98.55 111.85) (end 98.4 112) (width 0.6) (layer "F.Cu") (net 2) (tstamp a8cdda0e-7b06-4b92-8078-341b4e32614a)) - (segment (start 135.128 99.695) (end 135.128 100.838) (width 0.15) (layer "F.Cu") (net 2) (tstamp a8ed9f4d-0385-4ec2-831d-b6c7165c148a)) - (segment (start 135.2 123.25) (end 135.2 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp a97391c0-c438-44dc-aec7-4249e6f62568)) - (segment (start 104.65 100.15) (end 104.7 100.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp aa52a4ee-249d-4f84-a65a-9c1702b5bb75)) - (segment (start 96.4 90.95) (end 96.55 90.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp ad2d033c-4040-4813-b5da-82cf827f9d86)) - (segment (start 111.75 92.5) (end 111.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp af7ccd5a-4c05-4a49-a412-ca568e4c81d2)) - (segment (start 85.775 120.55) (end 86.65 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp afc1392c-4488-4251-8167-de520abba754)) - (segment (start 76.6 120.55) (end 76.6 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp b7013b78-ce5a-47df-9e6f-e993b6073985)) - (segment (start 112.9 107.05) (end 110.9 107.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp b79d8d99-88b5-4d84-a010-b6d768d67ec8)) - (segment (start 104.2 120.55) (end 104.2 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp b90997e2-4c7f-4479-862f-ab35dfea4f77)) - (segment (start 91.55 111.1) (end 92.4 111.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp bc408f2c-2338-4a2e-9d30-e90fd4d4f487)) - (segment (start 125.55 113.15) (end 125.55 113.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp bcd0d850-a20d-42e1-b97f-b14f9222717c)) - (segment (start 73.406 128.524) (end 73.66 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp bf3524aa-7451-4bff-a4df-53f0aa1c0aeb)) - (segment (start 108.2 104.2) (end 108.05 104.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp bfdbfa5d-af60-4bcb-aaee-563dc6121e2f)) - (segment (start 77.216 97.061) (end 77.216 95.8215) (width 0.5) (layer "F.Cu") (net 2) (tstamp c14f4f41-991c-47f8-ba74-4a4e89170acf)) - (segment (start 122.9 90.75) (end 122.05 90.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp c9ab240f-b898-4113-9b58-995237cd751a)) - (segment (start 69 101.4) (end 69.7 101.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp ca9607c0-16b8-4085-880e-b87c3f210fd1)) - (segment (start 81.951 102.801) (end 81.95 102.8) (width 0.5) (layer "F.Cu") (net 2) (tstamp ccd45da3-3d73-496d-8f2e-5edf69377f63)) - (segment (start 85.8 120.55) (end 85.8 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp cce13a3b-854c-49ae-8b19-551eed5c4f96)) - (segment (start 89.4 91.7) (end 88.55 91.7) (width 0.6) (layer "F.Cu") (net 2) (tstamp cd8c6c53-febf-40c1-af77-5373add0fde7)) - (segment (start 120.826 128.27) (end 121.8565 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp ceb65f05-08ce-47e9-8a7e-aa1335099416)) - (segment (start 122.9 115.35) (end 122.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp cec22d4a-eda3-4d50-8609-c3a123c120be)) - (segment (start 97.15 119.05) (end 97.15 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp d22f8c08-7c7a-481b-96ff-cad6b4c95453)) - (segment (start 89.4 92.45) (end 89.4 91.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp d25a1e45-06d1-4c1c-9b3a-0fd8abd0bfed)) - (segment (start 98.55 111.1) (end 98.55 111.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp d6cc98ff-7d68-4734-afa1-c7dd225e08d3)) - (segment (start 109.4 99.7) (end 108.85 99.7) (width 0.4) (layer "F.Cu") (net 2) (tstamp d7de2887-c7b2-4bb7-a339-632f4f906224)) - (segment (start 135.2 123.25) (end 134.25 123.25) (width 0.8) (layer "F.Cu") (net 2) (tstamp db09a492-3111-4077-8b89-2ff4c8eebad3)) - (segment (start 127.2 113.35) (end 127.35 113.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp dd552f19-e379-4dd5-a10b-882b6c8e7a65)) - (segment (start 110.85 107.95) (end 110.85 107) (width 0.8) (layer "F.Cu") (net 2) (tstamp de5c2064-b9e1-4057-a8cc-9308019ef4d3)) - (segment (start 76.6 120.55) (end 75.85 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp e0660a46-ff2a-4b28-b311-cf71bc999b82)) - (segment (start 112.9 90.75) (end 112.9 91.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp e4d0483b-1c21-4fb6-87dd-47e636746c0e)) - (segment (start 105.95 99.5) (end 105.301054 99.5) (width 0.4) (layer "F.Cu") (net 2) (tstamp e746ec00-0dfd-4bc7-b357-6b4860c148ef)) - (segment (start 111.75 113.45) (end 112.9 113.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp e7c8f673-e523-47ce-91b8-92cf1c7605ce)) - (segment (start 122.9 90) (end 123.05 89.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp e89e5b16-554a-4d97-8f95-fc89c9b40d74)) - (segment (start 108.2 103.35) (end 108.2 104.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp e8a49c58-e69f-4870-ab15-e73f66a8d02b)) - (segment (start 105.95 100.25) (end 105.95 99.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp e978c208-72f4-4c78-b109-bcb5e56d4024)) - (segment (start 106 100.3) (end 105.95 100.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp ea3cd08e-2d6a-4ba3-9c39-87a3d44d2015)) - (segment (start 117.8 121.35) (end 118.5 121.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp ec0137ed-9765-4dfb-9cee-4a1826ddb19d)) - (segment (start 85.2 97.15) (end 85.1 97.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp ed76cb21-0b5e-4ca2-8075-7e28e38e7199)) - (segment (start 98.55 111.1) (end 99.4 111.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp efd79052-e146-4d61-9e0a-ba764a5a966b)) - (segment (start 127.2 108.55) (end 127.45 108.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp f17daa22-500e-4b54-81a7-f5c3878a87d9)) - (segment (start 83.65 97.25) (end 83.5 97.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp f368b66f-c8a4-4ccf-b925-3f03c13bf28f)) - (segment (start 126.45 113.35) (end 125.75 113.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp f43f384e-6bcf-4d6c-ac65-2e849bdb75c5)) - (segment (start 117.8 121.35) (end 117.1 121.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp f56e10b5-909a-4bf7-b9bb-b5663dc8fff0)) - (segment (start 97.15 118) (end 97.2 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp f5a54919-b960-48fc-8517-e9e32dce0bf0)) - (segment (start 89.3 92.55) (end 89.4 92.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp f61adca3-c1e4-457e-8212-9dc978cabab5)) - (segment (start 126.45 94.15) (end 126.45 95.1) (width 0.8) (layer "F.Cu") (net 2) (tstamp f630bdcd-b048-45d2-91a0-928349b89dad)) - (segment (start 108.85 99.7) (end 108.85 100.55) (width 0.4) (layer "F.Cu") (net 2) (tstamp f76f4233-905d-4cb5-a153-eed7fe8e458e)) - (segment (start 87.35 99.9) (end 86.3875 99.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp fcb7a65f-f4cd-47e7-94e9-48c450d0d7f3)) - (segment (start 133.85 123.35) (end 132.75 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp fe0a8ab1-7b25-4d9a-9a3b-f8c5e10b289a)) - (segment (start 106.35 118) (end 106.4 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp fe2b05f5-675b-44d0-956c-c5829b7c692a)) - (via (at 138.43 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cb6)) - (via locked (at 144.78 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cc5)) - (via (at 123.19 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ccb)) - (via (at 135.89 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cce)) - (via (at 133.35 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cd1)) - (via (at 130.81 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cd4)) - (via (at 128.27 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cd7)) - (via (at 125.73 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cda)) - (via (at 120.65 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cdd)) - (via (at 120.777 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cec)) - (via locked (at 123.063 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d04)) - (via locked (at 128.143 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d07)) - (via locked (at 133.223 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d0a)) - (via locked (at 138.303 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d0d)) - (via (at 82.55 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d19)) - (via (at 77.47 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d1c)) - (via (at 80.01 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d1f)) - (via (at 90.17 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d22)) - (via (at 92.71 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d25)) - (via (at 95.25 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d28)) - (via (at 100.33 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d2b)) - (via locked (at 118.11 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d2e)) - (via locked (at 115.57 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d31)) - (via locked (at 113.03 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d34)) - (via locked (at 110.49 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d37)) - (via locked (at 107.95 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d3a)) - (via locked (at 105.41 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d3d)) - (via locked (at 102.87 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d40)) - (via (at 87.63 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d43)) - (via (at 85.09 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d46)) - (via (at 97.79 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d49)) - (via (at 74.549 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d55)) - (via (at 98.15 107.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d64)) - (via (at 91.15 107.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1d73)) - (via (at 98.65 94.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1da0)) - (via (at 100.55 100.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1da6)) - (via (at 100.55 102.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1daf)) - (via (at 96.3 92.59999) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1dc1)) - (via (at 98.7 92.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e21)) - (via (at 85.25 100.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e48)) - (via (at 102.85 102.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e5a)) - (via (at 102.85 100.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e60)) - (via (at 91.2 110.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1e90)) - (via (at 98.2 110.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1eae)) - (via (at 81.788 129.3495) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1eba)) - (via (at 102.35 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1eea)) - (via (at 103 124.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ef0)) - (via (at 102.35 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1ef6)) - (via (at 93.8 124.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1efc)) - (via (at 93.15 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f02)) - (via (at 93.15 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f08)) - (via (at 84.6 124.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f0e)) - (via (at 83.95 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f14)) - (via (at 83.95 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f1a)) - (via locked (at 144.78 98.425) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f47)) - (via (at 135.509 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f53)) - (via (at 135.509 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f5c)) - (via (at 134.366 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f62)) - (via (at 114.3 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f68)) - (via (at 115.443 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f71)) - (via locked (at 143.002 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f74)) - (via locked (at 144.78 82.423) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f77)) - (via locked (at 87.503 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f83)) - (via locked (at 92.583 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f86)) - (via locked (at 97.663 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f89)) - (via locked (at 107.823 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f95)) - (via locked (at 112.903 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f98)) - (via locked (at 117.983 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f9b)) - (via locked (at 71.755 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1f9e)) - (via locked (at 144.78 129.54) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1fb3)) - (via locked (at 143.002 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1fb6)) - (via locked (at 144.78 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1fc8)) - (via (at 95.875 120.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1fce)) - (via (at 77.475 120.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1fef)) - (via (at 118.65 107.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2480)) - (via (at 118.65 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2481)) - (via (at 119.85 103.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2482)) - (via (at 118.65 110.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2483)) - (via (at 118.65 111.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2484)) - (via (at 118.65 113.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2485)) - (via (at 118.65 105.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2486)) - (via (at 112.9 91.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b2492)) - (via (at 111.75 103.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24bd)) - (via (at 114.05 107.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24c5)) - (via (at 125.55 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24c6)) - (via (at 111.75 111.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24c8)) - (via (at 126.45 109.5) (size 0.762) (drill 0.381) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24c9)) - (via (at 114.05 92.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24cb)) - (via (at 123.25 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24cd)) - (via (at 114.05 103.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d1)) - (via (at 123.25 109.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24d8)) - (via (at 114.05 111.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b24df)) - (via (at 104.75 102.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4b9f77)) - (via (at 109.8 95.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f4eb533)) - (via (at 104 108.075) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f583602)) - (via (at 66.1035 124.714) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f5b1cb0)) - (via (at 65.786 106.299) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f5b8d4a)) - (via (at 65.786 107.696) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f5b8f76)) - (via (at 108.9 113.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f6e26a3)) - (via (at 68.35 111.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f6f5ad1)) - (via locked (at 102.743 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f70e2af)) - (via locked (at 46.355 114.681) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727e92)) - (via locked (at 56.515 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727e95)) - (via locked (at 46.355 99.441) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727e98)) - (via locked (at 48.133 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727e9e)) - (via locked (at 46.355 109.601) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727ea1)) - (via locked (at 46.355 119.761) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727ea4)) - (via locked (at 46.355 124.841) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727ea7)) - (via locked (at 46.355 104.521) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727eaa)) - (via locked (at 51.435 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f727ead)) - (via locked (at 82.423 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f72f6b5)) - (via locked (at 77.343 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f72f6b7)) - (via locked (at 72.263 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f72f6b9)) - (via locked (at 62.103 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f72f6bb)) - (via locked (at 56.515 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c81c)) - (via locked (at 61.595 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c81e)) - (via locked (at 66.675 131.318) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c820)) - (via locked (at 48.895 122.301) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c825)) - (via locked (at 48.895 112.141) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c826)) - (via locked (at 48.895 107.061) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c827)) - (via locked (at 48.895 101.981) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c828)) - (via locked (at 48.895 117.221) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c829)) - (via locked (at 53.975 122.301) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c82f)) - (via locked (at 53.975 112.141) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c830)) - (via locked (at 53.975 107.061) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c831)) - (via locked (at 53.975 117.221) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c832)) - (via locked (at 53.975 101.981) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c833)) - (via locked (at 51.435 119.761) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c834)) - (via locked (at 51.435 124.841) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c835)) - (via locked (at 51.435 109.601) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c836)) - (via locked (at 51.435 104.521) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c837)) - (via locked (at 51.435 114.681) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c838)) - (via locked (at 51.435 99.441) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c844)) - (via locked (at 56.4 99.441) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c847)) - (via locked (at 56.388 119.761) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c848)) - (via locked (at 56.388 124.841) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c849)) - (via locked (at 56.4 109.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c84a)) - (via locked (at 56.388 114.681) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73c84b)) - (via locked (at 72.263 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73ca74)) - (via locked (at 67.183 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73ca75)) - (via locked (at 46.355 129.54) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73cadb)) - (via locked (at 53.848 128.016) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d970)) - (via locked (at 64.008 128.016) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d982)) - (via locked (at 58.928 128.016) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d983)) - (via locked (at 69.088 128.016) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d984)) - (via locked (at 56.515 94.361) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d98e)) - (via locked (at 53.975 96.901) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d98f)) - (via locked (at 120.523 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d9a2)) - (via locked (at 135.763 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d9a4)) - (via locked (at 130.683 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d9a5)) - (via locked (at 125.603 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d9a6)) - (via locked (at 130.683 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d9b2)) - (via locked (at 135.763 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73d9b5)) - (via locked (at 140.843 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73dc1b)) - (via locked (at 143.383 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73dc1c)) - (via locked (at 144.78 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73dc34)) - (via locked (at 144.78 123.825) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73dc36)) - (via locked (at 67.183 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73de63)) - (via locked (at 62.103 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73de67)) - (via locked (at 64.643 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73de6f)) - (via locked (at 58.166 91.059) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f73ff7f)) - (via (at 68.65 110.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f745c1c)) - (via (at 68.65 109.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f745c1e)) - (via locked (at 74.803 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f747fd0)) - (via locked (at 64.643 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f74ca49)) - (via locked (at 69.723 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f74d8c9)) - (via locked (at 89.45 104.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f756431)) - (via locked (at 99.75 107.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f756662)) - (via locked (at 59.563 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f766ecc)) - (via (at 96.15 111.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f76ddcd)) - (via locked (at 58.05 115.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77128b)) - (via locked (at 57.4 110.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77128d)) - (via (at 58.75 123.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7716a7)) - (via (at 57.4 123.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7716a9)) - (via (at 101.15 93) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7736eb)) - (via (at 110.1 128.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f777a7a)) - (via locked (at 107.2 128.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77a51a)) - (via locked (at 105.2 128.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77a520)) - (via locked (at 103.15 129.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77a522)) - (via locked (at 102.1 129.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77a524)) - (via locked (at 99.55 128.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f77a93d)) - (via (at 86.85 129.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7e3)) - (via (at 88.05 129.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7e5)) - (via (at 90.3 129.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7e7)) - (via (at 92.55 129.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7e9)) - (via (at 94.5 129.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7eb)) - (via (at 92.55 127.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7ed)) - (via (at 90.9 126.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7ef)) - (via (at 91.95 129.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7f1)) - (via (at 89.4 129.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7f3)) - (via (at 80.75 129.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f78e7f5)) - (via (at 88.4 128.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7910c7)) - (via (at 102.5 117.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f791d30)) - (via (at 103.95 114.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7951c9)) - (via (at 99.75 114.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f796804)) - (via (at 101 111.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f798040)) - (via (at 106.9 126.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f798048)) - (via (at 108.9 126.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f79804a)) - (via locked (at 97.45 126.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7b502f)) - (via locked (at 98.55 128.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7b503a)) - (via locked (at 95 127.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7b5045)) - (via (at 117.45 103.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7bf57e)) - (via locked (at 60.2 106.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f7d9c25)) - (via (at 102.25 109.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f840eab)) - (via (at 105.25 110.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f840eb1)) - (via (at 106.5 105.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f840eb3)) - (via (at 110.75 96.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 00000000-0000-0000-0000-00005f843c76)) - (via (at 108.2 97.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f849629)) - (via (at 105.05 98.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f84e9d4)) - (via (at 132.75 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f8af95a)) - (via (at 85.45 108.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f910e63)) - (via locked (at 144.78 113.665) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f9b31c0)) - (via locked (at 87.8 106.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f9d7828)) - (via (at 76.35 104.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fa18dee)) - (via (at 87.15 92.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005feb2a0f)) - (via (at 83.15 93.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005feb2a19)) - (via (at 86.4 94.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005feb2a27)) - (via locked (at 85.15 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fec5a02)) - (via locked (at 69.723 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fed5db4)) - (via (at 92.45 112.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fee5b2f)) - (via locked (at 56.4 104.521) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff2d06e)) - (via locked (at 46.355 93.472) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff58bb7)) - (via locked (at 59.182 80.645) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff58bbe)) - (via locked (at 51.435 94.361) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff5cd47)) - (via locked (at 53.975 91.821) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff5cd58)) - (via locked (at 53.975 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff5cd78)) - (via locked (at 51.435 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff5cd7a)) - (via locked (at 48.895 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff5cd7c)) - (via locked (at 55.88 88.519) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff5d68d)) - (via locked (at 71.755 114.681) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a074)) - (via locked (at 71.755 124.841) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a075)) - (via locked (at 74.295 122.301) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a076)) - (via locked (at 71.755 119.761) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a077)) - (via locked (at 74.295 117.221) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a078)) - (via locked (at 69.215 122.301) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a079)) - (via locked (at 69.215 117.221) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a07a)) - (via locked (at 76.835 114.681) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff6a089)) - (via (at 75.7 101.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff751a9)) - (via (at 78 107.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff751b2)) - (via (at 78.2 105.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff751b4)) - (via (at 82.5 97.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff751b6)) - (via (at 84.5 113.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff7b268)) - (via (at 87.8 114.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ff7c1cd)) - (via (at 61.5315 124.714) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ffc13e0)) - (via locked (at 63.6905 115.062) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ffdb153)) - (via locked (at 66.8 110.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005ffdb956)) - (via locked (at 63.1 116.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fff50b2)) - (via locked (at 63.373 117.6655) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fff5f02)) - (via locked (at 64.6 114.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005fff637f)) - (via (at 87.4 111.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060004f7d)) - (via (at 86.4 109.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006000632d)) - (via (at 110.9 99.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006020fe6e)) - (via locked (at 57.912 86.36) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000602e6027)) - (via locked (at 59.944 84.328) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000602e6247)) - (via locked (at 107.823 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596910)) - (via locked (at 110.363 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596912)) - (via locked (at 105.283 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596913)) - (via locked (at 100.203 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059691a)) - (via locked (at 102.743 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059691b)) - (via locked (at 117.983 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059691e)) - (via locked (at 120.523 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059691f)) - (via locked (at 115.443 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596920)) - (via locked (at 125.603 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596925)) - (via locked (at 128.143 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596926)) - (via locked (at 133.223 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059692e)) - (via locked (at 130.683 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059692f)) - (via locked (at 133.223 95.885) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596936)) - (via locked (at 143.383 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059693f)) - (via locked (at 128.143 95.885) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596948)) - (via locked (at 130.683 98.425) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060596949)) - (via (at 105.4 111.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059a160)) - (via (at 105.4 113.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006059a169)) - (via locked (at 135.763 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e76)) - (via locked (at 138.303 95.885) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e77)) - (via locked (at 135.763 98.425) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e78)) - (via locked (at 143.383 95.885) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e7c)) - (via locked (at 140.843 98.425) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e7d)) - (via locked (at 140.843 93.345) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e7e)) - (via locked (at 138.303 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606e6e88)) - (via (at 130.35 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec3da)) - (via (at 129.15 123.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec3e3)) - (via (at 131.55 123.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000606ec407)) - (via locked (at 143.383 100.965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703f8f)) - (via locked (at 138.303 100.965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703f90)) - (via locked (at 144.78 103.505) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703f93)) - (via locked (at 133.223 100.965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703f94)) - (via locked (at 143.383 106.045) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fb0)) - (via locked (at 144.78 108.585) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fb1)) - (via locked (at 144.78 113.665) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fbb)) - (via locked (at 140.843 113.665) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fbc)) - (via locked (at 135.763 113.665) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fbe)) - (via locked (at 143.383 116.205) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fd6)) - (via locked (at 133.223 116.205) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fd7)) - (via locked (at 138.303 116.205) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060703fd8)) - (via locked (at 128.143 100.965) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000607052e9)) - (via locked (at 143.383 121.285) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070584a)) - (via locked (at 128.143 116.205) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070585a)) - (via locked (at 130.683 113.665) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070585b)) - (via locked (at 72.263 90.805) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070b913)) - (via locked (at 79.883 83.185) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070c090)) - (via locked (at 102.743 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed5c)) - (via locked (at 97.663 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed5d)) - (via locked (at 107.823 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed5e)) - (via locked (at 117.983 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed5f)) - (via locked (at 112.903 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed60)) - (via locked (at 92.583 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed61)) - (via locked (at 87.503 86.487) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed62)) - (via locked (at 82.423 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed63)) - (via locked (at 77.343 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed64)) - (via locked (at 67.183 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed65)) - (via locked (at 72.263 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed66)) - (via locked (at 62.103 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed67)) - (via locked (at 72.263 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed68)) - (via locked (at 123.063 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed69)) - (via locked (at 128.143 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed6a)) - (via locked (at 133.223 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed6b)) - (via locked (at 138.303 85.725) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed6c)) - (via locked (at 74.803 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed7e)) - (via locked (at 79.883 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed7f)) - (via locked (at 84.963 88.392) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed80)) - (via locked (at 69.723 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed82)) - (via locked (at 64.643 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed88)) - (via locked (at 59.563 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006070ed89)) - (via locked (at 100.203 83.947) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b1a)) - (via locked (at 95.123 83.82) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b1d)) - (via locked (at 115.443 83.947) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b20)) - (via locked (at 90.043 83.82) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b23)) - (via locked (at 84.963 84.074) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b26)) - (via locked (at 105.283 83.947) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b29)) - (via locked (at 110.363 83.947) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060711b2c)) - (via (at 79.95 128.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000607120bd)) - (via (at 77.85 127.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060712786)) - (via (at 91.95 126.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060712af8)) - (via (at 86.9 126.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060712aff)) - (via (at 140.1 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006071e4da)) - (via (at 141.3 123.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006071e4e3)) - (via (at 66.8 101.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721b1c)) - (via locked (at 130.683 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d43)) - (via locked (at 140.843 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d44)) - (via locked (at 128.143 121.285) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d45)) - (via locked (at 135.763 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d46)) - (via locked (at 138.303 121.285) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d47)) - (via locked (at 125.603 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d4e)) - (via locked (at 123.063 121.285) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d4f)) - (via locked (at 120.523 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d56)) - (via locked (at 115.697 118.745) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721d57)) - (via locked (at 117.983 116.205) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f7b)) - (via locked (at 125.603 123.825) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f7d)) - (via locked (at 120.523 123.825) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f7f)) - (via locked (at 123.063 126.365) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f81)) - (via locked (at 128.143 126.365) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f83)) - (via locked (at 125.603 128.905) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f85)) - (via locked (at 130.683 128.905) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-000060721f87)) - (via locked (at 113.157 123.825) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-0000607226f4)) - (via (at 106.75 97) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006072604e)) - (via locked (at 95.123 88.265) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00006072ca16)) - (via (at 83.65 102.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 01657d30-6f8e-4bbd-a3dd-6a0742c69aca)) - (via (at 76.454 97.0915) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 01caafb3-af8a-4642-870c-c290b286d040)) - (via (at 122.05 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 05c4a04b-0442-4e18-9747-3d9fc4a562fe)) - (via (at 103.75 105.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 062fbe79-da43-4e6a-bd6f-509557f2df9b)) - (via (at 112.9 114.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 086ab04d-4086-427c-992f-819b91a9021d)) - (via (at 111.55 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 08fae221-7b6f-4c57-be73-6210c6206091)) - (via (at 106.4 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0c345fc5-964b-48c0-9452-55507c868edc)) - (via (at 123.05 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 10e5ae6d-e43e-4ff8-abc5-fd9df16782da)) - (via (at 118.65 121.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 12721b60-b423-4830-af94-c68b76872f05)) - (via (at 109.95 107.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 133d5403-9be3-4603-824b-d3b76147e745)) - (via (at 110.85 107) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 15a0f067-831a-4ddb-bdef-5fb7df267d8f)) - (via (at 76.6 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c57f8a5-0a6c-44cd-b514-5b9d5f8cc98b)) - (via (at 102.875 105.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1d2d8ec8-1f1b-4d06-9a35-eff8e386bdb8)) - (via (at 106 100.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1f70d207-e63d-4692-be1f-5b6fa8599d57)) - (via (at 111.55 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 21a4e5f9-158c-4a1e-a6d3-12c826291e62)) - (via (at 86.65 120.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 248d15cd-dd0c-425d-94cb-b44ccf865457)) - (via (at 126.45 105.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2628b16a-8b1e-4398-be45-c147110e73bb)) - (via (at 89.55 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2792ed93-89db-4e51-99ff-281323e776eb)) - (via (at 112.05 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 290c753b-3b9b-4c45-85a5-65bd9eae1f9e)) - (via (at 85.8 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2b878984-ad62-40d5-87be-d30f465ae2b3)) - (via (at 106.35 112.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 30b75c25-1d2c-45e7-83e2-bb3be98f8f83)) - (via (at 95 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3381b763-2886-4e76-a243-cbcc2ec8a032)) - (via (at 77.216 98.298) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 33b6dbe8-d555-4f35-a63c-27c75fa09ca7)) - (via (at 105.05 120.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3b909fd4-b382-4019-8708-80d1d9a9fe1c)) - (via (at 127.35 113.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3bc24d10-b3eb-4abe-836d-a8521ccc4341)) - (via (at 126.45 114.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ce4c631-4e8b-4ee6-a520-34bf7b12880c)) - (via (at 138.9 123.45) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eee2221-7af9-4d6a-ba79-a48c3fd1ac35)) - (via (at 123.05 91.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4223805d-8db1-4df1-b73a-3d99f37f1701)) - (via (at 91.7 94.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 42eea0a0-d889-4e4e-980c-c3b6b62767e5)) - (via (at 78.8 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4612f9f0-1343-4ba7-94dd-7d3e9fc08dad)) - (via (at 121.8565 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 50d092a1-cb48-4b36-9419-53ddb3f8fa14)) - (via (at 135.128 100.838) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 56801e6d-c4ab-4f7b-8289-2119a52fa227)) - (via (at 61.341 117.7925) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 57e17378-f1f7-42d0-9ad3-fb44c2d5cdc3)) - (via (at 83.5 97.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6024ea82-89e7-47fa-a1cd-0f37ee126f02)) - (via (at 69 102.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 65e58d89-f213-4051-b36b-7b3454867ad5)) - (via (at 62.611 120.7135) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6ae47305-86b3-4e27-b3c6-46e195fdaa6d)) - (via (at 117.8 120.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 758f4e53-9507-488a-960b-2e8e487b7ac8)) - (via (at 113.05 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 77121855-7958-40c5-81ca-b386a811e84c)) - (via (at 97.2 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 773bdc81-beec-4a4b-9485-1c1dd15c6e5a)) - (via (at 69.75 112.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 77cfe682-cc36-4979-823b-05ea5f187ba7)) - (via (at 84.9 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 78d3a4a0-e724-44e1-963f-de88a39d4158)) - (via (at 107.55 100.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7da78911-dd6f-4bbd-9a74-8a3476ec1fb5)) - (via (at 106.35 120.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f4b7c2c-9af8-4317-9338-c2a6d8990ded)) - (via (at 88.55 91.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 84315919-677c-4909-a747-2c92c96d5870)) - (via (at 125.6 94.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8527ef2e-5212-4629-b6f5-b0130ab61dab)) - (via (at 104.7 100.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 89be6ff8-dff7-4df0-876d-d5989d658e36)) - (via (at 112.05 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8cf4e6c7-f213-4dc6-a215-9a85d8791784)) - (via (at 98.4 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8dcf40e6-09a5-42e4-8b46-f4738540468d)) - (via (at 85.2 97.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8ddee80f-a354-4a11-ae03-acb37cf50626)) - (via (at 134 123.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f29ec2b-5253-4ae2-bf8f-40e83998f739)) - (via (at 99.4 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 90207e9d-650a-4c45-b7d5-e506cc85537d)) - (via (at 109.45 103.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9050328c-80d1-449f-94a8-27658961ba9d)) - (via (at 111.75 92.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 911557e5-adec-4d13-9794-a18b325eb4ea)) - (via (at 77.216 95.8215) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9116f42f-8d27-4055-8fab-af8b6ed6959f)) - (via (at 94.1 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 965bc598-5f52-4615-847f-179635cd5cde)) - (via (at 95.55 91.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9a88d63d-f7e5-416d-9807-a8e942aef287)) - (via (at 112.2 124.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9e39ed40-271f-40f8-b1c9-20b888c10512)) - (via (at 89.3 92.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9fa51663-d9ff-42d5-ab2b-c96b6768fc7a)) - (via (at 127.45 93.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a0e74fdd-2272-42b1-9d9a-65553efcd00a)) - (via (at 96.55 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a17368fb-646b-4ffd-9057-0994609f8a46)) - (via (at 114.05 113.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2c0fc07-9ed2-42e8-8fef-f02fce3412ee)) - (via (at 92.4 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2d090b5-bdc2-4863-87f2-2ea46a246d3d)) - (via (at 110.8 102.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a5c35670-98af-44c6-a3f4-bbad7ffecfd3)) - (via (at 82.8 102) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6460cc6-b11c-4dff-a0ea-9de680e68ca8)) - (via (at 103.3 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6d1221a-1077-412d-8a73-7025f9b4ca20)) - (via (at 68.15 101.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp aafd680e-f3de-44c3-b8d2-897188909f89)) - (via (at 113.05 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp afc58bc7-e8b3-4ec7-b7ec-e155055196a5)) - (via (at 127.45 104.3) (size 0.762) (drill 0.381) (layers "F.Cu" "B.Cu") (net 2) (tstamp b2691466-e53b-4f43-806f-abeb762713f6)) - (via (at 122.05 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b2cac11a-5f3b-43d7-88e5-8d0241ac6453)) - (via (at 106.85 99.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp b5de2bf0-583c-45d9-bc5e-15007fe3ede8)) - (via (at 64.135 122.428) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp bca69a58-3f8f-4ac5-9ef0-70bfa6c247ee)) - (via (at 81.95 102.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp bf67f245-1714-4d39-b76d-53f1523ab5f8)) - (via (at 125.55 113.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp bfcdffb4-9a75-4453-a5cf-48d0c88fa2a7)) - (via (at 75.7 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c2d24be9-0a91-4ad8-a6f8-4f606bd871ac)) - (via (at 104.2 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c6e8924b-3698-49bc-af6d-d7a327eada39)) - (via (at 104.65 105.05) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c78d97f4-1d1b-46c3-bcbb-8424944a8978)) - (via (at 115 120.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp cab0d0a9-e089-4f0b-8483-22b4e0addcae)) - (via (at 135.2 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp cdf69da0-bf1d-48b6-92e4-7b762bd4454d)) - (via (at 83.5 100.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp d2683b99-bb18-4d41-a0c5-df26e16e4210)) - (via (at 114.4 121) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp d40ed1bf-6a69-492a-acf3-f71f1c7a81f2)) - (via (at 123.05 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d4f9d898-7a83-4186-a9d6-9da79adbdd19)) - (via (at 123.05 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp da7eee34-4516-4154-9034-7c9b8e2afe41)) - (via (at 110.25 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc2e4d69-ab4d-4864-999d-7aa340dd63c7)) - (via (at 108.85 100.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp de91796c-56de-4405-8fcc-748bd6a08e86)) - (via (at 73.406 128.524) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e315fb88-f764-4ec7-a92b-006692d5e26f)) - (via (at 126.45 95.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e8cb6cb3-dd2b-4328-8592-132e369ebb71)) - (via (at 111.75 113.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp eb06cbed-9a37-40e7-bc33-37acd0ee650a)) - (via (at 88 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp ef3c2ca7-fcc8-4cff-8fc1-0c762aa25455)) - (via (at 109.4 99.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp f69de914-d2d4-4fcf-a7d6-ce76fea2e1a7)) - (via (at 136.398 100.838) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp f83c7689-506f-4228-94dd-e1c4dd714e67)) - (via (at 87.5 100.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp f8fd3b2c-9550-4b51-be47-a8d9567c972f)) - (via (at 108.05 104.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp fd693e1b-ee8d-4a26-aae0-561ba4b09a82)) - (via (at 91.4 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fdd41a68-206a-4076-b64a-8b7633d428d6)) - (via (at 69.85 101.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp fe578162-0e40-4028-9277-b80f8071e7b8)) - (via (at 116.95 121.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp fec2ae03-3539-4fc7-9da2-1b1336bf787c)) - (via (at 127.45 108.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp ff163833-80b9-4bc7-baa1-aa11870ad397)) - (via (at 91.6 92.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 2) (tstamp ffde4898-4c0e-4c24-bd8c-aadcd7279172)) - (segment (start 137.16 135.382) (end 137.16 131.572) (width 1.524) (layer "B.Cu") (net 2) (tstamp 00000000-0000-0000-0000-00005f3d1cb3)) - (segment (start 64.262 120.904) (end 63.881 120.904) (width 0.5) (layer "B.Cu") (net 2) (tstamp 32f4eb0d-8b7c-4e0f-8b4a-904219172497)) - (segment (start 138.43 131.318) (end 137.414 131.318) (width 0.15) (layer "B.Cu") (net 2) (tstamp 45fc93ca-f8ba-48a8-9189-1c9886475cd3)) - (segment (start 65.151 119.888) (end 65.151 120.015) (width 0.5) (layer "B.Cu") (net 2) (tstamp 47c4da32-a886-4a7a-86ef-2f3db3797d7d)) - (segment (start 63.373 121.92) (end 63.881 122.428) (width 0.5) (layer "B.Cu") (net 2) (tstamp 4be2d863-39fc-49fd-99c7-77790b42f677)) - (segment (start 135.89 131.318) (end 136.906 131.318) (width 0.15) (layer "B.Cu") (net 2) (tstamp 802bd717-75a4-4efc-bdc3-ab512c6bce65)) - (segment (start 65.151 120.015) (end 64.262 120.904) (width 0.5) (layer "B.Cu") (net 2) (tstamp 867dcf96-6334-4832-b3d2-cf7aefc9cce8)) - (segment (start 136.906 131.318) (end 137.16 131.572) (width 0.15) (layer "B.Cu") (net 2) (tstamp 88ea0fe3-17bb-45bf-bf71-4da88c965186)) - (segment (start 63.881 120.904) (end 63.373 121.412) (width 0.5) (layer "B.Cu") (net 2) (tstamp a3d660d2-1195-4764-9c63-d090a7cbc79a)) - (segment (start 137.414 131.318) (end 137.16 131.572) (width 0.15) (layer "B.Cu") (net 2) (tstamp c9863f4f-bdf5-49f4-b18e-dce622ff9931)) - (segment (start 63.373 121.412) (end 63.373 121.92) (width 0.5) (layer "B.Cu") (net 2) (tstamp e63748d3-3196-486f-8f95-bb4d9876653d)) - (segment (start 63.881 122.428) (end 64.135 122.428) (width 0.5) (layer "B.Cu") (net 2) (tstamp f4f6e269-d484-4c43-84cc-450e042e2e24)) - (segment (start 90.55 125.85) (end 88.9 127.5) (width 0.15) (layer "F.Cu") (net 3) (tstamp 25c0c83a-69e4-4bb3-a4ba-e35ba5e17f0f)) - (segment (start 90.55 124.95) (end 90.55 125.85) (width 0.15) (layer "F.Cu") (net 3) (tstamp 6f52f85c-aac3-4a99-8226-7744ad08fdc3)) - (segment (start 88.9 127.5) (end 88.9 135.382) (width 0.15) (layer "F.Cu") (net 3) (tstamp 745a27e0-733b-4d2b-b0f0-d4c1457e893e)) - (segment (start 83.3 124.95) (end 83.3 126.85) (width 0.15) (layer "F.Cu") (net 4) (tstamp 00000000-0000-0000-0000-00005f3d1c68)) - (via (at 83.3 126.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 4) (tstamp 00000000-0000-0000-0000-00005f3d1c65)) - (segment (start 93.879 128.55) (end 96.52 131.191) (width 0.15) (layer "B.Cu") (net 4) (tstamp 65908b01-f0a0-46e1-84f2-bf49d46af2a7)) - (segment (start 85 128.55) (end 93.879 128.55) (width 0.15) (layer "B.Cu") (net 4) (tstamp 899d6960-0494-4e8f-9091-802503c02d1b)) - (segment (start 83.3 126.85) (end 85 128.55) (width 0.15) (layer "B.Cu") (net 4) (tstamp 94a21413-9821-4587-923e-f37548a5150a)) - (segment (start 96.52 131.191) (end 96.52 135.382) (width 0.15) (layer "B.Cu") (net 4) (tstamp e02b47af-92a8-4b6e-841f-f88d0fa73eb7)) - (segment (start 82.65 124.95) (end 82.65 126.1) (width 0.15) (layer "F.Cu") (net 5) (tstamp 00000000-0000-0000-0000-00005f3d1c41)) - (via (at 82.65 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 5) (tstamp 00000000-0000-0000-0000-00005f3d1c44)) - (segment (start 82.65 126.973) (end 84.527 128.85) (width 0.15) (layer "B.Cu") (net 5) (tstamp 01106a52-6b7d-40fd-b165-c927be1f6a1d)) - (segment (start 82.65 126.1) (end 82.65 126.973) (width 0.15) (layer "B.Cu") (net 5) (tstamp 37e43d63-cb41-40f8-97c4-4ee588727924)) - (segment (start 84.527 128.85) (end 91.639 128.85) (width 0.15) (layer "B.Cu") (net 5) (tstamp a0af1aa5-82ff-4825-8836-86496e7db65f)) - (segment (start 91.639 128.85) (end 93.98 131.191) (width 0.15) (layer "B.Cu") (net 5) (tstamp d7fccf28-3bfa-4b51-bf91-5d4755a0686e)) - (segment (start 93.98 131.191) (end 93.98 135.382) (width 0.15) (layer "B.Cu") (net 5) (tstamp f22aae5d-f6eb-438b-9ba4-dcb7ba01f85f)) - (segment (start 99.06 135.382) (end 99.06 127.81) (width 0.15) (layer "F.Cu") (net 6) (tstamp 00000000-0000-0000-0000-00005f3d1c38)) - (segment (start 99.06 127.81) (end 97.15 125.9) (width 0.15) (layer "F.Cu") (net 6) (tstamp 00000000-0000-0000-0000-00005f3d1c3b)) - (segment (start 97.15 125.9) (end 97.15 124.95) (width 0.15) (layer "F.Cu") (net 6) (tstamp 00000000-0000-0000-0000-00005f3d1c3e)) - (segment (start 96.52 130.17) (end 92.5 126.15) (width 0.15) (layer "F.Cu") (net 7) (tstamp 082621c8-b51d-48fd-937c-afceb255b94e)) - (segment (start 96.52 135.382) (end 96.52 130.17) (width 0.15) (layer "F.Cu") (net 7) (tstamp 728dda43-38f9-4d13-b2a9-59e599c86d99)) - (segment (start 92.5 126.15) (end 92.5 124.95) (width 0.15) (layer "F.Cu") (net 7) (tstamp a65cad0c-0ef1-4ea5-a965-4eae7ac1f6af)) - (segment (start 91.85 124.95) (end 91.85 125.95) (width 0.15) (layer "F.Cu") (net 8) (tstamp 430cb5a0-6865-46d0-be60-5d722d3e8d80)) - (segment (start 91.85 125.95) (end 93.98 128.08) (width 0.15) (layer "F.Cu") (net 8) (tstamp a1441258-3477-4706-8540-9e88ae0dac49)) - (segment (start 93.98 128.08) (end 93.98 135.382) (width 0.15) (layer "F.Cu") (net 8) (tstamp eef9a49b-90d1-4463-b2c5-af035d3ae9d7)) - (segment (start 91.44 126.09) (end 91.44 135.382) (width 0.15) (layer "F.Cu") (net 9) (tstamp 8d9ea4cf-1047-42af-bf72-13258f22d6ad)) - (segment (start 91.2 124.95) (end 91.2 125.85) (width 0.15) (layer "F.Cu") (net 9) (tstamp b2de1057-44b4-4b1a-b3d7-c19d3cd25553)) - (segment (start 91.2 125.85) (end 91.44 126.09) (width 0.15) (layer "F.Cu") (net 9) (tstamp e16a8ef9-72be-44ea-a34c-71d53d6ff2bf)) - (segment (start 86.36 130.81) (end 86.36 135.382) (width 0.15) (layer "F.Cu") (net 10) (tstamp 04b78285-4974-4fa0-8f4e-46d399f5727c)) - (segment (start 89.9 124.95) (end 89.9 125.85) (width 0.15) (layer "F.Cu") (net 10) (tstamp af5a6355-b37d-4130-98e5-c563dae6ea34)) - (segment (start 86.36 129.39) (end 86.36 130.81) (width 0.15) (layer "F.Cu") (net 10) (tstamp c3f6c24d-368b-47d2-9a0a-d716bb140344)) - (segment (start 89.9 125.85) (end 86.36 129.39) (width 0.15) (layer "F.Cu") (net 10) (tstamp ecb190c3-7d33-4f9e-917d-98f2e006b7de)) - (segment (start 83.82 135.382) (end 83.82 130.43) (width 0.15) (layer "F.Cu") (net 11) (tstamp 2c3d5c2f-c119-4276-9b7e-33808f1d9396)) - (segment (start 89.25 125.85) (end 89.25 124.95) (width 0.15) (layer "F.Cu") (net 11) (tstamp 43758126-6174-43ff-b8a7-6d55ec68152a)) - (segment (start 85.8 129.3) (end 89.25 125.85) (width 0.15) (layer "F.Cu") (net 11) (tstamp 5fe5bd8d-5a86-4565-bd10-e08c6de9aa03)) - (segment (start 84.95 129.3) (end 85.8 129.3) (width 0.15) (layer "F.Cu") (net 11) (tstamp 885a1129-9446-432d-8d93-f91d54873594)) - (segment (start 83.82 130.43) (end 84.95 129.3) (width 0.15) (layer "F.Cu") (net 11) (tstamp ba660766-df56-40bf-b584-d5d4ed6cb6fc)) - (segment (start 88.6 124.95) (end 88.6 125.9) (width 0.15) (layer "F.Cu") (net 12) (tstamp 296b967f-b7a9-453f-856a-7b874fdca3db)) - (segment (start 83.344 129) (end 81.28 131.064) (width 0.15) (layer "F.Cu") (net 12) (tstamp 41e442c4-3daa-4776-bd79-7990c939b354)) - (segment (start 81.28 131.064) (end 81.28 135.382) (width 0.15) (layer "F.Cu") (net 12) (tstamp 46255620-16a2-4e81-9e4a-58dddcf89388)) - (segment (start 88.6 125.9) (end 85.5 129) (width 0.15) (layer "F.Cu") (net 12) (tstamp 83250ce3-cee5-48b2-8a3e-b1e7887d6a15)) - (segment (start 85.5 129) (end 83.344 129) (width 0.15) (layer "F.Cu") (net 12) (tstamp 9cd1ba63-2087-4000-a5a9-797dad78d993)) - (segment (start 78.74 131.191) (end 81.231 128.7) (width 0.15) (layer "F.Cu") (net 13) (tstamp 2952439a-4d93-45a3-a998-2b2fce2c5fe9)) - (segment (start 78.74 135.382) (end 78.74 131.191) (width 0.15) (layer "F.Cu") (net 13) (tstamp 3eff8f32-349a-4846-b484-abdc036c7174)) - (segment (start 85.1 128.7) (end 87.95 125.85) (width 0.15) (layer "F.Cu") (net 13) (tstamp 52da99c6-c348-4007-8828-51a963a2879f)) - (segment (start 87.95 125.85) (end 87.95 124.95) (width 0.15) (layer "F.Cu") (net 13) (tstamp 7a25e2e8-d883-44ae-8207-1f946e50b1fa)) - (segment (start 81.231 128.7) (end 85.1 128.7) (width 0.15) (layer "F.Cu") (net 13) (tstamp e2743b78-cc59-458c-8fb0-4238f348a49f)) - (segment (start 97.8 124.95) (end 97.8 125.9) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005f3d20b5)) - (segment (start 97.8 125.9) (end 101.6 129.7) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005f3d20bb)) - (segment (start 101.6 129.7) (end 101.6 130.9) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005f3d20c1)) - (segment (start 101.6 130.9) (end 101.6 135.382) (width 0.15) (layer "F.Cu") (net 14) (tstamp 00000000-0000-0000-0000-00005f3d20c7)) - (segment (start 79.4 124.95) (end 79.4 126.85) (width 0.15) (layer "F.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005f3d2085)) - (via (at 79.4 126.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005f3d207f)) - (segment (start 81.28 135.382) (end 81.28 128.73) (width 0.15) (layer "B.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005f3d20a9)) - (segment (start 81.28 128.73) (end 79.4 126.85) (width 0.15) (layer "B.Cu") (net 15) (tstamp 00000000-0000-0000-0000-00005f3d20af)) - (segment (start 82 124.95) (end 82 126.85) (width 0.15) (layer "F.Cu") (net 16) (tstamp 00000000-0000-0000-0000-00005f3d20eb)) - (via (at 82 126.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 16) (tstamp 00000000-0000-0000-0000-00005f3d20f4)) - (segment (start 82 126.85) (end 84.3 129.15) (width 0.15) (layer "B.Cu") (net 16) (tstamp 1db46316-f403-492b-8814-154fc43d62a8)) - (segment (start 84.3 129.15) (end 89.399 129.15) (width 0.15) (layer "B.Cu") (net 16) (tstamp 65f89bc6-cda1-4481-b360-d7547150b31e)) - (segment (start 91.44 131.191) (end 91.44 135.382) (width 0.15) (layer "B.Cu") (net 16) (tstamp 8a1a639a-559c-483d-9c99-1b2fafbdacf1)) - (segment (start 89.399 129.15) (end 91.44 131.191) (width 0.15) (layer "B.Cu") (net 16) (tstamp b37c8835-0989-48c9-97ba-c045f0d7107f)) - (segment (start 78.75 124.95) (end 78.75 126.1) (width 0.15) (layer "F.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005f3d20b2)) - (via (at 78.75 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 17) (tstamp 00000000-0000-0000-0000-00005f3d20b8)) - (segment (start 78.35 127.15) (end 78.35 126.5) (width 0.15) (layer "B.Cu") (net 17) (tstamp 00185541-0a55-4e62-91d8-99e7a7720d36)) - (segment (start 78.75 135.372) (end 78.74 135.382) (width 0.15) (layer "B.Cu") (net 17) (tstamp 128a7556-cb3d-406d-b84d-6d9efc7f9ed8)) - (segment (start 78.75 127.55) (end 78.75 135.372) (width 0.15) (layer "B.Cu") (net 17) (tstamp 84daabe5-262d-44f3-8073-3a5eff98700f)) - (segment (start 78.35 127.15) (end 78.75 127.55) (width 0.15) (layer "B.Cu") (net 17) (tstamp f4cf6dc4-65fc-4b8e-a0d8-0a9074993d40)) - (segment (start 78.35 126.5) (end 78.75 126.1) (width 0.15) (layer "B.Cu") (net 17) (tstamp fb7b20d7-70ea-48e6-baf1-01a0d3c92377)) - (segment (start 80.05 124.95) (end 80.05 126.1) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005f3d2082)) - (via (at 80.05 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005f3d2088)) - (segment (start 80.05 126.1) (end 80.05 126.913) (width 0.15) (layer "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005f3d209a)) - (segment (start 83.82 131.064) (end 83.82 135.382) (width 0.15) (layer "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005f3d20a0)) - (segment (start 80.05 126.913) (end 83.82 130.683) (width 0.15) (layer "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005f3d20a6)) - (segment (start 83.82 130.683) (end 83.82 131.064) (width 0.15) (layer "B.Cu") (net 18) (tstamp 00000000-0000-0000-0000-00005f3d20ac)) - (segment (start 80.7 124.95) (end 80.7 126.85) (width 0.15) (layer "F.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005f3d218d)) - (via (at 80.7 126.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 19) (tstamp 00000000-0000-0000-0000-00005f3d218a)) - (segment (start 86.36 130.4925) (end 86.36 135.382) (width 0.15) (layer "B.Cu") (net 19) (tstamp 18a9dea8-caa6-40a3-962a-7699d9146e17)) - (segment (start 83.6 129.75) (end 85.6175 129.75) (width 0.15) (layer "B.Cu") (net 19) (tstamp 73fd78b9-9aa5-40d0-adab-1e5886c90dd7)) - (segment (start 80.7 126.85) (end 83.6 129.75) (width 0.15) (layer "B.Cu") (net 19) (tstamp a95b6208-cd25-486f-8a35-f7d7b1426174)) - (segment (start 85.6175 129.75) (end 86.36 130.4925) (width 0.15) (layer "B.Cu") (net 19) (tstamp e8531c3a-ab79-4096-b3fb-b5b6ae94c3f7)) - (segment (start 81.35 124.95) (end 81.35 126.1) (width 0.15) (layer "F.Cu") (net 20) (tstamp 00000000-0000-0000-0000-00005f3d2178)) - (via (at 81.35 126.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 20) (tstamp 00000000-0000-0000-0000-00005f3d2175)) - (segment (start 83.857 129.45) (end 87.159 129.45) (width 0.15) (layer "B.Cu") (net 20) (tstamp 198642f2-8db4-475b-ac24-9da65c994a3a)) - (segment (start 88.9 131.191) (end 88.9 135.382) (width 0.15) (layer "B.Cu") (net 20) (tstamp 61415144-ce8f-483a-82b7-e2e320f7f0b4)) - (segment (start 81.35 126.1) (end 81.35 126.943) (width 0.15) (layer "B.Cu") (net 20) (tstamp 937928d4-4dfb-4f2f-91d0-697ec54ac283)) - (segment (start 87.159 129.45) (end 88.9 131.191) (width 0.15) (layer "B.Cu") (net 20) (tstamp b6ceb85d-46f8-42e1-9c68-672660fbaf7c)) - (segment (start 81.35 126.943) (end 83.857 129.45) (width 0.15) (layer "B.Cu") (net 20) (tstamp f16972fb-4b2b-49d7-8715-9f31f5431405)) - (segment (start 104.14 131.04) (end 104.14 135.382) (width 0.15) (layer "F.Cu") (net 21) (tstamp 09433d97-62ec-42de-89f2-7d0b68dc1b9d)) - (segment (start 98.45 124.95) (end 98.45 125.9) (width 0.15) (layer "F.Cu") (net 21) (tstamp 1ebce183-d3ad-4022-b82e-9e0d8cd628db)) - (segment (start 101.35 128.8) (end 101.9 128.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp 4c77837f-2440-4b7b-8e7e-430f981c7c04)) - (segment (start 98.45 125.9) (end 101.35 128.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp 53548090-4b36-44b5-9ef5-2fa214b2fbf4)) - (segment (start 101.9 128.8) (end 104.14 131.04) (width 0.15) (layer "F.Cu") (net 21) (tstamp e342f8d7-ca8a-47a5-a679-3c984454e9a5)) - (segment (start 76.2 128.27) (end 76.2 129.54) (width 0.8) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d2142)) - (segment (start 76.2 128.27) (end 77.343 128.27) (width 0.8) (layer "F.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d214b)) - (via (at 76.2 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d213f)) - (via (at 77.343 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d2148)) - (segment (start 76.2 135.382) (end 76.2 129.54) (width 1.524) (layer "B.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d2145)) - (segment (start 76.2 129.413) (end 77.343 128.27) (width 1.524) (layer "B.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d214e)) - (segment (start 76.2 129.54) (end 76.2 129.413) (width 1.524) (layer "B.Cu") (net 22) (tstamp 00000000-0000-0000-0000-00005f3d2151)) - (segment (start 77.343 128.27) (end 76.327 128.27) (width 1.524) (layer "B.Cu") (net 22) (tstamp 33770b56-77ab-4a0c-a675-0ef4f02f8519)) - (segment (start 76.2 128.397) (end 76.2 129.54) (width 1.524) (layer "B.Cu") (net 22) (tstamp 411f21c0-dcce-4bff-ac0e-7c5571730a65)) - (segment (start 76.327 128.27) (end 76.2 128.397) (width 1.524) (layer "B.Cu") (net 22) (tstamp b45301a2-b6d7-44bd-8834-616acde30aef)) - (segment (start 119.126 128.27) (end 119.126 129.54) (width 0.8) (layer "F.Cu") (net 23) (tstamp 00000000-0000-0000-0000-00005f3d2136)) - (via (at 119.126 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 23) (tstamp 00000000-0000-0000-0000-00005f3d2133)) - (segment (start 119.38 129.794) (end 119.126 129.54) (width 1.524) (layer "B.Cu") (net 23) (tstamp 00000000-0000-0000-0000-00005f3d2139)) - (segment (start 119.38 135.382) (end 119.38 129.794) (width 1.524) (layer "B.Cu") (net 23) (tstamp 00000000-0000-0000-0000-00005f3d213c)) - (segment (start 117.094 128.27) (end 117.094 129.54) (width 0.8) (layer "F.Cu") (net 24) (tstamp 00000000-0000-0000-0000-00005f3d2130)) - (via (at 117.094 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp 00000000-0000-0000-0000-00005f3d212d)) - (segment (start 116.84 135.382) (end 116.84 129.794) (width 1.524) (layer "B.Cu") (net 24) (tstamp 00000000-0000-0000-0000-00005f3d2127)) - (segment (start 116.84 129.794) (end 117.094 129.54) (width 1.524) (layer "B.Cu") (net 24) (tstamp 00000000-0000-0000-0000-00005f3d212a)) - (segment (start 106.35 124.95) (end 106.35 123.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 00000000-0000-0000-0000-00005f3d2109)) - (segment (start 79.4 127.85) (end 76.2 131.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 22127bf3-28e1-4f2a-9132-0b2244d2149e)) - (segment (start 76.2 131.05) (end 76.2 135.382) (width 0.15) (layer "F.Cu") (net 25) (tstamp 826dab59-fbdd-42ab-9237-6c754170917b)) - (segment (start 85.2 127.381) (end 84.731 127.85) (width 0.15) (layer "F.Cu") (net 25) (tstamp a11284ee-2f71-4eb8-b0ee-e01b498d0140)) - (segment (start 84.731 127.85) (end 79.4 127.85) (width 0.15) (layer "F.Cu") (net 25) (tstamp d4a7ff11-09f1-4325-94c0-c1b4b4278fe4)) - (via (at 106.35 123.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 25) (tstamp 00000000-0000-0000-0000-00005f3d2106)) - (via (at 85.2 127.381) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 25) (tstamp fab79269-47fb-42f7-a3ad-b9ec94b79b4b)) - (segment (start 103.669 127.381) (end 106.5 124.55) (width 0.15) (layer "B.Cu") (net 25) (tstamp 30979a3d-28d7-46ae-b5aa-513ad60b71a4)) - (segment (start 106.5 123.2) (end 106.35 123.05) (width 0.15) (layer "B.Cu") (net 25) (tstamp 408e380e-a780-4259-a7f0-5062d5808d11)) - (segment (start 85.2 127.381) (end 103.669 127.381) (width 0.15) (layer "B.Cu") (net 25) (tstamp 4cbba380-690c-405e-bbfb-a0cd7ef65d0e)) - (segment (start 106.5 124.55) (end 106.5 123.2) (width 0.15) (layer "B.Cu") (net 25) (tstamp d43d6c5b-08dc-4efb-9ffc-91ecf13d0a2f)) - (segment (start 104.3 128.5) (end 106.68 130.88) (width 0.15) (layer "F.Cu") (net 26) (tstamp bf9ad5a6-c4c4-4072-8854-6425d90cd19f)) - (segment (start 99.1 125.9) (end 101.7 128.5) (width 0.15) (layer "F.Cu") (net 26) (tstamp e1754158-40dc-4df5-848e-7e0c189ace53)) - (segment (start 99.1 124.95) (end 99.1 125.9) (width 0.15) (layer "F.Cu") (net 26) (tstamp e34d78fc-c821-4e5c-ac82-ce6fcdcd9454)) - (segment (start 106.68 130.88) (end 106.68 135.382) (width 0.15) (layer "F.Cu") (net 26) (tstamp eb8da7b1-c954-4f96-b636-28a01b4ed609)) - (segment (start 101.7 128.5) (end 104.3 128.5) (width 0.15) (layer "F.Cu") (net 26) (tstamp f574310b-3071-4841-b3bc-44ccc3dd1422)) - (segment (start 106.25 128.2) (end 109.22 131.17) (width 0.15) (layer "F.Cu") (net 27) (tstamp 40ef82a7-1843-41e2-896c-620f16b91b4f)) - (segment (start 102.05 128.2) (end 106.25 128.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp d4e5a639-c802-4fd5-bd43-bd9483f1fee3)) - (segment (start 99.75 125.9) (end 102.05 128.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp de01c5f0-8b67-4f95-a915-b01789f320eb)) - (segment (start 99.75 124.95) (end 99.75 125.9) (width 0.15) (layer "F.Cu") (net 27) (tstamp e0937f55-5a21-4b1f-aa30-aba62e4969e5)) - (segment (start 109.22 131.17) (end 109.22 135.382) (width 0.15) (layer "F.Cu") (net 27) (tstamp e0bbf399-c52b-4993-8f0b-a5400682c686)) - (segment (start 108.85 127.9) (end 111.76 130.81) (width 0.15) (layer "F.Cu") (net 28) (tstamp 233d14ec-e17f-4b70-ace9-a65479e58a33)) - (segment (start 100.4 125.9) (end 102.4 127.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp 91a85248-7895-453a-bdbc-36a6edbe91db)) - (segment (start 111.76 130.81) (end 111.76 135.382) (width 0.15) (layer "F.Cu") (net 28) (tstamp a0400e61-7ec0-4cc7-a41d-d7c451e758fe)) - (segment (start 100.4 124.95) (end 100.4 125.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp e08b3dd0-5717-45d9-897c-a2c963f9de1a)) - (segment (start 102.4 127.9) (end 108.85 127.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp e44b0081-5f25-4984-8fb5-ea876fb2fc1c)) - (segment (start 110.709 127.6) (end 114.3 131.191) (width 0.15) (layer "F.Cu") (net 29) (tstamp 0f99d31f-3e61-45ba-a78c-4a282f861613)) - (segment (start 101.05 124.95) (end 101.05 125.95) (width 0.15) (layer "F.Cu") (net 29) (tstamp 422a6702-d1c1-4e76-898e-ec20aaee30c2)) - (segment (start 101.05 125.95) (end 102.7 127.6) (width 0.15) (layer "F.Cu") (net 29) (tstamp 555e8fc3-19b4-40e8-abc6-87d7c193534e)) - (segment (start 114.3 131.191) (end 114.3 135.382) (width 0.15) (layer "F.Cu") (net 29) (tstamp 7b485fa8-406a-42d5-9a01-13ae76ec07b5)) - (segment (start 102.7 127.6) (end 110.709 127.6) (width 0.15) (layer "F.Cu") (net 29) (tstamp a1533d6a-9d56-4622-800a-f5af923f4a97)) - (segment (start 101.7 124.95) (end 101.7 126.15) (width 0.15) (layer "F.Cu") (net 30) (tstamp 201a8082-80bc-49cb-a857-a9c917ee8418)) - (segment (start 116.49 130.84) (end 116.84 131.19) (width 0.15) (layer "F.Cu") (net 30) (tstamp 3d6472eb-4872-48d0-9b65-1b39f6d4a46a)) - (segment (start 102.85 127.3) (end 110.85 127.3) (width 0.15) (layer "F.Cu") (net 30) (tstamp 5c4ddc3a-1b67-4d06-8b43-5f565c9d4f71)) - (segment (start 114.39 130.84) (end 116.49 130.84) (width 0.15) (layer "F.Cu") (net 30) (tstamp 9a68bf85-c16f-48ee-8e66-0d9ea8ea8b23)) - (segment (start 116.84 131.19) (end 116.84 135.382) (width 0.15) (layer "F.Cu") (net 30) (tstamp ccdce88e-24b7-4692-934b-22bb9b0763dc)) - (segment (start 101.7 126.15) (end 102.85 127.3) (width 0.15) (layer "F.Cu") (net 30) (tstamp e61e3b10-16bb-45fa-9a42-277efd2ec104)) - (segment (start 110.85 127.3) (end 114.39 130.84) (width 0.15) (layer "F.Cu") (net 30) (tstamp f50538bf-e44a-4d20-ab4a-ccf1e95ea69c)) - (segment (start 119.38 131.18) (end 118.74 130.54) (width 0.15) (layer "F.Cu") (net 31) (tstamp 3adb8c69-132c-478c-b246-f381b0e1424c)) - (segment (start 109.95 127) (end 111 127) (width 0.15) (layer "F.Cu") (net 31) (tstamp 3be2f64a-643b-4527-aaf5-307341a81097)) - (segment (start 119.38 135.382) (end 119.38 131.18) (width 0.15) (layer "F.Cu") (net 31) (tstamp 59550421-1010-45d2-ae78-ff36e5bca6b7)) - (segment (start 109.6 124.95) (end 109.6 126.65) (width 0.15) (layer "F.Cu") (net 31) (tstamp 7bc13ee4-2194-461b-9242-0d96ebba241b)) - (segment (start 118.74 130.54) (end 114.54 130.54) (width 0.15) (layer "F.Cu") (net 31) (tstamp b027388d-8092-416a-ae2f-62be7825303f)) - (segment (start 114.54 130.54) (end 111 127) (width 0.15) (layer "F.Cu") (net 31) (tstamp ddfa4cf0-3486-4284-897b-3a9e51f271d9)) - (segment (start 109.6 126.65) (end 109.95 127) (width 0.15) (layer "F.Cu") (net 31) (tstamp f420833d-9f22-43c2-813c-6543682555e5)) - (segment (start 122.15 126.9) (end 124.46 129.21) (width 0.15) (layer "F.Cu") (net 32) (tstamp 03a79994-33b9-4df6-bdb0-d3807834d731)) - (segment (start 124.46 131.191) (end 124.46 135.382) (width 0.15) (layer "F.Cu") (net 32) (tstamp 29e27db0-3c69-4f62-9b26-37b540cf4f34)) - (segment (start 108.3 124.95) (end 108.3 123.8) (width 0.15) (layer "F.Cu") (net 32) (tstamp 3581de8b-daeb-467a-8039-51714599e4ba)) - (segment (start 113.15 127.95) (end 114.2 126.9) (width 0.15) (layer "F.Cu") (net 32) (tstamp 505c1d3e-8ca5-438e-9eae-18483f12882c)) - (segment (start 124.46 129.21) (end 124.46 131.191) (width 0.15) (layer "F.Cu") (net 32) (tstamp cb082ca8-e559-493c-a769-6ac76ddc831e)) - (segment (start 114.2 126.9) (end 122.15 126.9) (width 0.15) (layer "F.Cu") (net 32) (tstamp e188f4e0-97d6-45d5-9852-98640c6abc42)) - (via (at 108.3 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 32) (tstamp 7b1f2f40-abe7-4adb-bfe4-3f1a7f99a0f2)) - (via (at 113.15 127.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 32) (tstamp d98b06b1-d759-4372-889f-6ac21114139f)) - (segment (start 108.1 124) (end 108.3 123.8) (width 0.15) (layer "B.Cu") (net 32) (tstamp 9b774066-2c22-4032-af01-4291adb02340)) - (segment (start 113.15 127.95) (end 109.35 127.95) (width 0.15) (layer "B.Cu") (net 32) (tstamp c4e3a83a-2945-4c21-9d1d-f3f3be86b7bd)) - (segment (start 109.35 127.95) (end 108.1 126.7) (width 0.15) (layer "B.Cu") (net 32) (tstamp dd4b4783-44b6-4bbf-bf18-b846491e4d4c)) - (segment (start 108.1 126.7) (end 108.1 124) (width 0.15) (layer "B.Cu") (net 32) (tstamp e325a134-36dc-4151-9d17-8bf13dc78564)) - (segment (start 108.95 123.05) (end 108.95 124.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp 3bdc61da-fd87-4d91-ae6a-f160ef1e6b25)) - (segment (start 116.6 124.2) (end 116.6 125.4) (width 0.15) (layer "F.Cu") (net 35) (tstamp b0b40da2-8918-4f0b-b11b-1408b929feb5)) - (via (at 116.6 125.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 35) (tstamp 785187eb-3061-4043-a954-4178556793a1)) - (via (at 108.95 123.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 35) (tstamp a0129fe7-e9e9-4c74-af85-e2b335707eb4)) - (segment (start 114.25 123.05) (end 108.95 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 08601885-ffd0-426c-9b07-2dc479593fb1)) - (segment (start 116.6 125.4) (end 114.25 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 824a1256-25d4-4c20-968f-40a07210c698)) - (segment (start 124.46 129.46) (end 124.46 131.191) (width 0.15) (layer "B.Cu") (net 35) (tstamp 89d9af53-e698-40c4-8ab2-a44fdf0a4c6c)) - (segment (start 120.4 125.4) (end 124.46 129.46) (width 0.15) (layer "B.Cu") (net 35) (tstamp cf6465a5-cdc8-43ab-af6a-066f3abc4788)) - (segment (start 124.46 131.191) (end 124.46 135.382) (width 0.15) (layer "B.Cu") (net 35) (tstamp d0c5561a-ecf5-4fb9-9963-743c221a8335)) - (segment (start 116.6 125.4) (end 120.4 125.4) (width 0.15) (layer "B.Cu") (net 35) (tstamp d9c1c6f8-c198-49f9-bff0-eab2393a0053)) - (segment (start 107.65 124.95) (end 107.65 123.05) (width 0.15) (layer "F.Cu") (net 42) (tstamp 00000000-0000-0000-0000-00005f3d184b)) - (via (at 107.65 123.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 42) (tstamp 00000000-0000-0000-0000-00005f3d1845)) - (segment (start 104.394 130.302) (end 102.489 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp 30d4a5b8-34e9-412f-9d1a-e616a8a28215)) - (segment (start 102.489 130.302) (end 101.6 131.191) (width 0.15) (layer "B.Cu") (net 42) (tstamp 96bdf5ea-ca81-4096-814f-ff6d6aaf3220)) - (segment (start 107.8 126.896) (end 104.394 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp b6670714-a829-420f-8f82-042c74d803a5)) - (segment (start 107.8 123.2) (end 107.8 126.896) (width 0.15) (layer "B.Cu") (net 42) (tstamp d2b76814-7e11-4ea5-b409-7892e0c8500a)) - (segment (start 107.65 123.05) (end 107.8 123.2) (width 0.15) (layer "B.Cu") (net 42) (tstamp d7329050-0c4f-4d4d-b156-c34af61257ff)) - (segment (start 101.6 131.191) (end 101.6 135.382) (width 0.15) (layer "B.Cu") (net 42) (tstamp e595c6c4-f51e-40bc-a76d-c0a08bbd62be)) - (segment (start 107 124.95) (end 107 123.8) (width 0.15) (layer "F.Cu") (net 43) (tstamp 00000000-0000-0000-0000-00005f3d182d)) - (via (at 107 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 43) (tstamp 00000000-0000-0000-0000-00005f3d1821)) - (segment (start 99.06 131.191) (end 99.06 135.382) (width 0.15) (layer "B.Cu") (net 43) (tstamp 09684b6c-5d15-4020-b96b-0b388e8ee3ea)) - (segment (start 102.321 129.2) (end 101.051 129.2) (width 0.15) (layer "B.Cu") (net 43) (tstamp 7bd09790-9a37-4331-94a2-940c4fb9585b)) - (segment (start 107 123.8) (end 106.8 124) (width 0.15) (layer "B.Cu") (net 43) (tstamp 83226cf4-4bcb-4755-8744-16fd92f3a724)) - (segment (start 106.8 124) (end 106.8 124.721) (width 0.15) (layer "B.Cu") (net 43) (tstamp 8b129856-cc2d-4792-b90f-5af9599716ce)) - (segment (start 101.051 129.2) (end 99.06 131.191) (width 0.15) (layer "B.Cu") (net 43) (tstamp d2f72b7f-67e2-4cf3-9de6-340a26ecf95b)) - (segment (start 106.8 124.721) (end 102.321 129.2) (width 0.15) (layer "B.Cu") (net 43) (tstamp dad24ddf-e25d-4aa8-b795-2adc252edc45)) - (segment (start 132.08 135.382) (end 132.08 131.191) (width 0.15) (layer "F.Cu") (net 44) (tstamp ec15bc3b-566a-44e3-a715-82c18713a059)) - (via (at 132.08 131.191) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 44) (tstamp 7b2f6028-5234-4df8-8d41-bf003f728f58)) - (segment (start 132.08 135.382) (end 132.08 131.191) (width 0.15) (layer "B.Cu") (net 44) (tstamp d0b8883f-56d3-436a-a178-a658388f963b)) - (segment (start 134.62 135.382) (end 134.62 131.191) (width 0.15) (layer "F.Cu") (net 45) (tstamp 80f56a42-ff05-4345-8ffd-85584fdb3701)) - (via (at 134.62 131.191) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 45) (tstamp 310e28e7-f7b1-4197-b25d-4003c7dcabae)) - (via (at 134.62 131.191) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 45) (tstamp 8c65d639-2c7e-432d-bc2d-cd7263d4f689)) - (segment (start 134.62 135.382) (end 134.62 131.191) (width 0.15) (layer "B.Cu") (net 45) (tstamp 975ad921-d330-495d-a812-58638ba9e7c7)) - (segment (start 58.166 115.824) (end 64.135 109.855) (width 0.15) (layer "F.Cu") (net 46) (tstamp 1002411f-a485-468c-981b-cec2ce41d8bd)) - (segment (start 58.166 122.555) (end 58.166 115.824) (width 0.15) (layer "F.Cu") (net 46) (tstamp 1a0c5194-0d7e-4fcc-a11d-049fac80c4dc)) - (segment (start 71.35 112.1) (end 72.1 112.1) (width 0.15) (layer "F.Cu") (net 46) (tstamp 3520b9bf-2dfc-4868-a650-86ff98682e83)) - (segment (start 59.309 123.698) (end 58.166 122.555) (width 0.15) (layer "F.Cu") (net 46) (tstamp 415d6a7d-98b2-4d17-b46f-6f38749a3ba2)) - (segment (start 61.341 119.888) (end 61.976 120.523) (width 0.15) (layer "F.Cu") (net 46) (tstamp 494a6b97-f33e-4834-b724-0c3a3ff54317)) - (segment (start 60.833 123.698) (end 59.309 123.698) (width 0.15) (layer "F.Cu") (net 46) (tstamp 4dfbe524-132d-43d4-8ae0-9aa2f72df70b)) - (segment (start 61.976 122.555) (end 60.833 123.698) (width 0.15) (layer "F.Cu") (net 46) (tstamp 6b1d6bcd-1928-474b-8dbd-6dab746597ca)) - (segment (start 86.3875 106.9) (end 85.25 106.9) (width 0.15) (layer "F.Cu") (net 46) (tstamp 86856bef-d161-4600-b8d6-44f81ad42b7c)) - (segment (start 61.976 120.523) (end 61.976 122.555) (width 0.15) (layer "F.Cu") (net 46) (tstamp b9f8ba78-9b7b-4a7c-8351-c9f145a140ab)) - (segment (start 64.135 109.855) (end 64.135 108.204) (width 0.15) (layer "F.Cu") (net 46) (tstamp d0f11060-bc65-49c7-b1f8-1ffca12c5c16)) - (via (at 72.1 112.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 46) (tstamp 506110af-ac51-4501-bfa6-1552a848d599)) - (via (at 85.25 106.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 46) (tstamp 5bf032d7-1ed3-461e-8d9e-98362eeab2a2)) - (segment (start 66.6 112.1) (end 64.135 109.635) (width 0.15) (layer "B.Cu") (net 46) (tstamp 1c6c46b2-dd9e-430f-85e9-621815ceca94)) - (segment (start 83.45 112.1) (end 72.1 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 5ea450c5-c799-4c49-a77b-90af3b812ea4)) - (segment (start 85.95 109.6) (end 83.45 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 6e23d37a-3804-4cb0-9f56-ede150eedda5)) - (segment (start 85.95 107.6) (end 85.95 109.6) (width 0.15) (layer "B.Cu") (net 46) (tstamp 730780c7-40bd-484b-b640-ae047209b478)) - (segment (start 72.1 112.1) (end 66.6 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 9c7af13e-949e-4a55-a6b7-45ef51b4f106)) - (segment (start 85.25 106.9) (end 85.95 107.6) (width 0.15) (layer "B.Cu") (net 46) (tstamp a56d1fde-b4ad-42de-a848-9c94bc0cbe09)) - (segment (start 64.135 109.635) (end 64.135 108.204) (width 0.15) (layer "B.Cu") (net 46) (tstamp ab3e0d45-ad5b-42a1-ab02-8fee32ad804e)) - (segment (start 61.087 124.46) (end 58.6535 124.46) (width 0.15) (layer "F.Cu") (net 47) (tstamp 190829cf-8172-400f-bba0-21761cc942eb)) - (segment (start 86.3875 107.4) (end 84.35 107.4) (width 0.15) (layer "F.Cu") (net 47) (tstamp 28aab436-a04a-4f1d-a887-4f09513fdc8a)) - (segment (start 63.881 119.888) (end 63.246 120.523) (width 0.15) (layer "F.Cu") (net 47) (tstamp 3fe74e96-d630-4db9-83b3-437a4cba15b4)) - (segment (start 57.531 123.3375) (end 57.531 110.617) (width 0.15) (layer "F.Cu") (net 47) (tstamp 443b842e-cdd6-495f-a7fb-0cef04c17274)) - (segment (start 61.214 106.934) (end 62.865 106.934) (width 0.15) (layer "F.Cu") (net 47) (tstamp 45b2cd71-50dd-4f61-80ce-9a5382fe6dd4)) - (segment (start 62.865 106.934) (end 64.135 105.664) (width 0.15) (layer "F.Cu") (net 47) (tstamp 481d8c49-260f-40f8-9d7a-177fecb9140f)) - (segment (start 63.246 120.523) (end 63.246 122.301) (width 0.15) (layer "F.Cu") (net 47) (tstamp 510813ff-4301-4d7b-b640-805049ac6194)) - (segment (start 63.246 122.301) (end 61.087 124.46) (width 0.15) (layer "F.Cu") (net 47) (tstamp 52fe3400-bf18-4fe5-aa6e-2be779b65697)) - (segment (start 58.6535 124.46) (end 57.531 123.3375) (width 0.15) (layer "F.Cu") (net 47) (tstamp 7112d2ae-7915-4f1a-aae6-e71244f669d8)) - (segment (start 57.531 110.617) (end 61.214 106.934) (width 0.15) (layer "F.Cu") (net 47) (tstamp 7ab8aff0-29e4-4be7-af1f-6a97b7752e20)) - (via (at 84.35 107.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 47) (tstamp 226748a0-9c54-4438-a724-741c7846a7bf)) - (segment (start 74.35 110.35) (end 83.75 110.35) (width 0.15) (layer "B.Cu") (net 47) (tstamp 03ae5596-bc68-4919-b712-a127d93338cc)) - (segment (start 69.664 105.664) (end 74.35 110.35) (width 0.15) (layer "B.Cu") (net 47) (tstamp 1f2605ff-0052-4214-ba00-e5f83f987c66)) - (segment (start 64.135 105.664) (end 69.664 105.664) (width 0.15) (layer "B.Cu") (net 47) (tstamp 3e3af5be-1b4c-4ba4-b660-3033fdf1caed)) - (segment (start 83.75 110.35) (end 84.65 109.45) (width 0.15) (layer "B.Cu") (net 47) (tstamp ae2d0972-d851-4e32-b78e-a1894c29cfe1)) - (segment (start 84.65 107.7) (end 84.35 107.4) (width 0.15) (layer "B.Cu") (net 47) (tstamp ef996d8d-e885-4c54-b48b-e12cd0bd7e8e)) - (segment (start 84.65 109.45) (end 84.65 107.7) (width 0.15) (layer "B.Cu") (net 47) (tstamp fc153f76-4971-47fe-9c36-88d5ca4ab507)) - (segment (start 61.214 104.394) (end 56.896 108.712) (width 0.15) (layer "F.Cu") (net 48) (tstamp 26fd0d92-e1d7-4ec3-9cd1-0c12f182f0d8)) - (segment (start 67.564 123.952) (end 67.564 121.3485) (width 0.15) (layer "F.Cu") (net 48) (tstamp 45c7911f-b027-440e-9e3e-77a146b41944)) - (segment (start 66.421 120.2055) (end 66.421 119.888) (width 0.15) (layer "F.Cu") (net 48) (tstamp 4be25af8-39f2-4002-9837-911821c1b9cc)) - (segment (start 67.564 121.3485) (end 66.421 120.2055) (width 0.15) (layer "F.Cu") (net 48) (tstamp 6a5fe9e5-baaf-40a3-a520-f60ee8a61237)) - (segment (start 86.3875 105.9) (end 85.25 105.9) (width 0.15) (layer "F.Cu") (net 48) (tstamp 8524da93-8e55-4af1-8974-d6a0c4c21263)) - (segment (start 66.294 125.222) (end 67.564 123.952) (width 0.15) (layer "F.Cu") (net 48) (tstamp 9328bf5e-c997-4667-847d-cf51587a0583)) - (segment (start 64.135 103.124) (end 62.865 104.394) (width 0.15) (layer "F.Cu") (net 48) (tstamp af4e708f-3ecb-432a-8234-bc33a136a64e)) - (segment (start 58.022 125.222) (end 66.294 125.222) (width 0.15) (layer "F.Cu") (net 48) (tstamp b29fb2cb-e4b7-4450-8086-3c4d31478159)) - (segment (start 56.896 108.712) (end 56.896 124.096) (width 0.15) (layer "F.Cu") (net 48) (tstamp c95ae74a-ca90-4a39-aa68-19d5d2714b13)) - (segment (start 62.865 104.394) (end 61.214 104.394) (width 0.15) (layer "F.Cu") (net 48) (tstamp db002d44-34dc-4a16-a373-be2b73d8ad8e)) - (segment (start 72.05 110.65) (end 71.35 110.65) (width 0.15) (layer "F.Cu") (net 48) (tstamp dfe0615d-48dd-4d5e-ae77-f5a2410688c9)) - (segment (start 56.896 124.096) (end 58.022 125.222) (width 0.15) (layer "F.Cu") (net 48) (tstamp e69b829b-c0b7-43a9-80d0-4376f3776ee0)) - (via (at 85.25 105.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 48) (tstamp 6bdf4c09-0d97-4f84-a45b-4830c8cb3132)) - (via (at 72.05 110.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 48) (tstamp cdce2be4-88ef-44ed-b591-e6404a14a2cf)) - (segment (start 84.95 107.3) (end 84.75 107.1) (width 0.15) (layer "B.Cu") (net 48) (tstamp 1509b6e6-a266-4bd3-bef6-1700f12ad930)) - (segment (start 84.75 107.1) (end 84.75 106.7) (width 0.15) (layer "B.Cu") (net 48) (tstamp 391e77f9-45fd-4544-9a96-6b9be0f3494b)) - (segment (start 72.05 110.65) (end 83.9 110.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp 563db87b-34c4-4832-bfe7-c025196b0284)) - (segment (start 62.865 104.394) (end 62.865 106.299) (width 0.15) (layer "B.Cu") (net 48) (tstamp 570ee06f-38f1-44a9-ae2b-f08cf56305e0)) - (segment (start 63.5 106.934) (end 68.334 106.934) (width 0.15) (layer "B.Cu") (net 48) (tstamp 5f9c5087-aeae-41db-97be-1dd276294553)) - (segment (start 68.334 106.934) (end 72.05 110.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp 64d84e49-aaf5-4eba-8a78-1b20287a1fe2)) - (segment (start 84.95 109.6) (end 84.95 107.3) (width 0.15) (layer "B.Cu") (net 48) (tstamp 72587f14-3879-4ab1-8ee7-30f0f8e50d93)) - (segment (start 64.135 103.124) (end 62.865 104.394) (width 0.15) (layer "B.Cu") (net 48) (tstamp 8aff71fc-0b55-4238-837c-95b0b4aac181)) - (segment (start 84.75 106.7) (end 85.25 106.2) (width 0.15) (layer "B.Cu") (net 48) (tstamp 90a47af4-b3af-42ad-8a92-2ac33f1eaf7d)) - (segment (start 62.865 106.299) (end 63.5 106.934) (width 0.15) (layer "B.Cu") (net 48) (tstamp ab15be4c-1efb-422a-9053-a5c97ba751b0)) - (segment (start 83.9 110.65) (end 84.95 109.6) (width 0.15) (layer "B.Cu") (net 48) (tstamp b1631ef5-5ba5-48ed-9e83-a55482a37a65)) - (segment (start 85.25 106.2) (end 85.25 105.9) (width 0.15) (layer "B.Cu") (net 48) (tstamp e5e10b7e-d4e1-472a-acd2-b7ba1a3292f0)) - (segment (start 62.103 115.951) (end 66.3 111.754) (width 0.15) (layer "F.Cu") (net 52) (tstamp 0850d44a-6bde-4886-b872-ef2fda5e1590)) - (segment (start 84.15 106.9) (end 84.55 106.9) (width 0.15) (layer "F.Cu") (net 52) (tstamp 1e0743f9-25f1-4e27-8ba3-1bbc1755dc6c)) - (segment (start 84.15 108.4) (end 84.15 107.9) (width 0.15) (layer "F.Cu") (net 52) (tstamp 2a6f1b1e-6809-43d7-b0c5-e4424e33d333)) - (segment (start 62.103 117.475) (end 62.103 115.951) (width 0.15) (layer "F.Cu") (net 52) (tstamp 2df83ebe-1ddf-4544-b413-d0b7b3d7c49e)) - (segment (start 83.85 107.6) (end 83.85 107.2) (width 0.15) (layer "F.Cu") (net 52) (tstamp 2f9c4e12-0101-4393-8a50-030440ea6a07)) - (segment (start 83.85 107.2) (end 84.15 106.9) (width 0.15) (layer "F.Cu") (net 52) (tstamp 3834130c-65dd-40f7-94b2-4c0e44ecd63c)) - (segment (start 66.3 100.209) (end 64.135 98.044) (width 0.15) (layer "F.Cu") (net 52) (tstamp 3e1cb3e4-d855-414e-b1ff-d8f86a215960)) - (segment (start 66.3 111.754) (end 66.3 100.209) (width 0.15) (layer "F.Cu") (net 52) (tstamp 57a07bfe-e0c8-4178-9efc-c658d0aa0c5b)) - (segment (start 84.55 106.9) (end 85.05 106.4) (width 0.15) (layer "F.Cu") (net 52) (tstamp 619e5559-5c6e-40cc-87da-be0d8df0f585)) - (segment (start 62.611 117.983) (end 62.103 117.475) (width 0.15) (layer "F.Cu") (net 52) (tstamp 97675b30-915a-43e3-828c-166fb0161c3a)) - (segment (start 85.05 106.4) (end 86.3875 106.4) (width 0.15) (layer "F.Cu") (net 52) (tstamp bdbfc897-0a76-4ef8-acff-58a8a30c7547)) - (segment (start 62.611 118.618) (end 62.611 117.983) (width 0.15) (layer "F.Cu") (net 52) (tstamp f9fdab0b-0971-4c0c-831c-cda73093deb5)) - (segment (start 84.15 107.9) (end 83.85 107.6) (width 0.15) (layer "F.Cu") (net 52) (tstamp ff579cc0-821d-40ca-8f3d-8708c2d87acb)) - (via (at 84.15 108.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 52) (tstamp 5552a350-225a-4c3c-8643-df2be6c7b9a2)) - (segment (start 84.35 108.6) (end 84.35 109.3) (width 0.15) (layer "B.Cu") (net 52) (tstamp 12eac6d1-24b8-4ea7-b275-251ba8bf5245)) - (segment (start 84.35 109.3) (end 83.6 110.05) (width 0.15) (layer "B.Cu") (net 52) (tstamp 23d00a59-0b4c-4084-acf1-2d0e73667d5f)) - (segment (start 84.15 108.4) (end 84.35 108.6) (width 0.15) (layer "B.Cu") (net 52) (tstamp 8a118e01-ce68-4cb9-aa2c-69460d69aea9)) - (segment (start 76.141 110.05) (end 64.135 98.044) (width 0.15) (layer "B.Cu") (net 52) (tstamp c261f2c7-400a-44c0-9c0a-e7dc7bbb3f90)) - (segment (start 83.6 110.05) (end 76.141 110.05) (width 0.15) (layer "B.Cu") (net 52) (tstamp dbe20cc9-b99f-4e22-ad59-f96e667d1efa)) - (segment (start 73.1 106.35) (end 73.1 96.05) (width 0.15) (layer "F.Cu") (net 53) (tstamp 1000aad2-ee88-468e-a417-b002fef105e7)) - (segment (start 73.1 96.05) (end 76.45 92.7) (width 0.15) (layer "F.Cu") (net 53) (tstamp 39367e70-4fd8-4578-b7c9-16f6f15e83e4)) - (segment (start 86.25 92.7) (end 87.2875 93.7375) (width 0.15) (layer "F.Cu") (net 53) (tstamp 3e82ba62-7189-4489-87d5-60db49657901)) - (segment (start 83.8 117.05) (end 73.1 106.35) (width 0.15) (layer "F.Cu") (net 53) (tstamp 98fe4024-dd1f-4460-ab6c-997be1e2af2c)) - (segment (start 88.6 119.05) (end 88.6 117.85) (width 0.15) (layer "F.Cu") (net 53) (tstamp b0732623-9278-4ea6-a530-e8f3094216dc)) - (segment (start 87.2875 93.7375) (end 88.05 93.7375) (width 0.15) (layer "F.Cu") (net 53) (tstamp c77559f1-9310-438e-bb42-9cac3de0d116)) - (segment (start 87.8 117.05) (end 83.8 117.05) (width 0.15) (layer "F.Cu") (net 53) (tstamp d068a394-7054-45f9-ac53-014bf75c7213)) - (segment (start 76.45 92.7) (end 86.25 92.7) (width 0.15) (layer "F.Cu") (net 53) (tstamp fd52c1ac-e295-4f41-943d-ac9b91f9f1bf)) - (segment (start 88.6 117.85) (end 87.8 117.05) (width 0.15) (layer "F.Cu") (net 53) (tstamp fd955970-c990-4603-96b5-f465442bdb88)) - (segment (start 76.6 93) (end 86.1 93) (width 0.15) (layer "F.Cu") (net 54) (tstamp 11896c2c-8771-4362-a4aa-2f8901fb1bc7)) - (segment (start 89.25 118.05) (end 87.95 116.75) (width 0.15) (layer "F.Cu") (net 54) (tstamp 1b6f5437-7cc3-4fb0-a914-07fa3cdc968c)) - (segment (start 89.05 94.65) (end 89.05 93.7375) (width 0.15) (layer "F.Cu") (net 54) (tstamp 23e32b5c-4ca6-4614-a426-44d605a7d8fd)) - (segment (start 83.95 116.75) (end 73.4 106.2) (width 0.15) (layer "F.Cu") (net 54) (tstamp 3bced514-7c6a-4929-a2f4-97c9dfd34def)) - (segment (start 86.1 93) (end 87.9 94.8) (width 0.15) (layer "F.Cu") (net 54) (tstamp 4eeb2bf2-5aa0-4534-94bd-c0dab739d13b)) - (segment (start 89.25 119.05) (end 89.25 118.05) (width 0.15) (layer "F.Cu") (net 54) (tstamp 5edbc061-8621-4c13-864b-a2a2b212044e)) - (segment (start 88.9 94.8) (end 89.05 94.65) (width 0.15) (layer "F.Cu") (net 54) (tstamp 79fa940a-2b5a-472f-9a29-806c2daad595)) - (segment (start 87.9 94.8) (end 88.9 94.8) (width 0.15) (layer "F.Cu") (net 54) (tstamp 9a025d13-3f10-4480-b02b-5650c6d28ed8)) - (segment (start 87.95 116.75) (end 83.95 116.75) (width 0.15) (layer "F.Cu") (net 54) (tstamp dbc9643b-8b89-4ff3-80f6-063535be3753)) - (segment (start 73.4 106.2) (end 73.4 96.2) (width 0.15) (layer "F.Cu") (net 54) (tstamp f508a62c-3c21-46de-b321-51b8800cff11)) - (segment (start 73.4 96.2) (end 76.6 93) (width 0.15) (layer "F.Cu") (net 54) (tstamp fedb7d4b-8ca2-493c-b9a1-22e781d6d436)) - (segment (start 85.95 93.3) (end 87.75 95.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp 158af5df-cc1b-4506-bbe6-cb7505295b5b)) - (segment (start 73.7 106.05) (end 73.7 96.35) (width 0.15) (layer "F.Cu") (net 55) (tstamp 2460f6d2-1d7c-4c35-9be4-33dfefab8082)) - (segment (start 84.1 116.45) (end 73.7 106.05) (width 0.15) (layer "F.Cu") (net 55) (tstamp 2edba9d3-c333-4296-851f-3df46822dd7b)) - (segment (start 89.55 94.65) (end 89.55 93.7375) (width 0.15) (layer "F.Cu") (net 55) (tstamp 2fc6c800-22f6-42f6-a664-0677d01cefba)) - (segment (start 88.2 116.45) (end 84.1 116.45) (width 0.15) (layer "F.Cu") (net 55) (tstamp 3850e2d4-b49e-4213-938e-107014b88c2f)) - (segment (start 87.75 95.1) (end 89.1 95.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp 5338134d-a05d-4ad9-9bd6-6a3cccd5d5a9)) - (segment (start 73.7 96.35) (end 76.75 93.3) (width 0.15) (layer "F.Cu") (net 55) (tstamp 5379d081-922a-4828-9d43-7b2f2572d06c)) - (segment (start 89.9 119.05) (end 89.9 118.15) (width 0.15) (layer "F.Cu") (net 55) (tstamp 56d5d2e4-dbd9-4665-9c2f-4cd76f3e3bd2)) - (segment (start 89.9 118.15) (end 88.2 116.45) (width 0.15) (layer "F.Cu") (net 55) (tstamp 5d9cc826-4756-4365-b769-24e883398d0a)) - (segment (start 76.75 93.3) (end 85.95 93.3) (width 0.15) (layer "F.Cu") (net 55) (tstamp 97db24fe-c1f7-4f86-9060-dc632af2d885)) - (segment (start 89.1 95.1) (end 89.55 94.65) (width 0.15) (layer "F.Cu") (net 55) (tstamp f09eeb0b-a016-4287-8ed5-683b4c4b51a3)) - (segment (start 90.55 119.05) (end 90.55 118.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp 04b9ebfa-2699-4160-9e9c-0c509052f4c5)) - (segment (start 87.3 96.9) (end 86.3875 96.9) (width 0.15) (layer "F.Cu") (net 56) (tstamp 0f0d22b0-c2a7-436a-931c-fa4be6782d48)) - (segment (start 90.55 118.15) (end 88.55 116.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp 25e5e3b2-c628-460f-8b34-28a2c7950e5f)) - (segment (start 85.4 95.1) (end 85.55 94.95) (width 0.15) (layer "F.Cu") (net 56) (tstamp 272d2299-18dd-4a3e-a196-6d15ba4f51c4)) - (segment (start 74 105.9) (end 74 96.5) (width 0.15) (layer "F.Cu") (net 56) (tstamp 27c35e8b-315a-496f-813b-9dd8fc243144)) - (segment (start 84.25 116.15) (end 74 105.9) (width 0.15) (layer "F.Cu") (net 56) (tstamp 69e05192-f084-4bb3-aff6-f350c539f1a8)) - (segment (start 87.15 94.95) (end 87.45 95.25) (width 0.15) (layer "F.Cu") (net 56) (tstamp 9d29d03c-427b-4b84-bf4f-2d6f7ba5364a)) - (segment (start 83.75 95.1) (end 85.4 95.1) (width 0.15) (layer "F.Cu") (net 56) (tstamp b4796a06-5ec1-4b7e-a305-c6447cc5c644)) - (segment (start 85.55 94.95) (end 87.15 94.95) (width 0.15) (layer "F.Cu") (net 56) (tstamp c6505e92-8e90-436d-b6f5-959c6248d156)) - (segment (start 76.9 93.6) (end 82.25 93.6) (width 0.15) (layer "F.Cu") (net 56) (tstamp c71e1710-20a1-4e33-88ae-549fb47faa61)) - (segment (start 82.25 93.6) (end 83.75 95.1) (width 0.15) (layer "F.Cu") (net 56) (tstamp d432cbe6-4998-44d8-87df-626563ccc34f)) - (segment (start 87.45 95.25) (end 87.45 96.75) (width 0.15) (layer "F.Cu") (net 56) (tstamp d82759b1-57a0-4293-812e-59347193bfc5)) - (segment (start 74 96.5) (end 76.9 93.6) (width 0.15) (layer "F.Cu") (net 56) (tstamp da423bcf-af02-422a-8d3f-915d7fd393eb)) - (segment (start 88.55 116.15) (end 84.25 116.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp e8a7eef6-149e-4a80-9869-67336b262eab)) - (segment (start 87.45 96.75) (end 87.3 96.9) (width 0.15) (layer "F.Cu") (net 56) (tstamp efb5ebae-d680-4d30-add6-fa2b005bc2e3)) - (segment (start 74.3 96.65) (end 77.05 93.9) (width 0.15) (layer "F.Cu") (net 57) (tstamp 3b5cbb6d-677b-4641-88bd-7044bfd6bfae)) - (segment (start 91.2 118.15) (end 88.9 115.85) (width 0.15) (layer "F.Cu") (net 57) (tstamp 42ec88f7-d7f3-40cf-8759-f8c5477df41e)) - (segment (start 77.05 93.9) (end 82.1 93.9) (width 0.15) (layer "F.Cu") (net 57) (tstamp 58e43a80-a74c-4a45-a990-a8fe7ecac27a)) - (segment (start 82.1 93.9) (end 83.6 95.4) (width 0.15) (layer "F.Cu") (net 57) (tstamp 7ff097b5-a55d-47f6-a955-3ddc5f3d0fd8)) - (segment (start 83.6 95.4) (end 86.3875 95.4) (width 0.15) (layer "F.Cu") (net 57) (tstamp b6346b0a-bb01-4e48-89f7-5054374e0d0d)) - (segment (start 91.2 119.05) (end 91.2 118.15) (width 0.15) (layer "F.Cu") (net 57) (tstamp be40a792-1fff-4ce1-a6d8-41730132bad4)) - (segment (start 74.3 105.75) (end 74.3 96.65) (width 0.15) (layer "F.Cu") (net 57) (tstamp d75f1379-cf40-49b3-9b28-2d291ed900e9)) - (segment (start 88.9 115.85) (end 84.4 115.85) (width 0.15) (layer "F.Cu") (net 57) (tstamp de9ed2c1-1e41-42ee-81d4-f29b6bd22835)) - (segment (start 84.4 115.85) (end 74.3 105.75) (width 0.15) (layer "F.Cu") (net 57) (tstamp ee86ad28-2e8a-4b4f-a90f-b244d52f0462)) - (segment (start 83.45 95.7) (end 85.3 95.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp 26fd21bc-b3dd-4d3f-828b-c65aac383c0b)) - (segment (start 84.55 115.55) (end 74.6 105.6) (width 0.15) (layer "F.Cu") (net 58) (tstamp 367a0318-2a8d-4844-b1c5-a4b9f86a1709)) - (segment (start 81.95 94.2) (end 83.45 95.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp 5367a494-64b6-4f8c-adca-814c4b88525b)) - (segment (start 91.85 118.15) (end 89.25 115.55) (width 0.15) (layer "F.Cu") (net 58) (tstamp 54801b85-fd78-4df4-a039-798d15f1a062)) - (segment (start 85.3 95.7) (end 85.5 95.9) (width 0.15) (layer "F.Cu") (net 58) (tstamp 5cdb2718-315e-4c06-804f-561b680e75ba)) - (segment (start 77.2 94.2) (end 81.95 94.2) (width 0.15) (layer "F.Cu") (net 58) (tstamp 5dcbb3b6-1c66-4989-97d2-485c6610a0cb)) - (segment (start 91.85 119.05) (end 91.85 118.15) (width 0.15) (layer "F.Cu") (net 58) (tstamp 67ed65af-3dae-472c-882d-b64c8e40e12c)) - (segment (start 89.25 115.55) (end 84.55 115.55) (width 0.15) (layer "F.Cu") (net 58) (tstamp 6ccf7be9-8d30-475d-8941-1f167d5de7ec)) - (segment (start 85.5 95.9) (end 86.3875 95.9) (width 0.15) (layer "F.Cu") (net 58) (tstamp 93927c49-5ee1-4ac6-b668-9cc01dba8402)) - (segment (start 74.6 96.8) (end 77.2 94.2) (width 0.15) (layer "F.Cu") (net 58) (tstamp a0f6ecb7-ddaf-4b1e-9b89-cdfe3f1f4a12)) - (segment (start 74.6 105.6) (end 74.6 96.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp b75e6d15-4d7a-4aec-ab57-dc77af04a9b9)) - (segment (start 74.9 96.95) (end 77.35 94.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 139dad75-0222-4e43-bc59-5c28bfe18b85)) - (segment (start 77.35 94.5) (end 81.8 94.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 1e4121a8-838d-461e-bd87-c7b273513df5)) - (segment (start 89.6 115.25) (end 84.7 115.25) (width 0.15) (layer "F.Cu") (net 59) (tstamp 31518452-8dcd-4719-9aa4-aad4159920e6)) - (segment (start 85.1 96) (end 85.5 96.4) (width 0.15) (layer "F.Cu") (net 59) (tstamp 5bc4bec0-de82-443a-a56c-94cfb0912fcb)) - (segment (start 81.8 94.5) (end 83.3 96) (width 0.15) (layer "F.Cu") (net 59) (tstamp 61a8149a-2c46-4891-a026-d1321b4c0b29)) - (segment (start 84.7 115.25) (end 74.9 105.45) (width 0.15) (layer "F.Cu") (net 59) (tstamp 86a6b9b9-3de3-44b4-b763-98233419d240)) - (segment (start 83.3 96) (end 85.1 96) (width 0.15) (layer "F.Cu") (net 59) (tstamp 86b1650c-27f6-4516-8b60-2a6a434a183e)) - (segment (start 74.9 105.45) (end 74.9 96.95) (width 0.15) (layer "F.Cu") (net 59) (tstamp c027fa6b-8e6d-4e11-8804-979831dae8d5)) - (segment (start 92.5 119.05) (end 92.5 118.15) (width 0.15) (layer "F.Cu") (net 59) (tstamp c645efa1-5cf3-4d27-be7a-303fdbabecd8)) - (segment (start 92.5 118.15) (end 89.6 115.25) (width 0.15) (layer "F.Cu") (net 59) (tstamp d70b07f0-7794-49ac-aab9-bba7744f562e)) - (segment (start 85.5 96.4) (end 86.3875 96.4) (width 0.15) (layer "F.Cu") (net 59) (tstamp fc48681f-9397-420c-a160-4d40e8208b22)) - (segment (start 77.5 94.8) (end 75.2 97.1) (width 0.15) (layer "F.Cu") (net 60) (tstamp 111c2bf6-9865-4ea4-a9f9-1702355a872d)) - (segment (start 85.45 97.9) (end 85.35 98) (width 0.15) (layer "F.Cu") (net 60) (tstamp 15328724-62c0-4c64-8165-7ba7fa235831)) - (segment (start 84.85 114.95) (end 89.95 114.95) (width 0.15) (layer "F.Cu") (net 60) (tstamp 1fcbe337-d147-4e02-846e-7f1ec4528bd0)) - (segment (start 83 97.6) (end 83 96.15) (width 0.15) (layer "F.Cu") (net 60) (tstamp 23a49e10-e7d0-41d9-a15a-25ac614cee99)) - (segment (start 75.2 97.1) (end 75.2 105.3) (width 0.15) (layer "F.Cu") (net 60) (tstamp 34d6d782-5641-4526-b346-05de03ea8c0e)) - (segment (start 86.3875 97.9) (end 85.45 97.9) (width 0.15) (layer "F.Cu") (net 60) (tstamp 3d774050-1f75-473e-bdf5-d052504e6a25)) - (segment (start 89.95 114.95) (end 93.15 118.15) (width 0.15) (layer "F.Cu") (net 60) (tstamp 446c08d7-8986-4d18-8f0f-30d613706dfc)) - (segment (start 81.65 94.8) (end 77.5 94.8) (width 0.15) (layer "F.Cu") (net 60) (tstamp 75080b0b-6140-45af-8605-622af6de8bea)) - (segment (start 83.4 98) (end 83 97.6) (width 0.15) (layer "F.Cu") (net 60) (tstamp b8e9717b-c8d9-44dd-9eb5-d37e3b2c2fb5)) - (segment (start 75.2 105.3) (end 84.85 114.95) (width 0.15) (layer "F.Cu") (net 60) (tstamp d18dfc73-4f65-499b-85e8-0e65b03fabb2)) - (segment (start 83 96.15) (end 81.65 94.8) (width 0.15) (layer "F.Cu") (net 60) (tstamp e0130066-f120-45ab-8ca4-de7cd402c362)) - (segment (start 93.15 118.15) (end 93.15 119.05) (width 0.15) (layer "F.Cu") (net 60) (tstamp e1a929c4-c484-4255-9524-8c224d1f6e73)) - (segment (start 85.35 98) (end 83.4 98) (width 0.15) (layer "F.Cu") (net 60) (tstamp f1353e9e-7eae-44e9-872c-ec11c41e5657)) - (segment (start 88.45 98.4) (end 86.3875 98.4) (width 0.15) (layer "F.Cu") (net 61) (tstamp 15ddbae8-4879-44da-8c42-497366b84781)) - (segment (start 82.2 109.2) (end 82.4 109.4) (width 0.15) (layer "F.Cu") (net 61) (tstamp 17c7b03d-e4b9-4587-b2ce-0ee7a9d30575)) - (segment (start 82.4 109.4) (end 82.4 110.95) (width 0.15) (layer "F.Cu") (net 61) (tstamp 2009ab3a-f4bf-4c63-a0fe-9d170c762787)) - (segment (start 94.65 116.7) (end 96.7 116.7) (width 0.15) (layer "F.Cu") (net 61) (tstamp 2926e945-d9e3-4a4e-9b51-aad244dc04f4)) - (segment (start 97.8 117.8) (end 97.8 119.05) (width 0.15) (layer "F.Cu") (net 61) (tstamp 334446cd-af18-48a8-bb73-a88f4d220620)) - (segment (start 91.9 113.95) (end 94.65 116.7) (width 0.15) (layer "F.Cu") (net 61) (tstamp 432045b0-7589-468b-8659-999ac30c51fa)) - (segment (start 85.4 113.95) (end 91.9 113.95) (width 0.15) (layer "F.Cu") (net 61) (tstamp 4d290f63-844a-4f7b-8aec-c610c29b1e2f)) - (segment (start 96.7 116.7) (end 97.8 117.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp 978f5906-8b9c-49a6-9b77-25cbc28e396e)) - (segment (start 82.4 110.95) (end 85.4 113.95) (width 0.15) (layer "F.Cu") (net 61) (tstamp fdd0a3ff-3d05-4dc5-8f2c-3aa967326c19)) - (via (at 82.2 109.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 61) (tstamp 381ea437-8589-413a-8d00-c27a465a3773)) - (via (at 88.45 98.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 61) (tstamp 9098a6bf-eae0-4636-90c3-6c2f5d9401fd)) - (segment (start 88.3 98.25) (end 88.45 98.4) (width 0.15) (layer "B.Cu") (net 61) (tstamp 0673bd15-bb27-42a3-b8dd-ff34de638161)) - (segment (start 82.4 107.9) (end 82.4 106.9) (width 0.15) (layer "B.Cu") (net 61) (tstamp 2798cc00-37db-458a-b5f8-bea65ae99be7)) - (segment (start 82.4 106.9) (end 83.65 105.65) (width 0.15) (layer "B.Cu") (net 61) (tstamp 92adc2a7-705f-4e7b-90a7-1c91d9f5977d)) - (segment (start 83.65 105.65) (end 83.65 104.25) (width 0.15) (layer "B.Cu") (net 61) (tstamp 9c1b71cf-44fe-4b7f-bf7f-4966704258c9)) - (segment (start 82.2 108.1) (end 82.4 107.9) (width 0.15) (layer "B.Cu") (net 61) (tstamp a54a2d51-4b66-4d14-b33d-1444b55de06d)) - (segment (start 83.65 104.25) (end 86.1 101.8) (width 0.15) (layer "B.Cu") (net 61) (tstamp bff35e53-0373-44e5-a0ce-05175bbecd57)) - (segment (start 86.95 98.25) (end 88.3 98.25) (width 0.15) (layer "B.Cu") (net 61) (tstamp d618158f-4184-4754-aa33-65a98e706342)) - (segment (start 86.1 101.8) (end 86.1 99.1) (width 0.15) (layer "B.Cu") (net 61) (tstamp e085e529-431d-4fe9-aed9-287036ceabd6)) - (segment (start 82.2 109.2) (end 82.2 108.1) (width 0.15) (layer "B.Cu") (net 61) (tstamp f7eedf75-4d8e-4db5-a979-879f661d7288)) - (segment (start 86.1 99.1) (end 86.95 98.25) (width 0.15) (layer "B.Cu") (net 61) (tstamp f84570f0-8f86-40f4-8c85-4d0ad12444b2)) - (segment (start 94.8 116.4) (end 96.85 116.4) (width 0.15) (layer "F.Cu") (net 62) (tstamp 2b7fcec9-f103-4c1e-8056-817283941746)) - (segment (start 82.7 108.3) (end 82.7 110.8) (width 0.15) (layer "F.Cu") (net 62) (tstamp 33193802-955d-4a94-98cf-a3ed27526865)) - (segment (start 82.7 110.8) (end 85.55 113.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 37c732a1-cf44-4113-843f-85a5910958ec)) - (segment (start 88.05 98.7) (end 87.5 98.7) (width 0.15) (layer "F.Cu") (net 62) (tstamp 6f581e98-caac-4a3a-b0ed-76aab462e56a)) - (segment (start 88.5 99.15) (end 88.05 98.7) (width 0.15) (layer "F.Cu") (net 62) (tstamp 73b08644-febb-4c1e-9b8f-826cf4cd7348)) - (segment (start 85.55 113.65) (end 92.05 113.65) (width 0.15) (layer "F.Cu") (net 62) (tstamp 956f8a88-9acc-4e52-9280-d386fdb26e68)) - (segment (start 96.85 116.4) (end 98.45 118) (width 0.15) (layer "F.Cu") (net 62) (tstamp ae0ad2a8-816d-4ed9-8122-ce73b249d5bc)) - (segment (start 98.45 118) (end 98.45 119.05) (width 0.15) (layer "F.Cu") (net 62) (tstamp cd008119-17d3-4098-90f3-4ace8a150683)) - (segment (start 87.5 98.7) (end 87.3 98.9) (width 0.15) (layer "F.Cu") (net 62) (tstamp dc50af72-15b3-4fb5-bf25-289e8b8f51f6)) - (segment (start 92.05 113.65) (end 94.8 116.4) (width 0.15) (layer "F.Cu") (net 62) (tstamp e0795232-a4f5-40af-bd8a-4a69f1a39aa6)) - (segment (start 87.3 98.9) (end 86.3875 98.9) (width 0.15) (layer "F.Cu") (net 62) (tstamp e12ec3e8-0d5b-47b1-abb9-9b31a4bb451e)) - (segment (start 88.5 99.65) (end 88.5 99.15) (width 0.15) (layer "F.Cu") (net 62) (tstamp f47ba0cc-ecae-4aef-a30d-acee22ce59db)) - (via (at 82.7 108.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 62) (tstamp 7966563c-e279-4a7c-bf41-af45d42c4a74)) - (via (at 88.5 99.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 62) (tstamp d0823f78-79d3-470b-87e6-694e750395bc)) - (segment (start 83.95 104.4) (end 86.4 101.95) (width 0.15) (layer "B.Cu") (net 62) (tstamp 168a0226-3f44-46ec-a72a-15290137bd66)) - (segment (start 87.1 98.55) (end 87.9 98.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp 18406746-0f9d-4d88-9ef2-8423e08576f0)) - (segment (start 87.9 98.55) (end 88.5 99.15) (width 0.15) (layer "B.Cu") (net 62) (tstamp 20ac7a70-5cb9-4418-b061-8e4ee8d36b79)) - (segment (start 82.7 107.05) (end 83.95 105.8) (width 0.15) (layer "B.Cu") (net 62) (tstamp 318b1c02-8f98-40e0-8672-6e5f766110ad)) - (segment (start 86.4 101.95) (end 86.4 99.25) (width 0.15) (layer "B.Cu") (net 62) (tstamp 54562a16-6662-4d1b-9b50-45ed0ae36481)) - (segment (start 83.95 105.8) (end 83.95 104.4) (width 0.15) (layer "B.Cu") (net 62) (tstamp a1bbbcb7-3394-4d47-a7e2-c5aca5915b62)) - (segment (start 88.5 99.15) (end 88.5 99.65) (width 0.15) (layer "B.Cu") (net 62) (tstamp b2d11b31-1b82-4d0c-a24f-3ecd947114ec)) - (segment (start 82.7 108.3) (end 82.7 107.05) (width 0.15) (layer "B.Cu") (net 62) (tstamp ccefc75b-fd16-4e82-963f-281710a98051)) - (segment (start 86.4 99.25) (end 87.1 98.55) (width 0.15) (layer "B.Cu") (net 62) (tstamp dfdaa22a-0489-48da-8a56-737e4c4366e1)) - (segment (start 83 109.4) (end 83 110.675) (width 0.15) (layer "F.Cu") (net 63) (tstamp 363809f4-b895-434e-8ee8-f8b8fb35d4fe)) - (segment (start 92.2 113.35) (end 94.95 116.1) (width 0.15) (layer "F.Cu") (net 63) (tstamp 49956dd5-35c0-4b9f-8b2a-6f2b8918bd8c)) - (segment (start 86.3875 101.9) (end 88.45 101.9) (width 0.15) (layer "F.Cu") (net 63) (tstamp 570b0686-0fc3-46c1-be51-39569bba54ce)) - (segment (start 99.1 118.15) (end 99.1 119.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 791a5e22-eefd-4c9f-8145-64da9c193893)) - (segment (start 83.2 109.2) (end 83 109.4) (width 0.15) (layer "F.Cu") (net 63) (tstamp 7d6a83ee-b39d-480d-9568-6e909628ec27)) - (segment (start 83 110.675) (end 85.675 113.35) (width 0.15) (layer "F.Cu") (net 63) (tstamp a5129eb7-d259-4824-8f60-442feba02c79)) - (segment (start 97.05 116.1) (end 99.1 118.15) (width 0.15) (layer "F.Cu") (net 63) (tstamp ce824579-a256-4757-8547-32bf1db63637)) - (segment (start 85.675 113.35) (end 92.2 113.35) (width 0.15) (layer "F.Cu") (net 63) (tstamp e567c545-204a-4e4a-bfa9-ae48e2366f9a)) - (segment (start 94.95 116.1) (end 97.05 116.1) (width 0.15) (layer "F.Cu") (net 63) (tstamp f66b82ab-c203-4cb4-84ea-abcb2cd50a9c)) - (via (at 83.2 109.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 63) (tstamp 7cc91655-208f-4c40-986f-00fd054b4b29)) - (via (at 88.45 101.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 63) (tstamp c61a2d85-d3d7-4faf-9bef-d07618588ca0)) - (segment (start 83.2 108.1) (end 83 107.9) (width 0.15) (layer "B.Cu") (net 63) (tstamp 06691abe-4a61-4d84-ab64-63ace23bf8b5)) - (segment (start 88.05 102.3) (end 88.45 101.9) (width 0.15) (layer "B.Cu") (net 63) (tstamp 21491966-3c4c-414a-8ddc-0c7176ddff87)) - (segment (start 83 107.2) (end 84.25 105.95) (width 0.15) (layer "B.Cu") (net 63) (tstamp 3e6949fd-a9d6-4530-9145-d07c13ad2635)) - (segment (start 86.5 102.3) (end 88.05 102.3) (width 0.15) (layer "B.Cu") (net 63) (tstamp 4159a1b3-645b-4fcf-a72d-9242b2067a63)) - (segment (start 83 107.9) (end 83 107.2) (width 0.15) (layer "B.Cu") (net 63) (tstamp be78c320-66c9-47db-84c6-e07682b2c3ee)) - (segment (start 84.25 105.95) (end 84.25 104.55) (width 0.15) (layer "B.Cu") (net 63) (tstamp c5ed04ff-a810-4989-b637-8cc763ae2ab6)) - (segment (start 84.25 104.55) (end 86.5 102.3) (width 0.15) (layer "B.Cu") (net 63) (tstamp d7b44d07-2cb6-4c10-bad9-adf2185ee6fd)) - (segment (start 83.2 109.2) (end 83.2 108.1) (width 0.15) (layer "B.Cu") (net 63) (tstamp e41ebddf-cb62-48cb-abb2-1cc22a5eecdd)) - (segment (start 95.05 92.85) (end 94.05 91.85) (width 0.15) (layer "F.Cu") (net 64) (tstamp 0e39e32b-7468-4f6e-a6f0-b54d61a16933)) - (segment (start 70.7 94.85) (end 70.7 107.55) (width 0.15) (layer "F.Cu") (net 64) (tstamp 1b73c962-e471-4ec3-ab97-9114c97a5609)) - (segment (start 70.7 107.55) (end 79.4 116.25) (width 0.15) (layer "F.Cu") (net 64) (tstamp 24e41c56-597e-4023-adfa-f1d5bfd2a519)) - (segment (start 94.05 89.65) (end 92.5 88.1) (width 0.15) (layer "F.Cu") (net 64) (tstamp 40b12084-e9ea-4a47-a64f-d44ca516c9e8)) - (segment (start 79.4 116.25) (end 79.4 119.05) (width 0.15) (layer "F.Cu") (net 64) (tstamp 5632ff9d-82e3-45b5-a86b-5a4683beef51)) - (segment (start 94.05 91.85) (end 94.05 89.65) (width 0.15) (layer "F.Cu") (net 64) (tstamp 564c737a-c22b-400c-8665-990100e2bad2)) - (segment (start 88.575 88.1) (end 86.375 90.3) (width 0.15) (layer "F.Cu") (net 64) (tstamp 5c080aa7-74cc-491d-a4fa-a35e9d41b2a9)) - (segment (start 92.5 88.1) (end 88.575 88.1) (width 0.15) (layer "F.Cu") (net 64) (tstamp 79094860-9de1-4089-9ad1-fb708c7e674c)) - (segment (start 95.05 93.7375) (end 95.05 92.85) (width 0.15) (layer "F.Cu") (net 64) (tstamp c83a95be-f351-410b-916d-b5948688be99)) - (segment (start 75.25 90.3) (end 70.7 94.85) (width 0.15) (layer "F.Cu") (net 64) (tstamp e5ef96dd-e14b-40bb-acac-746f5d3aee37)) - (segment (start 86.375 90.3) (end 75.25 90.3) (width 0.15) (layer "F.Cu") (net 64) (tstamp fb7d0d2c-09e5-46e0-8091-1901472a84d1)) - (segment (start 71 95) (end 71 107.4) (width 0.15) (layer "F.Cu") (net 65) (tstamp 486e42a8-ccd7-4296-b46d-c1c0b1981be4)) - (segment (start 75.4 90.6) (end 71 95) (width 0.15) (layer "F.Cu") (net 65) (tstamp 49b6beb3-5d64-4af2-830b-e99a8a5ac007)) - (segment (start 88.7 88.4) (end 86.5 90.6) (width 0.15) (layer "F.Cu") (net 65) (tstamp 4b8ea754-7305-433d-91ba-90a4340e15a7)) - (segment (start 80.05 116.45) (end 80.05 119.05) (width 0.15) (layer "F.Cu") (net 65) (tstamp 565082b3-06ce-46fa-857c-fecdf53c89f1)) - (segment (start 93.75 89.8) (end 92.35 88.4) (width 0.15) (layer "F.Cu") (net 65) (tstamp 78e707fb-3e9a-4f67-9527-ee34cdefd91a)) - (segment (start 71 107.4) (end 80.05 116.45) (width 0.15) (layer "F.Cu") (net 65) (tstamp 7db41bda-359c-420f-bdf5-221e6a8efd3d)) - (segment (start 86.5 90.6) (end 75.4 90.6) (width 0.15) (layer "F.Cu") (net 65) (tstamp 7fd7cb09-496d-4f85-a95b-f531a0ea6ec8)) - (segment (start 94.55 93.7375) (end 94.55 92.85) (width 0.15) (layer "F.Cu") (net 65) (tstamp 99187cb6-681b-4886-9fc6-864207b7616f)) - (segment (start 92.35 88.4) (end 88.7 88.4) (width 0.15) (layer "F.Cu") (net 65) (tstamp b5c8a737-214c-4638-bb5c-b013b02f97ab)) - (segment (start 93.75 92.05) (end 93.75 89.8) (width 0.15) (layer "F.Cu") (net 65) (tstamp b67db6fb-e010-4837-9b46-419c0d446aba)) - (segment (start 94.55 92.85) (end 93.75 92.05) (width 0.15) (layer "F.Cu") (net 65) (tstamp bb857b3f-cfd2-48ea-8ae4-988435afb17f)) - (segment (start 88.85 88.7) (end 86.65 90.9) (width 0.15) (layer "F.Cu") (net 66) (tstamp 0739a502-7fa1-4e85-8cae-604fd21c9156)) - (segment (start 94.05 93.7375) (end 94.05 92.85) (width 0.15) (layer "F.Cu") (net 66) (tstamp 0ece2b87-02c1-4250-9204-efdee0b5a9d0)) - (segment (start 80.7 116.65) (end 80.7 119.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp 34f20938-82be-4faa-a3bd-ea4ff60955a6)) - (segment (start 75.55 90.9) (end 71.3 95.15) (width 0.15) (layer "F.Cu") (net 66) (tstamp 72635b6d-f5d1-44fe-86b5-9bebc2da5d46)) - (segment (start 93.45 89.95) (end 92.2 88.7) (width 0.15) (layer "F.Cu") (net 66) (tstamp 7de04273-7eda-4419-ad6c-938bfee9f2d2)) - (segment (start 92.2 88.7) (end 88.85 88.7) (width 0.15) (layer "F.Cu") (net 66) (tstamp baa2bb27-3ff4-481e-b331-7cfee71362fe)) - (segment (start 94.05 92.85) (end 93.45 92.25) (width 0.15) (layer "F.Cu") (net 66) (tstamp c435621a-1e7b-4aea-a701-d5d27a54bd0d)) - (segment (start 71.3 107.25) (end 80.7 116.65) (width 0.15) (layer "F.Cu") (net 66) (tstamp dc463df2-2692-4a08-9d95-1a693251e4f0)) - (segment (start 71.3 95.15) (end 71.3 107.25) (width 0.15) (layer "F.Cu") (net 66) (tstamp e60f5c1d-c97e-4327-8023-b78c1d20bdfb)) - (segment (start 86.65 90.9) (end 75.55 90.9) (width 0.15) (layer "F.Cu") (net 66) (tstamp e93f1ff9-82cc-426b-b31b-274f08cc4327)) - (segment (start 93.45 92.25) (end 93.45 89.95) (width 0.15) (layer "F.Cu") (net 66) (tstamp f42c2843-70f0-463a-bc38-eee11dd73b5f)) - (segment (start 93.55 92.85) (end 93.15 92.45) (width 0.15) (layer "F.Cu") (net 67) (tstamp 1aa01b33-85ec-45ea-bfaa-b88738576f2f)) - (segment (start 86.8 91.2) (end 75.7 91.2) (width 0.15) (layer "F.Cu") (net 67) (tstamp 311a70eb-5859-4da6-8fe4-344b06368e0f)) - (segment (start 81.35 116.85) (end 81.35 119.05) (width 0.15) (layer "F.Cu") (net 67) (tstamp 3fcf515a-b2e5-4769-a263-706606d34687)) - (segment (start 93.15 92.45) (end 93.15 90.1) (width 0.15) (layer "F.Cu") (net 67) (tstamp 4362e6ac-6290-4071-922f-911c69fdd561)) - (segment (start 75.7 91.2) (end 71.6 95.3) (width 0.15) (layer "F.Cu") (net 67) (tstamp 437daa66-7365-482e-804c-8098c6a0905c)) - (segment (start 93.55 93.7375) (end 93.55 92.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 4d759aa0-1145-43ae-a507-a45f6fc89e2a)) - (segment (start 71.6 107.1) (end 81.35 116.85) (width 0.15) (layer "F.Cu") (net 67) (tstamp 70791199-43db-4ae1-bf3d-59e94aad8d59)) - (segment (start 93.15 90.1) (end 92.05 89) (width 0.15) (layer "F.Cu") (net 67) (tstamp 971c1271-0f6f-46b9-8494-7107930ab4af)) - (segment (start 92.05 89) (end 89 89) (width 0.15) (layer "F.Cu") (net 67) (tstamp cd74d053-e62a-45a3-9f24-631862f85655)) - (segment (start 89 89) (end 86.8 91.2) (width 0.15) (layer "F.Cu") (net 67) (tstamp cdb2878b-f702-4635-9e4c-1cc8cfe5a84c)) - (segment (start 71.6 95.3) (end 71.6 107.1) (width 0.15) (layer "F.Cu") (net 67) (tstamp e26f0b22-8514-418f-977b-cb0a9761b0f5)) - (segment (start 86.95 91.5) (end 75.85 91.5) (width 0.15) (layer "F.Cu") (net 68) (tstamp 0afc6592-c2db-4caa-a22b-f13f9e7e1c40)) - (segment (start 91.9 89.3) (end 89.15 89.3) (width 0.15) (layer "F.Cu") (net 68) (tstamp 3d38eca7-b037-4400-970c-46db57e3c3cb)) - (segment (start 82 117.05) (end 82 119.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 3f6533ba-c4f9-46fc-b56b-e4570f6ba8d8)) - (segment (start 71.9 106.95) (end 82 117.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 4f2de74c-a0a3-419c-86d3-f1056d120362)) - (segment (start 75.85 91.5) (end 71.9 95.45) (width 0.15) (layer "F.Cu") (net 68) (tstamp 62b6b2b3-6ade-4e95-8062-936451a2172f)) - (segment (start 92.85 92.65) (end 92.85 90.25) (width 0.15) (layer "F.Cu") (net 68) (tstamp 8c497335-9f19-4d8f-81b9-d3f6e5560190)) - (segment (start 71.9 95.45) (end 71.9 106.95) (width 0.15) (layer "F.Cu") (net 68) (tstamp 9c8b409b-0d1b-49e5-8fed-acd83e0e8b3e)) - (segment (start 92.85 90.25) (end 91.9 89.3) (width 0.15) (layer "F.Cu") (net 68) (tstamp ac5a5c45-797a-4bbe-bfd5-5ce5a8aa3463)) - (segment (start 93.05 92.85) (end 92.85 92.65) (width 0.15) (layer "F.Cu") (net 68) (tstamp ba80136a-34d0-4a97-a9c9-c43ab3f7be6e)) - (segment (start 93.05 93.7375) (end 93.05 92.85) (width 0.15) (layer "F.Cu") (net 68) (tstamp d0d2152d-05bb-45b9-922c-65dc46f5a5df)) - (segment (start 89.15 89.3) (end 86.95 91.5) (width 0.15) (layer "F.Cu") (net 68) (tstamp f6662114-e94f-4466-8b01-5f4d76363a86)) - (segment (start 92.55 93.7375) (end 92.55 90.4) (width 0.15) (layer "F.Cu") (net 69) (tstamp 0e0a4b84-f32d-4d0d-bb01-e1a33da32acb)) - (segment (start 89.3 89.6) (end 87.1 91.8) (width 0.15) (layer "F.Cu") (net 69) (tstamp 1a657991-5c9c-41a4-9f2e-22f0c7450b3a)) - (segment (start 72.2 95.6) (end 72.2 106.8) (width 0.15) (layer "F.Cu") (net 69) (tstamp 2f1df4d4-ea41-4805-990c-fc64e9beb3f8)) - (segment (start 91.75 89.6) (end 89.3 89.6) (width 0.15) (layer "F.Cu") (net 69) (tstamp 4445e598-1c38-4291-936b-eafc95d0cf78)) - (segment (start 92.55 90.4) (end 91.75 89.6) (width 0.15) (layer "F.Cu") (net 69) (tstamp 6d4529c3-e736-41f4-9e85-842fded7472a)) - (segment (start 87.1 91.8) (end 76 91.8) (width 0.15) (layer "F.Cu") (net 69) (tstamp 8ae8bcca-6404-4249-9a1b-d6efa82cff52)) - (segment (start 82.65 117.25) (end 82.65 119.05) (width 0.15) (layer "F.Cu") (net 69) (tstamp 93b580d1-c2df-48c4-9d06-465ca9d3eebc)) - (segment (start 72.2 106.8) (end 82.65 117.25) (width 0.15) (layer "F.Cu") (net 69) (tstamp 95e16380-a797-4ef6-bc92-67bfd44afe75)) - (segment (start 76 91.8) (end 72.2 95.6) (width 0.15) (layer "F.Cu") (net 69) (tstamp d628bd18-95ed-41eb-b4b4-f043ded47592)) - (segment (start 72.5 106.65) (end 83.3 117.45) (width 0.15) (layer "F.Cu") (net 70) (tstamp 1c55eaff-dfb6-4adc-bdb2-1121eb73358d)) - (segment (start 91.6 89.9) (end 89.45 89.9) (width 0.15) (layer "F.Cu") (net 70) (tstamp 3491c78b-620e-46ca-a1c1-053b49774cc7)) - (segment (start 92.25 92.6) (end 92.25 90.55) (width 0.15) (layer "F.Cu") (net 70) (tstamp 4ed19592-a5c4-4f6f-8e35-67fef4315ee4)) - (segment (start 92.25 90.55) (end 91.6 89.9) (width 0.15) (layer "F.Cu") (net 70) (tstamp 5baacfaf-4f9b-484a-b0ad-900c2c96f940)) - (segment (start 76.15 92.1) (end 72.5 95.75) (width 0.15) (layer "F.Cu") (net 70) (tstamp 78502c21-b204-41a4-a74c-663a74be7530)) - (segment (start 72.5 95.75) (end 72.5 106.65) (width 0.15) (layer "F.Cu") (net 70) (tstamp b2561a4b-5655-4b54-95c4-147a5b85fc10)) - (segment (start 89.45 89.9) (end 87.25 92.1) (width 0.15) (layer "F.Cu") (net 70) (tstamp b5a26653-4e77-4514-a8f1-63ca7c4f9ab9)) - (segment (start 92.05 92.8) (end 92.25 92.6) (width 0.15) (layer "F.Cu") (net 70) (tstamp d789eb5c-7750-4e88-bd51-088f1d8d4899)) - (segment (start 92.05 93.7375) (end 92.05 92.8) (width 0.15) (layer "F.Cu") (net 70) (tstamp db3e62ed-d2c4-4262-9844-874282d066c8)) - (segment (start 87.25 92.1) (end 76.15 92.1) (width 0.15) (layer "F.Cu") (net 70) (tstamp dcbc5a2e-2561-4663-8736-09acc9fe0209)) - (segment (start 83.3 117.45) (end 83.3 119.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp fe9073de-b4ae-429c-945b-a199d6313a17)) - (segment (start 88.55 93.7375) (end 88.55 92.85) (width 0.15) (layer "F.Cu") (net 71) (tstamp 06d56cea-efec-4ee2-a30e-da196d83ccb4)) - (segment (start 72.8 106.5) (end 83.95 117.65) (width 0.15) (layer "F.Cu") (net 71) (tstamp 4a151dd5-28d8-42af-b70d-d52cf427540e)) - (segment (start 76.3 92.4) (end 72.8 95.9) (width 0.15) (layer "F.Cu") (net 71) (tstamp 4f4277d9-4ff1-4fe4-9af0-84cedee4b2b6)) - (segment (start 83.95 117.65) (end 83.95 119.05) (width 0.15) (layer "F.Cu") (net 71) (tstamp 7c938fcf-5266-4f01-b9d8-797ff7c61f4c)) - (segment (start 72.8 95.9) (end 72.8 106.5) (width 0.15) (layer "F.Cu") (net 71) (tstamp 92563de1-61c4-4e3f-8603-96474790934f)) - (segment (start 88.1 92.4) (end 76.3 92.4) (width 0.15) (layer "F.Cu") (net 71) (tstamp 97816a30-8562-4b40-bfd6-82faaadf14b2)) - (segment (start 88.55 92.85) (end 88.1 92.4) (width 0.15) (layer "F.Cu") (net 71) (tstamp dc4bf440-2891-440b-98cc-4ec7ceadee72)) - (segment (start 103.75 103.55) (end 104.75 103.55) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1812)) - (segment (start 103.75 101.65) (end 103.75 102.6) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1818)) - (segment (start 103.75 103.55) (end 103.75 102.6) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1824)) - (segment (start 101.7125 103.4) (end 100.65 103.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1836)) - (segment (start 100.65 103.4) (end 100.55 103.5) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d184e)) - (segment (start 98.05 94.8) (end 97.95 94.9) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d185a)) - (segment (start 101.7125 101.4) (end 100.55 101.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1860)) - (segment (start 98.05 93.7375) (end 98.05 94.8) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1872)) - (segment (start 91.05 93.7375) (end 91.05 92.75) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d198c)) - (segment (start 85.25 101.5) (end 85.25 101.4) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d198f)) - (segment (start 98.05 92.75) (end 97.9 92.6) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1995)) - (segment (start 84.35 98.75) (end 85.1 98.75) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d199e)) - (segment (start 97.2 110.2) (end 97.4 110.2) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19a4)) - (segment (start 95 119.05) (end 95 118.1) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19b0)) - (segment (start 90.55 110.05) (end 90.4 110.2) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19b6)) - (segment (start 101.7125 103.4) (end 102.7 103.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19b9)) - (segment (start 102.7 103.4) (end 102.85 103.55) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19bf)) - (segment (start 97.05 110.35) (end 97.2 110.2) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19cb)) - (segment (start 103 101.65) (end 102.85 101.5) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19ce)) - (segment (start 101.7125 101.4) (end 102.85 101.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19d7)) - (segment (start 98.05 93.7375) (end 98.05 92.75) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19da)) - (segment (start 90.9 91.7) (end 90.9 92.6) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19e6)) - (segment (start 97.55 109.0625) (end 97.55 110.05) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19e9)) - (segment (start 97.55 110.05) (end 97.4 110.2) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19f5)) - (segment (start 90.55 109.0625) (end 90.55 108) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19f8)) - (segment (start 86.3875 99.4) (end 85.3 99.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19fb)) - (segment (start 97.9 91.7) (end 97.9 92.6) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19fe)) - (segment (start 85.3 99.4) (end 85.25 99.35) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a07)) - (segment (start 86.3875 101.4) (end 85.25 101.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a10)) - (segment (start 90.05 110.35) (end 90.2 110.2) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a28)) - (segment (start 85.25 98.9) (end 85.25 99.35) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a2b)) - (segment (start 90.55 108) (end 90.45 107.9) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a37)) - (segment (start 103.75 101.65) (end 103 101.65) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a40)) - (segment (start 90.2 110.2) (end 90.4 110.2) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a43)) - (segment (start 85.1 101.65) (end 85.25 101.5) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a52)) - (segment (start 102.85 101.5) (end 102.85 101.4) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a5e)) - (segment (start 91.05 92.75) (end 90.9 92.6) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a73)) - (segment (start 102.85 103.55) (end 103.75 103.55) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a76)) - (segment (start 97.05 111.1) (end 97.05 110.35) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a79)) - (segment (start 84.35 101.65) (end 85.1 101.65) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a82)) - (segment (start 90.55 109.0625) (end 90.55 110.05) (width 0.3) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a9a)) - (segment (start 103.75 101.65) (end 104.75 101.65) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a9d)) - (segment (start 90.05 111.1) (end 90.05 110.35) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1abe)) - (segment (start 85.1 98.75) (end 85.25 98.9) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1ac7)) - (segment (start 105.7 124.95) (end 105.7 123.8) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1ad9)) - (segment (start 105.7 119.05) (end 105.075 119.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b33)) - (segment (start 104.2 119.05) (end 104.2 118.1) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b51)) - (segment (start 78.1 119.05) (end 77.475 119.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b6f)) - (segment (start 94.975 119.05) (end 95.875 119.05) (width 0.6) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b72)) - (segment (start 85.8 119.05) (end 85.8 118.1) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b78)) - (segment (start 87.3 119.05) (end 86.675 119.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1ba5)) - (segment (start 76.575 119.05) (end 77.475 119.05) (width 0.6) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1bd2)) - (segment (start 96.5 119.05) (end 95.875 119.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1bdb)) - (segment (start 85.775 119.05) (end 86.675 119.05) (width 0.6) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1be7)) - (segment (start 124.4 92.65) (end 124.4 91.95) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2552)) - (segment (start 110.85 109.45) (end 110.85 110.45) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25db)) - (segment (start 124.4 103.05) (end 123.25 103.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25df)) - (segment (start 125.55 111.85) (end 126.45 111.85) (width 0.6) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25e4)) - (segment (start 124.4 107.05) (end 123.25 107.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25ec)) - (segment (start 124.4 92.65) (end 125.55 92.65) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25ed)) - (segment (start 126.45 103.05) (end 125.55 103.05) (width 0.508) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25f0)) - (segment (start 110.85 109.45) (end 111.75 109.45) (width 0.508) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25f1)) - (segment (start 112.9 104.65) (end 114.05 104.65) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25f3)) - (segment (start 124.4 111.85) (end 123.25 111.85) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25f7)) - (segment (start 112.9 109.45) (end 114.05 109.45) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25fa)) - (segment (start 112.9 109.45) (end 111.75 109.45) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25ff)) - (segment (start 124.4 103.05) (end 125.55 103.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2603)) - (segment (start 112.9 104.65) (end 111.75 104.65) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2606)) - (segment (start 124.4 107.05) (end 125.55 107.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2608)) - (segment (start 124.4 113.45) (end 123.25 113.45) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2609)) - (segment (start 124.4 92.65) (end 123.25 92.65) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b260c)) - (segment (start 126.45 107.05) (end 125.55 107.05) (width 0.508) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b260f)) - (segment (start 124.4 111.85) (end 125.55 111.85) (width 0.45) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2610)) - (segment (start 111.75 104.65) (end 110.85 104.65) (width 0.508) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2613)) - (segment (start 104.05 112.25) (end 104.05 111.55) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006059a175)) - (segment (start 104.05 111.55) (end 104.2 111.4) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006059a178)) - (segment (start 104.05 112.25) (end 104.05 112.95) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006059a17e)) - (segment (start 104.05 112.95) (end 104.2 113.1) (width 0.5) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006059a181)) - (segment (start 130.35 125.05) (end 130.35 126.1) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-0000606ec3f5)) - (segment (start 131.35 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-0000606ec3fb)) - (segment (start 130.35 125.05) (end 131.35 125.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-0000606ec3fe)) - (segment (start 130.35 125.05) (end 129.35 125.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006071afe9)) - (segment (start 129.35 125.05) (end 129.15 124.85) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006071afeb)) - (segment (start 128.95 125.05) (end 129.15 124.85) (width 0.8) (layer "F.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006071afec)) - (segment (start 97.05 111.1) (end 97.05 111.85) (width 0.6) (layer "F.Cu") (net 73) (tstamp 013a1c32-db17-4fdf-9087-65b8bebaf5c1)) - (segment (start 87.45 99.4) (end 86.3875 99.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 019b9904-3bfd-4fd4-9d41-96b38c16849e)) - (segment (start 85.05 119.05) (end 84.95 119.15) (width 0.508) (layer "F.Cu") (net 73) (tstamp 0454b0ed-4e94-46b1-9058-7210ddee62e4)) - (segment (start 135.2 125.15) (end 135.2 126.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp 0470f6f8-3373-4410-9688-3749de7c241a)) - (segment (start 114.4 91.5) (end 114.25 91.65) (width 0.6) (layer "F.Cu") (net 73) (tstamp 0504c604-5989-41d4-98b3-73baf39661a4)) - (segment (start 126.45 103.05) (end 126.45 102.1) (width 0.8) (layer "F.Cu") (net 73) (tstamp 066893ee-f587-4ad1-a5e3-e3171a7f7252)) - (segment (start 83.65 98.75) (end 84.35 98.75) (width 0.5) (layer "F.Cu") (net 73) (tstamp 0fc92961-6e51-49df-b0eb-dd1791483003)) - (segment (start 124.4 113.45) (end 124.4 114.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 191379e4-86ba-4bf3-8d2d-4cd5385d32c3)) - (segment (start 124.4 115.35) (end 124.4 116.1) (width 0.6) (layer "F.Cu") (net 73) (tstamp 1e362064-1c5c-469c-8576-28390879d190)) - (segment (start 105.7 119.05) (end 105.7 117.95) (width 0.45) (layer "F.Cu") (net 73) (tstamp 20cc5dd3-f607-44c7-ac7e-e7aebd9790dd)) - (segment (start 69 99.9) (end 69 99.1) (width 0.5) (layer "F.Cu") (net 73) (tstamp 22fad860-3ccd-4e16-bb76-65feba77694a)) - (segment (start 126.45 92.65) (end 125.55 92.65) (width 0.5) (layer "F.Cu") (net 73) (tstamp 2330a65f-a667-4564-b2ea-fd267508069a)) - (segment (start 83.65 101.65) (end 83.5 101.5) (width 0.5) (layer "F.Cu") (net 73) (tstamp 23d269d6-d694-442a-bf5d-98bf3544fc31)) - (segment (start 96.5 119.05) (end 96.5 117.95) (width 0.45) (layer "F.Cu") (net 73) (tstamp 26aff78d-1dc4-4822-8817-49ee707b8453)) - (segment (start 65.151 120.015) (end 65.151 119.888) (width 0.5) (layer "F.Cu") (net 73) (tstamp 2a9ff3d1-92b0-4583-8230-9357a432a3ac)) - (segment (start 104.95 103.35) (end 104.75 103.55) (width 0.6) (layer "F.Cu") (net 73) (tstamp 2c8a20bd-e92e-46ff-b900-260ee00ab04b)) - (segment (start 114.4 116.1) (end 114.25 116.25) (width 0.6) (layer "F.Cu") (net 73) (tstamp 2d0a1cd4-a5be-46cc-a28f-17278e9b94e9)) - (segment (start 126.45 111.85) (end 126.45 111) (width 0.6) (layer "F.Cu") (net 73) (tstamp 2d6a4f0e-aa68-4d44-9390-8ea258fa2bc4)) - (segment (start 68.6 96.7) (end 68.05 96.7) (width 0.3) (layer "F.Cu") (net 73) (tstamp 2e2c4431-7ad4-4101-b72a-e48147e24a71)) - (segment (start 126.45 111.85) (end 127.2 111.85) (width 0.6) (layer "F.Cu") (net 73) (tstamp 31ae1ddb-55f8-4875-b94d-87a4d0c86414)) - (segment (start 116.3 122.7) (end 116.85 122.7) (width 0.4) (layer "F.Cu") (net 73) (tstamp 31d127b8-e8f8-47b6-acc4-5f7197d756d8)) - (segment (start 106 103.35) (end 104.95 103.35) (width 0.6) (layer "F.Cu") (net 73) (tstamp 3223d5c1-12ae-4383-9a3d-a77618f00732)) - (segment (start 109.95 104.65) (end 110.85 104.65) (width 0.508) (layer "F.Cu") (net 73) (tstamp 3450ae82-42ae-493f-904b-d8b1a09c107a)) - (segment (start 83.5 98.6) (end 83.65 98.75) (width 0.5) (layer "F.Cu") (net 73) (tstamp 345b5742-5f5b-4133-bd63-f955ca19a62c)) - (segment (start 104.75 101.65) (end 106 101.65) (width 0.8) (layer "F.Cu") (net 73) (tstamp 36d7002b-bf2e-428b-a91a-b4ed755cac59)) - (segment (start 64.135 121.666) (end 64.135 121.031) (width 0.5) (layer "F.Cu") (net 73) (tstamp 37b282c6-a944-47fd-a51e-f59b7e5f431e)) - (segment (start 94.975 119.05) (end 94.25 119.05) (width 0.508) (layer "F.Cu") (net 73) (tstamp 37e843e9-2538-4a91-9a9b-f536fa0a9e84)) - (segment (start 135.2 125.15) (end 134.25 125.15) (width 0.8) (layer "F.Cu") (net 73) (tstamp 395c69d5-4334-48e5-8637-2379eafb3eeb)) - (segment (start 90.9 90.95) (end 90.75 90.8) (width 0.6) (layer "F.Cu") (net 73) (tstamp 39f65f62-d48a-4aa3-a9a3-c17d058105fe)) - (segment (start 127.2 107.05) (end 127.45 107.3) (width 0.8) (layer "F.Cu") (net 73) (tstamp 3c847883-a462-4ea9-9466-d1dd1edc5a97)) - (segment (start 127.2 92.65) (end 127.45 92.9) (width 0.8) (layer "F.Cu") (net 73) (tstamp 43cc948b-7aa9-4530-a448-911bd0e35fae)) - (segment (start 126.45 92.65) (end 127.2 92.65) (width 0.8) (layer "F.Cu") (net 73) (tstamp 449c1c23-1f0d-4ed5-b566-2c18ec95c2a3)) - (segment (start 117.8 122.85) (end 118.5 122.85) (width 0.5) (layer "F.Cu") (net 73) (tstamp 44f6de44-c3d8-405f-ac4c-196fb6e5deee)) - (segment (start 124.4 115.35) (end 124.4 114.05) (width 0.5) (layer "F.Cu") (net 73) (tstamp 463e71c6-e035-4ed0-9a41-c3c9633f2c78)) - (segment (start 118.5 122.85) (end 118.65 122.7) (width 0.5) (layer "F.Cu") (net 73) (tstamp 48d919bf-1f23-4426-bfff-25ceb2530f1f)) - (segment (start 84.35 102.35) (end 84.25 102.45) (width 0.5) (layer "F.Cu") (net 73) (tstamp 4969850b-ae26-4ccb-823e-8fd7d1c082fe)) - (segment (start 127.2 111.85) (end 127.35 112) (width 0.6) (layer "F.Cu") (net 73) (tstamp 4a8c099c-07ef-47db-b188-6f8b7978d1d4)) - (segment (start 90.05 111.85) (end 90.2 112) (width 0.6) (layer "F.Cu") (net 73) (tstamp 539ff21e-64a5-4d0a-a3c6-87ad104f3729)) - (segment (start 67.9 96.4) (end 67.9 96.45) (width 0.3) (layer "F.Cu") (net 73) (tstamp 5600b446-cc57-4d99-a6dd-3cb2f076483c)) - (segment (start 105.95 98) (end 106.95 98) (width 0.5) (layer "F.Cu") (net 73) (tstamp 5696a53f-2631-4279-8564-21adeaab997c)) - (segment (start 134.25 125.15) (end 134 124.9) (width 0.8) (layer "F.Cu") (net 73) (tstamp 584c482d-1251-462e-825c-3a0578bafc6d)) - (segment (start 87.3 120.15) (end 87.3 119.05) (width 0.45) (layer "F.Cu") (net 73) (tstamp 58b75830-9e39-45c9-8547-367ebee8a907)) - (segment (start 124.4 116.1) (end 124.25 116.25) (width 0.6) (layer "F.Cu") (net 73) (tstamp 5a9c0dbe-9c68-4f1b-bb8c-18e35b87c9b2)) - (segment (start 104.05 112.25) (end 103.25 112.25) (width 0.5) (layer "F.Cu") (net 73) (tstamp 5c98cb3c-93cf-496b-a0fd-51386a56d77e)) - (segment (start 87.3 119.05) (end 87.3 117.95) (width 0.45) (layer "F.Cu") (net 73) (tstamp 5cfe5589-d53d-4797-82e8-c31b86c5fbb8)) - (segment (start 64.135 121.031) (end 65.151 120.015) (width 0.5) (layer "F.Cu") (net 73) (tstamp 5f883bdf-20bc-42c6-8194-9d44dfe04af6)) - (segment (start 91.05 94.8) (end 91.05 93.7375) (width 0.3) (layer "F.Cu") (net 73) (tstamp 5f88a249-af85-4825-b9e1-a3ec67ffc637)) - (segment (start 69.7 99.9) (end 69.85 100.05) (width 0.5) (layer "F.Cu") (net 73) (tstamp 606cc23c-679a-4fa3-b3b1-c023026298b1)) - (segment (start 97.9 90.95) (end 97.75 90.8) (width 0.6) (layer "F.Cu") (net 73) (tstamp 61e795c9-5bb5-48b3-b7a0-cb64f04c7adc)) - (segment (start 97.55 108) (end 97.55 109.0625) (width 0.3) (layer "F.Cu") (net 73) (tstamp 66f97120-6c7e-441a-9997-acbf3e610e6e)) - (segment (start 103.45 119.05) (end 103.35 119.15) (width 0.508) (layer "F.Cu") (net 73) (tstamp 677a1070-c11b-49a9-8186-12e0a3e880b1)) - (segment (start 117 122.85) (end 116.85 122.7) (width 0.5) (layer "F.Cu") (net 73) (tstamp 6c5e0d12-8ed5-4c38-93b5-5d0f856a23b9)) - (segment (start 67.9 96.55) (end 67.9 96.45) (width 0.3) (layer "F.Cu") (net 73) (tstamp 6d4e5957-6764-40d7-9d3e-e16ba095c79a)) - (segment (start 114.4 90) (end 114.25 89.85) (width 0.6) (layer "F.Cu") (net 73) (tstamp 6fb81dc6-41d5-4f97-ab8d-08492b739776)) - (segment (start 107.55 98) (end 107.55 97.1) (width 0.4) (layer "F.Cu") (net 73) (tstamp 706bece9-b980-4420-a866-a63a48a63c89)) - (segment (start 104.2 119.05) (end 105.075 119.05) (width 0.6) (layer "F.Cu") (net 73) (tstamp 7288ce3d-ad6e-43f5-96ca-99065d7798d0)) - (segment (start 114.4 90.75) (end 114.4 91.5) (width 0.6) (layer "F.Cu") (net 73) (tstamp 737d10d1-31d2-4ac3-8e9f-c01d3ad411b5)) - (segment (start 84.35 101.65) (end 84.35 102.35) (width 0.5) (layer "F.Cu") (net 73) (tstamp 73892a2a-cb53-43a4-8e7c-751de25d1e29)) - (segment (start 68.6 96.2) (end 68.6 95.65) (width 0.3) (layer "F.Cu") (net 73) (tstamp 73975e5a-04c0-454b-b7b1-06dcb3c81497)) - (segment (start 69.75 109.8) (end 69.75 110.65) (width 0.5) (layer "F.Cu") (net 73) (tstamp 74a9c3ca-08aa-4a6a-9a4f-5ecc24362076)) - (segment (start 124.4 90.75) (end 124.4 91.95) (width 0.6) (layer "F.Cu") (net 73) (tstamp 74bbc32f-8eb0-4d3c-9612-5a45a4c49fbd)) - (segment (start 94.25 119.05) (end 94.15 119.15) (width 0.508) (layer "F.Cu") (net 73) (tstamp 752fa345-d8be-4e99-aad1-e88671f99643)) - (segment (start 114.4 115.35) (end 115.25 115.35) (width 0.6) (layer "F.Cu") (net 73) (tstamp 753c83e3-0e5d-49a7-99fa-14d791ee9328)) - (segment (start 86.3875 101.4) (end 87.5 101.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 77b09fa1-fbbb-49ab-94c4-069660b694ff)) - (segment (start 124.4 90.75) (end 125.25 90.75) (width 0.6) (layer "F.Cu") (net 73) (tstamp 790aac60-8af7-4c8a-86b0-99f3fe64112a)) - (segment (start 85.775 119.05) (end 85.05 119.05) (width 0.508) (layer "F.Cu") (net 73) (tstamp 794e55a0-75fe-436a-8b64-c2f248c65f18)) - (segment (start 78.1 119.05) (end 78.1 120.15) (width 0.45) (layer "F.Cu") (net 73) (tstamp 7b32ef33-8c7b-417f-9260-1a8773398f8f)) - (segment (start 81.951 104.301) (end 81.95 104.3) (width 0.5) (layer "F.Cu") (net 73) (tstamp 7c1fd6fc-5c53-4ccb-a456-46fe6fc0bc71)) - (segment (start 68.05 96.7) (end 67.9 96.55) (width 0.3) (layer "F.Cu") (net 73) (tstamp 7e9c7b14-3332-49ee-a587-5014a80db3f9)) - (segment (start 68.1 96.2) (end 68.6 96.2) (width 0.3) (layer "F.Cu") (net 73) (tstamp 822cf157-ecb8-46d7-8cc6-5f0248fd6b37)) - (segment (start 96.5 124.95) (end 96.5 123.8) (width 0.45) (layer "F.Cu") (net 73) (tstamp 842c62a3-da79-4cc2-9eb8-0e81d553171d)) - (segment (start 90.9 91.7) (end 90.9 90.95) (width 0.6) (layer "F.Cu") (net 73) (tstamp 85762fc6-4dad-4d00-b3f3-d625c47e2b72)) - (segment (start 69 99.9) (end 68.3 99.9) (width 0.5) (layer "F.Cu") (net 73) (tstamp 85c4eb9a-1efe-40fd-86af-36f89108b5f9)) - (segment (start 133.85 125.05) (end 132.75 125.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp 89f897c4-98dd-4e30-9e76-7ca9bf021cd3)) - (segment (start 68.1 96.2) (end 67.9 96.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp 8a56a0e1-0b83-4459-b285-5106d6ccafbb)) - (segment (start 69 99.9) (end 69.7 99.9) (width 0.5) (layer "F.Cu") (net 73) (tstamp 8cc78138-26c2-4be3-a4bd-4ad124dd5c3d)) - (segment (start 104.175 119.05) (end 103.45 119.05) (width 0.508) (layer "F.Cu") (net 73) (tstamp 92cf4db4-2dba-4763-9cd8-3c7f8aff8f24)) - (segment (start 90.05 111.1) (end 90.05 111.85) (width 0.6) (layer "F.Cu") (net 73) (tstamp 93340c38-8bfd-447a-bf60-be3c6dc860d9)) - (segment (start 124.4 90) (end 124.25 89.85) (width 0.6) (layer "F.Cu") (net 73) (tstamp 949cc60c-3f6b-4495-915a-ef19f31633cf)) - (segment (start 97.45 107.9) (end 97.55 108) (width 0.3) (layer "F.Cu") (net 73) (tstamp 97208e50-b896-4df8-8da4-ea2fc6b46da5)) - (segment (start 107.55 98) (end 106.95 98) (width 0.4) (layer "F.Cu") (net 73) (tstamp 99f4f4aa-2f14-4bf9-b8a7-da1480e9e168)) - (segment (start 97.9 91.7) (end 98.75 91.7) (width 0.6) (layer "F.Cu") (net 73) (tstamp 9b396834-9f2e-4234-8e77-e2f453053d8c)) - (segment (start 110.85 105.6) (end 110.85 104.65) (width 0.8) (layer "F.Cu") (net 73) (tstamp 9d221b3b-0bfe-4439-a426-0f2594b9c7bf)) - (segment (start 117.8 122.85) (end 117.8 123.65) (width 0.5) (layer "F.Cu") (net 73) (tstamp 9f7324c5-50a2-442c-8a80-edf04aa2b2ac)) - (segment (start 68.6 96.7) (end 68.6 97.25) (width 0.3) (layer "F.Cu") (net 73) (tstamp 9f7b3295-d16c-467f-88f6-2ab8ee650e3a)) - (segment (start 132.75 125.05) (end 132.75 126.1) (width 0.8) (layer "F.Cu") (net 73) (tstamp 9f9c31ca-425c-43ab-adfe-2e1ae4fe8686)) - (segment (start 124.4 115.35) (end 125.25 115.35) (width 0.6) (layer "F.Cu") (net 73) (tstamp a1f347f0-3fa4-4dbd-b2cf-d3082bc4e36a)) - (segment (start 126.45 107.05) (end 127.2 107.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp a43501fb-72a9-4536-bb81-9f53755e8169)) - (segment (start 81.026 104.361) (end 81.889 104.361) (width 0.5) (layer "F.Cu") (net 73) (tstamp a49f7437-7605-4a08-b3ab-0ea16e8bc6c8)) - (segment (start 114.4 90.75) (end 114.4 90) (width 0.6) (layer "F.Cu") (net 73) (tstamp a4a90bd3-5586-4453-acbb-4d2c22443f49)) - (segment (start 78.1 119.05) (end 78.1 117.95) (width 0.45) (layer "F.Cu") (net 73) (tstamp a66bd857-144e-4ab0-ab7a-3c10ed80cb1e)) - (segment (start 75.85 119.05) (end 75.7 119.2) (width 0.6) (layer "F.Cu") (net 73) (tstamp a6e0def8-4f4c-4324-b688-07d61c9eec31)) - (segment (start 114.4 90.75) (end 115.25 90.75) (width 0.6) (layer "F.Cu") (net 73) (tstamp a82cec30-45c1-49b3-b9e6-e30cc49eb759)) - (segment (start 127.45 103.3) (end 127.2 103.05) (width 0.762) (layer "F.Cu") (net 73) (tstamp ad9624f8-cf25-4b9a-95b1-2c64fccd57f6)) - (segment (start 97.05 111.1) (end 96.2 111.1) (width 0.6) (layer "F.Cu") (net 73) (tstamp aeef9f8f-2515-46d6-a613-4e8d98d0e468)) - (segment (start 134 124.9) (end 133.85 125.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp afbfe9c5-779f-420f-9855-96eed1cd3301)) - (segment (start 82.8 104.301) (end 82.8 105.1) (width 0.5) (layer "F.Cu") (net 73) (tstamp b05af61d-3c1d-44cf-aea2-61fd169c9d1a)) - (segment (start 124.4 90.75) (end 124.4 90) (width 0.6) (layer "F.Cu") (net 73) (tstamp b30e6612-e5d5-44fe-802a-8ee7b6f86412)) - (segment (start 114.4 114.6) (end 114.25 114.45) (width 0.6) (layer "F.Cu") (net 73) (tstamp b34ce9ce-d270-4842-8d95-94720e40d3ca)) - (segment (start 126.45 92.65) (end 126.45 91.8) (width 0.6) (layer "F.Cu") (net 73) (tstamp b4b8fad9-0954-4267-898b-11fce62b39de)) - (segment (start 68.3 99.9) (end 68.15 100.05) (width 0.5) (layer "F.Cu") (net 73) (tstamp b67591ef-79c1-406a-9cdd-2d6de62566a6)) - (segment (start 81.889 104.361) (end 81.95 104.3) (width 0.5) (layer "F.Cu") (net 73) (tstamp bd3e3af4-a5b8-4e4b-95b1-3c69a267c242)) - (segment (start 68.6 96.2) (end 68.6 96.7) (width 0.3) (layer "F.Cu") (net 73) (tstamp bdb69042-8fa0-4d7e-be19-fed7218cdfd8)) - (segment (start 76.6 119.05) (end 76.6 118.2) (width 0.6) (layer "F.Cu") (net 73) (tstamp c31b0de8-04f3-4322-ac80-83337fa9be21)) - (segment (start 87.3 124.95) (end 87.3 123.8) (width 0.45) (layer "F.Cu") (net 73) (tstamp c8ce7d0f-bd8a-416c-9bb9-339f4090a830)) - (segment (start 97.9 91.7) (end 97.9 90.95) (width 0.6) (layer "F.Cu") (net 73) (tstamp ca12753c-a5f4-49a4-bb14-a01420a86edb)) - (segment (start 91 94.85) (end 91.05 94.8) (width 0.3) (layer "F.Cu") (net 73) (tstamp cfdd684c-0d04-48e4-a62a-4b899d9ad32f)) - (segment (start 84.35 101.65) (end 83.65 101.65) (width 0.5) (layer "F.Cu") (net 73) (tstamp d1ea7795-8403-4edb-b959-1b29f77ed16f)) - (segment (start 87.5 99.35) (end 87.45 99.4) (width 0.3) (layer "F.Cu") (net 73) (tstamp d6570804-0f13-4bd8-a39e-13afafdb752a)) - (segment (start 131.75 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 73) (tstamp d67f893e-d62b-44c0-a1ed-06c27930b246)) - (segment (start 76.6 119.05) (end 75.85 119.05) (width 0.6) (layer "F.Cu") (net 73) (tstamp d8e238b6-5437-4b14-9ba7-0337f0b828ab)) - (segment (start 81.026 104.361) (end 81.026 105.6005) (width 0.5) (layer "F.Cu") (net 73) (tstamp daa8252e-3760-4210-b0ae-513325376d6c)) - (segment (start 82.8 104.301) (end 81.951 104.301) (width 0.5) (layer "F.Cu") (net 73) (tstamp dbe6edc1-ee1c-41ad-b94e-6a468b80b874)) - (segment (start 132.75 125.05) (end 130.35 125.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp de044b0e-b1ea-4e31-a233-e607dfa30726)) - (segment (start 114.4 115.35) (end 114.4 116.1) (width 0.6) (layer "F.Cu") (net 73) (tstamp e04409c2-b3ba-460e-bddc-62e0044901c2)) - (segment (start 105.95 98) (end 105.95 97) (width 0.5) (layer "F.Cu") (net 73) (tstamp e1640c92-0a7b-4990-ae42-e9436c2a460d)) - (segment (start 126.45 107.05) (end 126.45 106.2) (width 0.6) (layer "F.Cu") (net 73) (tstamp e2d57c80-00fb-4077-9c97-5541d2825a6b)) - (segment (start 132.75 125.05) (end 131.75 125.05) (width 0.8) (layer "F.Cu") (net 73) (tstamp ea318c4c-2aac-4b16-8f77-376b163fde73)) - (segment (start 96.5 119.05) (end 96.5 120.15) (width 0.45) (layer "F.Cu") (net 73) (tstamp eba6f904-5352-4ca5-9d68-7095d5553d23)) - (segment (start 127.2 103.05) (end 126.45 103.05) (width 0.762) (layer "F.Cu") (net 73) (tstamp f03f8712-a7f0-45ba-8dbf-7ce6f298ed42)) - (segment (start 105.7 119.05) (end 105.7 120.15) (width 0.45) (layer "F.Cu") (net 73) (tstamp f57b03a6-125b-453a-8f2a-24b446ebba66)) - (segment (start 97.05 111.85) (end 97.2 112) (width 0.6) (layer "F.Cu") (net 73) (tstamp f683b564-906b-42f6-a233-cd22c58657dd)) - (segment (start 114.4 115.35) (end 114.4 114.6) (width 0.6) (layer "F.Cu") (net 73) (tstamp f6c6b658-1bf6-4c26-b6a1-d4c107527951)) - (segment (start 117.8 122.85) (end 117 122.85) (width 0.5) (layer "F.Cu") (net 73) (tstamp fd1d5da9-cff8-4c76-9b2b-14585edbbb1e)) - (segment (start 90.05 111.1) (end 89.2 111.1) (width 0.6) (layer "F.Cu") (net 73) (tstamp fd2d066c-2ff9-43c4-ab8e-a65d2b71b5c1)) - (via (at 104.75 103.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d180c)) - (via (at 103.75 102.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d181e)) - (via (at 100.55 103.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1848)) - (via (at 100.55 101.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1854)) - (via (at 97.95 94.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1866)) - (via (at 102.85 103.55) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d199b)) - (via (at 85.25 99.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19aa)) - (via (at 95 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19c5)) - (via (at 90.45 107.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d19ec)) - (via (at 97.4 110.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a01)) - (via (at 97.9 92.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a1c)) - (via (at 90.9 92.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a6a)) - (via (at 90.4 110.2) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1a8b)) - (via (at 104.75 101.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1aa3)) - (via (at 85.25 101.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1aa6)) - (via (at 102.85 101.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1ab2)) - (via (at 95.875 119.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b48)) - (via (at 77.475 119.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b4b)) - (via (at 105.7 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b6c)) - (via (at 86.675 119.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1b93)) - (via (at 85.8 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1bae)) - (via (at 105.075 119.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f3d1bc3)) - (via (at 118.65 109.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b247d)) - (via (at 118.65 108.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b248a)) - (via (at 124.4 91.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2548)) - (via (at 123.25 103.05) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25e1)) - (via (at 110.85 110.45) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25e2)) - (via (at 123.25 111.85) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25ea)) - (via (at 125.55 103.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25eb)) - (via (at 125.55 107.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25ee)) - (via (at 123.25 107.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25f6)) - (via (at 125.55 92.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25f8)) - (via (at 114.05 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b25fd)) - (via (at 111.75 109.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2600)) - (via (at 114.05 109.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2601)) - (via (at 123.25 113.45) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2605)) - (via (at 123.25 92.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2607)) - (via (at 125.55 111.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b260a)) - (via (at 111.75 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f4b2611)) - (via locked (at 108.1 108.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00005f6f0447)) - (via (at 104.2 111.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006059a172)) - (via (at 104.2 113.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006059a17b)) - (via (at 130.35 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-0000606ec3f2)) - (via (at 131.55 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-0000606ec3f8)) - (via (at 129.15 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 00000000-0000-0000-0000-00006071afea)) - (via (at 96.5 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 03590f33-763d-44e7-bd58-7b869bb7ef20)) - (via (at 132.75 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 044452e8-a3b4-4d08-9835-701cc0a60807)) - (via (at 76.6 118.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 050ccb9c-c92e-4885-96ad-3c8ee62baa70)) - (via (at 127.45 103.3) (size 0.762) (drill 0.381) (layers "F.Cu" "B.Cu") (net 73) (tstamp 051d4750-b73a-474f-abf5-a58dadb01c92)) - (via (at 78.1 120.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 07b7ccce-8895-49f2-b220-e85ac43040b1)) - (via (at 83.5 101.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 13126287-e9cb-4238-b299-7176f08d4c96)) - (via (at 124.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 1452f510-68cb-471e-a2d7-5f55b38265b4)) - (via (at 81.026 105.6005) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 1675ce03-54b6-4252-90b1-150b2d4729ec)) - (via (at 115.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 16ea365c-d7f5-4c44-b4c6-7d8ef461a0ca)) - (via (at 124.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 23425199-2ac8-404e-b295-8bb0276f526e)) - (via (at 127.35 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 2361ed9d-44ac-40c1-ab71-db1419d4ef87)) - (via (at 69 99.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 25ada721-670a-4020-ae0b-77410c4e375a)) - (via (at 107.55 97.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 286a9e39-c26f-49c3-809f-c04839a4ac04)) - (via (at 125.25 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 2afbd14f-e6ea-4bea-882b-7e9761a0434e)) - (via (at 97.75 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 2bf34b7c-94ca-4ac8-94c5-6312536f342f)) - (via (at 117.8 123.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 2ecadc66-69f8-45d0-bf37-af9bed077d19)) - (via (at 114.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 3191783e-5075-4348-8aac-846f923d21cb)) - (via (at 126.45 102.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 34bb2d5a-a1fd-4187-b623-25a5b805199b)) - (via (at 78.1 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 3655f956-9a76-438c-8e5d-c0f5921a3841)) - (via (at 87.3 120.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 3a013e8f-5b12-499b-8d2d-0ad49966db1a)) - (via (at 89.2 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 3a41f6b2-d64e-4fc9-9c78-62461e28f42c)) - (via (at 118.65 122.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 3f40e620-2b34-4c9e-b852-1ba39e3dbc3a)) - (via (at 103.35 119.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 418a0e9c-c95f-4d4a-a88f-ec13faf3303c)) - (via (at 87.5 99.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 4829bee0-faa8-43f7-b2d7-8a6e5d1b3050)) - (via (at 84.95 119.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 5c5b3284-d7e2-4069-8087-eaf4a8346272)) - (via (at 96.5 120.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 6995beeb-7854-4705-ae35-78174cb5e8c5)) - (via (at 126.45 106.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 736f4bca-0539-488f-ab5b-c659fa9836b0)) - (via (at 109.95 104.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 741e6598-04b9-4005-a079-9081c23103ab)) - (via (at 124.4 114.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 7850e091-0fbf-4f7c-a328-cd019df441e0)) - (via (at 114.25 91.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 7b66c522-eb2b-4ac5-8fa6-badbd9e03844)) - (via (at 84.25 102.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 7e038545-c5a5-4131-a49e-7b5043e7ec34)) - (via (at 116.85 122.7) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 7f3472d8-b33a-40c5-a248-c96394fd69de)) - (via (at 90.2 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 815a0815-7930-45ec-8d6e-dc110f979c75)) - (via (at 97.2 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp 875404be-e359-458a-af29-1bd3403dd55f)) - (via (at 87.5 101.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 899f373a-cf16-4f13-9d21-dfc8f80ca371)) - (via (at 106.95 98) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 8a2de683-0cbb-47f9-b48d-61ac1c60565d)) - (via (at 105.7 120.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 8b664cd6-f39e-4636-850d-30ba11a608d8)) - (via (at 67.9 96.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 8cb63406-42c5-417f-9384-cf8cdba62340)) - (via (at 94.15 119.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 8d33a8d3-c5cc-40b4-ba71-6923d60927e2)) - (via (at 104.2 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 8fac398c-22c9-4741-a001-aab7ea92da04)) - (via (at 87.3 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 92ba8945-0271-4dc3-a102-541bc7646045)) - (via (at 69.85 100.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 959ed360-eb0a-4a79-8f34-5faaf7fec5ad)) - (via (at 127.45 92.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp 9b11964f-5943-49c9-bbf0-08d035779463)) - (via (at 81.95 104.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 9cb0289b-897f-4a33-9575-6ead0989832a)) - (via (at 83.5 98.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp 9f5a0760-2470-4cfd-9545-71255379b79a)) - (via (at 64.135 121.666) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp a0d41751-5d18-4c9f-b863-fe47b2319611)) - (via (at 127.45 107.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp a1cf3838-7a06-43e1-a94f-aa849ba69819)) - (via (at 87.3 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp a560f403-c7e0-4d97-9b6c-c5351bebb237)) - (via (at 103.25 112.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp b2944857-047d-4655-a00b-49e658220448)) - (via (at 82.8 105.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp b7e9cf10-b74e-4e80-a7f1-e33a29fe56de)) - (via (at 114.25 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp bba52ae1-2c60-4612-b640-b785ed4cdd7e)) - (via (at 68.15 100.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp d1c3595d-d061-4c53-823c-19aa0d9a8865)) - (via (at 90.75 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp d5316dab-96ab-4569-a34d-520f96a50c86)) - (via (at 97.45 107.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp d92cfbfa-da4b-4f63-8ad6-7bb6977d4f44)) - (via (at 96.5 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp d92eb7fd-0303-4aaa-b39e-7bf35dbafd2d)) - (via (at 125.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp dc419a21-b30b-44db-8d8a-272c5f8ad6c6)) - (via (at 75.7 119.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp df48a6c9-82c3-4d2f-b81e-04590b6597d8)) - (via (at 126.45 111) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp dff28682-682a-4b0a-b26e-2014cb392df5)) - (via (at 110.85 105.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp e12656ad-962f-4bd5-a35d-a45aa6b4e27e)) - (via (at 69.75 109.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp e382fedc-c868-44fd-9740-47cc05b15c1c)) - (via (at 126.45 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp e42b8b80-020c-4fee-b000-fd91abf3966d)) - (via (at 96.2 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp e5e03502-ed28-4743-9af6-23bafe8e639e)) - (via (at 105.7 117.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp e6a27cb0-d090-4b8c-9a7b-e787b9ea11b6)) - (via (at 91 94.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp e6eb6955-2cd6-4a24-9d4c-bf3c42dcce77)) - (via (at 135.2 126.05) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp e721791d-da51-4bae-ab44-002be5ea386c)) - (via (at 114.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp e807127d-3013-4e6e-a160-f258e33d9fb8)) - (via (at 98.75 91.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp eca73914-6f4b-487c-b8f6-6bedca0fa3fb)) - (via (at 115.25 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 73) (tstamp edbc17dd-aa76-4d77-81ec-11ed42efea05)) - (via (at 62.9285 117.0305) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp f46f4b86-daf6-4869-98cb-928039f00f5f)) - (via (at 134 124.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 73) (tstamp f63dd01b-d31b-4c8b-8944-cc162e8dda4e)) - (via (at 105.95 97) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 73) (tstamp fb6ae0ae-5f09-42f3-a277-43e9524a252b)) - (segment (start 62.9285 117.0305) (end 62.6745 117.2845) (width 0.5) (layer "B.Cu") (net 73) (tstamp 70b621b6-45b5-43cb-9683-d589118723d7)) - (segment (start 62.6745 118.5545) (end 62.611 118.618) (width 0.5) (layer "B.Cu") (net 73) (tstamp 73e2a101-0bc0-414b-9aa7-7eeb8a3caef1)) - (segment (start 62.6745 117.2845) (end 62.6745 118.5545) (width 0.5) (layer "B.Cu") (net 73) (tstamp 7f2c9904-545b-4337-acd6-8707e0924818)) - (segment (start 109.6 118.15) (end 109.6 119.05) (width 0.15) (layer "F.Cu") (net 75) (tstamp 49389a66-8741-452b-8284-834f65c51e1b)) - (segment (start 97.7 106.95) (end 96.95 107.7) (width 0.15) (layer "F.Cu") (net 75) (tstamp 500298f6-b9ed-4e53-bde6-024545f1a90a)) - (segment (start 103.3 115.9) (end 107.35 115.9) (width 0.15) (layer "F.Cu") (net 75) (tstamp 5126ac84-dc56-4e60-b120-fd81ef65886b)) - (segment (start 100.05 112.9) (end 100.3 112.9) (width 0.15) (layer "F.Cu") (net 75) (tstamp 5fa23453-de94-4f47-ab66-80326a468ae1)) - (segment (start 97.8 106.95) (end 97.7 106.95) (width 0.15) (layer "F.Cu") (net 75) (tstamp 6f75ea3e-6135-44f5-9313-1aad839ab6f6)) - (segment (start 107.35 115.9) (end 109.6 118.15) (width 0.15) (layer "F.Cu") (net 75) (tstamp 78ce8c1e-89e0-4419-807a-81faccaa13a1)) - (segment (start 96.95 107.7) (end 96.95 108.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp b9fce689-53c2-4275-98d8-2c8da9bd740a)) - (segment (start 97.05 108.2) (end 97.05 109.0625) (width 0.15) (layer "F.Cu") (net 75) (tstamp ca0eab8e-e3fd-464d-bb03-d1603b8a651b)) - (segment (start 100.3 112.9) (end 103.3 115.9) (width 0.15) (layer "F.Cu") (net 75) (tstamp d5605fa7-538d-473c-8da8-4e6409672b1d)) - (segment (start 96.95 108.1) (end 97.05 108.2) (width 0.15) (layer "F.Cu") (net 75) (tstamp e7130644-c4ae-4f9d-997d-5b4fa9d09578)) - (via (at 100.05 112.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 75) (tstamp 238ce6dc-0557-409a-ab04-93448fccaac4)) - (via (at 97.8 106.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 75) (tstamp 262fe442-673c-4133-92f6-23f6d42651f0)) - (segment (start 100.05 112.6) (end 100.05 112.9) (width 0.15) (layer "B.Cu") (net 75) (tstamp 2330617f-82c2-43f9-8a7c-826ddfdbb89f)) - (segment (start 97.9 106.95) (end 100.05 109.1) (width 0.15) (layer "B.Cu") (net 75) (tstamp 321c97ce-037e-4926-8c05-7be14a63f7fd)) - (segment (start 100.05 109.1) (end 100.05 112.6) (width 0.15) (layer "B.Cu") (net 75) (tstamp 4ed25a91-62bc-460f-b416-f09c2b72ae30)) - (segment (start 97.8 106.95) (end 97.9 106.95) (width 0.15) (layer "B.Cu") (net 75) (tstamp 8b56f428-76c6-47f4-814c-d4162e003c52)) - (segment (start 95.35 110.7) (end 95.35 112.5) (width 0.15) (layer "F.Cu") (net 76) (tstamp 301727b6-248b-4eb4-8c37-cb369ee1a241)) - (segment (start 96.05 109.0625) (end 96.05 110) (width 0.15) (layer "F.Cu") (net 76) (tstamp 3661902e-90e5-456c-bea6-67cccf66598c)) - (segment (start 103.15 116.2) (end 107 116.2) (width 0.15) (layer "F.Cu") (net 76) (tstamp 4e00f560-8021-4e81-b35e-f0ec870c4011)) - (segment (start 95.35 112.5) (end 96.25 113.4) (width 0.15) (layer "F.Cu") (net 76) (tstamp 5b6a8d92-8f02-4344-a7df-ac07f7a6431e)) - (segment (start 100.35 113.4) (end 103.15 116.2) (width 0.15) (layer "F.Cu") (net 76) (tstamp 81d7db25-c179-4d9d-b74b-6c074422c80f)) - (segment (start 96.25 113.4) (end 100.35 113.4) (width 0.15) (layer "F.Cu") (net 76) (tstamp 8b6f980e-ea4f-4b84-b3d3-77fe02511849)) - (segment (start 107 116.2) (end 108.95 118.15) (width 0.15) (layer "F.Cu") (net 76) (tstamp a9c3bdaa-fab4-451c-a38a-fd9d9b673d6c)) - (segment (start 108.95 118.15) (end 108.95 119.05) (width 0.15) (layer "F.Cu") (net 76) (tstamp d6962950-4b71-4ba8-ac78-7b9bfb3edf70)) - (segment (start 96.05 110) (end 95.35 110.7) (width 0.15) (layer "F.Cu") (net 76) (tstamp f5ee5341-69c8-428a-a259-66f576fa2d08)) - (segment (start 94.75 110.3) (end 94.75 112.8) (width 0.15) (layer "F.Cu") (net 77) (tstamp 1c36527b-20ab-4863-8486-3913ee2e57f4)) - (segment (start 106.4 116.8) (end 107.65 118.05) (width 0.15) (layer "F.Cu") (net 77) (tstamp 303c400a-1ac8-4f8f-ae11-254f46fa0fb3)) - (segment (start 95.05 109.0625) (end 95.05 110) (width 0.15) (layer "F.Cu") (net 77) (tstamp 4c756fc2-8fde-4459-8921-e1db5a89f1ba)) - (segment (start 94.75 112.8) (end 95.95 114) (width 0.15) (layer "F.Cu") (net 77) (tstamp 4cd135a5-fdd1-4851-864a-dadf7c96d9ff)) - (segment (start 95.05 110) (end 94.75 110.3) (width 0.15) (layer "F.Cu") (net 77) (tstamp a4813917-c395-4e03-b658-4133a12249cd)) - (segment (start 107.65 118.05) (end 107.65 119.05) (width 0.15) (layer "F.Cu") (net 77) (tstamp ab5db7e5-9de7-449f-b70b-9d0dd610b10b)) - (segment (start 100.05 114) (end 102.85 116.8) (width 0.15) (layer "F.Cu") (net 77) (tstamp ae3c331f-8808-430e-931c-7d9b2cc37f5b)) - (segment (start 95.95 114) (end 100.05 114) (width 0.15) (layer "F.Cu") (net 77) (tstamp f2cb3dc7-19c3-4d39-8479-4368f9d1680c)) - (segment (start 102.85 116.8) (end 106.4 116.8) (width 0.15) (layer "F.Cu") (net 77) (tstamp fc5e93f7-8264-46ce-a278-5944e151e5a7)) - (segment (start 94.45 112.95) (end 94.45 110.1) (width 0.15) (layer "F.Cu") (net 78) (tstamp 474da0bb-a80f-4ce4-b14e-5f26d8f31e91)) - (segment (start 94.45 110.1) (end 94.55 110) (width 0.15) (layer "F.Cu") (net 78) (tstamp 5900b9d3-f54e-4689-953a-e125f5f9fa71)) - (segment (start 102.7 117.1) (end 99.9 114.3) (width 0.15) (layer "F.Cu") (net 78) (tstamp 6c1d0ff6-53d9-4a5b-89a8-5313d6ca7d94)) - (segment (start 106.25 117.1) (end 102.7 117.1) (width 0.15) (layer "F.Cu") (net 78) (tstamp 94b40fef-8e3d-4a32-a137-035c86ca86c8)) - (segment (start 99.9 114.3) (end 95.8 114.3) (width 0.15) (layer "F.Cu") (net 78) (tstamp a28b42a6-1c1a-4667-9b8b-ad6bdfd23632)) - (segment (start 107 117.85) (end 106.25 117.1) (width 0.15) (layer "F.Cu") (net 78) (tstamp bb592211-9895-49a1-bb6a-47f7a9f85864)) - (segment (start 107 119.05) (end 107 117.85) (width 0.15) (layer "F.Cu") (net 78) (tstamp c360b637-6f5d-44e0-97f7-af09c2986ed7)) - (segment (start 95.8 114.3) (end 94.45 112.95) (width 0.15) (layer "F.Cu") (net 78) (tstamp ee5ea3d6-1422-40d3-882b-9d8b9c72bbba)) - (segment (start 94.55 110) (end 94.55 109.0625) (width 0.15) (layer "F.Cu") (net 78) (tstamp fc56b098-c3aa-474b-aac9-da58d4f42386)) - (segment (start 97.4 115.8) (end 99.75 118.15) (width 0.15) (layer "F.Cu") (net 79) (tstamp 0df376e0-b3b8-4926-8318-ef70bcc43326)) - (segment (start 92.95 110.824278) (end 92.95 113.65) (width 0.15) (layer "F.Cu") (net 79) (tstamp 644a2620-03c0-4432-a2a3-b8177b485182)) - (segment (start 92.05 109.924278) (end 92.95 110.824278) (width 0.15) (layer "F.Cu") (net 79) (tstamp 729e0aa9-1770-4b96-8a01-af601278faec)) - (segment (start 92.05 109.0625) (end 92.05 109.924278) (width 0.15) (layer "F.Cu") (net 79) (tstamp 7847981b-5502-41f3-9413-b29fe20c5b32)) - (segment (start 99.75 118.15) (end 99.75 119.05) (width 0.15) (layer "F.Cu") (net 79) (tstamp 91e34627-a183-42e4-bafa-955f631c2bab)) - (segment (start 92.95 113.65) (end 95.1 115.8) (width 0.15) (layer "F.Cu") (net 79) (tstamp a97a52d6-fe14-4f06-b35e-2dc42532437e)) - (segment (start 95.1 115.8) (end 97.4 115.8) (width 0.15) (layer "F.Cu") (net 79) (tstamp d0e144a3-6f5f-4307-ac4c-47637e9032bf)) - (segment (start 100.4 119.05) (end 100.4 118.15) (width 0.15) (layer "F.Cu") (net 80) (tstamp 142e2caa-2b2c-4696-83a8-bdbb5b82c7f7)) - (segment (start 95.225 115.5) (end 93.25 113.525) (width 0.15) (layer "F.Cu") (net 80) (tstamp 34e4c084-25ed-4154-b584-44597cd86748)) - (segment (start 93.25 113.525) (end 93.25 110.7) (width 0.15) (layer "F.Cu") (net 80) (tstamp 3f4ca593-2b3f-4c1d-83fb-6afbc1dc83bd)) - (segment (start 93.25 110.7) (end 92.55 110) (width 0.15) (layer "F.Cu") (net 80) (tstamp 6b732b9b-51f6-479d-b29b-3f7cb9c273ef)) - (segment (start 97.75 115.5) (end 95.225 115.5) (width 0.15) (layer "F.Cu") (net 80) (tstamp b8a69dfb-4ff5-4171-8662-f4fd81f9fc4a)) - (segment (start 100.4 118.15) (end 97.75 115.5) (width 0.15) (layer "F.Cu") (net 80) (tstamp d5926ae5-e972-4dcc-8335-d8bd16db6dbc)) - (segment (start 92.55 110) (end 92.55 109.0625) (width 0.15) (layer "F.Cu") (net 80) (tstamp fe36219f-13f1-47e3-b06a-60e954519022)) - (segment (start 93.05 110) (end 93.55 110.5) (width 0.15) (layer "F.Cu") (net 81) (tstamp 23d0e929-f5a1-4c62-b387-0887d9659f38)) - (segment (start 101.05 118.15) (end 101.05 119.05) (width 0.15) (layer "F.Cu") (net 81) (tstamp 3036986f-780f-4e5b-8e4b-4e66acc1e072)) - (segment (start 95.375 115.2) (end 98.1 115.2) (width 0.15) (layer "F.Cu") (net 81) (tstamp 317a2bf1-677c-46ed-b6b4-eef240063844)) - (segment (start 93.55 110.5) (end 93.55 113.375) (width 0.15) (layer "F.Cu") (net 81) (tstamp 61d63f1b-dbdf-4e18-9e78-d70eac21ae65)) - (segment (start 93.05 109.0625) (end 93.05 110) (width 0.15) (layer "F.Cu") (net 81) (tstamp 8f577817-ea32-42aa-bedc-809b6d0ffec6)) - (segment (start 93.55 113.375) (end 95.375 115.2) (width 0.15) (layer "F.Cu") (net 81) (tstamp cf02db11-2ff8-4f79-b3e9-9802575ab786)) - (segment (start 98.1 115.2) (end 101.05 118.15) (width 0.15) (layer "F.Cu") (net 81) (tstamp eab7c737-4450-406f-9f80-b2e18bb45dd6)) - (segment (start 101.7 118.15) (end 98.45 114.9) (width 0.15) (layer "F.Cu") (net 82) (tstamp 126f84ae-523c-4569-b046-7ee124f46a5a)) - (segment (start 93.85 110.3) (end 93.55 110) (width 0.15) (layer "F.Cu") (net 82) (tstamp 30f27120-8919-4f22-a0e2-49bd0c1104a0)) - (segment (start 93.55 110) (end 93.55 109.0625) (width 0.15) (layer "F.Cu") (net 82) (tstamp 657bd73d-9c40-4ca8-b3ea-e75927d498b6)) - (segment (start 101.7 119.05) (end 101.7 118.15) (width 0.15) (layer "F.Cu") (net 82) (tstamp 679e5b0e-a017-43d8-8845-79a886253d82)) - (segment (start 98.45 114.9) (end 95.525 114.9) (width 0.15) (layer "F.Cu") (net 82) (tstamp 7d4fcb23-c914-48df-941d-94cf5f1f85b5)) - (segment (start 93.85 113.225) (end 93.85 110.3) (width 0.15) (layer "F.Cu") (net 82) (tstamp acee6893-1f8a-43f2-93df-e612d6c0d353)) - (segment (start 95.525 114.9) (end 93.85 113.225) (width 0.15) (layer "F.Cu") (net 82) (tstamp ae121872-4c9f-495f-b631-8204082b9825)) - (segment (start 102.35 118.15) (end 102.35 119.05) (width 0.15) (layer "F.Cu") (net 83) (tstamp 00d22a94-4415-4f7c-bba5-9ac8913c5f96)) - (segment (start 98.8 114.6) (end 102.35 118.15) (width 0.15) (layer "F.Cu") (net 83) (tstamp 2480dd87-1dff-4a50-81a2-52ef161ac45c)) - (segment (start 94.15 113.1) (end 95.65 114.6) (width 0.15) (layer "F.Cu") (net 83) (tstamp 4f69bb40-cbf2-45c5-8c23-3e0667e1f6c1)) - (segment (start 94.05 109.0625) (end 94.05 110) (width 0.15) (layer "F.Cu") (net 83) (tstamp 5498fdb6-915a-4445-8b00-6524ae4d6c27)) - (segment (start 94.15 110.1) (end 94.15 113.1) (width 0.15) (layer "F.Cu") (net 83) (tstamp 61b6f2c4-b226-47d6-bbd8-9d67fcaf35c3)) - (segment (start 94.05 110) (end 94.15 110.1) (width 0.15) (layer "F.Cu") (net 83) (tstamp 8ce5f070-df4e-4d8d-b78f-3ef1b6a0875c)) - (segment (start 95.65 114.6) (end 98.8 114.6) (width 0.15) (layer "F.Cu") (net 83) (tstamp ed74c2b7-a3ac-4886-84f5-377b5e1bbbfc)) - (segment (start 78.1 124.95) (end 78.1 123.8) (width 0.15) (layer "F.Cu") (net 84) (tstamp 42b75c7f-e205-4778-8b80-6010e5eef40d)) - (segment (start 86.3875 103.4) (end 87.55 103.4) (width 0.15) (layer "F.Cu") (net 84) (tstamp 8764b520-89c4-4e8f-9e4f-12a445e1a616)) - (via (at 78.1 123.8) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 84) (tstamp 31880686-d14b-45e6-a2ae-8550fa4d37d7)) - (via (at 87.55 103.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 84) (tstamp e31b63b1-e50c-436f-8b2d-c664bc43a016)) - (segment (start 86.4 106.4) (end 86.4 104.85) (width 0.15) (layer "B.Cu") (net 84) (tstamp 16010e58-8aee-45c1-99df-d1cc2bd80779)) - (segment (start 86.4 104.85) (end 87.55 103.7) (width 0.15) (layer "B.Cu") (net 84) (tstamp 59a4dc33-016c-4cea-b648-6fe1c8836f68)) - (segment (start 86.9 115) (end 86.9 106.9) (width 0.15) (layer "B.Cu") (net 84) (tstamp 76973292-11cb-4c20-8b65-30d05bb4f01c)) - (segment (start 78.1 123.8) (end 86.9 115) (width 0.15) (layer "B.Cu") (net 84) (tstamp d6dd0f16-8940-44d4-96ec-2f3144e7eef5)) - (segment (start 87.55 103.7) (end 87.55 103.4) (width 0.15) (layer "B.Cu") (net 84) (tstamp d732dada-3bdf-40ee-b2d0-4e0254c2408c)) - (segment (start 86.9 106.9) (end 86.4 106.4) (width 0.15) (layer "B.Cu") (net 84) (tstamp e91ad237-6778-4565-a41c-5451c22b839e)) - (segment (start 108.2 99.7) (end 108.2 101.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp 8e0527a1-64cc-4c21-af5a-5910f4c387cc)) - (segment (start 101.7125 100.9) (end 104.7 100.9) (width 0.15) (layer "F.Cu") (net 86) (tstamp 09dffe2f-119c-4acf-b279-934de0a0dda7)) - (segment (start 104.8 99.4) (end 104.55 99.15) (width 0.15) (layer "F.Cu") (net 86) (tstamp 24c732be-56c7-40ff-a440-789a73d66281)) - (segment (start 104.8 99.6) (end 104.8 99.4) (width 0.15) (layer "F.Cu") (net 86) (tstamp 999a9de1-b184-4a7a-88ce-e26d61a272e3)) - (segment (start 105.2 100) (end 104.8 99.6) (width 0.15) (layer "F.Cu") (net 86) (tstamp 9c08e9bc-2359-4642-8957-cdc10638112d)) - (segment (start 104.7 100.9) (end 105.2 100.4) (width 0.15) (layer "F.Cu") (net 86) (tstamp 9c221d52-946b-4b75-8659-2771c7e549f2)) - (segment (start 104.55 99.15) (end 104.55 96.9) (width 0.15) (layer "F.Cu") (net 86) (tstamp aed766cc-c8d5-45cf-84bc-1c29216ccceb)) - (segment (start 104.55 96.9) (end 106.8 94.65) (width 0.15) (layer "F.Cu") (net 86) (tstamp b0ef56f0-51f0-42df-b28a-72491f7f6bb8)) - (segment (start 106.8 94.65) (end 107.4 94.65) (width 0.15) (layer "F.Cu") (net 86) (tstamp de119e3e-b85f-435d-9e15-bdebccebd1c5)) - (segment (start 105.2 100.4) (end 105.2 100) (width 0.15) (layer "F.Cu") (net 86) (tstamp fe7aa45c-11dc-4d1a-9253-27a0da27aa34)) - (segment (start 109 94.65) (end 109.65 94.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 1afdd221-608b-420b-8eb2-861de263adb5)) - (segment (start 110.25 100.65) (end 112.9 100.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 49edae70-5dd4-4020-bb66-e19aaf00297f)) - (segment (start 110.3 95.7) (end 109.95 96.05) (width 0.15) (layer "F.Cu") (net 87) (tstamp 8de39313-d6b3-49d5-879e-e7c755da7625)) - (segment (start 110.3 95.3) (end 110.3 95.7) (width 0.15) (layer "F.Cu") (net 87) (tstamp 90871ced-792e-45f5-b74e-584f9a150cb4)) - (segment (start 109.95 100.35) (end 110.25 100.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp c12eea70-3a89-4f4e-bec5-6645406eead7)) - (segment (start 109.65 94.65) (end 110.3 95.3) (width 0.15) (layer "F.Cu") (net 87) (tstamp d26a8420-78a3-4a9e-b4f4-5a9910f59c4d)) - (segment (start 109.95 96.05) (end 109.95 100.35) (width 0.15) (layer "F.Cu") (net 87) (tstamp fa837821-0cb5-4c2d-b2ac-2376f32f5c33)) - (segment (start 103.2 109.5) (end 102.5 108.8) (width 0.15) (layer "F.Cu") (net 88) (tstamp 408b3778-6552-41b5-9096-89c71f84e5ce)) - (segment (start 102.5 108.8) (end 100.65 108.8) (width 0.15) (layer "F.Cu") (net 88) (tstamp caefe669-4c1f-4a42-9061-2eea0460c08d)) - (segment (start 107.45 111.45) (end 105.5 109.5) (width 0.15) (layer "F.Cu") (net 88) (tstamp cda7fe71-fae2-4327-88a1-ff4efc19520d)) - (segment (start 105.5 109.5) (end 103.2 109.5) (width 0.15) (layer "F.Cu") (net 88) (tstamp d2456fb5-2b99-45e1-9d17-eb9a485a3bd3)) - (segment (start 100.3875 109.0625) (end 100.05 109.0625) (width 0.15) (layer "F.Cu") (net 88) (tstamp d9fdb0f1-e046-40fb-9db7-42844093657b)) - (segment (start 107.95 111.45) (end 107.45 111.45) (width 0.15) (layer "F.Cu") (net 88) (tstamp e584287a-6232-40cf-a082-8dea5986b945)) - (segment (start 100.65 108.8) (end 100.3875 109.0625) (width 0.15) (layer "F.Cu") (net 88) (tstamp ec51372b-772c-40c6-ad58-bf05ad60b91d)) - (segment (start 105.95 108.9) (end 103.5 108.9) (width 0.15) (layer "F.Cu") (net 89) (tstamp 13b44301-e8b6-44a2-a883-05207972227f)) - (segment (start 102.8 108.2) (end 100.825722 108.2) (width 0.15) (layer "F.Cu") (net 89) (tstamp 14be568d-2e52-4aed-b81b-dddc75cbdd07)) - (segment (start 100.8 104.9) (end 101.7125 104.9) (width 0.15) (layer "F.Cu") (net 89) (tstamp 5f48357f-c353-4808-811f-74ed7ffaa7c6)) - (segment (start 100.825722 108.2) (end 100.35 107.724278) (width 0.15) (layer "F.Cu") (net 89) (tstamp 796db869-0097-47e7-801f-cda0ea750e7a)) - (segment (start 100.35 105.35) (end 100.8 104.9) (width 0.15) (layer "F.Cu") (net 89) (tstamp 9d7822b4-339e-43c0-b115-d4b16189cc93)) - (segment (start 107.45 110.4) (end 105.95 108.9) (width 0.15) (layer "F.Cu") (net 89) (tstamp b9086bc6-f594-4bed-870a-3805d2b7840b)) - (segment (start 103.5 108.9) (end 102.8 108.2) (width 0.15) (layer "F.Cu") (net 89) (tstamp d827258b-50c4-46fc-b3a5-4b37a0dc9ee6)) - (segment (start 100.35 107.724278) (end 100.35 105.35) (width 0.15) (layer "F.Cu") (net 89) (tstamp e20b2d01-f0a2-4c23-a8cf-4b8afc873d5b)) - (segment (start 107.95 110.4) (end 107.45 110.4) (width 0.15) (layer "F.Cu") (net 89) (tstamp f3948324-ce3a-4786-8e6f-06525e602a33)) - (segment (start 100.151444 107.95) (end 100.701444 108.5) (width 0.15) (layer "F.Cu") (net 90) (tstamp 0c9b9dd2-dc58-4681-9b25-b9c3d020fbdc)) - (segment (start 98.55 109.0625) (end 98.55 108.2) (width 0.15) (layer "F.Cu") (net 90) (tstamp 869eca01-6daf-4865-b0e8-f32a37e3566c)) - (segment (start 102.65 108.5) (end 103.35 109.2) (width 0.15) (layer "F.Cu") (net 90) (tstamp 8b7bd606-8d7f-4fbd-a2d5-a4d4e067ee34)) - (segment (start 103.35 109.2) (end 105.75 109.2) (width 0.15) (layer "F.Cu") (net 90) (tstamp 91815931-350b-44ea-ae11-854683127765)) - (segment (start 98.8 107.95) (end 100.151444 107.95) (width 0.15) (layer "F.Cu") (net 90) (tstamp 9d7add1e-d22e-4c3c-ab8e-6362e975e5d0)) - (segment (start 98.55 108.2) (end 98.8 107.95) (width 0.15) (layer "F.Cu") (net 90) (tstamp a4f92507-f2b3-4f75-987d-55004c3588b9)) - (segment (start 107.45 110.9) (end 107.95 110.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp cfb29de7-5d87-4b80-bc4c-399de4fa7fae)) - (segment (start 100.701444 108.5) (end 102.65 108.5) (width 0.15) (layer "F.Cu") (net 90) (tstamp d0bca7c3-16fb-43b6-91c1-9db8fac52cb2)) - (segment (start 105.75 109.2) (end 107.45 110.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp fae21104-6d06-49da-9a8b-b74f2e8a3574)) - (segment (start 103.65 108.6) (end 102.95 107.9) (width 0.15) (layer "F.Cu") (net 91) (tstamp 18b61e14-f0cb-4bda-9e7e-35086cd0bce5)) - (segment (start 100.95 107.9) (end 100.65 107.6) (width 0.15) (layer "F.Cu") (net 91) (tstamp 5ce23b6b-bd8c-44d9-a91a-04985175beda)) - (segment (start 107.95 109.85) (end 107.4375 109.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp 69b62df2-080c-4fbc-a9ff-a83e6181a480)) - (segment (start 102.95 107.9) (end 100.95 107.9) (width 0.15) (layer "F.Cu") (net 91) (tstamp 8338e846-812b-41c6-ad83-c397e10d62a8)) - (segment (start 106.1875 108.6) (end 103.65 108.6) (width 0.15) (layer "F.Cu") (net 91) (tstamp 8dc0cb95-6a64-4146-a98b-201faa29efcd)) - (segment (start 100.65 107.6) (end 100.65 105.55) (width 0.15) (layer "F.Cu") (net 91) (tstamp 95ef63d7-a7a2-4718-a404-714eb6412ee9)) - (segment (start 100.8 105.4) (end 101.7125 105.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp aff48226-032f-4dae-a36a-f783c883d29a)) - (segment (start 100.65 105.55) (end 100.8 105.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp b0150d2b-85b3-4331-b915-3086266e149b)) - (segment (start 107.4375 109.85) (end 106.1875 108.6) (width 0.15) (layer "F.Cu") (net 91) (tstamp d1e5ef30-0c74-4f13-89aa-ab10a4b051eb)) - (segment (start 101.7125 105.9) (end 102.75 105.9) (width 0.15) (layer "F.Cu") (net 92) (tstamp 007d1aa0-0a35-4c79-bc8d-e834bd3664f0)) - (segment (start 102.75 105.9) (end 103.5 106.65) (width 0.15) (layer "F.Cu") (net 92) (tstamp 4ce0e23d-dbb3-4d2d-b549-50bee3d446b9)) - (segment (start 107.45 105.45) (end 106.75 106.15) (width 0.15) (layer "F.Cu") (net 92) (tstamp 937939a7-3d48-498a-98b7-bb48d04ada01)) - (segment (start 106.75 106.15) (end 104.55 106.15) (width 0.15) (layer "F.Cu") (net 92) (tstamp 9fdbccc2-2f8e-4736-8eda-6be5762e5cd4)) - (segment (start 107.95 105.45) (end 107.45 105.45) (width 0.15) (layer "F.Cu") (net 92) (tstamp b06d0f18-c7c1-4973-8806-d4fa87df5412)) - (segment (start 103.5 106.65) (end 104.05 106.65) (width 0.15) (layer "F.Cu") (net 92) (tstamp b4ddef27-9e8b-4c9f-ba6b-bbd22b45d51a)) - (segment (start 104.55 106.15) (end 104.05 106.65) (width 0.15) (layer "F.Cu") (net 92) (tstamp e9f702de-b437-4ae2-a03e-b707e9309898)) - (segment (start 107.45 106.5) (end 107.2 106.75) (width 0.15) (layer "F.Cu") (net 93) (tstamp 1b2c37f1-2f41-4eef-9163-74d93552bfe4)) - (segment (start 107.95 106.5) (end 107.45 106.5) (width 0.15) (layer "F.Cu") (net 93) (tstamp 2b626917-a177-4b61-81a1-fd2a69eb9f9a)) - (segment (start 103.2 107.25) (end 104.35 107.25) (width 0.15) (layer "F.Cu") (net 93) (tstamp 680ed401-4444-41a7-a749-88310d3efeaa)) - (segment (start 101.7125 106.9) (end 102.85 106.9) (width 0.15) (layer "F.Cu") (net 93) (tstamp a1916e9e-4224-4c5d-a9c6-82b80a4bae89)) - (segment (start 102.85 106.9) (end 103.2 107.25) (width 0.15) (layer "F.Cu") (net 93) (tstamp b3dfbe76-e5a2-48e9-bf61-46c24ad01a97)) - (segment (start 104.85 106.75) (end 104.35 107.25) (width 0.15) (layer "F.Cu") (net 93) (tstamp d2fb2423-7bf4-4222-994d-25a9683eab67)) - (segment (start 107.2 106.75) (end 104.85 106.75) (width 0.15) (layer "F.Cu") (net 93) (tstamp d875da09-775c-45a3-be03-ee257d013433)) - (segment (start 101.7125 106.4) (end 102.8 106.4) (width 0.15) (layer "F.Cu") (net 94) (tstamp 5fb34c2f-8685-4006-a370-36a5c54e8539)) - (segment (start 103.35 106.95) (end 104.2 106.95) (width 0.15) (layer "F.Cu") (net 94) (tstamp 6647797e-9035-4291-9495-e7c7119a3fd1)) - (segment (start 107.95 106) (end 107.45 106) (width 0.15) (layer "F.Cu") (net 94) (tstamp 6db64f46-9e2d-4604-b932-a6f7a66a0d14)) - (segment (start 104.7 106.45) (end 104.2 106.95) (width 0.15) (layer "F.Cu") (net 94) (tstamp 77576d54-df18-461f-833a-af44e90f9ec8)) - (segment (start 102.8 106.4) (end 103.35 106.95) (width 0.15) (layer "F.Cu") (net 94) (tstamp 7d1347db-292a-4095-85d4-76da0d3f5524)) - (segment (start 107.45 106) (end 107 106.45) (width 0.15) (layer "F.Cu") (net 94) (tstamp 9e5493fd-e148-46c4-ab73-9e150e0f216c)) - (segment (start 107 106.45) (end 104.7 106.45) (width 0.15) (layer "F.Cu") (net 94) (tstamp a8b74637-32ba-4af1-a789-5bc40c758bab)) - (segment (start 101.7125 107.4) (end 102.9 107.4) (width 0.15) (layer "F.Cu") (net 95) (tstamp 2335745d-4b86-4498-9fad-6d2729137fe3)) - (segment (start 105 107.05) (end 104.5 107.55) (width 0.15) (layer "F.Cu") (net 95) (tstamp 751eb404-33b7-4b8f-8aa0-576b234652fb)) - (segment (start 102.9 107.4) (end 103.05 107.55) (width 0.15) (layer "F.Cu") (net 95) (tstamp b4e13e2a-b1f5-417e-8d80-b3e4cb5e5e55)) - (segment (start 103.05 107.55) (end 104.5 107.55) (width 0.15) (layer "F.Cu") (net 95) (tstamp f2471ff2-4a7f-4d16-9dbe-788438e7c5fb)) - (segment (start 107.95 107.05) (end 105 107.05) (width 0.15) (layer "F.Cu") (net 95) (tstamp f4f8401f-00e2-4058-8b4d-acf3075d7f77)) - (segment (start 112.9 112.65) (end 114.7 112.65) (width 0.15) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005f4b25be)) - (segment (start 124.4 112.65) (end 122.55 112.65) (width 0.15) (layer "F.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005f4b25c1)) - (segment (start 108.95 111.45) (end 108.95 112.05) (width 0.15) (layer "F.Cu") (net 96) (tstamp 20fac508-78eb-4aa5-add1-1566151feb66)) - (via (at 114.75 112.65) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005f4b25bf)) - (via (at 122.55 112.65) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005f4b25c0)) - (via (at 108.95 112.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 96) (tstamp a9d66172-b21f-445f-bff6-1303cec8590d)) - (segment (start 122.55 112.65) (end 114.75 112.65) (width 0.15) (layer "B.Cu") (net 96) (tstamp 00000000-0000-0000-0000-00005f4b25c2)) - (segment (start 109.55 112.65) (end 108.95 112.05) (width 0.15) (layer "B.Cu") (net 96) (tstamp 9c3dbdfa-1d03-4398-9be7-f28a12c9bf19)) - (segment (start 114.75 112.65) (end 109.55 112.65) (width 0.15) (layer "B.Cu") (net 96) (tstamp 9d3292e9-89ed-435a-b615-fc52a41b2a3d)) - (segment (start 122.65 111.05) (end 124.4 111.05) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25c6)) - (segment (start 122.55 111.15) (end 122.65 111.05) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25c7)) - (segment (start 114.65 111.05) (end 114.75 111.15) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25c9)) - (segment (start 112.9 111.05) (end 114.65 111.05) (width 0.15) (layer "F.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25ca)) - (segment (start 109.7 111.15) (end 109.45 110.9) (width 0.15) (layer "F.Cu") (net 97) (tstamp 009110da-fae2-454e-8387-1e8fd70409cb)) - (segment (start 109.45 110.9) (end 108.95 110.9) (width 0.15) (layer "F.Cu") (net 97) (tstamp 7c7cfeb1-8cd1-4c5f-8e65-42b386d94011)) - (via (at 122.55 111.15) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25c5)) - (via (at 114.75 111.15) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25c8)) - (via (at 109.7 111.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 97) (tstamp 834d0192-2f8f-45da-a664-ea874d4070f9)) - (segment (start 118.400002 111.15) (end 122.55 111.15) (width 0.15) (layer "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25cb)) - (segment (start 114.75 111.15) (end 118.400002 111.15) (width 0.15) (layer "B.Cu") (net 97) (tstamp 00000000-0000-0000-0000-00005f4b25cc)) - (segment (start 114.75 111.15) (end 109.7 111.15) (width 0.15) (layer "B.Cu") (net 97) (tstamp bdf9dfdb-3e3e-46cc-8bb8-4372561c164b)) - (segment (start 112.9 108.65) (end 114.6 108.65) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b258f)) - (segment (start 114.65 108.65) (end 114.75 108.75) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2590)) - (segment (start 122.65 108.65) (end 124.4 108.65) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2592)) - (segment (start 122.55 108.75) (end 122.65 108.65) (width 0.15) (layer "F.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2593)) - (segment (start 108.95 109.85) (end 108.95 109.25) (width 0.15) (layer "F.Cu") (net 98) (tstamp 7eaae2d7-b4ad-4554-8c8a-2037170131bd)) - (via (at 114.75 108.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2591)) - (via (at 122.55 108.75) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2594)) - (via (at 108.95 109.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 98) (tstamp 35a1a735-588f-4c50-9b46-cb8744ae8f02)) - (segment (start 120.890662 108.75) (end 122.55 108.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2595)) - (segment (start 114.75 108.75) (end 120.890662 108.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp 00000000-0000-0000-0000-00005f4b2596)) - (segment (start 114.75 108.75) (end 111.75 108.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp 638749f1-b1e7-4781-9f0f-dba065a717aa)) - (segment (start 111.75 108.75) (end 111.25 109.25) (width 0.15) (layer "B.Cu") (net 98) (tstamp 67c7a478-1f53-477a-9997-e375f47aa773)) - (segment (start 111.25 109.25) (end 108.95 109.25) (width 0.15) (layer "B.Cu") (net 98) (tstamp c4587bb7-c73a-4ad0-bcd4-d7dc9697e09b)) - (segment (start 112.9 110.25) (end 114.6 110.25) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b259a)) - (segment (start 114.65 110.25) (end 114.75 110.15) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b259b)) - (segment (start 122.65 110.25) (end 124.4 110.25) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b259e)) - (segment (start 122.55 110.15) (end 122.65 110.25) (width 0.15) (layer "F.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b259f)) - (segment (start 109.45 110.4) (end 108.95 110.4) (width 0.15) (layer "F.Cu") (net 99) (tstamp 2f274d35-c819-4fa4-bf08-0f05441a1514)) - (segment (start 109.7 110.15) (end 109.45 110.4) (width 0.15) (layer "F.Cu") (net 99) (tstamp df70582b-c4f2-479d-8c60-1cee46d8e0bc)) - (via (at 114.75 110.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b2599)) - (via (at 122.55 110.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b259d)) - (via (at 109.7 110.15) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 99) (tstamp c530039a-9616-48cc-81ab-7c9b301e469d)) - (segment (start 114.75 110.15) (end 114.65 110.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b259c)) - (segment (start 122.55 110.15) (end 114.75 110.15) (width 0.15) (layer "B.Cu") (net 99) (tstamp 00000000-0000-0000-0000-00005f4b25a0)) - (segment (start 111.35 109.75) (end 110.1 109.75) (width 0.15) (layer "B.Cu") (net 99) (tstamp 189734b9-8485-4c30-8cf0-796856677229)) - (segment (start 114.75 110.15) (end 111.75 110.15) (width 0.15) (layer "B.Cu") (net 99) (tstamp 1b03311f-6d16-4213-808a-96597816d097)) - (segment (start 111.75 110.15) (end 111.35 109.75) (width 0.15) (layer "B.Cu") (net 99) (tstamp bf38fd98-a723-4065-8c4e-fb6cd31212e5)) - (segment (start 110.1 109.75) (end 109.7 110.15) (width 0.15) (layer "B.Cu") (net 99) (tstamp f3df0678-96d4-4652-9001-a89868c1f45e)) - (segment (start 114.65 105.45) (end 114.75 105.35) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b2)) - (segment (start 112.9 105.45) (end 114.6 105.45) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b3)) - (segment (start 122.55 105.35) (end 122.65 105.45) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b5)) - (segment (start 122.65 105.45) (end 124.4 105.45) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b6)) - (segment (start 109.45 106) (end 108.95 106) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4db18a)) - (segment (start 109.7 105.75) (end 109.45 106) (width 0.15) (layer "F.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4db18b)) - (via (at 114.75 105.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b1)) - (via (at 122.55 105.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b4)) - (via (at 109.7 105.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4db189)) - (segment (start 114.75 105.35) (end 122.55 105.35) (width 0.15) (layer "B.Cu") (net 100) (tstamp 00000000-0000-0000-0000-00005f4b25b7)) - (segment (start 110.5 104.95) (end 111.25 104.95) (width 0.15) (layer "B.Cu") (net 100) (tstamp 25dcf1b7-43fe-4f66-9cb1-3580284f763b)) - (segment (start 109.7 105.75) (end 110.5 104.95) (width 0.15) (layer "B.Cu") (net 100) (tstamp 5aec5c76-9c76-4aad-b7fa-9f497abad71a)) - (segment (start 111.65 105.35) (end 114.75 105.35) (width 0.15) (layer "B.Cu") (net 100) (tstamp a3a95987-dbc7-46c3-9b74-39d0bc0f6070)) - (segment (start 111.25 104.95) (end 111.65 105.35) (width 0.15) (layer "B.Cu") (net 100) (tstamp d1f5dbe4-d66e-4e26-be2b-62f3bc80c54d)) - (segment (start 114.7 103.85) (end 112.9 103.85) (width 0.15) (layer "F.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4b25a9)) - (segment (start 124.4 103.85) (end 122.55 103.85) (width 0.15) (layer "F.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4b25ab)) - (segment (start 108.95 105.45) (end 108.95 104.85) (width 0.15) (layer "F.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4db18c)) - (via (at 114.75 103.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4b25a8)) - (via (at 122.55 103.85) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4b25aa)) - (via (at 108.95 104.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4db18d)) - (segment (start 122.55 103.85) (end 114.75 103.85) (width 0.15) (layer "B.Cu") (net 101) (tstamp 00000000-0000-0000-0000-00005f4b25ac)) - (segment (start 109.95 103.85) (end 108.95 104.85) (width 0.15) (layer "B.Cu") (net 101) (tstamp 160cb44e-5e81-454b-9642-f95193231b95)) - (segment (start 114.75 103.85) (end 109.95 103.85) (width 0.15) (layer "B.Cu") (net 101) (tstamp dd08cf63-80f1-4a88-b3ea-950c9bf1164b)) - (segment (start 114.65 106.25) (end 114.75 106.35) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b2534)) - (segment (start 112.9 106.25) (end 114.6 106.25) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b253c)) - (segment (start 122.65 106.25) (end 124.4 106.25) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b253f)) - (segment (start 122.55 106.35) (end 122.65 106.25) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b2546)) - (segment (start 109.7 106.75) (end 109.45 106.5) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4db18e)) - (segment (start 109.45 106.5) (end 108.95 106.5) (width 0.15) (layer "F.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4db18f)) - (via (at 122.55 106.35) (size 0.508) (drill 0.2) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b2533)) - (via (at 114.75 106.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b2545)) - (via (at 109.7 106.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4db190)) - (segment (start 122.55 106.35) (end 114.75 106.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp 00000000-0000-0000-0000-00005f4b2547)) - (segment (start 114.75 106.35) (end 110.6 106.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp 3dd67e23-151f-4030-9f89-07540f8b3bb5)) - (segment (start 110.2 106.75) (end 109.7 106.75) (width 0.15) (layer "B.Cu") (net 102) (tstamp 3de27c1c-897a-4a6c-b0f7-6b3c6fd91fd1)) - (segment (start 110.6 106.35) (end 110.2 106.75) (width 0.15) (layer "B.Cu") (net 102) (tstamp 5946461c-3619-4297-ada8-808db114b5fb)) - (segment (start 114.65 107.85) (end 114.75 107.75) (width 0.15) (layer "F.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2583)) - (segment (start 112.9 107.85) (end 114.6 107.85) (width 0.15) (layer "F.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2584)) - (segment (start 122.65 107.85) (end 124.4 107.85) (width 0.15) (layer "F.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2587)) - (segment (start 122.55 107.75) (end 122.65 107.85) (width 0.15) (layer "F.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2588)) - (segment (start 108.95 107.05) (end 108.95 107.65) (width 0.15) (layer "F.Cu") (net 103) (tstamp e2c309e4-b8cd-4d42-b61b-673943cf082a)) - (via (at 114.75 107.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2585)) - (via (at 122.55 107.75) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2586)) - (via (at 108.95 107.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 103) (tstamp a4372ae3-288f-4a9a-96e7-306ddba718f6)) - (segment (start 116.300002 107.75) (end 122.55 107.75) (width 0.15) (layer "B.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b2589)) - (segment (start 114.75 107.75) (end 116.300002 107.75) (width 0.15) (layer "B.Cu") (net 103) (tstamp 00000000-0000-0000-0000-00005f4b258a)) - (segment (start 114.75 107.75) (end 110.85 107.75) (width 0.15) (layer "B.Cu") (net 103) (tstamp 0c9e7917-e0a0-46fb-b233-2640231d0e2c)) - (segment (start 109.75 108.45) (end 108.95 107.65) (width 0.15) (layer "B.Cu") (net 103) (tstamp 202e566d-5dd9-4e58-8d82-bf96da938851)) - (segment (start 110.15 108.45) (end 109.75 108.45) (width 0.15) (layer "B.Cu") (net 103) (tstamp 2b670198-954c-4e3b-b1b0-4485bbd2f4ee)) - (segment (start 110.85 107.75) (end 110.15 108.45) (width 0.15) (layer "B.Cu") (net 103) (tstamp 719303cc-9ddf-4f19-9751-b8db3875f499)) - (segment (start 96.75 96.45) (end 96.45 96.45) (width 0.15) (layer "F.Cu") (net 104) (tstamp 4126d392-495e-4ef5-9351-6f700c8637bc)) - (segment (start 97.2 96.9) (end 96.75 96.45) (width 0.15) (layer "F.Cu") (net 104) (tstamp 63a30107-e64a-4f1f-b117-b90cb84b149e)) - (segment (start 95.55 94.95) (end 95.55 93.7375) (width 0.15) (layer "F.Cu") (net 104) (tstamp 89b81b16-224b-4483-a357-720a8e6eb208)) - (segment (start 95.45 95.45) (end 95.45 95.05) (width 0.15) (layer "F.Cu") (net 104) (tstamp a092ea0d-146f-427f-adaf-641182334974)) - (segment (start 124.4 102.25) (end 122.6 102.25) (width 0.15) (layer "F.Cu") (net 104) (tstamp a43ae97f-ff8c-43dd-8d6d-82a22f1be9b5)) - (segment (start 95.45 95.05) (end 95.55 94.95) (width 0.15) (layer "F.Cu") (net 104) (tstamp c77b66c0-41f5-4d31-abb8-e152e2d28a11)) - (segment (start 96.45 96.45) (end 95.45 95.45) (width 0.15) (layer "F.Cu") (net 104) (tstamp ff870511-3a90-49f1-9990-5aec7ad35822)) - (via (at 97.2 96.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 104) (tstamp cacc113d-885e-464c-bed1-96200200e5f6)) - (via (at 122.6 102.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 104) (tstamp e671ffe9-4ebb-42bd-be8d-cda9a798e138)) - (segment (start 99.1 104.2) (end 99.1 99.75) (width 0.15) (layer "B.Cu") (net 104) (tstamp 3adb9496-2d9f-40cf-b330-cf802996ea7f)) - (segment (start 122.6 102.25) (end 114.15 102.25) (width 0.15) (layer "B.Cu") (net 104) (tstamp 42f4679b-2c4d-49cf-8f9e-afb5127a3112)) - (segment (start 100.25 105.35) (end 99.1 104.2) (width 0.15) (layer "B.Cu") (net 104) (tstamp 4e861688-f76d-4846-81a3-359bef1f427a)) - (segment (start 102.4 105.35) (end 100.25 105.35) (width 0.15) (layer "B.Cu") (net 104) (tstamp 53a382a5-9123-45f3-a2e9-3b2de6ca541d)) - (segment (start 103.4 106.35) (end 102.4 105.35) (width 0.15) (layer "B.Cu") (net 104) (tstamp 6162fbb8-6718-45ec-b23f-6a6f1488ec21)) - (segment (start 100.05 98.8) (end 100.05 97.4) (width 0.15) (layer "B.Cu") (net 104) (tstamp 6a82e1e6-8e23-40fe-9f7f-da90c0712b96)) - (segment (start 113.45 101.55) (end 110.6 101.55) (width 0.15) (layer "B.Cu") (net 104) (tstamp 6e18bff7-8b21-4bb4-8a05-3a319b07518f)) - (segment (start 110.6 101.55) (end 109.7 102.45) (width 0.15) (layer "B.Cu") (net 104) (tstamp 720f9518-b0d8-4879-8ffc-0a3335e2eb9d)) - (segment (start 114.15 102.25) (end 113.45 101.55) (width 0.15) (layer "B.Cu") (net 104) (tstamp 95a40d19-41c6-4680-9b37-9cb1bed1a413)) - (segment (start 100.05 97.4) (end 99.55 96.9) (width 0.15) (layer "B.Cu") (net 104) (tstamp a2c6281c-1798-4c93-a973-786fd5788e7e)) - (segment (start 99.55 96.9) (end 97.2 96.9) (width 0.15) (layer "B.Cu") (net 104) (tstamp a43a5da1-e224-4f65-b747-f67973f2af88)) - (segment (start 108.45 102.45) (end 104.55 106.35) (width 0.15) (layer "B.Cu") (net 104) (tstamp a58b425b-6fc3-4a86-ae11-a84decf83c5a)) - (segment (start 104.55 106.35) (end 103.4 106.35) (width 0.15) (layer "B.Cu") (net 104) (tstamp c548aac3-2100-48bf-a57e-c299f9466e79)) - (segment (start 99.1 99.75) (end 100.05 98.8) (width 0.15) (layer "B.Cu") (net 104) (tstamp c6750bbb-1f60-4923-a832-20fb722c1b93)) - (segment (start 109.7 102.45) (end 108.45 102.45) (width 0.15) (layer "B.Cu") (net 104) (tstamp f5bc60e0-ca9c-4444-9bc3-6e40e983addd)) - (segment (start 100.85 103.9) (end 101.7125 103.9) (width 0.15) (layer "F.Cu") (net 105) (tstamp 3a13a33d-0399-4bf3-800a-72a2421cb176)) - (segment (start 99.6 104) (end 100.75 104) (width 0.15) (layer "F.Cu") (net 105) (tstamp c2288b71-0313-4831-b20b-64c01771a6a6)) - (segment (start 124.4 101.45) (end 123.25 101.45) (width 0.15) (layer "F.Cu") (net 105) (tstamp cbbec9dc-3ece-41ba-b187-0bad09b173d6)) - (segment (start 100.75 104) (end 100.85 103.9) (width 0.15) (layer "F.Cu") (net 105) (tstamp f8deac2f-522c-4605-b44f-70351a68e5b0)) - (via (at 99.6 104) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 105) (tstamp 0b2da3ef-2445-490e-b668-8ae41309ee36)) - (via (at 123.25 101.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 105) (tstamp 619cf9e3-25a5-4699-bab6-469aedc62cab)) - (segment (start 105.1 101) (end 105.95 101.85) (width 0.15) (layer "B.Cu") (net 105) (tstamp 05bdee95-c42e-4b6f-9645-2ec41619b2fe)) - (segment (start 99.6 104) (end 100.9 104) (width 0.15) (layer "B.Cu") (net 105) (tstamp 0afa5357-c57e-42cd-b476-72d99f39fe9f)) - (segment (start 102.65 102.25) (end 103.2 102.25) (width 0.15) (layer "B.Cu") (net 105) (tstamp 10a5cee8-0f6f-4aac-80c1-915f5fcf52f0)) - (segment (start 103.2 102.25) (end 104.45 101) (width 0.15) (layer "B.Cu") (net 105) (tstamp 15fcf661-f7ee-4981-92aa-29fa30316a60)) - (segment (start 105.95 101.85) (end 109.4 101.85) (width 0.15) (layer "B.Cu") (net 105) (tstamp 2652ca87-c786-4061-81b7-9315b84b5d2c)) - (segment (start 100.9 104) (end 102.65 102.25) (width 0.15) (layer "B.Cu") (net 105) (tstamp 55dcb42c-b26a-49b8-8a1f-cc80851d2e4d)) - (segment (start 114.25 100.95) (end 114.75 101.45) (width 0.15) (layer "B.Cu") (net 105) (tstamp 7da9f5c8-a062-40f4-88c6-61890bbc359f)) - (segment (start 114.75 101.45) (end 123.25 101.45) (width 0.15) (layer "B.Cu") (net 105) (tstamp 99772301-d596-41c7-ac2d-d8320c28783c)) - (segment (start 109.4 101.85) (end 110.3 100.95) (width 0.15) (layer "B.Cu") (net 105) (tstamp b3d89762-54ee-4dc0-8c86-98a5d2a2dca5)) - (segment (start 110.3 100.95) (end 114.25 100.95) (width 0.15) (layer "B.Cu") (net 105) (tstamp df425070-f6bd-4dc2-bc2c-ec8e49ad418d)) - (segment (start 104.45 101) (end 105.1 101) (width 0.15) (layer "B.Cu") (net 105) (tstamp f138c51d-0ee0-424a-a154-6e86a60a846b)) - (segment (start 124.4 100.65) (end 122.6 100.65) (width 0.15) (layer "F.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005f5126ae)) - (segment (start 100.85 102.4) (end 101.7125 102.4) (width 0.15) (layer "F.Cu") (net 106) (tstamp 4b91a28b-e778-4691-8d2b-bb09bc10e8e8)) - (segment (start 100.75 102.3) (end 100.85 102.4) (width 0.15) (layer "F.Cu") (net 106) (tstamp 6af91ec1-f5c6-4c49-998d-22cb7b1bdc03)) - (segment (start 99.75 102.3) (end 100.75 102.3) (width 0.15) (layer "F.Cu") (net 106) (tstamp aac506cf-4156-47e4-9980-1111a3bb6bcc)) - (segment (start 99.6 102.45) (end 99.75 102.3) (width 0.15) (layer "F.Cu") (net 106) (tstamp ac975f7b-5c1b-42e6-a54b-1829692bd60c)) - (via (at 122.6 100.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005f5126ad)) - (via (at 99.6 102.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 106) (tstamp 00000000-0000-0000-0000-00005fa0877e)) - (segment (start 106.1 101.55) (end 109.25 101.55) (width 0.15) (layer "B.Cu") (net 106) (tstamp 05bcb62f-e639-408b-893f-71715cd8f94a)) - (segment (start 110.15 100.65) (end 122.6 100.65) (width 0.15) (layer "B.Cu") (net 106) (tstamp 0fe73d7c-983e-4368-b1af-2c7091659c0b)) - (segment (start 105.25 100.7) (end 106.1 101.55) (width 0.15) (layer "B.Cu") (net 106) (tstamp 446bf57c-8a66-4199-8c1c-73dc66bbce20)) - (segment (start 102.15 102.3) (end 102.5 101.95) (width 0.15) (layer "B.Cu") (net 106) (tstamp 52194c94-e7df-49ff-beb1-04a1b4f2344e)) - (segment (start 109.25 101.55) (end 110.15 100.65) (width 0.15) (layer "B.Cu") (net 106) (tstamp 9d12ed3c-0713-4da7-86c7-5331347f3457)) - (segment (start 102.5 101.95) (end 103.05 101.95) (width 0.15) (layer "B.Cu") (net 106) (tstamp b867fb16-61a5-4031-9766-9c1c9e8171a2)) - (segment (start 99.6 102.45) (end 99.75 102.3) (width 0.15) (layer "B.Cu") (net 106) (tstamp c1d15993-12e6-4c0d-a72e-2f76d98a62f2)) - (segment (start 99.75 102.3) (end 102.15 102.3) (width 0.15) (layer "B.Cu") (net 106) (tstamp df0a2432-7a90-46bd-b54d-8bf995c9c0f2)) - (segment (start 104.3 100.7) (end 105.25 100.7) (width 0.15) (layer "B.Cu") (net 106) (tstamp e8a669b7-c663-4fa5-9b1f-ce9eb01dc726)) - (segment (start 103.05 101.95) (end 104.3 100.7) (width 0.15) (layer "B.Cu") (net 106) (tstamp e92c974a-b07f-4799-a79e-f281f85dbc1a)) - (segment (start 124.4 99.85) (end 123.25 99.85) (width 0.15) (layer "F.Cu") (net 107) (tstamp 00000000-0000-0000-0000-00005f5126ac)) - (segment (start 99.65 101.25) (end 100.3 101.9) (width 0.15) (layer "F.Cu") (net 107) (tstamp 24cb67fc-f0c9-4f6e-88c1-7636ab854c5e)) - (segment (start 99.65 100.9) (end 99.65 101.25) (width 0.15) (layer "F.Cu") (net 107) (tstamp a76c0baf-6e69-4f8d-a142-018c46047833)) - (segment (start 100.3 101.9) (end 101.7125 101.9) (width 0.15) (layer "F.Cu") (net 107) (tstamp b0f642eb-e44e-4747-9d08-48aa7b02d88d)) - (via (at 123.25 99.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 107) (tstamp 00000000-0000-0000-0000-00005f5126ab)) - (via (at 99.65 100.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 107) (tstamp e9b2f4e0-b0c4-45da-921b-36e4af201264)) - (segment (start 106.25 101.25) (end 105.5 100.5) (width 0.15) (layer "B.Cu") (net 107) (tstamp 0f28d312-e674-493b-bb0d-24fe0fb55a5f)) - (segment (start 105.5 100.5) (end 105.5 100.3) (width 0.15) (layer "B.Cu") (net 107) (tstamp 290311ab-2acc-454a-9a59-6cba16c0a08d)) - (segment (start 104.9 99.7) (end 104.5 99.7) (width 0.15) (layer "B.Cu") (net 107) (tstamp 2cad3fe2-0f3b-467e-9c49-f271aa1ec49b)) - (segment (start 123.25 99.85) (end 115.4 99.85) (width 0.15) (layer "B.Cu") (net 107) (tstamp 347b3477-2f16-4a24-a474-1e5febecef0e)) - (segment (start 110 100.35) (end 109.1 101.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 58eb1f49-1e5e-4c0c-97da-fb971f13fe25)) - (segment (start 115.4 99.85) (end 114.9 100.35) (width 0.15) (layer "B.Cu") (net 107) (tstamp 6ddca9c6-d93f-48af-8707-e3012416640e)) - (segment (start 109.1 101.25) (end 106.25 101.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 951f92e3-c509-40e8-964b-37dd7e0e82bf)) - (segment (start 103.3 100.9) (end 99.65 100.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp b89754be-9738-4e5f-8e95-e260ee696903)) - (segment (start 104.5 99.7) (end 103.3 100.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp de6a8a79-ffb1-408e-99f7-331b8dd7ba96)) - (segment (start 105.5 100.3) (end 104.9 99.7) (width 0.15) (layer "B.Cu") (net 107) (tstamp f28095b2-5bdd-4916-8fd7-8ee2cde7e2ae)) - (segment (start 114.9 100.35) (end 110 100.35) (width 0.15) (layer "B.Cu") (net 107) (tstamp f711db5e-77b0-4494-90e8-aecb55e572ba)) - (segment (start 124.4 99.05) (end 122.6 99.05) (width 0.15) (layer "F.Cu") (net 108) (tstamp 00000000-0000-0000-0000-00005f5126be)) - (segment (start 101.7125 99.4) (end 102.85 99.4) (width 0.15) (layer "F.Cu") (net 108) (tstamp 642badde-3a43-415c-9e9a-0400e9ad9539)) - (via (at 122.6 99.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 108) (tstamp 00000000-0000-0000-0000-00005f5126bd)) - (via (at 102.85 99.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 108) (tstamp 6ae74015-156b-4b08-b0b7-49ff17fb760f)) - (segment (start 107.2 97.5) (end 108.1 98.4) (width 0.15) (layer "B.Cu") (net 108) (tstamp 111becb9-cb80-417e-8fbe-97b6e8030333)) - (segment (start 108.1 98.4) (end 109.3 98.4) (width 0.15) (layer "B.Cu") (net 108) (tstamp 1df88bde-ee9c-4b31-90f5-5e91fa88d17a)) - (segment (start 114.75 99.05) (end 122.6 99.05) (width 0.15) (layer "B.Cu") (net 108) (tstamp 2022f2c2-2d52-4762-8871-c3aaafed73b6)) - (segment (start 109.3 98.4) (end 109.85 98.95) (width 0.15) (layer "B.Cu") (net 108) (tstamp 2ab6f680-d446-4f8f-9f8c-8ce4722c87d3)) - (segment (start 113.05 98.95) (end 113.65 99.55) (width 0.15) (layer "B.Cu") (net 108) (tstamp 3c0e161b-77de-41cd-8057-090b9a285b00)) - (segment (start 113.65 99.55) (end 114.25 99.55) (width 0.15) (layer "B.Cu") (net 108) (tstamp 461c24bd-c29b-4d81-bd76-c5414eb04a70)) - (segment (start 109.85 98.95) (end 113.05 98.95) (width 0.15) (layer "B.Cu") (net 108) (tstamp 6b065e8e-fef9-4b30-824e-7d9ccd606772)) - (segment (start 103.05 99.4) (end 104.95 97.5) (width 0.15) (layer "B.Cu") (net 108) (tstamp b73bc21e-e4fc-434c-9782-67f831579d00)) - (segment (start 114.25 99.55) (end 114.75 99.05) (width 0.15) (layer "B.Cu") (net 108) (tstamp c78f65fa-a030-469f-965a-f81d8f3afba6)) - (segment (start 102.85 99.4) (end 103.05 99.4) (width 0.15) (layer "B.Cu") (net 108) (tstamp cc0d08d7-1c65-4883-9efb-f30fa51da8b0)) - (segment (start 104.95 97.5) (end 107.2 97.5) (width 0.15) (layer "B.Cu") (net 108) (tstamp fec985c7-f284-4d68-8727-af7eebd8b5f8)) - (segment (start 124.4 95.85) (end 122.6 95.85) (width 0.15) (layer "F.Cu") (net 109) (tstamp 00000000-0000-0000-0000-00005f5126d6)) - (segment (start 101.7125 95.4) (end 102.85 95.4) (width 0.15) (layer "F.Cu") (net 109) (tstamp 1a8a76a0-6023-468a-bf57-4aeb52d09b1d)) - (via (at 122.6 95.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 109) (tstamp 00000000-0000-0000-0000-00005f5126d2)) - (via (at 102.85 95.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 109) (tstamp 5fc32f47-b50c-49bd-8a82-dd68c0426109)) - (segment (start 104.175 94.35) (end 103.125 95.4) (width 0.15) (layer "B.Cu") (net 109) (tstamp 165068c6-cae0-4fb2-b201-2f3f8a0b28a0)) - (segment (start 114.8 95.85) (end 114.3 96.35) (width 0.15) (layer "B.Cu") (net 109) (tstamp 3fb2e8e3-7579-49ea-8f1f-0415e04bfd8d)) - (segment (start 114.3 96.35) (end 111.8 96.35) (width 0.15) (layer "B.Cu") (net 109) (tstamp 4208e0be-10e2-4b80-a414-1519879271b4)) - (segment (start 122.6 95.85) (end 114.8 95.85) (width 0.15) (layer "B.Cu") (net 109) (tstamp 56de11c8-54d5-46a3-86f3-42d9503bfc91)) - (segment (start 111.8 96.35) (end 109.8 94.35) (width 0.15) (layer "B.Cu") (net 109) (tstamp 5df1d574-4ca4-471a-801a-bb2b89833513)) - (segment (start 103.125 95.4) (end 102.85 95.4) (width 0.15) (layer "B.Cu") (net 109) (tstamp 7f5c5a33-bffa-44be-b723-f59e60ea9e4b)) - (segment (start 109.8 94.35) (end 104.175 94.35) (width 0.15) (layer "B.Cu") (net 109) (tstamp 806b945e-fc59-4641-ae29-5257d31d3d70)) - (segment (start 124.4 93.45) (end 121.95 93.45) (width 0.15) (layer "F.Cu") (net 110) (tstamp 22b36c73-46e7-4496-8b98-f69a5955de22)) - (segment (start 95.95 95.25) (end 96.05 95.15) (width 0.15) (layer "F.Cu") (net 110) (tstamp 6ec4beb8-dbfb-4b48-921c-f98b9d0706b5)) - (segment (start 96.05 95.15) (end 96.05 93.7375) (width 0.15) (layer "F.Cu") (net 110) (tstamp c623739f-e556-4bf3-bf0d-ea8f14f7750e)) - (via (at 121.95 93.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00005f76d3e3)) - (via (at 95.95 95.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 110) (tstamp 00000000-0000-0000-0000-00006070e39d)) - (segment (start 96.05 94.7) (end 96.05 95.15) (width 0.15) (layer "B.Cu") (net 110) (tstamp 030f7528-01d8-4f5d-b375-396511a3f702)) - (segment (start 114.9 93.95) (end 115.4 93.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp 1b27d1c8-f65f-4837-ac2a-4472d56cd4ff)) - (segment (start 114.5 93.95) (end 114.9 93.95) (width 0.15) (layer "B.Cu") (net 110) (tstamp 1fad9050-55c5-4235-9608-ea9460329cdb)) - (segment (start 110.65 92.55) (end 111.85 93.75) (width 0.15) (layer "B.Cu") (net 110) (tstamp 2965d96a-703d-45a6-8083-ee4575c36bb7)) - (segment (start 96.05 95.15) (end 95.95 95.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 2ee91d7b-5181-4f17-a629-4c470c00b784)) - (segment (start 102.45 93.4) (end 103.3 92.55) (width 0.15) (layer "B.Cu") (net 110) (tstamp 360bedc1-8522-4c8c-bbbd-baca6d69d40e)) - (segment (start 101.5 93.8) (end 101.9 93.4) (width 0.15) (layer "B.Cu") (net 110) (tstamp 43bdf38e-b010-49fa-901f-90246bfdfc87)) - (segment (start 96.05 94.7) (end 96.95 93.8) (width 0.15) (layer "B.Cu") (net 110) (tstamp 4406c962-ad4e-4078-b602-6c519257203f)) - (segment (start 101.9 93.4) (end 102.45 93.4) (width 0.15) (layer "B.Cu") (net 110) (tstamp 520fd06c-b6b9-4c42-9bfc-5c3d2d29f14b)) - (segment (start 114.3 93.75) (end 114.5 93.95) (width 0.15) (layer "B.Cu") (net 110) (tstamp 7bd6fa35-9259-4a2d-8279-ba81ed2069f9)) - (segment (start 103.3 92.55) (end 110.65 92.55) (width 0.15) (layer "B.Cu") (net 110) (tstamp 88c879b0-2510-4f44-a16d-26dd08b3c12a)) - (segment (start 111.85 93.75) (end 114.3 93.75) (width 0.15) (layer "B.Cu") (net 110) (tstamp b55f6fd6-b5a9-46c1-9ccf-a9b9dbedb0ae)) - (segment (start 96.95 93.8) (end 101.5 93.8) (width 0.15) (layer "B.Cu") (net 110) (tstamp d75bbaff-de62-4f47-b2c1-42ba1e99da40)) - (segment (start 115.4 93.45) (end 121.95 93.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp e7cc72e9-2528-4173-ac91-2a1600dc3104)) - (segment (start 100.05 93.7375) (end 100.05 92.6) (width 0.15) (layer "F.Cu") (net 111) (tstamp 1e2b7ca4-bf12-4484-baf4-f8f4ad434bb3)) - (segment (start 112.9 93.45) (end 114.7 93.45) (width 0.15) (layer "F.Cu") (net 111) (tstamp 41f99891-7a2b-4f30-b64b-8a3195d07d40)) - (via (at 114.7 93.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 111) (tstamp 7aafb32f-7d1e-405c-a119-d6e845ab6ed7)) - (via (at 100.05 92.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 111) (tstamp d3a51349-28f4-4529-a091-383e21c10a0b)) - (segment (start 103.15 92.25) (end 110.8 92.25) (width 0.15) (layer "B.Cu") (net 111) (tstamp 5b176ccc-587a-4308-8c95-991bd5be9b68)) - (segment (start 100.05 92.6) (end 100.95 93.5) (width 0.15) (layer "B.Cu") (net 111) (tstamp 5b6af5a7-591e-4959-8c60-02f298d40677)) - (segment (start 112 93.45) (end 113.950002 93.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp 6832f754-a6e6-478a-bd86-858502b6adf6)) - (segment (start 113.950002 93.45) (end 114.7 93.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp 73f848b4-ade7-4987-86e9-cda67c99315b)) - (segment (start 110.8 92.25) (end 112 93.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp 8ae55606-cfbf-467b-98ad-b305173bd9ee)) - (segment (start 102.3 93.1) (end 103.15 92.25) (width 0.15) (layer "B.Cu") (net 111) (tstamp 9da855b0-f953-4d94-ac15-68c62fcf943f)) - (segment (start 101.35 93.5) (end 101.75 93.1) (width 0.15) (layer "B.Cu") (net 111) (tstamp c04e50f2-d5aa-4a23-a606-4b4ca7d7a313)) - (segment (start 100.95 93.5) (end 101.35 93.5) (width 0.15) (layer "B.Cu") (net 111) (tstamp c221eefe-1cf5-48d5-b941-f08de75c2fe3)) - (segment (start 101.75 93.1) (end 102.3 93.1) (width 0.15) (layer "B.Cu") (net 111) (tstamp de589fca-e528-4d9d-88c3-9fb59d406d80)) - (segment (start 112.9 95.05) (end 114.7 95.05) (width 0.15) (layer "F.Cu") (net 112) (tstamp 00000000-0000-0000-0000-00005f514021)) - (segment (start 99.55 94.8) (end 99.65 94.9) (width 0.15) (layer "F.Cu") (net 112) (tstamp 2adbad2b-46af-4caa-a651-e9f024a9fb8b)) - (segment (start 99.55 93.7375) (end 99.55 94.8) (width 0.15) (layer "F.Cu") (net 112) (tstamp e254fbf4-1596-4274-a2c3-cd2c87e0c836)) - (via (at 114.7 95.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 112) (tstamp 00000000-0000-0000-0000-00005f514022)) - (via (at 99.65 94.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 112) (tstamp 4cd38139-85d8-4bb0-8ec5-44fb4adb00fa)) - (segment (start 110.225 93.45) (end 111.825 95.05) (width 0.15) (layer "B.Cu") (net 112) (tstamp 3487b883-d132-4810-af37-6ee3794b3652)) - (segment (start 102.35 94.3) (end 102.9 94.3) (width 0.15) (layer "B.Cu") (net 112) (tstamp 372eb80c-116e-4b19-abae-92abb6d35e81)) - (segment (start 99.95 94.9) (end 100.15 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp 4497622e-6a35-4d56-b145-e61873b6a125)) - (segment (start 102.9 94.3) (end 103.75 93.45) (width 0.15) (layer "B.Cu") (net 112) (tstamp 4cdd8415-dbde-4f4a-9692-de5bfb341275)) - (segment (start 99.65 94.9) (end 99.95 94.9) (width 0.15) (layer "B.Cu") (net 112) (tstamp 5f3f0408-a3b0-4f22-91e2-9a024ab006ab)) - (segment (start 100.15 94.7) (end 101.95 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp a1a89e2c-c297-4307-a1ff-efd1e2a95a5d)) - (segment (start 103.75 93.45) (end 110.225 93.45) (width 0.15) (layer "B.Cu") (net 112) (tstamp e4da03fa-98df-4f6e-905c-6338b6b66b7e)) - (segment (start 111.825 95.05) (end 114.7 95.05) (width 0.15) (layer "B.Cu") (net 112) (tstamp e93b4aa0-7fe2-4b97-9fb5-c5458e04e006)) - (segment (start 101.95 94.7) (end 102.35 94.3) (width 0.15) (layer "B.Cu") (net 112) (tstamp fc98aaf7-0aba-4c7e-a96d-56e31c31a588)) - (segment (start 112.9 99.85) (end 114.7 99.85) (width 0.15) (layer "F.Cu") (net 113) (tstamp 00000000-0000-0000-0000-00005f514045)) - (segment (start 101.7125 99.9) (end 99.65 99.9) (width 0.15) (layer "F.Cu") (net 113) (tstamp 87e4b1bb-0b21-4bc6-b11f-269a3347496b)) - (via (at 114.7 99.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 113) (tstamp 00000000-0000-0000-0000-00005f514046)) - (via (at 99.65 99.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 113) (tstamp 87098d73-0d35-4a8f-aa7f-ade9272dc761)) - (segment (start 106.9 98.7) (end 109.15 98.7) (width 0.15) (layer "B.Cu") (net 113) (tstamp 0270c5c4-c68e-47b7-a6f1-50651981be2d)) - (segment (start 106.55 98.35) (end 106.9 98.7) (width 0.15) (layer "B.Cu") (net 113) (tstamp 09ab9b2a-26ef-4942-ba61-f8a6673867aa)) - (segment (start 112.9 99.25) (end 113.5 99.85) (width 0.15) (layer "B.Cu") (net 113) (tstamp 2923d83c-3334-4b85-acfa-e9f2eb6f5eb5)) - (segment (start 104.625 98.35) (end 106.55 98.35) (width 0.15) (layer "B.Cu") (net 113) (tstamp 73917165-0d82-4691-91ca-2eb1b8bbe05e)) - (segment (start 113.5 99.85) (end 114.7 99.85) (width 0.15) (layer "B.Cu") (net 113) (tstamp 755ad553-6d1c-4617-8f56-6e9d2cd4d51f)) - (segment (start 109.7 99.25) (end 112.9 99.25) (width 0.15) (layer "B.Cu") (net 113) (tstamp 84aac022-880b-473d-82ad-f2827a88892f)) - (segment (start 103.075 99.9) (end 104.625 98.35) (width 0.15) (layer "B.Cu") (net 113) (tstamp d3349b0a-8f2b-4222-bb13-fa4f0f887f4d)) - (segment (start 99.65 99.9) (end 103.075 99.9) (width 0.15) (layer "B.Cu") (net 113) (tstamp ef855f52-01db-4405-9940-c5f27401f345)) - (segment (start 109.15 98.7) (end 109.7 99.25) (width 0.15) (layer "B.Cu") (net 113) (tstamp ff355897-ead3-4120-8dcb-1bb00ca0370c)) - (segment (start 100.5 104.5) (end 100.6 104.4) (width 0.15) (layer "F.Cu") (net 114) (tstamp 1f3dd671-b973-4373-871e-23d23284bfad)) - (segment (start 100.6 104.4) (end 101.7125 104.4) (width 0.15) (layer "F.Cu") (net 114) (tstamp b4501435-1b74-4814-ac8d-457d48a8c57b)) - (segment (start 112.9 101.45) (end 114.05 101.45) (width 0.15) (layer "F.Cu") (net 114) (tstamp feb38b83-6d1c-4038-a568-147252bfbe12)) - (via (at 100.5 104.5) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 114) (tstamp 51957904-d257-41c5-8124-dcc959977230)) - (via (at 114.05 101.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 114) (tstamp d039718a-5f93-4d2d-b957-a40b11652989)) - (segment (start 107.55 102.15) (end 105.2 104.5) (width 0.15) (layer "B.Cu") (net 114) (tstamp 4821a0f1-0757-49b5-bc91-a0ccf3e9f548)) - (segment (start 105.2 104.5) (end 100.5 104.5) (width 0.15) (layer "B.Cu") (net 114) (tstamp 8217ca7d-977c-4985-a684-eea82e5113b4)) - (segment (start 110.45 101.25) (end 109.55 102.15) (width 0.15) (layer "B.Cu") (net 114) (tstamp 9e50feee-fd1e-48c9-aa44-dd6062da7f84)) - (segment (start 114.05 101.45) (end 113.85 101.25) (width 0.15) (layer "B.Cu") (net 114) (tstamp a8f15f81-c64f-4a6a-8184-eabd4f5daa6f)) - (segment (start 109.55 102.15) (end 107.55 102.15) (width 0.15) (layer "B.Cu") (net 114) (tstamp bdd60e70-d069-432f-96bc-1e17050cb723)) - (segment (start 113.85 101.25) (end 110.45 101.25) (width 0.15) (layer "B.Cu") (net 114) (tstamp debb48c2-0606-4abf-b967-c5cd55bd0d6c)) - (segment (start 103.45 115.6) (end 100.25 112.4) (width 0.15) (layer "F.Cu") (net 115) (tstamp 065bbab7-8db3-4432-af94-d82301097bd8)) - (segment (start 107.7 115.6) (end 103.45 115.6) (width 0.15) (layer "F.Cu") (net 115) (tstamp 11ff4295-88a4-4344-8a86-eb31e1762c79)) - (segment (start 95.65 112.35) (end 95.65 110.9) (width 0.15) (layer "F.Cu") (net 115) (tstamp 150efa79-228d-47e2-89bf-fd8363924d0f)) - (segment (start 99.85 112.4) (end 99.15 113.1) (width 0.15) (layer "F.Cu") (net 115) (tstamp 1c10afe0-5886-4b8e-82fe-b4df69c407ee)) - (segment (start 110.25 119.05) (end 110.25 118.15) (width 0.15) (layer "F.Cu") (net 115) (tstamp 35119bf0-23c9-4bb2-becd-2a858b5cb4d5)) - (segment (start 110.25 118.15) (end 107.7 115.6) (width 0.15) (layer "F.Cu") (net 115) (tstamp 85e63610-ac9f-46a7-bbdc-5b101fccdd1d)) - (segment (start 96.4 113.1) (end 95.65 112.35) (width 0.15) (layer "F.Cu") (net 115) (tstamp 9b7be77a-2656-471e-885e-8c6c59fe59f7)) - (segment (start 96.55 110) (end 96.55 109.0625) (width 0.15) (layer "F.Cu") (net 115) (tstamp c36de2cd-62e2-4141-94ed-8598a4021bc0)) - (segment (start 95.65 110.9) (end 96.55 110) (width 0.15) (layer "F.Cu") (net 115) (tstamp d0583253-7f1c-498c-afba-93bf9b28c781)) - (segment (start 100.25 112.4) (end 99.85 112.4) (width 0.15) (layer "F.Cu") (net 115) (tstamp d98d557d-4f4f-49b3-9745-359bb04d0ef7)) - (segment (start 99.15 113.1) (end 96.4 113.1) (width 0.15) (layer "F.Cu") (net 115) (tstamp f87c0f2d-c04c-46a9-b58e-d24759249a2d)) - (segment (start 108.75 96.3) (end 108.85 96.4) (width 0.15) (layer "F.Cu") (net 118) (tstamp 0887e962-8f08-410d-9589-9308e22a7936)) - (segment (start 107.55 96.3) (end 108.7 96.3) (width 0.15) (layer "F.Cu") (net 118) (tstamp 159574a9-ecec-48bb-adb0-3dc9e65d4e79)) - (segment (start 107.55 96.3) (end 108.75 96.3) (width 0.15) (layer "F.Cu") (net 118) (tstamp 24edf58e-a5f8-4553-99c5-1a11459c3da5)) - (segment (start 107.4 95.65) (end 107.4 96.15) (width 0.15) (layer "F.Cu") (net 118) (tstamp 462f3238-fbc0-42d6-b76e-a63d29cc32e1)) - (segment (start 109 96.15) (end 109 95.65) (width 0.15) (layer "F.Cu") (net 118) (tstamp 4fbf7295-52ca-4bf6-b81b-f54f8903681f)) - (segment (start 108.85 98) (end 108.85 96.4) (width 0.15) (layer "F.Cu") (net 118) (tstamp 82a9a530-e248-4dc9-896c-25f6d73fe113)) - (segment (start 107.4 96.15) (end 107.55 96.3) (width 0.15) (layer "F.Cu") (net 118) (tstamp 98a311ac-38c5-418c-9c79-a5650558a468)) - (segment (start 108.85 96.3) (end 109 96.15) (width 0.15) (layer "F.Cu") (net 118) (tstamp d3006e26-11be-4e7f-bb12-87a5d58c58e2)) - (segment (start 108.75 96.3) (end 108.85 96.3) (width 0.15) (layer "F.Cu") (net 118) (tstamp dc00fa94-a583-43b2-92cf-d179c920f4b4)) - (segment (start 108.85 96.4) (end 108.85 96.3) (width 0.15) (layer "F.Cu") (net 118) (tstamp e4d2c258-274a-4398-b6a0-528d81ed8508)) - (segment (start 115 122.7) (end 115 124.2) (width 0.15) (layer "F.Cu") (net 122) (tstamp a5c7f988-1d57-48d4-82d1-1deaeac9e184)) - (segment (start 112.9 99.05) (end 114.05 99.05) (width 0.15) (layer "F.Cu") (net 123) (tstamp 00000000-0000-0000-0000-00005f514031)) - (segment (start 101.7125 98.9) (end 100.55 98.9) (width 0.15) (layer "F.Cu") (net 123) (tstamp 853b4aa5-bf64-4f10-b1c5-492731c47e3b)) - (via (at 114.05 99.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 123) (tstamp 00000000-0000-0000-0000-00005f51402d)) - (via (at 100.55 98.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 123) (tstamp becc5b0d-0352-4ad7-ac5e-da033ca0b239)) - (segment (start 113.2 98.65) (end 110 98.65) (width 0.15) (layer "B.Cu") (net 123) (tstamp 3a2b4e4a-e4df-4836-8ba6-f50f59704c20)) - (segment (start 113.85 98.85) (end 113.4 98.85) (width 0.15) (layer "B.Cu") (net 123) (tstamp 50d6612f-7f92-41c4-9e0a-c8c46e77f4d3)) - (segment (start 103.025 98.9) (end 100.55 98.9) (width 0.15) (layer "B.Cu") (net 123) (tstamp 5351e629-ee47-4afd-b6e5-171421799e39)) - (segment (start 105.475 96.45) (end 103.025 98.9) (width 0.15) (layer "B.Cu") (net 123) (tstamp 5a1ce9b7-22a6-4b53-b971-3e729d539c8a)) - (segment (start 110 98.65) (end 107.8 96.45) (width 0.15) (layer "B.Cu") (net 123) (tstamp 5bf810e2-0301-40b2-b0db-351f308659e8)) - (segment (start 113.4 98.85) (end 113.2 98.65) (width 0.15) (layer "B.Cu") (net 123) (tstamp c195be24-c988-452d-b72d-6611cbe671f7)) - (segment (start 114.05 99.05) (end 113.85 98.85) (width 0.15) (layer "B.Cu") (net 123) (tstamp ed2acee5-b6b0-4723-bb74-ad84b2a662e5)) - (segment (start 107.8 96.45) (end 105.475 96.45) (width 0.15) (layer "B.Cu") (net 123) (tstamp fe2c9782-2ff0-473c-98b0-ea9a985143fb)) - (segment (start 124.4 98.25) (end 123.25 98.25) (width 0.15) (layer "F.Cu") (net 124) (tstamp 00000000-0000-0000-0000-00005f5126bc)) - (segment (start 101.7125 98.4) (end 102.85 98.4) (width 0.15) (layer "F.Cu") (net 124) (tstamp 2fdba96d-8ce8-4d3e-9e54-485e4b754b6d)) - (via (at 123.25 98.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 124) (tstamp 00000000-0000-0000-0000-00005f5126bb)) - (via (at 102.85 98.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 124) (tstamp 97cc39d8-c871-4e37-a9ca-8f3a0ea043e7)) - (segment (start 114.9 98.75) (end 114.5 98.75) (width 0.15) (layer "B.Cu") (net 124) (tstamp 236eb5d3-1a80-4626-bf3d-45645c8c1c5e)) - (segment (start 114.3 98.55) (end 113.55 98.55) (width 0.15) (layer "B.Cu") (net 124) (tstamp 721eced1-7601-448b-b032-57ae840a5bc6)) - (segment (start 115.4 98.25) (end 114.9 98.75) (width 0.15) (layer "B.Cu") (net 124) (tstamp 7cd8109f-5f99-46a5-9e32-14f7754144db)) - (segment (start 107.95 96.15) (end 105.2875 96.15) (width 0.15) (layer "B.Cu") (net 124) (tstamp 811381f4-772f-4b0d-8bef-e02e7a34c83e)) - (segment (start 123.25 98.25) (end 115.4 98.25) (width 0.15) (layer "B.Cu") (net 124) (tstamp 86bb7e54-f037-47a0-b596-e108d6b4f269)) - (segment (start 113.55 98.55) (end 113.35 98.35) (width 0.15) (layer "B.Cu") (net 124) (tstamp b576af53-9779-4b42-bea4-4d91783d8c4b)) - (segment (start 105.2875 96.15) (end 103.0375 98.4) (width 0.15) (layer "B.Cu") (net 124) (tstamp b9a616d4-042f-40dd-b821-3bd00708dff1)) - (segment (start 110.15 98.35) (end 107.95 96.15) (width 0.15) (layer "B.Cu") (net 124) (tstamp bb30a1ab-4552-453e-850d-50bc465e6071)) - (segment (start 114.5 98.75) (end 114.3 98.55) (width 0.15) (layer "B.Cu") (net 124) (tstamp c0eebf2a-4881-44d5-83b5-dc6c113fd0d3)) - (segment (start 113.35 98.35) (end 110.15 98.35) (width 0.15) (layer "B.Cu") (net 124) (tstamp c665bf8f-ade8-4a9d-95ae-f4e3ccaa66bf)) - (segment (start 103.0375 98.4) (end 102.85 98.4) (width 0.15) (layer "B.Cu") (net 124) (tstamp f3c28ff0-c3be-47ce-bf6f-f3061324a07d)) - (segment (start 112.9 98.25) (end 114.7 98.25) (width 0.15) (layer "F.Cu") (net 125) (tstamp 00000000-0000-0000-0000-00005f51402e)) - (segment (start 101.7125 97.9) (end 100.55 97.9) (width 0.15) (layer "F.Cu") (net 125) (tstamp 43b4c41e-2f8b-4ca3-9572-a148323b8957)) - (via (at 114.7 98.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 125) (tstamp 00000000-0000-0000-0000-00005f514032)) - (via (at 100.55 97.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 125) (tstamp 0ea296d6-5875-4618-860c-bfe68796f5b4)) - (segment (start 113.7 98.25) (end 113.5 98.05) (width 0.15) (layer "B.Cu") (net 125) (tstamp 263e9b7e-c3cd-4442-851e-d2b54de99d8e)) - (segment (start 103.05 97.9) (end 100.55 97.9) (width 0.15) (layer "B.Cu") (net 125) (tstamp 36cd765a-f621-46fc-9b88-d90e333169eb)) - (segment (start 114.7 98.25) (end 113.7 98.25) (width 0.15) (layer "B.Cu") (net 125) (tstamp 75f01a69-5b72-43de-ae85-3f0e1d096e8d)) - (segment (start 113.5 98.05) (end 110.3 98.05) (width 0.15) (layer "B.Cu") (net 125) (tstamp 79a5a253-5ade-4145-9002-16ea61146340)) - (segment (start 108.1 95.85) (end 105.1 95.85) (width 0.15) (layer "B.Cu") (net 125) (tstamp 95ef25aa-dac6-44d9-90a0-efd49308b704)) - (segment (start 110.3 98.05) (end 108.1 95.85) (width 0.15) (layer "B.Cu") (net 125) (tstamp b29a0e42-fd5a-49a8-8a01-edc4123e673b)) - (segment (start 105.1 95.85) (end 103.05 97.9) (width 0.15) (layer "B.Cu") (net 125) (tstamp bc96b171-0e5f-4f36-b582-eb709cbba257)) - (segment (start 124.4 97.45) (end 122.6 97.45) (width 0.15) (layer "F.Cu") (net 126) (tstamp 00000000-0000-0000-0000-00005f5126b7)) - (segment (start 101.7125 97.4) (end 102.85 97.4) (width 0.15) (layer "F.Cu") (net 126) (tstamp e226f21d-d833-4b38-a2cd-20826072ac2f)) - (via (at 122.6 97.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 126) (tstamp 00000000-0000-0000-0000-00005f5126b9)) - (via (at 102.85 97.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 126) (tstamp b8dbe2de-283b-405e-95ac-e8f8950e16ea)) - (segment (start 122.6 97.45) (end 114.8 97.45) (width 0.15) (layer "B.Cu") (net 126) (tstamp 07e949c9-5dcb-46f5-aaf7-f5997cc8a90a)) - (segment (start 114.8 97.45) (end 114.3 97.95) (width 0.15) (layer "B.Cu") (net 126) (tstamp 1838018b-76e2-46c4-810f-488a77452c50)) - (segment (start 108.25 95.55) (end 104.9 95.55) (width 0.15) (layer "B.Cu") (net 126) (tstamp 283f6910-e54a-4bc1-a20d-86715c3ab323)) - (segment (start 113.85 97.95) (end 113.65 97.75) (width 0.15) (layer "B.Cu") (net 126) (tstamp 557efbe0-59d9-4c3b-875e-681f1d0eabac)) - (segment (start 110.45 97.75) (end 108.25 95.55) (width 0.15) (layer "B.Cu") (net 126) (tstamp 5eb244d0-032b-4a57-a147-44faacc0e313)) - (segment (start 113.65 97.75) (end 110.45 97.75) (width 0.15) (layer "B.Cu") (net 126) (tstamp dbc0323b-700b-465c-8416-a9e9aea1c906)) - (segment (start 104.9 95.55) (end 103.05 97.4) (width 0.15) (layer "B.Cu") (net 126) (tstamp e76ed5b3-3300-4086-a950-0e5fe7abe0d2)) - (segment (start 103.05 97.4) (end 102.85 97.4) (width 0.15) (layer "B.Cu") (net 126) (tstamp ec94d7fb-8ff3-47fc-9bcb-6ab1990a40ec)) - (segment (start 114.3 97.95) (end 113.85 97.95) (width 0.15) (layer "B.Cu") (net 126) (tstamp fa7a6ff2-91e8-47a3-8788-97a1388c06f6)) - (segment (start 112.9 97.45) (end 114.05 97.45) (width 0.15) (layer "F.Cu") (net 127) (tstamp 00000000-0000-0000-0000-00005f514033)) - (segment (start 101.7125 96.9) (end 100.55 96.9) (width 0.15) (layer "F.Cu") (net 127) (tstamp 1d7026ad-e7ce-455a-bbec-9db9975b9151)) - (via (at 114.05 97.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 127) (tstamp 00000000-0000-0000-0000-00005f514034)) - (via (at 100.55 96.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 127) (tstamp c1e78faf-25fc-46b6-b4c5-f5cb445c8db9)) - (segment (start 108.4 95.25) (end 104.7 95.25) (width 0.15) (layer "B.Cu") (net 127) (tstamp 292ce6ba-0c6b-4913-be49-83f41145002d)) - (segment (start 114.05 97.45) (end 110.6 97.45) (width 0.15) (layer "B.Cu") (net 127) (tstamp 2d7fbff7-ad9e-4962-b4e0-56a226f3dd6a)) - (segment (start 103.05 96.9) (end 100.55 96.9) (width 0.15) (layer "B.Cu") (net 127) (tstamp 51ce9675-eb70-4a97-98fd-269bf17eea73)) - (segment (start 110.6 97.45) (end 108.4 95.25) (width 0.15) (layer "B.Cu") (net 127) (tstamp 6ef5f8e0-5c2d-4349-9162-179c7c438d89)) - (segment (start 104.7 95.25) (end 103.05 96.9) (width 0.15) (layer "B.Cu") (net 127) (tstamp d9b1315d-9c8a-4956-90df-e5669cf68010)) - (segment (start 124.4 96.65) (end 123.25 96.65) (width 0.15) (layer "F.Cu") (net 128) (tstamp 00000000-0000-0000-0000-00005f5126b8)) - (segment (start 101.7125 96.4) (end 102.85 96.4) (width 0.15) (layer "F.Cu") (net 128) (tstamp c933003a-40a8-41cc-a69c-ec19f80cd86d)) - (via (at 123.25 96.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 128) (tstamp 00000000-0000-0000-0000-00005f5126ba)) - (via (at 102.85 96.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 128) (tstamp a8cefac6-64e1-41d0-bc58-04e647fd0fde)) - (segment (start 114.9 97.15) (end 114.5 97.15) (width 0.15) (layer "B.Cu") (net 128) (tstamp 09fb80d2-b024-4766-bca5-51e910d26f69)) - (segment (start 115.4 96.65) (end 114.9 97.15) (width 0.15) (layer "B.Cu") (net 128) (tstamp 39ac7e3c-47f1-43e5-b70d-8dfebc468916)) - (segment (start 110.55 96.95) (end 108.55 94.95) (width 0.15) (layer "B.Cu") (net 128) (tstamp 526a7a5e-afe2-4029-a038-8c14d846f3f2)) - (segment (start 114.3 96.95) (end 110.55 96.95) (width 0.15) (layer "B.Cu") (net 128) (tstamp 5423c8e8-edb6-4a4c-b102-71ca45602660)) - (segment (start 104.5 94.95) (end 103.05 96.4) (width 0.15) (layer "B.Cu") (net 128) (tstamp 8659c80d-80a2-43b9-ad9c-32ad48891220)) - (segment (start 108.55 94.95) (end 104.5 94.95) (width 0.15) (layer "B.Cu") (net 128) (tstamp b0bd4229-67bb-4dc7-9d0c-fc6ab8405f53)) - (segment (start 103.05 96.4) (end 102.85 96.4) (width 0.15) (layer "B.Cu") (net 128) (tstamp cd48f1a3-c9ad-4bac-abff-bd98a26719eb)) - (segment (start 114.5 97.15) (end 114.3 96.95) (width 0.15) (layer "B.Cu") (net 128) (tstamp e1f19822-404e-437b-a507-e38cc4c0bfe0)) - (segment (start 123.25 96.65) (end 115.4 96.65) (width 0.15) (layer "B.Cu") (net 128) (tstamp f23aaf25-de61-4f0e-9770-0b4e07746fe6)) - (segment (start 112.9 96.65) (end 114.7 96.65) (width 0.15) (layer "F.Cu") (net 129) (tstamp 00000000-0000-0000-0000-00005f51402f)) - (segment (start 101.7125 95.9) (end 100.55 95.9) (width 0.15) (layer "F.Cu") (net 129) (tstamp 75ada5c7-eed3-466b-a900-bb7cf3da6f9e)) - (via (at 114.7 96.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 129) (tstamp 00000000-0000-0000-0000-00005f514030)) - (via (at 100.55 95.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 129) (tstamp bcad968c-ae8b-4b0c-9fcd-d2e0cc6f448c)) - (segment (start 111.65 96.65) (end 109.65 94.65) (width 0.15) (layer "B.Cu") (net 129) (tstamp 22df74e7-4d34-42bf-850f-da14c7fd1281)) - (segment (start 102.65 95.9) (end 102.35 95.6) (width 0.15) (layer "B.Cu") (net 129) (tstamp 38d2e88e-817b-499b-a8dc-6ffe82e53baa)) - (segment (start 104.3 94.65) (end 103.05 95.9) (width 0.15) (layer "B.Cu") (net 129) (tstamp 6050ade4-d8f2-4a7b-93e2-d062e93e9edb)) - (segment (start 109.65 94.65) (end 104.3 94.65) (width 0.15) (layer "B.Cu") (net 129) (tstamp 6ac440ba-4881-4f79-8968-a3e9f9fd1b3e)) - (segment (start 114.7 96.65) (end 111.65 96.65) (width 0.15) (layer "B.Cu") (net 129) (tstamp 83128908-7808-4723-b26c-8992131a5841)) - (segment (start 102.35 95.6) (end 100.85 95.6) (width 0.15) (layer "B.Cu") (net 129) (tstamp ccc51975-f79d-42b1-9218-b1bb4e005f58)) - (segment (start 103.05 95.9) (end 102.65 95.9) (width 0.15) (layer "B.Cu") (net 129) (tstamp d12fa963-6d6a-4144-97fd-b5e112c10b91)) - (segment (start 100.85 95.6) (end 100.55 95.9) (width 0.15) (layer "B.Cu") (net 129) (tstamp f4c67df3-763c-4141-be1b-5de814d62315)) - (segment (start 112.9 95.85) (end 114.05 95.85) (width 0.15) (layer "F.Cu") (net 130) (tstamp 00000000-0000-0000-0000-00005f514023)) - (segment (start 99.15 94.725) (end 99.05 94.625) (width 0.15) (layer "F.Cu") (net 130) (tstamp 1bc69943-163a-4f23-a1b2-869455d3610c)) - (segment (start 99.05 94.625) (end 99.05 93.7375) (width 0.15) (layer "F.Cu") (net 130) (tstamp a773823e-0f26-4fe7-b141-87b580d11b17)) - (segment (start 99.15 96.3) (end 99.15 94.725) (width 0.15) (layer "F.Cu") (net 130) (tstamp b29e116d-0c94-4f3d-a318-db4c1054931b)) - (via (at 114.05 95.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 130) (tstamp 00000000-0000-0000-0000-00005f514024)) - (via (at 99.15 96.3) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 130) (tstamp 55811421-7465-4b7c-a8c0-f5132bc3a205)) - (segment (start 100.45 95.3) (end 102.25 95.3) (width 0.15) (layer "B.Cu") (net 130) (tstamp 196e2e1c-99db-48a2-923e-0258bca0805d)) - (segment (start 109.95 94.05) (end 111.75 95.85) (width 0.15) (layer "B.Cu") (net 130) (tstamp 1971aaa8-4fc8-4165-91ab-821ea2d686e3)) - (segment (start 99.15 96.3) (end 99.45 96.3) (width 0.15) (layer "B.Cu") (net 130) (tstamp 21ca756f-3477-4ce7-b401-446af31305b1)) - (segment (start 111.75 95.85) (end 114.05 95.85) (width 0.15) (layer "B.Cu") (net 130) (tstamp 328427ae-624d-4ad5-9eae-c7dba1277b8f)) - (segment (start 102.65 94.9) (end 103.2 94.9) (width 0.15) (layer "B.Cu") (net 130) (tstamp 414df5d7-f19b-4687-a4de-327c40e73e20)) - (segment (start 99.45 96.3) (end 100.45 95.3) (width 0.15) (layer "B.Cu") (net 130) (tstamp 4ee7e00d-7ebf-4975-bd69-7b422f82b3e0)) - (segment (start 103.2 94.9) (end 104.05 94.05) (width 0.15) (layer "B.Cu") (net 130) (tstamp 7cd22ddf-b7a3-4ab8-89e3-a5e58213159b)) - (segment (start 104.05 94.05) (end 109.95 94.05) (width 0.15) (layer "B.Cu") (net 130) (tstamp 9eb5fc74-7ee2-4483-b24f-769829d8a6c2)) - (segment (start 102.25 95.3) (end 102.65 94.9) (width 0.15) (layer "B.Cu") (net 130) (tstamp a1fd107d-3e8c-4d45-b1b9-b910fe926734)) - (segment (start 124.4 95.05) (end 123.25 95.05) (width 0.15) (layer "F.Cu") (net 131) (tstamp 00000000-0000-0000-0000-00005f5126cf)) - (segment (start 98.1 96.4) (end 97.45 95.75) (width 0.15) (layer "F.Cu") (net 131) (tstamp 8e73e860-7df5-47ee-9d85-a51cffff4073)) - (segment (start 97.45 95.75) (end 97.45 94.7) (width 0.15) (layer "F.Cu") (net 131) (tstamp 9a1807dc-d64a-4457-9c2b-93b6612c3b2e)) - (segment (start 97.45 94.7) (end 97.55 94.6) (width 0.15) (layer "F.Cu") (net 131) (tstamp a658002a-8a7e-43ad-8acb-33b00307f4c4)) - (segment (start 97.55 94.6) (end 97.55 93.7375) (width 0.15) (layer "F.Cu") (net 131) (tstamp c065b0a4-0b93-48f2-9339-44d26009eb1c)) - (via (at 123.25 95.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 131) (tstamp 00000000-0000-0000-0000-00005f5126d4)) - (via (at 98.1 96.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 131) (tstamp 229089b5-d96a-45a7-930c-5b21e68180d7)) - (segment (start 98.35 96.4) (end 98.95 95.8) (width 0.15) (layer "B.Cu") (net 131) (tstamp 1ddaccf1-4d0b-44e5-b2c4-dfcabfdb2934)) - (segment (start 98.95 95.8) (end 99.5 95.8) (width 0.15) (layer "B.Cu") (net 131) (tstamp 288344de-d424-4b26-b740-94d18e9ae516)) - (segment (start 115.4 95.05) (end 123.25 95.05) (width 0.15) (layer "B.Cu") (net 131) (tstamp 3836c63d-ca60-4e8e-a339-40980bdccc31)) - (segment (start 100.3 95) (end 102.1 95) (width 0.15) (layer "B.Cu") (net 131) (tstamp 58633a66-53a7-4a80-bb62-9adf9147da29)) - (segment (start 114.3 95.35) (end 114.5 95.55) (width 0.15) (layer "B.Cu") (net 131) (tstamp 5e707534-c918-46f7-a5cb-689e5a18b5bb)) - (segment (start 114.5 95.55) (end 114.9 95.55) (width 0.15) (layer "B.Cu") (net 131) (tstamp 60af2486-27b0-4394-8b74-bf0b63a58ade)) - (segment (start 114.9 95.55) (end 115.4 95.05) (width 0.15) (layer "B.Cu") (net 131) (tstamp 642bef19-f089-4145-8521-0c78a2141a57)) - (segment (start 99.5 95.8) (end 100.3 95) (width 0.15) (layer "B.Cu") (net 131) (tstamp 6f80fbb2-ac4c-4cbd-929c-985047ad8ccc)) - (segment (start 111.7 95.35) (end 114.3 95.35) (width 0.15) (layer "B.Cu") (net 131) (tstamp 89311f2b-7f4a-4f24-93ac-72dc2e834d5d)) - (segment (start 103.05 94.6) (end 103.9 93.75) (width 0.15) (layer "B.Cu") (net 131) (tstamp 93ebecb5-a9cc-4d2c-95d6-f1997abc5a8e)) - (segment (start 102.5 94.6) (end 103.05 94.6) (width 0.15) (layer "B.Cu") (net 131) (tstamp a7be9e53-3c65-4638-b824-3d5371aceb9f)) - (segment (start 110.1 93.75) (end 111.7 95.35) (width 0.15) (layer "B.Cu") (net 131) (tstamp d23ca5ac-bc4d-44a2-90ac-0b3eaa4af6f8)) - (segment (start 102.1 95) (end 102.5 94.6) (width 0.15) (layer "B.Cu") (net 131) (tstamp e7a006ce-0f82-4892-91e0-922dbe7a9a24)) - (segment (start 103.9 93.75) (end 110.1 93.75) (width 0.15) (layer "B.Cu") (net 131) (tstamp eec00f97-9726-4990-8aef-95005e7267d9)) - (segment (start 98.1 96.4) (end 98.35 96.4) (width 0.15) (layer "B.Cu") (net 131) (tstamp fac37166-6544-4a5a-8523-75c307b4539f)) - (segment (start 124.4 94.25) (end 122.6 94.25) (width 0.15) (layer "F.Cu") (net 132) (tstamp 00000000-0000-0000-0000-00005f5126d1)) - (segment (start 97.05 94.9) (end 97.05 93.7375) (width 0.15) (layer "F.Cu") (net 132) (tstamp 59e03393-006d-471e-9536-bbbd75e54503)) - (segment (start 96.95 95) (end 97.05 94.9) (width 0.15) (layer "F.Cu") (net 132) (tstamp bc90f0c0-612e-411d-9c41-1a8ebb2b39fc)) - (via (at 122.6 94.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 132) (tstamp 00000000-0000-0000-0000-00005f5126d0)) - (via (at 96.95 95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 132) (tstamp e09508cd-85e8-48bb-9bcb-9bab32279ab6)) - (segment (start 111.95 94.75) (end 110.35 93.15) (width 0.15) (layer "B.Cu") (net 132) (tstamp 06b57733-f545-49fc-900f-f90ae9b9047c)) - (segment (start 122.6 94.25) (end 114.8 94.25) (width 0.15) (layer "B.Cu") (net 132) (tstamp 0bb36be2-ca53-49e2-aeb3-4c5728e3d819)) - (segment (start 114.3 94.75) (end 111.95 94.75) (width 0.15) (layer "B.Cu") (net 132) (tstamp 0d33a0a3-6701-41b8-8040-7340c4d8cd33)) - (segment (start 103.6 93.15) (end 102.75 94) (width 0.15) (layer "B.Cu") (net 132) (tstamp 33aa4306-27d6-4090-96fe-2e0a2a713e0b)) - (segment (start 97.55 94.4) (end 96.95 95) (width 0.15) (layer "B.Cu") (net 132) (tstamp 66749c6a-b16f-43be-bab1-76caa7a8a44a)) - (segment (start 102.75 94) (end 102.2 94) (width 0.15) (layer "B.Cu") (net 132) (tstamp 89bc2a9a-0459-4374-90b7-e699bb20f381)) - (segment (start 101.8 94.4) (end 97.55 94.4) (width 0.15) (layer "B.Cu") (net 132) (tstamp 9ee66366-9074-4bc0-8447-8c0b7199acdf)) - (segment (start 110.35 93.15) (end 103.6 93.15) (width 0.15) (layer "B.Cu") (net 132) (tstamp a0fa8234-8777-4a66-8b79-9ecbb37d6605)) - (segment (start 102.2 94) (end 101.8 94.4) (width 0.15) (layer "B.Cu") (net 132) (tstamp a631a287-dbe8-4491-9924-f1eeb226bfe0)) - (segment (start 114.8 94.25) (end 114.3 94.75) (width 0.15) (layer "B.Cu") (net 132) (tstamp b2837d6b-6cc1-45c4-aa75-fd2bb220208e)) - (segment (start 112.9 94.25) (end 114.05 94.25) (width 0.15) (layer "F.Cu") (net 133) (tstamp 1e3e2138-6822-4c2d-8218-89e25ffe3f06)) - (segment (start 96.7 95.95) (end 96.45 95.7) (width 0.15) (layer "F.Cu") (net 133) (tstamp 28a2cccb-c5e0-45cc-a452-0336e0813126)) - (segment (start 96.45 95.7) (end 96.45 94.75) (width 0.15) (layer "F.Cu") (net 133) (tstamp 52113c98-6292-463e-b72c-6132239a046a)) - (segment (start 96.55 94.65) (end 96.55 93.7375) (width 0.15) (layer "F.Cu") (net 133) (tstamp 95ef5708-8f43-434f-b139-406a942bfd2d)) - (segment (start 96.45 94.75) (end 96.55 94.65) (width 0.15) (layer "F.Cu") (net 133) (tstamp e7987f0c-e4c6-4aae-a5d6-e1cfea057719)) - (via (at 96.7 95.95) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 133) (tstamp 780076de-fb73-43f2-b5aa-1c95059ff25d)) - (via (at 114.05 94.25) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 133) (tstamp 956ad4a4-cb8d-4eef-aba4-03ec6d18e652)) - (segment (start 96.45 95.7) (end 96.45 94.8) (width 0.15) (layer "B.Cu") (net 133) (tstamp 1b097a20-994c-479c-9cb5-f236aa61c8fa)) - (segment (start 111.9 94.25) (end 114.05 94.25) (width 0.15) (layer "B.Cu") (net 133) (tstamp 475da62c-4191-4a2f-9bbc-249deb6d8df7)) - (segment (start 102.6 93.7) (end 103.45 92.85) (width 0.15) (layer "B.Cu") (net 133) (tstamp 5413e9f0-4b25-4379-9452-5ca9a4dfa90a)) - (segment (start 103.45 92.85) (end 110.5 92.85) (width 0.15) (layer "B.Cu") (net 133) (tstamp 64940337-2175-44aa-ab05-e1e92e28a356)) - (segment (start 101.65 94.1) (end 102.05 93.7) (width 0.15) (layer "B.Cu") (net 133) (tstamp 77b08f8f-0764-4619-ae58-4700c5781fa2)) - (segment (start 97.15 94.1) (end 101.65 94.1) (width 0.15) (layer "B.Cu") (net 133) (tstamp 9273aad3-d4fd-4f46-88b0-3a63b54fdc41)) - (segment (start 110.5 92.85) (end 111.9 94.25) (width 0.15) (layer "B.Cu") (net 133) (tstamp cf646d51-a95b-4acb-92eb-03438484ca3f)) - (segment (start 102.05 93.7) (end 102.6 93.7) (width 0.15) (layer "B.Cu") (net 133) (tstamp da49333a-2ae3-46a7-85b7-29e867a658b0)) - (segment (start 96.7 95.95) (end 96.45 95.7) (width 0.15) (layer "B.Cu") (net 133) (tstamp f6fee84b-bfc5-4648-8e13-9d6d04247a23)) - (segment (start 96.45 94.8) (end 97.15 94.1) (width 0.15) (layer "B.Cu") (net 133) (tstamp f7925461-00b9-45fa-8499-f4088f9215ce)) - (segment (start 75.85 104.9) (end 77.7 106.75) (width 0.15) (layer "F.Cu") (net 134) (tstamp 02b39166-9f7a-4094-8bda-785f43edf3d1)) - (segment (start 79.756 98.298) (end 75.85 102.204) (width 0.15) (layer "F.Cu") (net 134) (tstamp 4f489d12-440e-4cd0-933d-b6701961a6d6)) - (segment (start 79.756 95.9485) (end 79.756 97.061) (width 0.15) (layer "F.Cu") (net 134) (tstamp 518a4131-64e9-4ba1-a442-4691a53e2b81)) - (segment (start 84.65 103.7) (end 85.45 102.9) (width 0.15) (layer "F.Cu") (net 134) (tstamp 8ef3e563-c1f8-49c5-a3f8-41d88bb0ede4)) - (segment (start 82.8 106.75) (end 84.65 104.9) (width 0.15) (layer "F.Cu") (net 134) (tstamp 94dd7c58-d6bf-4547-ab6b-8de0e37bf355)) - (segment (start 84.65 104.9) (end 84.65 103.7) (width 0.15) (layer "F.Cu") (net 134) (tstamp 9a573a5f-16ed-4bac-a9aa-25b5d86e5dd3)) - (segment (start 79.756 97.061) (end 79.756 98.298) (width 0.15) (layer "F.Cu") (net 134) (tstamp b656459b-45a8-4466-bf55-064e0e9bbeb4)) - (segment (start 85.45 102.9) (end 86.3875 102.9) (width 0.15) (layer "F.Cu") (net 134) (tstamp d5a6653e-3f63-4910-afbc-8ebf149f0d3d)) - (segment (start 79.9465 95.758) (end 79.756 95.9485) (width 0.15) (layer "F.Cu") (net 134) (tstamp dac75ca8-9fd9-4f25-9f22-82af6f3fdad2)) - (segment (start 77.7 106.75) (end 82.8 106.75) (width 0.15) (layer "F.Cu") (net 134) (tstamp f09822c0-7fac-44ce-a87f-366f7a49f250)) - (segment (start 75.85 102.204) (end 75.85 104.9) (width 0.15) (layer "F.Cu") (net 134) (tstamp fed97871-4d75-4194-a3d3-5b61f2a948a5)) - (via (at 79.9465 95.758) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 134) (tstamp e6ba8e5a-5295-4d99-9539-f0f44fc4499c)) - (segment (start 63.881 115.951) (end 63.881 118.618) (width 0.15) (layer "B.Cu") (net 134) (tstamp 05ce1968-bece-4bfd-ade8-db196bc5f219)) - (segment (start 79.9465 95.0595) (end 78.737 93.85) (width 0.15) (layer "B.Cu") (net 134) (tstamp 22ebd635-5838-472e-8b50-03affaba3376)) - (segment (start 57.9 109.97) (end 63.881 115.951) (width 0.15) (layer "B.Cu") (net 134) (tstamp 32d1147a-7743-4223-ab67-db4aaf57b1b9)) - (segment (start 60.6 93.85) (end 57.9 96.55) (width 0.15) (layer "B.Cu") (net 134) (tstamp 711f8627-5a3c-4396-84c3-6cf951de66c5)) - (segment (start 79.9465 95.758) (end 79.9465 95.0595) (width 0.15) (layer "B.Cu") (net 134) (tstamp ca51fbb9-a837-4f97-892a-477f8b6ae176)) - (segment (start 78.737 93.85) (end 60.6 93.85) (width 0.15) (layer "B.Cu") (net 134) (tstamp d77aae80-2ebb-449c-8753-33e439daa878)) - (segment (start 57.9 96.55) (end 57.9 109.97) (width 0.15) (layer "B.Cu") (net 134) (tstamp f0172b04-3281-4d5a-a911-69e210ac9ebd)) - (segment (start 85.45 102.4) (end 86.3875 102.4) (width 0.15) (layer "F.Cu") (net 135) (tstamp 21a00f46-105c-4e4b-a84f-ed4acb136567)) - (segment (start 77.216 104.361) (end 77.216 103.124) (width 0.15) (layer "F.Cu") (net 135) (tstamp 4fffb586-b915-45cc-a9a2-02cc516bb571)) - (segment (start 84.35 103.5) (end 85.45 102.4) (width 0.15) (layer "F.Cu") (net 135) (tstamp 6a7b2059-d977-4612-95c2-3fe01e6e1434)) - (segment (start 84.35 104.75) (end 84.35 103.5) (width 0.15) (layer "F.Cu") (net 135) (tstamp 97c3e317-415d-4b4f-8101-e9340ae149a3)) - (segment (start 77.216 105.8035) (end 77.8625 106.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp bd5bb503-514b-468b-8abd-7e31ffd332b7)) - (segment (start 77.216 104.361) (end 77.216 105.8035) (width 0.15) (layer "F.Cu") (net 135) (tstamp c09e814d-1e36-4717-a65f-fd59e1f66b26)) - (segment (start 82.65 106.45) (end 84.35 104.75) (width 0.15) (layer "F.Cu") (net 135) (tstamp d71f0cba-ee35-4c7d-8e36-e6e267833f6a)) - (segment (start 77.8625 106.45) (end 82.65 106.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp f1084b0d-b992-4d4c-9074-1c148a908ad5)) - (via (at 77.216 103.124) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 135) (tstamp 8b64729b-0793-4b75-90fd-6a59598d76c3)) - (segment (start 55.9 94.25) (end 58.55 91.6) (width 0.15) (layer "B.Cu") (net 135) (tstamp 0239a7dc-4f11-4dd5-9564-b10e3cb51ffa)) - (segment (start 63.119 120.904) (end 62.611 121.412) (width 0.15) (layer "B.Cu") (net 135) (tstamp 27e112bb-379e-4535-a70d-a0e678c371ae)) - (segment (start 58.55 91.6) (end 78.9 91.6) (width 0.15) (layer "B.Cu") (net 135) (tstamp 86388482-65de-4962-9ebf-7d4d6c1dfcb6)) - (segment (start 80.645 103.378) (end 80.01 104.013) (width 0.15) (layer "B.Cu") (net 135) (tstamp 8bdf40b7-7312-4b98-8ee3-177dfa3c1a46)) - (segment (start 63.881 119.888) (end 63.119 120.65) (width 0.15) (layer "B.Cu") (net 135) (tstamp 9c26b72f-cc8f-4568-a8a9-f55225c27554)) - (segment (start 81.915 96.393) (end 80.645 97.663) (width 0.15) (layer "B.Cu") (net 135) (tstamp a5acfc13-660b-4475-8069-b28733a7b5eb)) - (segment (start 55.9 123.25) (end 55.9 94.25) (width 0.15) (layer "B.Cu") (net 135) (tstamp c38bcb76-072f-4dac-ae3c-2878c12baaaa)) - (segment (start 81.915 94.615) (end 81.915 96.393) (width 0.15) (layer "B.Cu") (net 135) (tstamp c8b9676b-221e-4cd7-863c-5d1cf75e0f5a)) - (segment (start 57.4 124.75) (end 55.9 123.25) (width 0.15) (layer "B.Cu") (net 135) (tstamp cea40dd1-610e-46e4-9f6c-d23f0a3ddd3f)) - (segment (start 80.645 97.663) (end 80.645 103.378) (width 0.15) (layer "B.Cu") (net 135) (tstamp d2524e3e-228a-471d-b6ab-7febc5f574b2)) - (segment (start 63.119 120.65) (end 63.119 120.904) (width 0.15) (layer "B.Cu") (net 135) (tstamp d8abe8ec-485d-44a5-b5c3-6d01cfd7fd8c)) - (segment (start 78.9 91.6) (end 81.915 94.615) (width 0.15) (layer "B.Cu") (net 135) (tstamp e6835982-f526-41dd-96a3-dbcd46ab9645)) - (segment (start 78.105 104.013) (end 77.216 103.124) (width 0.15) (layer "B.Cu") (net 135) (tstamp e6e4ba06-5100-4065-b809-01784b64c06b)) - (segment (start 62.611 122.739) (end 60.6 124.75) (width 0.15) (layer "B.Cu") (net 135) (tstamp e93952e0-b012-4dcc-a5ce-167d55bdd575)) - (segment (start 80.01 104.013) (end 78.105 104.013) (width 0.15) (layer "B.Cu") (net 135) (tstamp ed4682aa-5710-4438-810d-939bc55b81c3)) - (segment (start 60.6 124.75) (end 57.4 124.75) (width 0.15) (layer "B.Cu") (net 135) (tstamp f95c6027-15cc-4326-9d31-38f6dba6baec)) - (segment (start 62.611 121.412) (end 62.611 122.739) (width 0.15) (layer "B.Cu") (net 135) (tstamp fa2a3668-9582-4466-b44e-6720f86e983f)) - (segment (start 81.026 98.298) (end 82.178 99.45) (width 0.15) (layer "F.Cu") (net 136) (tstamp 0bf07fd4-aa7e-4f51-a6a6-44b27866d654)) - (segment (start 82.178 99.45) (end 82.95 99.45) (width 0.15) (layer "F.Cu") (net 136) (tstamp 1e5d0253-acc2-4f0d-86a2-9343225c71a7)) - (segment (start 81.026 97.061) (end 81.026 98.298) (width 0.15) (layer "F.Cu") (net 136) (tstamp 75c56b73-e91e-4c3e-8fb7-792f0cb19b7b)) - (segment (start 81.026 97.061) (end 81.026 95.758) (width 0.15) (layer "F.Cu") (net 136) (tstamp 87f4b7ba-c2c6-4980-9aad-767b93259fb9)) - (segment (start 88.45 97.4) (end 86.3875 97.4) (width 0.15) (layer "F.Cu") (net 136) (tstamp bbc3af49-fdef-47bd-8494-93433b79685b)) - (via (at 81.026 95.758) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 136) (tstamp 5f698b56-319a-4e7a-acc3-9c3c494e9e07)) - (via (at 82.95 99.45) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 136) (tstamp 9e07d90c-56c0-4c4f-855e-0025effe6c99)) - (via (at 88.45 97.4) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 136) (tstamp f0b46255-e918-4a38-931d-8a945e9905c3)) - (segment (start 56.9 110.45) (end 61.85 115.4) (width 0.15) (layer "B.Cu") (net 136) (tstamp 0ab7eac0-2505-46ca-a15f-2fbf3a0464df)) - (segment (start 78.83 92.8) (end 59.4 92.8) (width 0.15) (layer "B.Cu") (net 136) (tstamp 30fbf204-bef9-4135-9949-e958965476e5)) - (segment (start 81.026 95.758) (end 81.026 94.996) (width 0.15) (layer "B.Cu") (net 136) (tstamp 3f230696-6936-45fb-9c05-e7c58419a4fe)) - (segment (start 61.341 118.491) (end 61.341 118.618) (width 0.15) (layer "B.Cu") (net 136) (tstamp 55159f70-13f1-47a3-bb2b-c74826aa604c)) - (segment (start 56.9 95.3) (end 56.9 110.45) (width 0.15) (layer "B.Cu") (net 136) (tstamp 581c7a64-fba5-4d4a-824b-f49a62311590)) - (segment (start 85.95 97.4) (end 83.9 99.45) (width 0.15) (layer "B.Cu") (net 136) (tstamp 5f5a1385-75d4-4463-bc21-a6137b8c26df)) - (segment (start 61.85 115.4) (end 61.85 117.982) (width 0.15) (layer "B.Cu") (net 136) (tstamp a4eb21c6-285b-40a9-9401-daa21a94bf6e)) - (segment (start 83.9 99.45) (end 82.95 99.45) (width 0.15) (layer "B.Cu") (net 136) (tstamp b0e38842-ac03-4c5b-8a1e-55adbb4b8c0c)) - (segment (start 61.85 117.982) (end 61.341 118.491) (width 0.15) (layer "B.Cu") (net 136) (tstamp cdbac3ad-7252-4da8-b1a5-17f3fd6da071)) - (segment (start 59.4 92.8) (end 56.9 95.3) (width 0.15) (layer "B.Cu") (net 136) (tstamp d2c2573f-95ca-4b27-b2b0-4a4afcd9537c)) - (segment (start 88.45 97.4) (end 85.95 97.4) (width 0.15) (layer "B.Cu") (net 136) (tstamp e1df4b0e-82c2-4440-ac04-3c42a4367634)) - (segment (start 81.026 94.996) (end 78.83 92.8) (width 0.15) (layer "B.Cu") (net 136) (tstamp f4b94c24-3cba-40a3-b656-5a69ae755497)) - (segment (start 80.71 100.9) (end 78.486 103.124) (width 0.15) (layer "F.Cu") (net 137) (tstamp 1982601b-2a8e-40bd-a5af-aba91929618d)) - (segment (start 86.3875 100.9) (end 80.71 100.9) (width 0.15) (layer "F.Cu") (net 137) (tstamp 2d2e3cbd-a7da-4440-b490-4f19b09f58e0)) - (segment (start 79.8 108.9) (end 80.2 109.3) (width 0.15) (layer "F.Cu") (net 137) (tstamp 847e8d9f-68b8-458e-a56b-095489c111da)) - (segment (start 80.2 109.3) (end 80.95 109.3) (width 0.15) (layer "F.Cu") (net 137) (tstamp 85195ff4-4022-4363-b14b-87d01de5d306)) - (segment (start 78.486 103.124) (end 78.486 104.361) (width 0.15) (layer "F.Cu") (net 137) (tstamp 8b0215d2-13f6-48a7-8cfc-233a25ea1f30)) - (segment (start 79.8 108.65) (end 79.8 108.9) (width 0.15) (layer "F.Cu") (net 137) (tstamp f9960147-0877-4502-ad52-336fc5c83a18)) - (via (at 79.8 108.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 137) (tstamp 250e48fb-e2d3-44be-a21e-1a17c0d65000)) - (via (at 78.486 103.124) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 137) (tstamp cf7c2f27-dfb2-4d35-9ded-39d46e2f0bdd)) - (segment (start 77.597 102.235) (end 78.486 103.124) (width 0.15) (layer "B.Cu") (net 137) (tstamp 11f8ac59-56bf-4d1a-8ad3-b4e0fd1dc52f)) - (segment (start 79.8 108.65) (end 78.7 108.65) (width 0.15) (layer "B.Cu") (net 137) (tstamp 1418a8af-ecf9-4c29-a7a3-d0ed1e478705)) - (segment (start 76.835 102.235) (end 77.597 102.235) (width 0.15) (layer "B.Cu") (net 137) (tstamp 5c579301-bff6-451b-b47f-4ab2a3b968be)) - (segment (start 76.327 103.632) (end 76.327 102.743) (width 0.15) (layer "B.Cu") (net 137) (tstamp 78ede9a5-24b2-446b-883e-d0eb187e6d79)) - (segment (start 78.7 108.65) (end 77.47 107.42) (width 0.15) (layer "B.Cu") (net 137) (tstamp b37ba0e4-c660-44d5-bd24-47ff6d2ba9c7)) - (segment (start 77.47 107.42) (end 77.47 104.775) (width 0.15) (layer "B.Cu") (net 137) (tstamp c484a812-1402-4e4a-b9af-2e216b21f631)) - (segment (start 76.327 102.743) (end 76.835 102.235) (width 0.15) (layer "B.Cu") (net 137) (tstamp cb4d8b56-fff0-4e32-bb68-134e4476c746)) - (segment (start 77.47 104.775) (end 76.327 103.632) (width 0.15) (layer "B.Cu") (net 137) (tstamp fb134e24-116f-4c1a-a910-69e228b2dca7)) - (segment (start 90.05 107.55) (end 89.95 107.65) (width 0.15) (layer "F.Cu") (net 138) (tstamp 25f1074a-6ae7-40ed-8106-5e5622cabe99)) - (segment (start 90.05 106.9) (end 90.05 107.55) (width 0.15) (layer "F.Cu") (net 138) (tstamp 36709ce8-feaf-4ca8-a999-4108fb101352)) - (segment (start 89.95 107.65) (end 89.95 108.1) (width 0.15) (layer "F.Cu") (net 138) (tstamp 819f78e6-941f-4dad-85f1-b4c7c6b3f0f2)) - (segment (start 116.3 121) (end 116.3 120.1) (width 0.15) (layer "F.Cu") (net 138) (tstamp ada0013d-cfe2-4fa3-ae62-0cfc7e1da447)) - (segment (start 89.95 108.1) (end 90.05 108.2) (width 0.15) (layer "F.Cu") (net 138) (tstamp e99125d6-a0ca-4b37-842b-335296080c6e)) - (segment (start 90.05 108.2) (end 90.05 109.0625) (width 0.15) (layer "F.Cu") (net 138) (tstamp f69224be-c98a-48ad-a04c-1caaa0418333)) - (via (at 116.3 120.1) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 138) (tstamp ab1e0f05-b1ba-418b-9e43-ba5776957f76)) - (via (at 90.05 106.9) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 138) (tstamp c8a3bad8-b631-46f3-ad1c-65cbb9e97856)) - (segment (start 113.15 116.95) (end 116.3 120.1) (width 0.15) (layer "B.Cu") (net 138) (tstamp 078044b2-8672-471f-8af0-713545e8135d)) - (segment (start 97.65 116.95) (end 113.15 116.95) (width 0.15) (layer "B.Cu") (net 138) (tstamp 179b931a-ee6e-4f42-a650-8fcc15be33cf)) - (segment (start 90.05 106.9) (end 90.85 106.9) (width 0.15) (layer "B.Cu") (net 138) (tstamp 543a1648-5784-4e1c-9576-bc01c6ff98bf)) - (segment (start 93.25 112.55) (end 97.65 116.95) (width 0.15) (layer "B.Cu") (net 138) (tstamp 75288219-cb62-4584-bfee-979eec5f882a)) - (segment (start 90.85 106.9) (end 93.25 109.3) (width 0.15) (layer "B.Cu") (net 138) (tstamp c873fbd2-c35e-4523-8311-de379b125b9d)) - (segment (start 93.25 109.3) (end 93.25 112.55) (width 0.15) (layer "B.Cu") (net 138) (tstamp ce1926e7-aefc-4410-8ad7-0050d6aebd28)) - (segment (start 69.6 97.25) (end 69.6 97.85) (width 0.15) (layer "F.Cu") (net 139) (tstamp 1c72f17e-d445-4a58-842c-0dfdfce350d3)) - (segment (start 78.486 95.9485) (end 78.486 97.061) (width 0.15) (layer "F.Cu") (net 139) (tstamp 466f8d1c-c448-4a97-87ec-4e94847952fc)) - (segment (start 78.2955 95.758) (end 78.486 95.9485) (width 0.15) (layer "F.Cu") (net 139) (tstamp e8a5d0de-f294-42b4-a32d-95b01f36190d)) - (via (at 69.6 97.85) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 139) (tstamp 7bafe9bc-eba9-4810-a855-8b4f34bb53ef)) - (via (at 78.2955 95.758) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 139) (tstamp 7f4c333e-95dd-4f0c-b8a5-bc57a1ff22fb)) - (segment (start 67.691 116.967) (end 67.691 115.841) (width 0.15) (layer "B.Cu") (net 139) (tstamp 14fc535c-cb89-48aa-90fe-76e1fd47f505)) - (segment (start 67.691 115.841) (end 65.6 113.75) (width 0.15) (layer "B.Cu") (net 139) (tstamp 1b0fa014-c61e-4314-8f3d-160bae26aa4c)) - (segment (start 77.7035 96.35) (end 71.1 96.35) (width 0.15) (layer "B.Cu") (net 139) (tstamp 22f1a18b-d140-451a-a871-4c11294da049)) - (segment (start 61.6 95.35) (end 65.6 95.35) (width 0.15) (layer "B.Cu") (net 139) (tstamp 2c913718-efbb-4ec8-bb76-bae88d46ed51)) - (segment (start 59.7 97.25) (end 61.6 95.35) (width 0.15) (layer "B.Cu") (net 139) (tstamp 47472735-41ec-4096-96fb-ce611f148c4c)) - (segment (start 71.1 96.35) (end 69.6 97.85) (width 0.15) (layer "B.Cu") (net 139) (tstamp 594eb499-401a-4092-9a2b-1cc8f8989e5b)) - (segment (start 78.2955 95.758) (end 77.7035 96.35) (width 0.15) (layer "B.Cu") (net 139) (tstamp 7f251369-eace-44ab-848c-cd3c5957381c)) - (segment (start 65.6 113.75) (end 63.85 113.75) (width 0.15) (layer "B.Cu") (net 139) (tstamp 947acefe-ac33-4206-9de3-25b50b4731dd)) - (segment (start 59.7 109.6) (end 59.7 97.25) (width 0.15) (layer "B.Cu") (net 139) (tstamp a02008a9-68e1-4709-bfc0-24c27997889b)) - (segment (start 65.6 95.35) (end 68.1 97.85) (width 0.15) (layer "B.Cu") (net 139) (tstamp a3a4ba60-3271-4e9a-ba37-9a84bcaf9db5)) - (segment (start 66.421 118.237) (end 67.691 116.967) (width 0.15) (layer "B.Cu") (net 139) (tstamp a4d49e7c-3f1b-4d80-bed7-772a82216d80)) - (segment (start 63.85 113.75) (end 59.7 109.6) (width 0.15) (layer "B.Cu") (net 139) (tstamp ec464e2c-70c1-4b51-8600-7384ed6e411a)) - (segment (start 68.1 97.85) (end 69.6 97.85) (width 0.15) (layer "B.Cu") (net 139) (tstamp ec5e2d7d-3bc6-4fcb-8261-5aceb45c3c19)) - (segment (start 66.421 118.618) (end 66.421 118.237) (width 0.15) (layer "B.Cu") (net 139) (tstamp f75ebc7d-c37e-40c2-a424-54729f414b88)) - (segment (start 69.6 95.65) (end 69.6 95.05) (width 0.15) (layer "F.Cu") (net 140) (tstamp 41dd8dbe-60e2-416e-bb81-b16a7ee0f28c)) - (segment (start 79.756 104.361) (end 79.756 103.124) (width 0.15) (layer "F.Cu") (net 140) (tstamp 673ed119-91db-4148-9876-56639d2d2321)) - (via (at 69.6 95.05) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 140) (tstamp 9f6748e8-8f0d-48e2-827e-24181f021855)) - (via (at 79.756 103.124) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 140) (tstamp da656b2e-e4c4-44c7-b28a-53f21ed84da8)) - (segment (start 61.45 95.05) (end 59.4 97.1) (width 0.15) (layer "B.Cu") (net 140) (tstamp 233cfd4a-3e69-493d-b359-bfb36c843ecb)) - (segment (start 79.121 102.489) (end 79.121 95.377) (width 0.15) (layer "B.Cu") (net 140) (tstamp 294d1b3f-d421-48e2-92a4-f8f5eef13748)) - (segment (start 79.121 95.377) (end 78.644 94.9) (width 0.15) (layer "B.Cu") (net 140) (tstamp 4925c46f-467c-40b3-95db-ef4df267cd8b)) - (segment (start 78.644 94.9) (end 69.75 94.9) (width 0.15) (layer "B.Cu") (net 140) (tstamp 4a9da171-847e-4bc4-93f9-edfe5c4b8354)) - (segment (start 59.4 97.1) (end 59.4 109.75) (width 0.15) (layer "B.Cu") (net 140) (tstamp 5fc5324e-c2ef-45c8-948a-a82775445cd5)) - (segment (start 64.2 114.55) (end 64.2 117.667) (width 0.15) (layer "B.Cu") (net 140) (tstamp 93d4d131-a9f1-4257-bd4f-e06ad27b3631)) - (segment (start 69.75 94.9) (end 69.6 95.05) (width 0.15) (layer "B.Cu") (net 140) (tstamp becc358e-ef6d-41ed-a412-61ca01ad5ed6)) - (segment (start 59.4 109.75) (end 64.2 114.55) (width 0.15) (layer "B.Cu") (net 140) (tstamp c4d75d3d-bb31-481d-a4a7-a0f504882b68)) - (segment (start 79.756 103.124) (end 79.121 102.489) (width 0.15) (layer "B.Cu") (net 140) (tstamp e5b90e39-3962-49db-a2a4-466531862883)) - (segment (start 64.2 117.667) (end 65.151 118.618) (width 0.15) (layer "B.Cu") (net 140) (tstamp e8a30a4a-b90d-43dc-9cd2-b512b8cb2467)) - (segment (start 69.6 95.05) (end 61.45 95.05) (width 0.15) (layer "B.Cu") (net 140) (tstamp f5707a39-7e4e-416d-b856-204502394794)) - (segment (start 95.55 110) (end 95.55 109.0625) (width 0.15) (layer "F.Cu") (net 148) (tstamp 01fb1e6b-cb11-499c-98a0-6bff6dff5959)) - (segment (start 103 116.5) (end 100.2 113.7) (width 0.15) (layer "F.Cu") (net 148) (tstamp 26b5b06d-6731-4f1d-a50f-a1a758285eac)) - (segment (start 96.1 113.7) (end 95.05 112.65) (width 0.15) (layer "F.Cu") (net 148) (tstamp 2e0de0fd-ad73-4e93-8d2e-96ad3d9f4bc7)) - (segment (start 100.2 113.7) (end 96.1 113.7) (width 0.15) (layer "F.Cu") (net 148) (tstamp 436b9e93-01ad-4cd2-a39e-eee50a26ba10)) - (segment (start 108.3 118.15) (end 106.65 116.5) (width 0.15) (layer "F.Cu") (net 148) (tstamp 7b859b76-0528-49b2-a54e-fd6560111b42)) - (segment (start 106.65 116.5) (end 103 116.5) (width 0.15) (layer "F.Cu") (net 148) (tstamp b6f6bd1a-2333-4a7e-8ef6-f8a63bf31635)) - (segment (start 95.05 110.5) (end 95.55 110) (width 0.15) (layer "F.Cu") (net 148) (tstamp cf4939e9-8ae0-4af4-8ec6-e88cfbcbfe6e)) - (segment (start 95.05 112.65) (end 95.05 110.5) (width 0.15) (layer "F.Cu") (net 148) (tstamp d976a998-0355-4b51-98dc-421418498533)) - (segment (start 108.3 119.05) (end 108.3 118.15) (width 0.15) (layer "F.Cu") (net 148) (tstamp ed06b896-4df0-4238-b6eb-bbbe5360e849)) - (segment (start 80.1 107.7) (end 80.05 107.65) (width 0.15) (layer "F.Cu") (net 149) (tstamp 551310a4-3882-4605-bfec-f0802df1435c)) - (segment (start 80.95 107.7) (end 80.1 107.7) (width 0.15) (layer "F.Cu") (net 149) (tstamp 6d7c23f0-27c3-4fa6-89cc-f79a540be70c)) - (via (at 80.05 107.65) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 149) (tstamp b98190a3-4e75-4ed8-b75b-e1b37bee46b3)) - (segment (start 80.05 104.989) (end 81.407 103.632) (width 0.15) (layer "B.Cu") (net 149) (tstamp 0988bdab-20b2-4388-83a8-9cfbb33342b3)) - (segment (start 81.407 103.632) (end 81.407 98.171) (width 0.15) (layer "B.Cu") (net 149) (tstamp 0c3dbbcf-98e0-48d2-853d-b67234b32313)) - (segment (start 57.05 126.2) (end 66.25 126.2) (width 0.15) (layer "B.Cu") (net 149) (tstamp 208a6583-df1c-4ff8-9045-47b7770a5518)) - (segment (start 82.677 94.234) (end 78.743 90.3) (width 0.15) (layer "B.Cu") (net 149) (tstamp 76ff16ff-0d33-4704-b0f8-f9c9f4b3e595)) - (segment (start 80.05 107.65) (end 80.05 104.989) (width 0.15) (layer "B.Cu") (net 149) (tstamp 787ed861-bac6-4a43-9839-40cdf7ee276e)) - (segment (start 67.564 124.886) (end 67.564 121.3485) (width 0.15) (layer "B.Cu") (net 149) (tstamp 79af4db6-baae-4c77-a86f-0586761cb86a)) - (segment (start 58.2 90.3) (end 54.6 93.9) (width 0.15) (layer "B.Cu") (net 149) (tstamp 89fa7fcb-3c2b-4c1b-b3ed-e2a1cf745f7d)) - (segment (start 78.743 90.3) (end 58.2 90.3) (width 0.15) (layer "B.Cu") (net 149) (tstamp 97931d4a-7c02-4a9b-a790-a3569eede93c)) - (segment (start 81.407 98.171) (end 82.677 96.901) (width 0.15) (layer "B.Cu") (net 149) (tstamp c485d3ef-a691-4d45-9595-86938e754812)) - (segment (start 66.25 126.2) (end 67.564 124.886) (width 0.15) (layer "B.Cu") (net 149) (tstamp c9a40d5d-4fe7-4da0-89eb-466f8c6c321b)) - (segment (start 54.6 123.75) (end 57.05 126.2) (width 0.15) (layer "B.Cu") (net 149) (tstamp cb6506b0-3912-438a-b6ea-123a23611666)) - (segment (start 67.564 121.3485) (end 66.421 120.2055) (width 0.15) (layer "B.Cu") (net 149) (tstamp d92867dc-3e98-46a9-a48e-3161efe31b10)) - (segment (start 66.421 120.2055) (end 66.421 119.888) (width 0.15) (layer "B.Cu") (net 149) (tstamp e216a3d4-c7c0-40e0-9701-6d206641d342)) - (segment (start 54.6 93.9) (end 54.6 123.75) (width 0.15) (layer "B.Cu") (net 149) (tstamp effa9ffa-d173-4290-8a92-c5f93d4c73ba)) - (segment (start 82.677 96.901) (end 82.677 94.234) (width 0.15) (layer "B.Cu") (net 149) (tstamp f184863f-807b-4eb3-ae9e-2a8857f5a82a)) - (segment (start 135.128 92.075) (end 135.128 90.932) (width 0.15) (layer "F.Cu") (net 162) (tstamp 169fbf9e-c683-4879-aed2-ef27f2a35b47)) - (segment (start 90.05 95.15) (end 90.05 95.35) (width 0.15) (layer "F.Cu") (net 162) (tstamp 9b073885-8463-4cb0-87e3-a1e25fbb0a07)) - (segment (start 90.55 94.65) (end 90.05 95.15) (width 0.15) (layer "F.Cu") (net 162) (tstamp dacff3a5-d976-4461-a265-5c771e382f92)) - (segment (start 90.55 93.7375) (end 90.55 94.65) (width 0.15) (layer "F.Cu") (net 162) (tstamp fe148714-b0cf-44d7-9b6c-f06914620619)) - (via (at 90.05 95.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 162) (tstamp 15dc4b2e-003f-454e-bdaf-e1febd8c55e0)) - (via (at 135.128 90.932) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 162) (tstamp ce536418-0469-43d5-9a1a-c3f749bdbad3)) - (segment (start 135.128 90.932) (end 135.128 90.678) (width 0.15) (layer "B.Cu") (net 162) (tstamp 3cdd1d4e-65c2-4726-934e-57a60432541b)) - (segment (start 90.05 94.55) (end 90.05 95.35) (width 0.15) (layer "B.Cu") (net 162) (tstamp 5962fb65-4840-4342-83d8-ebe11a13a0c5)) - (segment (start 132.2 87.75) (end 98.7 87.75) (width 0.15) (layer "B.Cu") (net 162) (tstamp 77ef8d87-4775-444f-8280-518fd29c4b5c)) - (segment (start 92.55 93.9) (end 90.7 93.9) (width 0.15) (layer "B.Cu") (net 162) (tstamp 7b914471-3d1b-40f6-8fee-092f137ff2e0)) - (segment (start 135.128 90.678) (end 132.2 87.75) (width 0.15) (layer "B.Cu") (net 162) (tstamp cefc466a-271e-483c-abaa-dae7c1574727)) - (segment (start 90.7 93.9) (end 90.05 94.55) (width 0.15) (layer "B.Cu") (net 162) (tstamp ebd0fc89-8e13-43bb-945a-2e8b75c613c1)) - (segment (start 98.7 87.75) (end 92.55 93.9) (width 0.15) (layer "B.Cu") (net 162) (tstamp fa96cd3f-f267-4e6d-9212-fd48f9f4aabe)) - (segment (start 90.05 93.7375) (end 90.05 94.65) (width 0.15) (layer "F.Cu") (net 163) (tstamp 179ded49-c8d7-40c2-a728-5841fda625bd)) - (segment (start 89.1 95.6) (end 89 95.6) (width 0.15) (layer "F.Cu") (net 163) (tstamp 2717f789-6e9a-45e5-ba68-0e97a483a090)) - (segment (start 90.05 94.65) (end 89.1 95.6) (width 0.15) (layer "F.Cu") (net 163) (tstamp f21a2c3b-3754-4d5f-9b26-191ad8769b23)) - (segment (start 136.398 92.075) (end 136.398 90.932) (width 0.15) (layer "F.Cu") (net 163) (tstamp f27a0a1a-93ad-49f4-89fe-1730de977ec9)) - (via (at 89 95.6) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 163) (tstamp 7ce3b15b-ff03-4c37-a69c-50cee9ac8363)) - (via (at 136.398 90.932) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 163) (tstamp c06b07a5-81e8-4fba-b75f-eafa053e1406)) - (segment (start 90.55 93.6) (end 92.4 93.6) (width 0.15) (layer "B.Cu") (net 163) (tstamp 32a33c14-ad35-4ab3-9d14-69821847ef1b)) - (segment (start 135.832 90.932) (end 136.398 90.932) (width 0.15) (layer "B.Cu") (net 163) (tstamp 39146702-2809-457e-9c0d-9bd6a611c17a)) - (segment (start 89 95.6) (end 89.75 94.85) (width 0.15) (layer "B.Cu") (net 163) (tstamp 63065c9b-8053-430e-bdb0-072a1e704078)) - (segment (start 89.75 94.85) (end 89.75 94.4) (width 0.15) (layer "B.Cu") (net 163) (tstamp 97a1499d-8f21-4661-8bed-0e1e89d0838c)) - (segment (start 98.55 87.45) (end 132.35 87.45) (width 0.15) (layer "B.Cu") (net 163) (tstamp a7b396e8-387b-4006-982d-ca6acb770010)) - (segment (start 92.4 93.6) (end 98.55 87.45) (width 0.15) (layer "B.Cu") (net 163) (tstamp dfa04c8b-bd8e-46e0-b63e-f2b2ac1e224a)) - (segment (start 89.75 94.4) (end 90.55 93.6) (width 0.15) (layer "B.Cu") (net 163) (tstamp f65da57c-5a39-4e71-a4f8-1adb60cea20b)) - (segment (start 132.35 87.45) (end 135.832 90.932) (width 0.15) (layer "B.Cu") (net 163) (tstamp f940397b-29a5-4617-bd9c-f177a971b5e8)) - - (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005f58155f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103 105.65) - (xy 103.6 106.25) - (xy 104 106.25) - (xy 104.45 105.8) - (xy 106.6 105.8) - (xy 107.3 105.1) - (xy 108.55 105.1) - (xy 108.55 107.4) - (xy 105.15 107.4) - (xy 104.65 107.9) - (xy 104 107.9) - (xy 104 108.25) - (xy 106.35 108.25) - (xy 107.6 109.5) - (xy 108.5 109.5) - (xy 108.5 111.8) - (xy 107.3 111.8) - (xy 105.35 109.85) - (xy 103.05 109.85) - (xy 102.35 109.15) - (xy 100.8 109.15) - (xy 100.55 109.4) - (xy 98.35 109.4) - (xy 98.35 107.9) - (xy 98.65 107.6) - (xy 99.75 107.6) - (xy 100 107.35) - (xy 100 105.2) - (xy 100.4 104.8) - (xy 102.45 104.8) - (xy 102.45 105.65) - ) - ) - ) - (zone (net 2) (net_name "GND") (layer "F.Cu") (tstamp 00000000-0000-0000-0000-00005ff5ac1b) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) (filled_areas_thickness no) - (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 143.637 80.518) - (xy 144.272 80.899) - (xy 144.653 81.28) - (xy 144.907 81.788) - (xy 145.034 82.423) - (xy 145.034 129.54) - (xy 144.907 130.175) - (xy 144.653 130.683) - (xy 144.145 131.191) - (xy 143.637 131.445) - (xy 143.002 131.572) - (xy 48.133 131.572) - (xy 47.498 131.445) - (xy 46.99 131.191) - (xy 46.482 130.683) - (xy 46.228 130.175) - (xy 46.101 129.54) - (xy 46.101 93.599) - (xy 46.228 92.964) - (xy 46.482 92.456) - (xy 58.166 80.772) - (xy 58.674 80.518) - (xy 59.309 80.391) - (xy 143.002 80.391) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 116.41279 131.085493) - (xy 116.417627 131.089926) - (xy 116.590075 131.262375) - (xy 116.611814 131.308995) - (xy 116.6121 131.315549) - (xy 116.6121 131.3839) - (xy 116.594507 131.432238) - (xy 116.549958 131.457958) - (xy 116.5369 131.4591) - (xy 116.417516 131.4591) - (xy 116.33045 131.469636) - (xy 116.261841 131.4968) - (xy 116.19921 131.521597) - (xy 116.199209 131.521598) - (xy 116.194442 131.523485) - (xy 116.190361 131.526583) - (xy 116.190357 131.526585) - (xy 116.150684 131.556699) - (xy 116.105218 131.572) - (xy 115.034782 131.572) - (xy 114.989316 131.556699) - (xy 114.949643 131.526585) - (xy 114.949639 131.526583) - (xy 114.945558 131.523485) - (xy 114.940791 131.521598) - (xy 114.94079 131.521597) - (xy 114.878159 131.4968) - (xy 114.80955 131.469636) - (xy 114.722484 131.4591) - (xy 114.6031 131.4591) - (xy 114.554762 131.441507) - (xy 114.529042 131.396958) - (xy 114.5279 131.3839) - (xy 114.5279 131.198936) - (xy 114.528003 131.195) - (xy 114.528373 131.187936) - (xy 114.530123 131.154552) - (xy 114.529092 131.151865) - (xy 114.538325 131.104368) - (xy 114.578301 131.071997) - (xy 114.602783 131.0679) - (xy 116.364452 131.0679) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 143.0093 80.39246) - (xy 143.624229 80.515446) - (xy 143.648171 80.524703) - (xy 144.264063 80.894238) - (xy 144.278547 80.905547) - (xy 144.64437 81.27137) - (xy 144.658455 81.290911) - (xy 144.902498 81.778996) - (xy 144.908974 81.79787) - (xy 144.99532 82.229599) - (xy 145.03254 82.4157) - (xy 145.034 82.430448) - (xy 145.034 129.532552) - (xy 145.03254 129.5473) - (xy 144.913712 130.141444) - (xy 144.908975 130.165127) - (xy 144.902498 130.184004) - (xy 144.658457 130.672087) - (xy 144.64437 130.69163) - (xy 144.15363 131.18237) - (xy 144.134089 131.196455) - (xy 143.646004 131.440498) - (xy 143.62713 131.446974) - (xy 143.0093 131.57054) - (xy 142.994552 131.572) - (xy 138.1501 131.572) - (xy 138.101762 131.554407) - (xy 138.076042 131.509858) - (xy 138.0749 131.4968) - (xy 138.0749 130.271774) - (xy 138.092493 130.223436) - (xy 138.096926 130.2186) - (xy 138.8038 129.511726) - (xy 141.922262 129.511726) - (xy 141.922487 129.51516) - (xy 141.922487 129.515162) - (xy 141.924435 129.544884) - (xy 141.93519 129.708966) - (xy 141.983845 129.900547) - (xy 142.022954 129.985381) - (xy 142.055545 130.056075) - (xy 142.066599 130.080054) - (xy 142.180679 130.241474) - (xy 142.211783 130.271774) - (xy 142.317071 130.374341) - (xy 142.322266 130.379402) - (xy 142.486617 130.489217) - (xy 142.576504 130.527836) - (xy 142.665062 130.565884) - (xy 142.665064 130.565885) - (xy 142.668228 130.567244) - (xy 142.861018 130.610868) - (xy 142.974924 130.615343) - (xy 143.055084 130.618493) - (xy 143.055087 130.618493) - (xy 143.058528 130.618628) - (xy 143.061933 130.618134) - (xy 143.061938 130.618134) - (xy 143.201977 130.597829) - (xy 143.254146 130.590265) - (xy 143.321964 130.567244) - (xy 143.438057 130.527836) - (xy 143.43806 130.527834) - (xy 143.44132 130.526728) - (xy 143.592265 130.442194) - (xy 143.610775 130.431828) - (xy 143.610776 130.431827) - (xy 143.61378 130.430145) - (xy 143.765752 130.303752) - (xy 143.892145 130.15178) - (xy 143.897934 130.141444) - (xy 143.929369 130.085313) - (xy 143.988728 129.97932) - (xy 143.994126 129.96342) - (xy 144.051155 129.795416) - (xy 144.052265 129.792146) - (xy 144.080628 129.596528) - (xy 144.081033 129.581079) - (xy 144.08205 129.542217) - (xy 144.08205 129.542216) - (xy 144.082108 129.54) - (xy 144.08166 129.535116) - (xy 144.064336 129.346599) - (xy 144.064021 129.343166) - (xy 144.010368 129.152924) - (xy 144.003642 129.139284) - (xy 143.956281 129.043247) - (xy 143.922943 128.975645) - (xy 143.811966 128.827028) - (xy 143.806741 128.820031) - (xy 143.804677 128.817267) - (xy 143.659528 128.683093) - (xy 143.629918 128.66441) - (xy 143.607181 128.650065) - (xy 143.492359 128.577617) - (xy 143.36941 128.528565) - (xy 143.311976 128.505651) - (xy 143.311973 128.50565) - (xy 143.308767 128.504371) - (xy 143.305386 128.503698) - (xy 143.305381 128.503697) - (xy 143.130331 128.468878) - (xy 143.114902 128.465809) - (xy 142.994114 128.464228) - (xy 142.920699 128.463267) - (xy 142.920698 128.463267) - (xy 142.917256 128.463222) - (xy 142.913865 128.463805) - (xy 142.913861 128.463805) - (xy 142.725839 128.496113) - (xy 142.725837 128.496113) - (xy 142.722447 128.496696) - (xy 142.537002 128.56511) - (xy 142.367128 128.666174) - (xy 142.364535 128.668448) - (xy 142.239495 128.778106) - (xy 142.218517 128.796503) - (xy 142.216383 128.79921) - (xy 142.216381 128.799212) - (xy 142.101063 128.945493) - (xy 142.096145 128.951731) - (xy 142.094542 128.954778) - (xy 142.09454 128.954781) - (xy 142.005713 129.123614) - (xy 142.00411 129.126661) - (xy 142.00309 129.129946) - (xy 141.965705 129.250347) - (xy 141.945495 129.315433) - (xy 141.922262 129.511726) - (xy 138.8038 129.511726) - (xy 141.361935 126.953591) - (xy 142.171922 126.953591) - (xy 142.172135 126.957658) - (xy 142.172135 126.957661) - (xy 142.174354 127) - (xy 142.181321 127.132921) - (xy 142.182403 127.136848) - (xy 142.182403 127.13685) - (xy 142.215752 127.257922) - (xy 142.229008 127.306049) - (xy 142.230908 127.309653) - (xy 142.230909 127.309655) - (xy 142.307497 127.454916) - (xy 142.31276 127.464898) - (xy 142.315386 127.468005) - (xy 142.315389 127.46801) - (xy 142.394853 127.562043) - (xy 142.428668 127.602058) - (xy 142.4319 127.604529) - (xy 142.431901 127.60453) - (xy 142.549053 127.694099) - (xy 142.571326 127.711128) - (xy 142.734077 127.78702) - (xy 142.909328 127.826193) - (xy 142.914819 127.8265) - (xy 143.046866 127.8265) - (xy 143.048889 127.82628) - (xy 143.048893 127.82628) - (xy 143.118924 127.818672) - (xy 143.180525 127.81198) - (xy 143.350722 127.754703) - (xy 143.354208 127.752608) - (xy 143.354211 127.752607) - (xy 143.501158 127.664311) - (xy 143.504648 127.662214) - (xy 143.635123 127.53883) - (xy 143.73606 127.390306) - (xy 143.802748 127.223573) - (xy 143.832078 127.046409) - (xy 143.831483 127.035043) - (xy 143.823564 126.883965) - (xy 143.822679 126.867079) - (xy 143.80899 126.817379) - (xy 143.795544 126.768565) - (xy 143.774992 126.693951) - (xy 143.771408 126.687152) - (xy 143.693142 126.538709) - (xy 143.693141 126.538707) - (xy 143.69124 126.535102) - (xy 143.688614 126.531995) - (xy 143.688611 126.53199) - (xy 143.602864 126.430522) - (xy 143.575332 126.397942) - (xy 143.554773 126.382223) - (xy 143.435906 126.291343) - (xy 143.432674 126.288872) - (xy 143.269923 126.21298) - (xy 143.094672 126.173807) - (xy 143.089181 126.1735) - (xy 142.957134 126.1735) - (xy 142.955111 126.17372) - (xy 142.955107 126.17372) - (xy 142.888895 126.180913) - (xy 142.823475 126.18802) - (xy 142.653278 126.245297) - (xy 142.649792 126.247392) - (xy 142.649789 126.247393) - (xy 142.547286 126.308984) - (xy 142.499352 126.337786) - (xy 142.496393 126.340584) - (xy 142.496392 126.340585) - (xy 142.467772 126.36765) - (xy 142.368877 126.46117) - (xy 142.366589 126.464537) - (xy 142.366588 126.464538) - (xy 142.350043 126.488883) - (xy 142.26794 126.609694) - (xy 142.266428 126.613473) - (xy 142.266428 126.613474) - (xy 142.25356 126.645647) - (xy 142.201252 126.776427) - (xy 142.171922 126.953591) - (xy 141.361935 126.953591) - (xy 141.885737 126.429789) - (xy 141.890316 126.425006) - (xy 141.894749 126.42017) - (xy 141.900013 126.411498) - (xy 141.917781 126.382223) - (xy 141.925617 126.369312) - (xy 141.94321 126.320974) - (xy 141.947237 126.298135) - (xy 141.951831 126.272082) - (xy 141.951831 126.272076) - (xy 141.9524 126.268852) - (xy 141.9524 125.231148) - (xy 141.952255 125.224504) - (xy 141.951969 125.21795) - (xy 141.937834 125.160185) - (xy 141.916094 125.113565) - (xy 141.885737 125.070211) - (xy 141.479789 124.664263) - (xy 141.475006 124.659684) - (xy 141.47017 124.655251) - (xy 141.419312 124.624383) - (xy 141.370974 124.60679) - (xy 141.367744 124.60622) - (xy 141.367742 124.60622) - (xy 141.322082 124.598169) - (xy 141.322076 124.598169) - (xy 141.318852 124.5976) - (xy 140.953039 124.5976) - (xy 140.904701 124.580007) - (xy 140.886036 124.556541) - (xy 140.880446 124.545569) - (xy 140.880442 124.545563) - (xy 140.877755 124.54029) - (xy 140.78471 124.447245) - (xy 140.779434 124.444557) - (xy 140.779433 124.444556) - (xy 140.67274 124.390194) - (xy 140.672739 124.390194) - (xy 140.667466 124.387507) - (xy 140.624508 124.380703) - (xy 140.57311 124.372562) - (xy 140.573108 124.372562) - (xy 140.570192 124.3721) - (xy 139.629808 124.3721) - (xy 139.626892 124.372562) - (xy 139.62689 124.372562) - (xy 139.575492 124.380703) - (xy 139.532534 124.387507) - (xy 139.527261 124.390194) - (xy 139.52726 124.390194) - (xy 139.420567 124.444556) - (xy 139.420566 124.444557) - (xy 139.41529 124.447245) - (xy 139.400399 124.462136) - (xy 139.353784 124.483875) - (xy 139.304096 124.470563) - (xy 139.294053 124.462135) - (xy 138.650855 123.818937) - (xy 138.648675 123.816664) - (xy 138.638728 123.805847) - (xy 138.605745 123.769978) - (xy 138.601388 123.767277) - (xy 138.601385 123.767274) - (xy 138.566545 123.745672) - (xy 138.560708 123.74166) - (xy 138.528061 123.71688) - (xy 138.528057 123.716878) - (xy 138.523972 123.713777) - (xy 138.519205 123.71189) - (xy 138.519204 123.711889) - (xy 138.505673 123.706532) - (xy 138.493729 123.700525) - (xy 138.481357 123.692854) - (xy 138.476999 123.690152) - (xy 138.472078 123.688722) - (xy 138.472075 123.688721) - (xy 138.432707 123.677284) - (xy 138.426004 123.674989) - (xy 138.387893 123.6599) - (xy 138.387894 123.6599) - (xy 138.383125 123.658012) - (xy 138.378026 123.657476) - (xy 138.363548 123.655954) - (xy 138.350436 123.653382) - (xy 138.331529 123.647889) - (xy 138.320785 123.6471) - (xy 138.28324 123.6471) - (xy 138.27538 123.646688) - (xy 138.249966 123.644017) - (xy 138.232678 123.6422) - (xy 139.2476 123.6422) - (xy 139.248062 123.648072) - (xy 139.262062 123.736462) - (xy 139.265675 123.747582) - (xy 139.319966 123.854135) - (xy 139.326845 123.863602) - (xy 139.411398 123.948155) - (xy 139.420865 123.955034) - (xy 139.527418 124.009325) - (xy 139.538538 124.012938) - (xy 139.626928 124.026938) - (xy 139.6328 124.0274) - (xy 139.936741 124.0274) - (xy 139.946898 124.023703) - (xy 139.95 124.018331) - (xy 139.95 124.014141) - (xy 140.25 124.014141) - (xy 140.253697 124.024298) - (xy 140.259069 124.0274) - (xy 140.5672 124.0274) - (xy 140.573072 124.026938) - (xy 140.661462 124.012938) - (xy 140.672582 124.009325) - (xy 140.779135 123.955034) - (xy 140.788602 123.948155) - (xy 140.873155 123.863602) - (xy 140.880034 123.854135) - (xy 140.934325 123.747582) - (xy 140.937938 123.736462) - (xy 140.951938 123.648072) - (xy 140.9524 123.6422) - (xy 140.9524 123.513259) - (xy 140.948703 123.503102) - (xy 140.943331 123.5) - (xy 140.263259 123.5) - (xy 140.253102 123.503697) - (xy 140.25 123.509069) - (xy 140.25 124.014141) - (xy 139.95 124.014141) - (xy 139.95 123.513259) - (xy 139.946303 123.503102) - (xy 139.940931 123.5) - (xy 139.260859 123.5) - (xy 139.250702 123.503697) - (xy 139.2476 123.509069) - (xy 139.2476 123.6422) - (xy 138.232678 123.6422) - (xy 138.232469 123.642178) - (xy 138.227414 123.643033) - (xy 138.209594 123.646047) - (xy 138.197053 123.6471) - (xy 137.323502 123.6471) - (xy 137.317994 123.646898) - (xy 137.264957 123.643003) - (xy 137.259929 123.644017) - (xy 137.259926 123.644017) - (xy 137.251998 123.645616) - (xy 137.237134 123.6471) - (xy 136.791548 123.6471) - (xy 136.788832 123.6475) - (xy 136.788829 123.6475) - (xy 136.727967 123.656459) - (xy 136.727965 123.65646) - (xy 136.722188 123.65731) - (xy 136.699047 123.668672) - (xy 136.622304 123.706351) - (xy 136.622303 123.706352) - (xy 136.616728 123.709089) - (xy 136.61234 123.713484) - (xy 136.612338 123.713486) - (xy 136.580209 123.745672) - (xy 136.533726 123.792236) - (xy 136.530997 123.797818) - (xy 136.530996 123.79782) - (xy 136.486049 123.889771) - (xy 136.482131 123.897786) - (xy 136.481288 123.903563) - (xy 136.481288 123.903564) - (xy 136.480173 123.91121) - (xy 136.4721 123.966548) - (xy 136.4721 124.433452) - (xy 136.4725 124.436168) - (xy 136.4725 124.436171) - (xy 136.480709 124.491934) - (xy 136.48231 124.502812) - (xy 136.503303 124.545569) - (xy 136.529996 124.599935) - (xy 136.534089 124.608272) - (xy 136.538484 124.61266) - (xy 136.538486 124.612662) - (xy 136.563888 124.638019) - (xy 136.617236 124.691274) - (xy 136.622818 124.694003) - (xy 136.62282 124.694004) - (xy 136.704925 124.734138) - (xy 136.740625 124.771172) - (xy 136.7471 124.801698) - (xy 136.7471 126.364021) - (xy 136.747034 126.36717) - (xy 136.744379 126.430522) - (xy 136.74555 126.435513) - (xy 136.746032 126.440617) - (xy 136.74592 126.440628) - (xy 136.7471 126.450823) - (xy 136.7471 126.602489) - (xy 136.733197 126.646052) - (xy 136.715405 126.671087) - (xy 136.713769 126.673302) - (xy 136.703682 126.686448) - (xy 136.677043 126.721165) - (xy 136.676532 126.722398) - (xy 136.673777 126.726028) - (xy 136.67189 126.730795) - (xy 136.670102 126.733968) - (xy 136.668385 126.737251) - (xy 136.665412 126.741435) - (xy 136.66191 126.751161) - (xy 136.644527 126.799444) - (xy 136.643249 126.802747) - (xy 136.623677 126.85) - (xy 136.621331 126.855664) - (xy 136.620918 126.858804) - (xy 136.620113 126.861236) - (xy 136.619898 126.86211) - (xy 136.618012 126.866875) - (xy 136.617476 126.871977) - (xy 136.616598 126.875552) - (xy 136.615835 126.879139) - (xy 136.614098 126.883965) - (xy 136.613722 126.889078) - (xy 136.613722 126.88908) - (xy 136.609832 126.942047) - (xy 136.609391 126.946354) - (xy 136.603367 126.992117) - (xy 136.602329 127) - (xy 136.602973 127.004889) - (xy 136.602973 127.006022) - (xy 136.602685 127.011512) - (xy 136.602714 127.012434) - (xy 136.602178 127.017531) - (xy 136.603032 127.022581) - (xy 136.603148 127.026273) - (xy 136.603378 127.029933) - (xy 136.603003 127.035043) - (xy 136.604016 127.040067) - (xy 136.604016 127.040068) - (xy 136.613847 127.088826) - (xy 136.614687 127.093873) - (xy 136.621331 127.144336) - (xy 136.623216 127.148887) - (xy 136.623537 127.150084) - (xy 136.6261 127.169549) - (xy 136.6261 127.485287) - (xy 136.608507 127.533625) - (xy 136.604074 127.538461) - (xy 136.557245 127.58529) - (xy 136.554557 127.590566) - (xy 136.554556 127.590567) - (xy 136.501805 127.694099) - (xy 136.497507 127.702534) - (xy 136.495452 127.715506) - (xy 136.485425 127.778818) - (xy 136.4821 127.799808) - (xy 136.4821 128.740192) - (xy 136.482562 128.743108) - (xy 136.482562 128.74311) - (xy 136.483238 128.747377) - (xy 136.497507 128.837466) - (xy 136.500194 128.842739) - (xy 136.500194 128.84274) - (xy 136.552549 128.945493) - (xy 136.557245 128.95471) - (xy 136.579744 128.977209) - (xy 136.601484 129.023829) - (xy 136.58817 129.073516) - (xy 136.570776 129.091217) - (xy 136.54444 129.110351) - (xy 136.541807 129.113275) - (xy 136.541804 129.113278) - (xy 136.503091 129.156274) - (xy 136.415753 129.253273) - (xy 136.413784 129.256683) - (xy 136.413782 129.256686) - (xy 136.363853 129.343166) - (xy 136.319593 129.419827) - (xy 136.302723 129.471747) - (xy 136.26147 129.598713) - (xy 136.260163 129.602734) - (xy 136.259751 129.60665) - (xy 136.259751 129.606652) - (xy 136.252941 129.671444) - (xy 136.24006 129.794) - (xy 136.240472 129.79792) - (xy 136.244688 129.838032) - (xy 136.2451 129.845893) - (xy 136.2451 131.4968) - (xy 136.227507 131.545138) - (xy 136.182958 131.570858) - (xy 136.1699 131.572) - (xy 135.354782 131.572) - (xy 135.309316 131.556699) - (xy 135.269643 131.526585) - (xy 135.269639 131.526583) - (xy 135.265558 131.523485) - (xy 135.260791 131.521598) - (xy 135.26079 131.521597) - (xy 135.198159 131.4968) - (xy 135.12955 131.469636) - (xy 135.066193 131.461969) - (xy 135.048608 131.459841) - (xy 135.002734 131.436568) - (xy 134.982552 131.389253) - (xy 134.990638 131.351046) - (xy 135.00527 131.322329) - (xy 135.00527 131.322328) - (xy 135.007957 131.317055) - (xy 135.026665 131.198936) - (xy 135.026996 131.196847) - (xy 135.027922 131.191) - (xy 135.007957 131.064945) - (xy 134.961555 130.973875) - (xy 134.952705 130.956506) - (xy 134.952704 130.956505) - (xy 134.950016 130.951229) - (xy 134.859771 130.860984) - (xy 134.853201 130.857636) - (xy 134.806656 130.833921) - (xy 134.746055 130.803043) - (xy 134.62 130.783078) - (xy 134.493945 130.803043) - (xy 134.433344 130.833921) - (xy 134.3868 130.857636) - (xy 134.380229 130.860984) - (xy 134.289984 130.951229) - (xy 134.287296 130.956505) - (xy 134.287295 130.956506) - (xy 134.278445 130.973875) - (xy 134.232043 131.064945) - (xy 134.212078 131.191) - (xy 134.213004 131.196847) - (xy 134.213335 131.198936) - (xy 134.232043 131.317055) - (xy 134.23473 131.322328) - (xy 134.23473 131.322329) - (xy 134.249362 131.351046) - (xy 134.255631 131.402103) - (xy 134.227614 131.445244) - (xy 134.191392 131.459841) - (xy 134.173807 131.461969) - (xy 134.11045 131.469636) - (xy 134.041841 131.4968) - (xy 133.97921 131.521597) - (xy 133.979209 131.521598) - (xy 133.974442 131.523485) - (xy 133.970361 131.526583) - (xy 133.970357 131.526585) - (xy 133.930684 131.556699) - (xy 133.885218 131.572) - (xy 132.814782 131.572) - (xy 132.769316 131.556699) - (xy 132.729643 131.526585) - (xy 132.729639 131.526583) - (xy 132.725558 131.523485) - (xy 132.720791 131.521598) - (xy 132.72079 131.521597) - (xy 132.658159 131.4968) - (xy 132.58955 131.469636) - (xy 132.526193 131.461969) - (xy 132.508608 131.459841) - (xy 132.462734 131.436568) - (xy 132.442552 131.389253) - (xy 132.450638 131.351046) - (xy 132.46527 131.322329) - (xy 132.46527 131.322328) - (xy 132.467957 131.317055) - (xy 132.486665 131.198936) - (xy 132.486996 131.196847) - (xy 132.487922 131.191) - (xy 132.467957 131.064945) - (xy 132.421555 130.973875) - (xy 132.412705 130.956506) - (xy 132.412704 130.956505) - (xy 132.410016 130.951229) - (xy 132.319771 130.860984) - (xy 132.313201 130.857636) - (xy 132.266656 130.833921) - (xy 132.206055 130.803043) - (xy 132.08 130.783078) - (xy 131.953945 130.803043) - (xy 131.893344 130.833921) - (xy 131.8468 130.857636) - (xy 131.840229 130.860984) - (xy 131.749984 130.951229) - (xy 131.747296 130.956505) - (xy 131.747295 130.956506) - (xy 131.738445 130.973875) - (xy 131.692043 131.064945) - (xy 131.672078 131.191) - (xy 131.673004 131.196847) - (xy 131.673335 131.198936) - (xy 131.692043 131.317055) - (xy 131.69473 131.322328) - (xy 131.69473 131.322329) - (xy 131.709362 131.351046) - (xy 131.715631 131.402103) - (xy 131.687614 131.445244) - (xy 131.651392 131.459841) - (xy 131.633807 131.461969) - (xy 131.57045 131.469636) - (xy 131.501841 131.4968) - (xy 131.43921 131.521597) - (xy 131.439209 131.521598) - (xy 131.434442 131.523485) - (xy 131.430361 131.526583) - (xy 131.430357 131.526585) - (xy 131.390684 131.556699) - (xy 131.345218 131.572) - (xy 130.274782 131.572) - (xy 130.229316 131.556699) - (xy 130.189643 131.526585) - (xy 130.189639 131.526583) - (xy 130.185558 131.523485) - (xy 130.180791 131.521598) - (xy 130.18079 131.521597) - (xy 130.118159 131.4968) - (xy 130.04955 131.469636) - (xy 129.962484 131.4591) - (xy 129.117516 131.4591) - (xy 129.03045 131.469636) - (xy 128.961841 131.4968) - (xy 128.89921 131.521597) - (xy 128.899209 131.521598) - (xy 128.894442 131.523485) - (xy 128.890361 131.526583) - (xy 128.890357 131.526585) - (xy 128.850684 131.556699) - (xy 128.805218 131.572) - (xy 127.734782 131.572) - (xy 127.689316 131.556699) - (xy 127.649643 131.526585) - (xy 127.649639 131.526583) - (xy 127.645558 131.523485) - (xy 127.640791 131.521598) - (xy 127.64079 131.521597) - (xy 127.578159 131.4968) - (xy 127.50955 131.469636) - (xy 127.422484 131.4591) - (xy 126.577516 131.4591) - (xy 126.49045 131.469636) - (xy 126.421841 131.4968) - (xy 126.35921 131.521597) - (xy 126.359209 131.521598) - (xy 126.354442 131.523485) - (xy 126.350361 131.526583) - (xy 126.350357 131.526585) - (xy 126.310684 131.556699) - (xy 126.265218 131.572) - (xy 125.194782 131.572) - (xy 125.149316 131.556699) - (xy 125.109643 131.526585) - (xy 125.109639 131.526583) - (xy 125.105558 131.523485) - (xy 125.100791 131.521598) - (xy 125.10079 131.521597) - (xy 125.038159 131.4968) - (xy 124.96955 131.469636) - (xy 124.882484 131.4591) - (xy 124.7631 131.4591) - (xy 124.714762 131.441507) - (xy 124.689042 131.396958) - (xy 124.6879 131.3839) - (xy 124.6879 129.217929) - (xy 124.688003 129.213992) - (xy 124.689709 129.181445) - (xy 124.690123 129.173552) - (xy 124.68131 129.150592) - (xy 124.67796 129.139284) - (xy 124.674491 129.122965) - (xy 124.672848 129.115234) - (xy 124.667829 129.108325) - (xy 124.658465 129.09108) - (xy 124.658236 129.090483) - (xy 124.658235 129.090482) - (xy 124.655403 129.083104) - (xy 124.638019 129.06572) - (xy 124.630355 129.056748) - (xy 124.620546 129.043247) - (xy 124.620545 129.043247) - (xy 124.615901 129.036854) - (xy 124.608507 129.032585) - (xy 124.592933 129.020634) - (xy 124.309499 128.7372) - (xy 134.7826 128.7372) - (xy 134.783062 128.743072) - (xy 134.797062 128.831462) - (xy 134.800675 128.842582) - (xy 134.854966 128.949135) - (xy 134.861845 128.958602) - (xy 134.946398 129.043155) - (xy 134.955865 129.050034) - (xy 135.062418 129.104325) - (xy 135.073538 129.107938) - (xy 135.161928 129.121938) - (xy 135.1678 129.1224) - (xy 135.296741 129.1224) - (xy 135.306898 129.118703) - (xy 135.31 129.113331) - (xy 135.31 129.109141) - (xy 135.61 129.109141) - (xy 135.613697 129.119298) - (xy 135.619069 129.1224) - (xy 135.7522 129.1224) - (xy 135.758072 129.121938) - (xy 135.846462 129.107938) - (xy 135.857582 129.104325) - (xy 135.964135 129.050034) - (xy 135.973602 129.043155) - (xy 136.058155 128.958602) - (xy 136.065034 128.949135) - (xy 136.119325 128.842582) - (xy 136.122938 128.831462) - (xy 136.136938 128.743072) - (xy 136.1374 128.7372) - (xy 136.1374 128.433259) - (xy 136.133703 128.423102) - (xy 136.128331 128.42) - (xy 135.623259 128.42) - (xy 135.613102 128.423697) - (xy 135.61 128.429069) - (xy 135.61 129.109141) - (xy 135.31 129.109141) - (xy 135.31 128.433259) - (xy 135.306303 128.423102) - (xy 135.300931 128.42) - (xy 134.795859 128.42) - (xy 134.785702 128.423697) - (xy 134.7826 128.429069) - (xy 134.7826 128.7372) - (xy 124.309499 128.7372) - (xy 123.67904 128.106741) - (xy 134.7826 128.106741) - (xy 134.786297 128.116898) - (xy 134.791669 128.12) - (xy 135.296741 128.12) - (xy 135.306898 128.116303) - (xy 135.31 128.110931) - (xy 135.31 128.106741) - (xy 135.61 128.106741) - (xy 135.613697 128.116898) - (xy 135.619069 128.12) - (xy 136.124141 128.12) - (xy 136.134298 128.116303) - (xy 136.1374 128.110931) - (xy 136.1374 127.8028) - (xy 136.136938 127.796928) - (xy 136.122938 127.708538) - (xy 136.119325 127.697418) - (xy 136.065034 127.590865) - (xy 136.058155 127.581398) - (xy 135.973602 127.496845) - (xy 135.964135 127.489966) - (xy 135.857582 127.435675) - (xy 135.846462 127.432062) - (xy 135.758072 127.418062) - (xy 135.7522 127.4176) - (xy 135.623259 127.4176) - (xy 135.613102 127.421297) - (xy 135.61 127.426669) - (xy 135.61 128.106741) - (xy 135.31 128.106741) - (xy 135.31 127.430859) - (xy 135.306303 127.420702) - (xy 135.300931 127.4176) - (xy 135.1678 127.4176) - (xy 135.161928 127.418062) - (xy 135.073538 127.432062) - (xy 135.062418 127.435675) - (xy 134.955865 127.489966) - (xy 134.946398 127.496845) - (xy 134.861845 127.581398) - (xy 134.854966 127.590865) - (xy 134.800675 127.697418) - (xy 134.797062 127.708538) - (xy 134.783062 127.796928) - (xy 134.7826 127.8028) - (xy 134.7826 128.106741) - (xy 123.67904 128.106741) - (xy 122.316758 126.744458) - (xy 122.314047 126.741602) - (xy 122.292237 126.717379) - (xy 122.286949 126.711506) - (xy 122.264478 126.701501) - (xy 122.254121 126.695877) - (xy 122.240125 126.686788) - (xy 122.240124 126.686788) - (xy 122.233497 126.682484) - (xy 122.225061 126.681148) - (xy 122.206245 126.675574) - (xy 122.198442 126.6721) - (xy 122.173847 126.6721) - (xy 122.162084 126.671174) - (xy 122.145613 126.668565) - (xy 122.145611 126.668565) - (xy 122.137806 126.667329) - (xy 122.130174 126.669374) - (xy 122.130173 126.669374) - (xy 122.129562 126.669538) - (xy 122.110099 126.6721) - (xy 114.207929 126.6721) - (xy 114.203992 126.671997) - (xy 114.163552 126.669877) - (xy 114.140592 126.67869) - (xy 114.129285 126.68204) - (xy 114.105234 126.687152) - (xy 114.09884 126.691798) - (xy 114.098839 126.691798) - (xy 114.098326 126.692171) - (xy 114.08108 126.701535) - (xy 114.080483 126.701764) - (xy 114.080482 126.701765) - (xy 114.073104 126.704597) - (xy 114.05572 126.721981) - (xy 114.046748 126.729645) - (xy 114.036577 126.737035) - (xy 114.026854 126.744099) - (xy 114.022902 126.750944) - (xy 114.022585 126.751493) - (xy 114.010634 126.767067) - (xy 113.251009 127.526691) - (xy 113.204389 127.548431) - (xy 113.186071 127.547791) - (xy 113.155847 127.543004) - (xy 113.15 127.542078) - (xy 113.023945 127.562043) - (xy 112.910229 127.619984) - (xy 112.819984 127.710229) - (xy 112.817296 127.715505) - (xy 112.817295 127.715506) - (xy 112.802232 127.74507) - (xy 112.762043 127.823945) - (xy 112.742078 127.95) - (xy 112.762043 128.076055) - (xy 112.784434 128.12) - (xy 112.815604 128.181174) - (xy 112.819984 128.189771) - (xy 112.910229 128.280016) - (xy 113.023945 128.337957) - (xy 113.15 128.357922) - (xy 113.276055 128.337957) - (xy 113.389771 128.280016) - (xy 113.480016 128.189771) - (xy 113.484397 128.181174) - (xy 113.515566 128.12) - (xy 113.522322 128.106741) - (xy 114.7166 128.106741) - (xy 114.720297 128.116898) - (xy 114.725669 128.12) - (xy 115.230741 128.12) - (xy 115.240898 128.116303) - (xy 115.244 128.110931) - (xy 115.244 128.106741) - (xy 115.544 128.106741) - (xy 115.547697 128.116898) - (xy 115.553069 128.12) - (xy 116.058141 128.12) - (xy 116.068298 128.116303) - (xy 116.0714 128.110931) - (xy 116.0714 127.8028) - (xy 116.070938 127.796928) - (xy 116.056938 127.708538) - (xy 116.053325 127.697418) - (xy 115.999034 127.590865) - (xy 115.992155 127.581398) - (xy 115.907602 127.496845) - (xy 115.898135 127.489966) - (xy 115.791582 127.435675) - (xy 115.780462 127.432062) - (xy 115.692072 127.418062) - (xy 115.6862 127.4176) - (xy 115.557259 127.4176) - (xy 115.547102 127.421297) - (xy 115.544 127.426669) - (xy 115.544 128.106741) - (xy 115.244 128.106741) - (xy 115.244 127.430859) - (xy 115.240303 127.420702) - (xy 115.234931 127.4176) - (xy 115.1018 127.4176) - (xy 115.095928 127.418062) - (xy 115.007538 127.432062) - (xy 114.996418 127.435675) - (xy 114.889865 127.489966) - (xy 114.880398 127.496845) - (xy 114.795845 127.581398) - (xy 114.788966 127.590865) - (xy 114.734675 127.697418) - (xy 114.731062 127.708538) - (xy 114.717062 127.796928) - (xy 114.7166 127.8028) - (xy 114.7166 128.106741) - (xy 113.522322 128.106741) - (xy 113.537957 128.076055) - (xy 113.557922 127.95) - (xy 113.554595 127.928994) - (xy 113.552209 127.913927) - (xy 113.562024 127.863433) - (xy 113.573309 127.84899) - (xy 114.272373 127.149926) - (xy 114.318993 127.128186) - (xy 114.325547 127.1279) - (xy 122.024453 127.1279) - (xy 122.072791 127.145493) - (xy 122.077627 127.149926) - (xy 124.210074 129.282373) - (xy 124.231814 129.328993) - (xy 124.2321 129.335547) - (xy 124.2321 131.3839) - (xy 124.214507 131.432238) - (xy 124.169958 131.457958) - (xy 124.1569 131.4591) - (xy 124.037516 131.4591) - (xy 123.95045 131.469636) - (xy 123.881841 131.4968) - (xy 123.81921 131.521597) - (xy 123.819209 131.521598) - (xy 123.814442 131.523485) - (xy 123.810361 131.526583) - (xy 123.810357 131.526585) - (xy 123.770684 131.556699) - (xy 123.725218 131.572) - (xy 122.654782 131.572) - (xy 122.609316 131.556699) - (xy 122.569643 131.526585) - (xy 122.569639 131.526583) - (xy 122.565558 131.523485) - (xy 122.560791 131.521598) - (xy 122.56079 131.521597) - (xy 122.498159 131.4968) - (xy 122.42955 131.469636) - (xy 122.342484 131.4591) - (xy 121.497516 131.4591) - (xy 121.41045 131.469636) - (xy 121.341841 131.4968) - (xy 121.27921 131.521597) - (xy 121.279209 131.521598) - (xy 121.274442 131.523485) - (xy 121.270361 131.526583) - (xy 121.270357 131.526585) - (xy 121.230684 131.556699) - (xy 121.185218 131.572) - (xy 120.114782 131.572) - (xy 120.069316 131.556699) - (xy 120.029643 131.526585) - (xy 120.029639 131.526583) - (xy 120.025558 131.523485) - (xy 120.020791 131.521598) - (xy 120.02079 131.521597) - (xy 119.958159 131.4968) - (xy 119.88955 131.469636) - (xy 119.802484 131.4591) - (xy 119.6831 131.4591) - (xy 119.634762 131.441507) - (xy 119.609042 131.396958) - (xy 119.6079 131.3839) - (xy 119.6079 131.187936) - (xy 119.608003 131.184) - (xy 119.608321 131.177929) - (xy 119.610123 131.143552) - (xy 119.601311 131.120596) - (xy 119.597959 131.109281) - (xy 119.596915 131.104368) - (xy 119.592848 131.085234) - (xy 119.587832 131.07833) - (xy 119.578466 131.06108) - (xy 119.575404 131.053104) - (xy 119.558017 131.035717) - (xy 119.550353 131.026745) - (xy 119.540546 131.013247) - (xy 119.535901 131.006854) - (xy 119.529059 131.002903) - (xy 119.529057 131.002902) - (xy 119.528508 131.002585) - (xy 119.512934 130.990634) - (xy 118.906758 130.384458) - (xy 118.904047 130.381602) - (xy 118.882237 130.357379) - (xy 118.876949 130.351506) - (xy 118.854478 130.341501) - (xy 118.844121 130.335877) - (xy 118.830125 130.326788) - (xy 118.830124 130.326788) - (xy 118.823497 130.322484) - (xy 118.815061 130.321148) - (xy 118.796245 130.315574) - (xy 118.788442 130.3121) - (xy 118.763847 130.3121) - (xy 118.752084 130.311174) - (xy 118.735613 130.308565) - (xy 118.735611 130.308565) - (xy 118.727806 130.307329) - (xy 118.720174 130.309374) - (xy 118.720173 130.309374) - (xy 118.719562 130.309538) - (xy 118.700099 130.3121) - (xy 114.665547 130.3121) - (xy 114.617209 130.294507) - (xy 114.612373 130.290074) - (xy 113.0595 128.7372) - (xy 114.7166 128.7372) - (xy 114.717062 128.743072) - (xy 114.731062 128.831462) - (xy 114.734675 128.842582) - (xy 114.788966 128.949135) - (xy 114.795845 128.958602) - (xy 114.880398 129.043155) - (xy 114.889865 129.050034) - (xy 114.996418 129.104325) - (xy 115.007538 129.107938) - (xy 115.095928 129.121938) - (xy 115.1018 129.1224) - (xy 115.230741 129.1224) - (xy 115.240898 129.118703) - (xy 115.244 129.113331) - (xy 115.244 129.109141) - (xy 115.544 129.109141) - (xy 115.547697 129.119298) - (xy 115.553069 129.1224) - (xy 115.6862 129.1224) - (xy 115.692072 129.121938) - (xy 115.780462 129.107938) - (xy 115.791582 129.104325) - (xy 115.898135 129.050034) - (xy 115.907602 129.043155) - (xy 115.992155 128.958602) - (xy 115.999034 128.949135) - (xy 116.053325 128.842582) - (xy 116.056938 128.831462) - (xy 116.070938 128.743072) - (xy 116.071165 128.740192) - (xy 116.4161 128.740192) - (xy 116.416562 128.743108) - (xy 116.416562 128.74311) - (xy 116.417238 128.747377) - (xy 116.431507 128.837466) - (xy 116.434194 128.842739) - (xy 116.434194 128.84274) - (xy 116.486549 128.945493) - (xy 116.491245 128.95471) - (xy 116.519074 128.982539) - (xy 116.540814 129.029159) - (xy 116.5411 129.035713) - (xy 116.5411 129.498829) - (xy 116.540457 129.508644) - (xy 116.536329 129.54) - (xy 116.536972 129.544884) - (xy 116.540913 129.574822) - (xy 116.5411 129.576696) - (xy 116.5411 129.577946) - (xy 116.546533 129.617603) - (xy 116.546818 129.619687) - (xy 116.546871 129.620077) - (xy 116.553634 129.671444) - (xy 116.555331 129.684336) - (xy 116.555843 129.685572) - (xy 116.556461 129.690084) - (xy 116.570785 129.723184) - (xy 116.585452 129.757079) - (xy 116.585912 129.758166) - (xy 116.600921 129.794399) - (xy 116.611043 129.818835) - (xy 116.612973 129.82135) - (xy 116.614125 129.82364) - (xy 116.614584 129.824399) - (xy 116.616623 129.82911) - (xy 116.659938 129.882599) - (xy 116.661137 129.88412) - (xy 116.699667 129.934333) - (xy 116.703574 129.937331) - (xy 116.704386 129.938143) - (xy 116.708066 129.942229) - (xy 116.708731 129.942854) - (xy 116.711956 129.946836) - (xy 116.71613 129.949802) - (xy 116.716136 129.949808) - (xy 116.765079 129.98459) - (xy 116.767296 129.986227) - (xy 116.811256 130.019959) - (xy 116.81126 130.019961) - (xy 116.815164 130.022957) - (xy 116.819711 130.024841) - (xy 116.823987 130.027309) - (xy 116.823847 130.027551) - (xy 116.830217 130.031075) - (xy 116.831256 130.031618) - (xy 116.835435 130.034588) - (xy 116.853806 130.041202) - (xy 116.893439 130.055471) - (xy 116.896744 130.056749) - (xy 116.94511 130.076783) - (xy 116.945112 130.076784) - (xy 116.949664 130.078669) - (xy 116.954571 130.079315) - (xy 116.970236 130.083119) - (xy 116.977965 130.085902) - (xy 116.983078 130.086278) - (xy 116.98308 130.086278) - (xy 117.036047 130.090168) - (xy 117.040354 130.090609) - (xy 117.089114 130.097028) - (xy 117.089116 130.097028) - (xy 117.094 130.097671) - (xy 117.102196 130.096592) - (xy 117.117515 130.09615) - (xy 117.129043 130.096997) - (xy 117.134067 130.095984) - (xy 117.134068 130.095984) - (xy 117.182826 130.086153) - (xy 117.187873 130.085313) - (xy 117.233453 130.079312) - (xy 117.233454 130.079312) - (xy 117.238336 130.078669) - (xy 117.242886 130.076784) - (xy 117.242891 130.076783) - (xy 117.249035 130.074238) - (xy 117.262946 130.069998) - (xy 117.272517 130.068068) - (xy 117.272518 130.068068) - (xy 117.27754 130.067055) - (xy 117.282105 130.064729) - (xy 117.282108 130.064728) - (xy 117.323432 130.043673) - (xy 117.328792 130.041202) - (xy 117.368281 130.024844) - (xy 117.368282 130.024843) - (xy 117.372836 130.022957) - (xy 117.376741 130.01996) - (xy 117.376747 130.019957) - (xy 117.384648 130.013894) - (xy 117.396287 130.00655) - (xy 117.407945 130.00061) - (xy 117.412514 129.998282) - (xy 117.447964 129.965684) - (xy 117.45308 129.961384) - (xy 117.484426 129.937331) - (xy 117.488333 129.934333) - (xy 117.499409 129.919899) - (xy 117.508162 129.910329) - (xy 117.524022 129.895745) - (xy 117.547642 129.85765) - (xy 117.551894 129.851498) - (xy 117.573957 129.822745) - (xy 117.573958 129.822744) - (xy 117.576957 129.818835) - (xy 117.585183 129.798976) - (xy 117.590743 129.788135) - (xy 117.601147 129.771355) - (xy 117.603848 129.766999) - (xy 117.615422 129.72716) - (xy 117.61816 129.719362) - (xy 117.632669 129.684336) - (xy 117.635905 129.659758) - (xy 117.638248 129.648596) - (xy 117.645012 129.625313) - (xy 117.645013 129.62531) - (xy 117.646111 129.621529) - (xy 117.6469 129.610785) - (xy 117.6469 129.581171) - (xy 117.647543 129.571356) - (xy 117.651028 129.544884) - (xy 117.651671 129.54) - (xy 117.647543 129.508644) - (xy 117.6469 129.498829) - (xy 117.6469 129.035713) - (xy 117.664493 128.987375) - (xy 117.668926 128.982539) - (xy 117.696755 128.95471) - (xy 117.701452 128.945493) - (xy 117.753806 128.84274) - (xy 117.753806 128.842739) - (xy 117.756493 128.837466) - (xy 117.770762 128.747377) - (xy 117.771438 128.74311) - (xy 117.771438 128.743108) - (xy 117.7719 128.740192) - (xy 118.4481 128.740192) - (xy 118.448562 128.743108) - (xy 118.448562 128.74311) - (xy 118.449238 128.747377) - (xy 118.463507 128.837466) - (xy 118.466194 128.842739) - (xy 118.466194 128.84274) - (xy 118.518549 128.945493) - (xy 118.523245 128.95471) - (xy 118.551074 128.982539) - (xy 118.572814 129.029159) - (xy 118.5731 129.035713) - (xy 118.5731 129.498829) - (xy 118.572457 129.508644) - (xy 118.568329 129.54) - (xy 118.568972 129.544884) - (xy 118.572913 129.574822) - (xy 118.5731 129.576696) - (xy 118.5731 129.577946) - (xy 118.578533 129.617603) - (xy 118.578818 129.619687) - (xy 118.578871 129.620077) - (xy 118.585634 129.671444) - (xy 118.587331 129.684336) - (xy 118.587843 129.685572) - (xy 118.588461 129.690084) - (xy 118.602785 129.723184) - (xy 118.617452 129.757079) - (xy 118.617912 129.758166) - (xy 118.632921 129.794399) - (xy 118.643043 129.818835) - (xy 118.644973 129.82135) - (xy 118.646125 129.82364) - (xy 118.646584 129.824399) - (xy 118.648623 129.82911) - (xy 118.691938 129.882599) - (xy 118.693137 129.88412) - (xy 118.731667 129.934333) - (xy 118.735574 129.937331) - (xy 118.736386 129.938143) - (xy 118.740066 129.942229) - (xy 118.740731 129.942854) - (xy 118.743956 129.946836) - (xy 118.74813 129.949802) - (xy 118.748136 129.949808) - (xy 118.797079 129.98459) - (xy 118.799296 129.986227) - (xy 118.843256 130.019959) - (xy 118.84326 130.019961) - (xy 118.847164 130.022957) - (xy 118.851711 130.024841) - (xy 118.855987 130.027309) - (xy 118.855847 130.027551) - (xy 118.862217 130.031075) - (xy 118.863256 130.031618) - (xy 118.867435 130.034588) - (xy 118.885806 130.041202) - (xy 118.925439 130.055471) - (xy 118.928744 130.056749) - (xy 118.97711 130.076783) - (xy 118.977112 130.076784) - (xy 118.981664 130.078669) - (xy 118.986571 130.079315) - (xy 119.002236 130.083119) - (xy 119.009965 130.085902) - (xy 119.015078 130.086278) - (xy 119.01508 130.086278) - (xy 119.068047 130.090168) - (xy 119.072354 130.090609) - (xy 119.121114 130.097028) - (xy 119.121116 130.097028) - (xy 119.126 130.097671) - (xy 119.134196 130.096592) - (xy 119.149515 130.09615) - (xy 119.161043 130.096997) - (xy 119.166067 130.095984) - (xy 119.166068 130.095984) - (xy 119.214826 130.086153) - (xy 119.219873 130.085313) - (xy 119.265453 130.079312) - (xy 119.265454 130.079312) - (xy 119.270336 130.078669) - (xy 119.274886 130.076784) - (xy 119.274891 130.076783) - (xy 119.281035 130.074238) - (xy 119.294946 130.069998) - (xy 119.304517 130.068068) - (xy 119.304518 130.068068) - (xy 119.30954 130.067055) - (xy 119.314105 130.064729) - (xy 119.314108 130.064728) - (xy 119.355432 130.043673) - (xy 119.360792 130.041202) - (xy 119.400281 130.024844) - (xy 119.400282 130.024843) - (xy 119.404836 130.022957) - (xy 119.408741 130.01996) - (xy 119.408747 130.019957) - (xy 119.416648 130.013894) - (xy 119.428287 130.00655) - (xy 119.439945 130.00061) - (xy 119.444514 129.998282) - (xy 119.479964 129.965684) - (xy 119.48508 129.961384) - (xy 119.516426 129.937331) - (xy 119.520333 129.934333) - (xy 119.531409 129.919899) - (xy 119.540162 129.910329) - (xy 119.556022 129.895745) - (xy 119.579642 129.85765) - (xy 119.583894 129.851498) - (xy 119.605957 129.822745) - (xy 119.605958 129.822744) - (xy 119.608957 129.818835) - (xy 119.617183 129.798976) - (xy 119.622743 129.788135) - (xy 119.633147 129.771355) - (xy 119.635848 129.766999) - (xy 119.647422 129.72716) - (xy 119.65016 129.719362) - (xy 119.664669 129.684336) - (xy 119.667905 129.659758) - (xy 119.670248 129.648596) - (xy 119.677012 129.625313) - (xy 119.677013 129.62531) - (xy 119.678111 129.621529) - (xy 119.6789 129.610785) - (xy 119.6789 129.581171) - (xy 119.679543 129.571356) - (xy 119.683028 129.544884) - (xy 119.683671 129.54) - (xy 119.679543 129.508644) - (xy 119.6789 129.498829) - (xy 119.6789 129.035713) - (xy 119.696493 128.987375) - (xy 119.700926 128.982539) - (xy 119.728755 128.95471) - (xy 119.733452 128.945493) - (xy 119.785806 128.84274) - (xy 119.785806 128.842739) - (xy 119.788493 128.837466) - (xy 119.802762 128.747377) - (xy 119.803438 128.74311) - (xy 119.803438 128.743108) - (xy 119.8039 128.740192) - (xy 119.8039 128.7372) - (xy 120.1486 128.7372) - (xy 120.149062 128.743072) - (xy 120.163062 128.831462) - (xy 120.166675 128.842582) - (xy 120.220966 128.949135) - (xy 120.227845 128.958602) - (xy 120.312398 129.043155) - (xy 120.321865 129.050034) - (xy 120.428418 129.104325) - (xy 120.439538 129.107938) - (xy 120.527928 129.121938) - (xy 120.5338 129.1224) - (xy 120.662741 129.1224) - (xy 120.672898 129.118703) - (xy 120.676 129.113331) - (xy 120.676 129.109141) - (xy 120.976 129.109141) - (xy 120.979697 129.119298) - (xy 120.985069 129.1224) - (xy 121.1182 129.1224) - (xy 121.124072 129.121938) - (xy 121.212462 129.107938) - (xy 121.223582 129.104325) - (xy 121.330135 129.050034) - (xy 121.339602 129.043155) - (xy 121.424155 128.958602) - (xy 121.431034 128.949135) - (xy 121.485325 128.842582) - (xy 121.488938 128.831462) - (xy 121.502938 128.743072) - (xy 121.5034 128.7372) - (xy 121.5034 128.433259) - (xy 121.499703 128.423102) - (xy 121.494331 128.42) - (xy 120.989259 128.42) - (xy 120.979102 128.423697) - (xy 120.976 128.429069) - (xy 120.976 129.109141) - (xy 120.676 129.109141) - (xy 120.676 128.433259) - (xy 120.672303 128.423102) - (xy 120.666931 128.42) - (xy 120.161859 128.42) - (xy 120.151702 128.423697) - (xy 120.1486 128.429069) - (xy 120.1486 128.7372) - (xy 119.8039 128.7372) - (xy 119.8039 128.106741) - (xy 120.1486 128.106741) - (xy 120.152297 128.116898) - (xy 120.157669 128.12) - (xy 120.662741 128.12) - (xy 120.672898 128.116303) - (xy 120.676 128.110931) - (xy 120.676 128.106741) - (xy 120.976 128.106741) - (xy 120.979697 128.116898) - (xy 120.985069 128.12) - (xy 121.490141 128.12) - (xy 121.500298 128.116303) - (xy 121.5034 128.110931) - (xy 121.5034 127.8028) - (xy 121.502938 127.796928) - (xy 121.488938 127.708538) - (xy 121.485325 127.697418) - (xy 121.431034 127.590865) - (xy 121.424155 127.581398) - (xy 121.339602 127.496845) - (xy 121.330135 127.489966) - (xy 121.223582 127.435675) - (xy 121.212462 127.432062) - (xy 121.124072 127.418062) - (xy 121.1182 127.4176) - (xy 120.989259 127.4176) - (xy 120.979102 127.421297) - (xy 120.976 127.426669) - (xy 120.976 128.106741) - (xy 120.676 128.106741) - (xy 120.676 127.430859) - (xy 120.672303 127.420702) - (xy 120.666931 127.4176) - (xy 120.5338 127.4176) - (xy 120.527928 127.418062) - (xy 120.439538 127.432062) - (xy 120.428418 127.435675) - (xy 120.321865 127.489966) - (xy 120.312398 127.496845) - (xy 120.227845 127.581398) - (xy 120.220966 127.590865) - (xy 120.166675 127.697418) - (xy 120.163062 127.708538) - (xy 120.149062 127.796928) - (xy 120.1486 127.8028) - (xy 120.1486 128.106741) - (xy 119.8039 128.106741) - (xy 119.8039 127.799808) - (xy 119.800576 127.778818) - (xy 119.790548 127.715506) - (xy 119.788493 127.702534) - (xy 119.784195 127.694099) - (xy 119.731444 127.590567) - (xy 119.731443 127.590566) - (xy 119.728755 127.58529) - (xy 119.63571 127.492245) - (xy 119.630434 127.489557) - (xy 119.630433 127.489556) - (xy 119.52374 127.435194) - (xy 119.523739 127.435194) - (xy 119.518466 127.432507) - (xy 119.481607 127.426669) - (xy 119.42411 127.417562) - (xy 119.424108 127.417562) - (xy 119.421192 127.4171) - (xy 118.830808 127.4171) - (xy 118.827892 127.417562) - (xy 118.82789 127.417562) - (xy 118.770393 127.426669) - (xy 118.733534 127.432507) - (xy 118.728261 127.435194) - (xy 118.72826 127.435194) - (xy 118.621567 127.489556) - (xy 118.621566 127.489557) - (xy 118.61629 127.492245) - (xy 118.523245 127.58529) - (xy 118.520557 127.590566) - (xy 118.520556 127.590567) - (xy 118.467805 127.694099) - (xy 118.463507 127.702534) - (xy 118.461452 127.715506) - (xy 118.451425 127.778818) - (xy 118.4481 127.799808) - (xy 118.4481 128.740192) - (xy 117.7719 128.740192) - (xy 117.7719 127.799808) - (xy 117.768576 127.778818) - (xy 117.758548 127.715506) - (xy 117.756493 127.702534) - (xy 117.752195 127.694099) - (xy 117.699444 127.590567) - (xy 117.699443 127.590566) - (xy 117.696755 127.58529) - (xy 117.60371 127.492245) - (xy 117.598434 127.489557) - (xy 117.598433 127.489556) - (xy 117.49174 127.435194) - (xy 117.491739 127.435194) - (xy 117.486466 127.432507) - (xy 117.449607 127.426669) - (xy 117.39211 127.417562) - (xy 117.392108 127.417562) - (xy 117.389192 127.4171) - (xy 116.798808 127.4171) - (xy 116.795892 127.417562) - (xy 116.79589 127.417562) - (xy 116.738393 127.426669) - (xy 116.701534 127.432507) - (xy 116.696261 127.435194) - (xy 116.69626 127.435194) - (xy 116.589567 127.489556) - (xy 116.589566 127.489557) - (xy 116.58429 127.492245) - (xy 116.491245 127.58529) - (xy 116.488557 127.590566) - (xy 116.488556 127.590567) - (xy 116.435805 127.694099) - (xy 116.431507 127.702534) - (xy 116.429452 127.715506) - (xy 116.419425 127.778818) - (xy 116.4161 127.799808) - (xy 116.4161 128.740192) - (xy 116.071165 128.740192) - (xy 116.0714 128.7372) - (xy 116.0714 128.433259) - (xy 116.067703 128.423102) - (xy 116.062331 128.42) - (xy 115.557259 128.42) - (xy 115.547102 128.423697) - (xy 115.544 128.429069) - (xy 115.544 129.109141) - (xy 115.244 129.109141) - (xy 115.244 128.433259) - (xy 115.240303 128.423102) - (xy 115.234931 128.42) - (xy 114.729859 128.42) - (xy 114.719702 128.423697) - (xy 114.7166 128.429069) - (xy 114.7166 128.7372) - (xy 113.0595 128.7372) - (xy 112.89864 128.57634) - (xy 111.166758 126.844458) - (xy 111.164047 126.841602) - (xy 111.142237 126.817379) - (xy 111.136949 126.811506) - (xy 111.114478 126.801501) - (xy 111.104121 126.795877) - (xy 111.090125 126.786788) - (xy 111.090124 126.786788) - (xy 111.083497 126.782484) - (xy 111.075061 126.781148) - (xy 111.056245 126.775574) - (xy 111.048442 126.7721) - (xy 111.023847 126.7721) - (xy 111.012084 126.771174) - (xy 110.995613 126.768565) - (xy 110.995611 126.768565) - (xy 110.987806 126.767329) - (xy 110.980174 126.769374) - (xy 110.980173 126.769374) - (xy 110.979562 126.769538) - (xy 110.960099 126.7721) - (xy 110.075548 126.7721) - (xy 110.02721 126.754507) - (xy 110.022373 126.750074) - (xy 109.849925 126.577625) - (xy 109.828186 126.531005) - (xy 109.8279 126.524451) - (xy 109.8279 125.844781) - (xy 109.845493 125.796443) - (xy 109.861321 125.782255) - (xy 109.883671 125.767321) - (xy 109.933636 125.755094) - (xy 109.967229 125.767321) - (xy 110.027983 125.807916) - (xy 110.041404 125.813476) - (xy 110.086995 125.822544) - (xy 110.097679 125.8209) - (xy 110.099972 125.818285) - (xy 110.1 125.818136) - (xy 110.1 125.811872) - (xy 110.4 125.811872) - (xy 110.403697 125.822029) - (xy 110.406709 125.823768) - (xy 110.406861 125.823766) - (xy 110.458596 125.813476) - (xy 110.472015 125.807917) - (xy 110.53322 125.76702) - (xy 110.583186 125.754793) - (xy 110.61678 125.76702) - (xy 110.677985 125.807917) - (xy 110.691404 125.813476) - (xy 110.736995 125.822544) - (xy 110.747679 125.8209) - (xy 110.749972 125.818285) - (xy 110.75 125.818136) - (xy 110.75 125.811872) - (xy 111.05 125.811872) - (xy 111.053697 125.822029) - (xy 111.056709 125.823768) - (xy 111.056861 125.823766) - (xy 111.108596 125.813476) - (xy 111.122015 125.807917) - (xy 111.18322 125.76702) - (xy 111.233186 125.754793) - (xy 111.26678 125.76702) - (xy 111.327985 125.807917) - (xy 111.341404 125.813476) - (xy 111.386995 125.822544) - (xy 111.397679 125.8209) - (xy 111.399972 125.818285) - (xy 111.4 125.818136) - (xy 111.4 125.811872) - (xy 111.7 125.811872) - (xy 111.703697 125.822029) - (xy 111.706709 125.823768) - (xy 111.706861 125.823766) - (xy 111.758596 125.813476) - (xy 111.772017 125.807916) - (xy 111.847325 125.757596) - (xy 111.857596 125.747325) - (xy 111.907914 125.67202) - (xy 111.913477 125.658591) - (xy 111.926679 125.592219) - (xy 111.9274 125.584895) - (xy 111.9274 125.113259) - (xy 111.923703 125.103102) - (xy 111.918331 125.1) - (xy 111.713259 125.1) - (xy 111.703102 125.103697) - (xy 111.7 125.109069) - (xy 111.7 125.811872) - (xy 111.4 125.811872) - (xy 111.4 125.113259) - (xy 111.396303 125.103102) - (xy 111.390931 125.1) - (xy 111.063259 125.1) - (xy 111.053102 125.103697) - (xy 111.05 125.109069) - (xy 111.05 125.811872) - (xy 110.75 125.811872) - (xy 110.75 125.113259) - (xy 110.746303 125.103102) - (xy 110.740931 125.1) - (xy 110.413259 125.1) - (xy 110.403102 125.103697) - (xy 110.4 125.109069) - (xy 110.4 125.811872) - (xy 110.1 125.811872) - (xy 110.1 124.786741) - (xy 110.4 124.786741) - (xy 110.403697 124.796898) - (xy 110.409069 124.8) - (xy 110.736741 124.8) - (xy 110.746898 124.796303) - (xy 110.75 124.790931) - (xy 110.75 124.786741) - (xy 111.05 124.786741) - (xy 111.053697 124.796898) - (xy 111.059069 124.8) - (xy 111.386741 124.8) - (xy 111.396898 124.796303) - (xy 111.4 124.790931) - (xy 111.4 124.786741) - (xy 111.7 124.786741) - (xy 111.703697 124.796898) - (xy 111.709069 124.8) - (xy 111.914141 124.8) - (xy 111.924298 124.796303) - (xy 111.9274 124.790931) - (xy 111.9274 124.53842) - (xy 114.4971 124.53842) - (xy 114.503387 124.586176) - (xy 114.505817 124.591388) - (xy 114.505818 124.59139) - (xy 114.519414 124.620546) - (xy 114.552257 124.690977) - (xy 114.634023 124.772743) - (xy 114.651293 124.780796) - (xy 114.73361 124.819182) - (xy 114.733612 124.819183) - (xy 114.738824 124.821613) - (xy 114.744524 124.822363) - (xy 114.744526 124.822364) - (xy 114.761907 124.824652) - (xy 114.78658 124.8279) - (xy 115.21342 124.8279) - (xy 115.238093 124.824652) - (xy 115.255474 124.822364) - (xy 115.255476 124.822363) - (xy 115.261176 124.821613) - (xy 115.266388 124.819183) - (xy 115.26639 124.819182) - (xy 115.348707 124.780796) - (xy 115.365977 124.772743) - (xy 115.447743 124.690977) - (xy 115.480586 124.620546) - (xy 115.494182 124.59139) - (xy 115.494183 124.591388) - (xy 115.496613 124.586176) - (xy 115.5029 124.53842) - (xy 116.0971 124.53842) - (xy 116.103387 124.586176) - (xy 116.105817 124.591388) - (xy 116.105818 124.59139) - (xy 116.119414 124.620546) - (xy 116.152257 124.690977) - (xy 116.234023 124.772743) - (xy 116.296117 124.801698) - (xy 116.328681 124.816883) - (xy 116.365054 124.853256) - (xy 116.3721 124.885037) - (xy 116.3721 125.026965) - (xy 116.354507 125.075303) - (xy 116.350074 125.080139) - (xy 116.269984 125.160229) - (xy 116.212043 125.273945) - (xy 116.192078 125.4) - (xy 116.212043 125.526055) - (xy 116.23044 125.562162) - (xy 116.266597 125.633123) - (xy 116.269984 125.639771) - (xy 116.360229 125.730016) - (xy 116.365505 125.732704) - (xy 116.365506 125.732705) - (xy 116.410036 125.755394) - (xy 116.473945 125.787957) - (xy 116.479792 125.788883) - (xy 116.585346 125.805601) - (xy 116.6 125.807922) - (xy 116.614655 125.805601) - (xy 116.720208 125.788883) - (xy 116.726055 125.787957) - (xy 116.789964 125.755394) - (xy 116.834494 125.732705) - (xy 116.834495 125.732704) - (xy 116.839771 125.730016) - (xy 116.930016 125.639771) - (xy 116.933404 125.633123) - (xy 116.96956 125.562162) - (xy 116.987957 125.526055) - (xy 117.007922 125.4) - (xy 116.987957 125.273945) - (xy 116.930016 125.160229) - (xy 116.849926 125.080139) - (xy 116.844047 125.067531) - (xy 128.392178 125.067531) - (xy 128.39431 125.080139) - (xy 128.408456 125.163772) - (xy 128.41744 125.216893) - (xy 128.48194 125.353962) - (xy 128.485289 125.357841) - (xy 128.485289 125.357842) - (xy 128.50475 125.380388) - (xy 128.580923 125.468635) - (xy 128.585196 125.471474) - (xy 128.585197 125.471475) - (xy 128.702826 125.549629) - (xy 128.702828 125.54963) - (xy 128.707098 125.552467) - (xy 128.851169 125.599278) - (xy 128.856289 125.599493) - (xy 128.856291 125.599493) - (xy 128.918768 125.602111) - (xy 129.002522 125.605621) - (xy 129.007509 125.604451) - (xy 129.007512 125.604451) - (xy 129.074939 125.588636) - (xy 129.135814 125.574358) - (xy 129.180666 125.577652) - (xy 129.216875 125.591988) - (xy 129.221972 125.592524) - (xy 129.221973 125.592524) - (xy 129.236452 125.594046) - (xy 129.249568 125.596619) - (xy 129.268471 125.602111) - (xy 129.279215 125.6029) - (xy 129.316752 125.6029) - (xy 129.324613 125.603312) - (xy 129.367531 125.607823) - (xy 129.390412 125.603953) - (xy 129.402952 125.6029) - (xy 129.584287 125.6029) - (xy 129.632625 125.620493) - (xy 129.637461 125.624926) - (xy 129.66529 125.652755) - (xy 129.670566 125.655443) - (xy 129.670567 125.655444) - (xy 129.75604 125.698994) - (xy 129.791122 125.736615) - (xy 129.7971 125.765998) - (xy 129.7971 126.058829) - (xy 129.796457 126.068644) - (xy 129.792329 126.1) - (xy 129.792972 126.104884) - (xy 129.796913 126.134822) - (xy 129.7971 126.136696) - (xy 129.7971 126.137946) - (xy 129.802533 126.177603) - (xy 129.802818 126.179687) - (xy 129.802871 126.180077) - (xy 129.80936 126.229362) - (xy 129.811331 126.244336) - (xy 129.811843 126.245572) - (xy 129.812461 126.250084) - (xy 129.826785 126.283184) - (xy 129.841452 126.317079) - (xy 129.841912 126.318166) - (xy 129.865154 126.374275) - (xy 129.867043 126.378835) - (xy 129.868973 126.38135) - (xy 129.870125 126.38364) - (xy 129.870584 126.384399) - (xy 129.872623 126.38911) - (xy 129.915938 126.442599) - (xy 129.917137 126.44412) - (xy 129.955667 126.494333) - (xy 129.959574 126.497331) - (xy 129.960386 126.498143) - (xy 129.964066 126.502229) - (xy 129.964731 126.502854) - (xy 129.967956 126.506836) - (xy 129.972131 126.509803) - (xy 129.972132 126.509804) - (xy 130.021078 126.544588) - (xy 130.023295 126.546226) - (xy 130.056851 126.571974) - (xy 130.071164 126.582957) - (xy 130.075713 126.584841) - (xy 130.079987 126.587309) - (xy 130.079847 126.587551) - (xy 130.086217 126.591075) - (xy 130.087256 126.591618) - (xy 130.091435 126.594588) - (xy 130.096263 126.596326) - (xy 130.149439 126.615471) - (xy 130.152744 126.616749) - (xy 130.20111 126.636783) - (xy 130.201112 126.636784) - (xy 130.205664 126.638669) - (xy 130.210571 126.639315) - (xy 130.226236 126.643119) - (xy 130.233965 126.645902) - (xy 130.239078 126.646278) - (xy 130.23908 126.646278) - (xy 130.292047 126.650168) - (xy 130.296354 126.650609) - (xy 130.345114 126.657028) - (xy 130.345116 126.657028) - (xy 130.35 126.657671) - (xy 130.358196 126.656592) - (xy 130.373515 126.65615) - (xy 130.385043 126.656997) - (xy 130.390067 126.655984) - (xy 130.390068 126.655984) - (xy 130.438826 126.646153) - (xy 130.443873 126.645313) - (xy 130.489453 126.639312) - (xy 130.489454 126.639312) - (xy 130.494336 126.638669) - (xy 130.498886 126.636784) - (xy 130.498891 126.636783) - (xy 130.505035 126.634238) - (xy 130.518946 126.629998) - (xy 130.528517 126.628068) - (xy 130.528518 126.628068) - (xy 130.53354 126.627055) - (xy 130.538105 126.624729) - (xy 130.538108 126.624728) - (xy 130.579432 126.603673) - (xy 130.584792 126.601202) - (xy 130.624281 126.584844) - (xy 130.624282 126.584843) - (xy 130.628836 126.582957) - (xy 130.632741 126.57996) - (xy 130.632747 126.579957) - (xy 130.640648 126.573894) - (xy 130.652287 126.56655) - (xy 130.663945 126.56061) - (xy 130.668514 126.558282) - (xy 130.703964 126.525684) - (xy 130.70908 126.521384) - (xy 130.740426 126.497331) - (xy 130.744333 126.494333) - (xy 130.755409 126.479899) - (xy 130.764162 126.470329) - (xy 130.780022 126.455745) - (xy 130.789519 126.440428) - (xy 130.803642 126.41765) - (xy 130.807894 126.411498) - (xy 130.829957 126.382745) - (xy 130.829958 126.382744) - (xy 130.832957 126.378835) - (xy 130.841183 126.358976) - (xy 130.846743 126.348135) - (xy 130.857147 126.331355) - (xy 130.859848 126.326999) - (xy 130.866724 126.303332) - (xy 130.871422 126.28716) - (xy 130.87416 126.279362) - (xy 130.877178 126.272077) - (xy 130.888669 126.244336) - (xy 130.891905 126.219758) - (xy 130.894248 126.208596) - (xy 130.901012 126.185313) - (xy 130.901013 126.18531) - (xy 130.902111 126.181529) - (xy 130.9029 126.170785) - (xy 130.9029 126.141171) - (xy 130.903543 126.131356) - (xy 130.907028 126.104884) - (xy 130.907671 126.1) - (xy 130.903543 126.068644) - (xy 130.9029 126.058829) - (xy 130.9029 125.765998) - (xy 130.920493 125.71766) - (xy 130.94396 125.698994) - (xy 131.029433 125.655444) - (xy 131.029434 125.655443) - (xy 131.03471 125.652755) - (xy 131.062539 125.624926) - (xy 131.109159 125.603186) - (xy 131.115713 125.6029) - (xy 131.336021 125.6029) - (xy 131.33917 125.602966) - (xy 131.402522 125.605621) - (xy 131.407513 125.60445) - (xy 131.412617 125.603968) - (xy 131.412628 125.60408) - (xy 131.422823 125.6029) - (xy 131.716752 125.6029) - (xy 131.724613 125.603312) - (xy 131.767531 125.607823) - (xy 131.790412 125.603953) - (xy 131.802952 125.6029) - (xy 131.984287 125.6029) - (xy 132.032625 125.620493) - (xy 132.037461 125.624926) - (xy 132.06529 125.652755) - (xy 132.070566 125.655443) - (xy 132.070567 125.655444) - (xy 132.15604 125.698994) - (xy 132.191122 125.736615) - (xy 132.1971 125.765998) - (xy 132.1971 126.058829) - (xy 132.196457 126.068644) - (xy 132.192329 126.1) - (xy 132.192972 126.104884) - (xy 132.196913 126.134822) - (xy 132.1971 126.136696) - (xy 132.1971 126.137946) - (xy 132.202533 126.177603) - (xy 132.202818 126.179687) - (xy 132.202871 126.180077) - (xy 132.20936 126.229362) - (xy 132.211331 126.244336) - (xy 132.211843 126.245572) - (xy 132.212461 126.250084) - (xy 132.226785 126.283184) - (xy 132.241452 126.317079) - (xy 132.241912 126.318166) - (xy 132.265154 126.374275) - (xy 132.267043 126.378835) - (xy 132.268973 126.38135) - (xy 132.270125 126.38364) - (xy 132.270584 126.384399) - (xy 132.272623 126.38911) - (xy 132.315938 126.442599) - (xy 132.317137 126.44412) - (xy 132.355667 126.494333) - (xy 132.359574 126.497331) - (xy 132.360386 126.498143) - (xy 132.364066 126.502229) - (xy 132.364731 126.502854) - (xy 132.367956 126.506836) - (xy 132.372131 126.509803) - (xy 132.372132 126.509804) - (xy 132.421078 126.544588) - (xy 132.423295 126.546226) - (xy 132.456851 126.571974) - (xy 132.471164 126.582957) - (xy 132.475713 126.584841) - (xy 132.479987 126.587309) - (xy 132.479847 126.587551) - (xy 132.486217 126.591075) - (xy 132.487256 126.591618) - (xy 132.491435 126.594588) - (xy 132.496263 126.596326) - (xy 132.549439 126.615471) - (xy 132.552744 126.616749) - (xy 132.60111 126.636783) - (xy 132.601112 126.636784) - (xy 132.605664 126.638669) - (xy 132.610571 126.639315) - (xy 132.626236 126.643119) - (xy 132.633965 126.645902) - (xy 132.639078 126.646278) - (xy 132.63908 126.646278) - (xy 132.692047 126.650168) - (xy 132.696354 126.650609) - (xy 132.745114 126.657028) - (xy 132.745116 126.657028) - (xy 132.75 126.657671) - (xy 132.758196 126.656592) - (xy 132.773515 126.65615) - (xy 132.785043 126.656997) - (xy 132.790067 126.655984) - (xy 132.790068 126.655984) - (xy 132.838826 126.646153) - (xy 132.843873 126.645313) - (xy 132.889453 126.639312) - (xy 132.889454 126.639312) - (xy 132.894336 126.638669) - (xy 132.898886 126.636784) - (xy 132.898891 126.636783) - (xy 132.905035 126.634238) - (xy 132.918946 126.629998) - (xy 132.928517 126.628068) - (xy 132.928518 126.628068) - (xy 132.93354 126.627055) - (xy 132.938105 126.624729) - (xy 132.938108 126.624728) - (xy 132.979432 126.603673) - (xy 132.984792 126.601202) - (xy 133.024281 126.584844) - (xy 133.024282 126.584843) - (xy 133.028836 126.582957) - (xy 133.032741 126.57996) - (xy 133.032747 126.579957) - (xy 133.040648 126.573894) - (xy 133.052287 126.56655) - (xy 133.063945 126.56061) - (xy 133.068514 126.558282) - (xy 133.103964 126.525684) - (xy 133.10908 126.521384) - (xy 133.140426 126.497331) - (xy 133.144333 126.494333) - (xy 133.155409 126.479899) - (xy 133.164162 126.470329) - (xy 133.180022 126.455745) - (xy 133.189519 126.440428) - (xy 133.203642 126.41765) - (xy 133.207894 126.411498) - (xy 133.229957 126.382745) - (xy 133.229958 126.382744) - (xy 133.232957 126.378835) - (xy 133.241183 126.358976) - (xy 133.246743 126.348135) - (xy 133.257147 126.331355) - (xy 133.259848 126.326999) - (xy 133.266724 126.303332) - (xy 133.271422 126.28716) - (xy 133.27416 126.279362) - (xy 133.277178 126.272077) - (xy 133.288669 126.244336) - (xy 133.291905 126.219758) - (xy 133.294248 126.208596) - (xy 133.301012 126.185313) - (xy 133.301013 126.18531) - (xy 133.302111 126.181529) - (xy 133.3029 126.170785) - (xy 133.3029 126.141171) - (xy 133.303543 126.131356) - (xy 133.307028 126.104884) - (xy 133.307671 126.1) - (xy 133.303543 126.068644) - (xy 133.3029 126.058829) - (xy 133.3029 125.765998) - (xy 133.320493 125.71766) - (xy 133.34396 125.698994) - (xy 133.429433 125.655444) - (xy 133.429434 125.655443) - (xy 133.43471 125.652755) - (xy 133.462539 125.624926) - (xy 133.509159 125.603186) - (xy 133.515713 125.6029) - (xy 133.836021 125.6029) - (xy 133.83917 125.602966) - (xy 133.902522 125.605621) - (xy 133.903506 125.60539) - (xy 133.954571 125.619936) - (xy 133.976028 125.636223) - (xy 133.980795 125.63811) - (xy 133.980796 125.638111) - (xy 133.994327 125.643468) - (xy 134.006271 125.649475) - (xy 134.023001 125.659848) - (xy 134.027922 125.661278) - (xy 134.027925 125.661279) - (xy 134.067293 125.672716) - (xy 134.073996 125.675011) - (xy 134.094069 125.682958) - (xy 134.116875 125.691988) - (xy 134.121974 125.692524) - (xy 134.136452 125.694046) - (xy 134.149564 125.696618) - (xy 134.168471 125.702111) - (xy 134.179215 125.7029) - (xy 134.216759 125.7029) - (xy 134.224619 125.703312) - (xy 134.26753 125.707822) - (xy 134.290405 125.703953) - (xy 134.302945 125.7029) - (xy 134.5719 125.7029) - (xy 134.620238 125.720493) - (xy 134.645958 125.765042) - (xy 134.6471 125.7781) - (xy 134.6471 126.008829) - (xy 134.646457 126.018644) - (xy 134.642329 126.05) - (xy 134.642972 126.054884) - (xy 134.646913 126.084822) - (xy 134.6471 126.086696) - (xy 134.6471 126.087946) - (xy 134.652533 126.127603) - (xy 134.652818 126.129687) - (xy 134.652871 126.130077) - (xy 134.660671 126.18932) - (xy 134.661331 126.194336) - (xy 134.661843 126.195572) - (xy 134.662461 126.200084) - (xy 134.686135 126.254791) - (xy 134.691452 126.267079) - (xy 134.691912 126.268166) - (xy 134.713787 126.320974) - (xy 134.717043 126.328835) - (xy 134.718973 126.33135) - (xy 134.720125 126.33364) - (xy 134.720584 126.334399) - (xy 134.722623 126.33911) - (xy 134.765938 126.392599) - (xy 134.767137 126.39412) - (xy 134.805667 126.444333) - (xy 134.809574 126.447331) - (xy 134.810386 126.448143) - (xy 134.814066 126.452229) - (xy 134.814731 126.452854) - (xy 134.817956 126.456836) - (xy 134.82213 126.459802) - (xy 134.822136 126.459808) - (xy 134.871079 126.49459) - (xy 134.873296 126.496227) - (xy 134.917256 126.529959) - (xy 134.91726 126.529961) - (xy 134.921164 126.532957) - (xy 134.925711 126.534841) - (xy 134.929987 126.537309) - (xy 134.929847 126.537551) - (xy 134.936217 126.541075) - (xy 134.937256 126.541618) - (xy 134.941435 126.544588) - (xy 134.946263 126.546326) - (xy 134.999439 126.565471) - (xy 135.002744 126.566749) - (xy 135.05111 126.586783) - (xy 135.051112 126.586784) - (xy 135.055664 126.588669) - (xy 135.060571 126.589315) - (xy 135.076236 126.593119) - (xy 135.083965 126.595902) - (xy 135.089078 126.596278) - (xy 135.08908 126.596278) - (xy 135.142047 126.600168) - (xy 135.146354 126.600609) - (xy 135.195114 126.607028) - (xy 135.195116 126.607028) - (xy 135.2 126.607671) - (xy 135.208196 126.606592) - (xy 135.223515 126.60615) - (xy 135.235043 126.606997) - (xy 135.240067 126.605984) - (xy 135.240068 126.605984) - (xy 135.288826 126.596153) - (xy 135.293873 126.595313) - (xy 135.339453 126.589312) - (xy 135.339454 126.589312) - (xy 135.344336 126.588669) - (xy 135.348886 126.586784) - (xy 135.348891 126.586783) - (xy 135.355035 126.584238) - (xy 135.368946 126.579998) - (xy 135.378517 126.578068) - (xy 135.378518 126.578068) - (xy 135.38354 126.577055) - (xy 135.388105 126.574729) - (xy 135.388108 126.574728) - (xy 135.429432 126.553673) - (xy 135.434792 126.551202) - (xy 135.474281 126.534844) - (xy 135.474282 126.534843) - (xy 135.478836 126.532957) - (xy 135.482741 126.52996) - (xy 135.482747 126.529957) - (xy 135.490648 126.523894) - (xy 135.502287 126.51655) - (xy 135.513945 126.51061) - (xy 135.518514 126.508282) - (xy 135.553964 126.475684) - (xy 135.55908 126.471384) - (xy 135.590426 126.447331) - (xy 135.594333 126.444333) - (xy 135.605409 126.429899) - (xy 135.614162 126.420329) - (xy 135.630022 126.405745) - (xy 135.643728 126.38364) - (xy 135.653642 126.36765) - (xy 135.657894 126.361498) - (xy 135.679957 126.332745) - (xy 135.679958 126.332744) - (xy 135.682957 126.328835) - (xy 135.691183 126.308976) - (xy 135.696743 126.298135) - (xy 135.707147 126.281355) - (xy 135.709848 126.276999) - (xy 135.721422 126.23716) - (xy 135.72416 126.229362) - (xy 135.728629 126.218573) - (xy 135.738669 126.194336) - (xy 135.741383 126.17372) - (xy 135.741905 126.169758) - (xy 135.744248 126.158596) - (xy 135.751012 126.135313) - (xy 135.751013 126.13531) - (xy 135.752111 126.131529) - (xy 135.7529 126.120785) - (xy 135.7529 126.091171) - (xy 135.753543 126.081356) - (xy 135.757028 126.054884) - (xy 135.757671 126.05) - (xy 135.753543 126.018644) - (xy 135.7529 126.008829) - (xy 135.7529 125.751775) - (xy 135.770493 125.703437) - (xy 135.794957 125.684272) - (xy 135.877696 125.643649) - (xy 135.877697 125.643648) - (xy 135.883272 125.640911) - (xy 135.88766 125.636516) - (xy 135.887662 125.636514) - (xy 135.930055 125.594046) - (xy 135.966274 125.557764) - (xy 135.979197 125.531328) - (xy 136.015305 125.45746) - (xy 136.015306 125.457458) - (xy 136.017869 125.452214) - (xy 136.0279 125.383452) - (xy 136.0279 124.916548) - (xy 136.027247 124.912109) - (xy 136.018541 124.852967) - (xy 136.01854 124.852965) - (xy 136.01769 124.847188) - (xy 135.980747 124.771944) - (xy 135.968649 124.747304) - (xy 135.968648 124.747303) - (xy 135.965911 124.741728) - (xy 135.961516 124.73734) - (xy 135.961514 124.737338) - (xy 135.913801 124.689709) - (xy 135.882764 124.658726) - (xy 135.877182 124.655997) - (xy 135.87718 124.655996) - (xy 135.78246 124.609695) - (xy 135.782458 124.609694) - (xy 135.777214 124.607131) - (xy 135.771437 124.606288) - (xy 135.771436 124.606288) - (xy 135.746812 124.602696) - (xy 135.708452 124.5971) - (xy 135.223502 124.5971) - (xy 135.217994 124.596898) - (xy 135.164957 124.593003) - (xy 135.159929 124.594017) - (xy 135.159926 124.594017) - (xy 135.151998 124.595616) - (xy 135.137134 124.5971) - (xy 134.510166 124.5971) - (xy 134.461828 124.579507) - (xy 134.456992 124.575074) - (xy 134.420074 124.538156) - (xy 134.413587 124.53076) - (xy 134.39733 124.509573) - (xy 134.394333 124.505667) - (xy 134.38777 124.500631) - (xy 134.376626 124.49011) - (xy 134.37243 124.485249) - (xy 134.372428 124.485247) - (xy 134.369077 124.481365) - (xy 134.323376 124.451001) - (xy 134.319223 124.448033) - (xy 134.302612 124.435287) - (xy 134.278836 124.417043) - (xy 134.277601 124.416531) - (xy 134.273972 124.413777) - (xy 134.269213 124.411893) - (xy 134.269208 124.41189) - (xy 134.264934 124.410198) - (xy 134.251002 124.402915) - (xy 134.247172 124.40037) - (xy 134.242902 124.397533) - (xy 134.238025 124.395948) - (xy 134.23802 124.395946) - (xy 134.193912 124.381614) - (xy 134.188373 124.379571) - (xy 134.148888 124.363216) - (xy 134.148885 124.363215) - (xy 134.144336 124.361331) - (xy 134.141195 124.360917) - (xy 134.138766 124.360114) - (xy 134.137893 124.3599) - (xy 134.133125 124.358012) - (xy 134.123458 124.356996) - (xy 134.108082 124.353728) - (xy 134.098831 124.350722) - (xy 134.093713 124.350507) - (xy 134.09371 124.350507) - (xy 134.050718 124.348705) - (xy 134.044054 124.348128) - (xy 134.004888 124.342972) - (xy 134.004884 124.342972) - (xy 134 124.342329) - (xy 133.995111 124.342973) - (xy 133.993978 124.342973) - (xy 133.98849 124.342685) - (xy 133.987567 124.342714) - (xy 133.982469 124.342178) - (xy 133.977414 124.343033) - (xy 133.97741 124.343033) - (xy 133.972881 124.343799) - (xy 133.957196 124.344786) - (xy 133.95566 124.344722) - (xy 133.947478 124.344379) - (xy 133.911084 124.352915) - (xy 133.903853 124.354611) - (xy 133.896498 124.355955) - (xy 133.855664 124.361331) - (xy 133.851114 124.363216) - (xy 133.846349 124.364493) - (xy 133.846276 124.364222) - (xy 133.839276 124.366236) - (xy 133.838162 124.366585) - (xy 133.833107 124.36744) - (xy 133.82847 124.369622) - (xy 133.824307 124.371581) - (xy 133.80946 124.376751) - (xy 133.804991 124.377799) - (xy 133.804988 124.3778) - (xy 133.799996 124.378971) - (xy 133.76534 124.398023) - (xy 133.763645 124.398955) - (xy 133.756196 124.402532) - (xy 133.721165 124.417043) - (xy 133.717232 124.42006) - (xy 133.703483 124.428436) - (xy 133.696038 124.43194) - (xy 133.692161 124.435286) - (xy 133.69216 124.435287) - (xy 133.68868 124.438291) - (xy 133.675774 124.447261) - (xy 133.670706 124.450047) - (xy 133.6707 124.450051) - (xy 133.667248 124.451949) - (xy 133.664264 124.454524) - (xy 133.664262 124.454526) - (xy 133.660144 124.45808) - (xy 133.660132 124.458092) - (xy 133.659093 124.458988) - (xy 133.643007 124.475074) - (xy 133.596387 124.496814) - (xy 133.589833 124.4971) - (xy 133.515713 124.4971) - (xy 133.467375 124.479507) - (xy 133.462539 124.475074) - (xy 133.43471 124.447245) - (xy 133.429434 124.444557) - (xy 133.429433 124.444556) - (xy 133.32274 124.390194) - (xy 133.322739 124.390194) - (xy 133.317466 124.387507) - (xy 133.274508 124.380703) - (xy 133.22311 124.372562) - (xy 133.223108 124.372562) - (xy 133.220192 124.3721) - (xy 132.279808 124.3721) - (xy 132.276892 124.372562) - (xy 132.27689 124.372562) - (xy 132.225492 124.380703) - (xy 132.182534 124.387507) - (xy 132.177261 124.390194) - (xy 132.17726 124.390194) - (xy 132.070567 124.444556) - (xy 132.070566 124.444557) - (xy 132.06529 124.447245) - (xy 132.05332 124.459215) - (xy 132.0067 124.480955) - (xy 131.957013 124.467641) - (xy 131.947209 124.459416) - (xy 131.944333 124.455667) - (xy 131.940423 124.452667) - (xy 131.940421 124.452665) - (xy 131.937776 124.450636) - (xy 131.926628 124.440112) - (xy 131.922428 124.435246) - (xy 131.922426 124.435244) - (xy 131.919077 124.431364) - (xy 131.902059 124.420057) - (xy 131.873374 124.400998) - (xy 131.86921 124.398023) - (xy 131.828836 124.367043) - (xy 131.827601 124.366531) - (xy 131.823972 124.363777) - (xy 131.819213 124.361893) - (xy 131.819208 124.36189) - (xy 131.814934 124.360198) - (xy 131.801002 124.352915) - (xy 131.797172 124.35037) - (xy 131.792902 124.347533) - (xy 131.788025 124.345948) - (xy 131.78802 124.345946) - (xy 131.743912 124.331614) - (xy 131.738373 124.329571) - (xy 131.698888 124.313216) - (xy 131.698885 124.313215) - (xy 131.694336 124.311331) - (xy 131.691195 124.310917) - (xy 131.688766 124.310114) - (xy 131.687893 124.3099) - (xy 131.683125 124.308012) - (xy 131.673458 124.306996) - (xy 131.658082 124.303728) - (xy 131.648831 124.300722) - (xy 131.643713 124.300507) - (xy 131.64371 124.300507) - (xy 131.600718 124.298705) - (xy 131.594054 124.298128) - (xy 131.554888 124.292972) - (xy 131.554884 124.292972) - (xy 131.55 124.292329) - (xy 131.545111 124.292973) - (xy 131.543978 124.292973) - (xy 131.53849 124.292685) - (xy 131.537567 124.292714) - (xy 131.532469 124.292178) - (xy 131.527414 124.293033) - (xy 131.52741 124.293033) - (xy 131.522881 124.293799) - (xy 131.507196 124.294786) - (xy 131.50566 124.294722) - (xy 131.497478 124.294379) - (xy 131.470435 124.300722) - (xy 131.453849 124.304612) - (xy 131.446492 124.305956) - (xy 131.410547 124.310688) - (xy 131.410546 124.310688) - (xy 131.405664 124.311331) - (xy 131.401114 124.313216) - (xy 131.396348 124.314493) - (xy 131.396275 124.314222) - (xy 131.389288 124.316232) - (xy 131.38816 124.316585) - (xy 131.383107 124.31744) - (xy 131.378469 124.319622) - (xy 131.378467 124.319623) - (xy 131.374308 124.32158) - (xy 131.359469 124.326747) - (xy 131.354989 124.327798) - (xy 131.354984 124.3278) - (xy 131.349996 124.32897) - (xy 131.323985 124.34327) - (xy 131.31364 124.348957) - (xy 131.306191 124.352534) - (xy 131.271165 124.367043) - (xy 131.267232 124.37006) - (xy 131.253483 124.378436) - (xy 131.246038 124.38194) - (xy 131.242161 124.385286) - (xy 131.24216 124.385287) - (xy 131.23868 124.388291) - (xy 131.225774 124.397261) - (xy 131.220706 124.400047) - (xy 131.2207 124.400051) - (xy 131.217248 124.401949) - (xy 131.214264 124.404524) - (xy 131.214262 124.404526) - (xy 131.210144 124.40808) - (xy 131.210132 124.408092) - (xy 131.209093 124.408988) - (xy 131.188152 124.429929) - (xy 131.180757 124.436415) - (xy 131.161188 124.451431) - (xy 131.155667 124.455667) - (xy 131.152898 124.459276) - (xy 131.106408 124.480955) - (xy 131.056721 124.467641) - (xy 131.04668 124.459215) - (xy 131.03471 124.447245) - (xy 131.029434 124.444557) - (xy 131.029433 124.444556) - (xy 130.92274 124.390194) - (xy 130.922739 124.390194) - (xy 130.917466 124.387507) - (xy 130.874508 124.380703) - (xy 130.82311 124.372562) - (xy 130.823108 124.372562) - (xy 130.820192 124.3721) - (xy 129.879808 124.3721) - (xy 129.876892 124.372562) - (xy 129.87689 124.372562) - (xy 129.825492 124.380703) - (xy 129.782534 124.387507) - (xy 129.777261 124.390194) - (xy 129.77726 124.390194) - (xy 129.670567 124.444556) - (xy 129.670566 124.444557) - (xy 129.66529 124.447245) - (xy 129.65332 124.459215) - (xy 129.6067 124.480955) - (xy 129.557013 124.467641) - (xy 129.547209 124.459416) - (xy 129.544333 124.455667) - (xy 129.540423 124.452667) - (xy 129.540421 124.452665) - (xy 129.537776 124.450636) - (xy 129.526628 124.440112) - (xy 129.522428 124.435246) - (xy 129.522426 124.435244) - (xy 129.519077 124.431364) - (xy 129.502059 124.420057) - (xy 129.473374 124.400998) - (xy 129.46921 124.398023) - (xy 129.428836 124.367043) - (xy 129.427601 124.366531) - (xy 129.423972 124.363777) - (xy 129.419213 124.361893) - (xy 129.419208 124.36189) - (xy 129.414934 124.360198) - (xy 129.401002 124.352915) - (xy 129.397172 124.35037) - (xy 129.392902 124.347533) - (xy 129.388025 124.345948) - (xy 129.38802 124.345946) - (xy 129.343912 124.331614) - (xy 129.338373 124.329571) - (xy 129.298888 124.313216) - (xy 129.298885 124.313215) - (xy 129.294336 124.311331) - (xy 129.291195 124.310917) - (xy 129.288766 124.310114) - (xy 129.287893 124.3099) - (xy 129.283125 124.308012) - (xy 129.273458 124.306996) - (xy 129.258082 124.303728) - (xy 129.248831 124.300722) - (xy 129.243713 124.300507) - (xy 129.24371 124.300507) - (xy 129.200718 124.298705) - (xy 129.194054 124.298128) - (xy 129.154888 124.292972) - (xy 129.154884 124.292972) - (xy 129.15 124.292329) - (xy 129.145111 124.292973) - (xy 129.143978 124.292973) - (xy 129.13849 124.292685) - (xy 129.137567 124.292714) - (xy 129.132469 124.292178) - (xy 129.127414 124.293033) - (xy 129.12741 124.293033) - (xy 129.122881 124.293799) - (xy 129.107196 124.294786) - (xy 129.10566 124.294722) - (xy 129.097478 124.294379) - (xy 129.070435 124.300722) - (xy 129.053849 124.304612) - (xy 129.046492 124.305956) - (xy 129.010547 124.310688) - (xy 129.010546 124.310688) - (xy 129.005664 124.311331) - (xy 129.001114 124.313216) - (xy 128.996348 124.314493) - (xy 128.996275 124.314222) - (xy 128.989288 124.316232) - (xy 128.98816 124.316585) - (xy 128.983107 124.31744) - (xy 128.978469 124.319622) - (xy 128.978467 124.319623) - (xy 128.974308 124.32158) - (xy 128.959469 124.326747) - (xy 128.954989 124.327798) - (xy 128.954984 124.3278) - (xy 128.949996 124.32897) - (xy 128.923985 124.34327) - (xy 128.91364 124.348957) - (xy 128.906191 124.352534) - (xy 128.871165 124.367043) - (xy 128.867232 124.37006) - (xy 128.853483 124.378436) - (xy 128.846038 124.38194) - (xy 128.842161 124.385286) - (xy 128.84216 124.385287) - (xy 128.83868 124.388291) - (xy 128.825774 124.397261) - (xy 128.820706 124.400047) - (xy 128.8207 124.400051) - (xy 128.817248 124.401949) - (xy 128.814264 124.404524) - (xy 128.814262 124.404526) - (xy 128.810144 124.40808) - (xy 128.810132 124.408092) - (xy 128.809093 124.408988) - (xy 128.788152 124.429929) - (xy 128.780757 124.436415) - (xy 128.761188 124.451431) - (xy 128.755667 124.455667) - (xy 128.752121 124.460288) - (xy 128.750631 124.46223) - (xy 128.74011 124.473374) - (xy 128.738141 124.475074) - (xy 128.731365 124.480923) - (xy 128.728528 124.485193) - (xy 128.724049 124.491934) - (xy 128.714589 124.503492) - (xy 128.624065 124.594017) - (xy 128.532209 124.685873) - (xy 128.463777 124.776028) - (xy 128.46189 124.780795) - (xy 128.461889 124.780796) - (xy 128.439756 124.836699) - (xy 128.408012 124.916875) - (xy 128.407476 124.921972) - (xy 128.407476 124.921973) - (xy 128.401745 124.976507) - (xy 128.392178 125.067531) - (xy 116.844047 125.067531) - (xy 116.828186 125.033519) - (xy 116.8279 125.026965) - (xy 116.8279 124.885037) - (xy 116.845493 124.836699) - (xy 116.871319 124.816883) - (xy 116.903883 124.801698) - (xy 116.965977 124.772743) - (xy 117.047743 124.690977) - (xy 117.080586 124.620546) - (xy 117.094182 124.59139) - (xy 117.094183 124.591388) - (xy 117.096613 124.586176) - (xy 117.1029 124.53842) - (xy 117.1029 123.86158) - (xy 117.096613 123.813824) - (xy 117.092894 123.805847) - (xy 117.074906 123.767274) - (xy 117.047743 123.709023) - (xy 116.965977 123.627257) - (xy 116.905763 123.599178) - (xy 116.86639 123.580818) - (xy 116.866388 123.580817) - (xy 116.861176 123.578387) - (xy 116.855476 123.577637) - (xy 116.855474 123.577636) - (xy 116.838093 123.575348) - (xy 116.81342 123.5721) - (xy 116.38658 123.5721) - (xy 116.361907 123.575348) - (xy 116.344526 123.577636) - (xy 116.344524 123.577637) - (xy 116.338824 123.578387) - (xy 116.333612 123.580817) - (xy 116.33361 123.580818) - (xy 116.294237 123.599178) - (xy 116.234023 123.627257) - (xy 116.152257 123.709023) - (xy 116.125094 123.767274) - (xy 116.107107 123.805847) - (xy 116.103387 123.813824) - (xy 116.0971 123.86158) - (xy 116.0971 124.53842) - (xy 115.5029 124.53842) - (xy 115.5029 123.86158) - (xy 115.496613 123.813824) - (xy 115.492894 123.805847) - (xy 115.474906 123.767274) - (xy 115.447743 123.709023) - (xy 115.365977 123.627257) - (xy 115.271319 123.583117) - (xy 115.234946 123.546744) - (xy 115.2279 123.514963) - (xy 115.2279 123.358896) - (xy 115.245493 123.310558) - (xy 115.26132 123.29637) - (xy 115.282331 123.282331) - (xy 115.307403 123.244808) - (xy 115.334112 123.204836) - (xy 115.334113 123.204835) - (xy 115.338227 123.198677) - (xy 115.339673 123.19141) - (xy 115.352179 123.128536) - (xy 115.352179 123.128535) - (xy 115.3529 123.124911) - (xy 115.3529 122.728071) - (xy 115.943323 122.728071) - (xy 115.944822 122.734104) - (xy 115.944882 122.734345) - (xy 115.947101 122.752477) - (xy 115.947101 123.12491) - (xy 115.961773 123.198677) - (xy 116.017669 123.282331) - (xy 116.023826 123.286445) - (xy 116.094249 123.3335) - (xy 116.101323 123.338227) - (xy 116.175089 123.3529) - (xy 116.299966 123.3529) - (xy 116.42491 123.352899) - (xy 116.428533 123.352178) - (xy 116.428537 123.352178) - (xy 116.460646 123.345791) - (xy 116.498677 123.338227) - (xy 116.582331 123.282331) - (xy 116.638227 123.198677) - (xy 116.638318 123.198738) - (xy 116.670004 123.164159) - (xy 116.721003 123.157445) - (xy 116.750379 123.173561) - (xy 116.751257 123.172352) - (xy 116.75604 123.175827) - (xy 116.760229 123.180016) - (xy 116.783565 123.191906) - (xy 116.793623 123.19807) - (xy 116.814807 123.213461) - (xy 116.820433 123.215289) - (xy 116.820437 123.215291) - (xy 116.839713 123.221554) - (xy 116.850614 123.226069) - (xy 116.873945 123.237957) - (xy 116.879788 123.238882) - (xy 116.87979 123.238883) - (xy 116.899807 123.242053) - (xy 116.911283 123.244808) - (xy 116.930557 123.251071) - (xy 116.930559 123.251071) - (xy 116.936187 123.2529) - (xy 117.180816 123.2529) - (xy 117.229154 123.270493) - (xy 117.236263 123.278171) - (xy 117.236285 123.278149) - (xy 117.322377 123.364091) - (xy 117.327959 123.36682) - (xy 117.327961 123.366821) - (xy 117.354925 123.380001) - (xy 117.390625 123.417035) - (xy 117.3971 123.447561) - (xy 117.3971 123.612375) - (xy 117.396174 123.624138) - (xy 117.392078 123.65) - (xy 117.393004 123.655847) - (xy 117.394036 123.662365) - (xy 117.3971 123.681708) - (xy 117.412043 123.776055) - (xy 117.427223 123.805847) - (xy 117.460843 123.87183) - (xy 117.469984 123.889771) - (xy 117.560229 123.980016) - (xy 117.673945 124.037957) - (xy 117.700274 124.042127) - (xy 117.782934 124.055219) - (xy 117.8 124.057922) - (xy 117.817067 124.055219) - (xy 117.899726 124.042127) - (xy 117.926055 124.037957) - (xy 118.039771 123.980016) - (xy 118.130016 123.889771) - (xy 118.139158 123.87183) - (xy 118.172777 123.805847) - (xy 118.187957 123.776055) - (xy 118.205964 123.662365) - (xy 118.206996 123.655847) - (xy 118.207922 123.65) - (xy 118.206687 123.6422) - (xy 129.4976 123.6422) - (xy 129.498062 123.648072) - (xy 129.512062 123.736462) - (xy 129.515675 123.747582) - (xy 129.569966 123.854135) - (xy 129.576845 123.863602) - (xy 129.661398 123.948155) - (xy 129.670865 123.955034) - (xy 129.777418 124.009325) - (xy 129.788538 124.012938) - (xy 129.876928 124.026938) - (xy 129.8828 124.0274) - (xy 130.186741 124.0274) - (xy 130.196898 124.023703) - (xy 130.2 124.018331) - (xy 130.2 124.014141) - (xy 130.5 124.014141) - (xy 130.503697 124.024298) - (xy 130.509069 124.0274) - (xy 130.8172 124.0274) - (xy 130.823072 124.026938) - (xy 130.911462 124.012938) - (xy 130.922582 124.009325) - (xy 131.029135 123.955034) - (xy 131.038602 123.948155) - (xy 131.123155 123.863602) - (xy 131.130034 123.854135) - (xy 131.184325 123.747582) - (xy 131.187938 123.736462) - (xy 131.201938 123.648072) - (xy 131.2024 123.6422) - (xy 131.8976 123.6422) - (xy 131.898062 123.648072) - (xy 131.912062 123.736462) - (xy 131.915675 123.747582) - (xy 131.969966 123.854135) - (xy 131.976845 123.863602) - (xy 132.061398 123.948155) - (xy 132.070865 123.955034) - (xy 132.177418 124.009325) - (xy 132.188538 124.012938) - (xy 132.276928 124.026938) - (xy 132.2828 124.0274) - (xy 132.586741 124.0274) - (xy 132.596898 124.023703) - (xy 132.6 124.018331) - (xy 132.6 124.014141) - (xy 132.9 124.014141) - (xy 132.903697 124.024298) - (xy 132.909069 124.0274) - (xy 133.2172 124.0274) - (xy 133.223072 124.026938) - (xy 133.311462 124.012938) - (xy 133.322582 124.009325) - (xy 133.429135 123.955034) - (xy 133.438602 123.948155) - (xy 133.523155 123.863602) - (xy 133.530034 123.854135) - (xy 133.584325 123.747582) - (xy 133.587938 123.736462) - (xy 133.601938 123.648072) - (xy 133.6024 123.6422) - (xy 133.6024 123.513259) - (xy 133.598703 123.503102) - (xy 133.593331 123.5) - (xy 132.913259 123.5) - (xy 132.903102 123.503697) - (xy 132.9 123.509069) - (xy 132.9 124.014141) - (xy 132.6 124.014141) - (xy 132.6 123.513259) - (xy 132.596303 123.503102) - (xy 132.590931 123.5) - (xy 131.910859 123.5) - (xy 131.900702 123.503697) - (xy 131.8976 123.509069) - (xy 131.8976 123.6422) - (xy 131.2024 123.6422) - (xy 131.2024 123.513259) - (xy 131.198703 123.503102) - (xy 131.193331 123.5) - (xy 130.513259 123.5) - (xy 130.503102 123.503697) - (xy 130.5 123.509069) - (xy 130.5 124.014141) - (xy 130.2 124.014141) - (xy 130.2 123.513259) - (xy 130.196303 123.503102) - (xy 130.190931 123.5) - (xy 129.510859 123.5) - (xy 129.500702 123.503697) - (xy 129.4976 123.509069) - (xy 129.4976 123.6422) - (xy 118.206687 123.6422) - (xy 118.203826 123.624138) - (xy 118.2029 123.612375) - (xy 118.2029 123.480657) - (xy 134.3726 123.480657) - (xy 134.373 123.486123) - (xy 134.381945 123.546884) - (xy 134.385371 123.557911) - (xy 134.431763 123.652401) - (xy 134.438897 123.662365) - (xy 134.513133 123.73647) - (xy 134.523115 123.743591) - (xy 134.617686 123.789819) - (xy 134.628709 123.793226) - (xy 134.688891 123.802005) - (xy 134.694327 123.8024) - (xy 135.036741 123.8024) - (xy 135.046898 123.798703) - (xy 135.05 123.793331) - (xy 135.05 123.789141) - (xy 135.35 123.789141) - (xy 135.353697 123.799298) - (xy 135.359069 123.8024) - (xy 135.705657 123.8024) - (xy 135.711123 123.802) - (xy 135.771884 123.793055) - (xy 135.782911 123.789629) - (xy 135.877401 123.743237) - (xy 135.887365 123.736103) - (xy 135.96147 123.661867) - (xy 135.968591 123.651885) - (xy 136.014819 123.557314) - (xy 136.018226 123.546291) - (xy 136.027005 123.486109) - (xy 136.0274 123.480673) - (xy 136.0274 123.413259) - (xy 136.023703 123.403102) - (xy 136.018331 123.4) - (xy 135.363259 123.4) - (xy 135.353102 123.403697) - (xy 135.35 123.409069) - (xy 135.35 123.789141) - (xy 135.05 123.789141) - (xy 135.05 123.413259) - (xy 135.046303 123.403102) - (xy 135.040931 123.4) - (xy 134.385859 123.4) - (xy 134.375702 123.403697) - (xy 134.3726 123.409069) - (xy 134.3726 123.480657) - (xy 118.2029 123.480657) - (xy 118.2029 123.447514) - (xy 118.220493 123.399176) - (xy 118.244958 123.380011) - (xy 118.272573 123.366453) - (xy 118.272575 123.366452) - (xy 118.278149 123.363715) - (xy 118.282536 123.359321) - (xy 118.282538 123.359319) - (xy 118.364091 123.277623) - (xy 118.365639 123.279168) - (xy 118.400376 123.255295) - (xy 118.419203 123.2529) - (xy 118.563813 123.2529) - (xy 118.569441 123.251071) - (xy 118.569443 123.251071) - (xy 118.588717 123.244808) - (xy 118.600193 123.242053) - (xy 118.62021 123.238883) - (xy 118.620212 123.238882) - (xy 118.626055 123.237957) - (xy 118.649386 123.226069) - (xy 118.660287 123.221554) - (xy 118.679563 123.215291) - (xy 118.679567 123.215289) - (xy 118.685193 123.213461) - (xy 118.706377 123.19807) - (xy 118.716435 123.191906) - (xy 118.726572 123.186741) - (xy 129.4976 123.186741) - (xy 129.501297 123.196898) - (xy 129.506669 123.2) - (xy 130.186741 123.2) - (xy 130.196898 123.196303) - (xy 130.2 123.190931) - (xy 130.2 123.186741) - (xy 130.5 123.186741) - (xy 130.503697 123.196898) - (xy 130.509069 123.2) - (xy 131.189141 123.2) - (xy 131.199298 123.196303) - (xy 131.2024 123.190931) - (xy 131.2024 123.186741) - (xy 131.8976 123.186741) - (xy 131.901297 123.196898) - (xy 131.906669 123.2) - (xy 132.586741 123.2) - (xy 132.596898 123.196303) - (xy 132.6 123.190931) - (xy 132.6 123.186741) - (xy 132.9 123.186741) - (xy 132.903697 123.196898) - (xy 132.909069 123.2) - (xy 133.589141 123.2) - (xy 133.599298 123.196303) - (xy 133.6024 123.190931) - (xy 133.6024 123.186741) - (xy 139.2476 123.186741) - (xy 139.251297 123.196898) - (xy 139.256669 123.2) - (xy 139.936741 123.2) - (xy 139.946898 123.196303) - (xy 139.95 123.190931) - (xy 139.95 123.186741) - (xy 140.25 123.186741) - (xy 140.253697 123.196898) - (xy 140.259069 123.2) - (xy 140.939141 123.2) - (xy 140.949298 123.196303) - (xy 140.9524 123.190931) - (xy 140.9524 123.0578) - (xy 140.951938 123.051928) - (xy 140.937938 122.963538) - (xy 140.934325 122.952418) - (xy 140.880034 122.845865) - (xy 140.873155 122.836398) - (xy 140.788602 122.751845) - (xy 140.779135 122.744966) - (xy 140.672582 122.690675) - (xy 140.661462 122.687062) - (xy 140.573072 122.673062) - (xy 140.5672 122.6726) - (xy 140.263259 122.6726) - (xy 140.253102 122.676297) - (xy 140.25 122.681669) - (xy 140.25 123.186741) - (xy 139.95 123.186741) - (xy 139.95 122.685859) - (xy 139.946303 122.675702) - (xy 139.940931 122.6726) - (xy 139.6328 122.6726) - (xy 139.626928 122.673062) - (xy 139.538538 122.687062) - (xy 139.527418 122.690675) - (xy 139.420865 122.744966) - (xy 139.411398 122.751845) - (xy 139.326845 122.836398) - (xy 139.319966 122.845865) - (xy 139.265675 122.952418) - (xy 139.262062 122.963538) - (xy 139.248062 123.051928) - (xy 139.2476 123.0578) - (xy 139.2476 123.186741) - (xy 133.6024 123.186741) - (xy 133.6024 123.086741) - (xy 134.3726 123.086741) - (xy 134.376297 123.096898) - (xy 134.381669 123.1) - (xy 135.036741 123.1) - (xy 135.046898 123.096303) - (xy 135.05 123.090931) - (xy 135.05 123.086741) - (xy 135.35 123.086741) - (xy 135.353697 123.096898) - (xy 135.359069 123.1) - (xy 136.014141 123.1) - (xy 136.024298 123.096303) - (xy 136.0274 123.090931) - (xy 136.0274 123.019343) - (xy 136.027 123.013877) - (xy 136.018055 122.953116) - (xy 136.014629 122.942089) - (xy 135.968237 122.847599) - (xy 135.961103 122.837635) - (xy 135.886867 122.76353) - (xy 135.876885 122.756409) - (xy 135.782314 122.710181) - (xy 135.771291 122.706774) - (xy 135.711109 122.697995) - (xy 135.705673 122.6976) - (xy 135.363259 122.6976) - (xy 135.353102 122.701297) - (xy 135.35 122.706669) - (xy 135.35 123.086741) - (xy 135.05 123.086741) - (xy 135.05 122.710859) - (xy 135.046303 122.700702) - (xy 135.040931 122.6976) - (xy 134.694343 122.6976) - (xy 134.688877 122.698) - (xy 134.628116 122.706945) - (xy 134.617089 122.710371) - (xy 134.522599 122.756763) - (xy 134.512635 122.763897) - (xy 134.43853 122.838133) - (xy 134.431409 122.848115) - (xy 134.385181 122.942686) - (xy 134.381774 122.953709) - (xy 134.372995 123.013891) - (xy 134.3726 123.019327) - (xy 134.3726 123.086741) - (xy 133.6024 123.086741) - (xy 133.6024 123.0578) - (xy 133.601938 123.051928) - (xy 133.587938 122.963538) - (xy 133.584325 122.952418) - (xy 133.530034 122.845865) - (xy 133.523155 122.836398) - (xy 133.438602 122.751845) - (xy 133.429135 122.744966) - (xy 133.322582 122.690675) - (xy 133.311462 122.687062) - (xy 133.223072 122.673062) - (xy 133.2172 122.6726) - (xy 132.913259 122.6726) - (xy 132.903102 122.676297) - (xy 132.9 122.681669) - (xy 132.9 123.186741) - (xy 132.6 123.186741) - (xy 132.6 122.685859) - (xy 132.596303 122.675702) - (xy 132.590931 122.6726) - (xy 132.2828 122.6726) - (xy 132.276928 122.673062) - (xy 132.188538 122.687062) - (xy 132.177418 122.690675) - (xy 132.070865 122.744966) - (xy 132.061398 122.751845) - (xy 131.976845 122.836398) - (xy 131.969966 122.845865) - (xy 131.915675 122.952418) - (xy 131.912062 122.963538) - (xy 131.898062 123.051928) - (xy 131.8976 123.0578) - (xy 131.8976 123.186741) - (xy 131.2024 123.186741) - (xy 131.2024 123.0578) - (xy 131.201938 123.051928) - (xy 131.187938 122.963538) - (xy 131.184325 122.952418) - (xy 131.130034 122.845865) - (xy 131.123155 122.836398) - (xy 131.038602 122.751845) - (xy 131.029135 122.744966) - (xy 130.922582 122.690675) - (xy 130.911462 122.687062) - (xy 130.823072 122.673062) - (xy 130.8172 122.6726) - (xy 130.513259 122.6726) - (xy 130.503102 122.676297) - (xy 130.5 122.681669) - (xy 130.5 123.186741) - (xy 130.2 123.186741) - (xy 130.2 122.685859) - (xy 130.196303 122.675702) - (xy 130.190931 122.6726) - (xy 129.8828 122.6726) - (xy 129.876928 122.673062) - (xy 129.788538 122.687062) - (xy 129.777418 122.690675) - (xy 129.670865 122.744966) - (xy 129.661398 122.751845) - (xy 129.576845 122.836398) - (xy 129.569966 122.845865) - (xy 129.515675 122.952418) - (xy 129.512062 122.963538) - (xy 129.498062 123.051928) - (xy 129.4976 123.0578) - (xy 129.4976 123.186741) - (xy 118.726572 123.186741) - (xy 118.739771 123.180016) - (xy 118.830016 123.089771) - (xy 118.830017 123.089769) - (xy 118.889769 123.030017) - (xy 118.889771 123.030016) - (xy 118.980016 122.939771) - (xy 118.991906 122.916435) - (xy 118.99807 122.906376) - (xy 119.013461 122.885193) - (xy 119.015289 122.879567) - (xy 119.015291 122.879563) - (xy 119.021554 122.860287) - (xy 119.026069 122.849386) - (xy 119.035271 122.831326) - (xy 119.037957 122.826055) - (xy 119.040464 122.810229) - (xy 119.042053 122.800193) - (xy 119.044808 122.788717) - (xy 119.051071 122.769443) - (xy 119.051071 122.769441) - (xy 119.0529 122.763813) - (xy 119.0529 122.737625) - (xy 119.053826 122.725861) - (xy 119.056996 122.705847) - (xy 119.057922 122.7) - (xy 119.053826 122.674138) - (xy 119.0529 122.662375) - (xy 119.0529 122.636187) - (xy 119.044808 122.611283) - (xy 119.042053 122.599807) - (xy 119.038883 122.57979) - (xy 119.038882 122.579788) - (xy 119.037957 122.573945) - (xy 119.026069 122.550614) - (xy 119.021554 122.539713) - (xy 119.015291 122.520437) - (xy 119.015289 122.520433) - (xy 119.013461 122.514807) - (xy 118.99807 122.493623) - (xy 118.991904 122.483562) - (xy 118.988964 122.477791) - (xy 118.980016 122.460229) - (xy 118.9615 122.441713) - (xy 118.953836 122.432741) - (xy 118.941919 122.416339) - (xy 118.938444 122.411556) - (xy 118.917259 122.396164) - (xy 118.908287 122.3885) - (xy 118.889771 122.369984) - (xy 118.866435 122.358094) - (xy 118.856376 122.35193) - (xy 118.852952 122.349442) - (xy 118.835193 122.336539) - (xy 118.829567 122.334711) - (xy 118.829563 122.334709) - (xy 118.810287 122.328446) - (xy 118.799386 122.323931) - (xy 118.781326 122.314729) - (xy 118.781327 122.314729) - (xy 118.776055 122.312043) - (xy 118.770212 122.311118) - (xy 118.77021 122.311117) - (xy 118.750193 122.307947) - (xy 118.738717 122.305192) - (xy 118.719443 122.298929) - (xy 118.719441 122.298929) - (xy 118.713813 122.2971) - (xy 118.687625 122.2971) - (xy 118.675861 122.296174) - (xy 118.655847 122.293004) - (xy 118.65 122.292078) - (xy 118.644153 122.293004) - (xy 118.624139 122.296174) - (xy 118.612375 122.2971) - (xy 118.586187 122.2971) - (xy 118.580559 122.298929) - (xy 118.580557 122.298929) - (xy 118.561283 122.305192) - (xy 118.549807 122.307947) - (xy 118.52979 122.311117) - (xy 118.529788 122.311118) - (xy 118.523945 122.312043) - (xy 118.518673 122.314729) - (xy 118.518674 122.314729) - (xy 118.500614 122.323931) - (xy 118.489713 122.328446) - (xy 118.470437 122.334709) - (xy 118.470433 122.334711) - (xy 118.464807 122.336539) - (xy 118.447048 122.349442) - (xy 118.443624 122.35193) - (xy 118.433565 122.358094) - (xy 118.410229 122.369984) - (xy 118.40604 122.374173) - (xy 118.405817 122.374335) - (xy 118.35637 122.388515) - (xy 118.308486 122.366719) - (xy 118.283264 122.34154) - (xy 118.282021 122.340299) - (xy 118.28202 122.340298) - (xy 118.277623 122.335909) - (xy 118.272041 122.33318) - (xy 118.272039 122.333179) - (xy 118.17358 122.285051) - (xy 118.173578 122.28505) - (xy 118.168334 122.282487) - (xy 118.162557 122.281644) - (xy 118.162556 122.281644) - (xy 118.14297 122.278787) - (xy 118.097131 122.2721) - (xy 117.800922 122.2721) - (xy 117.50287 122.272101) - (xy 117.445389 122.280562) - (xy 117.438038 122.281644) - (xy 117.431047 122.282673) - (xy 117.397937 122.298929) - (xy 117.327427 122.333547) - (xy 117.327425 122.333548) - (xy 117.321851 122.336285) - (xy 117.317461 122.340682) - (xy 117.317459 122.340684) - (xy 117.24225 122.416023) - (xy 117.195649 122.437803) - (xy 117.14595 122.424533) - (xy 117.135856 122.416069) - (xy 117.089771 122.369984) - (xy 117.066435 122.358094) - (xy 117.056376 122.35193) - (xy 117.052952 122.349442) - (xy 117.035193 122.336539) - (xy 117.029567 122.334711) - (xy 117.029563 122.334709) - (xy 117.010287 122.328446) - (xy 116.999386 122.323931) - (xy 116.981326 122.314729) - (xy 116.981327 122.314729) - (xy 116.976055 122.312043) - (xy 116.970212 122.311118) - (xy 116.97021 122.311117) - (xy 116.950193 122.307947) - (xy 116.938717 122.305192) - (xy 116.919443 122.298929) - (xy 116.919441 122.298929) - (xy 116.913813 122.2971) - (xy 116.887625 122.2971) - (xy 116.875861 122.296174) - (xy 116.855847 122.293004) - (xy 116.85 122.292078) - (xy 116.844153 122.293004) - (xy 116.824139 122.296174) - (xy 116.812375 122.2971) - (xy 116.786187 122.2971) - (xy 116.780559 122.298929) - (xy 116.780557 122.298929) - (xy 116.761283 122.305192) - (xy 116.749812 122.307946) - (xy 116.733607 122.310513) - (xy 116.683114 122.300698) - (xy 116.650741 122.260722) - (xy 116.648089 122.250908) - (xy 116.639673 122.208591) - (xy 116.639672 122.208589) - (xy 116.638227 122.201323) - (xy 116.582331 122.117669) - (xy 116.532231 122.084193) - (xy 116.504836 122.065888) - (xy 116.504835 122.065887) - (xy 116.498677 122.061773) - (xy 116.459384 122.053957) - (xy 116.428536 122.047821) - (xy 116.428535 122.047821) - (xy 116.424911 122.0471) - (xy 116.300034 122.0471) - (xy 116.17509 122.047101) - (xy 116.171467 122.047822) - (xy 116.171463 122.047822) - (xy 116.14062 122.053957) - (xy 116.101323 122.061773) - (xy 116.017669 122.117669) - (xy 116.013555 122.123826) - (xy 115.96589 122.195162) - (xy 115.961773 122.201323) - (xy 115.960328 122.208587) - (xy 115.960327 122.208591) - (xy 115.9471 122.275089) - (xy 115.9471 122.681199) - (xy 115.94682 122.687687) - (xy 115.944104 122.719056) - (xy 115.943323 122.728071) - (xy 115.3529 122.728071) - (xy 115.352899 122.27509) - (xy 115.350117 122.261099) - (xy 115.342696 122.223791) - (xy 115.338227 122.201323) - (xy 115.282331 122.117669) - (xy 115.232231 122.084193) - (xy 115.204836 122.065888) - (xy 115.204835 122.065887) - (xy 115.198677 122.061773) - (xy 115.159384 122.053957) - (xy 115.128536 122.047821) - (xy 115.128535 122.047821) - (xy 115.124911 122.0471) - (xy 115.000034 122.0471) - (xy 114.87509 122.047101) - (xy 114.871467 122.047822) - (xy 114.871463 122.047822) - (xy 114.84062 122.053957) - (xy 114.801323 122.061773) - (xy 114.717669 122.117669) - (xy 114.713555 122.123826) - (xy 114.66589 122.195162) - (xy 114.661773 122.201323) - (xy 114.660328 122.208587) - (xy 114.660327 122.208591) - (xy 114.6471 122.275089) - (xy 114.647101 123.12491) - (xy 114.661773 123.198677) - (xy 114.717669 123.282331) - (xy 114.73868 123.29637) - (xy 114.769096 123.337854) - (xy 114.7721 123.358896) - (xy 114.7721 123.514963) - (xy 114.754507 123.563301) - (xy 114.728682 123.583117) - (xy 114.634023 123.627257) - (xy 114.552257 123.709023) - (xy 114.525094 123.767274) - (xy 114.507107 123.805847) - (xy 114.503387 123.813824) - (xy 114.4971 123.86158) - (xy 114.4971 124.53842) - (xy 111.9274 124.53842) - (xy 111.9274 124.315105) - (xy 111.926679 124.307781) - (xy 111.913477 124.241409) - (xy 111.907914 124.22798) - (xy 111.857596 124.152675) - (xy 111.847325 124.142404) - (xy 111.772017 124.092084) - (xy 111.758596 124.086524) - (xy 111.713005 124.077456) - (xy 111.702321 124.0791) - (xy 111.700028 124.081715) - (xy 111.7 124.081864) - (xy 111.7 124.786741) - (xy 111.4 124.786741) - (xy 111.4 124.088128) - (xy 111.396303 124.077971) - (xy 111.393291 124.076232) - (xy 111.393139 124.076234) - (xy 111.341404 124.086524) - (xy 111.327985 124.092083) - (xy 111.26678 124.13298) - (xy 111.216814 124.145207) - (xy 111.18322 124.13298) - (xy 111.122015 124.092083) - (xy 111.108596 124.086524) - (xy 111.063005 124.077456) - (xy 111.052321 124.0791) - (xy 111.050028 124.081715) - (xy 111.05 124.081864) - (xy 111.05 124.786741) - (xy 110.75 124.786741) - (xy 110.75 124.088128) - (xy 110.746303 124.077971) - (xy 110.743291 124.076232) - (xy 110.743139 124.076234) - (xy 110.691404 124.086524) - (xy 110.677985 124.092083) - (xy 110.61678 124.13298) - (xy 110.566814 124.145207) - (xy 110.53322 124.13298) - (xy 110.472015 124.092083) - (xy 110.458596 124.086524) - (xy 110.413005 124.077456) - (xy 110.402321 124.0791) - (xy 110.400028 124.081715) - (xy 110.4 124.081864) - (xy 110.4 124.786741) - (xy 110.1 124.786741) - (xy 110.1 124.088128) - (xy 110.096303 124.077971) - (xy 110.093291 124.076232) - (xy 110.093139 124.076234) - (xy 110.041404 124.086524) - (xy 110.027985 124.092083) - (xy 109.967229 124.13268) - (xy 109.917263 124.144907) - (xy 109.883674 124.132682) - (xy 109.816054 124.087499) - (xy 109.808792 124.086055) - (xy 109.808791 124.086054) - (xy 109.742262 124.072821) - (xy 109.738637 124.0721) - (xy 109.600038 124.0721) - (xy 109.461364 124.072101) - (xy 109.457741 124.072822) - (xy 109.457737 124.072822) - (xy 109.426174 124.0791) - (xy 109.383946 124.087499) - (xy 109.316778 124.13238) - (xy 109.266815 124.144606) - (xy 109.233222 124.132379) - (xy 109.211321 124.117745) - (xy 109.180904 124.076262) - (xy 109.1779 124.055219) - (xy 109.1779 123.423035) - (xy 109.195493 123.374697) - (xy 109.199926 123.369861) - (xy 109.280016 123.289771) - (xy 109.285419 123.279168) - (xy 109.319667 123.211952) - (xy 109.337957 123.176055) - (xy 109.357922 123.05) - (xy 109.337957 122.923945) - (xy 109.29335 122.836398) - (xy 109.282705 122.815506) - (xy 109.282704 122.815505) - (xy 109.280016 122.810229) - (xy 109.189771 122.719984) - (xy 109.170905 122.710371) - (xy 109.102862 122.675702) - (xy 109.076055 122.662043) - (xy 109.013028 122.652061) - (xy 108.955847 122.643004) - (xy 108.95 122.642078) - (xy 108.944153 122.643004) - (xy 108.886972 122.652061) - (xy 108.823945 122.662043) - (xy 108.797138 122.675702) - (xy 108.729096 122.710371) - (xy 108.710229 122.719984) - (xy 108.619984 122.810229) - (xy 108.617296 122.815505) - (xy 108.617295 122.815506) - (xy 108.60665 122.836398) - (xy 108.562043 122.923945) - (xy 108.542078 123.05) - (xy 108.562043 123.176055) - (xy 108.580333 123.211952) - (xy 108.614582 123.279168) - (xy 108.619984 123.289771) - (xy 108.700074 123.369861) - (xy 108.721814 123.416481) - (xy 108.7221 123.423035) - (xy 108.7221 123.470765) - (xy 108.704507 123.519103) - (xy 108.659958 123.544823) - (xy 108.6093 123.53589) - (xy 108.593726 123.523939) - (xy 108.539771 123.469984) - (xy 108.505471 123.452507) - (xy 108.434765 123.416481) - (xy 108.426055 123.412043) - (xy 108.3 123.392078) - (xy 108.173945 123.412043) - (xy 108.165235 123.416481) - (xy 108.09453 123.452507) - (xy 108.060229 123.469984) - (xy 108.006274 123.523939) - (xy 107.959654 123.545679) - (xy 107.909967 123.532365) - (xy 107.880462 123.490228) - (xy 107.8779 123.470765) - (xy 107.8779 123.423035) - (xy 107.895493 123.374697) - (xy 107.899926 123.369861) - (xy 107.980016 123.289771) - (xy 107.985419 123.279168) - (xy 108.019667 123.211952) - (xy 108.037957 123.176055) - (xy 108.057922 123.05) - (xy 108.037957 122.923945) - (xy 107.99335 122.836398) - (xy 107.982705 122.815506) - (xy 107.982704 122.815505) - (xy 107.980016 122.810229) - (xy 107.889771 122.719984) - (xy 107.870905 122.710371) - (xy 107.802862 122.675702) - (xy 107.776055 122.662043) - (xy 107.713028 122.652061) - (xy 107.655847 122.643004) - (xy 107.65 122.642078) - (xy 107.644153 122.643004) - (xy 107.586972 122.652061) - (xy 107.523945 122.662043) - (xy 107.497138 122.675702) - (xy 107.429096 122.710371) - (xy 107.410229 122.719984) - (xy 107.319984 122.810229) - (xy 107.317296 122.815505) - (xy 107.317295 122.815506) - (xy 107.30665 122.836398) - (xy 107.262043 122.923945) - (xy 107.242078 123.05) - (xy 107.262043 123.176055) - (xy 107.280333 123.211952) - (xy 107.314582 123.279168) - (xy 107.319984 123.289771) - (xy 107.400074 123.369861) - (xy 107.421814 123.416481) - (xy 107.4221 123.423035) - (xy 107.4221 123.470765) - (xy 107.404507 123.519103) - (xy 107.359958 123.544823) - (xy 107.3093 123.53589) - (xy 107.293726 123.523939) - (xy 107.239771 123.469984) - (xy 107.205471 123.452507) - (xy 107.134765 123.416481) - (xy 107.126055 123.412043) - (xy 107 123.392078) - (xy 106.873945 123.412043) - (xy 106.865235 123.416481) - (xy 106.79453 123.452507) - (xy 106.760229 123.469984) - (xy 106.706274 123.523939) - (xy 106.659654 123.545679) - (xy 106.609967 123.532365) - (xy 106.580462 123.490228) - (xy 106.5779 123.470765) - (xy 106.5779 123.423035) - (xy 106.595493 123.374697) - (xy 106.599926 123.369861) - (xy 106.680016 123.289771) - (xy 106.685419 123.279168) - (xy 106.719667 123.211952) - (xy 106.737957 123.176055) - (xy 106.757922 123.05) - (xy 106.737957 122.923945) - (xy 106.69335 122.836398) - (xy 106.682705 122.815506) - (xy 106.682704 122.815505) - (xy 106.680016 122.810229) - (xy 106.589771 122.719984) - (xy 106.570905 122.710371) - (xy 106.502862 122.675702) - (xy 106.476055 122.662043) - (xy 106.413028 122.652061) - (xy 106.355847 122.643004) - (xy 106.35 122.642078) - (xy 106.344153 122.643004) - (xy 106.286972 122.652061) - (xy 106.223945 122.662043) - (xy 106.197138 122.675702) - (xy 106.129096 122.710371) - (xy 106.110229 122.719984) - (xy 106.019984 122.810229) - (xy 106.017296 122.815505) - (xy 106.017295 122.815506) - (xy 106.00665 122.836398) - (xy 105.962043 122.923945) - (xy 105.942078 123.05) - (xy 105.962043 123.176055) - (xy 105.980333 123.211952) - (xy 106.014582 123.279168) - (xy 106.019984 123.289771) - (xy 106.100074 123.369861) - (xy 106.121814 123.416481) - (xy 106.1221 123.423035) - (xy 106.1221 123.470765) - (xy 106.104507 123.519103) - (xy 106.059958 123.544823) - (xy 106.0093 123.53589) - (xy 105.993726 123.523939) - (xy 105.939771 123.469984) - (xy 105.905471 123.452507) - (xy 105.834765 123.416481) - (xy 105.826055 123.412043) - (xy 105.7 123.392078) - (xy 105.573945 123.412043) - (xy 105.565235 123.416481) - (xy 105.49453 123.452507) - (xy 105.460229 123.469984) - (xy 105.369984 123.560229) - (xy 105.312043 123.673945) - (xy 105.309703 123.688721) - (xy 105.300979 123.743804) - (xy 105.292078 123.8) - (xy 105.312043 123.926055) - (xy 105.31473 123.931328) - (xy 105.316559 123.936958) - (xy 105.31571 123.937234) - (xy 105.3221 123.963847) - (xy 105.3221 124.981392) - (xy 105.322101 124.981395) - (xy 105.322101 125.588636) - (xy 105.322822 125.592259) - (xy 105.322822 125.592263) - (xy 105.325917 125.607823) - (xy 105.337499 125.666054) - (xy 105.396158 125.753842) - (xy 105.483946 125.812501) - (xy 105.491208 125.813945) - (xy 105.491209 125.813946) - (xy 105.540896 125.823829) - (xy 105.561363 125.8279) - (xy 105.699962 125.8279) - (xy 105.838636 125.827899) - (xy 105.842259 125.827178) - (xy 105.842263 125.827178) - (xy 105.876614 125.820345) - (xy 105.916054 125.812501) - (xy 105.967538 125.7781) - (xy 105.983221 125.767621) - (xy 106.033186 125.755394) - (xy 106.066779 125.767621) - (xy 106.127787 125.808386) - (xy 106.127788 125.808387) - (xy 106.133946 125.812501) - (xy 106.141208 125.813945) - (xy 106.141209 125.813946) - (xy 106.190896 125.823829) - (xy 106.211363 125.8279) - (xy 106.349962 125.8279) - (xy 106.488636 125.827899) - (xy 106.492259 125.827178) - (xy 106.492263 125.827178) - (xy 106.526614 125.820345) - (xy 106.566054 125.812501) - (xy 106.617538 125.7781) - (xy 106.633221 125.767621) - (xy 106.683186 125.755394) - (xy 106.716779 125.767621) - (xy 106.777787 125.808386) - (xy 106.777788 125.808387) - (xy 106.783946 125.812501) - (xy 106.791208 125.813945) - (xy 106.791209 125.813946) - (xy 106.840896 125.823829) - (xy 106.861363 125.8279) - (xy 106.999962 125.8279) - (xy 107.138636 125.827899) - (xy 107.142259 125.827178) - (xy 107.142263 125.827178) - (xy 107.176614 125.820345) - (xy 107.216054 125.812501) - (xy 107.267538 125.7781) - (xy 107.283221 125.767621) - (xy 107.333186 125.755394) - (xy 107.366779 125.767621) - (xy 107.427787 125.808386) - (xy 107.427788 125.808387) - (xy 107.433946 125.812501) - (xy 107.441208 125.813945) - (xy 107.441209 125.813946) - (xy 107.490896 125.823829) - (xy 107.511363 125.8279) - (xy 107.649962 125.8279) - (xy 107.788636 125.827899) - (xy 107.792259 125.827178) - (xy 107.792263 125.827178) - (xy 107.826614 125.820345) - (xy 107.866054 125.812501) - (xy 107.917538 125.7781) - (xy 107.933221 125.767621) - (xy 107.983186 125.755394) - (xy 108.016779 125.767621) - (xy 108.077787 125.808386) - (xy 108.077788 125.808387) - (xy 108.083946 125.812501) - (xy 108.091208 125.813945) - (xy 108.091209 125.813946) - (xy 108.140896 125.823829) - (xy 108.161363 125.8279) - (xy 108.299962 125.8279) - (xy 108.438636 125.827899) - (xy 108.442259 125.827178) - (xy 108.442263 125.827178) - (xy 108.476614 125.820345) - (xy 108.516054 125.812501) - (xy 108.567538 125.7781) - (xy 108.583221 125.767621) - (xy 108.633186 125.755394) - (xy 108.666779 125.767621) - (xy 108.727787 125.808386) - (xy 108.727788 125.808387) - (xy 108.733946 125.812501) - (xy 108.741208 125.813945) - (xy 108.741209 125.813946) - (xy 108.790896 125.823829) - (xy 108.811363 125.8279) - (xy 108.949962 125.8279) - (xy 109.088636 125.827899) - (xy 109.092259 125.827178) - (xy 109.092263 125.827178) - (xy 109.126614 125.820345) - (xy 109.166054 125.812501) - (xy 109.233222 125.76762) - (xy 109.283185 125.755394) - (xy 109.316778 125.767621) - (xy 109.338679 125.782255) - (xy 109.369096 125.823738) - (xy 109.3721 125.844781) - (xy 109.3721 126.642064) - (xy 109.371997 126.646) - (xy 109.369877 126.686448) - (xy 109.37869 126.709408) - (xy 109.38204 126.720715) - (xy 109.387152 126.744766) - (xy 109.391798 126.75116) - (xy 109.391798 126.751161) - (xy 109.392171 126.751674) - (xy 109.401535 126.76892) - (xy 109.40171 126.769374) - (xy 109.404597 126.776896) - (xy 109.421981 126.79428) - (xy 109.429645 126.803252) - (xy 109.444099 126.823146) - (xy 109.451492 126.827415) - (xy 109.467064 126.839364) - (xy 109.571426 126.943726) - (xy 109.593166 126.990346) - (xy 109.579852 127.040033) - (xy 109.537715 127.069538) - (xy 109.518252 127.0721) - (xy 102.975548 127.0721) - (xy 102.92721 127.054507) - (xy 102.922374 127.050074) - (xy 101.949926 126.077626) - (xy 101.928186 126.031006) - (xy 101.9279 126.024452) - (xy 101.9279 125.844781) - (xy 101.945493 125.796443) - (xy 101.961321 125.782255) - (xy 101.983671 125.767321) - (xy 102.033636 125.755094) - (xy 102.067229 125.767321) - (xy 102.127983 125.807916) - (xy 102.141404 125.813476) - (xy 102.186995 125.822544) - (xy 102.197679 125.8209) - (xy 102.199972 125.818285) - (xy 102.2 125.818136) - (xy 102.2 125.811872) - (xy 102.5 125.811872) - (xy 102.503697 125.822029) - (xy 102.506709 125.823768) - (xy 102.506861 125.823766) - (xy 102.558596 125.813476) - (xy 102.572017 125.807916) - (xy 102.647325 125.757596) - (xy 102.657596 125.747325) - (xy 102.707914 125.67202) - (xy 102.713477 125.658591) - (xy 102.726679 125.592219) - (xy 102.7274 125.584895) - (xy 102.7274 125.113259) - (xy 102.723703 125.103102) - (xy 102.718331 125.1) - (xy 102.513259 125.1) - (xy 102.503102 125.103697) - (xy 102.5 125.109069) - (xy 102.5 125.811872) - (xy 102.2 125.811872) - (xy 102.2 124.786741) - (xy 102.5 124.786741) - (xy 102.503697 124.796898) - (xy 102.509069 124.8) - (xy 102.714141 124.8) - (xy 102.724298 124.796303) - (xy 102.7274 124.790931) - (xy 102.7274 124.315105) - (xy 102.726679 124.307781) - (xy 102.713477 124.241409) - (xy 102.707914 124.22798) - (xy 102.657596 124.152675) - (xy 102.647325 124.142404) - (xy 102.572017 124.092084) - (xy 102.558596 124.086524) - (xy 102.513005 124.077456) - (xy 102.502321 124.0791) - (xy 102.500028 124.081715) - (xy 102.5 124.081864) - (xy 102.5 124.786741) - (xy 102.2 124.786741) - (xy 102.2 124.088128) - (xy 102.196303 124.077971) - (xy 102.193291 124.076232) - (xy 102.193139 124.076234) - (xy 102.141404 124.086524) - (xy 102.127985 124.092083) - (xy 102.067229 124.13268) - (xy 102.017263 124.144907) - (xy 101.983674 124.132682) - (xy 101.916054 124.087499) - (xy 101.908792 124.086055) - (xy 101.908791 124.086054) - (xy 101.842262 124.072821) - (xy 101.838637 124.0721) - (xy 101.700038 124.0721) - (xy 101.561364 124.072101) - (xy 101.557741 124.072822) - (xy 101.557737 124.072822) - (xy 101.526174 124.0791) - (xy 101.483946 124.087499) - (xy 101.446353 124.112618) - (xy 101.416779 124.132379) - (xy 101.366814 124.144606) - (xy 101.333221 124.132379) - (xy 101.272213 124.091614) - (xy 101.272212 124.091613) - (xy 101.266054 124.087499) - (xy 101.258792 124.086055) - (xy 101.258791 124.086054) - (xy 101.192262 124.072821) - (xy 101.188637 124.0721) - (xy 101.050038 124.0721) - (xy 100.911364 124.072101) - (xy 100.907741 124.072822) - (xy 100.907737 124.072822) - (xy 100.876174 124.0791) - (xy 100.833946 124.087499) - (xy 100.796353 124.112618) - (xy 100.766779 124.132379) - (xy 100.716814 124.144606) - (xy 100.683221 124.132379) - (xy 100.622213 124.091614) - (xy 100.622212 124.091613) - (xy 100.616054 124.087499) - (xy 100.608792 124.086055) - (xy 100.608791 124.086054) - (xy 100.542262 124.072821) - (xy 100.538637 124.0721) - (xy 100.400038 124.0721) - (xy 100.261364 124.072101) - (xy 100.257741 124.072822) - (xy 100.257737 124.072822) - (xy 100.226174 124.0791) - (xy 100.183946 124.087499) - (xy 100.146353 124.112618) - (xy 100.116779 124.132379) - (xy 100.066814 124.144606) - (xy 100.033221 124.132379) - (xy 99.972213 124.091614) - (xy 99.972212 124.091613) - (xy 99.966054 124.087499) - (xy 99.958792 124.086055) - (xy 99.958791 124.086054) - (xy 99.892262 124.072821) - (xy 99.888637 124.0721) - (xy 99.750038 124.0721) - (xy 99.611364 124.072101) - (xy 99.607741 124.072822) - (xy 99.607737 124.072822) - (xy 99.576174 124.0791) - (xy 99.533946 124.087499) - (xy 99.496353 124.112618) - (xy 99.466779 124.132379) - (xy 99.416814 124.144606) - (xy 99.383221 124.132379) - (xy 99.322213 124.091614) - (xy 99.322212 124.091613) - (xy 99.316054 124.087499) - (xy 99.308792 124.086055) - (xy 99.308791 124.086054) - (xy 99.242262 124.072821) - (xy 99.238637 124.0721) - (xy 99.100038 124.0721) - (xy 98.961364 124.072101) - (xy 98.957741 124.072822) - (xy 98.957737 124.072822) - (xy 98.926174 124.0791) - (xy 98.883946 124.087499) - (xy 98.846353 124.112618) - (xy 98.816779 124.132379) - (xy 98.766814 124.144606) - (xy 98.733221 124.132379) - (xy 98.672213 124.091614) - (xy 98.672212 124.091613) - (xy 98.666054 124.087499) - (xy 98.658792 124.086055) - (xy 98.658791 124.086054) - (xy 98.592262 124.072821) - (xy 98.588637 124.0721) - (xy 98.450038 124.0721) - (xy 98.311364 124.072101) - (xy 98.307741 124.072822) - (xy 98.307737 124.072822) - (xy 98.276174 124.0791) - (xy 98.233946 124.087499) - (xy 98.196353 124.112618) - (xy 98.166779 124.132379) - (xy 98.116814 124.144606) - (xy 98.083221 124.132379) - (xy 98.022213 124.091614) - (xy 98.022212 124.091613) - (xy 98.016054 124.087499) - (xy 98.008792 124.086055) - (xy 98.008791 124.086054) - (xy 97.942262 124.072821) - (xy 97.938637 124.0721) - (xy 97.800038 124.0721) - (xy 97.661364 124.072101) - (xy 97.657741 124.072822) - (xy 97.657737 124.072822) - (xy 97.626174 124.0791) - (xy 97.583946 124.087499) - (xy 97.546353 124.112618) - (xy 97.516779 124.132379) - (xy 97.466814 124.144606) - (xy 97.433221 124.132379) - (xy 97.372213 124.091614) - (xy 97.372212 124.091613) - (xy 97.366054 124.087499) - (xy 97.358792 124.086055) - (xy 97.358791 124.086054) - (xy 97.292262 124.072821) - (xy 97.288637 124.0721) - (xy 97.150038 124.0721) - (xy 97.011364 124.072101) - (xy 97.007741 124.072822) - (xy 97.007737 124.072822) - (xy 96.990594 124.076232) - (xy 96.967769 124.080772) - (xy 96.916929 124.072947) - (xy 96.883013 124.034272) - (xy 96.8779 124.007017) - (xy 96.8779 123.963847) - (xy 96.88429 123.937234) - (xy 96.883441 123.936958) - (xy 96.88527 123.931328) - (xy 96.887957 123.926055) - (xy 96.907922 123.8) - (xy 96.899022 123.743804) - (xy 96.890297 123.688721) - (xy 96.887957 123.673945) - (xy 96.830016 123.560229) - (xy 96.739771 123.469984) - (xy 96.705471 123.452507) - (xy 96.634765 123.416481) - (xy 96.626055 123.412043) - (xy 96.5 123.392078) - (xy 96.373945 123.412043) - (xy 96.365235 123.416481) - (xy 96.29453 123.452507) - (xy 96.260229 123.469984) - (xy 96.169984 123.560229) - (xy 96.112043 123.673945) - (xy 96.109703 123.688721) - (xy 96.100979 123.743804) - (xy 96.092078 123.8) - (xy 96.112043 123.926055) - (xy 96.11473 123.931328) - (xy 96.116559 123.936958) - (xy 96.11571 123.937234) - (xy 96.1221 123.963847) - (xy 96.1221 124.981392) - (xy 96.122101 124.981395) - (xy 96.122101 125.588636) - (xy 96.122822 125.592259) - (xy 96.122822 125.592263) - (xy 96.125917 125.607823) - (xy 96.137499 125.666054) - (xy 96.196158 125.753842) - (xy 96.283946 125.812501) - (xy 96.291208 125.813945) - (xy 96.291209 125.813946) - (xy 96.340896 125.823829) - (xy 96.361363 125.8279) - (xy 96.499962 125.8279) - (xy 96.638636 125.827899) - (xy 96.642259 125.827178) - (xy 96.642263 125.827178) - (xy 96.676614 125.820345) - (xy 96.716054 125.812501) - (xy 96.783222 125.76762) - (xy 96.833185 125.755394) - (xy 96.866778 125.767621) - (xy 96.888679 125.782255) - (xy 96.919096 125.823738) - (xy 96.9221 125.844781) - (xy 96.9221 125.892064) - (xy 96.921997 125.896) - (xy 96.919877 125.936448) - (xy 96.92869 125.959408) - (xy 96.93204 125.970715) - (xy 96.937152 125.994766) - (xy 96.941798 126.00116) - (xy 96.941798 126.001161) - (xy 96.942171 126.001674) - (xy 96.951535 126.01892) - (xy 96.954597 126.026896) - (xy 96.971981 126.04428) - (xy 96.979645 126.053252) - (xy 96.98728 126.06376) - (xy 96.994099 126.073146) - (xy 97.000944 126.077098) - (xy 97.001493 126.077415) - (xy 97.017067 126.089366) - (xy 98.810074 127.882374) - (xy 98.831814 127.928994) - (xy 98.8321 127.935548) - (xy 98.8321 131.3839) - (xy 98.814507 131.432238) - (xy 98.769958 131.457958) - (xy 98.7569 131.4591) - (xy 98.637516 131.4591) - (xy 98.55045 131.469636) - (xy 98.481841 131.4968) - (xy 98.41921 131.521597) - (xy 98.419209 131.521598) - (xy 98.414442 131.523485) - (xy 98.410361 131.526583) - (xy 98.410357 131.526585) - (xy 98.370684 131.556699) - (xy 98.325218 131.572) - (xy 97.254782 131.572) - (xy 97.209316 131.556699) - (xy 97.169643 131.526585) - (xy 97.169639 131.526583) - (xy 97.165558 131.523485) - (xy 97.160791 131.521598) - (xy 97.16079 131.521597) - (xy 97.098159 131.4968) - (xy 97.02955 131.469636) - (xy 96.942484 131.4591) - (xy 96.8231 131.4591) - (xy 96.774762 131.441507) - (xy 96.749042 131.396958) - (xy 96.7479 131.3839) - (xy 96.7479 130.177937) - (xy 96.748003 130.174001) - (xy 96.749029 130.15443) - (xy 96.750123 130.133553) - (xy 96.747233 130.126024) - (xy 96.741311 130.110594) - (xy 96.737961 130.099283) - (xy 96.734493 130.08297) - (xy 96.734492 130.082967) - (xy 96.732848 130.075234) - (xy 96.727833 130.068331) - (xy 96.718466 130.051079) - (xy 96.718238 130.050484) - (xy 96.718236 130.050481) - (xy 96.715404 130.043104) - (xy 96.698017 130.025717) - (xy 96.690353 130.016745) - (xy 96.680546 130.003247) - (xy 96.675901 129.996854) - (xy 96.669059 129.992903) - (xy 96.669057 129.992902) - (xy 96.668508 129.992585) - (xy 96.652934 129.980634) - (xy 92.749926 126.077626) - (xy 92.728186 126.031006) - (xy 92.7279 126.024452) - (xy 92.7279 125.844781) - (xy 92.745493 125.796443) - (xy 92.761321 125.782255) - (xy 92.783671 125.767321) - (xy 92.833636 125.755094) - (xy 92.867229 125.767321) - (xy 92.927983 125.807916) - (xy 92.941404 125.813476) - (xy 92.986995 125.822544) - (xy 92.997679 125.8209) - (xy 92.999972 125.818285) - (xy 93 125.818136) - (xy 93 125.811872) - (xy 93.3 125.811872) - (xy 93.303697 125.822029) - (xy 93.306709 125.823768) - (xy 93.306861 125.823766) - (xy 93.358596 125.813476) - (xy 93.372017 125.807916) - (xy 93.447325 125.757596) - (xy 93.457596 125.747325) - (xy 93.507914 125.67202) - (xy 93.513477 125.658591) - (xy 93.526679 125.592219) - (xy 93.5274 125.584895) - (xy 93.5274 125.113259) - (xy 93.523703 125.103102) - (xy 93.518331 125.1) - (xy 93.313259 125.1) - (xy 93.303102 125.103697) - (xy 93.3 125.109069) - (xy 93.3 125.811872) - (xy 93 125.811872) - (xy 93 124.786741) - (xy 93.3 124.786741) - (xy 93.303697 124.796898) - (xy 93.309069 124.8) - (xy 93.514141 124.8) - (xy 93.524298 124.796303) - (xy 93.5274 124.790931) - (xy 93.5274 124.315105) - (xy 93.526679 124.307781) - (xy 93.513477 124.241409) - (xy 93.507914 124.22798) - (xy 93.457596 124.152675) - (xy 93.447325 124.142404) - (xy 93.372017 124.092084) - (xy 93.358596 124.086524) - (xy 93.313005 124.077456) - (xy 93.302321 124.0791) - (xy 93.300028 124.081715) - (xy 93.3 124.081864) - (xy 93.3 124.786741) - (xy 93 124.786741) - (xy 93 124.088128) - (xy 92.996303 124.077971) - (xy 92.993291 124.076232) - (xy 92.993139 124.076234) - (xy 92.941404 124.086524) - (xy 92.927985 124.092083) - (xy 92.867229 124.13268) - (xy 92.817263 124.144907) - (xy 92.783674 124.132682) - (xy 92.716054 124.087499) - (xy 92.708792 124.086055) - (xy 92.708791 124.086054) - (xy 92.642262 124.072821) - (xy 92.638637 124.0721) - (xy 92.500038 124.0721) - (xy 92.361364 124.072101) - (xy 92.357741 124.072822) - (xy 92.357737 124.072822) - (xy 92.326174 124.0791) - (xy 92.283946 124.087499) - (xy 92.246353 124.112618) - (xy 92.216779 124.132379) - (xy 92.166814 124.144606) - (xy 92.133221 124.132379) - (xy 92.072213 124.091614) - (xy 92.072212 124.091613) - (xy 92.066054 124.087499) - (xy 92.058792 124.086055) - (xy 92.058791 124.086054) - (xy 91.992262 124.072821) - (xy 91.988637 124.0721) - (xy 91.850038 124.0721) - (xy 91.711364 124.072101) - (xy 91.707741 124.072822) - (xy 91.707737 124.072822) - (xy 91.676174 124.0791) - (xy 91.633946 124.087499) - (xy 91.596353 124.112618) - (xy 91.566779 124.132379) - (xy 91.516814 124.144606) - (xy 91.483221 124.132379) - (xy 91.422213 124.091614) - (xy 91.422212 124.091613) - (xy 91.416054 124.087499) - (xy 91.408792 124.086055) - (xy 91.408791 124.086054) - (xy 91.342262 124.072821) - (xy 91.338637 124.0721) - (xy 91.200038 124.0721) - (xy 91.061364 124.072101) - (xy 91.057741 124.072822) - (xy 91.057737 124.072822) - (xy 91.026174 124.0791) - (xy 90.983946 124.087499) - (xy 90.946353 124.112618) - (xy 90.916779 124.132379) - (xy 90.866814 124.144606) - (xy 90.833221 124.132379) - (xy 90.772213 124.091614) - (xy 90.772212 124.091613) - (xy 90.766054 124.087499) - (xy 90.758792 124.086055) - (xy 90.758791 124.086054) - (xy 90.692262 124.072821) - (xy 90.688637 124.0721) - (xy 90.550038 124.0721) - (xy 90.411364 124.072101) - (xy 90.407741 124.072822) - (xy 90.407737 124.072822) - (xy 90.376174 124.0791) - (xy 90.333946 124.087499) - (xy 90.296353 124.112618) - (xy 90.266779 124.132379) - (xy 90.216814 124.144606) - (xy 90.183221 124.132379) - (xy 90.122213 124.091614) - (xy 90.122212 124.091613) - (xy 90.116054 124.087499) - (xy 90.108792 124.086055) - (xy 90.108791 124.086054) - (xy 90.042262 124.072821) - (xy 90.038637 124.0721) - (xy 89.900038 124.0721) - (xy 89.761364 124.072101) - (xy 89.757741 124.072822) - (xy 89.757737 124.072822) - (xy 89.726174 124.0791) - (xy 89.683946 124.087499) - (xy 89.646353 124.112618) - (xy 89.616779 124.132379) - (xy 89.566814 124.144606) - (xy 89.533221 124.132379) - (xy 89.472213 124.091614) - (xy 89.472212 124.091613) - (xy 89.466054 124.087499) - (xy 89.458792 124.086055) - (xy 89.458791 124.086054) - (xy 89.392262 124.072821) - (xy 89.388637 124.0721) - (xy 89.250038 124.0721) - (xy 89.111364 124.072101) - (xy 89.107741 124.072822) - (xy 89.107737 124.072822) - (xy 89.076174 124.0791) - (xy 89.033946 124.087499) - (xy 88.996353 124.112618) - (xy 88.966779 124.132379) - (xy 88.916814 124.144606) - (xy 88.883221 124.132379) - (xy 88.822213 124.091614) - (xy 88.822212 124.091613) - (xy 88.816054 124.087499) - (xy 88.808792 124.086055) - (xy 88.808791 124.086054) - (xy 88.742262 124.072821) - (xy 88.738637 124.0721) - (xy 88.600038 124.0721) - (xy 88.461364 124.072101) - (xy 88.457741 124.072822) - (xy 88.457737 124.072822) - (xy 88.426174 124.0791) - (xy 88.383946 124.087499) - (xy 88.346353 124.112618) - (xy 88.316779 124.132379) - (xy 88.266814 124.144606) - (xy 88.233221 124.132379) - (xy 88.172213 124.091614) - (xy 88.172212 124.091613) - (xy 88.166054 124.087499) - (xy 88.158792 124.086055) - (xy 88.158791 124.086054) - (xy 88.092262 124.072821) - (xy 88.088637 124.0721) - (xy 87.950038 124.0721) - (xy 87.811364 124.072101) - (xy 87.807741 124.072822) - (xy 87.807737 124.072822) - (xy 87.790594 124.076232) - (xy 87.767769 124.080772) - (xy 87.716929 124.072947) - (xy 87.683013 124.034272) - (xy 87.6779 124.007017) - (xy 87.6779 123.963847) - (xy 87.68429 123.937234) - (xy 87.683441 123.936958) - (xy 87.68527 123.931328) - (xy 87.687957 123.926055) - (xy 87.707922 123.8) - (xy 87.699022 123.743804) - (xy 87.690297 123.688721) - (xy 87.687957 123.673945) - (xy 87.630016 123.560229) - (xy 87.539771 123.469984) - (xy 87.505471 123.452507) - (xy 87.434765 123.416481) - (xy 87.426055 123.412043) - (xy 87.3 123.392078) - (xy 87.173945 123.412043) - (xy 87.165235 123.416481) - (xy 87.09453 123.452507) - (xy 87.060229 123.469984) - (xy 86.969984 123.560229) - (xy 86.912043 123.673945) - (xy 86.909703 123.688721) - (xy 86.900979 123.743804) - (xy 86.892078 123.8) - (xy 86.912043 123.926055) - (xy 86.91473 123.931328) - (xy 86.916559 123.936958) - (xy 86.91571 123.937234) - (xy 86.9221 123.963847) - (xy 86.9221 124.981392) - (xy 86.922101 124.981395) - (xy 86.922101 125.588636) - (xy 86.922822 125.592259) - (xy 86.922822 125.592263) - (xy 86.925917 125.607823) - (xy 86.937499 125.666054) - (xy 86.996158 125.753842) - (xy 87.083946 125.812501) - (xy 87.091208 125.813945) - (xy 87.091209 125.813946) - (xy 87.140896 125.823829) - (xy 87.161363 125.8279) - (xy 87.299962 125.8279) - (xy 87.438636 125.827899) - (xy 87.442259 125.827178) - (xy 87.442263 125.827178) - (xy 87.452943 125.825053) - (xy 87.459099 125.823829) - (xy 87.509939 125.831654) - (xy 87.543855 125.870329) - (xy 87.544977 125.921757) - (xy 87.526942 125.950758) - (xy 85.027626 128.450074) - (xy 84.981006 128.471814) - (xy 84.974452 128.4721) - (xy 81.238936 128.4721) - (xy 81.235 128.471997) - (xy 81.231508 128.471814) - (xy 81.194552 128.469877) - (xy 81.171592 128.47869) - (xy 81.160285 128.48204) - (xy 81.136234 128.487152) - (xy 81.12984 128.491798) - (xy 81.129839 128.491798) - (xy 81.129326 128.492171) - (xy 81.11208 128.501535) - (xy 81.111483 128.501764) - (xy 81.111482 128.501765) - (xy 81.104104 128.504597) - (xy 81.08672 128.521981) - (xy 81.077747 128.529645) - (xy 81.057854 128.544099) - (xy 81.053902 128.550944) - (xy 81.053585 128.551493) - (xy 81.041634 128.567067) - (xy 79.81078 129.79792) - (xy 78.584458 131.024242) - (xy 78.581603 131.026952) - (xy 78.551506 131.054051) - (xy 78.541501 131.076522) - (xy 78.535877 131.086879) - (xy 78.522484 131.107503) - (xy 78.521148 131.115939) - (xy 78.515574 131.134755) - (xy 78.5121 131.142558) - (xy 78.5121 131.167153) - (xy 78.511174 131.178916) - (xy 78.508627 131.195) - (xy 78.507329 131.203194) - (xy 78.509374 131.210826) - (xy 78.509374 131.210827) - (xy 78.509538 131.211438) - (xy 78.5121 131.230901) - (xy 78.5121 131.3839) - (xy 78.494507 131.432238) - (xy 78.449958 131.457958) - (xy 78.4369 131.4591) - (xy 78.317516 131.4591) - (xy 78.23045 131.469636) - (xy 78.161841 131.4968) - (xy 78.09921 131.521597) - (xy 78.099209 131.521598) - (xy 78.094442 131.523485) - (xy 78.090361 131.526583) - (xy 78.090357 131.526585) - (xy 78.050684 131.556699) - (xy 78.005218 131.572) - (xy 76.934782 131.572) - (xy 76.889316 131.556699) - (xy 76.849643 131.526585) - (xy 76.849639 131.526583) - (xy 76.845558 131.523485) - (xy 76.840791 131.521598) - (xy 76.84079 131.521597) - (xy 76.778159 131.4968) - (xy 76.70955 131.469636) - (xy 76.622484 131.4591) - (xy 76.5031 131.4591) - (xy 76.454762 131.441507) - (xy 76.429042 131.396958) - (xy 76.4279 131.3839) - (xy 76.4279 131.175547) - (xy 76.445493 131.127209) - (xy 76.449926 131.122373) - (xy 79.472373 128.099926) - (xy 79.518993 128.078186) - (xy 79.525547 128.0779) - (xy 84.723064 128.0779) - (xy 84.727 128.078003) - (xy 84.767448 128.080123) - (xy 84.790408 128.07131) - (xy 84.801715 128.06796) - (xy 84.825766 128.062848) - (xy 84.832675 128.057829) - (xy 84.84992 128.048465) - (xy 84.850517 128.048236) - (xy 84.850518 128.048235) - (xy 84.857896 128.045403) - (xy 84.87528 128.028019) - (xy 84.884252 128.020355) - (xy 84.897753 128.010546) - (xy 84.897753 128.010545) - (xy 84.904146 128.005901) - (xy 84.908415 127.998507) - (xy 84.920366 127.982933) - (xy 85.09899 127.804309) - (xy 85.14561 127.782569) - (xy 85.163927 127.783209) - (xy 85.194152 127.787996) - (xy 85.194153 127.787996) - (xy 85.2 127.788922) - (xy 85.326055 127.768957) - (xy 85.396201 127.733216) - (xy 85.434494 127.713705) - (xy 85.434495 127.713704) - (xy 85.439771 127.711016) - (xy 85.530016 127.620771) - (xy 85.548095 127.58529) - (xy 85.576266 127.53) - (xy 85.587957 127.507055) - (xy 85.607922 127.381) - (xy 85.587957 127.254945) - (xy 85.544446 127.169549) - (xy 85.532705 127.146506) - (xy 85.532704 127.146505) - (xy 85.530016 127.141229) - (xy 85.439771 127.050984) - (xy 85.422805 127.042339) - (xy 85.386656 127.023921) - (xy 85.326055 126.993043) - (xy 85.252089 126.981328) - (xy 85.205847 126.974004) - (xy 85.2 126.973078) - (xy 85.194153 126.974004) - (xy 85.147911 126.981328) - (xy 85.073945 126.993043) - (xy 85.013344 127.023921) - (xy 84.977196 127.042339) - (xy 84.960229 127.050984) - (xy 84.869984 127.141229) - (xy 84.867296 127.146505) - (xy 84.867295 127.146506) - (xy 84.855554 127.169549) - (xy 84.812043 127.254945) - (xy 84.792078 127.381) - (xy 84.793004 127.386847) - (xy 84.797791 127.417071) - (xy 84.787976 127.467566) - (xy 84.776692 127.482009) - (xy 84.717072 127.541628) - (xy 84.658625 127.600075) - (xy 84.612005 127.621814) - (xy 84.605451 127.6221) - (xy 79.407928 127.6221) - (xy 79.403991 127.621997) - (xy 79.363552 127.619877) - (xy 79.340592 127.62869) - (xy 79.329285 127.63204) - (xy 79.305234 127.637152) - (xy 79.29884 127.641798) - (xy 79.298839 127.641798) - (xy 79.298326 127.642171) - (xy 79.28108 127.651535) - (xy 79.280483 127.651764) - (xy 79.280482 127.651765) - (xy 79.273104 127.654597) - (xy 79.25572 127.671981) - (xy 79.246747 127.679645) - (xy 79.226854 127.694099) - (xy 79.222902 127.700944) - (xy 79.222585 127.701493) - (xy 79.210634 127.717067) - (xy 76.044458 130.883242) - (xy 76.041603 130.885952) - (xy 76.011506 130.913051) - (xy 76.001501 130.935522) - (xy 75.995877 130.945879) - (xy 75.990007 130.954919) - (xy 75.982484 130.966503) - (xy 75.981148 130.974939) - (xy 75.975574 130.993755) - (xy 75.9721 131.001558) - (xy 75.9721 131.026153) - (xy 75.971174 131.037916) - (xy 75.968619 131.054051) - (xy 75.967329 131.062194) - (xy 75.969374 131.069826) - (xy 75.969374 131.069827) - (xy 75.969538 131.070438) - (xy 75.9721 131.089901) - (xy 75.9721 131.3839) - (xy 75.954507 131.432238) - (xy 75.909958 131.457958) - (xy 75.8969 131.4591) - (xy 75.777516 131.4591) - (xy 75.69045 131.469636) - (xy 75.621841 131.4968) - (xy 75.55921 131.521597) - (xy 75.559209 131.521598) - (xy 75.554442 131.523485) - (xy 75.550361 131.526583) - (xy 75.550357 131.526585) - (xy 75.510684 131.556699) - (xy 75.465218 131.572) - (xy 48.140448 131.572) - (xy 48.1257 131.57054) - (xy 47.50787 131.446974) - (xy 47.488996 131.440498) - (xy 47.000911 131.196455) - (xy 46.98137 131.18237) - (xy 46.49063 130.69163) - (xy 46.476543 130.672087) - (xy 46.232502 130.184004) - (xy 46.226025 130.165127) - (xy 46.221289 130.141444) - (xy 46.10246 129.5473) - (xy 46.101 129.532552) - (xy 46.101 129.511726) - (xy 47.053262 129.511726) - (xy 47.053487 129.51516) - (xy 47.053487 129.515162) - (xy 47.055435 129.544884) - (xy 47.06619 129.708966) - (xy 47.114845 129.900547) - (xy 47.153954 129.985381) - (xy 47.186545 130.056075) - (xy 47.197599 130.080054) - (xy 47.311679 130.241474) - (xy 47.342783 130.271774) - (xy 47.448071 130.374341) - (xy 47.453266 130.379402) - (xy 47.617617 130.489217) - (xy 47.707504 130.527836) - (xy 47.796062 130.565884) - (xy 47.796064 130.565885) - (xy 47.799228 130.567244) - (xy 47.992018 130.610868) - (xy 48.105924 130.615343) - (xy 48.186084 130.618493) - (xy 48.186087 130.618493) - (xy 48.189528 130.618628) - (xy 48.192933 130.618134) - (xy 48.192938 130.618134) - (xy 48.332977 130.597829) - (xy 48.385146 130.590265) - (xy 48.452964 130.567244) - (xy 48.569057 130.527836) - (xy 48.56906 130.527834) - (xy 48.57232 130.526728) - (xy 48.723265 130.442194) - (xy 48.741775 130.431828) - (xy 48.741776 130.431827) - (xy 48.74478 130.430145) - (xy 48.896752 130.303752) - (xy 49.023145 130.15178) - (xy 49.028934 130.141444) - (xy 49.060369 130.085313) - (xy 49.119728 129.97932) - (xy 49.125126 129.96342) - (xy 49.182155 129.795416) - (xy 49.183265 129.792146) - (xy 49.211628 129.596528) - (xy 49.212033 129.581079) - (xy 49.21305 129.542217) - (xy 49.21305 129.542216) - (xy 49.213108 129.54) - (xy 49.21266 129.535116) - (xy 49.195336 129.346599) - (xy 49.195021 129.343166) - (xy 49.141368 129.152924) - (xy 49.134642 129.139284) - (xy 49.087281 129.043247) - (xy 49.053943 128.975645) - (xy 48.942966 128.827028) - (xy 48.937741 128.820031) - (xy 48.935677 128.817267) - (xy 48.849061 128.7372) - (xy 73.8226 128.7372) - (xy 73.823062 128.743072) - (xy 73.837062 128.831462) - (xy 73.840675 128.842582) - (xy 73.894966 128.949135) - (xy 73.901845 128.958602) - (xy 73.986398 129.043155) - (xy 73.995865 129.050034) - (xy 74.102418 129.104325) - (xy 74.113538 129.107938) - (xy 74.201928 129.121938) - (xy 74.2078 129.1224) - (xy 74.336741 129.1224) - (xy 74.346898 129.118703) - (xy 74.35 129.113331) - (xy 74.35 129.109141) - (xy 74.65 129.109141) - (xy 74.653697 129.119298) - (xy 74.659069 129.1224) - (xy 74.7922 129.1224) - (xy 74.798072 129.121938) - (xy 74.886462 129.107938) - (xy 74.897582 129.104325) - (xy 75.004135 129.050034) - (xy 75.013602 129.043155) - (xy 75.098155 128.958602) - (xy 75.105034 128.949135) - (xy 75.159325 128.842582) - (xy 75.162938 128.831462) - (xy 75.176938 128.743072) - (xy 75.177165 128.740192) - (xy 75.5221 128.740192) - (xy 75.522562 128.743108) - (xy 75.522562 128.74311) - (xy 75.523238 128.747377) - (xy 75.537507 128.837466) - (xy 75.540194 128.842739) - (xy 75.540194 128.84274) - (xy 75.592549 128.945493) - (xy 75.597245 128.95471) - (xy 75.625074 128.982539) - (xy 75.646814 129.029159) - (xy 75.6471 129.035713) - (xy 75.6471 129.498829) - (xy 75.646457 129.508644) - (xy 75.642329 129.54) - (xy 75.642972 129.544884) - (xy 75.646913 129.574822) - (xy 75.6471 129.576696) - (xy 75.6471 129.577946) - (xy 75.652533 129.617603) - (xy 75.652818 129.619687) - (xy 75.652871 129.620077) - (xy 75.659634 129.671444) - (xy 75.661331 129.684336) - (xy 75.661843 129.685572) - (xy 75.662461 129.690084) - (xy 75.676785 129.723184) - (xy 75.691452 129.757079) - (xy 75.691912 129.758166) - (xy 75.706921 129.794399) - (xy 75.717043 129.818835) - (xy 75.718973 129.82135) - (xy 75.720125 129.82364) - (xy 75.720584 129.824399) - (xy 75.722623 129.82911) - (xy 75.765938 129.882599) - (xy 75.767137 129.88412) - (xy 75.805667 129.934333) - (xy 75.809574 129.937331) - (xy 75.810386 129.938143) - (xy 75.814066 129.942229) - (xy 75.814731 129.942854) - (xy 75.817956 129.946836) - (xy 75.82213 129.949802) - (xy 75.822136 129.949808) - (xy 75.871079 129.98459) - (xy 75.873296 129.986227) - (xy 75.917256 130.019959) - (xy 75.91726 130.019961) - (xy 75.921164 130.022957) - (xy 75.925711 130.024841) - (xy 75.929987 130.027309) - (xy 75.929847 130.027551) - (xy 75.936217 130.031075) - (xy 75.937256 130.031618) - (xy 75.941435 130.034588) - (xy 75.959806 130.041202) - (xy 75.999439 130.055471) - (xy 76.002744 130.056749) - (xy 76.05111 130.076783) - (xy 76.051112 130.076784) - (xy 76.055664 130.078669) - (xy 76.060571 130.079315) - (xy 76.076236 130.083119) - (xy 76.083965 130.085902) - (xy 76.089078 130.086278) - (xy 76.08908 130.086278) - (xy 76.142047 130.090168) - (xy 76.146354 130.090609) - (xy 76.195114 130.097028) - (xy 76.195116 130.097028) - (xy 76.2 130.097671) - (xy 76.208196 130.096592) - (xy 76.223515 130.09615) - (xy 76.235043 130.096997) - (xy 76.240067 130.095984) - (xy 76.240068 130.095984) - (xy 76.288826 130.086153) - (xy 76.293873 130.085313) - (xy 76.339453 130.079312) - (xy 76.339454 130.079312) - (xy 76.344336 130.078669) - (xy 76.348886 130.076784) - (xy 76.348891 130.076783) - (xy 76.355035 130.074238) - (xy 76.368946 130.069998) - (xy 76.378517 130.068068) - (xy 76.378518 130.068068) - (xy 76.38354 130.067055) - (xy 76.388105 130.064729) - (xy 76.388108 130.064728) - (xy 76.429432 130.043673) - (xy 76.434792 130.041202) - (xy 76.474281 130.024844) - (xy 76.474282 130.024843) - (xy 76.478836 130.022957) - (xy 76.482741 130.01996) - (xy 76.482747 130.019957) - (xy 76.490648 130.013894) - (xy 76.502287 130.00655) - (xy 76.513945 130.00061) - (xy 76.518514 129.998282) - (xy 76.553964 129.965684) - (xy 76.55908 129.961384) - (xy 76.590426 129.937331) - (xy 76.594333 129.934333) - (xy 76.605409 129.919899) - (xy 76.614162 129.910329) - (xy 76.630022 129.895745) - (xy 76.653642 129.85765) - (xy 76.657894 129.851498) - (xy 76.679957 129.822745) - (xy 76.679958 129.822744) - (xy 76.682957 129.818835) - (xy 76.691183 129.798976) - (xy 76.696743 129.788135) - (xy 76.707147 129.771355) - (xy 76.709848 129.766999) - (xy 76.721422 129.72716) - (xy 76.72416 129.719362) - (xy 76.738669 129.684336) - (xy 76.741905 129.659758) - (xy 76.744248 129.648596) - (xy 76.751012 129.625313) - (xy 76.751013 129.62531) - (xy 76.752111 129.621529) - (xy 76.7529 129.610785) - (xy 76.7529 129.581171) - (xy 76.753543 129.571356) - (xy 76.757028 129.544884) - (xy 76.757671 129.54) - (xy 76.753543 129.508644) - (xy 76.7529 129.498829) - (xy 76.7529 129.035713) - (xy 76.770493 128.987375) - (xy 76.774926 128.982539) - (xy 76.802755 128.95471) - (xy 76.81627 128.928186) - (xy 76.848994 128.86396) - (xy 76.886615 128.828878) - (xy 76.915998 128.8229) - (xy 77.301829 128.8229) - (xy 77.311644 128.823543) - (xy 77.343 128.827671) - (xy 77.347884 128.827028) - (xy 77.377822 128.823087) - (xy 77.379696 128.8229) - (xy 77.380946 128.8229) - (xy 77.422774 128.81717) - (xy 77.42313 128.817122) - (xy 77.48449 128.809044) - (xy 77.484494 128.809043) - (xy 77.487336 128.808669) - (xy 77.488572 128.808157) - (xy 77.493084 128.807539) - (xy 77.560035 128.778567) - (xy 77.561122 128.778106) - (xy 77.617279 128.754845) - (xy 77.617281 128.754844) - (xy 77.621836 128.752957) - (xy 77.624348 128.751029) - (xy 77.626643 128.749875) - (xy 77.627409 128.749411) - (xy 77.63211 128.747377) - (xy 77.685615 128.70405) - (xy 77.687161 128.702832) - (xy 77.733426 128.667331) - (xy 77.737333 128.664333) - (xy 77.740331 128.660426) - (xy 77.741143 128.659614) - (xy 77.745229 128.655934) - (xy 77.745854 128.655269) - (xy 77.749836 128.652044) - (xy 77.752802 128.64787) - (xy 77.752808 128.647864) - (xy 77.787595 128.598914) - (xy 77.789231 128.596698) - (xy 77.81734 128.560065) - (xy 77.825957 128.548835) - (xy 77.827844 128.544279) - (xy 77.830307 128.540013) - (xy 77.830551 128.540154) - (xy 77.834069 128.533795) - (xy 77.834619 128.532742) - (xy 77.837588 128.528565) - (xy 77.858473 128.470556) - (xy 77.859751 128.467253) - (xy 77.879782 128.418893) - (xy 77.879783 128.418889) - (xy 77.881669 128.414336) - (xy 77.882315 128.409429) - (xy 77.88612 128.393761) - (xy 77.887165 128.390859) - (xy 77.888902 128.386035) - (xy 77.893168 128.327953) - (xy 77.893609 128.323646) - (xy 77.900028 128.274886) - (xy 77.900028 128.274884) - (xy 77.900671 128.27) - (xy 77.899592 128.261804) - (xy 77.89915 128.246485) - (xy 77.899997 128.234957) - (xy 77.889153 128.181174) - (xy 77.888313 128.176127) - (xy 77.882312 128.130547) - (xy 77.882312 128.130546) - (xy 77.881669 128.125664) - (xy 77.879784 128.121114) - (xy 77.879783 128.121109) - (xy 77.877238 128.114965) - (xy 77.872998 128.101054) - (xy 77.871068 128.091483) - (xy 77.871068 128.091482) - (xy 77.870055 128.08646) - (xy 77.852502 128.052011) - (xy 77.846671 128.040566) - (xy 77.8442 128.035204) - (xy 77.827845 127.99572) - (xy 77.827841 127.995712) - (xy 77.825957 127.991165) - (xy 77.816891 127.97935) - (xy 77.809555 127.967722) - (xy 77.801282 127.951486) - (xy 77.797815 127.947715) - (xy 77.797811 127.94771) - (xy 77.768683 127.916033) - (xy 77.764378 127.910912) - (xy 77.740331 127.879574) - (xy 77.737333 127.875667) - (xy 77.733427 127.87267) - (xy 77.733424 127.872667) - (xy 77.7229 127.864592) - (xy 77.713328 127.855837) - (xy 77.698745 127.839978) - (xy 77.694386 127.837275) - (xy 77.660653 127.816359) - (xy 77.654503 127.812109) - (xy 77.652641 127.81068) - (xy 77.621836 127.787043) - (xy 77.601978 127.778818) - (xy 77.591136 127.773258) - (xy 77.569999 127.760152) - (xy 77.530164 127.748579) - (xy 77.522364 127.74584) - (xy 77.491888 127.733216) - (xy 77.491884 127.733215) - (xy 77.487336 127.731331) - (xy 77.466268 127.728557) - (xy 77.462758 127.728095) - (xy 77.451596 127.725752) - (xy 77.428313 127.718988) - (xy 77.42831 127.718987) - (xy 77.424529 127.717889) - (xy 77.413785 127.7171) - (xy 77.384171 127.7171) - (xy 77.374356 127.716457) - (xy 77.347884 127.712972) - (xy 77.343 127.712329) - (xy 77.338116 127.712972) - (xy 77.311644 127.716457) - (xy 77.301829 127.7171) - (xy 76.915998 127.7171) - (xy 76.86766 127.699507) - (xy 76.848994 127.67604) - (xy 76.805444 127.590567) - (xy 76.805443 127.590566) - (xy 76.802755 127.58529) - (xy 76.70971 127.492245) - (xy 76.704434 127.489557) - (xy 76.704433 127.489556) - (xy 76.59774 127.435194) - (xy 76.597739 127.435194) - (xy 76.592466 127.432507) - (xy 76.555607 127.426669) - (xy 76.49811 127.417562) - (xy 76.498108 127.417562) - (xy 76.495192 127.4171) - (xy 75.904808 127.4171) - (xy 75.901892 127.417562) - (xy 75.90189 127.417562) - (xy 75.844393 127.426669) - (xy 75.807534 127.432507) - (xy 75.802261 127.435194) - (xy 75.80226 127.435194) - (xy 75.695567 127.489556) - (xy 75.695566 127.489557) - (xy 75.69029 127.492245) - (xy 75.597245 127.58529) - (xy 75.594557 127.590566) - (xy 75.594556 127.590567) - (xy 75.541805 127.694099) - (xy 75.537507 127.702534) - (xy 75.535452 127.715506) - (xy 75.525425 127.778818) - (xy 75.5221 127.799808) - (xy 75.5221 128.740192) - (xy 75.177165 128.740192) - (xy 75.1774 128.7372) - (xy 75.1774 128.433259) - (xy 75.173703 128.423102) - (xy 75.168331 128.42) - (xy 74.663259 128.42) - (xy 74.653102 128.423697) - (xy 74.65 128.429069) - (xy 74.65 129.109141) - (xy 74.35 129.109141) - (xy 74.35 128.433259) - (xy 74.346303 128.423102) - (xy 74.340931 128.42) - (xy 73.835859 128.42) - (xy 73.825702 128.423697) - (xy 73.8226 128.429069) - (xy 73.8226 128.7372) - (xy 48.849061 128.7372) - (xy 48.790528 128.683093) - (xy 48.760918 128.66441) - (xy 48.738181 128.650065) - (xy 48.623359 128.577617) - (xy 48.50041 128.528565) - (xy 48.442976 128.505651) - (xy 48.442973 128.50565) - (xy 48.439767 128.504371) - (xy 48.436386 128.503698) - (xy 48.436381 128.503697) - (xy 48.261331 128.468878) - (xy 48.245902 128.465809) - (xy 48.125114 128.464228) - (xy 48.051699 128.463267) - (xy 48.051698 128.463267) - (xy 48.048256 128.463222) - (xy 48.044865 128.463805) - (xy 48.044861 128.463805) - (xy 47.856839 128.496113) - (xy 47.856837 128.496113) - (xy 47.853447 128.496696) - (xy 47.668002 128.56511) - (xy 47.498128 128.666174) - (xy 47.495535 128.668448) - (xy 47.370495 128.778106) - (xy 47.349517 128.796503) - (xy 47.347383 128.79921) - (xy 47.347381 128.799212) - (xy 47.232063 128.945493) - (xy 47.227145 128.951731) - (xy 47.225542 128.954778) - (xy 47.22554 128.954781) - (xy 47.136713 129.123614) - (xy 47.13511 129.126661) - (xy 47.13409 129.129946) - (xy 47.096705 129.250347) - (xy 47.076495 129.315433) - (xy 47.053262 129.511726) - (xy 46.101 129.511726) - (xy 46.101 128.106741) - (xy 73.8226 128.106741) - (xy 73.826297 128.116898) - (xy 73.831669 128.12) - (xy 74.336741 128.12) - (xy 74.346898 128.116303) - (xy 74.35 128.110931) - (xy 74.35 128.106741) - (xy 74.65 128.106741) - (xy 74.653697 128.116898) - (xy 74.659069 128.12) - (xy 75.164141 128.12) - (xy 75.174298 128.116303) - (xy 75.1774 128.110931) - (xy 75.1774 127.8028) - (xy 75.176938 127.796928) - (xy 75.162938 127.708538) - (xy 75.159325 127.697418) - (xy 75.105034 127.590865) - (xy 75.098155 127.581398) - (xy 75.013602 127.496845) - (xy 75.004135 127.489966) - (xy 74.897582 127.435675) - (xy 74.886462 127.432062) - (xy 74.798072 127.418062) - (xy 74.7922 127.4176) - (xy 74.663259 127.4176) - (xy 74.653102 127.421297) - (xy 74.65 127.426669) - (xy 74.65 128.106741) - (xy 74.35 128.106741) - (xy 74.35 127.430859) - (xy 74.346303 127.420702) - (xy 74.340931 127.4176) - (xy 74.2078 127.4176) - (xy 74.201928 127.418062) - (xy 74.113538 127.432062) - (xy 74.102418 127.435675) - (xy 73.995865 127.489966) - (xy 73.986398 127.496845) - (xy 73.901845 127.581398) - (xy 73.894966 127.590865) - (xy 73.840675 127.697418) - (xy 73.837062 127.708538) - (xy 73.823062 127.796928) - (xy 73.8226 127.8028) - (xy 73.8226 128.106741) - (xy 46.101 128.106741) - (xy 46.101 126.953591) - (xy 47.302922 126.953591) - (xy 47.303135 126.957658) - (xy 47.303135 126.957661) - (xy 47.305354 127) - (xy 47.312321 127.132921) - (xy 47.313403 127.136848) - (xy 47.313403 127.13685) - (xy 47.346752 127.257922) - (xy 47.360008 127.306049) - (xy 47.361908 127.309653) - (xy 47.361909 127.309655) - (xy 47.438497 127.454916) - (xy 47.44376 127.464898) - (xy 47.446386 127.468005) - (xy 47.446389 127.46801) - (xy 47.525853 127.562043) - (xy 47.559668 127.602058) - (xy 47.5629 127.604529) - (xy 47.562901 127.60453) - (xy 47.680053 127.694099) - (xy 47.702326 127.711128) - (xy 47.865077 127.78702) - (xy 48.040328 127.826193) - (xy 48.045819 127.8265) - (xy 48.177866 127.8265) - (xy 48.179889 127.82628) - (xy 48.179893 127.82628) - (xy 48.249924 127.818672) - (xy 48.311525 127.81198) - (xy 48.481722 127.754703) - (xy 48.485208 127.752608) - (xy 48.485211 127.752607) - (xy 48.632158 127.664311) - (xy 48.635648 127.662214) - (xy 48.766123 127.53883) - (xy 48.86706 127.390306) - (xy 48.933748 127.223573) - (xy 48.963078 127.046409) - (xy 48.962483 127.035043) - (xy 48.954564 126.883965) - (xy 48.953679 126.867079) - (xy 48.93999 126.817379) - (xy 48.926544 126.768565) - (xy 48.905992 126.693951) - (xy 48.902408 126.687152) - (xy 48.824142 126.538709) - (xy 48.824141 126.538707) - (xy 48.82224 126.535102) - (xy 48.819614 126.531995) - (xy 48.819611 126.53199) - (xy 48.733864 126.430522) - (xy 48.706332 126.397942) - (xy 48.685773 126.382223) - (xy 48.566906 126.291343) - (xy 48.563674 126.288872) - (xy 48.400923 126.21298) - (xy 48.225672 126.173807) - (xy 48.220181 126.1735) - (xy 48.088134 126.1735) - (xy 48.086111 126.17372) - (xy 48.086107 126.17372) - (xy 48.019895 126.180913) - (xy 47.954475 126.18802) - (xy 47.784278 126.245297) - (xy 47.780792 126.247392) - (xy 47.780789 126.247393) - (xy 47.678286 126.308984) - (xy 47.630352 126.337786) - (xy 47.627393 126.340584) - (xy 47.627392 126.340585) - (xy 47.598772 126.36765) - (xy 47.499877 126.46117) - (xy 47.497589 126.464537) - (xy 47.497588 126.464538) - (xy 47.481043 126.488883) - (xy 47.39894 126.609694) - (xy 47.397428 126.613473) - (xy 47.397428 126.613474) - (xy 47.38456 126.645647) - (xy 47.332252 126.776427) - (xy 47.302922 126.953591) - (xy 46.101 126.953591) - (xy 46.101 108.724194) - (xy 56.663329 108.724194) - (xy 56.665374 108.731826) - (xy 56.665374 108.731827) - (xy 56.665538 108.732438) - (xy 56.6681 108.751901) - (xy 56.6681 124.088064) - (xy 56.667997 124.092) - (xy 56.665877 124.132448) - (xy 56.67469 124.155408) - (xy 56.67804 124.166715) - (xy 56.683152 124.190766) - (xy 56.687798 124.19716) - (xy 56.687798 124.197161) - (xy 56.688171 124.197674) - (xy 56.697535 124.21492) - (xy 56.700597 124.222896) - (xy 56.717981 124.24028) - (xy 56.725645 124.249252) - (xy 56.740099 124.269146) - (xy 56.746944 124.273098) - (xy 56.747493 124.273415) - (xy 56.763067 124.285366) - (xy 57.855233 125.377532) - (xy 57.857943 125.380387) - (xy 57.885051 125.410494) - (xy 57.892268 125.413707) - (xy 57.892269 125.413708) - (xy 57.907515 125.420496) - (xy 57.917884 125.426126) - (xy 57.938503 125.439516) - (xy 57.946939 125.440852) - (xy 57.965755 125.446426) - (xy 57.973558 125.4499) - (xy 57.998153 125.4499) - (xy 58.009916 125.450826) - (xy 58.026387 125.453435) - (xy 58.026389 125.453435) - (xy 58.034194 125.454671) - (xy 58.041826 125.452626) - (xy 58.041827 125.452626) - (xy 58.042438 125.452462) - (xy 58.061901 125.4499) - (xy 66.286064 125.4499) - (xy 66.29 125.450003) - (xy 66.330448 125.452123) - (xy 66.353408 125.44331) - (xy 66.364715 125.43996) - (xy 66.388766 125.434848) - (xy 66.395675 125.429829) - (xy 66.41292 125.420465) - (xy 66.413517 125.420236) - (xy 66.413518 125.420235) - (xy 66.420896 125.417403) - (xy 66.43828 125.400019) - (xy 66.447252 125.392355) - (xy 66.460753 125.382546) - (xy 66.460753 125.382545) - (xy 66.467146 125.377901) - (xy 66.471415 125.370507) - (xy 66.483366 125.354933) - (xy 67.719532 124.118767) - (xy 67.722388 124.116056) - (xy 67.746622 124.094236) - (xy 67.752494 124.088949) - (xy 67.759675 124.072822) - (xy 67.762496 124.066485) - (xy 67.768126 124.056116) - (xy 67.77721 124.042127) - (xy 67.781516 124.035497) - (xy 67.782852 124.027061) - (xy 67.788426 124.008245) - (xy 67.7919 124.000442) - (xy 67.7919 123.975847) - (xy 67.792826 123.964084) - (xy 67.795435 123.947613) - (xy 67.795435 123.947611) - (xy 67.796671 123.939806) - (xy 67.794462 123.931561) - (xy 67.7919 123.912099) - (xy 67.7919 123.8) - (xy 77.692078 123.8) - (xy 77.712043 123.926055) - (xy 77.731297 123.963843) - (xy 77.763681 124.0274) - (xy 77.769984 124.039771) - (xy 77.784648 124.054435) - (xy 77.806388 124.101055) - (xy 77.794 124.149387) - (xy 77.737499 124.233946) - (xy 77.736055 124.241208) - (xy 77.736054 124.241209) - (xy 77.723133 124.30617) - (xy 77.7221 124.311363) - (xy 77.722101 125.588636) - (xy 77.722822 125.592259) - (xy 77.722822 125.592263) - (xy 77.725917 125.607823) - (xy 77.737499 125.666054) - (xy 77.796158 125.753842) - (xy 77.883946 125.812501) - (xy 77.891208 125.813945) - (xy 77.891209 125.813946) - (xy 77.940896 125.823829) - (xy 77.961363 125.8279) - (xy 78.099962 125.8279) - (xy 78.238636 125.827899) - (xy 78.242259 125.827178) - (xy 78.242263 125.827178) - (xy 78.287722 125.818136) - (xy 78.306707 125.81436) - (xy 78.357547 125.822186) - (xy 78.391463 125.860861) - (xy 78.392585 125.912288) - (xy 78.38838 125.922255) - (xy 78.369454 125.9594) - (xy 78.362043 125.973945) - (xy 78.342078 126.1) - (xy 78.362043 126.226055) - (xy 78.376685 126.254791) - (xy 78.412094 126.324285) - (xy 78.419984 126.339771) - (xy 78.510229 126.430016) - (xy 78.515505 126.432704) - (xy 78.515506 126.432705) - (xy 78.536438 126.44337) - (xy 78.623945 126.487957) - (xy 78.670819 126.495381) - (xy 78.714056 126.502229) - (xy 78.75 126.507922) - (xy 78.785945 126.502229) - (xy 78.829181 126.495381) - (xy 78.876055 126.487957) - (xy 78.963562 126.44337) - (xy 78.984494 126.432705) - (xy 78.984495 126.432704) - (xy 78.989771 126.430016) - (xy 79.043726 126.376061) - (xy 79.090346 126.354321) - (xy 79.140033 126.367635) - (xy 79.169538 126.409772) - (xy 79.1721 126.429235) - (xy 79.1721 126.476965) - (xy 79.154507 126.525303) - (xy 79.150074 126.530139) - (xy 79.069984 126.610229) - (xy 79.067296 126.615505) - (xy 79.067295 126.615506) - (xy 79.046671 126.655984) - (xy 79.012043 126.723945) - (xy 78.992078 126.85) - (xy 79.012043 126.976055) - (xy 79.069984 127.089771) - (xy 79.160229 127.180016) - (xy 79.273945 127.237957) - (xy 79.279792 127.238883) - (xy 79.381204 127.254945) - (xy 79.4 127.257922) - (xy 79.418797 127.254945) - (xy 79.520208 127.238883) - (xy 79.526055 127.237957) - (xy 79.639771 127.180016) - (xy 79.730016 127.089771) - (xy 79.787957 126.976055) - (xy 79.807922 126.85) - (xy 79.787957 126.723945) - (xy 79.753329 126.655984) - (xy 79.732705 126.615506) - (xy 79.732704 126.615505) - (xy 79.730016 126.610229) - (xy 79.649926 126.530139) - (xy 79.628186 126.483519) - (xy 79.6279 126.476965) - (xy 79.6279 126.429235) - (xy 79.645493 126.380897) - (xy 79.690042 126.355177) - (xy 79.7407 126.36411) - (xy 79.756274 126.376061) - (xy 79.810229 126.430016) - (xy 79.815505 126.432704) - (xy 79.815506 126.432705) - (xy 79.836438 126.44337) - (xy 79.923945 126.487957) - (xy 79.970819 126.495381) - (xy 80.014056 126.502229) - (xy 80.05 126.507922) - (xy 80.085945 126.502229) - (xy 80.129181 126.495381) - (xy 80.176055 126.487957) - (xy 80.263562 126.44337) - (xy 80.284494 126.432705) - (xy 80.284495 126.432704) - (xy 80.289771 126.430016) - (xy 80.343726 126.376061) - (xy 80.390346 126.354321) - (xy 80.440033 126.367635) - (xy 80.469538 126.409772) - (xy 80.4721 126.429235) - (xy 80.4721 126.476965) - (xy 80.454507 126.525303) - (xy 80.450074 126.530139) - (xy 80.369984 126.610229) - (xy 80.367296 126.615505) - (xy 80.367295 126.615506) - (xy 80.346671 126.655984) - (xy 80.312043 126.723945) - (xy 80.292078 126.85) - (xy 80.312043 126.976055) - (xy 80.369984 127.089771) - (xy 80.460229 127.180016) - (xy 80.573945 127.237957) - (xy 80.579792 127.238883) - (xy 80.681204 127.254945) - (xy 80.7 127.257922) - (xy 80.718797 127.254945) - (xy 80.820208 127.238883) - (xy 80.826055 127.237957) - (xy 80.939771 127.180016) - (xy 81.030016 127.089771) - (xy 81.087957 126.976055) - (xy 81.107922 126.85) - (xy 81.087957 126.723945) - (xy 81.053329 126.655984) - (xy 81.032705 126.615506) - (xy 81.032704 126.615505) - (xy 81.030016 126.610229) - (xy 80.949926 126.530139) - (xy 80.928186 126.483519) - (xy 80.9279 126.476965) - (xy 80.9279 126.429235) - (xy 80.945493 126.380897) - (xy 80.990042 126.355177) - (xy 81.0407 126.36411) - (xy 81.056274 126.376061) - (xy 81.110229 126.430016) - (xy 81.115505 126.432704) - (xy 81.115506 126.432705) - (xy 81.136438 126.44337) - (xy 81.223945 126.487957) - (xy 81.270819 126.495381) - (xy 81.314056 126.502229) - (xy 81.35 126.507922) - (xy 81.385945 126.502229) - (xy 81.429181 126.495381) - (xy 81.476055 126.487957) - (xy 81.563562 126.44337) - (xy 81.584494 126.432705) - (xy 81.584495 126.432704) - (xy 81.589771 126.430016) - (xy 81.643726 126.376061) - (xy 81.690346 126.354321) - (xy 81.740033 126.367635) - (xy 81.769538 126.409772) - (xy 81.7721 126.429235) - (xy 81.7721 126.476965) - (xy 81.754507 126.525303) - (xy 81.750074 126.530139) - (xy 81.669984 126.610229) - (xy 81.667296 126.615505) - (xy 81.667295 126.615506) - (xy 81.646671 126.655984) - (xy 81.612043 126.723945) - (xy 81.592078 126.85) - (xy 81.612043 126.976055) - (xy 81.669984 127.089771) - (xy 81.760229 127.180016) - (xy 81.873945 127.237957) - (xy 81.879792 127.238883) - (xy 81.981204 127.254945) - (xy 82 127.257922) - (xy 82.018797 127.254945) - (xy 82.120208 127.238883) - (xy 82.126055 127.237957) - (xy 82.239771 127.180016) - (xy 82.330016 127.089771) - (xy 82.387957 126.976055) - (xy 82.407922 126.85) - (xy 82.387957 126.723945) - (xy 82.353329 126.655984) - (xy 82.332705 126.615506) - (xy 82.332704 126.615505) - (xy 82.330016 126.610229) - (xy 82.249926 126.530139) - (xy 82.228186 126.483519) - (xy 82.2279 126.476965) - (xy 82.2279 126.429235) - (xy 82.245493 126.380897) - (xy 82.290042 126.355177) - (xy 82.3407 126.36411) - (xy 82.356274 126.376061) - (xy 82.410229 126.430016) - (xy 82.415505 126.432704) - (xy 82.415506 126.432705) - (xy 82.436438 126.44337) - (xy 82.523945 126.487957) - (xy 82.570819 126.495381) - (xy 82.614056 126.502229) - (xy 82.65 126.507922) - (xy 82.685945 126.502229) - (xy 82.729181 126.495381) - (xy 82.776055 126.487957) - (xy 82.863562 126.44337) - (xy 82.884494 126.432705) - (xy 82.884495 126.432704) - (xy 82.889771 126.430016) - (xy 82.943726 126.376061) - (xy 82.990346 126.354321) - (xy 83.040033 126.367635) - (xy 83.069538 126.409772) - (xy 83.0721 126.429235) - (xy 83.0721 126.476965) - (xy 83.054507 126.525303) - (xy 83.050074 126.530139) - (xy 82.969984 126.610229) - (xy 82.967296 126.615505) - (xy 82.967295 126.615506) - (xy 82.946671 126.655984) - (xy 82.912043 126.723945) - (xy 82.892078 126.85) - (xy 82.912043 126.976055) - (xy 82.969984 127.089771) - (xy 83.060229 127.180016) - (xy 83.173945 127.237957) - (xy 83.179792 127.238883) - (xy 83.281204 127.254945) - (xy 83.3 127.257922) - (xy 83.318797 127.254945) - (xy 83.420208 127.238883) - (xy 83.426055 127.237957) - (xy 83.539771 127.180016) - (xy 83.630016 127.089771) - (xy 83.687957 126.976055) - (xy 83.707922 126.85) - (xy 83.687957 126.723945) - (xy 83.653329 126.655984) - (xy 83.632705 126.615506) - (xy 83.632704 126.615505) - (xy 83.630016 126.610229) - (xy 83.549926 126.530139) - (xy 83.528186 126.483519) - (xy 83.5279 126.476965) - (xy 83.5279 125.844781) - (xy 83.545493 125.796443) - (xy 83.561321 125.782255) - (xy 83.583671 125.767321) - (xy 83.633636 125.755094) - (xy 83.667229 125.767321) - (xy 83.727983 125.807916) - (xy 83.741404 125.813476) - (xy 83.786995 125.822544) - (xy 83.797679 125.8209) - (xy 83.799972 125.818285) - (xy 83.8 125.818136) - (xy 83.8 125.811872) - (xy 84.1 125.811872) - (xy 84.103697 125.822029) - (xy 84.106709 125.823768) - (xy 84.106861 125.823766) - (xy 84.158596 125.813476) - (xy 84.172017 125.807916) - (xy 84.247325 125.757596) - (xy 84.257596 125.747325) - (xy 84.307914 125.67202) - (xy 84.313477 125.658591) - (xy 84.326679 125.592219) - (xy 84.3274 125.584895) - (xy 84.3274 125.113259) - (xy 84.323703 125.103102) - (xy 84.318331 125.1) - (xy 84.113259 125.1) - (xy 84.103102 125.103697) - (xy 84.1 125.109069) - (xy 84.1 125.811872) - (xy 83.8 125.811872) - (xy 83.8 124.786741) - (xy 84.1 124.786741) - (xy 84.103697 124.796898) - (xy 84.109069 124.8) - (xy 84.314141 124.8) - (xy 84.324298 124.796303) - (xy 84.3274 124.790931) - (xy 84.3274 124.315105) - (xy 84.326679 124.307781) - (xy 84.313477 124.241409) - (xy 84.307914 124.22798) - (xy 84.257596 124.152675) - (xy 84.247325 124.142404) - (xy 84.172017 124.092084) - (xy 84.158596 124.086524) - (xy 84.113005 124.077456) - (xy 84.102321 124.0791) - (xy 84.100028 124.081715) - (xy 84.1 124.081864) - (xy 84.1 124.786741) - (xy 83.8 124.786741) - (xy 83.8 124.088128) - (xy 83.796303 124.077971) - (xy 83.793291 124.076232) - (xy 83.793139 124.076234) - (xy 83.741404 124.086524) - (xy 83.727985 124.092083) - (xy 83.667229 124.13268) - (xy 83.617263 124.144907) - (xy 83.583674 124.132682) - (xy 83.516054 124.087499) - (xy 83.508792 124.086055) - (xy 83.508791 124.086054) - (xy 83.442262 124.072821) - (xy 83.438637 124.0721) - (xy 83.300038 124.0721) - (xy 83.161364 124.072101) - (xy 83.157741 124.072822) - (xy 83.157737 124.072822) - (xy 83.126174 124.0791) - (xy 83.083946 124.087499) - (xy 83.046353 124.112618) - (xy 83.016779 124.132379) - (xy 82.966814 124.144606) - (xy 82.933221 124.132379) - (xy 82.872213 124.091614) - (xy 82.872212 124.091613) - (xy 82.866054 124.087499) - (xy 82.858792 124.086055) - (xy 82.858791 124.086054) - (xy 82.792262 124.072821) - (xy 82.788637 124.0721) - (xy 82.650038 124.0721) - (xy 82.511364 124.072101) - (xy 82.507741 124.072822) - (xy 82.507737 124.072822) - (xy 82.476174 124.0791) - (xy 82.433946 124.087499) - (xy 82.396353 124.112618) - (xy 82.366779 124.132379) - (xy 82.316814 124.144606) - (xy 82.283221 124.132379) - (xy 82.222213 124.091614) - (xy 82.222212 124.091613) - (xy 82.216054 124.087499) - (xy 82.208792 124.086055) - (xy 82.208791 124.086054) - (xy 82.142262 124.072821) - (xy 82.138637 124.0721) - (xy 82.000038 124.0721) - (xy 81.861364 124.072101) - (xy 81.857741 124.072822) - (xy 81.857737 124.072822) - (xy 81.826174 124.0791) - (xy 81.783946 124.087499) - (xy 81.746353 124.112618) - (xy 81.716779 124.132379) - (xy 81.666814 124.144606) - (xy 81.633221 124.132379) - (xy 81.572213 124.091614) - (xy 81.572212 124.091613) - (xy 81.566054 124.087499) - (xy 81.558792 124.086055) - (xy 81.558791 124.086054) - (xy 81.492262 124.072821) - (xy 81.488637 124.0721) - (xy 81.350038 124.0721) - (xy 81.211364 124.072101) - (xy 81.207741 124.072822) - (xy 81.207737 124.072822) - (xy 81.176174 124.0791) - (xy 81.133946 124.087499) - (xy 81.096353 124.112618) - (xy 81.066779 124.132379) - (xy 81.016814 124.144606) - (xy 80.983221 124.132379) - (xy 80.922213 124.091614) - (xy 80.922212 124.091613) - (xy 80.916054 124.087499) - (xy 80.908792 124.086055) - (xy 80.908791 124.086054) - (xy 80.842262 124.072821) - (xy 80.838637 124.0721) - (xy 80.700038 124.0721) - (xy 80.561364 124.072101) - (xy 80.557741 124.072822) - (xy 80.557737 124.072822) - (xy 80.526174 124.0791) - (xy 80.483946 124.087499) - (xy 80.446353 124.112618) - (xy 80.416779 124.132379) - (xy 80.366814 124.144606) - (xy 80.333221 124.132379) - (xy 80.272213 124.091614) - (xy 80.272212 124.091613) - (xy 80.266054 124.087499) - (xy 80.258792 124.086055) - (xy 80.258791 124.086054) - (xy 80.192262 124.072821) - (xy 80.188637 124.0721) - (xy 80.050038 124.0721) - (xy 79.911364 124.072101) - (xy 79.907741 124.072822) - (xy 79.907737 124.072822) - (xy 79.876174 124.0791) - (xy 79.833946 124.087499) - (xy 79.796353 124.112618) - (xy 79.766779 124.132379) - (xy 79.716814 124.144606) - (xy 79.683221 124.132379) - (xy 79.622213 124.091614) - (xy 79.622212 124.091613) - (xy 79.616054 124.087499) - (xy 79.608792 124.086055) - (xy 79.608791 124.086054) - (xy 79.542262 124.072821) - (xy 79.538637 124.0721) - (xy 79.400038 124.0721) - (xy 79.261364 124.072101) - (xy 79.257741 124.072822) - (xy 79.257737 124.072822) - (xy 79.226174 124.0791) - (xy 79.183946 124.087499) - (xy 79.146353 124.112618) - (xy 79.116779 124.132379) - (xy 79.066814 124.144606) - (xy 79.033221 124.132379) - (xy 78.972213 124.091614) - (xy 78.972212 124.091613) - (xy 78.966054 124.087499) - (xy 78.958792 124.086055) - (xy 78.958791 124.086054) - (xy 78.892262 124.072821) - (xy 78.888637 124.0721) - (xy 78.750038 124.0721) - (xy 78.611364 124.072101) - (xy 78.607741 124.072822) - (xy 78.607737 124.072822) - (xy 78.576174 124.0791) - (xy 78.543293 124.08564) - (xy 78.492453 124.077814) - (xy 78.458537 124.039139) - (xy 78.457415 123.987712) - (xy 78.46162 123.977745) - (xy 78.48527 123.931329) - (xy 78.48527 123.931328) - (xy 78.487957 123.926055) - (xy 78.507922 123.8) - (xy 78.499022 123.743804) - (xy 78.490297 123.688721) - (xy 78.487957 123.673945) - (xy 78.430016 123.560229) - (xy 78.339771 123.469984) - (xy 78.305471 123.452507) - (xy 78.234765 123.416481) - (xy 78.226055 123.412043) - (xy 78.1 123.392078) - (xy 77.973945 123.412043) - (xy 77.965235 123.416481) - (xy 77.89453 123.452507) - (xy 77.860229 123.469984) - (xy 77.769984 123.560229) - (xy 77.712043 123.673945) - (xy 77.709703 123.688721) - (xy 77.700979 123.743804) - (xy 77.692078 123.8) - (xy 67.7919 123.8) - (xy 67.7919 121.421163) - (xy 114.647601 121.421163) - (xy 114.648322 121.428487) - (xy 114.660799 121.491215) - (xy 114.666361 121.504643) - (xy 114.713916 121.575813) - (xy 114.724187 121.586084) - (xy 114.79536 121.633641) - (xy 114.808782 121.639201) - (xy 114.836995 121.644813) - (xy 114.847679 121.643169) - (xy 114.849972 121.640554) - (xy 114.85 121.640406) - (xy 114.85 121.63414) - (xy 115.15 121.63414) - (xy 115.153697 121.644297) - (xy 115.156709 121.646036) - (xy 115.156861 121.646034) - (xy 115.191215 121.639201) - (xy 115.204643 121.633639) - (xy 115.28197 121.58197) - (xy 115.283687 121.58454) - (xy 115.318446 121.568331) - (xy 115.368133 121.581645) - (xy 115.370436 121.583578) - (xy 115.44536 121.633641) - (xy 115.458782 121.639201) - (xy 115.486995 121.644813) - (xy 115.497679 121.643169) - (xy 115.499972 121.640554) - (xy 115.5 121.640406) - (xy 115.5 121.63414) - (xy 115.8 121.63414) - (xy 115.803697 121.644297) - (xy 115.806709 121.646036) - (xy 115.806861 121.646034) - (xy 115.841215 121.639201) - (xy 115.854643 121.633639) - (xy 115.93197 121.58197) - (xy 115.933785 121.584687) - (xy 115.968085 121.568692) - (xy 116.017382 121.581902) - (xy 116.017669 121.582331) - (xy 116.020073 121.583937) - (xy 116.020075 121.583939) - (xy 116.095161 121.63411) - (xy 116.101323 121.638227) - (xy 116.108587 121.639672) - (xy 116.134433 121.644813) - (xy 116.175089 121.6529) - (xy 116.299966 121.6529) - (xy 116.42491 121.652899) - (xy 116.428533 121.652178) - (xy 116.428537 121.652178) - (xy 116.465564 121.644813) - (xy 116.498677 121.638227) - (xy 116.564354 121.594343) - (xy 117.1726 121.594343) - (xy 117.173 121.59981) - (xy 117.182306 121.663029) - (xy 117.185731 121.67405) - (xy 117.233959 121.772278) - (xy 117.241094 121.782244) - (xy 117.318271 121.859286) - (xy 117.328257 121.86641) - (xy 117.426572 121.914467) - (xy 117.437584 121.91787) - (xy 117.500205 121.927005) - (xy 117.505641 121.9274) - (xy 117.636741 121.9274) - (xy 117.646898 121.923703) - (xy 117.65 121.918331) - (xy 117.65 121.914141) - (xy 117.95 121.914141) - (xy 117.953697 121.924298) - (xy 117.959069 121.9274) - (xy 118.094343 121.9274) - (xy 118.09981 121.927) - (xy 118.163029 121.917694) - (xy 118.17405 121.914269) - (xy 118.272278 121.866041) - (xy 118.282244 121.858906) - (xy 118.359286 121.781729) - (xy 118.36641 121.771743) - (xy 118.414467 121.673428) - (xy 118.41787 121.662416) - (xy 118.427005 121.599795) - (xy 118.4274 121.594359) - (xy 118.4274 121.513259) - (xy 118.423703 121.503102) - (xy 118.418331 121.5) - (xy 117.963259 121.5) - (xy 117.953102 121.503697) - (xy 117.95 121.509069) - (xy 117.95 121.914141) - (xy 117.65 121.914141) - (xy 117.65 121.513259) - (xy 117.646303 121.503102) - (xy 117.640931 121.5) - (xy 117.185859 121.5) - (xy 117.175702 121.503697) - (xy 117.1726 121.509069) - (xy 117.1726 121.594343) - (xy 116.564354 121.594343) - (xy 116.582331 121.582331) - (xy 116.628484 121.513259) - (xy 116.634112 121.504836) - (xy 116.634113 121.504835) - (xy 116.638227 121.498677) - (xy 116.64678 121.455681) - (xy 116.652179 121.428536) - (xy 116.652179 121.428535) - (xy 116.6529 121.424911) - (xy 116.6529 121.186741) - (xy 117.1726 121.186741) - (xy 117.176297 121.196898) - (xy 117.181669 121.2) - (xy 117.636741 121.2) - (xy 117.646898 121.196303) - (xy 117.65 121.190931) - (xy 117.65 121.186741) - (xy 117.95 121.186741) - (xy 117.953697 121.196898) - (xy 117.959069 121.2) - (xy 118.414141 121.2) - (xy 118.424298 121.196303) - (xy 118.4274 121.190931) - (xy 118.4274 121.105657) - (xy 118.427 121.10019) - (xy 118.417694 121.036971) - (xy 118.414269 121.02595) - (xy 118.366041 120.927722) - (xy 118.358906 120.917756) - (xy 118.281729 120.840714) - (xy 118.271743 120.83359) - (xy 118.173428 120.785533) - (xy 118.162416 120.78213) - (xy 118.099795 120.772995) - (xy 118.094359 120.7726) - (xy 117.963259 120.7726) - (xy 117.953102 120.776297) - (xy 117.95 120.781669) - (xy 117.95 121.186741) - (xy 117.65 121.186741) - (xy 117.65 120.785859) - (xy 117.646303 120.775702) - (xy 117.640931 120.7726) - (xy 117.505657 120.7726) - (xy 117.50019 120.773) - (xy 117.436971 120.782306) - (xy 117.42595 120.785731) - (xy 117.327722 120.833959) - (xy 117.317756 120.841094) - (xy 117.240714 120.918271) - (xy 117.23359 120.928257) - (xy 117.185533 121.026572) - (xy 117.18213 121.037584) - (xy 117.172995 121.100205) - (xy 117.1726 121.105641) - (xy 117.1726 121.186741) - (xy 116.6529 121.186741) - (xy 116.652899 120.57509) - (xy 116.649485 120.557922) - (xy 116.642551 120.523065) - (xy 116.638227 120.501323) - (xy 116.604516 120.450871) - (xy 116.592289 120.400907) - (xy 116.613868 120.355919) - (xy 116.630016 120.339771) - (xy 116.650184 120.30019) - (xy 116.675156 120.251179) - (xy 116.687957 120.226055) - (xy 116.707922 120.1) - (xy 116.687957 119.973945) - (xy 116.646943 119.893451) - (xy 116.632705 119.865506) - (xy 116.632704 119.865505) - (xy 116.630016 119.860229) - (xy 116.539771 119.769984) - (xy 116.523274 119.761578) - (xy 116.48241 119.740757) - (xy 116.426055 119.712043) - (xy 116.3 119.692078) - (xy 116.173945 119.712043) - (xy 116.11759 119.740757) - (xy 116.076727 119.761578) - (xy 116.060229 119.769984) - (xy 115.969984 119.860229) - (xy 115.967296 119.865505) - (xy 115.967295 119.865506) - (xy 115.953057 119.893451) - (xy 115.912043 119.973945) - (xy 115.892078 120.1) - (xy 115.912043 120.226055) - (xy 115.91473 120.231328) - (xy 115.914731 120.231332) - (xy 115.926042 120.253532) - (xy 115.93231 120.304589) - (xy 115.904293 120.347729) - (xy 115.855101 120.362768) - (xy 115.844366 120.361426) - (xy 115.813004 120.355187) - (xy 115.802321 120.356831) - (xy 115.800028 120.359446) - (xy 115.8 120.359594) - (xy 115.8 121.63414) - (xy 115.5 121.63414) - (xy 115.5 121.163259) - (xy 115.496303 121.153102) - (xy 115.490931 121.15) - (xy 115.163259 121.15) - (xy 115.153102 121.153697) - (xy 115.15 121.159069) - (xy 115.15 121.63414) - (xy 114.85 121.63414) - (xy 114.85 121.163259) - (xy 114.846303 121.153102) - (xy 114.840931 121.15) - (xy 114.66086 121.15) - (xy 114.650703 121.153697) - (xy 114.647601 121.159069) - (xy 114.647601 121.421163) - (xy 67.7919 121.421163) - (xy 67.7919 121.356436) - (xy 67.792003 121.3525) - (xy 67.793709 121.319945) - (xy 67.794123 121.312052) - (xy 67.78531 121.289092) - (xy 67.78196 121.277784) - (xy 67.778491 121.261465) - (xy 67.776848 121.253734) - (xy 67.771829 121.246825) - (xy 67.762465 121.22958) - (xy 67.762236 121.228983) - (xy 67.762235 121.228982) - (xy 67.759403 121.221604) - (xy 67.742019 121.20422) - (xy 67.734355 121.195248) - (xy 67.724546 121.181747) - (xy 67.724545 121.181747) - (xy 67.719901 121.175354) - (xy 67.712507 121.171085) - (xy 67.696933 121.159134) - (xy 67.680973 121.143174) - (xy 67.659233 121.096554) - (xy 67.672547 121.046867) - (xy 67.714684 121.017362) - (xy 67.730208 121.015319) - (xy 67.730185 121.014927) - (xy 67.732371 121.0148) - (xy 67.734547 121.0148) - (xy 67.736707 121.014548) - (xy 67.73671 121.014548) - (xy 67.779053 121.009611) - (xy 67.863739 120.999738) - (xy 67.867848 120.998246) - (xy 67.86785 120.998246) - (xy 68.023105 120.941891) - (xy 68.023107 120.94189) - (xy 68.027212 120.9404) - (xy 68.162904 120.851437) - (xy 68.168999 120.847441) - (xy 68.169001 120.84744) - (xy 68.17265 120.845047) - (xy 68.220682 120.794343) - (xy 75.9726 120.794343) - (xy 75.973 120.79981) - (xy 75.982306 120.863029) - (xy 75.985731 120.87405) - (xy 76.033959 120.972278) - (xy 76.041094 120.982244) - (xy 76.118271 121.059286) - (xy 76.128257 121.06641) - (xy 76.226572 121.114467) - (xy 76.237584 121.11787) - (xy 76.300205 121.127005) - (xy 76.305641 121.1274) - (xy 76.436741 121.1274) - (xy 76.446898 121.123703) - (xy 76.45 121.118331) - (xy 76.45 121.114141) - (xy 76.75 121.114141) - (xy 76.753697 121.124298) - (xy 76.759069 121.1274) - (xy 76.894343 121.1274) - (xy 76.89981 121.127) - (xy 76.963029 121.117694) - (xy 76.97405 121.114269) - (xy 77.072278 121.066041) - (xy 77.082244 121.058906) - (xy 77.159286 120.981729) - (xy 77.16641 120.971743) - (xy 77.214467 120.873428) - (xy 77.21787 120.862416) - (xy 77.227005 120.799795) - (xy 77.2274 120.794359) - (xy 77.2274 120.794343) - (xy 85.1726 120.794343) - (xy 85.173 120.79981) - (xy 85.182306 120.863029) - (xy 85.185731 120.87405) - (xy 85.233959 120.972278) - (xy 85.241094 120.982244) - (xy 85.318271 121.059286) - (xy 85.328257 121.06641) - (xy 85.426572 121.114467) - (xy 85.437584 121.11787) - (xy 85.500205 121.127005) - (xy 85.505641 121.1274) - (xy 85.636741 121.1274) - (xy 85.646898 121.123703) - (xy 85.65 121.118331) - (xy 85.65 121.114141) - (xy 85.95 121.114141) - (xy 85.953697 121.124298) - (xy 85.959069 121.1274) - (xy 86.094343 121.1274) - (xy 86.09981 121.127) - (xy 86.163029 121.117694) - (xy 86.17405 121.114269) - (xy 86.272278 121.066041) - (xy 86.282244 121.058906) - (xy 86.359286 120.981729) - (xy 86.36641 120.971743) - (xy 86.414467 120.873428) - (xy 86.41787 120.862416) - (xy 86.427005 120.799795) - (xy 86.4274 120.794359) - (xy 86.4274 120.794343) - (xy 94.3726 120.794343) - (xy 94.373 120.79981) - (xy 94.382306 120.863029) - (xy 94.385731 120.87405) - (xy 94.433959 120.972278) - (xy 94.441094 120.982244) - (xy 94.518271 121.059286) - (xy 94.528257 121.06641) - (xy 94.626572 121.114467) - (xy 94.637584 121.11787) - (xy 94.700205 121.127005) - (xy 94.705641 121.1274) - (xy 94.836741 121.1274) - (xy 94.846898 121.123703) - (xy 94.85 121.118331) - (xy 94.85 121.114141) - (xy 95.15 121.114141) - (xy 95.153697 121.124298) - (xy 95.159069 121.1274) - (xy 95.294343 121.1274) - (xy 95.29981 121.127) - (xy 95.363029 121.117694) - (xy 95.37405 121.114269) - (xy 95.472278 121.066041) - (xy 95.482244 121.058906) - (xy 95.559286 120.981729) - (xy 95.56641 120.971743) - (xy 95.614467 120.873428) - (xy 95.61787 120.862416) - (xy 95.627005 120.799795) - (xy 95.6274 120.794359) - (xy 95.6274 120.794343) - (xy 103.5726 120.794343) - (xy 103.573 120.79981) - (xy 103.582306 120.863029) - (xy 103.585731 120.87405) - (xy 103.633959 120.972278) - (xy 103.641094 120.982244) - (xy 103.718271 121.059286) - (xy 103.728257 121.06641) - (xy 103.826572 121.114467) - (xy 103.837584 121.11787) - (xy 103.900205 121.127005) - (xy 103.905641 121.1274) - (xy 104.036741 121.1274) - (xy 104.046898 121.123703) - (xy 104.05 121.118331) - (xy 104.05 121.114141) - (xy 104.35 121.114141) - (xy 104.353697 121.124298) - (xy 104.359069 121.1274) - (xy 104.494343 121.1274) - (xy 104.49981 121.127) - (xy 104.563029 121.117694) - (xy 104.57405 121.114269) - (xy 104.672278 121.066041) - (xy 104.682244 121.058906) - (xy 104.759286 120.981729) - (xy 104.76641 120.971743) - (xy 104.814467 120.873428) - (xy 104.81787 120.862416) - (xy 104.821615 120.836741) - (xy 114.6476 120.836741) - (xy 114.651297 120.846898) - (xy 114.656669 120.85) - (xy 114.836741 120.85) - (xy 114.846898 120.846303) - (xy 114.85 120.840931) - (xy 114.85 120.836741) - (xy 115.15 120.836741) - (xy 115.153697 120.846898) - (xy 115.159069 120.85) - (xy 115.486741 120.85) - (xy 115.496898 120.846303) - (xy 115.5 120.840931) - (xy 115.5 120.36586) - (xy 115.496303 120.355703) - (xy 115.493291 120.353964) - (xy 115.493139 120.353966) - (xy 115.458785 120.360799) - (xy 115.445357 120.366361) - (xy 115.36803 120.41803) - (xy 115.366313 120.41546) - (xy 115.331554 120.431669) - (xy 115.281867 120.418355) - (xy 115.279564 120.416422) - (xy 115.20464 120.366359) - (xy 115.191218 120.360799) - (xy 115.163005 120.355187) - (xy 115.152321 120.356831) - (xy 115.150028 120.359446) - (xy 115.15 120.359594) - (xy 115.15 120.836741) - (xy 114.85 120.836741) - (xy 114.85 120.36586) - (xy 114.846303 120.355703) - (xy 114.843291 120.353964) - (xy 114.843139 120.353966) - (xy 114.808785 120.360799) - (xy 114.795357 120.366361) - (xy 114.724187 120.413916) - (xy 114.713916 120.424187) - (xy 114.666359 120.49536) - (xy 114.660799 120.508781) - (xy 114.648321 120.571514) - (xy 114.6476 120.578835) - (xy 114.6476 120.836741) - (xy 104.821615 120.836741) - (xy 104.827005 120.799795) - (xy 104.8274 120.794359) - (xy 104.8274 120.713259) - (xy 104.823703 120.703102) - (xy 104.818331 120.7) - (xy 104.363259 120.7) - (xy 104.353102 120.703697) - (xy 104.35 120.709069) - (xy 104.35 121.114141) - (xy 104.05 121.114141) - (xy 104.05 120.713259) - (xy 104.046303 120.703102) - (xy 104.040931 120.7) - (xy 103.585859 120.7) - (xy 103.575702 120.703697) - (xy 103.5726 120.709069) - (xy 103.5726 120.794343) - (xy 95.6274 120.794343) - (xy 95.6274 120.713259) - (xy 95.623703 120.703102) - (xy 95.618331 120.7) - (xy 95.163259 120.7) - (xy 95.153102 120.703697) - (xy 95.15 120.709069) - (xy 95.15 121.114141) - (xy 94.85 121.114141) - (xy 94.85 120.713259) - (xy 94.846303 120.703102) - (xy 94.840931 120.7) - (xy 94.385859 120.7) - (xy 94.375702 120.703697) - (xy 94.3726 120.709069) - (xy 94.3726 120.794343) - (xy 86.4274 120.794343) - (xy 86.4274 120.713259) - (xy 86.423703 120.703102) - (xy 86.418331 120.7) - (xy 85.963259 120.7) - (xy 85.953102 120.703697) - (xy 85.95 120.709069) - (xy 85.95 121.114141) - (xy 85.65 121.114141) - (xy 85.65 120.713259) - (xy 85.646303 120.703102) - (xy 85.640931 120.7) - (xy 85.185859 120.7) - (xy 85.175702 120.703697) - (xy 85.1726 120.709069) - (xy 85.1726 120.794343) - (xy 77.2274 120.794343) - (xy 77.2274 120.713259) - (xy 77.223703 120.703102) - (xy 77.218331 120.7) - (xy 76.763259 120.7) - (xy 76.753102 120.703697) - (xy 76.75 120.709069) - (xy 76.75 121.114141) - (xy 76.45 121.114141) - (xy 76.45 120.713259) - (xy 76.446303 120.703102) - (xy 76.440931 120.7) - (xy 75.985859 120.7) - (xy 75.975702 120.703697) - (xy 75.9726 120.709069) - (xy 75.9726 120.794343) - (xy 68.220682 120.794343) - (xy 68.292251 120.718793) - (xy 68.295466 120.713259) - (xy 68.3774 120.572198) - (xy 68.3774 120.572197) - (xy 68.379599 120.568412) - (xy 68.383924 120.554134) - (xy 68.428743 120.406153) - (xy 68.428743 120.406151) - (xy 68.43001 120.401969) - (xy 68.43028 120.397613) - (xy 68.430281 120.397609) - (xy 68.430955 120.386741) - (xy 75.9726 120.386741) - (xy 75.976297 120.396898) - (xy 75.981669 120.4) - (xy 76.436741 120.4) - (xy 76.446898 120.396303) - (xy 76.45 120.390931) - (xy 76.45 120.386741) - (xy 76.75 120.386741) - (xy 76.753697 120.396898) - (xy 76.759069 120.4) - (xy 77.214141 120.4) - (xy 77.224298 120.396303) - (xy 77.2274 120.390931) - (xy 77.2274 120.305657) - (xy 77.227 120.30019) - (xy 77.217694 120.236971) - (xy 77.214269 120.22595) - (xy 77.166041 120.127722) - (xy 77.158906 120.117756) - (xy 77.081729 120.040714) - (xy 77.071743 120.03359) - (xy 76.973428 119.985533) - (xy 76.962416 119.98213) - (xy 76.899795 119.972995) - (xy 76.894359 119.9726) - (xy 76.763259 119.9726) - (xy 76.753102 119.976297) - (xy 76.75 119.981669) - (xy 76.75 120.386741) - (xy 76.45 120.386741) - (xy 76.45 119.985859) - (xy 76.446303 119.975702) - (xy 76.440931 119.9726) - (xy 76.305657 119.9726) - (xy 76.30019 119.973) - (xy 76.236971 119.982306) - (xy 76.22595 119.985731) - (xy 76.127722 120.033959) - (xy 76.117756 120.041094) - (xy 76.040714 120.118271) - (xy 76.03359 120.128257) - (xy 75.985533 120.226572) - (xy 75.98213 120.237584) - (xy 75.972995 120.300205) - (xy 75.9726 120.305641) - (xy 75.9726 120.386741) - (xy 68.430955 120.386741) - (xy 68.440508 120.232752) - (xy 68.440508 120.232748) - (xy 68.440778 120.228393) - (xy 68.428872 120.159104) - (xy 68.412067 120.0613) - (xy 68.412066 120.061296) - (xy 68.411327 120.056996) - (xy 68.399752 120.029792) - (xy 68.344946 119.900992) - (xy 68.343235 119.896971) - (xy 68.251423 119.772212) - (xy 68.242747 119.760422) - (xy 68.242745 119.76042) - (xy 68.240157 119.756903) - (xy 68.10762 119.644305) - (xy 68.017963 119.598524) - (xy 67.956623 119.567202) - (xy 67.956621 119.567201) - (xy 67.952735 119.565217) - (xy 67.78381 119.523881) - (xy 67.780469 119.523674) - (xy 67.780464 119.523673) - (xy 67.777183 119.52347) - (xy 67.772833 119.5232) - (xy 67.647453 119.5232) - (xy 67.645293 119.523452) - (xy 67.64529 119.523452) - (xy 67.602947 119.528389) - (xy 67.518261 119.538262) - (xy 67.514152 119.539754) - (xy 67.51415 119.539754) - (xy 67.358895 119.596109) - (xy 67.358893 119.59611) - (xy 67.354788 119.5976) - (xy 67.286986 119.642053) - (xy 67.215935 119.688636) - (xy 67.20935 119.692953) - (xy 67.206346 119.696124) - (xy 67.186118 119.717477) - (xy 67.089749 119.819207) - (xy 67.088915 119.818417) - (xy 67.049905 119.843948) - (xy 66.998826 119.837861) - (xy 66.963611 119.800365) - (xy 66.958171 119.780552) - (xy 66.954174 119.750192) - (xy 66.954174 119.750191) - (xy 66.953531 119.745309) - (xy 66.939369 119.711117) - (xy 66.911694 119.644305) - (xy 66.898455 119.612342) - (xy 66.81084 119.49816) - (xy 66.787195 119.480016) - (xy 66.700569 119.413545) - (xy 66.700568 119.413544) - (xy 66.696659 119.410545) - (xy 66.632447 119.383948) - (xy 66.594423 119.368198) - (xy 66.556497 119.333446) - (xy 66.548 119.298722) - (xy 66.548 119.207278) - (xy 66.552683 119.19441) - (xy 75.242477 119.19441) - (xy 75.245085 119.214358) - (xy 75.245662 119.22705) - (xy 75.24475 119.25026) - (xy 75.253133 119.281876) - (xy 75.25343 119.282998) - (xy 75.255306 119.292519) - (xy 75.259298 119.323046) - (xy 75.261454 119.327947) - (xy 75.261455 119.327949) - (xy 75.268895 119.344858) - (xy 75.272752 119.355873) - (xy 75.279653 119.3819) - (xy 75.282625 119.386665) - (xy 75.282628 119.386672) - (xy 75.295618 119.407499) - (xy 75.300642 119.417006) - (xy 75.311547 119.441791) - (xy 75.314995 119.445893) - (xy 75.329269 119.462874) - (xy 75.335511 119.471466) - (xy 75.348745 119.492685) - (xy 75.348748 119.492689) - (xy 75.351722 119.497457) - (xy 75.365582 119.509484) - (xy 75.371707 119.514799) - (xy 75.379978 119.5232) - (xy 75.395023 119.541098) - (xy 75.399485 119.544068) - (xy 75.421047 119.558421) - (xy 75.428653 119.564214) - (xy 75.454583 119.586715) - (xy 75.469145 119.593153) - (xy 75.475397 119.595917) - (xy 75.486657 119.602094) - (xy 75.503017 119.612984) - (xy 75.50813 119.614581) - (xy 75.508131 119.614582) - (xy 75.53639 119.623411) - (xy 75.544364 119.626408) - (xy 75.579142 119.641783) - (xy 75.59358 119.643492) - (xy 75.598066 119.644023) - (xy 75.611645 119.646922) - (xy 75.626845 119.651671) - (xy 75.665508 119.652379) - (xy 75.672957 119.652887) - (xy 75.714386 119.65779) - (xy 75.729476 119.655034) - (xy 75.744354 119.653824) - (xy 75.749196 119.653913) - (xy 75.751194 119.65395) - (xy 75.751196 119.65395) - (xy 75.756555 119.654048) - (xy 75.761726 119.652638) - (xy 75.761728 119.652638) - (xy 75.797453 119.642898) - (xy 75.803722 119.641474) - (xy 75.848359 119.633322) - (xy 75.853349 119.63073) - (xy 75.853352 119.630729) - (xy 75.858657 119.627973) - (xy 75.873543 119.622154) - (xy 75.874521 119.621887) - (xy 75.881718 119.619925) - (xy 75.886277 119.617126) - (xy 75.886281 119.617124) - (xy 75.921006 119.595802) - (xy 75.925689 119.593153) - (xy 75.965404 119.572523) - (xy 75.965413 119.572517) - (xy 75.969215 119.570542) - (xy 75.972703 119.567563) - (xy 75.973149 119.567183) - (xy 75.973159 119.567174) - (xy 75.974284 119.566213) - (xy 75.976104 119.564393) - (xy 75.983694 119.558404) - (xy 75.983584 119.558271) - (xy 75.987709 119.554846) - (xy 75.992273 119.552044) - (xy 75.995868 119.548073) - (xy 75.999989 119.544651) - (xy 76.000892 119.545739) - (xy 76.040791 119.52466) - (xy 76.091079 119.535487) - (xy 76.104186 119.545932) - (xy 76.110309 119.552044) - (xy 76.117597 119.559319) - (xy 76.122377 119.564091) - (xy 76.127959 119.56682) - (xy 76.127961 119.566821) - (xy 76.22642 119.614949) - (xy 76.226422 119.61495) - (xy 76.231666 119.617513) - (xy 76.237443 119.618356) - (xy 76.237444 119.618356) - (xy 76.2482 119.619925) - (xy 76.302869 119.6279) - (xy 76.599078 119.6279) - (xy 76.89713 119.627899) - (xy 76.955685 119.61928) - (xy 76.963172 119.618178) - (xy 76.968953 119.617327) - (xy 77.031303 119.586715) - (xy 77.072573 119.566453) - (xy 77.072575 119.566452) - (xy 77.078149 119.563715) - (xy 77.082536 119.559321) - (xy 77.082538 119.559319) - (xy 77.106823 119.534991) - (xy 77.116825 119.524972) - (xy 77.163425 119.503192) - (xy 77.170045 119.5029) - (xy 77.509028 119.5029) - (xy 77.511805 119.502482) - (xy 77.511811 119.502482) - (xy 77.563354 119.494732) - (xy 77.609675 119.487768) - (xy 77.614738 119.485337) - (xy 77.620118 119.483682) - (xy 77.620498 119.484916) - (xy 77.665537 119.48046) - (xy 77.708007 119.509484) - (xy 77.7221 119.553314) - (xy 77.7221 119.986153) - (xy 77.71571 120.012766) - (xy 77.716559 120.013042) - (xy 77.71473 120.018671) - (xy 77.712043 120.023945) - (xy 77.692078 120.15) - (xy 77.712043 120.276055) - (xy 77.724348 120.300205) - (xy 77.763224 120.376503) - (xy 77.769984 120.389771) - (xy 77.860229 120.480016) - (xy 77.865505 120.482704) - (xy 77.865506 120.482705) - (xy 77.902046 120.501323) - (xy 77.973945 120.537957) - (xy 78.1 120.557922) - (xy 78.226055 120.537957) - (xy 78.297954 120.501323) - (xy 78.334494 120.482705) - (xy 78.334495 120.482704) - (xy 78.339771 120.480016) - (xy 78.430016 120.389771) - (xy 78.43156 120.386741) - (xy 85.1726 120.386741) - (xy 85.176297 120.396898) - (xy 85.181669 120.4) - (xy 85.636741 120.4) - (xy 85.646898 120.396303) - (xy 85.65 120.390931) - (xy 85.65 120.386741) - (xy 85.95 120.386741) - (xy 85.953697 120.396898) - (xy 85.959069 120.4) - (xy 86.414141 120.4) - (xy 86.424298 120.396303) - (xy 86.4274 120.390931) - (xy 86.4274 120.305657) - (xy 86.427 120.30019) - (xy 86.417694 120.236971) - (xy 86.414269 120.22595) - (xy 86.366041 120.127722) - (xy 86.358906 120.117756) - (xy 86.281729 120.040714) - (xy 86.271743 120.03359) - (xy 86.173428 119.985533) - (xy 86.162416 119.98213) - (xy 86.099795 119.972995) - (xy 86.094359 119.9726) - (xy 85.963259 119.9726) - (xy 85.953102 119.976297) - (xy 85.95 119.981669) - (xy 85.95 120.386741) - (xy 85.65 120.386741) - (xy 85.65 119.985859) - (xy 85.646303 119.975702) - (xy 85.640931 119.9726) - (xy 85.505657 119.9726) - (xy 85.50019 119.973) - (xy 85.436971 119.982306) - (xy 85.42595 119.985731) - (xy 85.327722 120.033959) - (xy 85.317756 120.041094) - (xy 85.240714 120.118271) - (xy 85.23359 120.128257) - (xy 85.185533 120.226572) - (xy 85.18213 120.237584) - (xy 85.172995 120.300205) - (xy 85.1726 120.305641) - (xy 85.1726 120.386741) - (xy 78.43156 120.386741) - (xy 78.436777 120.376503) - (xy 78.475652 120.300205) - (xy 78.487957 120.276055) - (xy 78.507922 120.15) - (xy 78.487957 120.023945) - (xy 78.485268 120.018668) - (xy 78.484497 120.016294) - (xy 78.486293 119.964885) - (xy 78.520713 119.926658) - (xy 78.570687 119.919301) - (xy 78.586993 119.922544) - (xy 78.597679 119.9209) - (xy 78.599972 119.918285) - (xy 78.6 119.918136) - (xy 78.6 118.188128) - (xy 78.596303 118.177971) - (xy 78.593291 118.176232) - (xy 78.593138 118.176234) - (xy 78.570687 118.180699) - (xy 78.519846 118.172873) - (xy 78.48593 118.134198) - (xy 78.484497 118.083706) - (xy 78.485268 118.081332) - (xy 78.487957 118.076055) - (xy 78.507922 117.95) - (xy 78.502633 117.916603) - (xy 78.488883 117.829792) - (xy 78.487957 117.823945) - (xy 78.452851 117.755045) - (xy 78.432705 117.715506) - (xy 78.432704 117.715505) - (xy 78.430016 117.710229) - (xy 78.339771 117.619984) - (xy 78.330253 117.615134) - (xy 78.238841 117.568558) - (xy 78.226055 117.562043) - (xy 78.114749 117.544414) - (xy 78.105847 117.543004) - (xy 78.1 117.542078) - (xy 78.094153 117.543004) - (xy 78.085251 117.544414) - (xy 77.973945 117.562043) - (xy 77.961159 117.568558) - (xy 77.869748 117.615134) - (xy 77.860229 117.619984) - (xy 77.769984 117.710229) - (xy 77.767296 117.715505) - (xy 77.767295 117.715506) - (xy 77.747149 117.755045) - (xy 77.712043 117.823945) - (xy 77.711117 117.829792) - (xy 77.697368 117.916603) - (xy 77.692078 117.95) - (xy 77.712043 118.076055) - (xy 77.71473 118.081329) - (xy 77.716559 118.086958) - (xy 77.71571 118.087234) - (xy 77.7221 118.113847) - (xy 77.7221 118.550457) - (xy 77.704507 118.598795) - (xy 77.659958 118.624515) - (xy 77.624225 118.622157) - (xy 77.582715 118.609029) - (xy 77.550739 118.598916) - (xy 77.550735 118.598915) - (xy 77.546649 118.597623) - (xy 77.542372 118.597286) - (xy 77.54237 118.597286) - (xy 77.541482 118.597216) - (xy 77.541474 118.597216) - (xy 77.540004 118.5971) - (xy 77.170032 118.5971) - (xy 77.121694 118.579507) - (xy 77.116904 118.575121) - (xy 77.077623 118.535909) - (xy 77.079168 118.534361) - (xy 77.055295 118.499624) - (xy 77.0529 118.480797) - (xy 77.0529 118.244328) - (xy 77.053942 118.231852) - (xy 77.054946 118.225884) - (xy 77.05742 118.211179) - (xy 77.057478 118.206495) - (xy 77.057521 118.202913) - (xy 77.057557 118.2) - (xy 77.057041 118.196393) - (xy 77.054402 118.177971) - (xy 77.053056 118.168568) - (xy 77.0529 118.167137) - (xy 77.0529 118.165972) - (xy 77.051837 118.158897) - (xy 77.05009 118.147278) - (xy 77.048349 118.135699) - (xy 77.039166 118.071579) - (xy 77.038482 118.070075) - (xy 77.037768 118.065325) - (xy 77.019774 118.027853) - (xy 77.010893 118.009357) - (xy 77.010227 118.007931) - (xy 76.992523 117.968994) - (xy 76.98547 117.953482) - (xy 76.983075 117.950702) - (xy 76.981864 117.948529) - (xy 76.98125 117.947626) - (xy 76.978816 117.942557) - (xy 76.939021 117.899507) - (xy 76.937309 117.897588) - (xy 76.916397 117.873318) - (xy 76.904285 117.859261) - (xy 76.904283 117.859259) - (xy 76.900787 117.855202) - (xy 76.896289 117.852287) - (xy 76.894595 117.850809) - (xy 76.891082 117.847395) - (xy 76.890187 117.846678) - (xy 76.886371 117.84255) - (xy 76.838827 117.814934) - (xy 76.835696 117.813012) - (xy 76.79642 117.787554) - (xy 76.796416 117.787552) - (xy 76.791923 117.78464) - (xy 76.786789 117.783105) - (xy 76.781928 117.780859) - (xy 76.782019 117.780661) - (xy 76.773735 117.777077) - (xy 76.773472 117.776973) - (xy 76.768607 117.774147) - (xy 76.763133 117.772878) - (xy 76.76313 117.772877) - (xy 76.718587 117.762553) - (xy 76.714021 117.761342) - (xy 76.672768 117.749005) - (xy 76.672766 117.749005) - (xy 76.667631 117.747469) - (xy 76.662272 117.747436) - (xy 76.662271 117.747436) - (xy 76.661486 117.747431) - (xy 76.644977 117.745491) - (xy 76.635936 117.743396) - (xy 76.630329 117.743793) - (xy 76.588393 117.746762) - (xy 76.582623 117.746949) - (xy 76.559031 117.746805) - (xy 76.537902 117.746676) - (xy 76.528407 117.749389) - (xy 76.513055 117.752097) - (xy 76.500087 117.753015) - (xy 76.49484 117.755045) - (xy 76.459061 117.768887) - (xy 76.452592 117.771058) - (xy 76.418319 117.780853) - (xy 76.418317 117.780854) - (xy 76.413166 117.782326) - (xy 76.408637 117.785184) - (xy 76.408632 117.785186) - (xy 76.401662 117.789584) - (xy 76.388669 117.796119) - (xy 76.382423 117.798535) - (xy 76.373072 117.802153) - (xy 76.368659 117.805632) - (xy 76.368657 117.805633) - (xy 76.341406 117.827116) - (xy 76.334979 117.831658) - (xy 76.310038 117.847395) - (xy 76.303448 117.851553) - (xy 76.29198 117.864538) - (xy 76.282176 117.873808) - (xy 76.270537 117.882984) - (xy 76.270535 117.882987) - (xy 76.266121 117.886466) - (xy 76.262928 117.891085) - (xy 76.262925 117.891089) - (xy 76.245292 117.916603) - (xy 76.239796 117.923625) - (xy 76.21757 117.948791) - (xy 76.215294 117.953638) - (xy 76.215293 117.95364) - (xy 76.208628 117.967837) - (xy 76.202419 117.978634) - (xy 76.191885 117.993875) - (xy 76.191882 117.993881) - (xy 76.188689 117.998501) - (xy 76.186995 118.003858) - (xy 76.178757 118.029906) - (xy 76.175128 118.039189) - (xy 76.162436 118.066223) - (xy 76.161612 118.071516) - (xy 76.158627 118.090687) - (xy 76.156022 118.101794) - (xy 76.148916 118.124261) - (xy 76.148915 118.124265) - (xy 76.147623 118.128351) - (xy 76.147286 118.132628) - (xy 76.147286 118.13263) - (xy 76.147271 118.132827) - (xy 76.1471 118.134996) - (xy 76.1471 118.158897) - (xy 76.146205 118.170466) - (xy 76.145288 118.176354) - (xy 76.142477 118.19441) - (xy 76.143171 118.199718) - (xy 76.143171 118.19972) - (xy 76.146465 118.224908) - (xy 76.1471 118.234658) - (xy 76.1471 118.480816) - (xy 76.129507 118.529154) - (xy 76.121829 118.536263) - (xy 76.121851 118.536285) - (xy 76.083446 118.574758) - (xy 76.083176 118.575028) - (xy 76.036575 118.596808) - (xy 76.029955 118.5971) - (xy 75.881367 118.5971) - (xy 75.872528 118.596579) - (xy 75.864611 118.595642) - (xy 75.835614 118.59221) - (xy 75.830084 118.59322) - (xy 75.830082 118.59322) - (xy 75.807821 118.597286) - (xy 75.776759 118.602959) - (xy 75.774478 118.603339) - (xy 75.715325 118.612232) - (xy 75.710451 118.614572) - (xy 75.710161 118.614643) - (xy 75.709796 118.614768) - (xy 75.707538 118.615502) - (xy 75.707217 118.615601) - (xy 75.70696 118.615706) - (xy 75.70164 118.616678) - (xy 75.648528 118.644267) - (xy 75.646464 118.645298) - (xy 75.592557 118.671184) - (xy 75.588584 118.674856) - (xy 75.587718 118.675373) - (xy 75.584589 118.677482) - (xy 75.580785 118.679458) - (xy 75.577527 118.68224) - (xy 75.577523 118.682243) - (xy 75.576851 118.682817) - (xy 75.576841 118.682826) - (xy 75.575716 118.683787) - (xy 75.537567 118.721936) - (xy 75.535439 118.723983) - (xy 75.49255 118.763629) - (xy 75.489729 118.768486) - (xy 75.487126 118.771735) - (xy 75.481612 118.777891) - (xy 75.421591 118.837912) - (xy 75.408545 118.848337) - (xy 75.403448 118.851553) - (xy 75.371868 118.88731) - (xy 75.370949 118.888351) - (xy 75.367758 118.891745) - (xy 75.35569 118.903813) - (xy 75.354022 118.906071) - (xy 75.354017 118.906077) - (xy 75.349452 118.912259) - (xy 75.345325 118.917364) - (xy 75.321118 118.944772) - (xy 75.321114 118.944778) - (xy 75.31757 118.948791) - (xy 75.315293 118.953641) - (xy 75.312186 118.960259) - (xy 75.304607 118.972975) - (xy 75.295222 118.985681) - (xy 75.293362 118.990977) - (xy 75.29336 118.990981) - (xy 75.281556 119.024593) - (xy 75.27868 119.031623) - (xy 75.262436 119.066223) - (xy 75.261612 119.071516) - (xy 75.261611 119.071519) - (xy 75.259914 119.082421) - (xy 75.256562 119.095767) - (xy 75.250097 119.114176) - (xy 75.249876 119.119793) - (xy 75.249876 119.119794) - (xy 75.248622 119.1517) - (xy 75.247785 119.160314) - (xy 75.245964 119.172014) - (xy 75.242477 119.19441) - (xy 66.552683 119.19441) - (xy 66.565593 119.15894) - (xy 66.594423 119.137802) - (xy 66.664262 119.108874) - (xy 66.696659 119.095455) - (xy 66.741073 119.061375) - (xy 66.806933 119.010838) - (xy 66.81084 119.00784) - (xy 66.898455 118.893658) - (xy 66.930853 118.815441) - (xy 66.951646 118.765243) - (xy 66.951647 118.76524) - (xy 66.953531 118.760691) - (xy 66.954685 118.751927) - (xy 66.959016 118.719031) - (xy 66.982769 118.673403) - (xy 67.030293 118.653719) - (xy 67.079352 118.669187) - (xy 67.094138 118.684273) - (xy 67.119692 118.718998) - (xy 67.138403 118.744422) - (xy 67.141843 118.749097) - (xy 67.27438 118.861695) - (xy 67.33323 118.891745) - (xy 67.425377 118.938798) - (xy 67.425379 118.938799) - (xy 67.429265 118.940783) - (xy 67.59819 118.982119) - (xy 67.601531 118.982326) - (xy 67.601536 118.982327) - (xy 67.604817 118.98253) - (xy 67.609167 118.9828) - (xy 67.734547 118.9828) - (xy 67.736707 118.982548) - (xy 67.73671 118.982548) - (xy 67.779053 118.977611) - (xy 67.863739 118.967738) - (xy 67.867848 118.966246) - (xy 67.86785 118.966246) - (xy 68.023105 118.909891) - (xy 68.023107 118.90989) - (xy 68.027212 118.9084) - (xy 68.133825 118.838502) - (xy 68.168999 118.815441) - (xy 68.169001 118.81544) - (xy 68.17265 118.813047) - (xy 68.176076 118.809431) - (xy 68.257021 118.723983) - (xy 68.292251 118.686793) - (xy 68.294495 118.682931) - (xy 68.3774 118.540198) - (xy 68.3774 118.540197) - (xy 68.379599 118.536412) - (xy 68.381798 118.529154) - (xy 68.428743 118.374153) - (xy 68.428743 118.374151) - (xy 68.43001 118.369969) - (xy 68.43028 118.365613) - (xy 68.430281 118.365609) - (xy 68.440508 118.200752) - (xy 68.440508 118.200748) - (xy 68.440778 118.196393) - (xy 68.426544 118.113552) - (xy 68.412067 118.0293) - (xy 68.412066 118.029296) - (xy 68.411327 118.024996) - (xy 68.409169 118.019923) - (xy 68.344946 117.868992) - (xy 68.343235 117.864971) - (xy 68.260553 117.752618) - (xy 68.242747 117.728422) - (xy 68.242745 117.72842) - (xy 68.240157 117.724903) - (xy 68.10762 117.612305) - (xy 68.016471 117.565762) - (xy 67.956623 117.535202) - (xy 67.956621 117.535201) - (xy 67.952735 117.533217) - (xy 67.78381 117.491881) - (xy 67.780469 117.491674) - (xy 67.780464 117.491673) - (xy 67.777183 117.49147) - (xy 67.772833 117.4912) - (xy 67.647453 117.4912) - (xy 67.645293 117.491452) - (xy 67.64529 117.491452) - (xy 67.602947 117.496389) - (xy 67.518261 117.506262) - (xy 67.514152 117.507754) - (xy 67.51415 117.507754) - (xy 67.358895 117.564109) - (xy 67.358893 117.56411) - (xy 67.354788 117.5656) - (xy 67.299427 117.601896) - (xy 67.217805 117.65541) - (xy 67.20935 117.660953) - (xy 67.206346 117.664124) - (xy 67.192658 117.678573) - (xy 67.089749 117.787207) - (xy 67.087555 117.790985) - (xy 67.087553 117.790987) - (xy 67.011238 117.922374) - (xy 67.002401 117.937588) - (xy 67.001133 117.941775) - (xy 67.001132 117.941777) - (xy 66.954907 118.0944) - (xy 66.95199 118.104031) - (xy 66.946812 118.187499) - (xy 66.946745 118.188586) - (xy 66.926192 118.235741) - (xy 66.880137 118.258654) - (xy 66.830129 118.246602) - (xy 66.817791 118.23509) - (xy 66.817326 118.235555) - (xy 66.813838 118.232067) - (xy 66.81084 118.22816) - (xy 66.763825 118.192084) - (xy 66.700569 118.143545) - (xy 66.700568 118.143544) - (xy 66.696659 118.140545) - (xy 66.613674 118.106172) - (xy 66.568243 118.087354) - (xy 66.56824 118.087353) - (xy 66.563691 118.085469) - (xy 66.557144 118.084607) - (xy 66.556246 118.08414) - (xy 66.554042 118.083549) - (xy 66.554173 118.08306) - (xy 66.511516 118.060855) - (xy 66.491831 118.013331) - (xy 66.507298 117.964272) - (xy 66.526112 117.946911) - (xy 66.663772 117.857855) - (xy 66.663776 117.857852) - (xy 66.666365 117.856177) - (xy 66.841254 117.69704) - (xy 66.987804 117.511476) - (xy 66.990683 117.506262) - (xy 67.100588 117.307168) - (xy 67.102078 117.304469) - (xy 67.181008 117.081577) - (xy 67.187099 117.047384) - (xy 67.221933 116.851826) - (xy 67.221933 116.851822) - (xy 67.222474 116.848787) - (xy 67.223184 116.790729) - (xy 67.224235 116.704674) - (xy 67.225363 116.61235) - (xy 67.22476 116.608404) - (xy 67.190064 116.381668) - (xy 67.189597 116.378616) - (xy 67.188595 116.375548) - (xy 67.145385 116.243348) - (xy 67.116136 116.153862) - (xy 67.050725 116.028209) - (xy 67.00838 115.946864) - (xy 67.008379 115.946862) - (xy 67.006954 115.944125) - (xy 66.952571 115.871694) - (xy 66.866835 115.757504) - (xy 66.866834 115.757502) - (xy 66.864981 115.755035) - (xy 66.859897 115.750176) - (xy 66.696261 115.593802) - (xy 66.69626 115.593801) - (xy 66.694033 115.591673) - (xy 66.665049 115.571901) - (xy 66.57294 115.509069) - (xy 66.498698 115.458425) - (xy 66.328884 115.3796) - (xy 66.287024 115.360169) - (xy 66.287022 115.360168) - (xy 66.284223 115.358869) - (xy 66.228429 115.343396) - (xy 66.059341 115.296503) - (xy 66.059335 115.296502) - (xy 66.056368 115.295679) - (xy 66.053302 115.295351) - (xy 66.0533 115.295351) - (xy 65.86532 115.275262) - (xy 65.865314 115.275262) - (xy 65.863333 115.27505) - (xy 65.726061 115.27505) - (xy 65.724519 115.275177) - (xy 65.724514 115.275177) - (xy 65.627336 115.283167) - (xy 65.550341 115.289497) - (xy 65.51252 115.298997) - (xy 65.324007 115.346348) - (xy 65.324005 115.346349) - (xy 65.32101 115.347101) - (xy 65.318182 115.348331) - (xy 65.318178 115.348332) - (xy 65.106998 115.440156) - (xy 65.104167 115.441387) - (xy 65.101574 115.443064) - (xy 65.101573 115.443065) - (xy 64.908228 115.568145) - (xy 64.908224 115.568148) - (xy 64.905635 115.569823) - (xy 64.730746 115.72896) - (xy 64.584196 115.914524) - (xy 64.582705 115.917225) - (xy 64.582703 115.917228) - (xy 64.494008 116.0779) - (xy 64.469922 116.121531) - (xy 64.390992 116.344423) - (xy 64.390452 116.347457) - (xy 64.390451 116.347459) - (xy 64.352032 116.563147) - (xy 64.349526 116.577213) - (xy 64.349488 116.580301) - (xy 64.349488 116.580304) - (xy 64.348427 116.667124) - (xy 64.346637 116.81365) - (xy 64.347103 116.816693) - (xy 64.347103 116.816698) - (xy 64.37141 116.975547) - (xy 64.382403 117.047384) - (xy 64.383361 117.050316) - (xy 64.383362 117.050319) - (xy 64.418086 117.156555) - (xy 64.455864 117.272138) - (xy 64.470838 117.300902) - (xy 64.552456 117.457689) - (xy 64.565046 117.481875) - (xy 64.566899 117.484343) - (xy 64.701883 117.664124) - (xy 64.707019 117.670965) - (xy 64.709252 117.673099) - (xy 64.709253 117.6731) - (xy 64.870421 117.827116) - (xy 64.877967 117.834327) - (xy 64.880508 117.83606) - (xy 64.88051 117.836062) - (xy 65.044971 117.948249) - (xy 65.074988 117.990023) - (xy 65.071132 118.041318) - (xy 65.035205 118.078133) - (xy 65.01789 118.083295) - (xy 65.017958 118.083549) - (xy 65.013191 118.084826) - (xy 65.008309 118.085469) - (xy 65.00376 118.087353) - (xy 65.003757 118.087354) - (xy 64.928175 118.118661) - (xy 64.875342 118.140545) - (xy 64.76116 118.22816) - (xy 64.673545 118.342342) - (xy 64.67166 118.346893) - (xy 64.631198 118.444578) - (xy 64.596446 118.482503) - (xy 64.561722 118.491) - (xy 64.470278 118.491) - (xy 64.42194 118.473407) - (xy 64.400802 118.444578) - (xy 64.36034 118.346893) - (xy 64.358455 118.342342) - (xy 64.27084 118.22816) - (xy 64.223825 118.192084) - (xy 64.160569 118.143545) - (xy 64.160568 118.143544) - (xy 64.156659 118.140545) - (xy 64.073674 118.106172) - (xy 64.028243 118.087354) - (xy 64.02824 118.087353) - (xy 64.023691 118.085469) - (xy 64.018809 118.084826) - (xy 64.018808 118.084826) - (xy 63.885884 118.067326) - (xy 63.881 118.066683) - (xy 63.876116 118.067326) - (xy 63.743192 118.084826) - (xy 63.743191 118.084826) - (xy 63.738309 118.085469) - (xy 63.73376 118.087353) - (xy 63.733757 118.087354) - (xy 63.658175 118.118661) - (xy 63.605342 118.140545) - (xy 63.49116 118.22816) - (xy 63.403545 118.342342) - (xy 63.40166 118.346893) - (xy 63.361198 118.444578) - (xy 63.326446 118.482503) - (xy 63.291722 118.491) - (xy 63.200278 118.491) - (xy 63.15194 118.473407) - (xy 63.130802 118.444578) - (xy 63.09034 118.346893) - (xy 63.088455 118.342342) - (xy 63.00084 118.22816) - (xy 62.953825 118.192084) - (xy 62.890569 118.143545) - (xy 62.890568 118.143544) - (xy 62.886659 118.140545) - (xy 62.882106 118.138659) - (xy 62.877836 118.136194) - (xy 62.878994 118.134188) - (xy 62.847405 118.105256) - (xy 62.8389 118.070516) - (xy 62.8389 117.990928) - (xy 62.839003 117.986991) - (xy 62.839946 117.968994) - (xy 62.841123 117.946552) - (xy 62.83231 117.923592) - (xy 62.82896 117.912284) - (xy 62.823848 117.888234) - (xy 62.818829 117.881325) - (xy 62.809465 117.86408) - (xy 62.809236 117.863483) - (xy 62.809235 117.863482) - (xy 62.806403 117.856104) - (xy 62.789019 117.83872) - (xy 62.781355 117.829748) - (xy 62.771546 117.816247) - (xy 62.771545 117.816247) - (xy 62.766901 117.809854) - (xy 62.759507 117.805585) - (xy 62.743933 117.793634) - (xy 62.352926 117.402626) - (xy 62.331186 117.356006) - (xy 62.3309 117.349452) - (xy 62.3309 117.0305) - (xy 62.520578 117.0305) - (xy 62.540543 117.156555) - (xy 62.571421 117.217156) - (xy 62.592074 117.25769) - (xy 62.598484 117.270271) - (xy 62.688729 117.360516) - (xy 62.802445 117.418457) - (xy 62.9285 117.438422) - (xy 63.054555 117.418457) - (xy 63.168271 117.360516) - (xy 63.258516 117.270271) - (xy 63.264927 117.25769) - (xy 63.285579 117.217156) - (xy 63.316457 117.156555) - (xy 63.336422 117.0305) - (xy 63.316457 116.904445) - (xy 63.270195 116.81365) - (xy 63.261205 116.796006) - (xy 63.261204 116.796005) - (xy 63.258516 116.790729) - (xy 63.168271 116.700484) - (xy 63.161283 116.696923) - (xy 63.088848 116.660016) - (xy 63.054555 116.642543) - (xy 62.9285 116.622578) - (xy 62.802445 116.642543) - (xy 62.768152 116.660016) - (xy 62.695718 116.696923) - (xy 62.688729 116.700484) - (xy 62.598484 116.790729) - (xy 62.595796 116.796005) - (xy 62.595795 116.796006) - (xy 62.586805 116.81365) - (xy 62.540543 116.904445) - (xy 62.520578 117.0305) - (xy 62.3309 117.0305) - (xy 62.3309 116.076547) - (xy 62.348493 116.028209) - (xy 62.352926 116.023373) - (xy 65.940391 112.435908) - (xy 69.2476 112.435908) - (xy 69.247921 112.440801) - (xy 69.253126 112.480343) - (xy 69.256308 112.491259) - (xy 69.29989 112.584719) - (xy 69.307328 112.595342) - (xy 69.379658 112.667672) - (xy 69.390281 112.67511) - (xy 69.483741 112.718692) - (xy 69.494657 112.721874) - (xy 69.534199 112.727079) - (xy 69.539092 112.7274) - (xy 69.586741 112.7274) - (xy 69.596898 112.723703) - (xy 69.6 112.718331) - (xy 69.6 112.714141) - (xy 69.9 112.714141) - (xy 69.903697 112.724298) - (xy 69.909069 112.7274) - (xy 69.960908 112.7274) - (xy 69.965801 112.727079) - (xy 70.005343 112.721874) - (xy 70.016259 112.718692) - (xy 70.109719 112.67511) - (xy 70.120342 112.667672) - (xy 70.192672 112.595342) - (xy 70.20011 112.584719) - (xy 70.243692 112.491259) - (xy 70.246874 112.480343) - (xy 70.252079 112.440801) - (xy 70.252235 112.43842) - (xy 70.8471 112.43842) - (xy 70.853387 112.486176) - (xy 70.855817 112.491388) - (xy 70.855818 112.49139) - (xy 70.867957 112.517421) - (xy 70.902257 112.590977) - (xy 70.984023 112.672743) - (xy 71.031663 112.694958) - (xy 71.08361 112.719182) - (xy 71.083612 112.719183) - (xy 71.088824 112.721613) - (xy 71.094524 112.722363) - (xy 71.094526 112.722364) - (xy 71.109219 112.724298) - (xy 71.13658 112.7279) - (xy 71.56342 112.7279) - (xy 71.590781 112.724298) - (xy 71.605474 112.722364) - (xy 71.605476 112.722363) - (xy 71.611176 112.721613) - (xy 71.616388 112.719183) - (xy 71.61639 112.719182) - (xy 71.668337 112.694958) - (xy 71.715977 112.672743) - (xy 71.797743 112.590977) - (xy 71.837477 112.505768) - (xy 71.87385 112.469395) - (xy 71.925094 112.464911) - (xy 71.93977 112.470545) - (xy 71.968668 112.485269) - (xy 71.968672 112.48527) - (xy 71.973945 112.487957) - (xy 72.1 112.507922) - (xy 72.226055 112.487957) - (xy 72.295092 112.452781) - (xy 72.334494 112.432705) - (xy 72.334495 112.432704) - (xy 72.339771 112.430016) - (xy 72.430016 112.339771) - (xy 72.437745 112.324603) - (xy 72.465188 112.270742) - (xy 72.487957 112.226055) - (xy 72.507922 112.1) - (xy 72.487957 111.973945) - (xy 72.454474 111.908231) - (xy 72.432705 111.865506) - (xy 72.432704 111.865505) - (xy 72.430016 111.860229) - (xy 72.339771 111.769984) - (xy 72.328208 111.764092) - (xy 72.269488 111.734173) - (xy 72.226055 111.712043) - (xy 72.1 111.692078) - (xy 71.973945 111.712043) - (xy 71.968672 111.71473) - (xy 71.968668 111.714731) - (xy 71.93977 111.729455) - (xy 71.888714 111.735723) - (xy 71.845573 111.707707) - (xy 71.837477 111.694232) - (xy 71.836014 111.691094) - (xy 71.797743 111.609023) - (xy 71.715977 111.527257) - (xy 71.633329 111.488717) - (xy 71.61639 111.480818) - (xy 71.616388 111.480817) - (xy 71.611176 111.478387) - (xy 71.605476 111.477637) - (xy 71.605474 111.477636) - (xy 71.588093 111.475348) - (xy 71.56342 111.4721) - (xy 71.13658 111.4721) - (xy 71.111907 111.475348) - (xy 71.094526 111.477636) - (xy 71.094524 111.477637) - (xy 71.088824 111.478387) - (xy 71.083612 111.480817) - (xy 71.08361 111.480818) - (xy 71.066671 111.488717) - (xy 70.984023 111.527257) - (xy 70.902257 111.609023) - (xy 70.894202 111.626297) - (xy 70.860916 111.697679) - (xy 70.853387 111.713824) - (xy 70.852637 111.719524) - (xy 70.852636 111.719526) - (xy 70.850708 111.734173) - (xy 70.8471 111.76158) - (xy 70.8471 112.43842) - (xy 70.252235 112.43842) - (xy 70.2524 112.435908) - (xy 70.2524 112.263259) - (xy 70.248703 112.253102) - (xy 70.243331 112.25) - (xy 69.913259 112.25) - (xy 69.903102 112.253697) - (xy 69.9 112.259069) - (xy 69.9 112.714141) - (xy 69.6 112.714141) - (xy 69.6 112.263259) - (xy 69.596303 112.253102) - (xy 69.590931 112.25) - (xy 69.260859 112.25) - (xy 69.250702 112.253697) - (xy 69.2476 112.259069) - (xy 69.2476 112.435908) - (xy 65.940391 112.435908) - (xy 66.439558 111.936741) - (xy 69.2476 111.936741) - (xy 69.251297 111.946898) - (xy 69.256669 111.95) - (xy 69.586741 111.95) - (xy 69.596898 111.946303) - (xy 69.6 111.940931) - (xy 69.6 111.936741) - (xy 69.9 111.936741) - (xy 69.903697 111.946898) - (xy 69.909069 111.95) - (xy 70.239141 111.95) - (xy 70.249298 111.946303) - (xy 70.2524 111.940931) - (xy 70.2524 111.764092) - (xy 70.252079 111.759199) - (xy 70.246874 111.719657) - (xy 70.243692 111.708741) - (xy 70.20011 111.615281) - (xy 70.192672 111.604658) - (xy 70.120342 111.532328) - (xy 70.109719 111.52489) - (xy 70.016259 111.481308) - (xy 70.005343 111.478126) - (xy 69.965801 111.472921) - (xy 69.960908 111.4726) - (xy 69.913259 111.4726) - (xy 69.903102 111.476297) - (xy 69.9 111.481669) - (xy 69.9 111.936741) - (xy 69.6 111.936741) - (xy 69.6 111.485859) - (xy 69.596303 111.475702) - (xy 69.590931 111.4726) - (xy 69.539092 111.4726) - (xy 69.534199 111.472921) - (xy 69.494657 111.478126) - (xy 69.483741 111.481308) - (xy 69.390281 111.52489) - (xy 69.379658 111.532328) - (xy 69.307328 111.604658) - (xy 69.29989 111.615281) - (xy 69.256308 111.708741) - (xy 69.253126 111.719657) - (xy 69.247921 111.759199) - (xy 69.2476 111.764092) - (xy 69.2476 111.936741) - (xy 66.439558 111.936741) - (xy 66.455532 111.920767) - (xy 66.458388 111.918056) - (xy 66.482622 111.896236) - (xy 66.488494 111.890949) - (xy 66.498496 111.868485) - (xy 66.504126 111.858116) - (xy 66.505599 111.855847) - (xy 66.517516 111.837497) - (xy 66.518852 111.829061) - (xy 66.524426 111.810245) - (xy 66.5279 111.802442) - (xy 66.5279 111.777847) - (xy 66.528826 111.766084) - (xy 66.531435 111.749613) - (xy 66.531435 111.749611) - (xy 66.532671 111.741806) - (xy 66.530462 111.733561) - (xy 66.5279 111.714099) - (xy 66.5279 110.98842) - (xy 69.2471 110.98842) - (xy 69.249008 111.002913) - (xy 69.252547 111.029792) - (xy 69.253387 111.036176) - (xy 69.255817 111.041388) - (xy 69.255818 111.04139) - (xy 69.271105 111.074173) - (xy 69.302257 111.140977) - (xy 69.384023 111.222743) - (xy 69.420415 111.239713) - (xy 69.48361 111.269182) - (xy 69.483612 111.269183) - (xy 69.488824 111.271613) - (xy 69.494524 111.272363) - (xy 69.494526 111.272364) - (xy 69.511907 111.274652) - (xy 69.53658 111.2779) - (xy 69.96342 111.2779) - (xy 69.988093 111.274652) - (xy 70.005474 111.272364) - (xy 70.005476 111.272363) - (xy 70.011176 111.271613) - (xy 70.016388 111.269183) - (xy 70.01639 111.269182) - (xy 70.079585 111.239713) - (xy 70.115977 111.222743) - (xy 70.197743 111.140977) - (xy 70.228895 111.074173) - (xy 70.244182 111.04139) - (xy 70.244183 111.041388) - (xy 70.246613 111.036176) - (xy 70.247454 111.029792) - (xy 70.250992 111.002913) - (xy 70.2529 110.98842) - (xy 70.8471 110.98842) - (xy 70.849008 111.002913) - (xy 70.852547 111.029792) - (xy 70.853387 111.036176) - (xy 70.855817 111.041388) - (xy 70.855818 111.04139) - (xy 70.871105 111.074173) - (xy 70.902257 111.140977) - (xy 70.984023 111.222743) - (xy 71.020415 111.239713) - (xy 71.08361 111.269182) - (xy 71.083612 111.269183) - (xy 71.088824 111.271613) - (xy 71.094524 111.272363) - (xy 71.094526 111.272364) - (xy 71.111907 111.274652) - (xy 71.13658 111.2779) - (xy 71.56342 111.2779) - (xy 71.588093 111.274652) - (xy 71.605474 111.272364) - (xy 71.605476 111.272363) - (xy 71.611176 111.271613) - (xy 71.616388 111.269183) - (xy 71.61639 111.269182) - (xy 71.679585 111.239713) - (xy 71.715977 111.222743) - (xy 71.797743 111.140977) - (xy 71.828344 111.075354) - (xy 71.864718 111.03898) - (xy 71.919392 111.035637) - (xy 71.923945 111.037957) - (xy 71.92979 111.038883) - (xy 71.929791 111.038883) - (xy 72.044153 111.056996) - (xy 72.05 111.057922) - (xy 72.176055 111.037957) - (xy 72.244833 111.002913) - (xy 72.284494 110.982705) - (xy 72.284495 110.982704) - (xy 72.289771 110.980016) - (xy 72.380016 110.889771) - (xy 72.389318 110.871516) - (xy 72.425652 110.800205) - (xy 72.437957 110.776055) - (xy 72.454815 110.669616) - (xy 72.456996 110.655847) - (xy 72.457922 110.65) - (xy 72.437957 110.523945) - (xy 72.380016 110.410229) - (xy 72.289771 110.319984) - (xy 72.278102 110.314038) - (xy 72.203555 110.276055) - (xy 72.176055 110.262043) - (xy 72.05 110.242078) - (xy 72.044153 110.243004) - (xy 71.929791 110.261117) - (xy 71.92979 110.261117) - (xy 71.923945 110.262043) - (xy 71.919375 110.264371) - (xy 71.868329 110.26259) - (xy 71.828344 110.224646) - (xy 71.815002 110.196035) - (xy 71.797743 110.159023) - (xy 71.715977 110.077257) - (xy 71.642938 110.043198) - (xy 71.61639 110.030818) - (xy 71.616388 110.030817) - (xy 71.611176 110.028387) - (xy 71.605476 110.027637) - (xy 71.605474 110.027636) - (xy 71.585524 110.02501) - (xy 71.56342 110.0221) - (xy 71.13658 110.0221) - (xy 71.114476 110.02501) - (xy 71.094526 110.027636) - (xy 71.094524 110.027637) - (xy 71.088824 110.028387) - (xy 71.083612 110.030817) - (xy 71.08361 110.030818) - (xy 71.057062 110.043198) - (xy 70.984023 110.077257) - (xy 70.902257 110.159023) - (xy 70.884998 110.196035) - (xy 70.857197 110.255654) - (xy 70.853387 110.263824) - (xy 70.852637 110.269524) - (xy 70.852636 110.269526) - (xy 70.851777 110.276055) - (xy 70.8471 110.31158) - (xy 70.8471 110.98842) - (xy 70.2529 110.98842) - (xy 70.2529 110.31158) - (xy 70.248223 110.276055) - (xy 70.247364 110.269526) - (xy 70.247363 110.269524) - (xy 70.246613 110.263824) - (xy 70.242804 110.255654) - (xy 70.215002 110.196035) - (xy 70.197743 110.159023) - (xy 70.174926 110.136206) - (xy 70.153186 110.089586) - (xy 70.1529 110.083032) - (xy 70.1529 109.837625) - (xy 70.153826 109.825861) - (xy 70.154742 109.820081) - (xy 70.157922 109.8) - (xy 70.154405 109.777791) - (xy 70.146749 109.729453) - (xy 70.137957 109.673945) - (xy 70.092775 109.58527) - (xy 70.082705 109.565506) - (xy 70.082704 109.565505) - (xy 70.080016 109.560229) - (xy 69.989771 109.469984) - (xy 69.967013 109.458388) - (xy 69.905139 109.426862) - (xy 69.876055 109.412043) - (xy 69.75 109.392078) - (xy 69.623945 109.412043) - (xy 69.594861 109.426862) - (xy 69.532988 109.458388) - (xy 69.510229 109.469984) - (xy 69.419984 109.560229) - (xy 69.417296 109.565505) - (xy 69.417295 109.565506) - (xy 69.407225 109.58527) - (xy 69.362043 109.673945) - (xy 69.353251 109.729453) - (xy 69.345596 109.777791) - (xy 69.342078 109.8) - (xy 69.345259 109.820081) - (xy 69.346174 109.825861) - (xy 69.3471 109.837625) - (xy 69.3471 110.083032) - (xy 69.329507 110.13137) - (xy 69.325074 110.136206) - (xy 69.302257 110.159023) - (xy 69.284998 110.196035) - (xy 69.257197 110.255654) - (xy 69.253387 110.263824) - (xy 69.252637 110.269524) - (xy 69.252636 110.269526) - (xy 69.251777 110.276055) - (xy 69.2471 110.31158) - (xy 69.2471 110.98842) - (xy 66.5279 110.98842) - (xy 66.5279 101.644343) - (xy 68.3726 101.644343) - (xy 68.373 101.64981) - (xy 68.382306 101.713029) - (xy 68.385731 101.72405) - (xy 68.433959 101.822278) - (xy 68.441094 101.832244) - (xy 68.518271 101.909286) - (xy 68.528257 101.91641) - (xy 68.626572 101.964467) - (xy 68.637584 101.96787) - (xy 68.700205 101.977005) - (xy 68.705641 101.9774) - (xy 68.836741 101.9774) - (xy 68.846898 101.973703) - (xy 68.85 101.968331) - (xy 68.85 101.964141) - (xy 69.15 101.964141) - (xy 69.153697 101.974298) - (xy 69.159069 101.9774) - (xy 69.294343 101.9774) - (xy 69.29981 101.977) - (xy 69.363029 101.967694) - (xy 69.37405 101.964269) - (xy 69.472278 101.916041) - (xy 69.482244 101.908906) - (xy 69.559286 101.831729) - (xy 69.56641 101.821743) - (xy 69.614467 101.723428) - (xy 69.61787 101.712416) - (xy 69.627005 101.649795) - (xy 69.6274 101.644359) - (xy 69.6274 101.563259) - (xy 69.623703 101.553102) - (xy 69.618331 101.55) - (xy 69.163259 101.55) - (xy 69.153102 101.553697) - (xy 69.15 101.559069) - (xy 69.15 101.964141) - (xy 68.85 101.964141) - (xy 68.85 101.563259) - (xy 68.846303 101.553102) - (xy 68.840931 101.55) - (xy 68.385859 101.55) - (xy 68.375702 101.553697) - (xy 68.3726 101.559069) - (xy 68.3726 101.644343) - (xy 66.5279 101.644343) - (xy 66.5279 101.236741) - (xy 68.3726 101.236741) - (xy 68.376297 101.246898) - (xy 68.381669 101.25) - (xy 68.836741 101.25) - (xy 68.846898 101.246303) - (xy 68.85 101.240931) - (xy 68.85 101.236741) - (xy 69.15 101.236741) - (xy 69.153697 101.246898) - (xy 69.159069 101.25) - (xy 69.614141 101.25) - (xy 69.624298 101.246303) - (xy 69.6274 101.240931) - (xy 69.6274 101.155657) - (xy 69.627 101.15019) - (xy 69.617694 101.086971) - (xy 69.614269 101.07595) - (xy 69.566041 100.977722) - (xy 69.558906 100.967756) - (xy 69.481729 100.890714) - (xy 69.471743 100.88359) - (xy 69.373428 100.835533) - (xy 69.362416 100.83213) - (xy 69.299795 100.822995) - (xy 69.294359 100.8226) - (xy 69.163259 100.8226) - (xy 69.153102 100.826297) - (xy 69.15 100.831669) - (xy 69.15 101.236741) - (xy 68.85 101.236741) - (xy 68.85 100.835859) - (xy 68.846303 100.825702) - (xy 68.840931 100.8226) - (xy 68.705657 100.8226) - (xy 68.70019 100.823) - (xy 68.636971 100.832306) - (xy 68.62595 100.835731) - (xy 68.527722 100.883959) - (xy 68.517756 100.891094) - (xy 68.440714 100.968271) - (xy 68.43359 100.978257) - (xy 68.385533 101.076572) - (xy 68.38213 101.087584) - (xy 68.372995 101.150205) - (xy 68.3726 101.155641) - (xy 68.3726 101.236741) - (xy 66.5279 101.236741) - (xy 66.5279 100.216929) - (xy 66.528003 100.212992) - (xy 66.529144 100.191219) - (xy 66.530123 100.172552) - (xy 66.52131 100.149592) - (xy 66.51796 100.138284) - (xy 66.512848 100.114234) - (xy 66.507829 100.107325) - (xy 66.498465 100.09008) - (xy 66.498236 100.089483) - (xy 66.498235 100.089482) - (xy 66.495403 100.082104) - (xy 66.478019 100.06472) - (xy 66.470355 100.055748) - (xy 66.466179 100.05) - (xy 67.742078 100.05) - (xy 67.746037 100.074993) - (xy 67.746174 100.075861) - (xy 67.7471 100.087625) - (xy 67.7471 100.113813) - (xy 67.748929 100.119441) - (xy 67.748929 100.119443) - (xy 67.755192 100.138717) - (xy 67.757947 100.150193) - (xy 67.7591 100.15747) - (xy 67.762043 100.176055) - (xy 67.765978 100.183777) - (xy 67.773931 100.199386) - (xy 67.778446 100.210287) - (xy 67.784709 100.229563) - (xy 67.784711 100.229567) - (xy 67.786539 100.235193) - (xy 67.798127 100.251142) - (xy 67.80193 100.256376) - (xy 67.808094 100.266435) - (xy 67.819984 100.289771) - (xy 67.8385 100.308287) - (xy 67.846164 100.317259) - (xy 67.861556 100.338444) - (xy 67.868059 100.343169) - (xy 67.882741 100.353836) - (xy 67.891713 100.3615) - (xy 67.910229 100.380016) - (xy 67.933565 100.391906) - (xy 67.943623 100.39807) - (xy 67.964807 100.413461) - (xy 67.970433 100.415289) - (xy 67.970437 100.415291) - (xy 67.989713 100.421554) - (xy 68.000614 100.426069) - (xy 68.023945 100.437957) - (xy 68.029788 100.438882) - (xy 68.02979 100.438883) - (xy 68.049807 100.442053) - (xy 68.061283 100.444808) - (xy 68.080557 100.451071) - (xy 68.080559 100.451071) - (xy 68.086187 100.4529) - (xy 68.112375 100.4529) - (xy 68.124138 100.453826) - (xy 68.15 100.457922) - (xy 68.175862 100.453826) - (xy 68.187625 100.4529) - (xy 68.213813 100.4529) - (xy 68.219441 100.451071) - (xy 68.219443 100.451071) - (xy 68.238717 100.444808) - (xy 68.250193 100.442053) - (xy 68.27021 100.438883) - (xy 68.270212 100.438882) - (xy 68.276055 100.437957) - (xy 68.299386 100.426069) - (xy 68.310287 100.421554) - (xy 68.329563 100.415291) - (xy 68.329567 100.415289) - (xy 68.335193 100.413461) - (xy 68.356377 100.39807) - (xy 68.366435 100.391906) - (xy 68.389771 100.380016) - (xy 68.39396 100.375827) - (xy 68.394183 100.375665) - (xy 68.44363 100.361485) - (xy 68.491514 100.383281) - (xy 68.502077 100.393826) - (xy 68.517678 100.4094) - (xy 68.522377 100.414091) - (xy 68.527959 100.41682) - (xy 68.527961 100.416821) - (xy 68.62642 100.464949) - (xy 68.626422 100.46495) - (xy 68.631666 100.467513) - (xy 68.637443 100.468356) - (xy 68.637444 100.468356) - (xy 68.645403 100.469517) - (xy 68.702869 100.4779) - (xy 68.999078 100.4779) - (xy 69.29713 100.477899) - (xy 69.358131 100.46892) - (xy 69.363172 100.468178) - (xy 69.368953 100.467327) - (xy 69.45515 100.425007) - (xy 69.472573 100.416453) - (xy 69.472575 100.416452) - (xy 69.478149 100.413715) - (xy 69.482536 100.409321) - (xy 69.482538 100.409319) - (xy 69.508418 100.383393) - (xy 69.555019 100.361613) - (xy 69.60584 100.375682) - (xy 69.60604 100.375827) - (xy 69.610229 100.380016) - (xy 69.633565 100.391906) - (xy 69.643623 100.39807) - (xy 69.664807 100.413461) - (xy 69.670433 100.415289) - (xy 69.670437 100.415291) - (xy 69.689713 100.421554) - (xy 69.700614 100.426069) - (xy 69.723945 100.437957) - (xy 69.729788 100.438882) - (xy 69.72979 100.438883) - (xy 69.749807 100.442053) - (xy 69.761283 100.444808) - (xy 69.780557 100.451071) - (xy 69.780559 100.451071) - (xy 69.786187 100.4529) - (xy 69.812375 100.4529) - (xy 69.824138 100.453826) - (xy 69.85 100.457922) - (xy 69.875862 100.453826) - (xy 69.887625 100.4529) - (xy 69.913813 100.4529) - (xy 69.919441 100.451071) - (xy 69.919443 100.451071) - (xy 69.938717 100.444808) - (xy 69.950193 100.442053) - (xy 69.97021 100.438883) - (xy 69.970212 100.438882) - (xy 69.976055 100.437957) - (xy 69.999386 100.426069) - (xy 70.010287 100.421554) - (xy 70.029563 100.415291) - (xy 70.029567 100.415289) - (xy 70.035193 100.413461) - (xy 70.056377 100.39807) - (xy 70.066435 100.391906) - (xy 70.089771 100.380016) - (xy 70.108287 100.3615) - (xy 70.117259 100.353836) - (xy 70.131941 100.343169) - (xy 70.138444 100.338444) - (xy 70.153836 100.317259) - (xy 70.1615 100.308287) - (xy 70.180016 100.289771) - (xy 70.191906 100.266435) - (xy 70.19807 100.256376) - (xy 70.201873 100.251142) - (xy 70.213461 100.235193) - (xy 70.215289 100.229567) - (xy 70.215291 100.229563) - (xy 70.221554 100.210287) - (xy 70.226069 100.199386) - (xy 70.234022 100.183777) - (xy 70.237957 100.176055) - (xy 70.240901 100.15747) - (xy 70.242053 100.150193) - (xy 70.244808 100.138717) - (xy 70.251071 100.119443) - (xy 70.251071 100.119441) - (xy 70.2529 100.113813) - (xy 70.2529 100.087625) - (xy 70.253826 100.075861) - (xy 70.253964 100.074993) - (xy 70.257922 100.05) - (xy 70.253826 100.024138) - (xy 70.2529 100.012375) - (xy 70.2529 99.986187) - (xy 70.249534 99.975826) - (xy 70.244808 99.961283) - (xy 70.242053 99.949807) - (xy 70.238883 99.92979) - (xy 70.238882 99.929788) - (xy 70.237957 99.923945) - (xy 70.228735 99.905847) - (xy 70.226069 99.900614) - (xy 70.221554 99.889713) - (xy 70.215291 99.870437) - (xy 70.215289 99.870433) - (xy 70.213461 99.864807) - (xy 70.19807 99.843623) - (xy 70.191904 99.833562) - (xy 70.180016 99.810229) - (xy 70.089771 99.719984) - (xy 70.089769 99.719983) - (xy 70.030017 99.660231) - (xy 70.030016 99.660229) - (xy 69.939771 99.569984) - (xy 69.916435 99.558094) - (xy 69.906376 99.55193) - (xy 69.89945 99.546898) - (xy 69.885193 99.536539) - (xy 69.879567 99.534711) - (xy 69.879563 99.534709) - (xy 69.860287 99.528446) - (xy 69.849386 99.523931) - (xy 69.831326 99.514729) - (xy 69.831327 99.514729) - (xy 69.826055 99.512043) - (xy 69.820212 99.511118) - (xy 69.82021 99.511117) - (xy 69.800193 99.507947) - (xy 69.788717 99.505192) - (xy 69.769443 99.498929) - (xy 69.769441 99.498929) - (xy 69.763813 99.4971) - (xy 69.619184 99.4971) - (xy 69.570846 99.479507) - (xy 69.563737 99.471829) - (xy 69.563715 99.471851) - (xy 69.477623 99.385909) - (xy 69.472041 99.38318) - (xy 69.472039 99.383179) - (xy 69.445075 99.369999) - (xy 69.409375 99.332965) - (xy 69.4029 99.302439) - (xy 69.4029 99.137625) - (xy 69.403826 99.125861) - (xy 69.406996 99.105847) - (xy 69.407922 99.1) - (xy 69.392999 99.005776) - (xy 69.387957 98.973945) - (xy 69.353101 98.905536) - (xy 69.332705 98.865506) - (xy 69.332704 98.865505) - (xy 69.330016 98.860229) - (xy 69.239771 98.769984) - (xy 69.126055 98.712043) - (xy 69.063028 98.702061) - (xy 69.005847 98.693004) - (xy 69 98.692078) - (xy 68.994153 98.693004) - (xy 68.936972 98.702061) - (xy 68.873945 98.712043) - (xy 68.760229 98.769984) - (xy 68.669984 98.860229) - (xy 68.667296 98.865505) - (xy 68.667295 98.865506) - (xy 68.614999 98.968144) - (xy 68.612043 98.973945) - (xy 68.611117 98.97979) - (xy 68.611117 98.979791) - (xy 68.610457 98.983959) - (xy 68.607002 99.005776) - (xy 68.592078 99.1) - (xy 68.593004 99.105847) - (xy 68.596174 99.125861) - (xy 68.5971 99.137625) - (xy 68.5971 99.302486) - (xy 68.579507 99.350824) - (xy 68.555042 99.369989) - (xy 68.527427 99.383547) - (xy 68.527425 99.383548) - (xy 68.521851 99.386285) - (xy 68.517464 99.390679) - (xy 68.517462 99.390681) - (xy 68.435909 99.472377) - (xy 68.434361 99.470832) - (xy 68.399624 99.494705) - (xy 68.380797 99.4971) - (xy 68.236187 99.4971) - (xy 68.230559 99.498929) - (xy 68.230557 99.498929) - (xy 68.211283 99.505192) - (xy 68.199807 99.507947) - (xy 68.17979 99.511117) - (xy 68.179788 99.511118) - (xy 68.173945 99.512043) - (xy 68.168673 99.514729) - (xy 68.168674 99.514729) - (xy 68.150614 99.523931) - (xy 68.139713 99.528446) - (xy 68.120437 99.534709) - (xy 68.120433 99.534711) - (xy 68.114807 99.536539) - (xy 68.10055 99.546898) - (xy 68.093624 99.55193) - (xy 68.083565 99.558094) - (xy 68.060229 99.569984) - (xy 67.969984 99.660229) - (xy 67.969983 99.660231) - (xy 67.910231 99.719983) - (xy 67.910229 99.719984) - (xy 67.819984 99.810229) - (xy 67.808096 99.833562) - (xy 67.80193 99.843623) - (xy 67.786539 99.864807) - (xy 67.784711 99.870433) - (xy 67.784709 99.870437) - (xy 67.778446 99.889713) - (xy 67.773931 99.900614) - (xy 67.771265 99.905847) - (xy 67.762043 99.923945) - (xy 67.761118 99.929788) - (xy 67.761117 99.92979) - (xy 67.757947 99.949807) - (xy 67.755192 99.961283) - (xy 67.750467 99.975826) - (xy 67.7471 99.986187) - (xy 67.7471 100.012375) - (xy 67.746174 100.024138) - (xy 67.742078 100.05) - (xy 66.466179 100.05) - (xy 66.460546 100.042247) - (xy 66.460545 100.042247) - (xy 66.455901 100.035854) - (xy 66.448507 100.031585) - (xy 66.432933 100.019634) - (xy 65.027869 98.614569) - (xy 65.006129 98.567949) - (xy 65.015657 98.524252) - (xy 65.047139 98.468834) - (xy 65.053811 98.457089) - (xy 65.053812 98.457087) - (xy 65.055628 98.45389) - (xy 65.089223 98.3529) - (xy 65.116548 98.27076) - (xy 65.116549 98.270757) - (xy 65.11771 98.267266) - (xy 65.14236 98.072138) - (xy 65.142629 98.052883) - (xy 65.142724 98.046099) - (xy 65.142724 98.046093) - (xy 65.142753 98.044) - (xy 65.141734 98.033608) - (xy 65.123921 97.851927) - (xy 65.12392 97.851922) - (xy 65.123561 97.84826) - (xy 65.066714 97.659975) - (xy 64.974379 97.486318) - (xy 64.850072 97.333903) - (xy 64.706955 97.215506) - (xy 64.701359 97.210877) - (xy 64.698528 97.208535) - (xy 64.52552 97.11499) - (xy 64.337637 97.05683) - (xy 64.214766 97.043916) - (xy 64.14569 97.036656) - (xy 64.145689 97.036656) - (xy 64.142035 97.036272) - (xy 64.068061 97.043004) - (xy 63.949824 97.053764) - (xy 63.949823 97.053764) - (xy 63.946166 97.054097) - (xy 63.942641 97.055134) - (xy 63.942638 97.055135) - (xy 63.762266 97.108222) - (xy 63.757489 97.109628) - (xy 63.583192 97.200748) - (xy 63.53925 97.236078) - (xy 63.436524 97.318672) - (xy 63.429912 97.323988) - (xy 63.363084 97.40363) - (xy 63.307403 97.469989) - (xy 63.303489 97.474653) - (xy 63.301718 97.477875) - (xy 63.301717 97.477876) - (xy 63.232986 97.602899) - (xy 63.208739 97.647004) - (xy 63.149269 97.834476) - (xy 63.127345 98.02993) - (xy 63.127653 98.033598) - (xy 63.127653 98.033601) - (xy 63.143372 98.220791) - (xy 63.143803 98.225919) - (xy 63.198015 98.414979) - (xy 63.287916 98.589908) - (xy 63.410083 98.744044) - (xy 63.412877 98.746422) - (xy 63.412878 98.746423) - (xy 63.495146 98.816438) - (xy 63.559862 98.871516) - (xy 63.563063 98.873305) - (xy 63.563066 98.873307) - (xy 63.620732 98.905535) - (xy 63.731547 98.967467) - (xy 63.735044 98.968603) - (xy 63.735048 98.968605) - (xy 63.807292 98.992078) - (xy 63.9186 99.028244) - (xy 64.006419 99.038716) - (xy 64.110237 99.051096) - (xy 64.110239 99.051096) - (xy 64.113895 99.051532) - (xy 64.309994 99.036443) - (xy 64.449662 98.997447) - (xy 64.495883 98.984542) - (xy 64.495885 98.984541) - (xy 64.499428 98.983552) - (xy 64.618816 98.923245) - (xy 64.669893 98.917155) - (xy 64.705895 98.937194) - (xy 66.050074 100.281373) - (xy 66.071814 100.327993) - (xy 66.0721 100.334547) - (xy 66.0721 111.628453) - (xy 66.054507 111.676791) - (xy 66.050074 111.681627) - (xy 61.947458 115.784242) - (xy 61.944602 115.786953) - (xy 61.925725 115.80395) - (xy 61.914506 115.814051) - (xy 61.904501 115.836522) - (xy 61.898877 115.846879) - (xy 61.898508 115.847448) - (xy 61.885484 115.867503) - (xy 61.884148 115.875939) - (xy 61.878574 115.894755) - (xy 61.8751 115.902558) - (xy 61.8751 115.927153) - (xy 61.874174 115.938916) - (xy 61.871815 115.953813) - (xy 61.870329 115.963194) - (xy 61.872374 115.970826) - (xy 61.872374 115.970827) - (xy 61.872538 115.971438) - (xy 61.8751 115.990901) - (xy 61.8751 117.467064) - (xy 61.874997 117.471) - (xy 61.872877 117.511448) - (xy 61.88169 117.534408) - (xy 61.88504 117.545715) - (xy 61.890152 117.569766) - (xy 61.894798 117.57616) - (xy 61.894798 117.576161) - (xy 61.895171 117.576674) - (xy 61.904535 117.59392) - (xy 61.907597 117.601896) - (xy 61.924981 117.61928) - (xy 61.932645 117.628252) - (xy 61.94074 117.639393) - (xy 61.947099 117.648146) - (xy 61.953944 117.652098) - (xy 61.954493 117.652415) - (xy 61.970067 117.664366) - (xy 62.337461 118.03176) - (xy 62.359201 118.07838) - (xy 62.345887 118.128067) - (xy 62.330068 118.144592) - (xy 62.22116 118.22816) - (xy 62.133545 118.342342) - (xy 62.13166 118.346893) - (xy 62.091198 118.444578) - (xy 62.056446 118.482503) - (xy 62.021722 118.491) - (xy 61.929737 118.491) - (xy 61.881399 118.473407) - (xy 61.860261 118.444578) - (xy 61.826173 118.362282) - (xy 61.819096 118.354559) - (xy 61.813506 118.357626) - (xy 61.702158 118.468974) - (xy 61.655538 118.490714) - (xy 61.648984 118.491) - (xy 61.437416 118.491) - (xy 61.389078 118.473407) - (xy 61.363358 118.428858) - (xy 61.372291 118.3782) - (xy 61.384242 118.362626) - (xy 61.59959 118.147278) - (xy 61.604018 118.137781) - (xy 61.599049 118.133793) - (xy 61.488115 118.087842) - (xy 61.478674 118.085313) - (xy 61.345884 118.067831) - (xy 61.336116 118.067831) - (xy 61.203326 118.085313) - (xy 61.193885 118.087842) - (xy 61.085282 118.132827) - (xy 61.077559 118.139904) - (xy 61.080626 118.145494) - (xy 61.297758 118.362626) - (xy 61.319498 118.409246) - (xy 61.306184 118.458933) - (xy 61.264047 118.488438) - (xy 61.244584 118.491) - (xy 61.214 118.491) - (xy 61.214 118.521584) - (xy 61.196407 118.569922) - (xy 61.151858 118.595642) - (xy 61.1012 118.586709) - (xy 61.085626 118.574758) - (xy 60.870278 118.35941) - (xy 60.860781 118.354982) - (xy 60.856793 118.359951) - (xy 60.810842 118.470885) - (xy 60.808313 118.480326) - (xy 60.790831 118.613116) - (xy 60.790831 118.622884) - (xy 60.808778 118.759211) - (xy 60.797644 118.809431) - (xy 60.756834 118.840746) - (xy 60.705443 118.838502) - (xy 60.673654 118.813598) - (xy 60.670588 118.809431) - (xy 60.638069 118.765243) - (xy 60.622747 118.744422) - (xy 60.622745 118.74442) - (xy 60.620157 118.740903) - (xy 60.48762 118.628305) - (xy 60.400842 118.583994) - (xy 60.336623 118.551202) - (xy 60.336621 118.551201) - (xy 60.332735 118.549217) - (xy 60.16381 118.507881) - (xy 60.160469 118.507674) - (xy 60.160464 118.507673) - (xy 60.157183 118.50747) - (xy 60.152833 118.5072) - (xy 60.027453 118.5072) - (xy 60.025293 118.507452) - (xy 60.02529 118.507452) - (xy 59.982947 118.512389) - (xy 59.898261 118.522262) - (xy 59.894152 118.523754) - (xy 59.89415 118.523754) - (xy 59.738895 118.580109) - (xy 59.738893 118.58011) - (xy 59.734788 118.5816) - (xy 59.681956 118.616238) - (xy 59.594765 118.673403) - (xy 59.58935 118.676953) - (xy 59.586346 118.680124) - (xy 59.568929 118.69851) - (xy 59.469749 118.803207) - (xy 59.467555 118.806985) - (xy 59.467553 118.806987) - (xy 59.398435 118.925984) - (xy 59.382401 118.953588) - (xy 59.381133 118.957775) - (xy 59.381132 118.957777) - (xy 59.338862 119.097341) - (xy 59.33199 119.120031) - (xy 59.33172 119.124387) - (xy 59.331719 119.124391) - (xy 59.321492 119.289248) - (xy 59.321222 119.293607) - (xy 59.327123 119.327949) - (xy 59.339362 119.399175) - (xy 59.350673 119.465004) - (xy 59.352383 119.469022) - (xy 59.352383 119.469023) - (xy 59.393314 119.565217) - (xy 59.418765 119.625029) - (xy 59.468107 119.692078) - (xy 59.518403 119.760422) - (xy 59.521843 119.765097) - (xy 59.65438 119.877695) - (xy 59.714481 119.908384) - (xy 59.805377 119.954798) - (xy 59.805379 119.954799) - (xy 59.809265 119.956783) - (xy 59.97819 119.998119) - (xy 59.981531 119.998326) - (xy 59.981536 119.998327) - (xy 59.984817 119.99853) - (xy 59.989167 119.9988) - (xy 60.114547 119.9988) - (xy 60.116707 119.998548) - (xy 60.11671 119.998548) - (xy 60.159053 119.993611) - (xy 60.243739 119.983738) - (xy 60.247848 119.982246) - (xy 60.24785 119.982246) - (xy 60.403105 119.925891) - (xy 60.403107 119.92589) - (xy 60.407212 119.9244) - (xy 60.497041 119.865506) - (xy 60.548999 119.831441) - (xy 60.549001 119.83144) - (xy 60.55265 119.829047) - (xy 60.561972 119.819207) - (xy 60.582872 119.797144) - (xy 60.672251 119.702793) - (xy 60.672989 119.701523) - (xy 60.715207 119.673893) - (xy 60.766285 119.679981) - (xy 60.8015 119.717477) - (xy 60.80694 119.756921) - (xy 60.798844 119.818417) - (xy 60.789683 119.888) - (xy 60.790326 119.892884) - (xy 60.806574 120.016294) - (xy 60.808469 120.030691) - (xy 60.810353 120.03524) - (xy 60.810354 120.035243) - (xy 60.821147 120.0613) - (xy 60.863545 120.163658) - (xy 60.95116 120.27784) - (xy 60.955067 120.280838) - (xy 61.052914 120.355919) - (xy 61.065341 120.365455) - (xy 61.092014 120.376503) - (xy 61.193757 120.418646) - (xy 61.19376 120.418647) - (xy 61.198309 120.420531) - (xy 61.203191 120.421174) - (xy 61.203192 120.421174) - (xy 61.336116 120.438674) - (xy 61.341 120.439317) - (xy 61.345884 120.438674) - (xy 61.478808 120.421174) - (xy 61.478809 120.421174) - (xy 61.483691 120.420531) - (xy 61.488243 120.418646) - (xy 61.493003 120.41737) - (xy 61.493601 120.4196) - (xy 61.536429 120.417737) - (xy 61.56698 120.436279) - (xy 61.726074 120.595373) - (xy 61.747814 120.641993) - (xy 61.7481 120.648547) - (xy 61.7481 122.429453) - (xy 61.730507 122.477791) - (xy 61.726074 122.482627) - (xy 60.760626 123.448074) - (xy 60.714006 123.469814) - (xy 60.707452 123.4701) - (xy 59.434547 123.4701) - (xy 59.386209 123.452507) - (xy 59.381373 123.448074) - (xy 58.415926 122.482626) - (xy 58.394186 122.436006) - (xy 58.3939 122.429452) - (xy 58.3939 121.89365) - (xy 58.631637 121.89365) - (xy 58.632103 121.896693) - (xy 58.632103 121.896698) - (xy 58.659222 122.073922) - (xy 58.667403 122.127384) - (xy 58.668361 122.130316) - (xy 58.668362 122.130319) - (xy 58.698914 122.223791) - (xy 58.740864 122.352138) - (xy 58.748454 122.366718) - (xy 58.823053 122.510021) - (xy 58.850046 122.561875) - (xy 58.851899 122.564343) - (xy 58.97483 122.728071) - (xy 58.992019 122.750965) - (xy 58.994252 122.753099) - (xy 58.994253 122.7531) - (xy 59.126589 122.879563) - (xy 59.162967 122.914327) - (xy 59.165508 122.91606) - (xy 59.16551 122.916062) - (xy 59.21983 122.953116) - (xy 59.358302 123.047575) - (xy 59.464559 123.096898) - (xy 59.53272 123.128537) - (xy 59.572777 123.147131) - (xy 59.609968 123.157445) - (xy 59.797659 123.209497) - (xy 59.797665 123.209498) - (xy 59.800632 123.210321) - (xy 59.803698 123.210649) - (xy 59.8037 123.210649) - (xy 59.99168 123.230738) - (xy 59.991686 123.230738) - (xy 59.993667 123.23095) - (xy 60.130939 123.23095) - (xy 60.132481 123.230823) - (xy 60.132486 123.230823) - (xy 60.245223 123.221554) - (xy 60.306659 123.216503) - (xy 60.425146 123.186741) - (xy 60.532993 123.159652) - (xy 60.532995 123.159651) - (xy 60.53599 123.158899) - (xy 60.538818 123.157669) - (xy 60.538822 123.157668) - (xy 60.750002 123.065844) - (xy 60.752833 123.064613) - (xy 60.755427 123.062935) - (xy 60.948772 122.937855) - (xy 60.948776 122.937852) - (xy 60.951365 122.936177) - (xy 61.126254 122.77704) - (xy 61.272804 122.591476) - (xy 61.283042 122.572931) - (xy 61.372125 122.411556) - (xy 61.387078 122.384469) - (xy 61.466008 122.161577) - (xy 61.472642 122.124333) - (xy 61.506933 121.931826) - (xy 61.506933 121.931822) - (xy 61.507474 121.928787) - (xy 61.507608 121.91787) - (xy 61.509393 121.771743) - (xy 61.510363 121.69235) - (xy 61.505877 121.663029) - (xy 61.475064 121.461668) - (xy 61.474597 121.458616) - (xy 61.464766 121.428536) - (xy 61.424281 121.304674) - (xy 61.401136 121.233862) - (xy 61.353927 121.143174) - (xy 61.29338 121.026864) - (xy 61.293379 121.026862) - (xy 61.291954 121.024125) - (xy 61.273186 120.999128) - (xy 61.151835 120.837504) - (xy 61.151834 120.837502) - (xy 61.149981 120.835035) - (xy 61.1074 120.794343) - (xy 60.981261 120.673802) - (xy 60.98126 120.673801) - (xy 60.979033 120.671673) - (xy 60.950049 120.651901) - (xy 60.837446 120.575089) - (xy 60.783698 120.538425) - (xy 60.598117 120.452281) - (xy 60.572024 120.440169) - (xy 60.572022 120.440168) - (xy 60.569223 120.438869) - (xy 60.503099 120.420531) - (xy 60.344341 120.376503) - (xy 60.344335 120.376502) - (xy 60.341368 120.375679) - (xy 60.338302 120.375351) - (xy 60.3383 120.375351) - (xy 60.15032 120.355262) - (xy 60.150314 120.355262) - (xy 60.148333 120.35505) - (xy 60.011061 120.35505) - (xy 60.009519 120.355177) - (xy 60.009514 120.355177) - (xy 59.941135 120.360799) - (xy 59.835341 120.369497) - (xy 59.769437 120.386051) - (xy 59.609007 120.426348) - (xy 59.609005 120.426349) - (xy 59.60601 120.427101) - (xy 59.603182 120.428331) - (xy 59.603178 120.428332) - (xy 59.391998 120.520156) - (xy 59.389167 120.521387) - (xy 59.386574 120.523064) - (xy 59.386573 120.523065) - (xy 59.193228 120.648145) - (xy 59.193224 120.648148) - (xy 59.190635 120.649823) - (xy 59.015746 120.80896) - (xy 58.869196 120.994524) - (xy 58.867705 120.997225) - (xy 58.867703 120.997228) - (xy 58.787137 121.143174) - (xy 58.754922 121.201531) - (xy 58.675992 121.424423) - (xy 58.675452 121.427457) - (xy 58.675451 121.427459) - (xy 58.635423 121.652179) - (xy 58.634526 121.657213) - (xy 58.634488 121.660301) - (xy 58.634488 121.660304) - (xy 58.634347 121.671847) - (xy 58.631637 121.89365) - (xy 58.3939 121.89365) - (xy 58.3939 116.81365) - (xy 58.631637 116.81365) - (xy 58.632103 116.816693) - (xy 58.632103 116.816698) - (xy 58.65641 116.975547) - (xy 58.667403 117.047384) - (xy 58.668361 117.050316) - (xy 58.668362 117.050319) - (xy 58.703086 117.156555) - (xy 58.740864 117.272138) - (xy 58.755838 117.300902) - (xy 58.837456 117.457689) - (xy 58.850046 117.481875) - (xy 58.851899 117.484343) - (xy 58.986883 117.664124) - (xy 58.992019 117.670965) - (xy 58.994252 117.673099) - (xy 58.994253 117.6731) - (xy 59.155421 117.827116) - (xy 59.162967 117.834327) - (xy 59.165508 117.83606) - (xy 59.16551 117.836062) - (xy 59.277248 117.912284) - (xy 59.358302 117.967575) - (xy 59.467948 118.018471) - (xy 59.56857 118.065178) - (xy 59.572777 118.067131) - (xy 59.614039 118.078574) - (xy 59.797659 118.129497) - (xy 59.797665 118.129498) - (xy 59.800632 118.130321) - (xy 59.803698 118.130649) - (xy 59.8037 118.130649) - (xy 59.99168 118.150738) - (xy 59.991686 118.150738) - (xy 59.993667 118.15095) - (xy 60.130939 118.15095) - (xy 60.132481 118.150823) - (xy 60.132486 118.150823) - (xy 60.229664 118.142833) - (xy 60.306659 118.136503) - (xy 60.427412 118.106172) - (xy 60.532993 118.079652) - (xy 60.532995 118.079651) - (xy 60.53599 118.078899) - (xy 60.538818 118.077669) - (xy 60.538822 118.077668) - (xy 60.750002 117.985844) - (xy 60.752833 117.984613) - (xy 60.757214 117.981779) - (xy 60.948772 117.857855) - (xy 60.948776 117.857852) - (xy 60.951365 117.856177) - (xy 61.126254 117.69704) - (xy 61.272804 117.511476) - (xy 61.275683 117.506262) - (xy 61.385588 117.307168) - (xy 61.387078 117.304469) - (xy 61.466008 117.081577) - (xy 61.472099 117.047384) - (xy 61.506933 116.851826) - (xy 61.506933 116.851822) - (xy 61.507474 116.848787) - (xy 61.508184 116.790729) - (xy 61.509235 116.704674) - (xy 61.510363 116.61235) - (xy 61.50976 116.608404) - (xy 61.475064 116.381668) - (xy 61.474597 116.378616) - (xy 61.473595 116.375548) - (xy 61.430385 116.243348) - (xy 61.401136 116.153862) - (xy 61.335725 116.028209) - (xy 61.29338 115.946864) - (xy 61.293379 115.946862) - (xy 61.291954 115.944125) - (xy 61.237571 115.871694) - (xy 61.151835 115.757504) - (xy 61.151834 115.757502) - (xy 61.149981 115.755035) - (xy 61.144897 115.750176) - (xy 60.981261 115.593802) - (xy 60.98126 115.593801) - (xy 60.979033 115.591673) - (xy 60.950049 115.571901) - (xy 60.85794 115.509069) - (xy 60.783698 115.458425) - (xy 60.613884 115.3796) - (xy 60.572024 115.360169) - (xy 60.572022 115.360168) - (xy 60.569223 115.358869) - (xy 60.513429 115.343396) - (xy 60.344341 115.296503) - (xy 60.344335 115.296502) - (xy 60.341368 115.295679) - (xy 60.338302 115.295351) - (xy 60.3383 115.295351) - (xy 60.15032 115.275262) - (xy 60.150314 115.275262) - (xy 60.148333 115.27505) - (xy 60.011061 115.27505) - (xy 60.009519 115.275177) - (xy 60.009514 115.275177) - (xy 59.912336 115.283167) - (xy 59.835341 115.289497) - (xy 59.79752 115.298997) - (xy 59.609007 115.346348) - (xy 59.609005 115.346349) - (xy 59.60601 115.347101) - (xy 59.603182 115.348331) - (xy 59.603178 115.348332) - (xy 59.391998 115.440156) - (xy 59.389167 115.441387) - (xy 59.386574 115.443064) - (xy 59.386573 115.443065) - (xy 59.193228 115.568145) - (xy 59.193224 115.568148) - (xy 59.190635 115.569823) - (xy 59.015746 115.72896) - (xy 58.869196 115.914524) - (xy 58.867705 115.917225) - (xy 58.867703 115.917228) - (xy 58.779008 116.0779) - (xy 58.754922 116.121531) - (xy 58.675992 116.344423) - (xy 58.675452 116.347457) - (xy 58.675451 116.347459) - (xy 58.637032 116.563147) - (xy 58.634526 116.577213) - (xy 58.634488 116.580301) - (xy 58.634488 116.580304) - (xy 58.633427 116.667124) - (xy 58.631637 116.81365) - (xy 58.3939 116.81365) - (xy 58.3939 115.949547) - (xy 58.411493 115.901209) - (xy 58.415926 115.896373) - (xy 61.343626 112.968674) - (xy 64.290542 110.021758) - (xy 64.293398 110.019047) - (xy 64.293401 110.019044) - (xy 64.323494 109.991949) - (xy 64.333497 109.969483) - (xy 64.339126 109.959116) - (xy 64.348211 109.945126) - (xy 64.348212 109.945125) - (xy 64.352516 109.938496) - (xy 64.353852 109.930062) - (xy 64.359429 109.911237) - (xy 64.359686 109.91066) - (xy 64.3629 109.903442) - (xy 64.3629 109.878853) - (xy 64.363826 109.867089) - (xy 64.366436 109.850613) - (xy 64.366436 109.850611) - (xy 64.367672 109.842806) - (xy 64.365462 109.834558) - (xy 64.3629 109.815095) - (xy 64.3629 109.2821) - (xy 64.380493 109.233762) - (xy 64.425042 109.208042) - (xy 64.4381 109.2069) - (xy 64.766708 109.2069) - (xy 64.769624 109.206438) - (xy 64.769626 109.206438) - (xy 64.855208 109.192883) - (xy 64.861055 109.191957) - (xy 64.957659 109.142735) - (xy 64.969494 109.136705) - (xy 64.969495 109.136704) - (xy 64.974771 109.134016) - (xy 65.065016 109.043771) - (xy 65.070767 109.032485) - (xy 65.104894 108.965506) - (xy 65.122957 108.930055) - (xy 65.130596 108.881822) - (xy 65.137438 108.838626) - (xy 65.137438 108.838624) - (xy 65.1379 108.835708) - (xy 65.1379 107.572292) - (xy 65.137034 107.566821) - (xy 65.123883 107.483792) - (xy 65.122957 107.477945) - (xy 65.084719 107.402899) - (xy 65.067705 107.369506) - (xy 65.067704 107.369505) - (xy 65.065016 107.364229) - (xy 64.974771 107.273984) - (xy 64.960046 107.266481) - (xy 64.912152 107.242078) - (xy 64.861055 107.216043) - (xy 64.803082 107.206861) - (xy 64.769626 107.201562) - (xy 64.769624 107.201562) - (xy 64.766708 107.2011) - (xy 63.503292 107.2011) - (xy 63.500376 107.201562) - (xy 63.500374 107.201562) - (xy 63.466918 107.206861) - (xy 63.408945 107.216043) - (xy 63.357848 107.242078) - (xy 63.309955 107.266481) - (xy 63.295229 107.273984) - (xy 63.204984 107.364229) - (xy 63.202296 107.369505) - (xy 63.202295 107.369506) - (xy 63.185281 107.402899) - (xy 63.147043 107.477945) - (xy 63.146117 107.483792) - (xy 63.132967 107.566821) - (xy 63.1321 107.572292) - (xy 63.1321 108.835708) - (xy 63.132562 108.838624) - (xy 63.132562 108.838626) - (xy 63.139404 108.881822) - (xy 63.147043 108.930055) - (xy 63.165106 108.965506) - (xy 63.199234 109.032485) - (xy 63.204984 109.043771) - (xy 63.295229 109.134016) - (xy 63.300505 109.136704) - (xy 63.300506 109.136705) - (xy 63.312341 109.142735) - (xy 63.408945 109.191957) - (xy 63.414792 109.192883) - (xy 63.500374 109.206438) - (xy 63.500376 109.206438) - (xy 63.503292 109.2069) - (xy 63.8319 109.2069) - (xy 63.880238 109.224493) - (xy 63.905958 109.269042) - (xy 63.9071 109.2821) - (xy 63.9071 109.729453) - (xy 63.889507 109.777791) - (xy 63.885074 109.782627) - (xy 60.946087 112.721613) - (xy 58.010458 115.657242) - (xy 58.007603 115.659952) - (xy 57.977506 115.687051) - (xy 57.967501 115.709522) - (xy 57.961877 115.719879) - (xy 57.948484 115.740503) - (xy 57.947148 115.748939) - (xy 57.941574 115.767755) - (xy 57.9381 115.775558) - (xy 57.9381 115.800153) - (xy 57.937174 115.811916) - (xy 57.934643 115.8279) - (xy 57.933329 115.836194) - (xy 57.935374 115.843826) - (xy 57.935374 115.843827) - (xy 57.935538 115.844438) - (xy 57.9381 115.863901) - (xy 57.9381 122.547064) - (xy 57.937997 122.551) - (xy 57.935877 122.591448) - (xy 57.94469 122.614408) - (xy 57.94804 122.625715) - (xy 57.953152 122.649766) - (xy 57.957798 122.65616) - (xy 57.957798 122.656161) - (xy 57.958171 122.656674) - (xy 57.967535 122.67392) - (xy 57.970597 122.681896) - (xy 57.987981 122.69928) - (xy 57.995645 122.708252) - (xy 57.997047 122.710181) - (xy 58.010099 122.728146) - (xy 58.016944 122.732098) - (xy 58.017493 122.732415) - (xy 58.033067 122.744366) - (xy 59.142233 123.853532) - (xy 59.144943 123.856387) - (xy 59.172051 123.886494) - (xy 59.179268 123.889707) - (xy 59.179269 123.889708) - (xy 59.194515 123.896496) - (xy 59.204884 123.902126) - (xy 59.225503 123.915516) - (xy 59.233939 123.916852) - (xy 59.252755 123.922426) - (xy 59.260558 123.9259) - (xy 59.285153 123.9259) - (xy 59.296916 123.926826) - (xy 59.313387 123.929435) - (xy 59.313389 123.929435) - (xy 59.321194 123.930671) - (xy 59.328826 123.928626) - (xy 59.328827 123.928626) - (xy 59.329438 123.928462) - (xy 59.348901 123.9259) - (xy 60.825064 123.9259) - (xy 60.829 123.926003) - (xy 60.869448 123.928123) - (xy 60.892408 123.91931) - (xy 60.903715 123.91596) - (xy 60.927766 123.910848) - (xy 60.934675 123.905829) - (xy 60.95192 123.896465) - (xy 60.952517 123.896236) - (xy 60.952518 123.896235) - (xy 60.959896 123.893403) - (xy 60.97728 123.876019) - (xy 60.986252 123.868355) - (xy 60.999753 123.858546) - (xy 60.999753 123.858545) - (xy 61.006146 123.853901) - (xy 61.010415 123.846507) - (xy 61.022366 123.830933) - (xy 62.131532 122.721767) - (xy 62.134388 122.719056) - (xy 62.156352 122.69928) - (xy 62.164494 122.691949) - (xy 62.169281 122.681199) - (xy 62.174496 122.669485) - (xy 62.180126 122.659116) - (xy 62.18921 122.645127) - (xy 62.193516 122.638497) - (xy 62.194852 122.630061) - (xy 62.200426 122.611245) - (xy 62.2039 122.603442) - (xy 62.2039 122.578847) - (xy 62.204826 122.567084) - (xy 62.207435 122.550613) - (xy 62.207435 122.550611) - (xy 62.208671 122.542806) - (xy 62.206462 122.534561) - (xy 62.2039 122.515099) - (xy 62.2039 120.530936) - (xy 62.204003 120.527) - (xy 62.205349 120.501323) - (xy 62.206123 120.486552) - (xy 62.197311 120.463596) - (xy 62.193959 120.452281) - (xy 62.190492 120.435968) - (xy 62.190492 120.435967) - (xy 62.188848 120.428234) - (xy 62.187418 120.426266) - (xy 62.184062 120.37827) - (xy 62.214298 120.336654) - (xy 62.26421 120.32421) - (xy 62.302127 120.339338) - (xy 62.331682 120.362016) - (xy 62.340143 120.366901) - (xy 62.463885 120.418158) - (xy 62.473326 120.420687) - (xy 62.606116 120.438169) - (xy 62.615884 120.438169) - (xy 62.748674 120.420687) - (xy 62.758115 120.418158) - (xy 62.881857 120.366901) - (xy 62.890318 120.362016) - (xy 62.919293 120.339783) - (xy 62.968352 120.324315) - (xy 63.015876 120.344) - (xy 63.039628 120.389628) - (xy 63.035277 120.42639) - (xy 63.032787 120.432876) - (xy 63.028484 120.439503) - (xy 63.027148 120.447939) - (xy 63.021574 120.466755) - (xy 63.0181 120.474558) - (xy 63.0181 120.499153) - (xy 63.017174 120.510916) - (xy 63.014627 120.527) - (xy 63.013329 120.535194) - (xy 63.015374 120.542826) - (xy 63.015374 120.542827) - (xy 63.015538 120.543438) - (xy 63.0181 120.562901) - (xy 63.0181 122.175453) - (xy 63.000507 122.223791) - (xy 62.996074 122.228627) - (xy 61.014626 124.210074) - (xy 60.968006 124.231814) - (xy 60.961452 124.2321) - (xy 58.779047 124.2321) - (xy 58.730709 124.214507) - (xy 58.725873 124.210074) - (xy 57.780926 123.265126) - (xy 57.759186 123.218506) - (xy 57.7589 123.211952) - (xy 57.7589 110.742547) - (xy 57.776493 110.694209) - (xy 57.780926 110.689373) - (xy 59.45722 109.013079) - (xy 61.003283 109.013079) - (xy 61.004557 109.017833) - (xy 61.017355 109.028725) - (xy 61.023353 109.032894) - (xy 61.188537 109.125211) - (xy 61.19525 109.128144) - (xy 61.37521 109.186616) - (xy 61.382358 109.188188) - (xy 61.570248 109.210593) - (xy 61.577581 109.210746) - (xy 61.766239 109.19623) - (xy 61.773447 109.194959) - (xy 61.955701 109.144073) - (xy 61.962534 109.141422) - (xy 62.131428 109.056108) - (xy 62.137611 109.052184) - (xy 62.17962 109.019363) - (xy 62.185348 109.010196) - (xy 62.18474 109.005872) - (xy 61.604377 108.425509) - (xy 61.594578 108.42094) - (xy 61.588587 108.422545) - (xy 61.007852 109.00328) - (xy 61.003283 109.013079) - (xy 59.45722 109.013079) - (xy 60.474854 107.995445) - (xy 60.521474 107.973705) - (xy 60.571161 107.987019) - (xy 60.600666 108.029156) - (xy 60.602759 108.057001) - (xy 60.588259 108.186274) - (xy 60.588156 108.193608) - (xy 60.60399 108.382161) - (xy 60.605312 108.389363) - (xy 60.65747 108.571261) - (xy 60.660164 108.578063) - (xy 60.746657 108.746363) - (xy 60.750623 108.752518) - (xy 60.779406 108.788832) - (xy 60.788614 108.794497) - (xy 60.793022 108.793846) - (xy 61.382446 108.204422) - (xy 61.81194 108.204422) - (xy 61.813545 108.210413) - (xy 62.394643 108.791511) - (xy 62.404442 108.79608) - (xy 62.409291 108.794781) - (xy 62.415668 108.787393) - (xy 62.419884 108.781417) - (xy 62.513354 108.61688) - (xy 62.51633 108.610195) - (xy 62.576059 108.430641) - (xy 62.57768 108.423507) - (xy 62.601593 108.234215) - (xy 62.601886 108.23002) - (xy 62.602221 108.206099) - (xy 62.602045 108.201907) - (xy 62.583427 108.012024) - (xy 62.582001 108.004828) - (xy 62.527312 107.823688) - (xy 62.524524 107.816922) - (xy 62.435686 107.649843) - (xy 62.431635 107.643745) - (xy 62.411154 107.618633) - (xy 62.40187 107.613099) - (xy 62.397292 107.61384) - (xy 61.816509 108.194623) - (xy 61.81194 108.204422) - (xy 61.382446 108.204422) - (xy 62.181525 107.405343) - (xy 62.186094 107.395544) - (xy 62.18487 107.390976) - (xy 62.161078 107.371294) - (xy 62.155016 107.367205) - (xy 62.036734 107.30325) - (xy 62.002582 107.264783) - (xy 62.001146 107.213363) - (xy 62.033097 107.17305) - (xy 62.072501 107.1619) - (xy 62.857064 107.1619) - (xy 62.861 107.162003) - (xy 62.901448 107.164123) - (xy 62.924408 107.15531) - (xy 62.935715 107.15196) - (xy 62.959766 107.146848) - (xy 62.966675 107.141829) - (xy 62.98392 107.132465) - (xy 62.984517 107.132236) - (xy 62.984518 107.132235) - (xy 62.991896 107.129403) - (xy 63.00928 107.112019) - (xy 63.018252 107.104355) - (xy 63.031753 107.094546) - (xy 63.031753 107.094545) - (xy 63.038146 107.089901) - (xy 63.042415 107.082507) - (xy 63.054366 107.066933) - (xy 63.564499 106.5568) - (xy 63.611119 106.53506) - (xy 63.654359 106.54433) - (xy 63.728331 106.58567) - (xy 63.728334 106.585671) - (xy 63.731547 106.587467) - (xy 63.735044 106.588603) - (xy 63.735048 106.588605) - (xy 63.800767 106.609958) - (xy 63.9186 106.648244) - (xy 64.019107 106.660229) - (xy 64.110237 106.671096) - (xy 64.110239 106.671096) - (xy 64.113895 106.671532) - (xy 64.309994 106.656443) - (xy 64.440597 106.619978) - (xy 64.495883 106.604542) - (xy 64.495885 106.604541) - (xy 64.499428 106.603552) - (xy 64.632638 106.536263) - (xy 64.671697 106.516533) - (xy 64.671698 106.516532) - (xy 64.674981 106.514874) - (xy 64.829966 106.393786) - (xy 64.95848 106.244901) - (xy 64.983987 106.2) - (xy 65.05381 106.077091) - (xy 65.053812 106.077088) - (xy 65.055628 106.07389) - (xy 65.098579 105.944776) - (xy 65.116548 105.89076) - (xy 65.116549 105.890757) - (xy 65.11771 105.887266) - (xy 65.14236 105.692138) - (xy 65.142588 105.675826) - (xy 65.142724 105.666099) - (xy 65.142724 105.666093) - (xy 65.142753 105.664) - (xy 65.142515 105.661571) - (xy 65.123921 105.471927) - (xy 65.12392 105.471922) - (xy 65.123561 105.46826) - (xy 65.066714 105.279975) - (xy 64.974379 105.106318) - (xy 64.850072 104.953903) - (xy 64.724688 104.850176) - (xy 64.701359 104.830877) - (xy 64.698528 104.828535) - (xy 64.52552 104.73499) - (xy 64.337637 104.67683) - (xy 64.214766 104.663916) - (xy 64.14569 104.656656) - (xy 64.145689 104.656656) - (xy 64.142035 104.656272) - (xy 64.044101 104.665184) - (xy 63.949824 104.673764) - (xy 63.949823 104.673764) - (xy 63.946166 104.674097) - (xy 63.942641 104.675134) - (xy 63.942638 104.675135) - (xy 63.768827 104.726291) - (xy 63.757489 104.729628) - (xy 63.583192 104.820748) - (xy 63.569589 104.831685) - (xy 63.437611 104.937798) - (xy 63.429912 104.943988) - (xy 63.358867 105.028656) - (xy 63.320467 105.07442) - (xy 63.303489 105.094653) - (xy 63.301718 105.097875) - (xy 63.301717 105.097876) - (xy 63.220747 105.245162) - (xy 63.208739 105.267004) - (xy 63.149269 105.454476) - (xy 63.127345 105.64993) - (xy 63.127653 105.653598) - (xy 63.127653 105.653601) - (xy 63.137669 105.772877) - (xy 63.143803 105.845919) - (xy 63.178762 105.967837) - (xy 63.194701 106.02342) - (xy 63.198015 106.034979) - (xy 63.199697 106.038252) - (xy 63.199699 106.038256) - (xy 63.255679 106.147184) - (xy 63.262126 106.198218) - (xy 63.241969 106.234731) - (xy 62.792626 106.684074) - (xy 62.746006 106.705814) - (xy 62.739452 106.7061) - (xy 62.072073 106.7061) - (xy 62.023735 106.688507) - (xy 61.998015 106.643958) - (xy 62.006948 106.5933) - (xy 62.038164 106.563779) - (xy 62.134981 106.514874) - (xy 62.289966 106.393786) - (xy 62.41848 106.244901) - (xy 62.443987 106.2) - (xy 62.51381 106.077091) - (xy 62.513812 106.077088) - (xy 62.515628 106.07389) - (xy 62.558579 105.944776) - (xy 62.576548 105.89076) - (xy 62.576549 105.890757) - (xy 62.57771 105.887266) - (xy 62.60236 105.692138) - (xy 62.602588 105.675826) - (xy 62.602724 105.666099) - (xy 62.602724 105.666093) - (xy 62.602753 105.664) - (xy 62.602515 105.661571) - (xy 62.583921 105.471927) - (xy 62.58392 105.471922) - (xy 62.583561 105.46826) - (xy 62.526714 105.279975) - (xy 62.434379 105.106318) - (xy 62.310072 104.953903) - (xy 62.184688 104.850176) - (xy 62.161359 104.830877) - (xy 62.158528 104.828535) - (xy 62.105402 104.79981) - (xy 62.037786 104.76325) - (xy 62.003634 104.724783) - (xy 62.002198 104.673363) - (xy 62.034149 104.63305) - (xy 62.073553 104.6219) - (xy 62.857064 104.6219) - (xy 62.861 104.622003) - (xy 62.901448 104.624123) - (xy 62.924408 104.61531) - (xy 62.935715 104.61196) - (xy 62.959766 104.606848) - (xy 62.966675 104.601829) - (xy 62.98392 104.592465) - (xy 62.984517 104.592236) - (xy 62.984518 104.592235) - (xy 62.991896 104.589403) - (xy 63.00928 104.572019) - (xy 63.018252 104.564355) - (xy 63.031753 104.554546) - (xy 63.031753 104.554545) - (xy 63.038146 104.549901) - (xy 63.042415 104.542507) - (xy 63.054366 104.526933) - (xy 63.564499 104.0168) - (xy 63.611119 103.99506) - (xy 63.654359 104.00433) - (xy 63.728331 104.04567) - (xy 63.728334 104.045671) - (xy 63.731547 104.047467) - (xy 63.735044 104.048603) - (xy 63.735048 104.048605) - (xy 63.82521 104.0779) - (xy 63.9186 104.108244) - (xy 64.0034 104.118356) - (xy 64.110237 104.131096) - (xy 64.110239 104.131096) - (xy 64.113895 104.131532) - (xy 64.309994 104.116443) - (xy 64.447015 104.078186) - (xy 64.495883 104.064542) - (xy 64.495885 104.064541) - (xy 64.499428 104.063552) - (xy 64.630296 103.997446) - (xy 64.671697 103.976533) - (xy 64.671698 103.976532) - (xy 64.674981 103.974874) - (xy 64.804164 103.873945) - (xy 64.827067 103.856051) - (xy 64.829966 103.853786) - (xy 64.84371 103.837864) - (xy 64.858438 103.820801) - (xy 64.95848 103.704901) - (xy 64.978359 103.669908) - (xy 65.05381 103.537091) - (xy 65.053812 103.537088) - (xy 65.055628 103.53389) - (xy 65.100168 103.4) - (xy 65.116548 103.35076) - (xy 65.116549 103.350757) - (xy 65.11771 103.347266) - (xy 65.14236 103.152138) - (xy 65.142669 103.13004) - (xy 65.142724 103.126099) - (xy 65.142724 103.126093) - (xy 65.142753 103.124) - (xy 65.14218 103.118152) - (xy 65.123921 102.931927) - (xy 65.12392 102.931922) - (xy 65.123561 102.92826) - (xy 65.066714 102.739975) - (xy 64.974379 102.566318) - (xy 64.850072 102.413903) - (xy 64.826576 102.394465) - (xy 64.701359 102.290877) - (xy 64.698528 102.288535) - (xy 64.52552 102.19499) - (xy 64.337637 102.13683) - (xy 64.214766 102.123916) - (xy 64.14569 102.116656) - (xy 64.145689 102.116656) - (xy 64.142035 102.116272) - (xy 64.057721 102.123945) - (xy 63.949824 102.133764) - (xy 63.949823 102.133764) - (xy 63.946166 102.134097) - (xy 63.942641 102.135134) - (xy 63.942638 102.135135) - (xy 63.761019 102.188589) - (xy 63.757489 102.189628) - (xy 63.583192 102.280748) - (xy 63.506552 102.342368) - (xy 63.434993 102.399903) - (xy 63.429912 102.403988) - (xy 63.303489 102.554653) - (xy 63.301718 102.557875) - (xy 63.301717 102.557876) - (xy 63.214096 102.717259) - (xy 63.208739 102.727004) - (xy 63.149269 102.914476) - (xy 63.127345 103.10993) - (xy 63.127653 103.113598) - (xy 63.127653 103.113601) - (xy 63.140409 103.265506) - (xy 63.143803 103.305919) - (xy 63.185564 103.451558) - (xy 63.196693 103.490367) - (xy 63.198015 103.494979) - (xy 63.199697 103.498252) - (xy 63.199699 103.498256) - (xy 63.255679 103.607184) - (xy 63.262126 103.658218) - (xy 63.241969 103.694731) - (xy 62.792626 104.144074) - (xy 62.746006 104.165814) - (xy 62.739452 104.1661) - (xy 62.072073 104.1661) - (xy 62.023735 104.148507) - (xy 61.998015 104.103958) - (xy 62.006948 104.0533) - (xy 62.038164 104.023779) - (xy 62.134981 103.974874) - (xy 62.264164 103.873945) - (xy 62.287067 103.856051) - (xy 62.289966 103.853786) - (xy 62.30371 103.837864) - (xy 62.318438 103.820801) - (xy 62.41848 103.704901) - (xy 62.438359 103.669908) - (xy 62.51381 103.537091) - (xy 62.513812 103.537088) - (xy 62.515628 103.53389) - (xy 62.560168 103.4) - (xy 62.576548 103.35076) - (xy 62.576549 103.350757) - (xy 62.57771 103.347266) - (xy 62.60236 103.152138) - (xy 62.602669 103.13004) - (xy 62.602724 103.126099) - (xy 62.602724 103.126093) - (xy 62.602753 103.124) - (xy 62.60218 103.118152) - (xy 62.583921 102.931927) - (xy 62.58392 102.931922) - (xy 62.583561 102.92826) - (xy 62.526714 102.739975) - (xy 62.434379 102.566318) - (xy 62.310072 102.413903) - (xy 62.286576 102.394465) - (xy 62.161359 102.290877) - (xy 62.158528 102.288535) - (xy 61.98552 102.19499) - (xy 61.797637 102.13683) - (xy 61.674766 102.123916) - (xy 61.60569 102.116656) - (xy 61.605689 102.116656) - (xy 61.602035 102.116272) - (xy 61.517721 102.123945) - (xy 61.409824 102.133764) - (xy 61.409823 102.133764) - (xy 61.406166 102.134097) - (xy 61.402641 102.135134) - (xy 61.402638 102.135135) - (xy 61.221019 102.188589) - (xy 61.217489 102.189628) - (xy 61.043192 102.280748) - (xy 60.966552 102.342368) - (xy 60.894993 102.399903) - (xy 60.889912 102.403988) - (xy 60.763489 102.554653) - (xy 60.761718 102.557875) - (xy 60.761717 102.557876) - (xy 60.674096 102.717259) - (xy 60.668739 102.727004) - (xy 60.609269 102.914476) - (xy 60.587345 103.10993) - (xy 60.587653 103.113598) - (xy 60.587653 103.113601) - (xy 60.600409 103.265506) - (xy 60.603803 103.305919) - (xy 60.645564 103.451558) - (xy 60.656693 103.490367) - (xy 60.658015 103.494979) - (xy 60.747916 103.669908) - (xy 60.750201 103.672791) - (xy 60.773113 103.701699) - (xy 60.870083 103.824044) - (xy 60.872877 103.826422) - (xy 60.872878 103.826423) - (xy 60.967581 103.907021) - (xy 61.019862 103.951516) - (xy 61.023063 103.953305) - (xy 61.023066 103.953307) - (xy 61.081083 103.985731) - (xy 61.169195 104.034975) - (xy 61.202806 104.073914) - (xy 61.203524 104.125348) - (xy 61.171013 104.165212) - (xy 61.159463 104.17082) - (xy 61.154606 104.172685) - (xy 61.143285 104.17604) - (xy 61.119234 104.181152) - (xy 61.11284 104.185798) - (xy 61.112839 104.185798) - (xy 61.112326 104.186171) - (xy 61.09508 104.195535) - (xy 61.094483 104.195764) - (xy 61.094482 104.195765) - (xy 61.087104 104.198597) - (xy 61.06972 104.215981) - (xy 61.060748 104.223645) - (xy 61.049388 104.231899) - (xy 61.040854 104.238099) - (xy 61.036902 104.244944) - (xy 61.036585 104.245493) - (xy 61.024634 104.261067) - (xy 56.740458 108.545242) - (xy 56.737603 108.547952) - (xy 56.707506 108.575051) - (xy 56.697501 108.597522) - (xy 56.691877 108.607879) - (xy 56.685681 108.617421) - (xy 56.678484 108.628503) - (xy 56.677148 108.636939) - (xy 56.671574 108.655755) - (xy 56.6681 108.663558) - (xy 56.6681 108.688153) - (xy 56.667174 108.699916) - (xy 56.665061 108.713259) - (xy 56.663329 108.724194) - (xy 46.101 108.724194) - (xy 46.101 100.56993) - (xy 60.587345 100.56993) - (xy 60.587653 100.573598) - (xy 60.587653 100.573601) - (xy 60.599123 100.710186) - (xy 60.603803 100.765919) - (xy 60.658015 100.954979) - (xy 60.747916 101.129908) - (xy 60.870083 101.284044) - (xy 60.872877 101.286422) - (xy 60.872878 101.286423) - (xy 60.932347 101.337035) - (xy 61.019862 101.411516) - (xy 61.023063 101.413305) - (xy 61.023066 101.413307) - (xy 61.078259 101.444153) - (xy 61.191547 101.507467) - (xy 61.195044 101.508603) - (xy 61.195048 101.508605) - (xy 61.248755 101.526055) - (xy 61.3786 101.568244) - (xy 61.485984 101.581049) - (xy 61.570237 101.591096) - (xy 61.570239 101.591096) - (xy 61.573895 101.591532) - (xy 61.769994 101.576443) - (xy 61.888416 101.543379) - (xy 61.955883 101.524542) - (xy 61.955885 101.524541) - (xy 61.959428 101.523552) - (xy 62.057249 101.474139) - (xy 62.131697 101.436533) - (xy 62.131698 101.436532) - (xy 62.134981 101.434874) - (xy 62.242128 101.351161) - (xy 62.287067 101.316051) - (xy 62.289966 101.313786) - (xy 62.41848 101.164901) - (xy 62.426987 101.149926) - (xy 62.51381 100.997091) - (xy 62.513812 100.997088) - (xy 62.515628 100.99389) - (xy 62.550264 100.889771) - (xy 62.576548 100.81076) - (xy 62.576549 100.810757) - (xy 62.57771 100.807266) - (xy 62.60236 100.612138) - (xy 62.602753 100.584) - (xy 62.60179 100.574174) - (xy 62.601374 100.56993) - (xy 63.127345 100.56993) - (xy 63.127653 100.573598) - (xy 63.127653 100.573601) - (xy 63.139123 100.710186) - (xy 63.143803 100.765919) - (xy 63.198015 100.954979) - (xy 63.287916 101.129908) - (xy 63.410083 101.284044) - (xy 63.412877 101.286422) - (xy 63.412878 101.286423) - (xy 63.472347 101.337035) - (xy 63.559862 101.411516) - (xy 63.563063 101.413305) - (xy 63.563066 101.413307) - (xy 63.618259 101.444153) - (xy 63.731547 101.507467) - (xy 63.735044 101.508603) - (xy 63.735048 101.508605) - (xy 63.788755 101.526055) - (xy 63.9186 101.568244) - (xy 64.025984 101.581049) - (xy 64.110237 101.591096) - (xy 64.110239 101.591096) - (xy 64.113895 101.591532) - (xy 64.309994 101.576443) - (xy 64.428416 101.543379) - (xy 64.495883 101.524542) - (xy 64.495885 101.524541) - (xy 64.499428 101.523552) - (xy 64.597249 101.474139) - (xy 64.671697 101.436533) - (xy 64.671698 101.436532) - (xy 64.674981 101.434874) - (xy 64.782128 101.351161) - (xy 64.827067 101.316051) - (xy 64.829966 101.313786) - (xy 64.95848 101.164901) - (xy 64.966987 101.149926) - (xy 65.05381 100.997091) - (xy 65.053812 100.997088) - (xy 65.055628 100.99389) - (xy 65.090264 100.889771) - (xy 65.116548 100.81076) - (xy 65.116549 100.810757) - (xy 65.11771 100.807266) - (xy 65.14236 100.612138) - (xy 65.142753 100.584) - (xy 65.14179 100.574174) - (xy 65.123921 100.391927) - (xy 65.12392 100.391922) - (xy 65.123561 100.38826) - (xy 65.066714 100.199975) - (xy 64.974379 100.026318) - (xy 64.850072 99.873903) - (xy 64.7932 99.826854) - (xy 64.701359 99.750877) - (xy 64.698528 99.748535) - (xy 64.52552 99.65499) - (xy 64.337637 99.59683) - (xy 64.214766 99.583916) - (xy 64.14569 99.576656) - (xy 64.145689 99.576656) - (xy 64.142035 99.576272) - (xy 64.077665 99.58213) - (xy 63.949824 99.593764) - (xy 63.949823 99.593764) - (xy 63.946166 99.594097) - (xy 63.942641 99.595134) - (xy 63.942638 99.595135) - (xy 63.79098 99.639771) - (xy 63.757489 99.649628) - (xy 63.583192 99.740748) - (xy 63.546551 99.770208) - (xy 63.437457 99.857922) - (xy 63.429912 99.863988) - (xy 63.303489 100.014653) - (xy 63.301718 100.017875) - (xy 63.301717 100.017876) - (xy 63.216793 100.172353) - (xy 63.208739 100.187004) - (xy 63.149269 100.374476) - (xy 63.127345 100.56993) - (xy 62.601374 100.56993) - (xy 62.583921 100.391927) - (xy 62.58392 100.391922) - (xy 62.583561 100.38826) - (xy 62.526714 100.199975) - (xy 62.434379 100.026318) - (xy 62.310072 99.873903) - (xy 62.2532 99.826854) - (xy 62.161359 99.750877) - (xy 62.158528 99.748535) - (xy 61.98552 99.65499) - (xy 61.797637 99.59683) - (xy 61.674766 99.583916) - (xy 61.60569 99.576656) - (xy 61.605689 99.576656) - (xy 61.602035 99.576272) - (xy 61.537665 99.58213) - (xy 61.409824 99.593764) - (xy 61.409823 99.593764) - (xy 61.406166 99.594097) - (xy 61.402641 99.595134) - (xy 61.402638 99.595135) - (xy 61.25098 99.639771) - (xy 61.217489 99.649628) - (xy 61.043192 99.740748) - (xy 61.006551 99.770208) - (xy 60.897457 99.857922) - (xy 60.889912 99.863988) - (xy 60.763489 100.014653) - (xy 60.761718 100.017875) - (xy 60.761717 100.017876) - (xy 60.676793 100.172353) - (xy 60.668739 100.187004) - (xy 60.609269 100.374476) - (xy 60.587345 100.56993) - (xy 46.101 100.56993) - (xy 46.101 98.853079) - (xy 61.003283 98.853079) - (xy 61.004557 98.857833) - (xy 61.017355 98.868725) - (xy 61.023353 98.872894) - (xy 61.188537 98.965211) - (xy 61.19525 98.968144) - (xy 61.37521 99.026616) - (xy 61.382358 99.028188) - (xy 61.570248 99.050593) - (xy 61.577581 99.050746) - (xy 61.766239 99.03623) - (xy 61.773447 99.034959) - (xy 61.955701 98.984073) - (xy 61.962534 98.981422) - (xy 62.131428 98.896108) - (xy 62.137611 98.892184) - (xy 62.17962 98.859363) - (xy 62.185348 98.850196) - (xy 62.18474 98.845872) - (xy 61.604377 98.265509) - (xy 61.594578 98.26094) - (xy 61.588587 98.262545) - (xy 61.007852 98.84328) - (xy 61.003283 98.853079) - (xy 46.101 98.853079) - (xy 46.101 98.033608) - (xy 60.588156 98.033608) - (xy 60.60399 98.222161) - (xy 60.605312 98.229363) - (xy 60.65747 98.411261) - (xy 60.660164 98.418063) - (xy 60.746657 98.586363) - (xy 60.750623 98.592518) - (xy 60.779406 98.628832) - (xy 60.788614 98.634497) - (xy 60.793022 98.633846) - (xy 61.373491 98.053377) - (xy 61.377666 98.044422) - (xy 61.81194 98.044422) - (xy 61.813545 98.050413) - (xy 62.394643 98.631511) - (xy 62.404442 98.63608) - (xy 62.409291 98.634781) - (xy 62.415668 98.627393) - (xy 62.419884 98.621417) - (xy 62.513354 98.45688) - (xy 62.516328 98.4502) - (xy 62.576059 98.270641) - (xy 62.57768 98.263507) - (xy 62.601593 98.074215) - (xy 62.601886 98.07002) - (xy 62.602221 98.046099) - (xy 62.602045 98.041907) - (xy 62.583427 97.852024) - (xy 62.582001 97.844828) - (xy 62.527312 97.663688) - (xy 62.524524 97.656922) - (xy 62.435686 97.489843) - (xy 62.431635 97.483745) - (xy 62.411154 97.458633) - (xy 62.40187 97.453099) - (xy 62.397292 97.45384) - (xy 61.816509 98.034623) - (xy 61.81194 98.044422) - (xy 61.377666 98.044422) - (xy 61.37806 98.043578) - (xy 61.376455 98.037587) - (xy 60.796049 97.457181) - (xy 60.78625 97.452612) - (xy 60.78159 97.453861) - (xy 60.766269 97.472119) - (xy 60.76213 97.478163) - (xy 60.670975 97.643975) - (xy 60.668088 97.650711) - (xy 60.610874 97.831074) - (xy 60.609351 97.838236) - (xy 60.588259 98.026275) - (xy 60.588156 98.033608) - (xy 46.101 98.033608) - (xy 46.101 97.237389) - (xy 61.004319 97.237389) - (xy 61.005014 97.241882) - (xy 61.585623 97.822491) - (xy 61.595422 97.82706) - (xy 61.601413 97.825455) - (xy 62.181525 97.245343) - (xy 62.186094 97.235544) - (xy 62.18487 97.230976) - (xy 62.161078 97.211294) - (xy 62.155016 97.207205) - (xy 61.988557 97.117201) - (xy 61.981813 97.114366) - (xy 61.801047 97.05841) - (xy 61.79388 97.056939) - (xy 61.605687 97.037159) - (xy 61.598374 97.037108) - (xy 61.409918 97.054258) - (xy 61.402732 97.055629) - (xy 61.221208 97.109055) - (xy 61.214421 97.111797) - (xy 61.046721 97.199468) - (xy 61.040603 97.203471) - (xy 61.009918 97.228143) - (xy 61.004319 97.237389) - (xy 46.101 97.237389) - (xy 46.101 96.092591) - (xy 47.302922 96.092591) - (xy 47.303135 96.096658) - (xy 47.303135 96.096661) - (xy 47.307492 96.179792) - (xy 47.312321 96.271921) - (xy 47.313403 96.275848) - (xy 47.313403 96.27585) - (xy 47.358186 96.438433) - (xy 47.360008 96.445049) - (xy 47.361908 96.448653) - (xy 47.361909 96.448655) - (xy 47.437333 96.591708) - (xy 47.44376 96.603898) - (xy 47.446386 96.607005) - (xy 47.446389 96.60701) - (xy 47.518277 96.692078) - (xy 47.559668 96.741058) - (xy 47.5629 96.743529) - (xy 47.562901 96.74353) - (xy 47.670878 96.826084) - (xy 47.702326 96.850128) - (xy 47.865077 96.92602) - (xy 48.040328 96.965193) - (xy 48.045819 96.9655) - (xy 48.177866 96.9655) - (xy 48.179889 96.96528) - (xy 48.179893 96.96528) - (xy 48.26699 96.955818) - (xy 48.311525 96.95098) - (xy 48.481722 96.893703) - (xy 48.485208 96.891608) - (xy 48.485211 96.891607) - (xy 48.632158 96.803311) - (xy 48.635648 96.801214) - (xy 48.639632 96.797447) - (xy 48.731999 96.710099) - (xy 48.766123 96.67783) - (xy 48.769406 96.673) - (xy 48.818819 96.600291) - (xy 48.86706 96.529306) - (xy 48.869012 96.524427) - (xy 48.89878 96.45) - (xy 67.492078 96.45) - (xy 67.493004 96.455847) - (xy 67.493772 96.460693) - (xy 67.512043 96.576055) - (xy 67.529908 96.611117) - (xy 67.566091 96.68213) - (xy 67.569984 96.689771) - (xy 67.660229 96.780016) - (xy 67.665505 96.782704) - (xy 67.665506 96.782705) - (xy 67.734072 96.817641) - (xy 67.753106 96.831471) - (xy 67.796935 96.8753) - (xy 67.800533 96.879468) - (xy 67.802614 96.883725) - (xy 67.828673 96.907898) - (xy 67.839535 96.917974) - (xy 67.841567 96.919932) - (xy 67.855739 96.934104) - (xy 67.858591 96.93606) - (xy 67.861251 96.938271) - (xy 67.864328 96.940973) - (xy 67.873715 96.94968) - (xy 67.885341 96.960465) - (xy 67.891784 96.963035) - (xy 67.891788 96.963038) - (xy 67.89866 96.965779) - (xy 67.913334 96.973615) - (xy 67.925157 96.981725) - (xy 67.931912 96.983328) - (xy 67.931916 96.98333) - (xy 67.953042 96.988344) - (xy 67.96354 96.991664) - (xy 67.985217 97.000312) - (xy 67.985222 97.000313) - (xy 67.990147 97.002278) - (xy 67.99649 97.0029) - (xy 68.005582 97.0029) - (xy 68.022947 97.004932) - (xy 68.034947 97.00778) - (xy 68.041825 97.006844) - (xy 68.041828 97.006844) - (xy 68.044047 97.006542) - (xy 68.046487 97.007072) - (xy 68.048761 97.007183) - (xy 68.048742 97.007562) - (xy 68.094315 97.017457) - (xy 68.125807 97.058131) - (xy 68.127941 97.095725) - (xy 68.1221 97.125089) - (xy 68.122101 97.37491) - (xy 68.122822 97.378533) - (xy 68.122822 97.378537) - (xy 68.124991 97.389442) - (xy 68.136773 97.448677) - (xy 68.192669 97.532331) - (xy 68.198826 97.536445) - (xy 68.265998 97.581328) - (xy 68.276323 97.588227) - (xy 68.283587 97.589672) - (xy 68.346459 97.602178) - (xy 68.350089 97.6029) - (xy 68.599966 97.6029) - (xy 68.84991 97.602899) - (xy 68.853533 97.602178) - (xy 68.853537 97.602178) - (xy 68.892319 97.594464) - (xy 68.923677 97.588227) - (xy 69.007331 97.532331) - (xy 69.029071 97.499795) - (xy 69.037474 97.487219) - (xy 69.078957 97.456802) - (xy 69.130287 97.460167) - (xy 69.162526 97.487219) - (xy 69.170929 97.499795) - (xy 69.192669 97.532331) - (xy 69.198826 97.536445) - (xy 69.224395 97.55353) - (xy 69.254812 97.595013) - (xy 69.24962 97.650196) - (xy 69.212043 97.723945) - (xy 69.203663 97.776855) - (xy 69.195966 97.825455) - (xy 69.192078 97.85) - (xy 69.212043 97.976055) - (xy 69.229904 98.01111) - (xy 69.261 98.072138) - (xy 69.269984 98.089771) - (xy 69.360229 98.180016) - (xy 69.473945 98.237957) - (xy 69.536972 98.247939) - (xy 69.586899 98.255847) - (xy 69.6 98.257922) - (xy 69.613102 98.255847) - (xy 69.663028 98.247939) - (xy 69.726055 98.237957) - (xy 69.839771 98.180016) - (xy 69.930016 98.089771) - (xy 69.939001 98.072138) - (xy 69.970096 98.01111) - (xy 69.987957 97.976055) - (xy 70.007922 97.85) - (xy 70.004035 97.825455) - (xy 69.996337 97.776855) - (xy 69.987957 97.723945) - (xy 69.95038 97.650196) - (xy 69.944111 97.59914) - (xy 69.975605 97.55353) - (xy 70.001174 97.536445) - (xy 70.007331 97.532331) - (xy 70.029071 97.499795) - (xy 70.059112 97.454836) - (xy 70.059113 97.454835) - (xy 70.063227 97.448677) - (xy 70.064673 97.44141) - (xy 70.077179 97.378536) - (xy 70.077179 97.378535) - (xy 70.0779 97.374911) - (xy 70.077899 97.12509) - (xy 70.076884 97.119984) - (xy 70.070791 97.089354) - (xy 70.063227 97.051323) - (xy 70.02926 97.000488) - (xy 70.017033 96.950522) - (xy 70.029259 96.916931) - (xy 70.060562 96.870081) - (xy 70.060562 96.87008) - (xy 70.064677 96.863922) - (xy 70.067137 96.851558) - (xy 70.077179 96.801072) - (xy 70.077179 96.801071) - (xy 70.0779 96.797447) - (xy 70.077899 96.602554) - (xy 70.075816 96.592078) - (xy 70.068546 96.555532) - (xy 70.064677 96.536078) - (xy 70.060152 96.529306) - (xy 70.035078 96.491778) - (xy 70.022852 96.441812) - (xy 70.035078 96.408222) - (xy 70.060562 96.370081) - (xy 70.060562 96.37008) - (xy 70.064677 96.363922) - (xy 70.067672 96.348869) - (xy 70.077179 96.301072) - (xy 70.077179 96.301071) - (xy 70.0779 96.297447) - (xy 70.077899 96.102554) - (xy 70.075918 96.092591) - (xy 70.069841 96.062043) - (xy 70.064677 96.036078) - (xy 70.06056 96.029916) - (xy 70.029259 95.983069) - (xy 70.017033 95.933103) - (xy 70.02926 95.899512) - (xy 70.059112 95.854836) - (xy 70.059113 95.854835) - (xy 70.063227 95.848677) - (xy 70.072402 95.802554) - (xy 70.077179 95.778536) - (xy 70.077179 95.778535) - (xy 70.0779 95.774911) - (xy 70.077899 95.52509) - (xy 70.076884 95.519984) - (xy 70.070213 95.486448) - (xy 70.063227 95.451323) - (xy 70.007331 95.367669) - (xy 69.989638 95.355847) - (xy 69.975605 95.34647) - (xy 69.945188 95.304987) - (xy 69.95038 95.249804) - (xy 69.953673 95.243341) - (xy 69.987957 95.176055) - (xy 70.004093 95.074174) - (xy 70.006996 95.055847) - (xy 70.007922 95.05) - (xy 70.000899 95.005655) - (xy 69.997455 94.983915) - (xy 69.987957 94.923945) - (xy 69.956493 94.862194) - (xy 70.467329 94.862194) - (xy 70.469374 94.869826) - (xy 70.469374 94.869827) - (xy 70.469538 94.870438) - (xy 70.4721 94.889901) - (xy 70.4721 107.542064) - (xy 70.471997 107.546) - (xy 70.469877 107.586448) - (xy 70.47869 107.609408) - (xy 70.48204 107.620715) - (xy 70.487152 107.644766) - (xy 70.491798 107.65116) - (xy 70.491798 107.651161) - (xy 70.492171 107.651674) - (xy 70.501535 107.66892) - (xy 70.504597 107.676896) - (xy 70.521981 107.69428) - (xy 70.529645 107.703252) - (xy 70.538696 107.715709) - (xy 70.544099 107.723146) - (xy 70.550944 107.727098) - (xy 70.551493 107.727415) - (xy 70.567067 107.739366) - (xy 79.150074 116.322374) - (xy 79.171814 116.368994) - (xy 79.1721 116.375548) - (xy 79.1721 118.155219) - (xy 79.154507 118.203557) - (xy 79.138679 118.217745) - (xy 79.116329 118.232679) - (xy 79.066364 118.244906) - (xy 79.032771 118.232679) - (xy 78.972017 118.192084) - (xy 78.958596 118.186524) - (xy 78.913005 118.177456) - (xy 78.902321 118.1791) - (xy 78.900028 118.181715) - (xy 78.9 118.181864) - (xy 78.9 119.911872) - (xy 78.903697 119.922029) - (xy 78.906709 119.923768) - (xy 78.906861 119.923766) - (xy 78.958596 119.913476) - (xy 78.972015 119.907917) - (xy 79.032771 119.86732) - (xy 79.082737 119.855093) - (xy 79.116326 119.867318) - (xy 79.183946 119.912501) - (xy 79.191208 119.913945) - (xy 79.191209 119.913946) - (xy 79.243767 119.9244) - (xy 79.261363 119.9279) - (xy 79.399962 119.9279) - (xy 79.538636 119.927899) - (xy 79.542259 119.927178) - (xy 79.542263 119.927178) - (xy 79.587722 119.918136) - (xy 79.616054 119.912501) - (xy 79.68322 119.867621) - (xy 79.733186 119.855394) - (xy 79.766779 119.867621) - (xy 79.827787 119.908386) - (xy 79.827788 119.908387) - (xy 79.833946 119.912501) - (xy 79.841208 119.913945) - (xy 79.841209 119.913946) - (xy 79.893767 119.9244) - (xy 79.911363 119.9279) - (xy 80.049962 119.9279) - (xy 80.188636 119.927899) - (xy 80.192259 119.927178) - (xy 80.192263 119.927178) - (xy 80.237722 119.918136) - (xy 80.266054 119.912501) - (xy 80.33322 119.867621) - (xy 80.383186 119.855394) - (xy 80.416779 119.867621) - (xy 80.477787 119.908386) - (xy 80.477788 119.908387) - (xy 80.483946 119.912501) - (xy 80.491208 119.913945) - (xy 80.491209 119.913946) - (xy 80.543767 119.9244) - (xy 80.561363 119.9279) - (xy 80.699962 119.9279) - (xy 80.838636 119.927899) - (xy 80.842259 119.927178) - (xy 80.842263 119.927178) - (xy 80.887722 119.918136) - (xy 80.916054 119.912501) - (xy 80.98322 119.867621) - (xy 81.033186 119.855394) - (xy 81.066779 119.867621) - (xy 81.127787 119.908386) - (xy 81.127788 119.908387) - (xy 81.133946 119.912501) - (xy 81.141208 119.913945) - (xy 81.141209 119.913946) - (xy 81.193767 119.9244) - (xy 81.211363 119.9279) - (xy 81.349962 119.9279) - (xy 81.488636 119.927899) - (xy 81.492259 119.927178) - (xy 81.492263 119.927178) - (xy 81.537722 119.918136) - (xy 81.566054 119.912501) - (xy 81.63322 119.867621) - (xy 81.683186 119.855394) - (xy 81.716779 119.867621) - (xy 81.777787 119.908386) - (xy 81.777788 119.908387) - (xy 81.783946 119.912501) - (xy 81.791208 119.913945) - (xy 81.791209 119.913946) - (xy 81.843767 119.9244) - (xy 81.861363 119.9279) - (xy 81.999962 119.9279) - (xy 82.138636 119.927899) - (xy 82.142259 119.927178) - (xy 82.142263 119.927178) - (xy 82.187722 119.918136) - (xy 82.216054 119.912501) - (xy 82.28322 119.867621) - (xy 82.333186 119.855394) - (xy 82.366779 119.867621) - (xy 82.427787 119.908386) - (xy 82.427788 119.908387) - (xy 82.433946 119.912501) - (xy 82.441208 119.913945) - (xy 82.441209 119.913946) - (xy 82.493767 119.9244) - (xy 82.511363 119.9279) - (xy 82.649962 119.9279) - (xy 82.788636 119.927899) - (xy 82.792259 119.927178) - (xy 82.792263 119.927178) - (xy 82.837722 119.918136) - (xy 82.866054 119.912501) - (xy 82.93322 119.867621) - (xy 82.983186 119.855394) - (xy 83.016779 119.867621) - (xy 83.077787 119.908386) - (xy 83.077788 119.908387) - (xy 83.083946 119.912501) - (xy 83.091208 119.913945) - (xy 83.091209 119.913946) - (xy 83.143767 119.9244) - (xy 83.161363 119.9279) - (xy 83.299962 119.9279) - (xy 83.438636 119.927899) - (xy 83.442259 119.927178) - (xy 83.442263 119.927178) - (xy 83.487722 119.918136) - (xy 83.516054 119.912501) - (xy 83.58322 119.867621) - (xy 83.633186 119.855394) - (xy 83.666779 119.867621) - (xy 83.727787 119.908386) - (xy 83.727788 119.908387) - (xy 83.733946 119.912501) - (xy 83.741208 119.913945) - (xy 83.741209 119.913946) - (xy 83.793767 119.9244) - (xy 83.811363 119.9279) - (xy 83.949962 119.9279) - (xy 84.088636 119.927899) - (xy 84.092259 119.927178) - (xy 84.092263 119.927178) - (xy 84.137722 119.918136) - (xy 84.166054 119.912501) - (xy 84.253842 119.853842) - (xy 84.312501 119.766054) - (xy 84.314322 119.756903) - (xy 84.327179 119.692262) - (xy 84.3279 119.688637) - (xy 84.3279 119.15) - (xy 84.542078 119.15) - (xy 84.543004 119.155847) - (xy 84.543004 119.161149) - (xy 84.5431 119.162369) - (xy 84.5431 119.214446) - (xy 84.544927 119.220069) - (xy 84.544928 119.220075) - (xy 84.559193 119.263978) - (xy 84.559477 119.26516) - (xy 84.561117 119.270207) - (xy 84.562043 119.276055) - (xy 84.564731 119.28133) - (xy 84.566369 119.286372) - (xy 84.566837 119.287502) - (xy 84.58293 119.337032) - (xy 84.586406 119.341817) - (xy 84.586407 119.341818) - (xy 84.613538 119.37916) - (xy 84.614177 119.380203) - (xy 84.617296 119.384496) - (xy 84.619984 119.389771) - (xy 84.624172 119.393959) - (xy 84.627292 119.398253) - (xy 84.62808 119.399175) - (xy 84.658692 119.441308) - (xy 84.663475 119.444783) - (xy 84.700825 119.47192) - (xy 84.701747 119.472708) - (xy 84.706041 119.475828) - (xy 84.710229 119.480016) - (xy 84.715504 119.482704) - (xy 84.719797 119.485823) - (xy 84.72084 119.486462) - (xy 84.755668 119.511766) - (xy 84.762968 119.51707) - (xy 84.805062 119.530747) - (xy 84.812498 119.533163) - (xy 84.813628 119.533631) - (xy 84.81867 119.535269) - (xy 84.823945 119.537957) - (xy 84.829793 119.538883) - (xy 84.83484 119.540523) - (xy 84.836022 119.540807) - (xy 84.879925 119.555072) - (xy 84.879931 119.555073) - (xy 84.885554 119.5569) - (xy 84.937631 119.5569) - (xy 84.938851 119.556996) - (xy 84.944153 119.556996) - (xy 84.95 119.557922) - (xy 84.955847 119.556996) - (xy 84.961149 119.556996) - (xy 84.962369 119.5569) - (xy 85.014446 119.5569) - (xy 85.020069 119.555073) - (xy 85.020075 119.555072) - (xy 85.063978 119.540807) - (xy 85.06516 119.540523) - (xy 85.070207 119.538883) - (xy 85.076055 119.537957) - (xy 85.08133 119.535269) - (xy 85.086372 119.533631) - (xy 85.087502 119.533163) - (xy 85.094938 119.530747) - (xy 85.137032 119.51707) - (xy 85.165126 119.496658) - (xy 85.214573 119.482479) - (xy 85.262456 119.504275) - (xy 85.281487 119.523272) - (xy 85.322377 119.564091) - (xy 85.327959 119.56682) - (xy 85.327961 119.566821) - (xy 85.42642 119.614949) - (xy 85.426422 119.61495) - (xy 85.431666 119.617513) - (xy 85.437443 119.618356) - (xy 85.437444 119.618356) - (xy 85.4482 119.619925) - (xy 85.502869 119.6279) - (xy 85.799078 119.6279) - (xy 86.09713 119.627899) - (xy 86.155685 119.61928) - (xy 86.163172 119.618178) - (xy 86.168953 119.617327) - (xy 86.231303 119.586715) - (xy 86.272573 119.566453) - (xy 86.272575 119.566452) - (xy 86.278149 119.563715) - (xy 86.282536 119.559321) - (xy 86.282538 119.559319) - (xy 86.306823 119.534991) - (xy 86.316825 119.524972) - (xy 86.363425 119.503192) - (xy 86.370045 119.5029) - (xy 86.709028 119.5029) - (xy 86.711805 119.502482) - (xy 86.711811 119.502482) - (xy 86.763354 119.494732) - (xy 86.809675 119.487768) - (xy 86.814738 119.485337) - (xy 86.820118 119.483682) - (xy 86.820498 119.484916) - (xy 86.865537 119.48046) - (xy 86.908007 119.509484) - (xy 86.9221 119.553314) - (xy 86.9221 119.986153) - (xy 86.91571 120.012766) - (xy 86.916559 120.013042) - (xy 86.91473 120.018671) - (xy 86.912043 120.023945) - (xy 86.892078 120.15) - (xy 86.912043 120.276055) - (xy 86.924348 120.300205) - (xy 86.963224 120.376503) - (xy 86.969984 120.389771) - (xy 87.060229 120.480016) - (xy 87.065505 120.482704) - (xy 87.065506 120.482705) - (xy 87.102046 120.501323) - (xy 87.173945 120.537957) - (xy 87.3 120.557922) - (xy 87.426055 120.537957) - (xy 87.497954 120.501323) - (xy 87.534494 120.482705) - (xy 87.534495 120.482704) - (xy 87.539771 120.480016) - (xy 87.630016 120.389771) - (xy 87.63156 120.386741) - (xy 94.3726 120.386741) - (xy 94.376297 120.396898) - (xy 94.381669 120.4) - (xy 94.836741 120.4) - (xy 94.846898 120.396303) - (xy 94.85 120.390931) - (xy 94.85 120.386741) - (xy 95.15 120.386741) - (xy 95.153697 120.396898) - (xy 95.159069 120.4) - (xy 95.614141 120.4) - (xy 95.624298 120.396303) - (xy 95.6274 120.390931) - (xy 95.6274 120.305657) - (xy 95.627 120.30019) - (xy 95.617694 120.236971) - (xy 95.614269 120.22595) - (xy 95.566041 120.127722) - (xy 95.558906 120.117756) - (xy 95.481729 120.040714) - (xy 95.471743 120.03359) - (xy 95.373428 119.985533) - (xy 95.362416 119.98213) - (xy 95.299795 119.972995) - (xy 95.294359 119.9726) - (xy 95.163259 119.9726) - (xy 95.153102 119.976297) - (xy 95.15 119.981669) - (xy 95.15 120.386741) - (xy 94.85 120.386741) - (xy 94.85 119.985859) - (xy 94.846303 119.975702) - (xy 94.840931 119.9726) - (xy 94.705657 119.9726) - (xy 94.70019 119.973) - (xy 94.636971 119.982306) - (xy 94.62595 119.985731) - (xy 94.527722 120.033959) - (xy 94.517756 120.041094) - (xy 94.440714 120.118271) - (xy 94.43359 120.128257) - (xy 94.385533 120.226572) - (xy 94.38213 120.237584) - (xy 94.372995 120.300205) - (xy 94.3726 120.305641) - (xy 94.3726 120.386741) - (xy 87.63156 120.386741) - (xy 87.636777 120.376503) - (xy 87.675652 120.300205) - (xy 87.687957 120.276055) - (xy 87.707922 120.15) - (xy 87.687957 120.023945) - (xy 87.685268 120.018668) - (xy 87.684497 120.016294) - (xy 87.686293 119.964885) - (xy 87.720713 119.926658) - (xy 87.770687 119.919301) - (xy 87.786993 119.922544) - (xy 87.797679 119.9209) - (xy 87.799972 119.918285) - (xy 87.8 119.918136) - (xy 87.8 118.188128) - (xy 87.796303 118.177971) - (xy 87.793291 118.176232) - (xy 87.793138 118.176234) - (xy 87.770687 118.180699) - (xy 87.719846 118.172873) - (xy 87.68593 118.134198) - (xy 87.684497 118.083706) - (xy 87.685268 118.081332) - (xy 87.687957 118.076055) - (xy 87.707922 117.95) - (xy 87.702633 117.916603) - (xy 87.688883 117.829792) - (xy 87.687957 117.823945) - (xy 87.652851 117.755045) - (xy 87.632705 117.715506) - (xy 87.632704 117.715505) - (xy 87.630016 117.710229) - (xy 87.539771 117.619984) - (xy 87.530253 117.615134) - (xy 87.438841 117.568558) - (xy 87.426055 117.562043) - (xy 87.314749 117.544414) - (xy 87.305847 117.543004) - (xy 87.3 117.542078) - (xy 87.294153 117.543004) - (xy 87.285251 117.544414) - (xy 87.173945 117.562043) - (xy 87.161159 117.568558) - (xy 87.069748 117.615134) - (xy 87.060229 117.619984) - (xy 86.969984 117.710229) - (xy 86.967296 117.715505) - (xy 86.967295 117.715506) - (xy 86.947149 117.755045) - (xy 86.912043 117.823945) - (xy 86.911117 117.829792) - (xy 86.897368 117.916603) - (xy 86.892078 117.95) - (xy 86.912043 118.076055) - (xy 86.91473 118.081329) - (xy 86.916559 118.086958) - (xy 86.91571 118.087234) - (xy 86.9221 118.113847) - (xy 86.9221 118.550457) - (xy 86.904507 118.598795) - (xy 86.859958 118.624515) - (xy 86.824225 118.622157) - (xy 86.782715 118.609029) - (xy 86.750739 118.598916) - (xy 86.750735 118.598915) - (xy 86.746649 118.597623) - (xy 86.742372 118.597286) - (xy 86.74237 118.597286) - (xy 86.741482 118.597216) - (xy 86.741474 118.597216) - (xy 86.740004 118.5971) - (xy 86.4281 118.5971) - (xy 86.379762 118.579507) - (xy 86.354042 118.534958) - (xy 86.3529 118.5219) - (xy 86.3529 118.141171) - (xy 86.353543 118.131356) - (xy 86.357028 118.104884) - (xy 86.357671 118.1) - (xy 86.356445 118.090687) - (xy 86.353087 118.065178) - (xy 86.3529 118.063304) - (xy 86.3529 118.062054) - (xy 86.347129 118.019923) - (xy 86.34555 118.007931) - (xy 86.339044 117.95851) - (xy 86.339043 117.958506) - (xy 86.338669 117.955664) - (xy 86.338157 117.954428) - (xy 86.337539 117.949916) - (xy 86.308542 117.882907) - (xy 86.308088 117.881834) - (xy 86.284846 117.825725) - (xy 86.284846 117.825724) - (xy 86.282957 117.821165) - (xy 86.281027 117.81865) - (xy 86.279875 117.81636) - (xy 86.279416 117.815601) - (xy 86.277377 117.81089) - (xy 86.234049 117.757385) - (xy 86.232863 117.75588) - (xy 86.194333 117.705667) - (xy 86.190426 117.702669) - (xy 86.189614 117.701857) - (xy 86.185934 117.697771) - (xy 86.185269 117.697146) - (xy 86.182044 117.693164) - (xy 86.17787 117.690198) - (xy 86.177864 117.690192) - (xy 86.128921 117.65541) - (xy 86.126704 117.653773) - (xy 86.082744 117.620041) - (xy 86.08274 117.620039) - (xy 86.078836 117.617043) - (xy 86.074289 117.615159) - (xy 86.070013 117.612691) - (xy 86.070153 117.612449) - (xy 86.063783 117.608925) - (xy 86.062744 117.608382) - (xy 86.058565 117.605412) - (xy 86.028303 117.594517) - (xy 86.000561 117.584529) - (xy 85.997256 117.583251) - (xy 85.94889 117.563217) - (xy 85.948888 117.563216) - (xy 85.944336 117.561331) - (xy 85.939429 117.560685) - (xy 85.923764 117.556881) - (xy 85.916035 117.554098) - (xy 85.910922 117.553722) - (xy 85.91092 117.553722) - (xy 85.857953 117.549832) - (xy 85.853646 117.549391) - (xy 85.804886 117.542972) - (xy 85.804884 117.542972) - (xy 85.8 117.542329) - (xy 85.791804 117.543408) - (xy 85.776485 117.54385) - (xy 85.764957 117.543003) - (xy 85.759933 117.544016) - (xy 85.759932 117.544016) - (xy 85.711174 117.553847) - (xy 85.706127 117.554687) - (xy 85.660547 117.560688) - (xy 85.660546 117.560688) - (xy 85.655664 117.561331) - (xy 85.651114 117.563216) - (xy 85.651109 117.563217) - (xy 85.644965 117.565762) - (xy 85.631054 117.570002) - (xy 85.621483 117.571932) - (xy 85.621482 117.571932) - (xy 85.61646 117.572945) - (xy 85.593425 117.584682) - (xy 85.570566 117.596329) - (xy 85.565204 117.5988) - (xy 85.52572 117.615155) - (xy 85.525712 117.615159) - (xy 85.521165 117.617043) - (xy 85.50935 117.626109) - (xy 85.497722 117.633445) - (xy 85.481486 117.641718) - (xy 85.477715 117.645185) - (xy 85.47771 117.645189) - (xy 85.446033 117.674317) - (xy 85.440912 117.678622) - (xy 85.405667 117.705667) - (xy 85.394591 117.720101) - (xy 85.385838 117.729671) - (xy 85.369978 117.744255) - (xy 85.367276 117.748613) - (xy 85.346358 117.78235) - (xy 85.342106 117.788502) - (xy 85.32073 117.81636) - (xy 85.317043 117.821165) - (xy 85.308817 117.841024) - (xy 85.303258 117.851863) - (xy 85.290152 117.873001) - (xy 85.288722 117.877923) - (xy 85.278578 117.91284) - (xy 85.275841 117.920635) - (xy 85.261331 117.955664) - (xy 85.260688 117.960549) - (xy 85.258095 117.980242) - (xy 85.255752 117.991404) - (xy 85.249382 118.013331) - (xy 85.247889 118.018471) - (xy 85.2471 118.029215) - (xy 85.2471 118.058829) - (xy 85.246457 118.068644) - (xy 85.242329 118.1) - (xy 85.242972 118.104884) - (xy 85.246457 118.131356) - (xy 85.2471 118.141171) - (xy 85.2471 118.5679) - (xy 85.229507 118.616238) - (xy 85.184958 118.641958) - (xy 85.1719 118.6431) - (xy 85.017976 118.6431) - (xy 85.017972 118.643101) - (xy 84.985553 118.643101) - (xy 84.979927 118.644929) - (xy 84.960347 118.65129) - (xy 84.948875 118.654044) - (xy 84.928539 118.657265) - (xy 84.928538 118.657265) - (xy 84.922694 118.658191) - (xy 84.917422 118.660877) - (xy 84.91742 118.660878) - (xy 84.916706 118.661242) - (xy 84.899076 118.670225) - (xy 84.888176 118.674741) - (xy 84.862968 118.682931) - (xy 84.858181 118.686409) - (xy 84.858179 118.68641) - (xy 84.841524 118.69851) - (xy 84.831463 118.704676) - (xy 84.813126 118.714019) - (xy 84.813125 118.71402) - (xy 84.807849 118.716708) - (xy 84.784936 118.739621) - (xy 84.784922 118.739634) - (xy 84.639634 118.884923) - (xy 84.633288 118.893658) - (xy 84.628081 118.900824) - (xy 84.627292 118.901748) - (xy 84.624174 118.906039) - (xy 84.619984 118.910229) - (xy 84.617296 118.915505) - (xy 84.614177 118.919797) - (xy 84.613538 118.92084) - (xy 84.586702 118.957777) - (xy 84.58293 118.962968) - (xy 84.57555 118.985681) - (xy 84.566837 119.012498) - (xy 84.566369 119.013628) - (xy 84.564731 119.01867) - (xy 84.562043 119.023945) - (xy 84.561117 119.029793) - (xy 84.559477 119.03484) - (xy 84.559193 119.036022) - (xy 84.544928 119.079925) - (xy 84.544927 119.079931) - (xy 84.5431 119.085554) - (xy 84.5431 119.137631) - (xy 84.543004 119.138851) - (xy 84.543004 119.144153) - (xy 84.542078 119.15) - (xy 84.3279 119.15) - (xy 84.327899 118.411364) - (xy 84.312501 118.333946) - (xy 84.29251 118.304028) - (xy 84.257958 118.252317) - (xy 84.257956 118.252315) - (xy 84.253842 118.246158) - (xy 84.238253 118.235741) - (xy 84.21132 118.217745) - (xy 84.180904 118.176261) - (xy 84.1779 118.155219) - (xy 84.1779 117.657929) - (xy 84.178003 117.653992) - (xy 84.179709 117.621445) - (xy 84.180123 117.613552) - (xy 84.17131 117.590592) - (xy 84.16796 117.579284) - (xy 84.167107 117.575271) - (xy 84.162848 117.555234) - (xy 84.157829 117.548325) - (xy 84.148465 117.53108) - (xy 84.148236 117.530483) - (xy 84.148235 117.530482) - (xy 84.145403 117.523104) - (xy 84.128019 117.50572) - (xy 84.120355 117.496748) - (xy 84.110546 117.483247) - (xy 84.110545 117.483247) - (xy 84.105901 117.476854) - (xy 84.098507 117.472585) - (xy 84.082933 117.460634) - (xy 84.028573 117.406274) - (xy 84.006833 117.359654) - (xy 84.020147 117.309967) - (xy 84.062284 117.280462) - (xy 84.081747 117.2779) - (xy 87.674453 117.2779) - (xy 87.722791 117.295493) - (xy 87.727627 117.299926) - (xy 88.350074 117.922374) - (xy 88.371814 117.968994) - (xy 88.3721 117.975548) - (xy 88.3721 118.155219) - (xy 88.354507 118.203557) - (xy 88.338679 118.217745) - (xy 88.316329 118.232679) - (xy 88.266364 118.244906) - (xy 88.232771 118.232679) - (xy 88.172017 118.192084) - (xy 88.158596 118.186524) - (xy 88.113005 118.177456) - (xy 88.102321 118.1791) - (xy 88.100028 118.181715) - (xy 88.1 118.181864) - (xy 88.1 119.911872) - (xy 88.103697 119.922029) - (xy 88.106709 119.923768) - (xy 88.106861 119.923766) - (xy 88.158596 119.913476) - (xy 88.172015 119.907917) - (xy 88.232771 119.86732) - (xy 88.282737 119.855093) - (xy 88.316326 119.867318) - (xy 88.383946 119.912501) - (xy 88.391208 119.913945) - (xy 88.391209 119.913946) - (xy 88.443767 119.9244) - (xy 88.461363 119.9279) - (xy 88.599962 119.9279) - (xy 88.738636 119.927899) - (xy 88.742259 119.927178) - (xy 88.742263 119.927178) - (xy 88.787722 119.918136) - (xy 88.816054 119.912501) - (xy 88.88322 119.867621) - (xy 88.933186 119.855394) - (xy 88.966779 119.867621) - (xy 89.027787 119.908386) - (xy 89.027788 119.908387) - (xy 89.033946 119.912501) - (xy 89.041208 119.913945) - (xy 89.041209 119.913946) - (xy 89.093767 119.9244) - (xy 89.111363 119.9279) - (xy 89.249962 119.9279) - (xy 89.388636 119.927899) - (xy 89.392259 119.927178) - (xy 89.392263 119.927178) - (xy 89.437722 119.918136) - (xy 89.466054 119.912501) - (xy 89.53322 119.867621) - (xy 89.583186 119.855394) - (xy 89.616779 119.867621) - (xy 89.677787 119.908386) - (xy 89.677788 119.908387) - (xy 89.683946 119.912501) - (xy 89.691208 119.913945) - (xy 89.691209 119.913946) - (xy 89.743767 119.9244) - (xy 89.761363 119.9279) - (xy 89.899962 119.9279) - (xy 90.038636 119.927899) - (xy 90.042259 119.927178) - (xy 90.042263 119.927178) - (xy 90.087722 119.918136) - (xy 90.116054 119.912501) - (xy 90.18322 119.867621) - (xy 90.233186 119.855394) - (xy 90.266779 119.867621) - (xy 90.327787 119.908386) - (xy 90.327788 119.908387) - (xy 90.333946 119.912501) - (xy 90.341208 119.913945) - (xy 90.341209 119.913946) - (xy 90.393767 119.9244) - (xy 90.411363 119.9279) - (xy 90.549962 119.9279) - (xy 90.688636 119.927899) - (xy 90.692259 119.927178) - (xy 90.692263 119.927178) - (xy 90.737722 119.918136) - (xy 90.766054 119.912501) - (xy 90.83322 119.867621) - (xy 90.883186 119.855394) - (xy 90.916779 119.867621) - (xy 90.977787 119.908386) - (xy 90.977788 119.908387) - (xy 90.983946 119.912501) - (xy 90.991208 119.913945) - (xy 90.991209 119.913946) - (xy 91.043767 119.9244) - (xy 91.061363 119.9279) - (xy 91.199962 119.9279) - (xy 91.338636 119.927899) - (xy 91.342259 119.927178) - (xy 91.342263 119.927178) - (xy 91.387722 119.918136) - (xy 91.416054 119.912501) - (xy 91.48322 119.867621) - (xy 91.533186 119.855394) - (xy 91.566779 119.867621) - (xy 91.627787 119.908386) - (xy 91.627788 119.908387) - (xy 91.633946 119.912501) - (xy 91.641208 119.913945) - (xy 91.641209 119.913946) - (xy 91.693767 119.9244) - (xy 91.711363 119.9279) - (xy 91.849962 119.9279) - (xy 91.988636 119.927899) - (xy 91.992259 119.927178) - (xy 91.992263 119.927178) - (xy 92.037722 119.918136) - (xy 92.066054 119.912501) - (xy 92.13322 119.867621) - (xy 92.183186 119.855394) - (xy 92.216779 119.867621) - (xy 92.277787 119.908386) - (xy 92.277788 119.908387) - (xy 92.283946 119.912501) - (xy 92.291208 119.913945) - (xy 92.291209 119.913946) - (xy 92.343767 119.9244) - (xy 92.361363 119.9279) - (xy 92.499962 119.9279) - (xy 92.638636 119.927899) - (xy 92.642259 119.927178) - (xy 92.642263 119.927178) - (xy 92.687722 119.918136) - (xy 92.716054 119.912501) - (xy 92.78322 119.867621) - (xy 92.833186 119.855394) - (xy 92.866779 119.867621) - (xy 92.927787 119.908386) - (xy 92.927788 119.908387) - (xy 92.933946 119.912501) - (xy 92.941208 119.913945) - (xy 92.941209 119.913946) - (xy 92.993767 119.9244) - (xy 93.011363 119.9279) - (xy 93.149962 119.9279) - (xy 93.288636 119.927899) - (xy 93.292259 119.927178) - (xy 93.292263 119.927178) - (xy 93.337722 119.918136) - (xy 93.366054 119.912501) - (xy 93.453842 119.853842) - (xy 93.512501 119.766054) - (xy 93.514322 119.756903) - (xy 93.527179 119.692262) - (xy 93.5279 119.688637) - (xy 93.5279 119.15) - (xy 93.742078 119.15) - (xy 93.743004 119.155847) - (xy 93.743004 119.161149) - (xy 93.7431 119.162369) - (xy 93.7431 119.214446) - (xy 93.744927 119.220069) - (xy 93.744928 119.220075) - (xy 93.759193 119.263978) - (xy 93.759477 119.26516) - (xy 93.761117 119.270207) - (xy 93.762043 119.276055) - (xy 93.764731 119.28133) - (xy 93.766369 119.286372) - (xy 93.766837 119.287502) - (xy 93.78293 119.337032) - (xy 93.786406 119.341817) - (xy 93.786407 119.341818) - (xy 93.813538 119.37916) - (xy 93.814177 119.380203) - (xy 93.817296 119.384496) - (xy 93.819984 119.389771) - (xy 93.824172 119.393959) - (xy 93.827292 119.398253) - (xy 93.82808 119.399175) - (xy 93.858692 119.441308) - (xy 93.863475 119.444783) - (xy 93.900825 119.47192) - (xy 93.901747 119.472708) - (xy 93.906041 119.475828) - (xy 93.910229 119.480016) - (xy 93.915504 119.482704) - (xy 93.919797 119.485823) - (xy 93.92084 119.486462) - (xy 93.955668 119.511766) - (xy 93.962968 119.51707) - (xy 94.005062 119.530747) - (xy 94.012498 119.533163) - (xy 94.013628 119.533631) - (xy 94.01867 119.535269) - (xy 94.023945 119.537957) - (xy 94.029793 119.538883) - (xy 94.03484 119.540523) - (xy 94.036022 119.540807) - (xy 94.079925 119.555072) - (xy 94.079931 119.555073) - (xy 94.085554 119.5569) - (xy 94.137631 119.5569) - (xy 94.138851 119.556996) - (xy 94.144153 119.556996) - (xy 94.15 119.557922) - (xy 94.155847 119.556996) - (xy 94.161149 119.556996) - (xy 94.162369 119.5569) - (xy 94.214446 119.5569) - (xy 94.220069 119.555073) - (xy 94.220075 119.555072) - (xy 94.263978 119.540807) - (xy 94.26516 119.540523) - (xy 94.270207 119.538883) - (xy 94.276055 119.537957) - (xy 94.28133 119.535269) - (xy 94.286372 119.533631) - (xy 94.287502 119.533163) - (xy 94.294938 119.530747) - (xy 94.337032 119.51707) - (xy 94.365126 119.496658) - (xy 94.414573 119.482479) - (xy 94.462456 119.504275) - (xy 94.481487 119.523272) - (xy 94.522377 119.564091) - (xy 94.527959 119.56682) - (xy 94.527961 119.566821) - (xy 94.62642 119.614949) - (xy 94.626422 119.61495) - (xy 94.631666 119.617513) - (xy 94.637443 119.618356) - (xy 94.637444 119.618356) - (xy 94.6482 119.619925) - (xy 94.702869 119.6279) - (xy 94.999078 119.6279) - (xy 95.29713 119.627899) - (xy 95.355685 119.61928) - (xy 95.363172 119.618178) - (xy 95.368953 119.617327) - (xy 95.431303 119.586715) - (xy 95.472573 119.566453) - (xy 95.472575 119.566452) - (xy 95.478149 119.563715) - (xy 95.482536 119.559321) - (xy 95.482538 119.559319) - (xy 95.506823 119.534991) - (xy 95.516825 119.524972) - (xy 95.563425 119.503192) - (xy 95.570045 119.5029) - (xy 95.909028 119.5029) - (xy 95.911805 119.502482) - (xy 95.911811 119.502482) - (xy 95.963354 119.494732) - (xy 96.009675 119.487768) - (xy 96.014738 119.485337) - (xy 96.020118 119.483682) - (xy 96.020498 119.484916) - (xy 96.065537 119.48046) - (xy 96.108007 119.509484) - (xy 96.1221 119.553314) - (xy 96.1221 119.986153) - (xy 96.11571 120.012766) - (xy 96.116559 120.013042) - (xy 96.11473 120.018671) - (xy 96.112043 120.023945) - (xy 96.092078 120.15) - (xy 96.112043 120.276055) - (xy 96.124348 120.300205) - (xy 96.163224 120.376503) - (xy 96.169984 120.389771) - (xy 96.260229 120.480016) - (xy 96.265505 120.482704) - (xy 96.265506 120.482705) - (xy 96.302046 120.501323) - (xy 96.373945 120.537957) - (xy 96.5 120.557922) - (xy 96.626055 120.537957) - (xy 96.697954 120.501323) - (xy 96.734494 120.482705) - (xy 96.734495 120.482704) - (xy 96.739771 120.480016) - (xy 96.830016 120.389771) - (xy 96.83156 120.386741) - (xy 103.5726 120.386741) - (xy 103.576297 120.396898) - (xy 103.581669 120.4) - (xy 104.036741 120.4) - (xy 104.046898 120.396303) - (xy 104.05 120.390931) - (xy 104.05 120.386741) - (xy 104.35 120.386741) - (xy 104.353697 120.396898) - (xy 104.359069 120.4) - (xy 104.814141 120.4) - (xy 104.824298 120.396303) - (xy 104.8274 120.390931) - (xy 104.8274 120.305657) - (xy 104.827 120.30019) - (xy 104.817694 120.236971) - (xy 104.814269 120.22595) - (xy 104.766041 120.127722) - (xy 104.758906 120.117756) - (xy 104.681729 120.040714) - (xy 104.671743 120.03359) - (xy 104.573428 119.985533) - (xy 104.562416 119.98213) - (xy 104.499795 119.972995) - (xy 104.494359 119.9726) - (xy 104.363259 119.9726) - (xy 104.353102 119.976297) - (xy 104.35 119.981669) - (xy 104.35 120.386741) - (xy 104.05 120.386741) - (xy 104.05 119.985859) - (xy 104.046303 119.975702) - (xy 104.040931 119.9726) - (xy 103.905657 119.9726) - (xy 103.90019 119.973) - (xy 103.836971 119.982306) - (xy 103.82595 119.985731) - (xy 103.727722 120.033959) - (xy 103.717756 120.041094) - (xy 103.640714 120.118271) - (xy 103.63359 120.128257) - (xy 103.585533 120.226572) - (xy 103.58213 120.237584) - (xy 103.572995 120.300205) - (xy 103.5726 120.305641) - (xy 103.5726 120.386741) - (xy 96.83156 120.386741) - (xy 96.836777 120.376503) - (xy 96.875652 120.300205) - (xy 96.887957 120.276055) - (xy 96.907922 120.15) - (xy 96.887957 120.023945) - (xy 96.885268 120.018668) - (xy 96.884497 120.016294) - (xy 96.886293 119.964885) - (xy 96.920713 119.926658) - (xy 96.970687 119.919301) - (xy 96.986993 119.922544) - (xy 96.997679 119.9209) - (xy 96.999972 119.918285) - (xy 97 119.918136) - (xy 97 118.188128) - (xy 96.996303 118.177971) - (xy 96.993291 118.176232) - (xy 96.993138 118.176234) - (xy 96.970687 118.180699) - (xy 96.919846 118.172873) - (xy 96.88593 118.134198) - (xy 96.884497 118.083706) - (xy 96.885268 118.081332) - (xy 96.887957 118.076055) - (xy 96.907922 117.95) - (xy 96.902633 117.916603) - (xy 96.888883 117.829792) - (xy 96.887957 117.823945) - (xy 96.852851 117.755045) - (xy 96.832705 117.715506) - (xy 96.832704 117.715505) - (xy 96.830016 117.710229) - (xy 96.739771 117.619984) - (xy 96.730253 117.615134) - (xy 96.638841 117.568558) - (xy 96.626055 117.562043) - (xy 96.514749 117.544414) - (xy 96.505847 117.543004) - (xy 96.5 117.542078) - (xy 96.494153 117.543004) - (xy 96.485251 117.544414) - (xy 96.373945 117.562043) - (xy 96.361159 117.568558) - (xy 96.269748 117.615134) - (xy 96.260229 117.619984) - (xy 96.169984 117.710229) - (xy 96.167296 117.715505) - (xy 96.167295 117.715506) - (xy 96.147149 117.755045) - (xy 96.112043 117.823945) - (xy 96.111117 117.829792) - (xy 96.097368 117.916603) - (xy 96.092078 117.95) - (xy 96.112043 118.076055) - (xy 96.11473 118.081329) - (xy 96.116559 118.086958) - (xy 96.11571 118.087234) - (xy 96.1221 118.113847) - (xy 96.1221 118.550457) - (xy 96.104507 118.598795) - (xy 96.059958 118.624515) - (xy 96.024225 118.622157) - (xy 95.982715 118.609029) - (xy 95.950739 118.598916) - (xy 95.950735 118.598915) - (xy 95.946649 118.597623) - (xy 95.942372 118.597286) - (xy 95.94237 118.597286) - (xy 95.941482 118.597216) - (xy 95.941474 118.597216) - (xy 95.940004 118.5971) - (xy 95.6281 118.5971) - (xy 95.579762 118.579507) - (xy 95.554042 118.534958) - (xy 95.5529 118.5219) - (xy 95.5529 118.141171) - (xy 95.553543 118.131356) - (xy 95.557028 118.104884) - (xy 95.557671 118.1) - (xy 95.556445 118.090687) - (xy 95.553087 118.065178) - (xy 95.5529 118.063304) - (xy 95.5529 118.062054) - (xy 95.547129 118.019923) - (xy 95.54555 118.007931) - (xy 95.539044 117.95851) - (xy 95.539043 117.958506) - (xy 95.538669 117.955664) - (xy 95.538157 117.954428) - (xy 95.537539 117.949916) - (xy 95.508542 117.882907) - (xy 95.508088 117.881834) - (xy 95.484846 117.825725) - (xy 95.484846 117.825724) - (xy 95.482957 117.821165) - (xy 95.481027 117.81865) - (xy 95.479875 117.81636) - (xy 95.479416 117.815601) - (xy 95.477377 117.81089) - (xy 95.434049 117.757385) - (xy 95.432863 117.75588) - (xy 95.394333 117.705667) - (xy 95.390426 117.702669) - (xy 95.389614 117.701857) - (xy 95.385934 117.697771) - (xy 95.385269 117.697146) - (xy 95.382044 117.693164) - (xy 95.37787 117.690198) - (xy 95.377864 117.690192) - (xy 95.328921 117.65541) - (xy 95.326704 117.653773) - (xy 95.282744 117.620041) - (xy 95.28274 117.620039) - (xy 95.278836 117.617043) - (xy 95.274289 117.615159) - (xy 95.270013 117.612691) - (xy 95.270153 117.612449) - (xy 95.263783 117.608925) - (xy 95.262744 117.608382) - (xy 95.258565 117.605412) - (xy 95.228303 117.594517) - (xy 95.200561 117.584529) - (xy 95.197256 117.583251) - (xy 95.14889 117.563217) - (xy 95.148888 117.563216) - (xy 95.144336 117.561331) - (xy 95.139429 117.560685) - (xy 95.123764 117.556881) - (xy 95.116035 117.554098) - (xy 95.110922 117.553722) - (xy 95.11092 117.553722) - (xy 95.057953 117.549832) - (xy 95.053646 117.549391) - (xy 95.004886 117.542972) - (xy 95.004884 117.542972) - (xy 95 117.542329) - (xy 94.991804 117.543408) - (xy 94.976485 117.54385) - (xy 94.964957 117.543003) - (xy 94.959933 117.544016) - (xy 94.959932 117.544016) - (xy 94.911174 117.553847) - (xy 94.906127 117.554687) - (xy 94.860547 117.560688) - (xy 94.860546 117.560688) - (xy 94.855664 117.561331) - (xy 94.851114 117.563216) - (xy 94.851109 117.563217) - (xy 94.844965 117.565762) - (xy 94.831054 117.570002) - (xy 94.821483 117.571932) - (xy 94.821482 117.571932) - (xy 94.81646 117.572945) - (xy 94.793425 117.584682) - (xy 94.770566 117.596329) - (xy 94.765204 117.5988) - (xy 94.72572 117.615155) - (xy 94.725712 117.615159) - (xy 94.721165 117.617043) - (xy 94.70935 117.626109) - (xy 94.697722 117.633445) - (xy 94.681486 117.641718) - (xy 94.677715 117.645185) - (xy 94.67771 117.645189) - (xy 94.646033 117.674317) - (xy 94.640912 117.678622) - (xy 94.605667 117.705667) - (xy 94.594591 117.720101) - (xy 94.585838 117.729671) - (xy 94.569978 117.744255) - (xy 94.567276 117.748613) - (xy 94.546358 117.78235) - (xy 94.542106 117.788502) - (xy 94.52073 117.81636) - (xy 94.517043 117.821165) - (xy 94.508817 117.841024) - (xy 94.503258 117.851863) - (xy 94.490152 117.873001) - (xy 94.488722 117.877923) - (xy 94.478578 117.91284) - (xy 94.475841 117.920635) - (xy 94.461331 117.955664) - (xy 94.460688 117.960549) - (xy 94.458095 117.980242) - (xy 94.455752 117.991404) - (xy 94.449382 118.013331) - (xy 94.447889 118.018471) - (xy 94.4471 118.029215) - (xy 94.4471 118.058829) - (xy 94.446457 118.068644) - (xy 94.442329 118.1) - (xy 94.442972 118.104884) - (xy 94.446457 118.131356) - (xy 94.4471 118.141171) - (xy 94.4471 118.5679) - (xy 94.429507 118.616238) - (xy 94.384958 118.641958) - (xy 94.3719 118.6431) - (xy 94.217976 118.6431) - (xy 94.217972 118.643101) - (xy 94.185553 118.643101) - (xy 94.179927 118.644929) - (xy 94.160347 118.65129) - (xy 94.148875 118.654044) - (xy 94.128539 118.657265) - (xy 94.128538 118.657265) - (xy 94.122694 118.658191) - (xy 94.117422 118.660877) - (xy 94.11742 118.660878) - (xy 94.116706 118.661242) - (xy 94.099076 118.670225) - (xy 94.088176 118.674741) - (xy 94.062968 118.682931) - (xy 94.058181 118.686409) - (xy 94.058179 118.68641) - (xy 94.041524 118.69851) - (xy 94.031463 118.704676) - (xy 94.013126 118.714019) - (xy 94.013125 118.71402) - (xy 94.007849 118.716708) - (xy 93.984936 118.739621) - (xy 93.984922 118.739634) - (xy 93.839634 118.884923) - (xy 93.833288 118.893658) - (xy 93.828081 118.900824) - (xy 93.827292 118.901748) - (xy 93.824174 118.906039) - (xy 93.819984 118.910229) - (xy 93.817296 118.915505) - (xy 93.814177 118.919797) - (xy 93.813538 118.92084) - (xy 93.786702 118.957777) - (xy 93.78293 118.962968) - (xy 93.77555 118.985681) - (xy 93.766837 119.012498) - (xy 93.766369 119.013628) - (xy 93.764731 119.01867) - (xy 93.762043 119.023945) - (xy 93.761117 119.029793) - (xy 93.759477 119.03484) - (xy 93.759193 119.036022) - (xy 93.744928 119.079925) - (xy 93.744927 119.079931) - (xy 93.7431 119.085554) - (xy 93.7431 119.137631) - (xy 93.743004 119.138851) - (xy 93.743004 119.144153) - (xy 93.742078 119.15) - (xy 93.5279 119.15) - (xy 93.527899 118.411364) - (xy 93.512501 118.333946) - (xy 93.49251 118.304028) - (xy 93.457958 118.252317) - (xy 93.457956 118.252315) - (xy 93.453842 118.246158) - (xy 93.447684 118.242043) - (xy 93.447682 118.242041) - (xy 93.411458 118.217837) - (xy 93.381041 118.176354) - (xy 93.37814 118.151374) - (xy 93.378169 118.150823) - (xy 93.380123 118.113552) - (xy 93.37131 118.090592) - (xy 93.36796 118.079284) - (xy 93.367715 118.078133) - (xy 93.362848 118.055234) - (xy 93.357829 118.048325) - (xy 93.348465 118.03108) - (xy 93.348236 118.030483) - (xy 93.348235 118.030482) - (xy 93.345403 118.023104) - (xy 93.328016 118.005717) - (xy 93.320355 117.996748) - (xy 93.310546 117.983247) - (xy 93.310545 117.983247) - (xy 93.305901 117.976854) - (xy 93.298507 117.972585) - (xy 93.282933 117.960634) - (xy 91.700916 116.378616) - (xy 90.116758 114.794458) - (xy 90.114047 114.791602) - (xy 90.092237 114.767379) - (xy 90.086949 114.761506) - (xy 90.064478 114.751501) - (xy 90.054121 114.745877) - (xy 90.040125 114.736788) - (xy 90.040124 114.736788) - (xy 90.033497 114.732484) - (xy 90.025061 114.731148) - (xy 90.006245 114.725574) - (xy 89.998442 114.7221) - (xy 89.973847 114.7221) - (xy 89.962084 114.721174) - (xy 89.945613 114.718565) - (xy 89.945611 114.718565) - (xy 89.937806 114.717329) - (xy 89.930174 114.719374) - (xy 89.930173 114.719374) - (xy 89.929562 114.719538) - (xy 89.910099 114.7221) - (xy 84.975547 114.7221) - (xy 84.927209 114.704507) - (xy 84.922373 114.700074) - (xy 78.8723 108.65) - (xy 79.392078 108.65) - (xy 79.412043 108.776055) - (xy 79.442214 108.83527) - (xy 79.46632 108.882579) - (xy 79.469984 108.889771) - (xy 79.560229 108.980016) - (xy 79.567296 108.983617) - (xy 79.568308 108.984742) - (xy 79.570291 108.986182) - (xy 79.569985 108.986603) - (xy 79.595057 109.014448) - (xy 79.597459 109.012888) - (xy 79.601765 109.019518) - (xy 79.604597 109.026896) - (xy 79.621981 109.04428) - (xy 79.629645 109.053252) - (xy 79.637384 109.063903) - (xy 79.644099 109.073146) - (xy 79.650944 109.077098) - (xy 79.651493 109.077415) - (xy 79.667067 109.089366) - (xy 80.033233 109.455532) - (xy 80.035943 109.458387) - (xy 80.063051 109.488494) - (xy 80.070268 109.491707) - (xy 80.070269 109.491708) - (xy 80.085515 109.498496) - (xy 80.095884 109.504126) - (xy 80.116503 109.517516) - (xy 80.124939 109.518852) - (xy 80.143755 109.524426) - (xy 80.151558 109.5279) - (xy 80.176153 109.5279) - (xy 80.187916 109.528826) - (xy 80.204387 109.531435) - (xy 80.204389 109.531435) - (xy 80.212194 109.532671) - (xy 80.219826 109.530626) - (xy 80.219827 109.530626) - (xy 80.220438 109.530462) - (xy 80.239901 109.5279) - (xy 80.264963 109.5279) - (xy 80.313301 109.545493) - (xy 80.333117 109.571318) - (xy 80.377257 109.665977) - (xy 80.459023 109.747743) - (xy 80.504508 109.768953) - (xy 80.55861 109.794182) - (xy 80.558612 109.794183) - (xy 80.563824 109.796613) - (xy 80.569524 109.797363) - (xy 80.569526 109.797364) - (xy 80.586907 109.799652) - (xy 80.61158 109.8029) - (xy 81.28842 109.8029) - (xy 81.313093 109.799652) - (xy 81.330474 109.797364) - (xy 81.330476 109.797363) - (xy 81.336176 109.796613) - (xy 81.341388 109.794183) - (xy 81.34139 109.794182) - (xy 81.395492 109.768953) - (xy 81.440977 109.747743) - (xy 81.522743 109.665977) - (xy 81.553509 109.6) - (xy 81.569182 109.56639) - (xy 81.569183 109.566388) - (xy 81.571613 109.561176) - (xy 81.5779 109.51342) - (xy 81.5779 109.2) - (xy 81.792078 109.2) - (xy 81.812043 109.326055) - (xy 81.81473 109.331328) - (xy 81.863407 109.426862) - (xy 81.869984 109.439771) - (xy 81.960229 109.530016) - (xy 82.073945 109.587957) - (xy 82.079792 109.588883) - (xy 82.108664 109.593456) - (xy 82.153654 109.618394) - (xy 82.1721 109.66773) - (xy 82.1721 110.942064) - (xy 82.171997 110.946) - (xy 82.169877 110.986448) - (xy 82.17869 111.009408) - (xy 82.18204 111.020715) - (xy 82.187152 111.044766) - (xy 82.191798 111.05116) - (xy 82.191798 111.051161) - (xy 82.192171 111.051674) - (xy 82.201535 111.06892) - (xy 82.204597 111.076896) - (xy 82.221981 111.09428) - (xy 82.229645 111.103252) - (xy 82.233154 111.108081) - (xy 82.244099 111.123146) - (xy 82.250944 111.127098) - (xy 82.251493 111.127415) - (xy 82.267067 111.139366) - (xy 85.233233 114.105532) - (xy 85.235943 114.108387) - (xy 85.263051 114.138494) - (xy 85.285523 114.148499) - (xy 85.295888 114.154127) - (xy 85.302906 114.158685) - (xy 85.309873 114.16321) - (xy 85.309874 114.163211) - (xy 85.316504 114.167516) - (xy 85.324938 114.168852) - (xy 85.34376 114.174428) - (xy 85.351558 114.1779) - (xy 85.376147 114.1779) - (xy 85.387911 114.178826) - (xy 85.404387 114.181436) - (xy 85.404389 114.181436) - (xy 85.412194 114.182672) - (xy 85.419826 114.180627) - (xy 85.419828 114.180627) - (xy 85.420442 114.180462) - (xy 85.439905 114.1779) - (xy 91.774453 114.1779) - (xy 91.822791 114.195493) - (xy 91.827627 114.199926) - (xy 94.483233 116.855532) - (xy 94.485943 116.858387) - (xy 94.513051 116.888494) - (xy 94.520268 116.891707) - (xy 94.520269 116.891708) - (xy 94.535515 116.898496) - (xy 94.545884 116.904126) - (xy 94.566503 116.917516) - (xy 94.574939 116.918852) - (xy 94.593755 116.924426) - (xy 94.601558 116.9279) - (xy 94.626153 116.9279) - (xy 94.637916 116.928826) - (xy 94.654387 116.931435) - (xy 94.654389 116.931435) - (xy 94.662194 116.932671) - (xy 94.669826 116.930626) - (xy 94.669827 116.930626) - (xy 94.670438 116.930462) - (xy 94.689901 116.9279) - (xy 96.574453 116.9279) - (xy 96.622791 116.945493) - (xy 96.627627 116.949926) - (xy 97.550074 117.872374) - (xy 97.571814 117.918994) - (xy 97.5721 117.925548) - (xy 97.5721 118.155219) - (xy 97.554507 118.203557) - (xy 97.538679 118.217745) - (xy 97.516329 118.232679) - (xy 97.466364 118.244906) - (xy 97.432771 118.232679) - (xy 97.372017 118.192084) - (xy 97.358596 118.186524) - (xy 97.313005 118.177456) - (xy 97.302321 118.1791) - (xy 97.300028 118.181715) - (xy 97.3 118.181864) - (xy 97.3 119.911872) - (xy 97.303697 119.922029) - (xy 97.306709 119.923768) - (xy 97.306861 119.923766) - (xy 97.358596 119.913476) - (xy 97.372015 119.907917) - (xy 97.432771 119.86732) - (xy 97.482737 119.855093) - (xy 97.516326 119.867318) - (xy 97.583946 119.912501) - (xy 97.591208 119.913945) - (xy 97.591209 119.913946) - (xy 97.643767 119.9244) - (xy 97.661363 119.9279) - (xy 97.799962 119.9279) - (xy 97.938636 119.927899) - (xy 97.942259 119.927178) - (xy 97.942263 119.927178) - (xy 97.987722 119.918136) - (xy 98.016054 119.912501) - (xy 98.08322 119.867621) - (xy 98.133186 119.855394) - (xy 98.166779 119.867621) - (xy 98.227787 119.908386) - (xy 98.227788 119.908387) - (xy 98.233946 119.912501) - (xy 98.241208 119.913945) - (xy 98.241209 119.913946) - (xy 98.293767 119.9244) - (xy 98.311363 119.9279) - (xy 98.449962 119.9279) - (xy 98.588636 119.927899) - (xy 98.592259 119.927178) - (xy 98.592263 119.927178) - (xy 98.637722 119.918136) - (xy 98.666054 119.912501) - (xy 98.73322 119.867621) - (xy 98.783186 119.855394) - (xy 98.816779 119.867621) - (xy 98.877787 119.908386) - (xy 98.877788 119.908387) - (xy 98.883946 119.912501) - (xy 98.891208 119.913945) - (xy 98.891209 119.913946) - (xy 98.943767 119.9244) - (xy 98.961363 119.9279) - (xy 99.099962 119.9279) - (xy 99.238636 119.927899) - (xy 99.242259 119.927178) - (xy 99.242263 119.927178) - (xy 99.287722 119.918136) - (xy 99.316054 119.912501) - (xy 99.38322 119.867621) - (xy 99.433186 119.855394) - (xy 99.466779 119.867621) - (xy 99.527787 119.908386) - (xy 99.527788 119.908387) - (xy 99.533946 119.912501) - (xy 99.541208 119.913945) - (xy 99.541209 119.913946) - (xy 99.593767 119.9244) - (xy 99.611363 119.9279) - (xy 99.749962 119.9279) - (xy 99.888636 119.927899) - (xy 99.892259 119.927178) - (xy 99.892263 119.927178) - (xy 99.937722 119.918136) - (xy 99.966054 119.912501) - (xy 100.03322 119.867621) - (xy 100.083186 119.855394) - (xy 100.116779 119.867621) - (xy 100.177787 119.908386) - (xy 100.177788 119.908387) - (xy 100.183946 119.912501) - (xy 100.191208 119.913945) - (xy 100.191209 119.913946) - (xy 100.243767 119.9244) - (xy 100.261363 119.9279) - (xy 100.399962 119.9279) - (xy 100.538636 119.927899) - (xy 100.542259 119.927178) - (xy 100.542263 119.927178) - (xy 100.587722 119.918136) - (xy 100.616054 119.912501) - (xy 100.68322 119.867621) - (xy 100.733186 119.855394) - (xy 100.766779 119.867621) - (xy 100.827787 119.908386) - (xy 100.827788 119.908387) - (xy 100.833946 119.912501) - (xy 100.841208 119.913945) - (xy 100.841209 119.913946) - (xy 100.893767 119.9244) - (xy 100.911363 119.9279) - (xy 101.049962 119.9279) - (xy 101.188636 119.927899) - (xy 101.192259 119.927178) - (xy 101.192263 119.927178) - (xy 101.237722 119.918136) - (xy 101.266054 119.912501) - (xy 101.33322 119.867621) - (xy 101.383186 119.855394) - (xy 101.416779 119.867621) - (xy 101.477787 119.908386) - (xy 101.477788 119.908387) - (xy 101.483946 119.912501) - (xy 101.491208 119.913945) - (xy 101.491209 119.913946) - (xy 101.543767 119.9244) - (xy 101.561363 119.9279) - (xy 101.699962 119.9279) - (xy 101.838636 119.927899) - (xy 101.842259 119.927178) - (xy 101.842263 119.927178) - (xy 101.887722 119.918136) - (xy 101.916054 119.912501) - (xy 101.98322 119.867621) - (xy 102.033186 119.855394) - (xy 102.066779 119.867621) - (xy 102.127787 119.908386) - (xy 102.127788 119.908387) - (xy 102.133946 119.912501) - (xy 102.141208 119.913945) - (xy 102.141209 119.913946) - (xy 102.193767 119.9244) - (xy 102.211363 119.9279) - (xy 102.349962 119.9279) - (xy 102.488636 119.927899) - (xy 102.492259 119.927178) - (xy 102.492263 119.927178) - (xy 102.537722 119.918136) - (xy 102.566054 119.912501) - (xy 102.653842 119.853842) - (xy 102.712501 119.766054) - (xy 102.714322 119.756903) - (xy 102.727179 119.692262) - (xy 102.7279 119.688637) - (xy 102.7279 119.15) - (xy 102.942078 119.15) - (xy 102.943004 119.155847) - (xy 102.943004 119.161149) - (xy 102.9431 119.162369) - (xy 102.9431 119.214446) - (xy 102.944927 119.220069) - (xy 102.944928 119.220075) - (xy 102.959193 119.263978) - (xy 102.959477 119.26516) - (xy 102.961117 119.270207) - (xy 102.962043 119.276055) - (xy 102.964731 119.28133) - (xy 102.966369 119.286372) - (xy 102.966837 119.287502) - (xy 102.98293 119.337032) - (xy 102.986406 119.341817) - (xy 102.986407 119.341818) - (xy 103.013538 119.37916) - (xy 103.014177 119.380203) - (xy 103.017296 119.384496) - (xy 103.019984 119.389771) - (xy 103.024172 119.393959) - (xy 103.027292 119.398253) - (xy 103.02808 119.399175) - (xy 103.058692 119.441308) - (xy 103.063475 119.444783) - (xy 103.100825 119.47192) - (xy 103.101747 119.472708) - (xy 103.106041 119.475828) - (xy 103.110229 119.480016) - (xy 103.115504 119.482704) - (xy 103.119797 119.485823) - (xy 103.12084 119.486462) - (xy 103.155668 119.511766) - (xy 103.162968 119.51707) - (xy 103.205062 119.530747) - (xy 103.212498 119.533163) - (xy 103.213628 119.533631) - (xy 103.21867 119.535269) - (xy 103.223945 119.537957) - (xy 103.229793 119.538883) - (xy 103.23484 119.540523) - (xy 103.236022 119.540807) - (xy 103.279925 119.555072) - (xy 103.279931 119.555073) - (xy 103.285554 119.5569) - (xy 103.337631 119.5569) - (xy 103.338851 119.556996) - (xy 103.344153 119.556996) - (xy 103.35 119.557922) - (xy 103.355847 119.556996) - (xy 103.361149 119.556996) - (xy 103.362369 119.5569) - (xy 103.414446 119.5569) - (xy 103.420069 119.555073) - (xy 103.420075 119.555072) - (xy 103.463978 119.540807) - (xy 103.46516 119.540523) - (xy 103.470207 119.538883) - (xy 103.476055 119.537957) - (xy 103.48133 119.535269) - (xy 103.486372 119.533631) - (xy 103.487502 119.533163) - (xy 103.494938 119.530747) - (xy 103.537032 119.51707) - (xy 103.565126 119.496658) - (xy 103.614573 119.482479) - (xy 103.662456 119.504275) - (xy 103.681487 119.523272) - (xy 103.722377 119.564091) - (xy 103.727959 119.56682) - (xy 103.727961 119.566821) - (xy 103.82642 119.614949) - (xy 103.826422 119.61495) - (xy 103.831666 119.617513) - (xy 103.837443 119.618356) - (xy 103.837444 119.618356) - (xy 103.8482 119.619925) - (xy 103.902869 119.6279) - (xy 104.199078 119.6279) - (xy 104.49713 119.627899) - (xy 104.555685 119.61928) - (xy 104.563172 119.618178) - (xy 104.568953 119.617327) - (xy 104.631303 119.586715) - (xy 104.672573 119.566453) - (xy 104.672575 119.566452) - (xy 104.678149 119.563715) - (xy 104.682536 119.559321) - (xy 104.682538 119.559319) - (xy 104.706823 119.534991) - (xy 104.716825 119.524972) - (xy 104.763425 119.503192) - (xy 104.770045 119.5029) - (xy 105.109028 119.5029) - (xy 105.111805 119.502482) - (xy 105.111811 119.502482) - (xy 105.163354 119.494732) - (xy 105.209675 119.487768) - (xy 105.214738 119.485337) - (xy 105.220118 119.483682) - (xy 105.220498 119.484916) - (xy 105.265537 119.48046) - (xy 105.308007 119.509484) - (xy 105.3221 119.553314) - (xy 105.3221 119.986153) - (xy 105.31571 120.012766) - (xy 105.316559 120.013042) - (xy 105.31473 120.018671) - (xy 105.312043 120.023945) - (xy 105.292078 120.15) - (xy 105.312043 120.276055) - (xy 105.324348 120.300205) - (xy 105.363224 120.376503) - (xy 105.369984 120.389771) - (xy 105.460229 120.480016) - (xy 105.465505 120.482704) - (xy 105.465506 120.482705) - (xy 105.502046 120.501323) - (xy 105.573945 120.537957) - (xy 105.7 120.557922) - (xy 105.826055 120.537957) - (xy 105.897954 120.501323) - (xy 105.934494 120.482705) - (xy 105.934495 120.482704) - (xy 105.939771 120.480016) - (xy 106.030016 120.389771) - (xy 106.036777 120.376503) - (xy 106.075652 120.300205) - (xy 106.087957 120.276055) - (xy 106.107922 120.15) - (xy 106.087957 120.023945) - (xy 106.085268 120.018668) - (xy 106.084497 120.016294) - (xy 106.086293 119.964885) - (xy 106.120713 119.926658) - (xy 106.170687 119.919301) - (xy 106.186993 119.922544) - (xy 106.197679 119.9209) - (xy 106.199972 119.918285) - (xy 106.2 119.918136) - (xy 106.2 118.188128) - (xy 106.196303 118.177971) - (xy 106.193291 118.176232) - (xy 106.193138 118.176234) - (xy 106.170687 118.180699) - (xy 106.119846 118.172873) - (xy 106.08593 118.134198) - (xy 106.084497 118.083706) - (xy 106.085268 118.081332) - (xy 106.087957 118.076055) - (xy 106.107922 117.95) - (xy 106.102633 117.916603) - (xy 106.088883 117.829792) - (xy 106.087957 117.823945) - (xy 106.052851 117.755045) - (xy 106.032705 117.715506) - (xy 106.032704 117.715505) - (xy 106.030016 117.710229) - (xy 105.939771 117.619984) - (xy 105.930253 117.615134) - (xy 105.838841 117.568558) - (xy 105.826055 117.562043) - (xy 105.714749 117.544414) - (xy 105.705847 117.543004) - (xy 105.7 117.542078) - (xy 105.694153 117.543004) - (xy 105.685251 117.544414) - (xy 105.573945 117.562043) - (xy 105.561159 117.568558) - (xy 105.469748 117.615134) - (xy 105.460229 117.619984) - (xy 105.369984 117.710229) - (xy 105.367296 117.715505) - (xy 105.367295 117.715506) - (xy 105.347149 117.755045) - (xy 105.312043 117.823945) - (xy 105.311117 117.829792) - (xy 105.297368 117.916603) - (xy 105.292078 117.95) - (xy 105.312043 118.076055) - (xy 105.31473 118.081329) - (xy 105.316559 118.086958) - (xy 105.31571 118.087234) - (xy 105.3221 118.113847) - (xy 105.3221 118.550457) - (xy 105.304507 118.598795) - (xy 105.259958 118.624515) - (xy 105.224225 118.622157) - (xy 105.182715 118.609029) - (xy 105.150739 118.598916) - (xy 105.150735 118.598915) - (xy 105.146649 118.597623) - (xy 105.142372 118.597286) - (xy 105.14237 118.597286) - (xy 105.141482 118.597216) - (xy 105.141474 118.597216) - (xy 105.140004 118.5971) - (xy 104.8281 118.5971) - (xy 104.779762 118.579507) - (xy 104.754042 118.534958) - (xy 104.7529 118.5219) - (xy 104.7529 118.141171) - (xy 104.753543 118.131356) - (xy 104.757028 118.104884) - (xy 104.757671 118.1) - (xy 104.756445 118.090687) - (xy 104.753087 118.065178) - (xy 104.7529 118.063304) - (xy 104.7529 118.062054) - (xy 104.747129 118.019923) - (xy 104.74555 118.007931) - (xy 104.739044 117.95851) - (xy 104.739043 117.958506) - (xy 104.738669 117.955664) - (xy 104.738157 117.954428) - (xy 104.737539 117.949916) - (xy 104.708542 117.882907) - (xy 104.708088 117.881834) - (xy 104.684846 117.825725) - (xy 104.684846 117.825724) - (xy 104.682957 117.821165) - (xy 104.681027 117.81865) - (xy 104.679875 117.81636) - (xy 104.679416 117.815601) - (xy 104.677377 117.81089) - (xy 104.634049 117.757385) - (xy 104.632863 117.75588) - (xy 104.594333 117.705667) - (xy 104.590426 117.702669) - (xy 104.589614 117.701857) - (xy 104.585934 117.697771) - (xy 104.585269 117.697146) - (xy 104.582044 117.693164) - (xy 104.57787 117.690198) - (xy 104.577864 117.690192) - (xy 104.528921 117.65541) - (xy 104.526704 117.653773) - (xy 104.482744 117.620041) - (xy 104.48274 117.620039) - (xy 104.478836 117.617043) - (xy 104.474289 117.615159) - (xy 104.470013 117.612691) - (xy 104.470153 117.612449) - (xy 104.463783 117.608925) - (xy 104.462744 117.608382) - (xy 104.458565 117.605412) - (xy 104.428303 117.594517) - (xy 104.400561 117.584529) - (xy 104.397256 117.583251) - (xy 104.34889 117.563217) - (xy 104.348888 117.563216) - (xy 104.344336 117.561331) - (xy 104.339429 117.560685) - (xy 104.323764 117.556881) - (xy 104.316035 117.554098) - (xy 104.310922 117.553722) - (xy 104.31092 117.553722) - (xy 104.257953 117.549832) - (xy 104.253646 117.549391) - (xy 104.204886 117.542972) - (xy 104.204884 117.542972) - (xy 104.2 117.542329) - (xy 104.191804 117.543408) - (xy 104.176485 117.54385) - (xy 104.164957 117.543003) - (xy 104.159933 117.544016) - (xy 104.159932 117.544016) - (xy 104.111174 117.553847) - (xy 104.106127 117.554687) - (xy 104.060547 117.560688) - (xy 104.060546 117.560688) - (xy 104.055664 117.561331) - (xy 104.051114 117.563216) - (xy 104.051109 117.563217) - (xy 104.044965 117.565762) - (xy 104.031054 117.570002) - (xy 104.021483 117.571932) - (xy 104.021482 117.571932) - (xy 104.01646 117.572945) - (xy 103.993425 117.584682) - (xy 103.970566 117.596329) - (xy 103.965204 117.5988) - (xy 103.92572 117.615155) - (xy 103.925712 117.615159) - (xy 103.921165 117.617043) - (xy 103.90935 117.626109) - (xy 103.897722 117.633445) - (xy 103.881486 117.641718) - (xy 103.877715 117.645185) - (xy 103.87771 117.645189) - (xy 103.846033 117.674317) - (xy 103.840912 117.678622) - (xy 103.805667 117.705667) - (xy 103.794591 117.720101) - (xy 103.785838 117.729671) - (xy 103.769978 117.744255) - (xy 103.767276 117.748613) - (xy 103.746358 117.78235) - (xy 103.742106 117.788502) - (xy 103.72073 117.81636) - (xy 103.717043 117.821165) - (xy 103.708817 117.841024) - (xy 103.703258 117.851863) - (xy 103.690152 117.873001) - (xy 103.688722 117.877923) - (xy 103.678578 117.91284) - (xy 103.675841 117.920635) - (xy 103.661331 117.955664) - (xy 103.660688 117.960549) - (xy 103.658095 117.980242) - (xy 103.655752 117.991404) - (xy 103.649382 118.013331) - (xy 103.647889 118.018471) - (xy 103.6471 118.029215) - (xy 103.6471 118.058829) - (xy 103.646457 118.068644) - (xy 103.642329 118.1) - (xy 103.642972 118.104884) - (xy 103.646457 118.131356) - (xy 103.6471 118.141171) - (xy 103.6471 118.5679) - (xy 103.629507 118.616238) - (xy 103.584958 118.641958) - (xy 103.5719 118.6431) - (xy 103.417976 118.6431) - (xy 103.417972 118.643101) - (xy 103.385553 118.643101) - (xy 103.379927 118.644929) - (xy 103.360347 118.65129) - (xy 103.348875 118.654044) - (xy 103.328539 118.657265) - (xy 103.328538 118.657265) - (xy 103.322694 118.658191) - (xy 103.317422 118.660877) - (xy 103.31742 118.660878) - (xy 103.316706 118.661242) - (xy 103.299076 118.670225) - (xy 103.288176 118.674741) - (xy 103.262968 118.682931) - (xy 103.258181 118.686409) - (xy 103.258179 118.68641) - (xy 103.241524 118.69851) - (xy 103.231463 118.704676) - (xy 103.213126 118.714019) - (xy 103.213125 118.71402) - (xy 103.207849 118.716708) - (xy 103.184936 118.739621) - (xy 103.184922 118.739634) - (xy 103.039634 118.884923) - (xy 103.033288 118.893658) - (xy 103.028081 118.900824) - (xy 103.027292 118.901748) - (xy 103.024174 118.906039) - (xy 103.019984 118.910229) - (xy 103.017296 118.915505) - (xy 103.014177 118.919797) - (xy 103.013538 118.92084) - (xy 102.986702 118.957777) - (xy 102.98293 118.962968) - (xy 102.97555 118.985681) - (xy 102.966837 119.012498) - (xy 102.966369 119.013628) - (xy 102.964731 119.01867) - (xy 102.962043 119.023945) - (xy 102.961117 119.029793) - (xy 102.959477 119.03484) - (xy 102.959193 119.036022) - (xy 102.944928 119.079925) - (xy 102.944927 119.079931) - (xy 102.9431 119.085554) - (xy 102.9431 119.137631) - (xy 102.943004 119.138851) - (xy 102.943004 119.144153) - (xy 102.942078 119.15) - (xy 102.7279 119.15) - (xy 102.727899 118.411364) - (xy 102.712501 118.333946) - (xy 102.69251 118.304028) - (xy 102.657958 118.252317) - (xy 102.657956 118.252315) - (xy 102.653842 118.246158) - (xy 102.647684 118.242043) - (xy 102.647682 118.242041) - (xy 102.611458 118.217837) - (xy 102.581041 118.176354) - (xy 102.57814 118.151374) - (xy 102.578169 118.150823) - (xy 102.580123 118.113552) - (xy 102.57131 118.090592) - (xy 102.56796 118.079284) - (xy 102.567715 118.078133) - (xy 102.562848 118.055234) - (xy 102.557829 118.048325) - (xy 102.548465 118.03108) - (xy 102.548236 118.030483) - (xy 102.548235 118.030482) - (xy 102.545403 118.023104) - (xy 102.528016 118.005717) - (xy 102.520355 117.996748) - (xy 102.510546 117.983247) - (xy 102.510545 117.983247) - (xy 102.505901 117.976854) - (xy 102.498507 117.972585) - (xy 102.482933 117.960634) - (xy 99.178574 114.656274) - (xy 99.156834 114.609654) - (xy 99.170148 114.559967) - (xy 99.212285 114.530462) - (xy 99.231748 114.5279) - (xy 99.774453 114.5279) - (xy 99.822791 114.545493) - (xy 99.827627 114.549926) - (xy 101.186441 115.908741) - (xy 102.533242 117.255542) - (xy 102.535952 117.258397) - (xy 102.563051 117.288494) - (xy 102.585522 117.298499) - (xy 102.595879 117.304123) - (xy 102.616503 117.317516) - (xy 102.624939 117.318852) - (xy 102.643755 117.324426) - (xy 102.651558 117.3279) - (xy 102.676153 117.3279) - (xy 102.687916 117.328826) - (xy 102.704387 117.331435) - (xy 102.704389 117.331435) - (xy 102.712194 117.332671) - (xy 102.719826 117.330626) - (xy 102.719827 117.330626) - (xy 102.720438 117.330462) - (xy 102.739901 117.3279) - (xy 106.124453 117.3279) - (xy 106.172791 117.345493) - (xy 106.177627 117.349926) - (xy 106.750074 117.922373) - (xy 106.771814 117.968993) - (xy 106.7721 117.975547) - (xy 106.7721 118.155219) - (xy 106.754507 118.203557) - (xy 106.738679 118.217745) - (xy 106.716329 118.232679) - (xy 106.666364 118.244906) - (xy 106.632771 118.232679) - (xy 106.572017 118.192084) - (xy 106.558596 118.186524) - (xy 106.513005 118.177456) - (xy 106.502321 118.1791) - (xy 106.500028 118.181715) - (xy 106.5 118.181864) - (xy 106.5 119.911872) - (xy 106.503697 119.922029) - (xy 106.506709 119.923768) - (xy 106.506861 119.923766) - (xy 106.558596 119.913476) - (xy 106.572015 119.907917) - (xy 106.632771 119.86732) - (xy 106.682737 119.855093) - (xy 106.716326 119.867318) - (xy 106.783946 119.912501) - (xy 106.791208 119.913945) - (xy 106.791209 119.913946) - (xy 106.843767 119.9244) - (xy 106.861363 119.9279) - (xy 106.999962 119.9279) - (xy 107.138636 119.927899) - (xy 107.142259 119.927178) - (xy 107.142263 119.927178) - (xy 107.187722 119.918136) - (xy 107.216054 119.912501) - (xy 107.28322 119.867621) - (xy 107.333186 119.855394) - (xy 107.366779 119.867621) - (xy 107.427787 119.908386) - (xy 107.427788 119.908387) - (xy 107.433946 119.912501) - (xy 107.441208 119.913945) - (xy 107.441209 119.913946) - (xy 107.493767 119.9244) - (xy 107.511363 119.9279) - (xy 107.649962 119.9279) - (xy 107.788636 119.927899) - (xy 107.792259 119.927178) - (xy 107.792263 119.927178) - (xy 107.837722 119.918136) - (xy 107.866054 119.912501) - (xy 107.93322 119.867621) - (xy 107.983186 119.855394) - (xy 108.016779 119.867621) - (xy 108.077787 119.908386) - (xy 108.077788 119.908387) - (xy 108.083946 119.912501) - (xy 108.091208 119.913945) - (xy 108.091209 119.913946) - (xy 108.143767 119.9244) - (xy 108.161363 119.9279) - (xy 108.299962 119.9279) - (xy 108.438636 119.927899) - (xy 108.442259 119.927178) - (xy 108.442263 119.927178) - (xy 108.487722 119.918136) - (xy 108.516054 119.912501) - (xy 108.58322 119.867621) - (xy 108.633186 119.855394) - (xy 108.666779 119.867621) - (xy 108.727787 119.908386) - (xy 108.727788 119.908387) - (xy 108.733946 119.912501) - (xy 108.741208 119.913945) - (xy 108.741209 119.913946) - (xy 108.793767 119.9244) - (xy 108.811363 119.9279) - (xy 108.949962 119.9279) - (xy 109.088636 119.927899) - (xy 109.092259 119.927178) - (xy 109.092263 119.927178) - (xy 109.137722 119.918136) - (xy 109.166054 119.912501) - (xy 109.23322 119.867621) - (xy 109.283186 119.855394) - (xy 109.316779 119.867621) - (xy 109.377787 119.908386) - (xy 109.377788 119.908387) - (xy 109.383946 119.912501) - (xy 109.391208 119.913945) - (xy 109.391209 119.913946) - (xy 109.443767 119.9244) - (xy 109.461363 119.9279) - (xy 109.599962 119.9279) - (xy 109.738636 119.927899) - (xy 109.742259 119.927178) - (xy 109.742263 119.927178) - (xy 109.787722 119.918136) - (xy 109.816054 119.912501) - (xy 109.88322 119.867621) - (xy 109.933186 119.855394) - (xy 109.966779 119.867621) - (xy 110.027787 119.908386) - (xy 110.027788 119.908387) - (xy 110.033946 119.912501) - (xy 110.041208 119.913945) - (xy 110.041209 119.913946) - (xy 110.093767 119.9244) - (xy 110.111363 119.9279) - (xy 110.249962 119.9279) - (xy 110.388636 119.927899) - (xy 110.392259 119.927178) - (xy 110.392263 119.927178) - (xy 110.437722 119.918136) - (xy 110.466054 119.912501) - (xy 110.53322 119.867621) - (xy 110.583186 119.855394) - (xy 110.616779 119.867621) - (xy 110.677787 119.908386) - (xy 110.677788 119.908387) - (xy 110.683946 119.912501) - (xy 110.691208 119.913945) - (xy 110.691209 119.913946) - (xy 110.743767 119.9244) - (xy 110.761363 119.9279) - (xy 110.899962 119.9279) - (xy 111.038636 119.927899) - (xy 111.042259 119.927178) - (xy 111.042263 119.927178) - (xy 111.087722 119.918136) - (xy 111.116054 119.912501) - (xy 111.18322 119.867621) - (xy 111.233186 119.855394) - (xy 111.266779 119.867621) - (xy 111.327787 119.908386) - (xy 111.327788 119.908387) - (xy 111.333946 119.912501) - (xy 111.341208 119.913945) - (xy 111.341209 119.913946) - (xy 111.393767 119.9244) - (xy 111.411363 119.9279) - (xy 111.549962 119.9279) - (xy 111.688636 119.927899) - (xy 111.692259 119.927178) - (xy 111.692263 119.927178) - (xy 111.737722 119.918136) - (xy 111.766054 119.912501) - (xy 111.853842 119.853842) - (xy 111.912501 119.766054) - (xy 111.914322 119.756903) - (xy 111.927179 119.692262) - (xy 111.9279 119.688637) - (xy 111.927899 118.411364) - (xy 111.912501 118.333946) - (xy 111.853842 118.246158) - (xy 111.766054 118.187499) - (xy 111.758792 118.186055) - (xy 111.758791 118.186054) - (xy 111.692262 118.172821) - (xy 111.688637 118.1721) - (xy 111.550038 118.1721) - (xy 111.411364 118.172101) - (xy 111.407741 118.172822) - (xy 111.407737 118.172822) - (xy 111.376174 118.1791) - (xy 111.333946 118.187499) - (xy 111.298507 118.211179) - (xy 111.266779 118.232379) - (xy 111.216814 118.244606) - (xy 111.183221 118.232379) - (xy 111.122213 118.191614) - (xy 111.122212 118.191613) - (xy 111.116054 118.187499) - (xy 111.108792 118.186055) - (xy 111.108791 118.186054) - (xy 111.042262 118.172821) - (xy 111.038637 118.1721) - (xy 110.900038 118.1721) - (xy 110.761364 118.172101) - (xy 110.757741 118.172822) - (xy 110.757737 118.172822) - (xy 110.726174 118.1791) - (xy 110.683946 118.187499) - (xy 110.616778 118.23238) - (xy 110.566815 118.244606) - (xy 110.533221 118.232379) - (xy 110.511458 118.217837) - (xy 110.481042 118.176353) - (xy 110.478141 118.151376) - (xy 110.479709 118.121446) - (xy 110.479709 118.121444) - (xy 110.480123 118.113552) - (xy 110.471311 118.090596) - (xy 110.467959 118.079281) - (xy 110.467809 118.078573) - (xy 110.462848 118.055234) - (xy 110.457832 118.04833) - (xy 110.448466 118.03108) - (xy 110.445404 118.023104) - (xy 110.428017 118.005717) - (xy 110.420353 117.996745) - (xy 110.410546 117.983247) - (xy 110.405901 117.976854) - (xy 110.399059 117.972903) - (xy 110.399057 117.972902) - (xy 110.398508 117.972585) - (xy 110.382934 117.960634) - (xy 108.066643 115.644343) - (xy 112.3226 115.644343) - (xy 112.323 115.64981) - (xy 112.332306 115.713029) - (xy 112.335731 115.72405) - (xy 112.383959 115.822278) - (xy 112.391094 115.832244) - (xy 112.468271 115.909286) - (xy 112.478257 115.91641) - (xy 112.576572 115.964467) - (xy 112.587584 115.96787) - (xy 112.650205 115.977005) - (xy 112.655641 115.9774) - (xy 112.736741 115.9774) - (xy 112.746898 115.973703) - (xy 112.75 115.968331) - (xy 112.75 115.964141) - (xy 113.05 115.964141) - (xy 113.053697 115.974298) - (xy 113.059069 115.9774) - (xy 113.144343 115.9774) - (xy 113.14981 115.977) - (xy 113.213029 115.967694) - (xy 113.22405 115.964269) - (xy 113.322278 115.916041) - (xy 113.332244 115.908906) - (xy 113.409286 115.831729) - (xy 113.41641 115.821743) - (xy 113.464467 115.723428) - (xy 113.46787 115.712416) - (xy 113.477005 115.649795) - (xy 113.4774 115.644359) - (xy 113.4774 115.513259) - (xy 113.473703 115.503102) - (xy 113.468331 115.5) - (xy 113.063259 115.5) - (xy 113.053102 115.503697) - (xy 113.05 115.509069) - (xy 113.05 115.964141) - (xy 112.75 115.964141) - (xy 112.75 115.513259) - (xy 112.746303 115.503102) - (xy 112.740931 115.5) - (xy 112.335859 115.5) - (xy 112.325702 115.503697) - (xy 112.3226 115.509069) - (xy 112.3226 115.644343) - (xy 108.066643 115.644343) - (xy 107.866758 115.444458) - (xy 107.864047 115.441602) - (xy 107.842237 115.417379) - (xy 107.836949 115.411506) - (xy 107.814478 115.401501) - (xy 107.804121 115.395877) - (xy 107.790125 115.386788) - (xy 107.790124 115.386788) - (xy 107.783497 115.382484) - (xy 107.775061 115.381148) - (xy 107.756245 115.375574) - (xy 107.748442 115.3721) - (xy 107.723847 115.3721) - (xy 107.712084 115.371174) - (xy 107.695613 115.368565) - (xy 107.695611 115.368565) - (xy 107.687806 115.367329) - (xy 107.680174 115.369374) - (xy 107.680173 115.369374) - (xy 107.679562 115.369538) - (xy 107.660099 115.3721) - (xy 103.575547 115.3721) - (xy 103.527209 115.354507) - (xy 103.522373 115.350074) - (xy 103.35904 115.186741) - (xy 112.3226 115.186741) - (xy 112.326297 115.196898) - (xy 112.331669 115.2) - (xy 112.736741 115.2) - (xy 112.746898 115.196303) - (xy 112.75 115.190931) - (xy 112.75 115.186741) - (xy 113.05 115.186741) - (xy 113.053697 115.196898) - (xy 113.059069 115.2) - (xy 113.464141 115.2) - (xy 113.474298 115.196303) - (xy 113.4774 115.190931) - (xy 113.4774 115.055657) - (xy 113.477 115.05019) - (xy 113.467694 114.986971) - (xy 113.464269 114.97595) - (xy 113.416041 114.877722) - (xy 113.408906 114.867756) - (xy 113.331729 114.790714) - (xy 113.321743 114.78359) - (xy 113.223428 114.735533) - (xy 113.212416 114.73213) - (xy 113.149795 114.722995) - (xy 113.144359 114.7226) - (xy 113.063259 114.7226) - (xy 113.053102 114.726297) - (xy 113.05 114.731669) - (xy 113.05 115.186741) - (xy 112.75 115.186741) - (xy 112.75 114.735859) - (xy 112.746303 114.725702) - (xy 112.740931 114.7226) - (xy 112.655657 114.7226) - (xy 112.65019 114.723) - (xy 112.586971 114.732306) - (xy 112.57595 114.735731) - (xy 112.477722 114.783959) - (xy 112.467756 114.791094) - (xy 112.390714 114.868271) - (xy 112.38359 114.878257) - (xy 112.335533 114.976572) - (xy 112.33213 114.987584) - (xy 112.322995 115.050205) - (xy 112.3226 115.055641) - (xy 112.3226 115.186741) - (xy 103.35904 115.186741) - (xy 102.636685 114.464386) - (xy 113.79221 114.464386) - (xy 113.79322 114.469916) - (xy 113.79322 114.469918) - (xy 113.802006 114.51802) - (xy 113.802593 114.521771) - (xy 113.809298 114.573046) - (xy 113.811456 114.577951) - (xy 113.812896 114.583108) - (xy 113.812747 114.583149) - (xy 113.815597 114.592442) - (xy 113.816678 114.598359) - (xy 113.819271 114.60335) - (xy 113.819271 114.603351) - (xy 113.840126 114.643498) - (xy 113.842224 114.647877) - (xy 113.861547 114.691791) - (xy 113.864997 114.695896) - (xy 113.864998 114.695897) - (xy 113.8658 114.696852) - (xy 113.874967 114.71057) - (xy 113.879458 114.719215) - (xy 113.882243 114.722476) - (xy 113.882817 114.723149) - (xy 113.882826 114.723159) - (xy 113.883787 114.724284) - (xy 113.905703 114.7462) - (xy 113.927443 114.79282) - (xy 113.914129 114.842507) - (xy 113.905753 114.852499) - (xy 113.885909 114.872377) - (xy 113.88318 114.877959) - (xy 113.883179 114.877961) - (xy 113.835281 114.97595) - (xy 113.832487 114.981666) - (xy 113.8221 115.052869) - (xy 113.822101 115.64713) - (xy 113.832673 115.718953) - (xy 113.852194 115.758713) - (xy 113.883403 115.822278) - (xy 113.886285 115.828149) - (xy 113.905048 115.846879) - (xy 113.905618 115.847448) - (xy 113.927398 115.894049) - (xy 113.914128 115.943748) - (xy 113.909478 115.949734) - (xy 113.907676 115.951827) - (xy 113.90569 115.953813) - (xy 113.904023 115.95607) - (xy 113.899452 115.962259) - (xy 113.895325 115.967364) - (xy 113.871118 115.994772) - (xy 113.871114 115.994778) - (xy 113.86757 115.998791) - (xy 113.865293 116.003641) - (xy 113.862186 116.010259) - (xy 113.854607 116.022975) - (xy 113.845222 116.035681) - (xy 113.843362 116.040977) - (xy 113.84336 116.040981) - (xy 113.831556 116.074593) - (xy 113.82868 116.081623) - (xy 113.812436 116.116223) - (xy 113.811612 116.121516) - (xy 113.811611 116.121519) - (xy 113.809914 116.132421) - (xy 113.806562 116.145767) - (xy 113.800097 116.164176) - (xy 113.799876 116.169793) - (xy 113.799876 116.169794) - (xy 113.798622 116.2017) - (xy 113.797785 116.210314) - (xy 113.792477 116.24441) - (xy 113.795085 116.264358) - (xy 113.795662 116.27705) - (xy 113.79475 116.30026) - (xy 113.79619 116.305691) - (xy 113.80343 116.332998) - (xy 113.805306 116.342519) - (xy 113.809298 116.373046) - (xy 113.811454 116.377947) - (xy 113.811455 116.377949) - (xy 113.818895 116.394858) - (xy 113.822752 116.405873) - (xy 113.829653 116.4319) - (xy 113.832625 116.436665) - (xy 113.832628 116.436672) - (xy 113.845618 116.457499) - (xy 113.850642 116.467006) - (xy 113.861547 116.491791) - (xy 113.864995 116.495893) - (xy 113.879269 116.512874) - (xy 113.885511 116.521466) - (xy 113.898745 116.542685) - (xy 113.898748 116.542689) - (xy 113.901722 116.547457) - (xy 113.918212 116.561766) - (xy 113.921707 116.564799) - (xy 113.929978 116.5732) - (xy 113.945023 116.591098) - (xy 113.949485 116.594068) - (xy 113.971047 116.608421) - (xy 113.978653 116.614214) - (xy 114.004583 116.636715) - (xy 114.019145 116.643153) - (xy 114.025397 116.645917) - (xy 114.036657 116.652094) - (xy 114.053017 116.662984) - (xy 114.05813 116.664581) - (xy 114.058131 116.664582) - (xy 114.08639 116.673411) - (xy 114.094364 116.676408) - (xy 114.129142 116.691783) - (xy 114.14358 116.693492) - (xy 114.148066 116.694023) - (xy 114.161645 116.696922) - (xy 114.176845 116.701671) - (xy 114.215508 116.702379) - (xy 114.222957 116.702887) - (xy 114.264386 116.70779) - (xy 114.279476 116.705034) - (xy 114.294354 116.703824) - (xy 114.299196 116.703913) - (xy 114.301194 116.70395) - (xy 114.301196 116.70395) - (xy 114.306555 116.704048) - (xy 114.311726 116.702638) - (xy 114.311728 116.702638) - (xy 114.347453 116.692898) - (xy 114.353722 116.691474) - (xy 114.398359 116.683322) - (xy 114.403349 116.68073) - (xy 114.403352 116.680729) - (xy 114.408657 116.677973) - (xy 114.423543 116.672154) - (xy 114.424521 116.671887) - (xy 114.431718 116.669925) - (xy 114.436277 116.667126) - (xy 114.436281 116.667124) - (xy 114.471006 116.645802) - (xy 114.475689 116.643153) - (xy 114.515404 116.622523) - (xy 114.515413 116.622517) - (xy 114.519215 116.620542) - (xy 114.522703 116.617563) - (xy 114.523149 116.617183) - (xy 114.523159 116.617174) - (xy 114.524284 116.616213) - (xy 114.526104 116.614393) - (xy 114.533694 116.608404) - (xy 114.533584 116.608271) - (xy 114.53771 116.604846) - (xy 114.542273 116.602044) - (xy 114.545866 116.598075) - (xy 114.545868 116.598073) - (xy 114.577481 116.563147) - (xy 114.580059 116.560438) - (xy 114.698064 116.442433) - (xy 114.704682 116.436551) - (xy 114.729467 116.417012) - (xy 114.733879 116.413534) - (xy 114.743087 116.400212) - (xy 114.767895 116.364317) - (xy 114.769269 116.362395) - (xy 114.787513 116.337694) - (xy 114.804778 116.314319) - (xy 114.806568 116.309221) - (xy 114.806715 116.308979) - (xy 114.80689 116.308623) - (xy 114.807968 116.306508) - (xy 114.808129 116.306205) - (xy 114.808237 116.305947) - (xy 114.811311 116.301499) - (xy 114.829349 116.244465) - (xy 114.830097 116.242225) - (xy 114.84804 116.191131) - (xy 114.848041 116.191127) - (xy 114.849903 116.185824) - (xy 114.850115 116.180422) - (xy 114.850362 116.179446) - (xy 114.851085 116.175735) - (xy 114.852377 116.171649) - (xy 114.8529 116.165004) - (xy 114.8529 116.111025) - (xy 114.852958 116.108073) - (xy 114.853997 116.081633) - (xy 114.85525 116.04974) - (xy 114.853808 116.044303) - (xy 114.853354 116.040187) - (xy 114.8529 116.031934) - (xy 114.8529 115.920032) - (xy 114.870493 115.871694) - (xy 114.874879 115.866904) - (xy 114.914091 115.827623) - (xy 114.915639 115.829168) - (xy 114.950376 115.805295) - (xy 114.969203 115.8029) - (xy 115.243241 115.8029) - (xy 115.244619 115.802913) - (xy 115.301193 115.80395) - (xy 115.301195 115.80395) - (xy 115.306555 115.804048) - (xy 115.311726 115.802638) - (xy 115.311728 115.802638) - (xy 115.339397 115.795094) - (xy 115.347995 115.793282) - (xy 115.384675 115.787768) - (xy 115.402683 115.779121) - (xy 115.415448 115.774361) - (xy 115.426554 115.771333) - (xy 115.431718 115.769925) - (xy 115.436277 115.767126) - (xy 115.436281 115.767124) - (xy 115.463882 115.750176) - (xy 115.470678 115.74647) - (xy 115.507443 115.728816) - (xy 115.519383 115.717779) - (xy 115.53108 115.708917) - (xy 115.537706 115.704848) - (xy 115.542273 115.702044) - (xy 115.545867 115.698073) - (xy 115.545871 115.69807) - (xy 115.570104 115.671298) - (xy 115.574803 115.666549) - (xy 115.598826 115.644343) - (xy 122.3226 115.644343) - (xy 122.323 115.64981) - (xy 122.332306 115.713029) - (xy 122.335731 115.72405) - (xy 122.383959 115.822278) - (xy 122.391094 115.832244) - (xy 122.468271 115.909286) - (xy 122.478257 115.91641) - (xy 122.576572 115.964467) - (xy 122.587584 115.96787) - (xy 122.650205 115.977005) - (xy 122.655641 115.9774) - (xy 122.736741 115.9774) - (xy 122.746898 115.973703) - (xy 122.75 115.968331) - (xy 122.75 115.964141) - (xy 123.05 115.964141) - (xy 123.053697 115.974298) - (xy 123.059069 115.9774) - (xy 123.144343 115.9774) - (xy 123.14981 115.977) - (xy 123.213029 115.967694) - (xy 123.22405 115.964269) - (xy 123.322278 115.916041) - (xy 123.332244 115.908906) - (xy 123.409286 115.831729) - (xy 123.41641 115.821743) - (xy 123.464467 115.723428) - (xy 123.46787 115.712416) - (xy 123.477005 115.649795) - (xy 123.4774 115.644359) - (xy 123.4774 115.513259) - (xy 123.473703 115.503102) - (xy 123.468331 115.5) - (xy 123.063259 115.5) - (xy 123.053102 115.503697) - (xy 123.05 115.509069) - (xy 123.05 115.964141) - (xy 122.75 115.964141) - (xy 122.75 115.513259) - (xy 122.746303 115.503102) - (xy 122.740931 115.5) - (xy 122.335859 115.5) - (xy 122.325702 115.503697) - (xy 122.3226 115.509069) - (xy 122.3226 115.644343) - (xy 115.598826 115.644343) - (xy 115.60745 115.636371) - (xy 115.613748 115.625527) - (xy 115.623023 115.612833) - (xy 115.629332 115.605863) - (xy 115.649024 115.565219) - (xy 115.651672 115.560238) - (xy 115.67303 115.523467) - (xy 115.675853 115.518607) - (xy 115.677844 115.510018) - (xy 115.683423 115.49422) - (xy 115.685897 115.489112) - (xy 115.694009 115.440898) - (xy 115.694908 115.436398) - (xy 115.705335 115.39141) - (xy 115.706604 115.385936) - (xy 115.706207 115.380327) - (xy 115.706372 115.378228) - (xy 115.706914 115.36696) - (xy 115.706678 115.366939) - (xy 115.706937 115.364048) - (xy 115.70742 115.361179) - (xy 115.707459 115.358043) - (xy 115.707521 115.352913) - (xy 115.707557 115.35) - (xy 115.701019 115.304345) - (xy 115.700448 115.298997) - (xy 115.696985 115.250087) - (xy 115.694143 115.24274) - (xy 115.690602 115.229196) - (xy 115.689926 115.226884) - (xy 115.689166 115.221579) - (xy 115.686948 115.2167) - (xy 115.673326 115.186741) - (xy 122.3226 115.186741) - (xy 122.326297 115.196898) - (xy 122.331669 115.2) - (xy 122.736741 115.2) - (xy 122.746898 115.196303) - (xy 122.75 115.190931) - (xy 122.75 115.186741) - (xy 123.05 115.186741) - (xy 123.053697 115.196898) - (xy 123.059069 115.2) - (xy 123.464141 115.2) - (xy 123.474298 115.196303) - (xy 123.4774 115.190931) - (xy 123.4774 115.055657) - (xy 123.477 115.05019) - (xy 123.467694 114.986971) - (xy 123.464269 114.97595) - (xy 123.416041 114.877722) - (xy 123.408906 114.867756) - (xy 123.331729 114.790714) - (xy 123.321743 114.78359) - (xy 123.223428 114.735533) - (xy 123.212416 114.73213) - (xy 123.149795 114.722995) - (xy 123.144359 114.7226) - (xy 123.063259 114.7226) - (xy 123.053102 114.726297) - (xy 123.05 114.731669) - (xy 123.05 115.186741) - (xy 122.75 115.186741) - (xy 122.75 114.735859) - (xy 122.746303 114.725702) - (xy 122.740931 114.7226) - (xy 122.655657 114.7226) - (xy 122.65019 114.723) - (xy 122.586971 114.732306) - (xy 122.57595 114.735731) - (xy 122.477722 114.783959) - (xy 122.467756 114.791094) - (xy 122.390714 114.868271) - (xy 122.38359 114.878257) - (xy 122.335533 114.976572) - (xy 122.33213 114.987584) - (xy 122.322995 115.050205) - (xy 122.3226 115.055641) - (xy 122.3226 115.186741) - (xy 115.673326 115.186741) - (xy 115.668541 115.176216) - (xy 115.666863 115.172224) - (xy 115.649877 115.128318) - (xy 115.649875 115.128315) - (xy 115.647847 115.123072) - (xy 115.644367 115.118657) - (xy 115.641583 115.113776) - (xy 115.641597 115.113768) - (xy 115.640917 115.112651) - (xy 115.640574 115.11287) - (xy 115.637685 115.108353) - (xy 115.63547 115.103482) - (xy 115.600492 115.062888) - (xy 115.598419 115.060373) - (xy 115.563534 115.016121) - (xy 115.558907 115.012923) - (xy 115.558136 115.012199) - (xy 115.554134 115.009086) - (xy 115.550787 115.005202) - (xy 115.502643 114.973997) - (xy 115.500788 114.972755) - (xy 115.497305 114.970348) - (xy 115.451499 114.938689) - (xy 115.446144 114.936995) - (xy 115.445039 114.936454) - (xy 115.444251 114.936149) - (xy 115.441923 114.93464) - (xy 115.436795 114.933106) - (xy 115.436792 114.933105) - (xy 115.38316 114.917066) - (xy 115.38203 114.916719) - (xy 115.325739 114.898916) - (xy 115.325735 114.898915) - (xy 115.321649 114.897623) - (xy 115.317372 114.897286) - (xy 115.31737 114.897286) - (xy 115.316482 114.897216) - (xy 115.316474 114.897216) - (xy 115.315004 114.8971) - (xy 115.257561 114.8971) - (xy 115.257101 114.897099) - (xy 115.191201 114.896696) - (xy 115.191199 114.896696) - (xy 115.187902 114.896676) - (xy 115.186777 114.896998) - (xy 115.185273 114.8971) - (xy 114.969184 114.8971) - (xy 114.920846 114.879507) - (xy 114.913737 114.871829) - (xy 114.913715 114.871851) - (xy 114.894333 114.852503) - (xy 114.874972 114.833175) - (xy 114.853192 114.786575) - (xy 114.8529 114.779955) - (xy 114.8529 114.631367) - (xy 114.853421 114.622528) - (xy 114.855691 114.603351) - (xy 114.85779 114.585614) - (xy 114.847041 114.526759) - (xy 114.846657 114.524453) - (xy 114.846256 114.521781) - (xy 114.837768 114.465325) - (xy 114.835428 114.460451) - (xy 114.835357 114.460161) - (xy 114.835232 114.459796) - (xy 114.834498 114.457538) - (xy 114.834399 114.457217) - (xy 114.834294 114.45696) - (xy 114.833322 114.45164) - (xy 114.805733 114.398528) - (xy 114.804702 114.396464) - (xy 114.781251 114.347628) - (xy 114.778816 114.342557) - (xy 114.775144 114.338584) - (xy 114.774627 114.337718) - (xy 114.772518 114.334589) - (xy 114.770542 114.330785) - (xy 114.76776 114.327527) - (xy 114.767757 114.327523) - (xy 114.767183 114.326851) - (xy 114.767174 114.326841) - (xy 114.766213 114.325716) - (xy 114.728064 114.287567) - (xy 114.726017 114.285439) - (xy 114.690184 114.246675) - (xy 114.686371 114.24255) - (xy 114.681514 114.239729) - (xy 114.678265 114.237126) - (xy 114.672109 114.231612) - (xy 114.584451 114.143954) - (xy 114.580656 114.139867) - (xy 114.554282 114.109258) - (xy 114.554281 114.109257) - (xy 114.550787 114.105202) - (xy 114.509737 114.078594) - (xy 114.505995 114.076004) - (xy 114.464319 114.045222) - (xy 114.459015 114.043359) - (xy 114.457131 114.042362) - (xy 114.451403 114.039549) - (xy 114.451286 114.039803) - (xy 114.446418 114.037553) - (xy 114.441923 114.03464) - (xy 114.391481 114.019555) - (xy 114.388154 114.018474) - (xy 114.335824 114.000097) - (xy 114.330207 113.999877) - (xy 114.329119 113.999669) - (xy 114.323963 113.999184) - (xy 114.322766 113.999005) - (xy 114.317631 113.997469) - (xy 114.312274 113.997436) - (xy 114.312272 113.997436) - (xy 114.292403 113.997315) - (xy 114.261179 113.997124) - (xy 114.258739 113.997069) - (xy 114.19974 113.99475) - (xy 114.194308 113.99619) - (xy 114.193184 113.996314) - (xy 114.191276 113.996696) - (xy 114.187902 113.996676) - (xy 114.182754 113.998147) - (xy 114.182749 113.998148) - (xy 114.129887 114.013256) - (xy 114.128496 114.013639) - (xy 114.106166 114.01956) - (xy 114.0681 114.029653) - (xy 114.064362 114.031984) - (xy 114.063166 114.032326) - (xy 114.060883 114.033766) - (xy 114.060882 114.033767) - (xy 114.051718 114.039549) - (xy 114.025888 114.055847) - (xy 114.007888 114.067204) - (xy 114.007606 114.067381) - (xy 113.952543 114.101722) - (xy 113.946759 114.108388) - (xy 113.910254 114.150456) - (xy 113.909822 114.15095) - (xy 113.870483 114.195493) - (xy 113.86757 114.198791) - (xy 113.866696 114.200652) - (xy 113.863285 114.204583) - (xy 113.861013 114.209723) - (xy 113.861012 114.209724) - (xy 113.838374 114.26093) - (xy 113.837667 114.262482) - (xy 113.826889 114.285439) - (xy 113.812436 114.316223) - (xy 113.811827 114.320139) - (xy 113.810773 114.322968) - (xy 113.810491 114.323999) - (xy 113.808217 114.329142) - (xy 113.807556 114.334725) - (xy 113.807556 114.334726) - (xy 113.801383 114.386884) - (xy 113.801012 114.389595) - (xy 113.792477 114.44441) - (xy 113.793171 114.449721) - (xy 113.793131 114.453034) - (xy 113.792833 114.457608) - (xy 113.792871 114.458805) - (xy 113.79221 114.464386) - (xy 102.636685 114.464386) - (xy 101.779009 113.606709) - (xy 111.995797 113.606709) - (xy 111.995799 113.606861) - (xy 112.006641 113.661372) - (xy 112.012201 113.674798) - (xy 112.062964 113.75077) - (xy 112.07323 113.761036) - (xy 112.149205 113.8118) - (xy 112.162624 113.817359) - (xy 112.229588 113.830679) - (xy 112.236909 113.8314) - (xy 112.736741 113.8314) - (xy 112.746898 113.827703) - (xy 112.75 113.822331) - (xy 112.75 113.81814) - (xy 113.05 113.81814) - (xy 113.053697 113.828297) - (xy 113.059069 113.831399) - (xy 113.563089 113.831399) - (xy 113.570413 113.830678) - (xy 113.637372 113.817359) - (xy 113.650798 113.811799) - (xy 113.72677 113.761036) - (xy 113.737036 113.75077) - (xy 113.7878 113.674795) - (xy 113.793359 113.661376) - (xy 113.80298 113.613006) - (xy 113.801336 113.602321) - (xy 113.79872 113.600028) - (xy 113.798572 113.6) - (xy 113.063259 113.6) - (xy 113.053102 113.603697) - (xy 113.05 113.609069) - (xy 113.05 113.81814) - (xy 112.75 113.81814) - (xy 112.75 113.613259) - (xy 112.746303 113.603102) - (xy 112.740931 113.6) - (xy 112.007693 113.6) - (xy 111.997536 113.603697) - (xy 111.995797 113.606709) - (xy 101.779009 113.606709) - (xy 100.4223 112.25) - (xy 102.842078 112.25) - (xy 102.843004 112.255847) - (xy 102.843869 112.261311) - (xy 102.862043 112.376055) - (xy 102.919984 112.489771) - (xy 103.010229 112.580016) - (xy 103.123945 112.637957) - (xy 103.129792 112.638883) - (xy 103.236899 112.655847) - (xy 103.25 112.657922) - (xy 103.275862 112.653826) - (xy 103.287625 112.6529) - (xy 103.452486 112.6529) - (xy 103.500824 112.670493) - (xy 103.519989 112.694958) - (xy 103.533445 112.722364) - (xy 103.536285 112.728149) - (xy 103.540679 112.732536) - (xy 103.540681 112.732538) - (xy 103.622377 112.814091) - (xy 103.620832 112.815639) - (xy 103.644705 112.850376) - (xy 103.6471 112.869203) - (xy 103.6471 113.013813) - (xy 103.648929 113.019441) - (xy 103.648929 113.019443) - (xy 103.655192 113.038717) - (xy 103.657947 113.050193) - (xy 103.660814 113.068292) - (xy 103.662043 113.076055) - (xy 103.664729 113.081326) - (xy 103.673931 113.099386) - (xy 103.678446 113.110287) - (xy 103.684709 113.129563) - (xy 103.684711 113.129567) - (xy 103.686539 113.135193) - (xy 103.694302 113.145877) - (xy 103.70193 113.156376) - (xy 103.708094 113.166435) - (xy 103.719984 113.189771) - (xy 103.810229 113.280016) - (xy 103.810231 113.280017) - (xy 103.869983 113.339769) - (xy 103.869984 113.339771) - (xy 103.960229 113.430016) - (xy 103.983565 113.441906) - (xy 103.993623 113.44807) - (xy 104.014807 113.463461) - (xy 104.020433 113.465289) - (xy 104.020437 113.465291) - (xy 104.039713 113.471554) - (xy 104.050614 113.476069) - (xy 104.073945 113.487957) - (xy 104.079788 113.488882) - (xy 104.07979 113.488883) - (xy 104.099807 113.492053) - (xy 104.111283 113.494808) - (xy 104.130557 113.501071) - (xy 104.130559 113.501071) - (xy 104.136187 113.5029) - (xy 104.162375 113.5029) - (xy 104.174138 113.503826) - (xy 104.2 113.507922) - (xy 104.225862 113.503826) - (xy 104.237625 113.5029) - (xy 104.263813 113.5029) - (xy 104.269441 113.501071) - (xy 104.269443 113.501071) - (xy 104.288717 113.494808) - (xy 104.300193 113.492053) - (xy 104.32021 113.488883) - (xy 104.320212 113.488882) - (xy 104.326055 113.487957) - (xy 104.349386 113.476069) - (xy 104.360287 113.471554) - (xy 104.379563 113.465291) - (xy 104.379567 113.465289) - (xy 104.385193 113.463461) - (xy 104.406377 113.44807) - (xy 104.416435 113.441906) - (xy 104.439771 113.430016) - (xy 104.458287 113.4115) - (xy 104.467259 113.403836) - (xy 104.483661 113.391919) - (xy 104.488444 113.388444) - (xy 104.497877 113.37546) - (xy 104.503836 113.367259) - (xy 104.5115 113.358287) - (xy 104.530016 113.339771) - (xy 104.541906 113.316435) - (xy 104.54807 113.306376) - (xy 104.548681 113.305535) - (xy 104.563461 113.285193) - (xy 104.565289 113.279567) - (xy 104.565291 113.279563) - (xy 104.571554 113.260287) - (xy 104.576069 113.249386) - (xy 104.580175 113.241328) - (xy 104.587957 113.226055) - (xy 104.590841 113.207849) - (xy 104.592053 113.200193) - (xy 104.594808 113.188717) - (xy 104.601071 113.169443) - (xy 104.601071 113.169441) - (xy 104.6029 113.163813) - (xy 104.6029 113.137625) - (xy 104.603826 113.125861) - (xy 104.604828 113.119538) - (xy 104.607922 113.1) - (xy 104.603826 113.074138) - (xy 104.6029 113.062375) - (xy 104.6029 113.036187) - (xy 104.597548 113.019714) - (xy 104.594808 113.011283) - (xy 104.592053 112.999807) - (xy 104.588883 112.97979) - (xy 104.588882 112.979788) - (xy 104.587957 112.973945) - (xy 104.576069 112.950614) - (xy 104.571554 112.939713) - (xy 104.565291 112.920437) - (xy 104.565289 112.920433) - (xy 104.563461 112.914807) - (xy 104.54807 112.893623) - (xy 104.541904 112.883562) - (xy 104.53902 112.8779) - (xy 104.530016 112.860229) - (xy 104.525827 112.85604) - (xy 104.525665 112.855817) - (xy 104.511485 112.80637) - (xy 104.533281 112.758486) - (xy 104.564091 112.727623) - (xy 104.566902 112.721874) - (xy 104.614949 112.62358) - (xy 104.61495 112.623578) - (xy 104.617513 112.618334) - (xy 104.6279 112.547131) - (xy 104.6279 112.544343) - (xy 104.9726 112.544343) - (xy 104.973 112.54981) - (xy 104.982306 112.613029) - (xy 104.985731 112.62405) - (xy 105.033959 112.722278) - (xy 105.041094 112.732244) - (xy 105.118271 112.809286) - (xy 105.128257 112.81641) - (xy 105.226572 112.864467) - (xy 105.237584 112.86787) - (xy 105.300205 112.877005) - (xy 105.305641 112.8774) - (xy 105.386741 112.8774) - (xy 105.396898 112.873703) - (xy 105.4 112.868331) - (xy 105.4 112.864141) - (xy 105.7 112.864141) - (xy 105.703697 112.874298) - (xy 105.709069 112.8774) - (xy 105.794343 112.8774) - (xy 105.79981 112.877) - (xy 105.863029 112.867694) - (xy 105.87405 112.864269) - (xy 105.972278 112.816041) - (xy 105.982244 112.808906) - (xy 106.059286 112.731729) - (xy 106.06641 112.721743) - (xy 106.114467 112.623428) - (xy 106.11787 112.612416) - (xy 106.127005 112.549795) - (xy 106.1274 112.544359) - (xy 106.1274 112.509161) - (xy 111.9921 112.509161) - (xy 111.992101 112.790838) - (xy 111.992822 112.794461) - (xy 111.992822 112.794465) - (xy 111.99577 112.809286) - (xy 112.007614 112.868834) - (xy 112.011728 112.874992) - (xy 112.011729 112.874993) - (xy 112.046962 112.927722) - (xy 112.066715 112.957285) - (xy 112.072869 112.961397) - (xy 112.112345 112.987774) - (xy 112.142762 113.029258) - (xy 112.139397 113.080588) - (xy 112.112345 113.112827) - (xy 112.073233 113.138961) - (xy 112.062964 113.14923) - (xy 112.0122 113.225205) - (xy 112.006641 113.238624) - (xy 111.99702 113.286994) - (xy 111.998664 113.297679) - (xy 112.00128 113.299972) - (xy 112.001428 113.3) - (xy 113.792307 113.3) - (xy 113.802464 113.296303) - (xy 113.804203 113.293291) - (xy 113.804201 113.293139) - (xy 113.793359 113.238628) - (xy 113.787799 113.225202) - (xy 113.737036 113.14923) - (xy 113.726767 113.138961) - (xy 113.687655 113.112827) - (xy 113.657238 113.071344) - (xy 113.660603 113.020014) - (xy 113.687655 112.987774) - (xy 113.727131 112.961397) - (xy 113.733285 112.957285) - (xy 113.763997 112.911321) - (xy 113.805481 112.880904) - (xy 113.826524 112.8779) - (xy 114.371309 112.8779) - (xy 114.419647 112.895493) - (xy 114.424483 112.899926) - (xy 114.507849 112.983292) - (xy 114.513125 112.98598) - (xy 114.513126 112.985981) - (xy 114.516645 112.987774) - (xy 114.622694 113.041809) - (xy 114.675629 113.050193) - (xy 114.743093 113.060878) - (xy 114.75 113.061972) - (xy 114.756908 113.060878) - (xy 114.824371 113.050193) - (xy 114.877306 113.041809) - (xy 114.983355 112.987774) - (xy 114.986874 112.985981) - (xy 114.986875 112.98598) - (xy 114.992151 112.983292) - (xy 115.083292 112.892151) - (xy 115.087669 112.883562) - (xy 115.123066 112.814091) - (xy 115.141809 112.777306) - (xy 115.161972 112.65) - (xy 122.138028 112.65) - (xy 122.158191 112.777306) - (xy 122.176934 112.814091) - (xy 122.212332 112.883562) - (xy 122.216708 112.892151) - (xy 122.307849 112.983292) - (xy 122.313125 112.98598) - (xy 122.313126 112.985981) - (xy 122.316645 112.987774) - (xy 122.422694 113.041809) - (xy 122.475629 113.050193) - (xy 122.543093 113.060878) - (xy 122.55 113.061972) - (xy 122.556908 113.060878) - (xy 122.624371 113.050193) - (xy 122.677306 113.041809) - (xy 122.783355 112.987774) - (xy 122.786874 112.985981) - (xy 122.786875 112.98598) - (xy 122.792151 112.983292) - (xy 122.875517 112.899926) - (xy 122.922137 112.878186) - (xy 122.928691 112.8779) - (xy 123.473476 112.8779) - (xy 123.521814 112.895493) - (xy 123.536003 112.911321) - (xy 123.565269 112.955121) - (xy 123.577495 113.005087) - (xy 123.554744 113.051222) - (xy 123.502742 113.0721) - (xy 123.422658 113.0721) - (xy 123.388517 113.063903) - (xy 123.382582 113.060879) - (xy 123.382581 113.060879) - (xy 123.377306 113.058191) - (xy 123.273873 113.041809) - (xy 123.255847 113.038954) - (xy 123.25 113.038028) - (xy 123.244153 113.038954) - (xy 123.226127 113.041809) - (xy 123.122694 113.058191) - (xy 123.072799 113.083614) - (xy 123.013523 113.113817) - (xy 123.007849 113.116708) - (xy 122.916708 113.207849) - (xy 122.91402 113.213125) - (xy 122.914019 113.213126) - (xy 122.901027 113.238624) - (xy 122.858191 113.322694) - (xy 122.857265 113.328541) - (xy 122.840768 113.432703) - (xy 122.838028 113.45) - (xy 122.858191 113.577306) - (xy 122.869754 113.6) - (xy 122.907865 113.674795) - (xy 122.916708 113.692151) - (xy 123.007849 113.783292) - (xy 123.122694 113.841809) - (xy 123.128541 113.842735) - (xy 123.230642 113.858906) - (xy 123.25 113.861972) - (xy 123.269359 113.858906) - (xy 123.371459 113.842735) - (xy 123.377306 113.841809) - (xy 123.382582 113.839121) - (xy 123.388517 113.836097) - (xy 123.422658 113.8279) - (xy 123.705646 113.8279) - (xy 123.720314 113.829345) - (xy 123.733161 113.8319) - (xy 123.93942 113.8319) - (xy 123.987758 113.849493) - (xy 124.013478 113.894042) - (xy 124.011393 113.923842) - (xy 124.012043 113.923945) - (xy 123.993572 114.04057) - (xy 123.992078 114.05) - (xy 123.993004 114.055847) - (xy 123.996174 114.075861) - (xy 123.9971 114.087625) - (xy 123.9971 114.730816) - (xy 123.979507 114.779154) - (xy 123.971829 114.786263) - (xy 123.971851 114.786285) - (xy 123.885909 114.872377) - (xy 123.88318 114.877959) - (xy 123.883179 114.877961) - (xy 123.835281 114.97595) - (xy 123.832487 114.981666) - (xy 123.8221 115.052869) - (xy 123.822101 115.64713) - (xy 123.832673 115.718953) - (xy 123.852194 115.758713) - (xy 123.883403 115.822278) - (xy 123.886285 115.828149) - (xy 123.905048 115.846879) - (xy 123.905618 115.847448) - (xy 123.927398 115.894049) - (xy 123.914128 115.943748) - (xy 123.909478 115.949734) - (xy 123.907676 115.951827) - (xy 123.90569 115.953813) - (xy 123.904023 115.95607) - (xy 123.899452 115.962259) - (xy 123.895325 115.967364) - (xy 123.871118 115.994772) - (xy 123.871114 115.994778) - (xy 123.86757 115.998791) - (xy 123.865293 116.003641) - (xy 123.862186 116.010259) - (xy 123.854607 116.022975) - (xy 123.845222 116.035681) - (xy 123.843362 116.040977) - (xy 123.84336 116.040981) - (xy 123.831556 116.074593) - (xy 123.82868 116.081623) - (xy 123.812436 116.116223) - (xy 123.811612 116.121516) - (xy 123.811611 116.121519) - (xy 123.809914 116.132421) - (xy 123.806562 116.145767) - (xy 123.800097 116.164176) - (xy 123.799876 116.169793) - (xy 123.799876 116.169794) - (xy 123.798622 116.2017) - (xy 123.797785 116.210314) - (xy 123.792477 116.24441) - (xy 123.795085 116.264358) - (xy 123.795662 116.27705) - (xy 123.79475 116.30026) - (xy 123.79619 116.305691) - (xy 123.80343 116.332998) - (xy 123.805306 116.342519) - (xy 123.809298 116.373046) - (xy 123.811454 116.377947) - (xy 123.811455 116.377949) - (xy 123.818895 116.394858) - (xy 123.822752 116.405873) - (xy 123.829653 116.4319) - (xy 123.832625 116.436665) - (xy 123.832628 116.436672) - (xy 123.845618 116.457499) - (xy 123.850642 116.467006) - (xy 123.861547 116.491791) - (xy 123.864995 116.495893) - (xy 123.879269 116.512874) - (xy 123.885511 116.521466) - (xy 123.898745 116.542685) - (xy 123.898748 116.542689) - (xy 123.901722 116.547457) - (xy 123.918212 116.561766) - (xy 123.921707 116.564799) - (xy 123.929978 116.5732) - (xy 123.945023 116.591098) - (xy 123.949485 116.594068) - (xy 123.971047 116.608421) - (xy 123.978653 116.614214) - (xy 124.004583 116.636715) - (xy 124.019145 116.643153) - (xy 124.025397 116.645917) - (xy 124.036657 116.652094) - (xy 124.053017 116.662984) - (xy 124.05813 116.664581) - (xy 124.058131 116.664582) - (xy 124.08639 116.673411) - (xy 124.094364 116.676408) - (xy 124.129142 116.691783) - (xy 124.14358 116.693492) - (xy 124.148066 116.694023) - (xy 124.161645 116.696922) - (xy 124.176845 116.701671) - (xy 124.215508 116.702379) - (xy 124.222957 116.702887) - (xy 124.264386 116.70779) - (xy 124.279476 116.705034) - (xy 124.294354 116.703824) - (xy 124.299196 116.703913) - (xy 124.301194 116.70395) - (xy 124.301196 116.70395) - (xy 124.306555 116.704048) - (xy 124.311726 116.702638) - (xy 124.311728 116.702638) - (xy 124.347453 116.692898) - (xy 124.353722 116.691474) - (xy 124.398359 116.683322) - (xy 124.403349 116.68073) - (xy 124.403352 116.680729) - (xy 124.408657 116.677973) - (xy 124.423543 116.672154) - (xy 124.424521 116.671887) - (xy 124.431718 116.669925) - (xy 124.436277 116.667126) - (xy 124.436281 116.667124) - (xy 124.471006 116.645802) - (xy 124.475689 116.643153) - (xy 124.515404 116.622523) - (xy 124.515413 116.622517) - (xy 124.519215 116.620542) - (xy 124.522703 116.617563) - (xy 124.523149 116.617183) - (xy 124.523159 116.617174) - (xy 124.524284 116.616213) - (xy 124.526104 116.614393) - (xy 124.533694 116.608404) - (xy 124.533584 116.608271) - (xy 124.53771 116.604846) - (xy 124.542273 116.602044) - (xy 124.545866 116.598075) - (xy 124.545868 116.598073) - (xy 124.577481 116.563147) - (xy 124.580059 116.560438) - (xy 124.698064 116.442433) - (xy 124.704682 116.436551) - (xy 124.729467 116.417012) - (xy 124.733879 116.413534) - (xy 124.743087 116.400212) - (xy 124.767895 116.364317) - (xy 124.769269 116.362395) - (xy 124.787513 116.337694) - (xy 124.804778 116.314319) - (xy 124.806568 116.309221) - (xy 124.806715 116.308979) - (xy 124.80689 116.308623) - (xy 124.807968 116.306508) - (xy 124.808129 116.306205) - (xy 124.808237 116.305947) - (xy 124.811311 116.301499) - (xy 124.829349 116.244465) - (xy 124.830097 116.242225) - (xy 124.84804 116.191131) - (xy 124.848041 116.191127) - (xy 124.849903 116.185824) - (xy 124.850115 116.180422) - (xy 124.850362 116.179446) - (xy 124.851085 116.175735) - (xy 124.852377 116.171649) - (xy 124.8529 116.165004) - (xy 124.8529 116.111025) - (xy 124.852958 116.108073) - (xy 124.853997 116.081633) - (xy 124.85525 116.04974) - (xy 124.853808 116.044303) - (xy 124.853354 116.040187) - (xy 124.8529 116.031934) - (xy 124.8529 115.920032) - (xy 124.870493 115.871694) - (xy 124.874879 115.866904) - (xy 124.914091 115.827623) - (xy 124.915639 115.829168) - (xy 124.950376 115.805295) - (xy 124.969203 115.8029) - (xy 125.243241 115.8029) - (xy 125.244619 115.802913) - (xy 125.301193 115.80395) - (xy 125.301195 115.80395) - (xy 125.306555 115.804048) - (xy 125.311726 115.802638) - (xy 125.311728 115.802638) - (xy 125.339397 115.795094) - (xy 125.347995 115.793282) - (xy 125.384675 115.787768) - (xy 125.402683 115.779121) - (xy 125.415448 115.774361) - (xy 125.426554 115.771333) - (xy 125.431718 115.769925) - (xy 125.436277 115.767126) - (xy 125.436281 115.767124) - (xy 125.463882 115.750176) - (xy 125.470678 115.74647) - (xy 125.507443 115.728816) - (xy 125.519383 115.717779) - (xy 125.53108 115.708917) - (xy 125.537706 115.704848) - (xy 125.542273 115.702044) - (xy 125.545867 115.698073) - (xy 125.545871 115.69807) - (xy 125.570104 115.671298) - (xy 125.574803 115.666549) - (xy 125.60745 115.636371) - (xy 125.613748 115.625527) - (xy 125.623023 115.612833) - (xy 125.629332 115.605863) - (xy 125.649024 115.565219) - (xy 125.651672 115.560238) - (xy 125.67303 115.523467) - (xy 125.675853 115.518607) - (xy 125.677844 115.510018) - (xy 125.683423 115.49422) - (xy 125.685897 115.489112) - (xy 125.694009 115.440898) - (xy 125.694908 115.436398) - (xy 125.705335 115.39141) - (xy 125.706604 115.385936) - (xy 125.706207 115.380327) - (xy 125.706372 115.378228) - (xy 125.706914 115.36696) - (xy 125.706678 115.366939) - (xy 125.706937 115.364048) - (xy 125.70742 115.361179) - (xy 125.707459 115.358043) - (xy 125.707521 115.352913) - (xy 125.707557 115.35) - (xy 125.701019 115.304345) - (xy 125.700448 115.298997) - (xy 125.696985 115.250087) - (xy 125.694143 115.24274) - (xy 125.690602 115.229196) - (xy 125.689926 115.226884) - (xy 125.689166 115.221579) - (xy 125.686948 115.2167) - (xy 125.668541 115.176216) - (xy 125.666863 115.172224) - (xy 125.649877 115.128318) - (xy 125.649875 115.128315) - (xy 125.647847 115.123072) - (xy 125.644367 115.118657) - (xy 125.641583 115.113776) - (xy 125.641597 115.113768) - (xy 125.640917 115.112651) - (xy 125.640574 115.11287) - (xy 125.637685 115.108353) - (xy 125.63547 115.103482) - (xy 125.600492 115.062888) - (xy 125.598419 115.060373) - (xy 125.563534 115.016121) - (xy 125.558907 115.012923) - (xy 125.558136 115.012199) - (xy 125.554134 115.009086) - (xy 125.550787 115.005202) - (xy 125.502643 114.973997) - (xy 125.500788 114.972755) - (xy 125.497305 114.970348) - (xy 125.451499 114.938689) - (xy 125.446144 114.936995) - (xy 125.445039 114.936454) - (xy 125.444251 114.936149) - (xy 125.441923 114.93464) - (xy 125.436795 114.933106) - (xy 125.436792 114.933105) - (xy 125.38316 114.917066) - (xy 125.38203 114.916719) - (xy 125.325739 114.898916) - (xy 125.325735 114.898915) - (xy 125.321649 114.897623) - (xy 125.317372 114.897286) - (xy 125.31737 114.897286) - (xy 125.316482 114.897216) - (xy 125.316474 114.897216) - (xy 125.315004 114.8971) - (xy 125.257561 114.8971) - (xy 125.257101 114.897099) - (xy 125.191201 114.896696) - (xy 125.191199 114.896696) - (xy 125.187902 114.896676) - (xy 125.186777 114.896998) - (xy 125.185273 114.8971) - (xy 124.969184 114.8971) - (xy 124.920846 114.879507) - (xy 124.913737 114.871829) - (xy 124.913715 114.871851) - (xy 124.827623 114.785909) - (xy 124.829168 114.784361) - (xy 124.805295 114.749624) - (xy 124.8029 114.730797) - (xy 124.8029 114.087625) - (xy 124.803826 114.075861) - (xy 124.806996 114.055847) - (xy 124.807922 114.05) - (xy 124.806429 114.04057) - (xy 124.787957 113.923945) - (xy 124.789291 113.923734) - (xy 124.790857 113.878926) - (xy 124.825279 113.8407) - (xy 124.86058 113.831899) - (xy 125.066838 113.831899) - (xy 125.070461 113.831178) - (xy 125.070465 113.831178) - (xy 125.105026 113.824304) - (xy 125.144834 113.816386) - (xy 125.151698 113.8118) - (xy 125.227131 113.761397) - (xy 125.233285 113.757285) - (xy 125.292386 113.668834) - (xy 125.29387 113.661376) - (xy 125.307179 113.594464) - (xy 125.307203 113.594343) - (xy 125.8226 113.594343) - (xy 125.823 113.59981) - (xy 125.832306 113.663029) - (xy 125.835731 113.67405) - (xy 125.883959 113.772278) - (xy 125.891094 113.782244) - (xy 125.968271 113.859286) - (xy 125.978257 113.86641) - (xy 126.076572 113.914467) - (xy 126.087584 113.91787) - (xy 126.150205 113.927005) - (xy 126.155641 113.9274) - (xy 126.286741 113.9274) - (xy 126.296898 113.923703) - (xy 126.3 113.918331) - (xy 126.3 113.914141) - (xy 126.6 113.914141) - (xy 126.603697 113.924298) - (xy 126.609069 113.9274) - (xy 126.744343 113.9274) - (xy 126.74981 113.927) - (xy 126.813029 113.917694) - (xy 126.82405 113.914269) - (xy 126.922278 113.866041) - (xy 126.932244 113.858906) - (xy 127.009286 113.781729) - (xy 127.01641 113.771743) - (xy 127.064467 113.673428) - (xy 127.06787 113.662416) - (xy 127.077005 113.599795) - (xy 127.0774 113.594359) - (xy 127.0774 113.513259) - (xy 127.073703 113.503102) - (xy 127.068331 113.5) - (xy 126.613259 113.5) - (xy 126.603102 113.503697) - (xy 126.6 113.509069) - (xy 126.6 113.914141) - (xy 126.3 113.914141) - (xy 126.3 113.513259) - (xy 126.296303 113.503102) - (xy 126.290931 113.5) - (xy 125.835859 113.5) - (xy 125.825702 113.503697) - (xy 125.8226 113.509069) - (xy 125.8226 113.594343) - (xy 125.307203 113.594343) - (xy 125.3079 113.590839) - (xy 125.307899 113.309162) - (xy 125.305342 113.296303) - (xy 125.293831 113.238433) - (xy 125.292386 113.231166) - (xy 125.285066 113.22021) - (xy 125.262703 113.186741) - (xy 125.8226 113.186741) - (xy 125.826297 113.196898) - (xy 125.831669 113.2) - (xy 126.286741 113.2) - (xy 126.296898 113.196303) - (xy 126.3 113.190931) - (xy 126.3 113.186741) - (xy 126.6 113.186741) - (xy 126.603697 113.196898) - (xy 126.609069 113.2) - (xy 127.064141 113.2) - (xy 127.074298 113.196303) - (xy 127.0774 113.190931) - (xy 127.0774 113.105657) - (xy 127.077 113.10019) - (xy 127.067694 113.036971) - (xy 127.064269 113.02595) - (xy 127.016041 112.927722) - (xy 127.008906 112.917756) - (xy 126.931729 112.840714) - (xy 126.921743 112.83359) - (xy 126.823428 112.785533) - (xy 126.812416 112.78213) - (xy 126.749795 112.772995) - (xy 126.744359 112.7726) - (xy 126.613259 112.7726) - (xy 126.603102 112.776297) - (xy 126.6 112.781669) - (xy 126.6 113.186741) - (xy 126.3 113.186741) - (xy 126.3 112.785859) - (xy 126.296303 112.775702) - (xy 126.290931 112.7726) - (xy 126.155657 112.7726) - (xy 126.15019 112.773) - (xy 126.086971 112.782306) - (xy 126.07595 112.785731) - (xy 125.977722 112.833959) - (xy 125.967756 112.841094) - (xy 125.890714 112.918271) - (xy 125.88359 112.928257) - (xy 125.835533 113.026572) - (xy 125.83213 113.037584) - (xy 125.822995 113.100205) - (xy 125.8226 113.105641) - (xy 125.8226 113.186741) - (xy 125.262703 113.186741) - (xy 125.237397 113.148869) - (xy 125.233285 113.142715) - (xy 125.188105 113.112526) - (xy 125.157688 113.071043) - (xy 125.161052 113.019714) - (xy 125.188105 112.987473) - (xy 125.194363 112.983292) - (xy 125.233285 112.957285) - (xy 125.292386 112.868834) - (xy 125.293832 112.861567) - (xy 125.307179 112.794464) - (xy 125.3079 112.790839) - (xy 125.307899 112.509162) - (xy 125.303682 112.487957) - (xy 125.299098 112.464911) - (xy 125.292386 112.431166) - (xy 125.286329 112.4221) - (xy 125.250541 112.36854) - (xy 125.238315 112.318574) - (xy 125.261066 112.272439) - (xy 125.30815 112.251722) - (xy 125.345225 112.259047) - (xy 125.348501 112.261311) - (xy 125.353859 112.263005) - (xy 125.35386 112.263006) - (xy 125.474261 112.301084) - (xy 125.474265 112.301085) - (xy 125.478351 112.302377) - (xy 125.482628 112.302714) - (xy 125.48263 112.302714) - (xy 125.483518 112.302784) - (xy 125.483526 112.302784) - (xy 125.484996 112.3029) - (xy 125.879968 112.3029) - (xy 125.928306 112.320493) - (xy 125.933096 112.324879) - (xy 125.972377 112.364091) - (xy 125.977959 112.36682) - (xy 125.977961 112.366821) - (xy 126.07642 112.414949) - (xy 126.076422 112.41495) - (xy 126.081666 112.417513) - (xy 126.087443 112.418356) - (xy 126.087444 112.418356) - (xy 126.092517 112.419096) - (xy 126.152869 112.4279) - (xy 126.449078 112.4279) - (xy 126.74713 112.427899) - (xy 126.806935 112.419096) - (xy 126.813172 112.418178) - (xy 126.818953 112.417327) - (xy 126.882959 112.385902) - (xy 126.922573 112.366453) - (xy 126.922575 112.366452) - (xy 126.928149 112.363715) - (xy 126.932539 112.359318) - (xy 126.932541 112.359316) - (xy 126.945452 112.346383) - (xy 126.992053 112.324603) - (xy 127.041752 112.337873) - (xy 127.043428 112.3392) - (xy 127.045023 112.341098) - (xy 127.086249 112.36854) - (xy 127.088451 112.370006) - (xy 127.091458 112.372116) - (xy 127.131156 112.401437) - (xy 127.131161 112.40144) - (xy 127.135681 112.404778) - (xy 127.140985 112.406641) - (xy 127.141998 112.407177) - (xy 127.14652 112.409044) - (xy 127.148559 112.410017) - (xy 127.153017 112.412984) - (xy 127.158124 112.414579) - (xy 127.158127 112.414581) - (xy 127.206423 112.429669) - (xy 127.208916 112.430496) - (xy 127.264176 112.449903) - (xy 127.269797 112.450124) - (xy 127.270867 112.450328) - (xy 127.27334 112.450576) - (xy 127.276845 112.451671) - (xy 127.336645 112.452767) - (xy 127.338174 112.45281) - (xy 127.40026 112.45525) - (xy 127.404907 112.454018) - (xy 127.406555 112.454048) - (xy 127.468753 112.437091) - (xy 127.469183 112.436976) - (xy 127.5319 112.420347) - (xy 127.586997 112.385985) - (xy 127.587418 112.385725) - (xy 127.609583 112.372116) - (xy 127.642273 112.352044) - (xy 127.643381 112.35082) - (xy 127.647457 112.348278) - (xy 127.651204 112.343961) - (xy 127.688102 112.301439) - (xy 127.689146 112.30026) - (xy 127.690447 112.298822) - (xy 127.729332 112.255863) - (xy 127.730933 112.252559) - (xy 127.732446 112.250586) - (xy 127.733034 112.24966) - (xy 127.736715 112.245417) - (xy 127.738987 112.240278) - (xy 127.738989 112.240275) - (xy 127.7604 112.191845) - (xy 127.761502 112.189464) - (xy 127.762799 112.186788) - (xy 127.785897 112.139112) - (xy 127.786785 112.133832) - (xy 127.787472 112.131681) - (xy 127.789206 112.127109) - (xy 127.789509 112.126003) - (xy 127.791783 112.120858) - (xy 127.794685 112.096341) - (xy 127.798248 112.06624) - (xy 127.798769 112.062605) - (xy 127.806939 112.014039) - (xy 127.806939 112.014037) - (xy 127.80742 112.011179) - (xy 127.807557 112) - (xy 127.807374 111.998725) - (xy 127.807425 111.996813) - (xy 127.807305 111.996817) - (xy 127.807129 111.991201) - (xy 127.80779 111.985614) - (xy 127.797423 111.928849) - (xy 127.796972 111.926084) - (xy 127.789166 111.871579) - (xy 127.786949 111.866702) - (xy 127.785713 111.862477) - (xy 127.784735 111.858351) - (xy 127.784333 111.857178) - (xy 127.783322 111.85164) - (xy 127.78073 111.846651) - (xy 127.780729 111.846647) - (xy 127.758396 111.803656) - (xy 127.756673 111.800116) - (xy 127.756621 111.8) - (xy 127.73547 111.753482) - (xy 127.731974 111.749425) - (xy 127.729087 111.74491) - (xy 127.729165 111.74486) - (xy 127.723528 111.736534) - (xy 127.722519 111.734591) - (xy 127.720542 111.730785) - (xy 127.71731 111.727) - (xy 127.717183 111.726851) - (xy 127.717174 111.726841) - (xy 127.716213 111.725716) - (xy 127.684451 111.693954) - (xy 127.680656 111.689867) - (xy 127.678181 111.686994) - (xy 127.650787 111.655202) - (xy 127.64298 111.650142) - (xy 127.630712 111.640215) - (xy 127.542433 111.551936) - (xy 127.536551 111.545318) - (xy 127.526746 111.532881) - (xy 127.513534 111.516121) - (xy 127.506575 111.511311) - (xy 127.464317 111.482105) - (xy 127.462395 111.480731) - (xy 127.445295 111.468101) - (xy 127.414319 111.445222) - (xy 127.409221 111.443432) - (xy 127.408979 111.443285) - (xy 127.408623 111.44311) - (xy 127.406508 111.442032) - (xy 127.406205 111.441871) - (xy 127.405947 111.441763) - (xy 127.401499 111.438689) - (xy 127.396138 111.436994) - (xy 127.396137 111.436993) - (xy 127.344465 111.420651) - (xy 127.342225 111.419903) - (xy 127.291131 111.40196) - (xy 127.291127 111.401959) - (xy 127.285824 111.400097) - (xy 127.280422 111.399885) - (xy 127.279446 111.399638) - (xy 127.275735 111.398915) - (xy 127.271649 111.397623) - (xy 127.267006 111.397258) - (xy 127.266482 111.397216) - (xy 127.266474 111.397216) - (xy 127.265004 111.3971) - (xy 127.211025 111.3971) - (xy 127.208073 111.397042) - (xy 127.202117 111.396808) - (xy 127.14974 111.39475) - (xy 127.144303 111.396192) - (xy 127.140187 111.396646) - (xy 127.131934 111.3971) - (xy 127.020032 111.3971) - (xy 126.971694 111.379507) - (xy 126.966904 111.375121) - (xy 126.927623 111.335909) - (xy 126.929168 111.334361) - (xy 126.905295 111.299624) - (xy 126.9029 111.280797) - (xy 126.9029 111.044328) - (xy 126.903942 111.031852) - (xy 126.905483 111.022694) - (xy 126.90742 111.011179) - (xy 126.907557 111) - (xy 126.906757 110.99441) - (xy 126.903056 110.968571) - (xy 126.9029 110.967137) - (xy 126.9029 110.965972) - (xy 126.901837 110.958897) - (xy 126.900499 110.95) - (xy 126.898349 110.935699) - (xy 126.889166 110.871579) - (xy 126.888482 110.870075) - (xy 126.887768 110.865325) - (xy 126.864423 110.816708) - (xy 126.860893 110.809357) - (xy 126.860227 110.807931) - (xy 126.840584 110.76473) - (xy 126.83547 110.753482) - (xy 126.833075 110.750702) - (xy 126.831864 110.748529) - (xy 126.83125 110.747626) - (xy 126.828816 110.742557) - (xy 126.789021 110.699507) - (xy 126.787309 110.697588) - (xy 126.765327 110.672077) - (xy 126.754285 110.659261) - (xy 126.754283 110.659259) - (xy 126.750787 110.655202) - (xy 126.746289 110.652287) - (xy 126.744595 110.650809) - (xy 126.741082 110.647395) - (xy 126.740187 110.646678) - (xy 126.736371 110.64255) - (xy 126.688827 110.614934) - (xy 126.685696 110.613012) - (xy 126.64642 110.587554) - (xy 126.646416 110.587552) - (xy 126.641923 110.58464) - (xy 126.636789 110.583105) - (xy 126.631928 110.580859) - (xy 126.632019 110.580661) - (xy 126.623735 110.577077) - (xy 126.623472 110.576973) - (xy 126.618607 110.574147) - (xy 126.613133 110.572878) - (xy 126.61313 110.572877) - (xy 126.568587 110.562553) - (xy 126.564021 110.561342) - (xy 126.522768 110.549005) - (xy 126.522766 110.549005) - (xy 126.517631 110.547469) - (xy 126.512272 110.547436) - (xy 126.512271 110.547436) - (xy 126.511486 110.547431) - (xy 126.494977 110.545491) - (xy 126.485936 110.543396) - (xy 126.480329 110.543793) - (xy 126.438393 110.546762) - (xy 126.432623 110.546949) - (xy 126.409031 110.546805) - (xy 126.387902 110.546676) - (xy 126.378407 110.549389) - (xy 126.363055 110.552097) - (xy 126.350087 110.553015) - (xy 126.321458 110.564091) - (xy 126.309061 110.568887) - (xy 126.302592 110.571058) - (xy 126.268319 110.580853) - (xy 126.268317 110.580854) - (xy 126.263166 110.582326) - (xy 126.258637 110.585184) - (xy 126.258632 110.585186) - (xy 126.251662 110.589584) - (xy 126.238669 110.596119) - (xy 126.232423 110.598535) - (xy 126.223072 110.602153) - (xy 126.218659 110.605632) - (xy 126.218657 110.605633) - (xy 126.191406 110.627116) - (xy 126.184979 110.631658) - (xy 126.160038 110.647395) - (xy 126.153448 110.651553) - (xy 126.14198 110.664538) - (xy 126.132176 110.673808) - (xy 126.120537 110.682984) - (xy 126.120535 110.682987) - (xy 126.116121 110.686466) - (xy 126.112928 110.691085) - (xy 126.112925 110.691089) - (xy 126.095292 110.716603) - (xy 126.089796 110.723625) - (xy 126.06757 110.748791) - (xy 126.065294 110.753638) - (xy 126.065293 110.75364) - (xy 126.058628 110.767837) - (xy 126.052419 110.778634) - (xy 126.041885 110.793875) - (xy 126.041882 110.793881) - (xy 126.038689 110.798501) - (xy 126.036995 110.803858) - (xy 126.028757 110.829906) - (xy 126.025128 110.839189) - (xy 126.012436 110.866223) - (xy 126.011061 110.875055) - (xy 126.008627 110.890687) - (xy 126.006022 110.901794) - (xy 125.998916 110.924261) - (xy 125.998915 110.924265) - (xy 125.997623 110.928351) - (xy 125.9971 110.934996) - (xy 125.9971 110.958897) - (xy 125.996205 110.970466) - (xy 125.994946 110.978555) - (xy 125.992477 110.99441) - (xy 125.993171 110.999718) - (xy 125.993171 110.99972) - (xy 125.996465 111.024908) - (xy 125.9971 111.034658) - (xy 125.9971 111.280816) - (xy 125.979507 111.329154) - (xy 125.971829 111.336263) - (xy 125.971851 111.336285) - (xy 125.933785 111.374418) - (xy 125.933176 111.375028) - (xy 125.886575 111.396808) - (xy 125.879955 111.3971) - (xy 125.515972 111.3971) - (xy 125.513195 111.397518) - (xy 125.513189 111.397518) - (xy 125.462848 111.405087) - (xy 125.415325 111.412232) - (xy 125.410263 111.414663) - (xy 125.410259 111.414664) - (xy 125.341019 111.447912) - (xy 125.289829 111.452976) - (xy 125.247361 111.42395) - (xy 125.233485 111.374418) - (xy 125.245942 111.338343) - (xy 125.288271 111.274994) - (xy 125.288273 111.27499) - (xy 125.292386 111.268834) - (xy 125.293832 111.261567) - (xy 125.307179 111.194464) - (xy 125.3079 111.190839) - (xy 125.307899 110.909162) - (xy 125.306805 110.903659) - (xy 125.298083 110.859808) - (xy 125.292386 110.831166) - (xy 125.288089 110.824734) - (xy 125.237397 110.748869) - (xy 125.233285 110.742715) - (xy 125.224689 110.736971) - (xy 125.217583 110.732223) - (xy 125.188105 110.712526) - (xy 125.157688 110.671043) - (xy 125.161052 110.619714) - (xy 125.188105 110.587473) - (xy 125.189252 110.586707) - (xy 125.233285 110.557285) - (xy 125.292386 110.468834) - (xy 125.293832 110.461567) - (xy 125.307179 110.394464) - (xy 125.3079 110.390839) - (xy 125.307899 110.109162) - (xy 125.306414 110.101693) - (xy 125.294779 110.043198) - (xy 125.292386 110.031166) - (xy 125.287668 110.024104) - (xy 125.237397 109.948869) - (xy 125.233285 109.942715) - (xy 125.187655 109.912226) - (xy 125.157238 109.870742) - (xy 125.160603 109.819412) - (xy 125.187655 109.787173) - (xy 125.226767 109.761039) - (xy 125.237036 109.75077) - (xy 125.2878 109.674795) - (xy 125.293359 109.661376) - (xy 125.30298 109.613006) - (xy 125.301336 109.602321) - (xy 125.29872 109.600028) - (xy 125.298572 109.6) - (xy 123.507693 109.6) - (xy 123.497536 109.603697) - (xy 123.495797 109.606709) - (xy 123.495799 109.606861) - (xy 123.506641 109.661372) - (xy 123.512201 109.674798) - (xy 123.562964 109.75077) - (xy 123.573233 109.761039) - (xy 123.612345 109.787173) - (xy 123.642762 109.828656) - (xy 123.639397 109.879986) - (xy 123.612345 109.912226) - (xy 123.566715 109.942715) - (xy 123.562603 109.948869) - (xy 123.536003 109.988679) - (xy 123.494519 110.019096) - (xy 123.473476 110.0221) - (xy 122.9831 110.0221) - (xy 122.934762 110.004507) - (xy 122.916096 109.98104) - (xy 122.882705 109.915506) - (xy 122.882704 109.915505) - (xy 122.880016 109.910229) - (xy 122.789771 109.819984) - (xy 122.763612 109.806655) - (xy 122.726129 109.787557) - (xy 122.676055 109.762043) - (xy 122.583899 109.747447) - (xy 122.555847 109.743004) - (xy 122.55 109.742078) - (xy 122.544153 109.743004) - (xy 122.516101 109.747447) - (xy 122.423945 109.762043) - (xy 122.373871 109.787557) - (xy 122.336389 109.806655) - (xy 122.310229 109.819984) - (xy 122.219984 109.910229) - (xy 122.217296 109.915505) - (xy 122.217295 109.915506) - (xy 122.204602 109.940417) - (xy 122.162043 110.023945) - (xy 122.161117 110.029792) - (xy 122.144223 110.13646) - (xy 122.142078 110.15) - (xy 122.162043 110.276055) - (xy 122.219984 110.389771) - (xy 122.310229 110.480016) - (xy 122.315505 110.482704) - (xy 122.315506 110.482705) - (xy 122.32887 110.489514) - (xy 122.423945 110.537957) - (xy 122.466298 110.544665) - (xy 122.537903 110.556006) - (xy 122.55 110.557922) - (xy 122.562098 110.556006) - (xy 122.633702 110.544665) - (xy 122.676055 110.537957) - (xy 122.777839 110.486096) - (xy 122.811978 110.4779) - (xy 123.473476 110.4779) - (xy 123.521814 110.495493) - (xy 123.536003 110.511321) - (xy 123.561183 110.549005) - (xy 123.566715 110.557285) - (xy 123.610749 110.586707) - (xy 123.611895 110.587473) - (xy 123.642312 110.628957) - (xy 123.638948 110.680286) - (xy 123.611896 110.712526) - (xy 123.582417 110.732223) - (xy 123.575312 110.736971) - (xy 123.566715 110.742715) - (xy 123.562603 110.748869) - (xy 123.536003 110.788679) - (xy 123.494519 110.819096) - (xy 123.473476 110.8221) - (xy 122.820788 110.8221) - (xy 122.786648 110.813904) - (xy 122.744835 110.792599) - (xy 122.677306 110.758191) - (xy 122.579593 110.742715) - (xy 122.555847 110.738954) - (xy 122.55 110.738028) - (xy 122.544153 110.738954) - (xy 122.520407 110.742715) - (xy 122.422694 110.758191) - (xy 122.360914 110.78967) - (xy 122.313892 110.813629) - (xy 122.307849 110.816708) - (xy 122.216708 110.907849) - (xy 122.21402 110.913125) - (xy 122.214019 110.913126) - (xy 122.18967 110.960914) - (xy 122.158191 111.022694) - (xy 122.157265 111.028541) - (xy 122.139341 111.141713) - (xy 122.138028 111.15) - (xy 122.158191 111.277306) - (xy 122.181893 111.323824) - (xy 122.212807 111.384494) - (xy 122.216708 111.392151) - (xy 122.307849 111.483292) - (xy 122.313125 111.48598) - (xy 122.313126 111.485981) - (xy 122.318496 111.488717) - (xy 122.422694 111.541809) - (xy 122.55 111.561972) - (xy 122.677306 111.541809) - (xy 122.781504 111.488717) - (xy 122.786874 111.485981) - (xy 122.786875 111.48598) - (xy 122.792151 111.483292) - (xy 122.883292 111.392151) - (xy 122.887194 111.384494) - (xy 122.920585 111.31896) - (xy 122.958206 111.283878) - (xy 122.987589 111.2779) - (xy 123.473476 111.2779) - (xy 123.521814 111.295493) - (xy 123.536003 111.311321) - (xy 123.565269 111.355121) - (xy 123.577495 111.405087) - (xy 123.554744 111.451222) - (xy 123.502742 111.4721) - (xy 123.422658 111.4721) - (xy 123.388517 111.463903) - (xy 123.382582 111.460879) - (xy 123.382581 111.460879) - (xy 123.377306 111.458191) - (xy 123.282087 111.44311) - (xy 123.255847 111.438954) - (xy 123.25 111.438028) - (xy 123.244153 111.438954) - (xy 123.217913 111.44311) - (xy 123.122694 111.458191) - (xy 123.077325 111.481308) - (xy 123.015158 111.512984) - (xy 123.007849 111.516708) - (xy 122.916708 111.607849) - (xy 122.91402 111.613125) - (xy 122.914019 111.613126) - (xy 122.890499 111.659286) - (xy 122.858191 111.722694) - (xy 122.838028 111.85) - (xy 122.838954 111.855847) - (xy 122.842285 111.876876) - (xy 122.858191 111.977306) - (xy 122.868918 111.998359) - (xy 122.907865 112.074795) - (xy 122.916708 112.092151) - (xy 123.007849 112.183292) - (xy 123.013125 112.18598) - (xy 123.013126 112.185981) - (xy 123.049662 112.204597) - (xy 123.122694 112.241809) - (xy 123.145954 112.245493) - (xy 123.243093 112.260878) - (xy 123.25 112.261972) - (xy 123.256908 112.260878) - (xy 123.354046 112.245493) - (xy 123.377306 112.241809) - (xy 123.382582 112.239121) - (xy 123.388517 112.236097) - (xy 123.422658 112.2279) - (xy 123.502742 112.2279) - (xy 123.55108 112.245493) - (xy 123.5768 112.290042) - (xy 123.565269 112.344879) - (xy 123.536003 112.388679) - (xy 123.494519 112.419096) - (xy 123.473476 112.4221) - (xy 122.928691 112.4221) - (xy 122.880353 112.404507) - (xy 122.875517 112.400074) - (xy 122.792151 112.316708) - (xy 122.764687 112.302714) - (xy 122.687252 112.263259) - (xy 122.677306 112.258191) - (xy 122.588672 112.244153) - (xy 122.555847 112.238954) - (xy 122.55 112.238028) - (xy 122.544153 112.238954) - (xy 122.511328 112.244153) - (xy 122.422694 112.258191) - (xy 122.412748 112.263259) - (xy 122.335314 112.302714) - (xy 122.307849 112.316708) - (xy 122.216708 112.407849) - (xy 122.21402 112.413125) - (xy 122.214019 112.413126) - (xy 122.19364 112.453122) - (xy 122.158191 112.522694) - (xy 122.157265 112.528541) - (xy 122.139789 112.638883) - (xy 122.138028 112.65) - (xy 115.161972 112.65) - (xy 115.160212 112.638883) - (xy 115.142735 112.528541) - (xy 115.141809 112.522694) - (xy 115.10636 112.453122) - (xy 115.085981 112.413126) - (xy 115.08598 112.413125) - (xy 115.083292 112.407849) - (xy 114.992151 112.316708) - (xy 114.964687 112.302714) - (xy 114.887252 112.263259) - (xy 114.877306 112.258191) - (xy 114.788672 112.244153) - (xy 114.755847 112.238954) - (xy 114.75 112.238028) - (xy 114.744153 112.238954) - (xy 114.711328 112.244153) - (xy 114.622694 112.258191) - (xy 114.612748 112.263259) - (xy 114.535314 112.302714) - (xy 114.507849 112.316708) - (xy 114.424483 112.400074) - (xy 114.377863 112.421814) - (xy 114.371309 112.4221) - (xy 113.826524 112.4221) - (xy 113.778186 112.404507) - (xy 113.763997 112.388679) - (xy 113.737397 112.348869) - (xy 113.733285 112.342715) - (xy 113.706592 112.324879) - (xy 113.687655 112.312226) - (xy 113.657238 112.270742) - (xy 113.660603 112.219412) - (xy 113.687655 112.187173) - (xy 113.726767 112.161039) - (xy 113.737036 112.15077) - (xy 113.7878 112.074795) - (xy 113.793359 112.061376) - (xy 113.80298 112.013006) - (xy 113.801336 112.002321) - (xy 113.79872 112.000028) - (xy 113.798572 112) - (xy 112.007693 112) - (xy 111.997536 112.003697) - (xy 111.995797 112.006709) - (xy 111.995799 112.006861) - (xy 112.006641 112.061372) - (xy 112.012201 112.074798) - (xy 112.062964 112.15077) - (xy 112.073233 112.161039) - (xy 112.112345 112.187173) - (xy 112.142762 112.228656) - (xy 112.139397 112.279986) - (xy 112.112345 112.312226) - (xy 112.093408 112.324879) - (xy 112.066715 112.342715) - (xy 112.007614 112.431166) - (xy 112.00617 112.438428) - (xy 112.006169 112.438429) - (xy 111.995957 112.489771) - (xy 111.9921 112.509161) - (xy 106.1274 112.509161) - (xy 106.1274 112.413259) - (xy 106.123703 112.403102) - (xy 106.118331 112.4) - (xy 105.713259 112.4) - (xy 105.703102 112.403697) - (xy 105.7 112.409069) - (xy 105.7 112.864141) - (xy 105.4 112.864141) - (xy 105.4 112.413259) - (xy 105.396303 112.403102) - (xy 105.390931 112.4) - (xy 104.985859 112.4) - (xy 104.975702 112.403697) - (xy 104.9726 112.409069) - (xy 104.9726 112.544343) - (xy 104.6279 112.544343) - (xy 104.627899 112.086741) - (xy 104.9726 112.086741) - (xy 104.976297 112.096898) - (xy 104.981669 112.1) - (xy 105.386741 112.1) - (xy 105.396898 112.096303) - (xy 105.4 112.090931) - (xy 105.4 112.086741) - (xy 105.7 112.086741) - (xy 105.703697 112.096898) - (xy 105.709069 112.1) - (xy 106.114141 112.1) - (xy 106.124298 112.096303) - (xy 106.1274 112.090931) - (xy 106.1274 111.955657) - (xy 106.127 111.95019) - (xy 106.117694 111.886971) - (xy 106.114269 111.87595) - (xy 106.066041 111.777722) - (xy 106.058906 111.767756) - (xy 105.981729 111.690714) - (xy 105.971743 111.68359) - (xy 105.873428 111.635533) - (xy 105.862416 111.63213) - (xy 105.799795 111.622995) - (xy 105.794359 111.6226) - (xy 105.713259 111.6226) - (xy 105.703102 111.626297) - (xy 105.7 111.631669) - (xy 105.7 112.086741) - (xy 105.4 112.086741) - (xy 105.4 111.635859) - (xy 105.396303 111.625702) - (xy 105.390931 111.6226) - (xy 105.305657 111.6226) - (xy 105.30019 111.623) - (xy 105.236971 111.632306) - (xy 105.22595 111.635731) - (xy 105.127722 111.683959) - (xy 105.117756 111.691094) - (xy 105.040714 111.768271) - (xy 105.03359 111.778257) - (xy 104.985533 111.876572) - (xy 104.98213 111.887584) - (xy 104.972995 111.950205) - (xy 104.9726 111.955641) - (xy 104.9726 112.086741) - (xy 104.627899 112.086741) - (xy 104.627899 111.95287) - (xy 104.617327 111.881047) - (xy 104.582563 111.810241) - (xy 104.566453 111.777427) - (xy 104.566452 111.777425) - (xy 104.563715 111.771851) - (xy 104.559321 111.767464) - (xy 104.559319 111.767462) - (xy 104.533393 111.741582) - (xy 104.511613 111.694981) - (xy 104.525682 111.64416) - (xy 104.525828 111.643959) - (xy 104.530016 111.639771) - (xy 104.541906 111.616435) - (xy 104.54807 111.606376) - (xy 104.554521 111.597497) - (xy 104.563461 111.585193) - (xy 104.565289 111.579567) - (xy 104.565291 111.579563) - (xy 104.571554 111.560287) - (xy 104.576069 111.549386) - (xy 104.581892 111.537957) - (xy 104.587957 111.526055) - (xy 104.589438 111.516708) - (xy 104.592053 111.500193) - (xy 104.594808 111.488717) - (xy 104.601071 111.469443) - (xy 104.601071 111.469441) - (xy 104.6029 111.463813) - (xy 104.6029 111.437625) - (xy 104.603826 111.425861) - (xy 104.60413 111.423945) - (xy 104.607922 111.4) - (xy 104.603826 111.374138) - (xy 104.6029 111.362375) - (xy 104.6029 111.336187) - (xy 104.599892 111.326928) - (xy 104.594808 111.311283) - (xy 104.592053 111.299807) - (xy 104.588883 111.27979) - (xy 104.588882 111.279788) - (xy 104.587957 111.273945) - (xy 104.576069 111.250614) - (xy 104.571554 111.239713) - (xy 104.565291 111.220437) - (xy 104.565289 111.220433) - (xy 104.563461 111.214807) - (xy 104.54807 111.193623) - (xy 104.541904 111.183562) - (xy 104.530016 111.160229) - (xy 104.5115 111.141713) - (xy 104.503836 111.132741) - (xy 104.491919 111.116339) - (xy 104.488444 111.111556) - (xy 104.467259 111.096164) - (xy 104.458287 111.0885) - (xy 104.439771 111.069984) - (xy 104.416435 111.058094) - (xy 104.406376 111.05193) - (xy 104.395913 111.044328) - (xy 104.385193 111.036539) - (xy 104.379567 111.034711) - (xy 104.379563 111.034709) - (xy 104.360287 111.028446) - (xy 104.349386 111.023931) - (xy 104.339065 111.018672) - (xy 104.326055 111.012043) - (xy 104.320212 111.011118) - (xy 104.32021 111.011117) - (xy 104.300193 111.007947) - (xy 104.288717 111.005192) - (xy 104.269443 110.998929) - (xy 104.269441 110.998929) - (xy 104.263813 110.9971) - (xy 104.237625 110.9971) - (xy 104.225861 110.996174) - (xy 104.225729 110.996153) - (xy 104.2 110.992078) - (xy 104.174272 110.996153) - (xy 104.174139 110.996174) - (xy 104.162375 110.9971) - (xy 104.136187 110.9971) - (xy 104.130559 110.998929) - (xy 104.130557 110.998929) - (xy 104.111283 111.005192) - (xy 104.099807 111.007947) - (xy 104.07979 111.011117) - (xy 104.079788 111.011118) - (xy 104.073945 111.012043) - (xy 104.060935 111.018672) - (xy 104.050614 111.023931) - (xy 104.039713 111.028446) - (xy 104.020437 111.034709) - (xy 104.020433 111.034711) - (xy 104.014807 111.036539) - (xy 104.004087 111.044328) - (xy 103.993624 111.05193) - (xy 103.983565 111.058094) - (xy 103.960229 111.069984) - (xy 103.869984 111.160229) - (xy 103.869983 111.160231) - (xy 103.810231 111.219983) - (xy 103.810229 111.219984) - (xy 103.719984 111.310229) - (xy 103.708096 111.333562) - (xy 103.70193 111.343623) - (xy 103.686539 111.364807) - (xy 103.684711 111.370433) - (xy 103.684709 111.370437) - (xy 103.678446 111.389713) - (xy 103.673931 111.400614) - (xy 103.662043 111.423945) - (xy 103.661118 111.429788) - (xy 103.661117 111.42979) - (xy 103.657947 111.449807) - (xy 103.655192 111.461283) - (xy 103.650314 111.476297) - (xy 103.6471 111.486187) - (xy 103.6471 111.630816) - (xy 103.629507 111.679154) - (xy 103.621829 111.686263) - (xy 103.621851 111.686285) - (xy 103.535909 111.772377) - (xy 103.53318 111.777959) - (xy 103.533179 111.777961) - (xy 103.519999 111.804925) - (xy 103.482965 111.840625) - (xy 103.452439 111.8471) - (xy 103.287625 111.8471) - (xy 103.275861 111.846174) - (xy 103.255847 111.843004) - (xy 103.25 111.842078) - (xy 103.244153 111.843004) - (xy 103.189627 111.85164) - (xy 103.123945 111.862043) - (xy 103.08138 111.883731) - (xy 103.033296 111.908231) - (xy 103.010229 111.919984) - (xy 102.919984 112.010229) - (xy 102.862043 112.123945) - (xy 102.852842 112.18204) - (xy 102.843828 112.238954) - (xy 102.842078 112.25) - (xy 100.4223 112.25) - (xy 100.416758 112.244458) - (xy 100.414047 112.241602) - (xy 100.392237 112.217379) - (xy 100.386949 112.211506) - (xy 100.364478 112.201501) - (xy 100.354121 112.195877) - (xy 100.340125 112.186788) - (xy 100.340124 112.186788) - (xy 100.333497 112.182484) - (xy 100.325061 112.181148) - (xy 100.306245 112.175574) - (xy 100.298442 112.1721) - (xy 100.273847 112.1721) - (xy 100.262084 112.171174) - (xy 100.245613 112.168565) - (xy 100.245611 112.168565) - (xy 100.237806 112.167329) - (xy 100.230174 112.169374) - (xy 100.230173 112.169374) - (xy 100.229562 112.169538) - (xy 100.210099 112.1721) - (xy 99.857928 112.1721) - (xy 99.853991 112.171997) - (xy 99.813552 112.169877) - (xy 99.790592 112.17869) - (xy 99.779285 112.18204) - (xy 99.755234 112.187152) - (xy 99.74884 112.191798) - (xy 99.748839 112.191798) - (xy 99.748326 112.192171) - (xy 99.73108 112.201535) - (xy 99.730483 112.201764) - (xy 99.730482 112.201765) - (xy 99.723104 112.204597) - (xy 99.70572 112.221981) - (xy 99.696748 112.229645) - (xy 99.683706 112.239121) - (xy 99.676854 112.244099) - (xy 99.672902 112.250944) - (xy 99.672585 112.251493) - (xy 99.660634 112.267067) - (xy 99.077626 112.850074) - (xy 99.031006 112.871814) - (xy 99.024452 112.8721) - (xy 96.525547 112.8721) - (xy 96.477209 112.854507) - (xy 96.472373 112.850074) - (xy 95.899926 112.277626) - (xy 95.878186 112.231006) - (xy 95.8779 112.224452) - (xy 95.8779 111.571345) - (xy 95.895493 111.523007) - (xy 95.940042 111.497287) - (xy 95.988722 111.505871) - (xy 95.988833 111.505644) - (xy 95.98962 111.50603) - (xy 95.9907 111.50622) - (xy 95.993073 111.507721) - (xy 95.993876 111.508115) - (xy 95.998501 111.511311) - (xy 96.002316 111.512518) - (xy 96.003017 111.512984) - (xy 96.066897 111.532942) - (xy 96.128351 111.552377) - (xy 96.132994 111.552742) - (xy 96.133518 111.552784) - (xy 96.133526 111.552784) - (xy 96.134996 111.5529) - (xy 96.193241 111.5529) - (xy 96.194619 111.552913) - (xy 96.251199 111.55395) - (xy 96.2512 111.55395) - (xy 96.256555 111.554048) - (xy 96.259727 111.553183) - (xy 96.26429 111.5529) - (xy 96.480816 111.5529) - (xy 96.529154 111.570493) - (xy 96.536263 111.578171) - (xy 96.536285 111.578149) - (xy 96.573191 111.61499) - (xy 96.575028 111.616824) - (xy 96.596808 111.663425) - (xy 96.5971 111.670045) - (xy 96.5971 111.818633) - (xy 96.596579 111.827472) - (xy 96.59221 111.864386) - (xy 96.59322 111.869916) - (xy 96.59322 111.869919) - (xy 96.602952 111.923204) - (xy 96.60334 111.92553) - (xy 96.612232 111.984675) - (xy 96.614572 111.989547) - (xy 96.614639 111.989823) - (xy 96.61476 111.990176) - (xy 96.615497 111.992443) - (xy 96.615602 111.992786) - (xy 96.615707 111.993042) - (xy 96.616678 111.998359) - (xy 96.619272 112.003352) - (xy 96.619272 112.003353) - (xy 96.644238 112.051415) - (xy 96.645294 112.053528) - (xy 96.668748 112.102371) - (xy 96.66875 112.102374) - (xy 96.671184 112.107443) - (xy 96.674855 112.111415) - (xy 96.675374 112.112285) - (xy 96.677484 112.115416) - (xy 96.679458 112.119215) - (xy 96.68224 112.122473) - (xy 96.682243 112.122477) - (xy 96.682817 112.123149) - (xy 96.682826 112.123159) - (xy 96.683787 112.124284) - (xy 96.721936 112.162433) - (xy 96.723983 112.164561) - (xy 96.763629 112.20745) - (xy 96.768486 112.210271) - (xy 96.771735 112.212874) - (xy 96.777891 112.218388) - (xy 96.863309 112.303806) - (xy 96.867699 112.308592) - (xy 96.895023 112.341098) - (xy 96.899482 112.344066) - (xy 96.899483 112.344067) - (xy 96.905809 112.348278) - (xy 96.936249 112.36854) - (xy 96.938451 112.370006) - (xy 96.941458 112.372116) - (xy 96.981156 112.401437) - (xy 96.981161 112.40144) - (xy 96.985681 112.404778) - (xy 96.990985 112.406641) - (xy 96.991998 112.407177) - (xy 96.99652 112.409044) - (xy 96.998559 112.410017) - (xy 97.003017 112.412984) - (xy 97.008124 112.414579) - (xy 97.008127 112.414581) - (xy 97.056423 112.429669) - (xy 97.058916 112.430496) - (xy 97.114176 112.449903) - (xy 97.119797 112.450124) - (xy 97.120867 112.450328) - (xy 97.12334 112.450576) - (xy 97.126845 112.451671) - (xy 97.186645 112.452767) - (xy 97.188174 112.45281) - (xy 97.25026 112.45525) - (xy 97.254907 112.454018) - (xy 97.256555 112.454048) - (xy 97.318753 112.437091) - (xy 97.319183 112.436976) - (xy 97.3819 112.420347) - (xy 97.436997 112.385985) - (xy 97.437418 112.385725) - (xy 97.459583 112.372116) - (xy 97.492273 112.352044) - (xy 97.493381 112.35082) - (xy 97.497457 112.348278) - (xy 97.501204 112.343961) - (xy 97.538102 112.301439) - (xy 97.539146 112.30026) - (xy 97.540447 112.298822) - (xy 97.579332 112.255863) - (xy 97.580933 112.252559) - (xy 97.582446 112.250586) - (xy 97.583034 112.24966) - (xy 97.586715 112.245417) - (xy 97.588987 112.240278) - (xy 97.588989 112.240275) - (xy 97.6104 112.191845) - (xy 97.611502 112.189464) - (xy 97.612799 112.186788) - (xy 97.635897 112.139112) - (xy 97.636785 112.133832) - (xy 97.637472 112.131681) - (xy 97.639206 112.127109) - (xy 97.639509 112.126003) - (xy 97.641783 112.120858) - (xy 97.644685 112.096341) - (xy 97.648248 112.06624) - (xy 97.648769 112.062605) - (xy 97.656939 112.014039) - (xy 97.656939 112.014037) - (xy 97.65742 112.011179) - (xy 97.657557 112) - (xy 97.657374 111.998725) - (xy 97.657425 111.996813) - (xy 97.657305 111.996817) - (xy 97.657129 111.991201) - (xy 97.65779 111.985614) - (xy 97.647423 111.928849) - (xy 97.646972 111.926084) - (xy 97.639166 111.871579) - (xy 97.636949 111.866702) - (xy 97.635713 111.862477) - (xy 97.634735 111.858351) - (xy 97.634333 111.857178) - (xy 97.633322 111.85164) - (xy 97.63073 111.846651) - (xy 97.630729 111.846647) - (xy 97.608396 111.803656) - (xy 97.606673 111.800116) - (xy 97.606621 111.8) - (xy 97.58547 111.753482) - (xy 97.581974 111.749425) - (xy 97.579087 111.74491) - (xy 97.579165 111.74486) - (xy 97.573528 111.736534) - (xy 97.572519 111.734591) - (xy 97.570542 111.730785) - (xy 97.56731 111.727) - (xy 97.567183 111.726851) - (xy 97.567174 111.726841) - (xy 97.566213 111.725716) - (xy 97.544297 111.7038) - (xy 97.522557 111.65718) - (xy 97.535871 111.607493) - (xy 97.544247 111.597501) - (xy 97.564091 111.577623) - (xy 97.566966 111.571743) - (xy 97.614949 111.47358) - (xy 97.61495 111.473578) - (xy 97.617513 111.468334) - (xy 97.6279 111.397131) - (xy 97.6279 111.394343) - (xy 97.9726 111.394343) - (xy 97.973 111.39981) - (xy 97.982306 111.463029) - (xy 97.985731 111.47405) - (xy 98.033959 111.572278) - (xy 98.041094 111.582244) - (xy 98.118271 111.659286) - (xy 98.128257 111.66641) - (xy 98.226572 111.714467) - (xy 98.237584 111.71787) - (xy 98.300205 111.727005) - (xy 98.305641 111.7274) - (xy 98.386741 111.7274) - (xy 98.396898 111.723703) - (xy 98.4 111.718331) - (xy 98.4 111.714141) - (xy 98.7 111.714141) - (xy 98.703697 111.724298) - (xy 98.709069 111.7274) - (xy 98.794343 111.7274) - (xy 98.79981 111.727) - (xy 98.863029 111.717694) - (xy 98.87405 111.714269) - (xy 98.972278 111.666041) - (xy 98.982244 111.658906) - (xy 99.059286 111.581729) - (xy 99.06641 111.571743) - (xy 99.114467 111.473428) - (xy 99.11787 111.462416) - (xy 99.127005 111.399795) - (xy 99.1274 111.394354) - (xy 99.1274 111.263259) - (xy 99.123703 111.253102) - (xy 99.118331 111.25) - (xy 98.713259 111.25) - (xy 98.703102 111.253697) - (xy 98.7 111.259069) - (xy 98.7 111.714141) - (xy 98.4 111.714141) - (xy 98.4 111.263259) - (xy 98.396303 111.253102) - (xy 98.390931 111.25) - (xy 97.985859 111.25) - (xy 97.975702 111.253697) - (xy 97.9726 111.259069) - (xy 97.9726 111.394343) - (xy 97.6279 111.394343) - (xy 97.627899 110.936741) - (xy 97.9726 110.936741) - (xy 97.976297 110.946898) - (xy 97.981669 110.95) - (xy 98.386741 110.95) - (xy 98.396898 110.946303) - (xy 98.4 110.940931) - (xy 98.4 110.936741) - (xy 98.7 110.936741) - (xy 98.703697 110.946898) - (xy 98.709069 110.95) - (xy 99.114141 110.95) - (xy 99.124298 110.946303) - (xy 99.1274 110.940931) - (xy 99.1274 110.805657) - (xy 99.127 110.80019) - (xy 99.117694 110.736971) - (xy 99.114269 110.72595) - (xy 99.066041 110.627722) - (xy 99.058906 110.617756) - (xy 98.981729 110.540714) - (xy 98.971743 110.53359) - (xy 98.873428 110.485533) - (xy 98.862416 110.48213) - (xy 98.799795 110.472995) - (xy 98.794359 110.4726) - (xy 98.713259 110.4726) - (xy 98.703102 110.476297) - (xy 98.7 110.481669) - (xy 98.7 110.936741) - (xy 98.4 110.936741) - (xy 98.4 110.485859) - (xy 98.396303 110.475702) - (xy 98.390931 110.4726) - (xy 98.305657 110.4726) - (xy 98.30019 110.473) - (xy 98.236971 110.482306) - (xy 98.22595 110.485731) - (xy 98.127722 110.533959) - (xy 98.117756 110.541094) - (xy 98.040714 110.618271) - (xy 98.03359 110.628257) - (xy 97.985533 110.726572) - (xy 97.98213 110.737584) - (xy 97.972995 110.800205) - (xy 97.9726 110.805641) - (xy 97.9726 110.936741) - (xy 97.627899 110.936741) - (xy 97.627899 110.80287) - (xy 97.617327 110.731047) - (xy 97.580089 110.655202) - (xy 97.575522 110.645899) - (xy 97.570012 110.594755) - (xy 97.598665 110.552035) - (xy 97.608885 110.545753) - (xy 97.6094 110.545491) - (xy 97.624186 110.537957) - (xy 97.634494 110.532705) - (xy 97.634495 110.532704) - (xy 97.639771 110.530016) - (xy 97.730016 110.439771) - (xy 97.753101 110.394465) - (xy 97.78527 110.331328) - (xy 97.787957 110.326055) - (xy 97.795163 110.280557) - (xy 97.802052 110.237067) - (xy 97.810492 110.214671) - (xy 97.810465 110.21466) - (xy 97.811017 110.213275) - (xy 97.815779 110.20134) - (xy 97.823615 110.186665) - (xy 97.827797 110.180569) - (xy 97.831725 110.174843) - (xy 97.833328 110.168088) - (xy 97.83333 110.168084) - (xy 97.838344 110.146958) - (xy 97.841664 110.13646) - (xy 97.850312 110.114783) - (xy 97.850313 110.114778) - (xy 97.852278 110.109853) - (xy 97.8529 110.10351) - (xy 97.8529 110.094418) - (xy 97.854932 110.077054) - (xy 97.856177 110.071807) - (xy 97.85778 110.065053) - (xy 97.853587 110.034245) - (xy 97.8529 110.024104) - (xy 97.8529 110.009108) - (xy 97.870493 109.96077) - (xy 97.884239 109.953032) - (xy 97.899973 109.93509) - (xy 97.9 109.934944) - (xy 97.9 109.928677) - (xy 98.2 109.928677) - (xy 98.203697 109.938834) - (xy 98.206684 109.940559) - (xy 98.219888 109.935089) - (xy 98.25777 109.909777) - (xy 98.307735 109.89755) - (xy 98.341327 109.909776) - (xy 98.386078 109.939677) - (xy 98.39334 109.941121) - (xy 98.393341 109.941122) - (xy 98.448918 109.952177) - (xy 98.452553 109.9529) - (xy 98.549973 109.9529) - (xy 98.647446 109.952899) - (xy 98.651069 109.952178) - (xy 98.651073 109.952178) - (xy 98.686526 109.945126) - (xy 98.713922 109.939677) - (xy 98.721006 109.934944) - (xy 98.758222 109.910078) - (xy 98.808188 109.897852) - (xy 98.841778 109.910078) - (xy 98.878994 109.934944) - (xy 98.886078 109.939677) - (xy 98.89334 109.941121) - (xy 98.893341 109.941122) - (xy 98.948918 109.952177) - (xy 98.952553 109.9529) - (xy 99.049973 109.9529) - (xy 99.147446 109.952899) - (xy 99.151069 109.952178) - (xy 99.151073 109.952178) - (xy 99.186526 109.945126) - (xy 99.213922 109.939677) - (xy 99.221006 109.934944) - (xy 99.258222 109.910078) - (xy 99.308188 109.897852) - (xy 99.341778 109.910078) - (xy 99.378994 109.934944) - (xy 99.386078 109.939677) - (xy 99.39334 109.941121) - (xy 99.393341 109.941122) - (xy 99.448918 109.952177) - (xy 99.452553 109.9529) - (xy 99.549973 109.9529) - (xy 99.647446 109.952899) - (xy 99.651069 109.952178) - (xy 99.651073 109.952178) - (xy 99.686526 109.945126) - (xy 99.713922 109.939677) - (xy 99.721006 109.934944) - (xy 99.758222 109.910078) - (xy 99.808188 109.897852) - (xy 99.841778 109.910078) - (xy 99.878994 109.934944) - (xy 99.886078 109.939677) - (xy 99.89334 109.941121) - (xy 99.893341 109.941122) - (xy 99.948918 109.952177) - (xy 99.952553 109.9529) - (xy 100.049973 109.9529) - (xy 100.147446 109.952899) - (xy 100.151069 109.952178) - (xy 100.151073 109.952178) - (xy 100.186526 109.945126) - (xy 100.213922 109.939677) - (xy 100.289307 109.889307) - (xy 100.296763 109.878149) - (xy 100.335563 109.820079) - (xy 100.339677 109.813922) - (xy 100.341284 109.805847) - (xy 100.352179 109.751072) - (xy 100.352179 109.751071) - (xy 100.3529 109.747447) - (xy 100.3529 109.4752) - (xy 100.370493 109.426862) - (xy 100.415042 109.401142) - (xy 100.4281 109.4) - (xy 100.55 109.4) - (xy 100.777974 109.172026) - (xy 100.824594 109.150286) - (xy 100.831148 109.15) - (xy 102.318852 109.15) - (xy 102.36719 109.167593) - (xy 102.372026 109.172026) - (xy 103.05 109.85) - (xy 105.318852 109.85) - (xy 105.36719 109.867593) - (xy 105.372026 109.872026) - (xy 107.3 111.8) - (xy 107.678103 111.8) - (xy 107.692773 111.801445) - (xy 107.696462 111.802179) - (xy 107.696467 111.802179) - (xy 107.700089 111.8029) - (xy 107.949966 111.8029) - (xy 108.19991 111.802899) - (xy 108.203533 111.802178) - (xy 108.203537 111.802178) - (xy 108.20722 111.801445) - (xy 108.22189 111.8) - (xy 108.5 111.8) - (xy 108.5 111.803427) - (xy 108.528771 111.803429) - (xy 108.568173 111.836497) - (xy 108.577101 111.887157) - (xy 108.570049 111.908231) - (xy 108.564731 111.918669) - (xy 108.562043 111.923945) - (xy 108.542078 112.05) - (xy 108.562043 112.176055) - (xy 108.58454 112.220208) - (xy 108.608943 112.268101) - (xy 108.619984 112.289771) - (xy 108.710229 112.380016) - (xy 108.715505 112.382704) - (xy 108.715506 112.382705) - (xy 108.721781 112.385902) - (xy 108.823945 112.437957) - (xy 108.886972 112.447939) - (xy 108.93313 112.45525) - (xy 108.95 112.457922) - (xy 108.966871 112.45525) - (xy 109.013028 112.447939) - (xy 109.076055 112.437957) - (xy 109.178219 112.385902) - (xy 109.184494 112.382705) - (xy 109.184495 112.382704) - (xy 109.189771 112.380016) - (xy 109.280016 112.289771) - (xy 109.291058 112.268101) - (xy 109.31546 112.220208) - (xy 109.337957 112.176055) - (xy 109.357922 112.05) - (xy 109.337957 111.923945) - (xy 109.30038 111.850196) - (xy 109.294111 111.79914) - (xy 109.325605 111.75353) - (xy 109.351174 111.736445) - (xy 109.357331 111.732331) - (xy 109.362457 111.724659) - (xy 109.409112 111.654836) - (xy 109.409113 111.654835) - (xy 109.413227 111.648677) - (xy 109.4279 111.574911) - (xy 109.428762 111.575082) - (xy 109.449449 111.531961) - (xy 109.496306 111.510736) - (xy 109.536176 111.518713) - (xy 109.564136 111.532959) - (xy 109.573945 111.537957) - (xy 109.620421 111.545318) - (xy 109.679411 111.554661) - (xy 109.7 111.557922) - (xy 109.72059 111.554661) - (xy 109.779579 111.545318) - (xy 109.826055 111.537957) - (xy 109.916043 111.492106) - (xy 109.934494 111.482705) - (xy 109.934495 111.482704) - (xy 109.939771 111.480016) - (xy 110.030016 111.389771) - (xy 110.087957 111.276055) - (xy 110.100017 111.199913) - (xy 110.106996 111.155847) - (xy 110.107922 111.15) - (xy 110.106871 111.143361) - (xy 110.09072 111.04139) - (xy 110.087957 111.023945) - (xy 110.045733 110.941075) - (xy 110.032705 110.915506) - (xy 110.032704 110.915505) - (xy 110.030016 110.910229) - (xy 109.939771 110.819984) - (xy 109.933342 110.816708) - (xy 109.863904 110.781328) - (xy 109.826055 110.762043) - (xy 109.7 110.742078) - (xy 109.665477 110.747546) - (xy 109.614984 110.737731) - (xy 109.597828 110.723589) - (xy 109.592237 110.717379) - (xy 109.586949 110.711506) - (xy 109.582436 110.709496) - (xy 109.554678 110.668345) - (xy 109.560054 110.617187) - (xy 109.578187 110.596694) - (xy 109.576896 110.595403) - (xy 109.59428 110.578019) - (xy 109.603252 110.570356) - (xy 109.609418 110.565876) - (xy 109.658865 110.551696) - (xy 109.665374 110.552438) - (xy 109.7 110.557922) - (xy 109.712098 110.556006) - (xy 109.783702 110.544665) - (xy 109.826055 110.537957) - (xy 109.92113 110.489514) - (xy 109.934494 110.482705) - (xy 109.934495 110.482704) - (xy 109.939771 110.480016) - (xy 110.030016 110.389771) - (xy 110.087957 110.276055) - (xy 110.107922 110.15) - (xy 110.105778 110.13646) - (xy 110.088883 110.029792) - (xy 110.087957 110.023945) - (xy 110.045398 109.940417) - (xy 110.032705 109.915506) - (xy 110.032704 109.915505) - (xy 110.030016 109.910229) - (xy 109.939771 109.819984) - (xy 109.913612 109.806655) - (xy 109.876129 109.787557) - (xy 109.826055 109.762043) - (xy 109.733899 109.747447) - (xy 109.705847 109.743004) - (xy 109.7 109.742078) - (xy 109.694153 109.743004) - (xy 109.666101 109.747447) - (xy 109.573945 109.762043) - (xy 109.536174 109.781288) - (xy 109.485119 109.787557) - (xy 109.441978 109.759541) - (xy 109.428489 109.724973) - (xy 109.427899 109.72509) - (xy 109.427238 109.721764) - (xy 109.427237 109.721761) - (xy 109.413227 109.651323) - (xy 109.357331 109.567669) - (xy 109.325605 109.54647) - (xy 109.295188 109.504987) - (xy 109.30038 109.449804) - (xy 109.308181 109.434494) - (xy 109.337957 109.376055) - (xy 109.357922 109.25) - (xy 109.350457 109.202869) - (xy 110.2221 109.202869) - (xy 110.222101 109.69713) - (xy 110.232673 109.768953) - (xy 110.267861 109.840623) - (xy 110.286285 109.878149) - (xy 110.285227 109.878668) - (xy 110.2971 109.915646) - (xy 110.2971 110.408829) - (xy 110.296457 110.418644) - (xy 110.292329 110.45) - (xy 110.292972 110.454884) - (xy 110.296913 110.484822) - (xy 110.2971 110.486697) - (xy 110.2971 110.487946) - (xy 110.302863 110.530016) - (xy 110.310327 110.586707) - (xy 110.311331 110.594336) - (xy 110.311843 110.595572) - (xy 110.312461 110.600084) - (xy 110.339355 110.662232) - (xy 110.341452 110.667079) - (xy 110.341912 110.668166) - (xy 110.365154 110.724275) - (xy 110.367043 110.728835) - (xy 110.368973 110.73135) - (xy 110.370125 110.73364) - (xy 110.370584 110.734399) - (xy 110.372623 110.73911) - (xy 110.415938 110.792599) - (xy 110.417137 110.79412) - (xy 110.455667 110.844333) - (xy 110.459574 110.847331) - (xy 110.460386 110.848143) - (xy 110.464066 110.852229) - (xy 110.464731 110.852854) - (xy 110.467956 110.856836) - (xy 110.47213 110.859802) - (xy 110.472136 110.859808) - (xy 110.521079 110.89459) - (xy 110.523296 110.896227) - (xy 110.567256 110.929959) - (xy 110.56726 110.929961) - (xy 110.571164 110.932957) - (xy 110.575711 110.934841) - (xy 110.579987 110.937309) - (xy 110.579847 110.937551) - (xy 110.586217 110.941075) - (xy 110.587256 110.941618) - (xy 110.591435 110.944588) - (xy 110.606468 110.95) - (xy 110.649439 110.965471) - (xy 110.652744 110.966749) - (xy 110.70111 110.986783) - (xy 110.701112 110.986784) - (xy 110.705664 110.988669) - (xy 110.710571 110.989315) - (xy 110.726236 110.993119) - (xy 110.733965 110.995902) - (xy 110.739078 110.996278) - (xy 110.73908 110.996278) - (xy 110.792047 111.000168) - (xy 110.796354 111.000609) - (xy 110.845114 111.007028) - (xy 110.845116 111.007028) - (xy 110.85 111.007671) - (xy 110.858196 111.006592) - (xy 110.873515 111.00615) - (xy 110.885043 111.006997) - (xy 110.890067 111.005984) - (xy 110.890068 111.005984) - (xy 110.938826 110.996153) - (xy 110.943873 110.995313) - (xy 110.989453 110.989312) - (xy 110.989454 110.989312) - (xy 110.994336 110.988669) - (xy 110.998886 110.986784) - (xy 110.998891 110.986783) - (xy 111.005035 110.984238) - (xy 111.018946 110.979998) - (xy 111.028517 110.978068) - (xy 111.028518 110.978068) - (xy 111.03354 110.977055) - (xy 111.038105 110.974729) - (xy 111.038108 110.974728) - (xy 111.079432 110.953673) - (xy 111.084792 110.951202) - (xy 111.124281 110.934844) - (xy 111.124282 110.934843) - (xy 111.128836 110.932957) - (xy 111.132741 110.92996) - (xy 111.132747 110.929957) - (xy 111.140648 110.923894) - (xy 111.152287 110.91655) - (xy 111.163945 110.91061) - (xy 111.168514 110.908282) - (xy 111.203964 110.875684) - (xy 111.20908 110.871384) - (xy 111.240426 110.847331) - (xy 111.244333 110.844333) - (xy 111.255409 110.829899) - (xy 111.264162 110.820329) - (xy 111.280022 110.805745) - (xy 111.295868 110.780188) - (xy 111.303642 110.76765) - (xy 111.307894 110.761498) - (xy 111.329957 110.732745) - (xy 111.329958 110.732744) - (xy 111.332957 110.728835) - (xy 111.341183 110.708976) - (xy 111.346743 110.698135) - (xy 111.354773 110.685185) - (xy 111.359848 110.676999) - (xy 111.366199 110.655139) - (xy 111.371422 110.63716) - (xy 111.37416 110.629362) - (xy 111.374766 110.6279) - (xy 111.388669 110.594336) - (xy 111.391905 110.569758) - (xy 111.394248 110.558596) - (xy 111.401012 110.535313) - (xy 111.401013 110.53531) - (xy 111.402111 110.531529) - (xy 111.4029 110.520785) - (xy 111.4029 110.491171) - (xy 111.403543 110.481356) - (xy 111.407028 110.454884) - (xy 111.407671 110.45) - (xy 111.403543 110.418644) - (xy 111.4029 110.408829) - (xy 111.4029 109.9321) - (xy 111.420493 109.883762) - (xy 111.465042 109.858042) - (xy 111.4781 109.8569) - (xy 111.737631 109.8569) - (xy 111.738851 109.856996) - (xy 111.744153 109.856996) - (xy 111.75 109.857922) - (xy 111.755847 109.856996) - (xy 111.761149 109.856996) - (xy 111.762369 109.8569) - (xy 111.782024 109.8569) - (xy 111.78494 109.856438) - (xy 111.784942 109.856438) - (xy 111.871459 109.842735) - (xy 111.877306 109.841809) - (xy 111.883049 109.838883) - (xy 111.888517 109.836097) - (xy 111.922658 109.8279) - (xy 112.002742 109.8279) - (xy 112.05108 109.845493) - (xy 112.0768 109.890042) - (xy 112.065269 109.944879) - (xy 112.007614 110.031166) - (xy 112.00617 110.038428) - (xy 112.006169 110.038429) - (xy 111.993589 110.101676) - (xy 111.9921 110.109161) - (xy 111.992101 110.390838) - (xy 112.007614 110.468834) - (xy 112.011728 110.474992) - (xy 112.011729 110.474993) - (xy 112.059626 110.546676) - (xy 112.066715 110.557285) - (xy 112.110749 110.586707) - (xy 112.111895 110.587473) - (xy 112.142312 110.628957) - (xy 112.138948 110.680286) - (xy 112.111896 110.712526) - (xy 112.082417 110.732223) - (xy 112.075312 110.736971) - (xy 112.066715 110.742715) - (xy 112.007614 110.831166) - (xy 112.00617 110.838428) - (xy 112.006169 110.838429) - (xy 111.996559 110.886743) - (xy 111.9921 110.909161) - (xy 111.992101 111.190838) - (xy 112.007614 111.268834) - (xy 112.011728 111.274992) - (xy 112.011729 111.274993) - (xy 112.052683 111.336285) - (xy 112.066715 111.357285) - (xy 112.072869 111.361397) - (xy 112.112345 111.387774) - (xy 112.142762 111.429258) - (xy 112.139397 111.480588) - (xy 112.112345 111.512827) - (xy 112.073233 111.538961) - (xy 112.062964 111.54923) - (xy 112.0122 111.625205) - (xy 112.006641 111.638624) - (xy 111.99702 111.686994) - (xy 111.998664 111.697679) - (xy 112.00128 111.699972) - (xy 112.001428 111.7) - (xy 113.792307 111.7) - (xy 113.802464 111.696303) - (xy 113.804203 111.693291) - (xy 113.804201 111.693139) - (xy 113.793359 111.638628) - (xy 113.787799 111.625202) - (xy 113.737036 111.54923) - (xy 113.726767 111.538961) - (xy 113.687655 111.512827) - (xy 113.657238 111.471344) - (xy 113.660603 111.420014) - (xy 113.687655 111.387774) - (xy 113.727131 111.361397) - (xy 113.733285 111.357285) - (xy 113.745612 111.338837) - (xy 113.763997 111.311321) - (xy 113.805481 111.280904) - (xy 113.826524 111.2779) - (xy 114.312411 111.2779) - (xy 114.360749 111.295493) - (xy 114.379415 111.31896) - (xy 114.412807 111.384494) - (xy 114.416708 111.392151) - (xy 114.507849 111.483292) - (xy 114.513125 111.48598) - (xy 114.513126 111.485981) - (xy 114.518496 111.488717) - (xy 114.622694 111.541809) - (xy 114.75 111.561972) - (xy 114.877306 111.541809) - (xy 114.981504 111.488717) - (xy 114.986874 111.485981) - (xy 114.986875 111.48598) - (xy 114.992151 111.483292) - (xy 115.083292 111.392151) - (xy 115.087194 111.384494) - (xy 115.118107 111.323824) - (xy 115.141809 111.277306) - (xy 115.161972 111.15) - (xy 115.16066 111.141713) - (xy 115.142735 111.028541) - (xy 115.141809 111.022694) - (xy 115.11033 110.960914) - (xy 115.085981 110.913126) - (xy 115.08598 110.913125) - (xy 115.083292 110.907849) - (xy 114.992151 110.816708) - (xy 114.986109 110.813629) - (xy 114.939086 110.78967) - (xy 114.877306 110.758191) - (xy 114.779593 110.742715) - (xy 114.755847 110.738954) - (xy 114.75 110.738028) - (xy 114.744153 110.738954) - (xy 114.720407 110.742715) - (xy 114.622694 110.758191) - (xy 114.555165 110.792599) - (xy 114.513352 110.813904) - (xy 114.479212 110.8221) - (xy 113.826524 110.8221) - (xy 113.778186 110.804507) - (xy 113.763997 110.788679) - (xy 113.737397 110.748869) - (xy 113.733285 110.742715) - (xy 113.724689 110.736971) - (xy 113.717583 110.732223) - (xy 113.688105 110.712526) - (xy 113.657688 110.671043) - (xy 113.661052 110.619714) - (xy 113.688105 110.587473) - (xy 113.689252 110.586707) - (xy 113.733285 110.557285) - (xy 113.738818 110.549005) - (xy 113.763997 110.511321) - (xy 113.805481 110.480904) - (xy 113.826524 110.4779) - (xy 114.488022 110.4779) - (xy 114.522161 110.486096) - (xy 114.623945 110.537957) - (xy 114.666298 110.544665) - (xy 114.737903 110.556006) - (xy 114.75 110.557922) - (xy 114.762098 110.556006) - (xy 114.833702 110.544665) - (xy 114.876055 110.537957) - (xy 114.97113 110.489514) - (xy 114.984494 110.482705) - (xy 114.984495 110.482704) - (xy 114.989771 110.480016) - (xy 115.080016 110.389771) - (xy 115.137957 110.276055) - (xy 115.157922 110.15) - (xy 115.155778 110.13646) - (xy 115.138883 110.029792) - (xy 115.137957 110.023945) - (xy 115.095398 109.940417) - (xy 115.082705 109.915506) - (xy 115.082704 109.915505) - (xy 115.080016 109.910229) - (xy 114.989771 109.819984) - (xy 114.963612 109.806655) - (xy 114.926129 109.787557) - (xy 114.876055 109.762043) - (xy 114.783899 109.747447) - (xy 114.755847 109.743004) - (xy 114.75 109.742078) - (xy 114.744153 109.743004) - (xy 114.716101 109.747447) - (xy 114.623945 109.762043) - (xy 114.573871 109.787557) - (xy 114.536389 109.806655) - (xy 114.510229 109.819984) - (xy 114.419984 109.910229) - (xy 114.417296 109.915505) - (xy 114.417295 109.915506) - (xy 114.383904 109.98104) - (xy 114.346283 110.016122) - (xy 114.3169 110.0221) - (xy 113.826524 110.0221) - (xy 113.778186 110.004507) - (xy 113.763997 109.988679) - (xy 113.734731 109.944879) - (xy 113.722505 109.894913) - (xy 113.745256 109.848778) - (xy 113.797258 109.8279) - (xy 113.886153 109.8279) - (xy 113.912766 109.83429) - (xy 113.913042 109.833441) - (xy 113.918671 109.83527) - (xy 113.923945 109.837957) - (xy 114.05 109.857922) - (xy 114.176055 109.837957) - (xy 114.239075 109.805847) - (xy 114.284494 109.782705) - (xy 114.284495 109.782704) - (xy 114.289771 109.780016) - (xy 114.380016 109.689771) - (xy 114.390767 109.668672) - (xy 114.416384 109.618394) - (xy 114.437957 109.576055) - (xy 114.455183 109.467295) - (xy 114.456996 109.455847) - (xy 114.457922 109.45) - (xy 118.242078 109.45) - (xy 118.243004 109.455847) - (xy 118.244817 109.467295) - (xy 118.262043 109.576055) - (xy 118.283616 109.618394) - (xy 118.309234 109.668672) - (xy 118.319984 109.689771) - (xy 118.410229 109.780016) - (xy 118.415505 109.782704) - (xy 118.415506 109.782705) - (xy 118.460925 109.805847) - (xy 118.523945 109.837957) - (xy 118.65 109.857922) - (xy 118.776055 109.837957) - (xy 118.839075 109.805847) - (xy 118.884494 109.782705) - (xy 118.884495 109.782704) - (xy 118.889771 109.780016) - (xy 118.980016 109.689771) - (xy 118.990767 109.668672) - (xy 119.016384 109.618394) - (xy 119.037957 109.576055) - (xy 119.055183 109.467295) - (xy 119.056996 109.455847) - (xy 119.057922 109.45) - (xy 119.037957 109.323945) - (xy 118.980016 109.210229) - (xy 118.889771 109.119984) - (xy 118.878944 109.114467) - (xy 118.819433 109.084145) - (xy 118.776055 109.062043) - (xy 118.707733 109.051222) - (xy 118.655847 109.043004) - (xy 118.65 109.042078) - (xy 118.644153 109.043004) - (xy 118.592267 109.051222) - (xy 118.523945 109.062043) - (xy 118.480567 109.084145) - (xy 118.421057 109.114467) - (xy 118.410229 109.119984) - (xy 118.319984 109.210229) - (xy 118.262043 109.323945) - (xy 118.242078 109.45) - (xy 114.457922 109.45) - (xy 114.437957 109.323945) - (xy 114.380016 109.210229) - (xy 114.289771 109.119984) - (xy 114.278944 109.114467) - (xy 114.219433 109.084145) - (xy 114.176055 109.062043) - (xy 114.107733 109.051222) - (xy 114.055847 109.043004) - (xy 114.05 109.042078) - (xy 114.044153 109.043004) - (xy 113.992267 109.051222) - (xy 113.923945 109.062043) - (xy 113.918671 109.06473) - (xy 113.913042 109.066559) - (xy 113.912766 109.06571) - (xy 113.886153 109.0721) - (xy 113.797258 109.0721) - (xy 113.74892 109.054507) - (xy 113.7232 109.009958) - (xy 113.734731 108.955121) - (xy 113.763997 108.911321) - (xy 113.805481 108.880904) - (xy 113.826524 108.8779) - (xy 114.3169 108.8779) - (xy 114.365238 108.895493) - (xy 114.383904 108.91896) - (xy 114.415014 108.980016) - (xy 114.419984 108.989771) - (xy 114.510229 109.080016) - (xy 114.515505 109.082704) - (xy 114.515506 109.082705) - (xy 114.528579 109.089366) - (xy 114.623945 109.137957) - (xy 114.75 109.157922) - (xy 114.876055 109.137957) - (xy 114.971421 109.089366) - (xy 114.984494 109.082705) - (xy 114.984495 109.082704) - (xy 114.989771 109.080016) - (xy 115.080016 108.989771) - (xy 115.084987 108.980016) - (xy 115.134633 108.882579) - (xy 115.137957 108.876055) - (xy 115.150874 108.794497) - (xy 115.156996 108.755847) - (xy 115.157922 108.75) - (xy 122.138028 108.75) - (xy 122.138954 108.755847) - (xy 122.145326 108.79608) - (xy 122.158191 108.877306) - (xy 122.18709 108.934023) - (xy 122.213667 108.986182) - (xy 122.216708 108.992151) - (xy 122.307849 109.083292) - (xy 122.313125 109.08598) - (xy 122.313126 109.085981) - (xy 122.360914 109.11033) - (xy 122.422694 109.141809) - (xy 122.55 109.161972) - (xy 122.677306 109.141809) - (xy 122.739086 109.11033) - (xy 122.786874 109.085981) - (xy 122.786875 109.08598) - (xy 122.792151 109.083292) - (xy 122.883292 108.992151) - (xy 122.886334 108.986182) - (xy 122.920585 108.91896) - (xy 122.958206 108.883878) - (xy 122.987589 108.8779) - (xy 123.473476 108.8779) - (xy 123.521814 108.895493) - (xy 123.536003 108.911321) - (xy 123.566715 108.957285) - (xy 123.572869 108.961397) - (xy 123.612345 108.987774) - (xy 123.642762 109.029258) - (xy 123.639397 109.080588) - (xy 123.612345 109.112827) - (xy 123.573233 109.138961) - (xy 123.562964 109.14923) - (xy 123.5122 109.225205) - (xy 123.506641 109.238624) - (xy 123.49702 109.286994) - (xy 123.498664 109.297679) - (xy 123.50128 109.299972) - (xy 123.501428 109.3) - (xy 125.292307 109.3) - (xy 125.302464 109.296303) - (xy 125.304203 109.293291) - (xy 125.304201 109.293139) - (xy 125.293359 109.238628) - (xy 125.287799 109.225202) - (xy 125.237036 109.14923) - (xy 125.226767 109.138961) - (xy 125.187655 109.112827) - (xy 125.157238 109.071344) - (xy 125.160603 109.020014) - (xy 125.187655 108.987774) - (xy 125.227131 108.961397) - (xy 125.233285 108.957285) - (xy 125.292386 108.868834) - (xy 125.293832 108.861567) - (xy 125.307179 108.794464) - (xy 125.307203 108.794343) - (xy 125.8226 108.794343) - (xy 125.823 108.79981) - (xy 125.832306 108.863029) - (xy 125.835731 108.87405) - (xy 125.883959 108.972278) - (xy 125.891094 108.982244) - (xy 125.968271 109.059286) - (xy 125.978257 109.06641) - (xy 126.076572 109.114467) - (xy 126.087584 109.11787) - (xy 126.150205 109.127005) - (xy 126.155641 109.1274) - (xy 126.286741 109.1274) - (xy 126.296898 109.123703) - (xy 126.3 109.118331) - (xy 126.3 109.114141) - (xy 126.6 109.114141) - (xy 126.603697 109.124298) - (xy 126.609069 109.1274) - (xy 126.744343 109.1274) - (xy 126.74981 109.127) - (xy 126.813029 109.117694) - (xy 126.82405 109.114269) - (xy 126.922278 109.066041) - (xy 126.932244 109.058906) - (xy 127.009286 108.981729) - (xy 127.01641 108.971743) - (xy 127.064467 108.873428) - (xy 127.06787 108.862416) - (xy 127.077005 108.799795) - (xy 127.0774 108.794359) - (xy 127.0774 108.713259) - (xy 127.073703 108.703102) - (xy 127.068331 108.7) - (xy 126.613259 108.7) - (xy 126.603102 108.703697) - (xy 126.6 108.709069) - (xy 126.6 109.114141) - (xy 126.3 109.114141) - (xy 126.3 108.713259) - (xy 126.296303 108.703102) - (xy 126.290931 108.7) - (xy 125.835859 108.7) - (xy 125.825702 108.703697) - (xy 125.8226 108.709069) - (xy 125.8226 108.794343) - (xy 125.307203 108.794343) - (xy 125.3079 108.790839) - (xy 125.307899 108.509162) - (xy 125.306805 108.503659) - (xy 125.293831 108.438433) - (xy 125.292386 108.431166) - (xy 125.287269 108.423507) - (xy 125.262703 108.386741) - (xy 125.8226 108.386741) - (xy 125.826297 108.396898) - (xy 125.831669 108.4) - (xy 126.286741 108.4) - (xy 126.296898 108.396303) - (xy 126.3 108.390931) - (xy 126.3 108.386741) - (xy 126.6 108.386741) - (xy 126.603697 108.396898) - (xy 126.609069 108.4) - (xy 127.064141 108.4) - (xy 127.074298 108.396303) - (xy 127.0774 108.390931) - (xy 127.0774 108.305657) - (xy 127.077 108.30019) - (xy 127.067694 108.236971) - (xy 127.064269 108.22595) - (xy 127.016041 108.127722) - (xy 127.008906 108.117756) - (xy 126.931729 108.040714) - (xy 126.921743 108.03359) - (xy 126.823428 107.985533) - (xy 126.812416 107.98213) - (xy 126.749795 107.972995) - (xy 126.744359 107.9726) - (xy 126.613259 107.9726) - (xy 126.603102 107.976297) - (xy 126.6 107.981669) - (xy 126.6 108.386741) - (xy 126.3 108.386741) - (xy 126.3 107.985859) - (xy 126.296303 107.975702) - (xy 126.290931 107.9726) - (xy 126.155657 107.9726) - (xy 126.15019 107.973) - (xy 126.086971 107.982306) - (xy 126.07595 107.985731) - (xy 125.977722 108.033959) - (xy 125.967756 108.041094) - (xy 125.890714 108.118271) - (xy 125.88359 108.128257) - (xy 125.835533 108.226572) - (xy 125.83213 108.237584) - (xy 125.822995 108.300205) - (xy 125.8226 108.305641) - (xy 125.8226 108.386741) - (xy 125.262703 108.386741) - (xy 125.237397 108.348869) - (xy 125.233285 108.342715) - (xy 125.188105 108.312526) - (xy 125.157688 108.271043) - (xy 125.161052 108.219714) - (xy 125.188105 108.187473) - (xy 125.1899 108.186274) - (xy 125.233285 108.157285) - (xy 125.292386 108.068834) - (xy 125.293832 108.061567) - (xy 125.307179 107.994464) - (xy 125.3079 107.990839) - (xy 125.307899 107.709162) - (xy 125.30695 107.704387) - (xy 125.298058 107.659682) - (xy 125.292386 107.631166) - (xy 125.287803 107.624306) - (xy 125.234731 107.544879) - (xy 125.222505 107.494913) - (xy 125.245256 107.448778) - (xy 125.297258 107.4279) - (xy 125.377342 107.4279) - (xy 125.411483 107.436097) - (xy 125.416951 107.438883) - (xy 125.422694 107.441809) - (xy 125.428541 107.442735) - (xy 125.515058 107.456438) - (xy 125.51506 107.456438) - (xy 125.517976 107.4569) - (xy 125.537631 107.4569) - (xy 125.538851 107.456996) - (xy 125.544153 107.456996) - (xy 125.55 107.457922) - (xy 125.555847 107.456996) - (xy 125.561149 107.456996) - (xy 125.562369 107.4569) - (xy 125.833888 107.4569) - (xy 125.882226 107.474493) - (xy 125.887016 107.478879) - (xy 125.905617 107.497447) - (xy 125.972377 107.564091) - (xy 125.977959 107.56682) - (xy 125.977961 107.566821) - (xy 126.07642 107.614949) - (xy 126.076422 107.61495) - (xy 126.081666 107.617513) - (xy 126.087443 107.618356) - (xy 126.087444 107.618356) - (xy 126.101305 107.620378) - (xy 126.152869 107.6279) - (xy 126.449078 107.6279) - (xy 126.74713 107.627899) - (xy 126.806935 107.619096) - (xy 126.813172 107.618178) - (xy 126.818953 107.617327) - (xy 126.8242 107.614751) - (xy 126.832662 107.610597) - (xy 126.865803 107.6029) - (xy 126.939833 107.6029) - (xy 126.988171 107.620493) - (xy 126.993007 107.624926) - (xy 127.029929 107.661848) - (xy 127.036414 107.669242) - (xy 127.055667 107.694333) - (xy 127.079811 107.712859) - (xy 127.083525 107.715709) - (xy 127.084986 107.716905) - (xy 127.085872 107.717791) - (xy 127.119764 107.743517) - (xy 127.171164 107.782957) - (xy 127.172399 107.783469) - (xy 127.176028 107.786223) - (xy 127.243864 107.813081) - (xy 127.244944 107.813518) - (xy 127.305664 107.838669) - (xy 127.308805 107.839083) - (xy 127.311234 107.839886) - (xy 127.312107 107.840101) - (xy 127.316875 107.841988) - (xy 127.321975 107.842524) - (xy 127.3853 107.84918) - (xy 127.387254 107.849411) - (xy 127.445113 107.857028) - (xy 127.445116 107.857028) - (xy 127.45 107.857671) - (xy 127.454888 107.857027) - (xy 127.456021 107.857027) - (xy 127.461509 107.857315) - (xy 127.462432 107.857286) - (xy 127.46753 107.857822) - (xy 127.531766 107.846957) - (xy 127.534477 107.84655) - (xy 127.594336 107.838669) - (xy 127.598893 107.836782) - (xy 127.603646 107.835508) - (xy 127.603719 107.835779) - (xy 127.610732 107.833761) - (xy 127.61184 107.833414) - (xy 127.616893 107.832559) - (xy 127.62153 107.830377) - (xy 127.621533 107.830376) - (xy 127.672668 107.806314) - (xy 127.675908 107.804881) - (xy 127.724285 107.784842) - (xy 127.724284 107.784842) - (xy 127.728836 107.782957) - (xy 127.732765 107.779942) - (xy 127.746525 107.77156) - (xy 127.749323 107.770243) - (xy 127.753962 107.76806) - (xy 127.768111 107.755847) - (xy 127.798049 107.730006) - (xy 127.801405 107.727273) - (xy 127.801633 107.727098) - (xy 127.844333 107.694333) - (xy 127.849369 107.68777) - (xy 127.85989 107.676626) - (xy 127.864753 107.672429) - (xy 127.864758 107.672424) - (xy 127.868635 107.669077) - (xy 127.871471 107.664808) - (xy 127.871474 107.664805) - (xy 127.898998 107.623377) - (xy 127.901967 107.619222) - (xy 127.923685 107.590919) - (xy 127.929957 107.582745) - (xy 127.929958 107.582744) - (xy 127.932957 107.578835) - (xy 127.937389 107.568135) - (xy 127.944227 107.555303) - (xy 127.949628 107.547174) - (xy 127.949629 107.547172) - (xy 127.952466 107.542902) - (xy 127.95405 107.538028) - (xy 127.954053 107.538021) - (xy 127.968382 107.493921) - (xy 127.970425 107.488381) - (xy 127.986784 107.448887) - (xy 127.986784 107.448886) - (xy 127.988669 107.444336) - (xy 127.990613 107.429572) - (xy 127.993649 107.416154) - (xy 127.997692 107.40371) - (xy 127.997692 107.403709) - (xy 127.999277 107.398831) - (xy 127.999826 107.385731) - (xy 128.001294 107.350726) - (xy 128.001871 107.344062) - (xy 128.007028 107.304886) - (xy 128.007028 107.304884) - (xy 128.007671 107.3) - (xy 128.005296 107.281961) - (xy 128.004719 107.268995) - (xy 128.004855 107.26576) - (xy 128.005621 107.247478) - (xy 128.004355 107.242078) - (xy 128.002919 107.235956) - (xy 127.995387 107.203844) - (xy 127.994045 107.196498) - (xy 127.99316 107.189771) - (xy 127.988669 107.155664) - (xy 127.982931 107.141812) - (xy 127.980444 107.135808) - (xy 127.976706 107.1242) - (xy 127.973346 107.109875) - (xy 127.971029 107.099995) - (xy 127.951037 107.06363) - (xy 127.947471 107.056204) - (xy 127.932957 107.021165) - (xy 127.92754 107.014105) - (xy 127.91787 107.001502) - (xy 127.911632 106.991951) - (xy 127.899951 106.970703) - (xy 127.899948 106.970698) - (xy 127.898051 106.967248) - (xy 127.894253 106.962848) - (xy 127.89192 106.960144) - (xy 127.891908 106.960132) - (xy 127.891012 106.959093) - (xy 127.870071 106.938152) - (xy 127.863585 106.930757) - (xy 127.847331 106.909574) - (xy 127.844333 106.905667) - (xy 127.836911 106.899972) - (xy 127.819245 106.886416) - (xy 127.81185 106.879931) - (xy 127.600855 106.668937) - (xy 127.598674 106.666663) - (xy 127.559215 106.623751) - (xy 127.559213 106.623749) - (xy 127.555745 106.619978) - (xy 127.551388 106.617277) - (xy 127.551385 106.617274) - (xy 127.516545 106.595672) - (xy 127.510708 106.59166) - (xy 127.478061 106.56688) - (xy 127.478057 106.566878) - (xy 127.473972 106.563777) - (xy 127.469205 106.56189) - (xy 127.469204 106.561889) - (xy 127.455673 106.556532) - (xy 127.443729 106.550525) - (xy 127.431357 106.542854) - (xy 127.426999 106.540152) - (xy 127.422078 106.538722) - (xy 127.422075 106.538721) - (xy 127.382707 106.527284) - (xy 127.376004 106.524989) - (xy 127.350456 106.514874) - (xy 127.333125 106.508012) - (xy 127.328026 106.507476) - (xy 127.313548 106.505954) - (xy 127.300436 106.503382) - (xy 127.281529 106.497889) - (xy 127.270785 106.4971) - (xy 127.23324 106.4971) - (xy 127.22538 106.496688) - (xy 127.21401 106.495493) - (xy 127.182469 106.492178) - (xy 127.177414 106.493033) - (xy 127.159594 106.496047) - (xy 127.147053 106.4971) - (xy 126.9781 106.4971) - (xy 126.929762 106.479507) - (xy 126.904042 106.434958) - (xy 126.9029 106.4219) - (xy 126.9029 106.244328) - (xy 126.903942 106.231852) - (xy 126.906937 106.214049) - (xy 126.90742 106.211179) - (xy 126.907557 106.2) - (xy 126.906757 106.19441) - (xy 126.903056 106.168571) - (xy 126.9029 106.167137) - (xy 126.9029 106.165972) - (xy 126.902107 106.160693) - (xy 126.900083 106.147232) - (xy 126.898349 106.135699) - (xy 126.889166 106.071579) - (xy 126.888482 106.070075) - (xy 126.887768 106.065325) - (xy 126.864423 106.016708) - (xy 126.860893 106.009357) - (xy 126.860227 106.007931) - (xy 126.840584 105.96473) - (xy 126.83547 105.953482) - (xy 126.833075 105.950702) - (xy 126.831864 105.948529) - (xy 126.83125 105.947626) - (xy 126.828816 105.942557) - (xy 126.789021 105.899507) - (xy 126.787309 105.897588) - (xy 126.766819 105.873808) - (xy 126.754285 105.859261) - (xy 126.754283 105.859259) - (xy 126.750787 105.855202) - (xy 126.746289 105.852287) - (xy 126.744595 105.850809) - (xy 126.741082 105.847395) - (xy 126.740187 105.846678) - (xy 126.736371 105.84255) - (xy 126.688827 105.814934) - (xy 126.685696 105.813012) - (xy 126.64642 105.787554) - (xy 126.646416 105.787552) - (xy 126.641923 105.78464) - (xy 126.636789 105.783105) - (xy 126.631928 105.780859) - (xy 126.632019 105.780661) - (xy 126.623735 105.777077) - (xy 126.623472 105.776973) - (xy 126.618607 105.774147) - (xy 126.613133 105.772878) - (xy 126.61313 105.772877) - (xy 126.568587 105.762553) - (xy 126.564021 105.761342) - (xy 126.522768 105.749005) - (xy 126.522766 105.749005) - (xy 126.517631 105.747469) - (xy 126.512272 105.747436) - (xy 126.512271 105.747436) - (xy 126.511486 105.747431) - (xy 126.494977 105.745491) - (xy 126.485936 105.743396) - (xy 126.480329 105.743793) - (xy 126.438393 105.746762) - (xy 126.432623 105.746949) - (xy 126.409031 105.746805) - (xy 126.387902 105.746676) - (xy 126.378407 105.749389) - (xy 126.363055 105.752097) - (xy 126.350087 105.753015) - (xy 126.309617 105.768672) - (xy 126.309061 105.768887) - (xy 126.302592 105.771058) - (xy 126.268319 105.780853) - (xy 126.268317 105.780854) - (xy 126.263166 105.782326) - (xy 126.258637 105.785184) - (xy 126.258632 105.785186) - (xy 126.251662 105.789584) - (xy 126.238669 105.796119) - (xy 126.232423 105.798535) - (xy 126.223072 105.802153) - (xy 126.218659 105.805632) - (xy 126.218657 105.805633) - (xy 126.191406 105.827116) - (xy 126.184979 105.831658) - (xy 126.160038 105.847395) - (xy 126.153448 105.851553) - (xy 126.14198 105.864538) - (xy 126.132176 105.873808) - (xy 126.120537 105.882984) - (xy 126.120535 105.882987) - (xy 126.116121 105.886466) - (xy 126.112928 105.891085) - (xy 126.112925 105.891089) - (xy 126.095292 105.916603) - (xy 126.089796 105.923625) - (xy 126.06757 105.948791) - (xy 126.065294 105.953638) - (xy 126.065293 105.95364) - (xy 126.058628 105.967837) - (xy 126.052419 105.978634) - (xy 126.041885 105.993875) - (xy 126.041882 105.993881) - (xy 126.038689 105.998501) - (xy 126.035474 106.008666) - (xy 126.028757 106.029906) - (xy 126.025128 106.039189) - (xy 126.012436 106.066223) - (xy 126.011612 106.071516) - (xy 126.008627 106.090687) - (xy 126.006022 106.101794) - (xy 125.998916 106.124261) - (xy 125.998915 106.124265) - (xy 125.997623 106.128351) - (xy 125.9971 106.134996) - (xy 125.9971 106.158897) - (xy 125.996205 106.170466) - (xy 125.992477 106.19441) - (xy 125.993171 106.199718) - (xy 125.993171 106.19972) - (xy 125.996465 106.224908) - (xy 125.9971 106.234658) - (xy 125.9971 106.480816) - (xy 125.979507 106.529154) - (xy 125.971829 106.536263) - (xy 125.971851 106.536285) - (xy 125.894358 106.613914) - (xy 125.887256 106.621028) - (xy 125.840655 106.642808) - (xy 125.834035 106.6431) - (xy 125.562369 106.6431) - (xy 125.561149 106.643004) - (xy 125.555847 106.643004) - (xy 125.55 106.642078) - (xy 125.544153 106.643004) - (xy 125.538851 106.643004) - (xy 125.537631 106.6431) - (xy 125.517976 106.6431) - (xy 125.51506 106.643562) - (xy 125.515058 106.643562) - (xy 125.473943 106.650074) - (xy 125.422694 106.658191) - (xy 125.417419 106.660879) - (xy 125.417418 106.660879) - (xy 125.411483 106.663903) - (xy 125.377342 106.6721) - (xy 125.297258 106.6721) - (xy 125.24892 106.654507) - (xy 125.2232 106.609958) - (xy 125.234731 106.555121) - (xy 125.248135 106.53506) - (xy 125.292386 106.468834) - (xy 125.293832 106.461567) - (xy 125.307179 106.394464) - (xy 125.3079 106.390839) - (xy 125.307899 106.109162) - (xy 125.306808 106.103673) - (xy 125.297089 106.054813) - (xy 125.292386 106.031166) - (xy 125.287211 106.02342) - (xy 125.237397 105.948869) - (xy 125.233285 105.942715) - (xy 125.188105 105.912526) - (xy 125.157688 105.871043) - (xy 125.161052 105.819714) - (xy 125.188105 105.787473) - (xy 125.188574 105.78716) - (xy 125.233285 105.757285) - (xy 125.292386 105.668834) - (xy 125.293832 105.661567) - (xy 125.307179 105.594464) - (xy 125.3079 105.590839) - (xy 125.307899 105.309162) - (xy 125.305864 105.298927) - (xy 125.296091 105.249794) - (xy 125.292386 105.231166) - (xy 125.286645 105.222573) - (xy 125.237397 105.148869) - (xy 125.233285 105.142715) - (xy 125.216812 105.131708) - (xy 125.187655 105.112226) - (xy 125.157238 105.070742) - (xy 125.160603 105.019412) - (xy 125.187655 104.987173) - (xy 125.226767 104.961039) - (xy 125.237036 104.95077) - (xy 125.2878 104.874795) - (xy 125.293359 104.861376) - (xy 125.30298 104.813006) - (xy 125.301336 104.802321) - (xy 125.29872 104.800028) - (xy 125.298572 104.8) - (xy 123.507693 104.8) - (xy 123.497536 104.803697) - (xy 123.495797 104.806709) - (xy 123.495799 104.806861) - (xy 123.506641 104.861372) - (xy 123.512201 104.874798) - (xy 123.562964 104.95077) - (xy 123.573233 104.961039) - (xy 123.612345 104.987173) - (xy 123.642762 105.028656) - (xy 123.639397 105.079986) - (xy 123.612345 105.112226) - (xy 123.583188 105.131708) - (xy 123.566715 105.142715) - (xy 123.562603 105.148869) - (xy 123.536003 105.188679) - (xy 123.494519 105.219096) - (xy 123.473476 105.2221) - (xy 122.9831 105.2221) - (xy 122.934762 105.204507) - (xy 122.916096 105.18104) - (xy 122.882705 105.115506) - (xy 122.882704 105.115505) - (xy 122.880016 105.110229) - (xy 122.789771 105.019984) - (xy 122.753836 105.001674) - (xy 122.731173 104.990127) - (xy 122.676055 104.962043) - (xy 122.590181 104.948442) - (xy 122.555847 104.943004) - (xy 122.55 104.942078) - (xy 122.544153 104.943004) - (xy 122.509819 104.948442) - (xy 122.423945 104.962043) - (xy 122.368827 104.990127) - (xy 122.346165 105.001674) - (xy 122.310229 105.019984) - (xy 122.219984 105.110229) - (xy 122.217296 105.115505) - (xy 122.217295 105.115506) - (xy 122.211439 105.127) - (xy 122.162043 105.223945) - (xy 122.161117 105.229792) - (xy 122.147961 105.312859) - (xy 122.142078 105.35) - (xy 122.143004 105.355847) - (xy 122.144411 105.36473) - (xy 122.162043 105.476055) - (xy 122.179908 105.511117) - (xy 122.216326 105.582591) - (xy 122.219984 105.589771) - (xy 122.310229 105.680016) - (xy 122.315505 105.682704) - (xy 122.315506 105.682705) - (xy 122.340604 105.695493) - (xy 122.423945 105.737957) - (xy 122.464221 105.744336) - (xy 122.536899 105.755847) - (xy 122.55 105.757922) - (xy 122.563102 105.755847) - (xy 122.635779 105.744336) - (xy 122.676055 105.737957) - (xy 122.777839 105.686096) - (xy 122.811978 105.6779) - (xy 123.473476 105.6779) - (xy 123.521814 105.695493) - (xy 123.536003 105.711321) - (xy 123.561183 105.749005) - (xy 123.566715 105.757285) - (xy 123.611427 105.78716) - (xy 123.611895 105.787473) - (xy 123.642312 105.828957) - (xy 123.638948 105.880286) - (xy 123.611896 105.912526) - (xy 123.566715 105.942715) - (xy 123.562603 105.948869) - (xy 123.536003 105.988679) - (xy 123.494519 106.019096) - (xy 123.473476 106.0221) - (xy 122.820788 106.0221) - (xy 122.786648 106.013904) - (xy 122.755715 105.998143) - (xy 122.677306 105.958191) - (xy 122.578861 105.942599) - (xy 122.555847 105.938954) - (xy 122.55 105.938028) - (xy 122.544153 105.938954) - (xy 122.521139 105.942599) - (xy 122.422694 105.958191) - (xy 122.361477 105.989383) - (xy 122.325075 106.007931) - (xy 122.307849 106.016708) - (xy 122.216708 106.107849) - (xy 122.21402 106.113125) - (xy 122.214019 106.113126) - (xy 122.189782 106.160693) - (xy 122.158191 106.222694) - (xy 122.138028 106.35) - (xy 122.158191 106.477306) - (xy 122.171477 106.503381) - (xy 122.212807 106.584494) - (xy 122.216708 106.592151) - (xy 122.307849 106.683292) - (xy 122.313125 106.68598) - (xy 122.313126 106.685981) - (xy 122.344102 106.701764) - (xy 122.422694 106.741809) - (xy 122.467257 106.748867) - (xy 122.53796 106.760065) - (xy 122.55 106.761972) - (xy 122.562041 106.760065) - (xy 122.632743 106.748867) - (xy 122.677306 106.741809) - (xy 122.755898 106.701764) - (xy 122.786874 106.685981) - (xy 122.786875 106.68598) - (xy 122.792151 106.683292) - (xy 122.883292 106.592151) - (xy 122.887194 106.584494) - (xy 122.920585 106.51896) - (xy 122.958206 106.483878) - (xy 122.987589 106.4779) - (xy 123.473476 106.4779) - (xy 123.521814 106.495493) - (xy 123.536003 106.511321) - (xy 123.565269 106.555121) - (xy 123.577495 106.605087) - (xy 123.554744 106.651222) - (xy 123.502742 106.6721) - (xy 123.413847 106.6721) - (xy 123.387234 106.66571) - (xy 123.386958 106.666559) - (xy 123.381329 106.66473) - (xy 123.376055 106.662043) - (xy 123.300018 106.65) - (xy 123.255847 106.643004) - (xy 123.25 106.642078) - (xy 123.244153 106.643004) - (xy 123.199982 106.65) - (xy 123.123945 106.662043) - (xy 123.080707 106.684074) - (xy 123.017968 106.716041) - (xy 123.010229 106.719984) - (xy 122.919984 106.810229) - (xy 122.862043 106.923945) - (xy 122.854006 106.974686) - (xy 122.844302 107.035961) - (xy 122.842078 107.05) - (xy 122.843004 107.055847) - (xy 122.847702 107.085509) - (xy 122.862043 107.176055) - (xy 122.881052 107.213363) - (xy 122.916384 107.282705) - (xy 122.919984 107.289771) - (xy 123.010229 107.380016) - (xy 123.015505 107.382704) - (xy 123.015506 107.382705) - (xy 123.056731 107.40371) - (xy 123.123945 107.437957) - (xy 123.171526 107.445493) - (xy 123.20122 107.450196) - (xy 123.25 107.457922) - (xy 123.298781 107.450196) - (xy 123.328474 107.445493) - (xy 123.376055 107.437957) - (xy 123.381329 107.43527) - (xy 123.386958 107.433441) - (xy 123.387234 107.43429) - (xy 123.413847 107.4279) - (xy 123.502742 107.4279) - (xy 123.55108 107.445493) - (xy 123.5768 107.490042) - (xy 123.565269 107.544879) - (xy 123.536003 107.588679) - (xy 123.494519 107.619096) - (xy 123.473476 107.6221) - (xy 122.9831 107.6221) - (xy 122.934762 107.604507) - (xy 122.916096 107.58104) - (xy 122.882705 107.515506) - (xy 122.882704 107.515505) - (xy 122.880016 107.510229) - (xy 122.789771 107.419984) - (xy 122.782255 107.416154) - (xy 122.727444 107.388227) - (xy 122.676055 107.362043) - (xy 122.55 107.342078) - (xy 122.423945 107.362043) - (xy 122.372556 107.388227) - (xy 122.317746 107.416154) - (xy 122.310229 107.419984) - (xy 122.219984 107.510229) - (xy 122.217296 107.515505) - (xy 122.217295 107.515506) - (xy 122.205823 107.538021) - (xy 122.162043 107.623945) - (xy 122.15699 107.655847) - (xy 122.143088 107.743626) - (xy 122.142078 107.75) - (xy 122.162043 107.876055) - (xy 122.176282 107.904) - (xy 122.216384 107.982705) - (xy 122.219984 107.989771) - (xy 122.310229 108.080016) - (xy 122.315505 108.082704) - (xy 122.315506 108.082705) - (xy 122.337743 108.094035) - (xy 122.423945 108.137957) - (xy 122.55 108.157922) - (xy 122.676055 108.137957) - (xy 122.777839 108.086096) - (xy 122.811978 108.0779) - (xy 123.473476 108.0779) - (xy 123.521814 108.095493) - (xy 123.536003 108.111321) - (xy 123.553801 108.137957) - (xy 123.566715 108.157285) - (xy 123.610101 108.186274) - (xy 123.611895 108.187473) - (xy 123.642312 108.228957) - (xy 123.638948 108.280286) - (xy 123.611896 108.312526) - (xy 123.566715 108.342715) - (xy 123.562603 108.348869) - (xy 123.536003 108.388679) - (xy 123.494519 108.419096) - (xy 123.473476 108.4221) - (xy 122.820788 108.4221) - (xy 122.786648 108.413904) - (xy 122.738484 108.389363) - (xy 122.677306 108.358191) - (xy 122.55 108.338028) - (xy 122.422694 108.358191) - (xy 122.361516 108.389363) - (xy 122.320565 108.410229) - (xy 122.307849 108.416708) - (xy 122.216708 108.507849) - (xy 122.21402 108.513125) - (xy 122.214019 108.513126) - (xy 122.200443 108.539771) - (xy 122.158191 108.622694) - (xy 122.157265 108.628541) - (xy 122.14081 108.732438) - (xy 122.138028 108.75) - (xy 115.157922 108.75) - (xy 115.155141 108.732438) - (xy 115.139628 108.634494) - (xy 115.137957 108.623945) - (xy 115.09238 108.534494) - (xy 115.082705 108.515506) - (xy 115.082704 108.515505) - (xy 115.080016 108.510229) - (xy 114.989771 108.419984) - (xy 114.983342 108.416708) - (xy 114.903555 108.376055) - (xy 114.876055 108.362043) - (xy 114.75 108.342078) - (xy 114.623945 108.362043) - (xy 114.522162 108.413904) - (xy 114.488022 108.4221) - (xy 113.826524 108.4221) - (xy 113.778186 108.404507) - (xy 113.763997 108.388679) - (xy 113.737397 108.348869) - (xy 113.733285 108.342715) - (xy 113.688105 108.312526) - (xy 113.657688 108.271043) - (xy 113.659067 108.25) - (xy 118.242078 108.25) - (xy 118.262043 108.376055) - (xy 118.281328 108.413904) - (xy 118.304258 108.458906) - (xy 118.319984 108.489771) - (xy 118.410229 108.580016) - (xy 118.523945 108.637957) - (xy 118.561853 108.643961) - (xy 118.640018 108.656341) - (xy 118.65 108.657922) - (xy 118.659983 108.656341) - (xy 118.738147 108.643961) - (xy 118.776055 108.637957) - (xy 118.889771 108.580016) - (xy 118.980016 108.489771) - (xy 118.995743 108.458906) - (xy 119.018672 108.413904) - (xy 119.037957 108.376055) - (xy 119.057922 108.25) - (xy 119.054351 108.22745) - (xy 119.038883 108.129792) - (xy 119.037957 108.123945) - (xy 118.996244 108.042078) - (xy 118.982705 108.015506) - (xy 118.982704 108.015505) - (xy 118.980016 108.010229) - (xy 118.889771 107.919984) - (xy 118.881668 107.915855) - (xy 118.803555 107.876055) - (xy 118.776055 107.862043) - (xy 118.65 107.842078) - (xy 118.523945 107.862043) - (xy 118.496445 107.876055) - (xy 118.418333 107.915855) - (xy 118.410229 107.919984) - (xy 118.319984 108.010229) - (xy 118.317296 108.015505) - (xy 118.317295 108.015506) - (xy 118.303756 108.042078) - (xy 118.262043 108.123945) - (xy 118.261117 108.129792) - (xy 118.24565 108.22745) - (xy 118.242078 108.25) - (xy 113.659067 108.25) - (xy 113.661052 108.219714) - (xy 113.688105 108.187473) - (xy 113.6899 108.186274) - (xy 113.733285 108.157285) - (xy 113.7462 108.137957) - (xy 113.763997 108.111321) - (xy 113.805481 108.080904) - (xy 113.826524 108.0779) - (xy 114.488022 108.0779) - (xy 114.522161 108.086096) - (xy 114.623945 108.137957) - (xy 114.75 108.157922) - (xy 114.876055 108.137957) - (xy 114.962257 108.094035) - (xy 114.984494 108.082705) - (xy 114.984495 108.082704) - (xy 114.989771 108.080016) - (xy 115.080016 107.989771) - (xy 115.083617 107.982705) - (xy 115.123718 107.904) - (xy 115.137957 107.876055) - (xy 115.157922 107.75) - (xy 115.156913 107.743626) - (xy 115.14301 107.655847) - (xy 115.137957 107.623945) - (xy 115.094177 107.538021) - (xy 115.082705 107.515506) - (xy 115.082704 107.515505) - (xy 115.080016 107.510229) - (xy 114.989771 107.419984) - (xy 114.982255 107.416154) - (xy 114.927444 107.388227) - (xy 114.876055 107.362043) - (xy 114.75 107.342078) - (xy 114.623945 107.362043) - (xy 114.572556 107.388227) - (xy 114.517746 107.416154) - (xy 114.510229 107.419984) - (xy 114.419984 107.510229) - (xy 114.417296 107.515505) - (xy 114.417295 107.515506) - (xy 114.383904 107.58104) - (xy 114.346283 107.616122) - (xy 114.3169 107.6221) - (xy 113.826524 107.6221) - (xy 113.778186 107.604507) - (xy 113.763997 107.588679) - (xy 113.737397 107.548869) - (xy 113.733285 107.542715) - (xy 113.692564 107.515506) - (xy 113.687655 107.512226) - (xy 113.657238 107.470742) - (xy 113.660603 107.419412) - (xy 113.687655 107.387173) - (xy 113.726767 107.361039) - (xy 113.737036 107.35077) - (xy 113.7878 107.274795) - (xy 113.793359 107.261376) - (xy 113.80298 107.213006) - (xy 113.801336 107.202321) - (xy 113.79872 107.200028) - (xy 113.798572 107.2) - (xy 112.007693 107.2) - (xy 111.997536 107.203697) - (xy 111.995797 107.206709) - (xy 111.995799 107.206861) - (xy 112.006641 107.261372) - (xy 112.012201 107.274798) - (xy 112.062964 107.35077) - (xy 112.073233 107.361039) - (xy 112.112345 107.387173) - (xy 112.142762 107.428656) - (xy 112.139397 107.479986) - (xy 112.112345 107.512226) - (xy 112.107436 107.515506) - (xy 112.066715 107.542715) - (xy 112.007614 107.631166) - (xy 112.00617 107.638428) - (xy 112.006169 107.638429) - (xy 111.995826 107.690427) - (xy 111.9921 107.709161) - (xy 111.992101 107.990838) - (xy 111.992822 107.994461) - (xy 111.992822 107.994465) - (xy 111.995124 108.006039) - (xy 112.007614 108.068834) - (xy 112.011728 108.074992) - (xy 112.011729 108.074993) - (xy 112.041791 108.119984) - (xy 112.066715 108.157285) - (xy 112.110101 108.186274) - (xy 112.111895 108.187473) - (xy 112.142312 108.228957) - (xy 112.138948 108.280286) - (xy 112.111896 108.312526) - (xy 112.066715 108.342715) - (xy 112.007614 108.431166) - (xy 112.00617 108.438428) - (xy 112.006169 108.438429) - (xy 111.995957 108.489771) - (xy 111.9921 108.509161) - (xy 111.992101 108.790838) - (xy 111.992822 108.794461) - (xy 111.992822 108.794465) - (xy 111.996326 108.812079) - (xy 112.007614 108.868834) - (xy 112.011728 108.874992) - (xy 112.011729 108.874993) - (xy 112.065269 108.955121) - (xy 112.077495 109.005087) - (xy 112.054744 109.051222) - (xy 112.002742 109.0721) - (xy 111.922658 109.0721) - (xy 111.888517 109.063903) - (xy 111.882582 109.060879) - (xy 111.882581 109.060879) - (xy 111.877306 109.058191) - (xy 111.791028 109.044526) - (xy 111.784942 109.043562) - (xy 111.78494 109.043562) - (xy 111.782024 109.0431) - (xy 111.762369 109.0431) - (xy 111.761149 109.043004) - (xy 111.755847 109.043004) - (xy 111.75 109.042078) - (xy 111.744153 109.043004) - (xy 111.738851 109.043004) - (xy 111.737631 109.0431) - (xy 111.466112 109.0431) - (xy 111.417774 109.025507) - (xy 111.412984 109.021121) - (xy 111.381579 108.989771) - (xy 111.327623 108.935909) - (xy 111.322041 108.93318) - (xy 111.322039 108.933179) - (xy 111.22358 108.885051) - (xy 111.223578 108.88505) - (xy 111.218334 108.882487) - (xy 111.212557 108.881644) - (xy 111.212556 108.881644) - (xy 111.198695 108.879622) - (xy 111.147131 108.8721) - (xy 110.850922 108.8721) - (xy 110.55287 108.872101) - (xy 110.494315 108.88072) - (xy 110.488038 108.881644) - (xy 110.481047 108.882673) - (xy 110.448314 108.898744) - (xy 110.377427 108.933547) - (xy 110.377425 108.933548) - (xy 110.371851 108.936285) - (xy 110.367464 108.940679) - (xy 110.367462 108.940681) - (xy 110.342681 108.965506) - (xy 110.285909 109.022377) - (xy 110.28318 109.027959) - (xy 110.283179 109.027961) - (xy 110.235642 109.125211) - (xy 110.232487 109.131666) - (xy 110.2221 109.202869) - (xy 109.350457 109.202869) - (xy 109.337957 109.123945) - (xy 109.297366 109.04428) - (xy 109.282705 109.015506) - (xy 109.282704 109.015505) - (xy 109.280016 109.010229) - (xy 109.189771 108.919984) - (xy 109.076055 108.862043) - (xy 108.95 108.842078) - (xy 108.823945 108.862043) - (xy 108.710229 108.919984) - (xy 108.619984 109.010229) - (xy 108.617296 109.015505) - (xy 108.617295 109.015506) - (xy 108.602634 109.04428) - (xy 108.562043 109.123945) - (xy 108.542078 109.25) - (xy 108.562043 109.376055) - (xy 108.56473 109.381328) - (xy 108.564731 109.381332) - (xy 108.5696 109.390888) - (xy 108.575868 109.441944) - (xy 108.547852 109.485085) - (xy 108.5 109.498807) - (xy 108.5 109.5) - (xy 108.221897 109.5) - (xy 108.207227 109.498555) - (xy 108.203538 109.497821) - (xy 108.203533 109.497821) - (xy 108.199911 109.4971) - (xy 107.950034 109.4971) - (xy 107.70009 109.497101) - (xy 107.696467 109.497822) - (xy 107.696463 109.497822) - (xy 107.69278 109.498555) - (xy 107.67811 109.5) - (xy 107.631148 109.5) - (xy 107.58281 109.482407) - (xy 107.577974 109.477974) - (xy 106.55 108.45) - (xy 107.692078 108.45) - (xy 107.693004 108.455847) - (xy 107.693549 108.459286) - (xy 107.712043 108.576055) - (xy 107.725731 108.602919) - (xy 107.761457 108.673035) - (xy 107.769984 108.689771) - (xy 107.860229 108.780016) - (xy 107.865505 108.782704) - (xy 107.865506 108.782705) - (xy 107.899077 108.79981) - (xy 107.973945 108.837957) - (xy 108.1 108.857922) - (xy 108.226055 108.837957) - (xy 108.300923 108.79981) - (xy 108.334494 108.782705) - (xy 108.334495 108.782704) - (xy 108.339771 108.780016) - (xy 108.430016 108.689771) - (xy 108.438544 108.673035) - (xy 108.474269 108.602919) - (xy 108.487957 108.576055) - (xy 108.506451 108.459286) - (xy 108.506996 108.455847) - (xy 108.507922 108.45) - (xy 108.487957 108.323945) - (xy 108.442991 108.235693) - (xy 108.432705 108.215506) - (xy 108.432704 108.215505) - (xy 108.430016 108.210229) - (xy 108.41413 108.194343) - (xy 110.2226 108.194343) - (xy 110.223 108.19981) - (xy 110.232306 108.263029) - (xy 110.235731 108.27405) - (xy 110.283959 108.372278) - (xy 110.291094 108.382244) - (xy 110.368271 108.459286) - (xy 110.378257 108.46641) - (xy 110.476572 108.514467) - (xy 110.487584 108.51787) - (xy 110.550205 108.527005) - (xy 110.555641 108.5274) - (xy 110.686741 108.5274) - (xy 110.696898 108.523703) - (xy 110.7 108.518331) - (xy 110.7 108.514141) - (xy 111 108.514141) - (xy 111.003697 108.524298) - (xy 111.009069 108.5274) - (xy 111.144343 108.5274) - (xy 111.14981 108.527) - (xy 111.213029 108.517694) - (xy 111.22405 108.514269) - (xy 111.322278 108.466041) - (xy 111.332244 108.458906) - (xy 111.409286 108.381729) - (xy 111.41641 108.371743) - (xy 111.464467 108.273428) - (xy 111.46787 108.262416) - (xy 111.477005 108.199795) - (xy 111.4774 108.194359) - (xy 111.4774 108.113259) - (xy 111.473703 108.103102) - (xy 111.468331 108.1) - (xy 111.013259 108.1) - (xy 111.003102 108.103697) - (xy 111 108.109069) - (xy 111 108.514141) - (xy 110.7 108.514141) - (xy 110.7 108.113259) - (xy 110.696303 108.103102) - (xy 110.690931 108.1) - (xy 110.235859 108.1) - (xy 110.225702 108.103697) - (xy 110.2226 108.109069) - (xy 110.2226 108.194343) - (xy 108.41413 108.194343) - (xy 108.339771 108.119984) - (xy 108.33294 108.116503) - (xy 108.284975 108.092064) - (xy 108.226055 108.062043) - (xy 108.1 108.042078) - (xy 107.973945 108.062043) - (xy 107.915025 108.092064) - (xy 107.867061 108.116503) - (xy 107.860229 108.119984) - (xy 107.769984 108.210229) - (xy 107.767296 108.215505) - (xy 107.767295 108.215506) - (xy 107.757009 108.235693) - (xy 107.712043 108.323945) - (xy 107.692078 108.45) - (xy 106.55 108.45) - (xy 106.35 108.25) - (xy 104.0752 108.25) - (xy 104.026862 108.232407) - (xy 104.001142 108.187858) - (xy 104 108.1748) - (xy 104 107.9752) - (xy 104.017593 107.926862) - (xy 104.062142 107.901142) - (xy 104.0752 107.9) - (xy 104.65 107.9) - (xy 105.127974 107.422026) - (xy 105.174594 107.400286) - (xy 105.181148 107.4) - (xy 107.678103 107.4) - (xy 107.692773 107.401445) - (xy 107.696462 107.402179) - (xy 107.696467 107.402179) - (xy 107.700089 107.4029) - (xy 107.949966 107.4029) - (xy 108.19991 107.402899) - (xy 108.203533 107.402178) - (xy 108.203537 107.402178) - (xy 108.20722 107.401445) - (xy 108.22189 107.4) - (xy 108.502481 107.4) - (xy 108.550819 107.417593) - (xy 108.576539 107.462142) - (xy 108.569484 107.509341) - (xy 108.562043 107.523945) - (xy 108.557076 107.555303) - (xy 108.545475 107.628555) - (xy 108.542078 107.65) - (xy 108.543004 107.655847) - (xy 108.544009 107.662194) - (xy 108.562043 107.776055) - (xy 108.577461 107.806314) - (xy 108.60327 107.856967) - (xy 108.619984 107.889771) - (xy 108.710229 107.980016) - (xy 108.715505 107.982704) - (xy 108.715506 107.982705) - (xy 108.738459 107.9944) - (xy 108.823945 108.037957) - (xy 108.95 108.057922) - (xy 109.076055 108.037957) - (xy 109.161541 107.9944) - (xy 109.184494 107.982705) - (xy 109.184495 107.982704) - (xy 109.189771 107.980016) - (xy 109.280016 107.889771) - (xy 109.296731 107.856967) - (xy 109.322539 107.806314) - (xy 109.332512 107.786741) - (xy 110.2226 107.786741) - (xy 110.226297 107.796898) - (xy 110.231669 107.8) - (xy 110.686741 107.8) - (xy 110.696898 107.796303) - (xy 110.7 107.790931) - (xy 110.7 107.786741) - (xy 111 107.786741) - (xy 111.003697 107.796898) - (xy 111.009069 107.8) - (xy 111.464141 107.8) - (xy 111.474298 107.796303) - (xy 111.4774 107.790931) - (xy 111.4774 107.705657) - (xy 111.477 107.70019) - (xy 111.467694 107.636971) - (xy 111.464269 107.62595) - (xy 111.416041 107.527722) - (xy 111.408906 107.517756) - (xy 111.331729 107.440714) - (xy 111.321743 107.43359) - (xy 111.223428 107.385533) - (xy 111.212416 107.38213) - (xy 111.149795 107.372995) - (xy 111.144359 107.3726) - (xy 111.013259 107.3726) - (xy 111.003102 107.376297) - (xy 111 107.381669) - (xy 111 107.786741) - (xy 110.7 107.786741) - (xy 110.7 107.385859) - (xy 110.696303 107.375702) - (xy 110.690931 107.3726) - (xy 110.555657 107.3726) - (xy 110.55019 107.373) - (xy 110.486971 107.382306) - (xy 110.47595 107.385731) - (xy 110.377722 107.433959) - (xy 110.367756 107.441094) - (xy 110.290714 107.518271) - (xy 110.28359 107.528257) - (xy 110.235533 107.626572) - (xy 110.23213 107.637584) - (xy 110.222995 107.700205) - (xy 110.2226 107.705641) - (xy 110.2226 107.786741) - (xy 109.332512 107.786741) - (xy 109.337957 107.776055) - (xy 109.355991 107.662194) - (xy 109.356996 107.655847) - (xy 109.357922 107.65) - (xy 109.354526 107.628555) - (xy 109.342924 107.555303) - (xy 109.337957 107.523945) - (xy 109.30038 107.450196) - (xy 109.294111 107.39914) - (xy 109.325605 107.35353) - (xy 109.351174 107.336445) - (xy 109.357331 107.332331) - (xy 109.361445 107.326174) - (xy 109.409112 107.254836) - (xy 109.409113 107.254835) - (xy 109.413227 107.248677) - (xy 109.4279 107.174911) - (xy 109.428762 107.175082) - (xy 109.449449 107.131961) - (xy 109.496306 107.110736) - (xy 109.536176 107.118713) - (xy 109.562717 107.132236) - (xy 109.573945 107.137957) - (xy 109.7 107.157922) - (xy 109.826055 107.137957) - (xy 109.920371 107.089901) - (xy 109.934494 107.082705) - (xy 109.934495 107.082704) - (xy 109.939771 107.080016) - (xy 110.030016 106.989771) - (xy 110.034264 106.981435) - (xy 110.063556 106.923945) - (xy 110.087957 106.876055) - (xy 110.106026 106.761972) - (xy 110.106996 106.755847) - (xy 110.107922 106.75) - (xy 110.087957 106.623945) - (xy 110.053673 106.556659) - (xy 110.032705 106.515506) - (xy 110.032704 106.515505) - (xy 110.030016 106.510229) - (xy 109.939771 106.419984) - (xy 109.826055 106.362043) - (xy 109.734524 106.347546) - (xy 109.705847 106.343004) - (xy 109.7 106.342078) - (xy 109.665477 106.347546) - (xy 109.614984 106.337731) - (xy 109.597828 106.323589) - (xy 109.592237 106.317379) - (xy 109.586949 106.311506) - (xy 109.582436 106.309496) - (xy 109.554678 106.268345) - (xy 109.560054 106.217187) - (xy 109.578187 106.196694) - (xy 109.576896 106.195403) - (xy 109.59428 106.178019) - (xy 109.603252 106.170356) - (xy 109.609418 106.165876) - (xy 109.658865 106.151696) - (xy 109.665374 106.152438) - (xy 109.7 106.157922) - (xy 109.708215 106.156621) - (xy 109.763027 106.14794) - (xy 109.826055 106.137957) - (xy 109.911172 106.094588) - (xy 109.934494 106.082705) - (xy 109.934495 106.082704) - (xy 109.939771 106.080016) - (xy 110.030016 105.989771) - (xy 110.038309 105.973496) - (xy 110.076485 105.89857) - (xy 110.087957 105.876055) - (xy 110.101987 105.787473) - (xy 110.106996 105.755847) - (xy 110.107922 105.75) - (xy 110.087957 105.623945) - (xy 110.030016 105.510229) - (xy 109.939771 105.419984) - (xy 109.826055 105.362043) - (xy 109.750018 105.35) - (xy 109.705847 105.343004) - (xy 109.7 105.342078) - (xy 109.694153 105.343004) - (xy 109.649982 105.35) - (xy 109.573945 105.362043) - (xy 109.536174 105.381288) - (xy 109.485119 105.387557) - (xy 109.441978 105.359541) - (xy 109.428489 105.324973) - (xy 109.427899 105.32509) - (xy 109.427238 105.321764) - (xy 109.427237 105.321761) - (xy 109.413227 105.251323) - (xy 109.357331 105.167669) - (xy 109.325605 105.14647) - (xy 109.295188 105.104987) - (xy 109.30038 105.049804) - (xy 109.305823 105.039121) - (xy 109.337957 104.976055) - (xy 109.356121 104.861372) - (xy 109.356996 104.855847) - (xy 109.357922 104.85) - (xy 109.354915 104.831011) - (xy 109.347244 104.782579) - (xy 109.337957 104.723945) - (xy 109.30028 104.65) - (xy 109.538028 104.65) - (xy 109.538954 104.655847) - (xy 109.542009 104.675135) - (xy 109.558191 104.777306) - (xy 109.583404 104.826788) - (xy 109.612807 104.884494) - (xy 109.616708 104.892151) - (xy 109.707849 104.983292) - (xy 109.713125 104.98598) - (xy 109.713126 104.985981) - (xy 109.743925 105.001674) - (xy 109.822694 105.041809) - (xy 109.845954 105.045493) - (xy 109.915058 105.056438) - (xy 109.91506 105.056438) - (xy 109.917976 105.0569) - (xy 109.937631 105.0569) - (xy 109.938851 105.056996) - (xy 109.944153 105.056996) - (xy 109.95 105.057922) - (xy 109.955847 105.056996) - (xy 109.961149 105.056996) - (xy 109.962369 105.0569) - (xy 110.108957 105.0569) - (xy 110.157295 105.074493) - (xy 110.18077 105.109786) - (xy 110.181822 105.113172) - (xy 110.182673 105.118953) - (xy 110.185248 105.124197) - (xy 110.185248 105.124198) - (xy 110.227734 105.210732) - (xy 110.236285 105.228149) - (xy 110.240679 105.232536) - (xy 110.240681 105.232538) - (xy 110.257968 105.249794) - (xy 110.271976 105.263777) - (xy 110.275028 105.266824) - (xy 110.296808 105.313425) - (xy 110.2971 105.320045) - (xy 110.2971 105.558829) - (xy 110.296457 105.568644) - (xy 110.292329 105.6) - (xy 110.292972 105.604884) - (xy 110.296913 105.634822) - (xy 110.2971 105.636697) - (xy 110.2971 105.637946) - (xy 110.302863 105.680016) - (xy 110.309433 105.729916) - (xy 110.311331 105.744336) - (xy 110.311843 105.745572) - (xy 110.312461 105.750084) - (xy 110.337345 105.807587) - (xy 110.341452 105.817079) - (xy 110.341912 105.818166) - (xy 110.357406 105.855571) - (xy 110.367043 105.878835) - (xy 110.368973 105.88135) - (xy 110.370125 105.88364) - (xy 110.370584 105.884399) - (xy 110.372623 105.88911) - (xy 110.397862 105.920277) - (xy 110.415938 105.942599) - (xy 110.417137 105.94412) - (xy 110.455667 105.994333) - (xy 110.459574 105.997331) - (xy 110.460386 105.998143) - (xy 110.464066 106.002229) - (xy 110.464731 106.002854) - (xy 110.467956 106.006836) - (xy 110.47213 106.009802) - (xy 110.472136 106.009808) - (xy 110.521079 106.04459) - (xy 110.523296 106.046227) - (xy 110.567256 106.079959) - (xy 110.56726 106.079961) - (xy 110.571164 106.082957) - (xy 110.575711 106.084841) - (xy 110.579987 106.087309) - (xy 110.579847 106.087551) - (xy 110.586217 106.091075) - (xy 110.587256 106.091618) - (xy 110.591435 106.094588) - (xy 110.609806 106.101202) - (xy 110.649439 106.115471) - (xy 110.652744 106.116749) - (xy 110.70111 106.136783) - (xy 110.701112 106.136784) - (xy 110.705664 106.138669) - (xy 110.710571 106.139315) - (xy 110.726236 106.143119) - (xy 110.733965 106.145902) - (xy 110.739078 106.146278) - (xy 110.73908 106.146278) - (xy 110.792047 106.150168) - (xy 110.796354 106.150609) - (xy 110.845114 106.157028) - (xy 110.845116 106.157028) - (xy 110.85 106.157671) - (xy 110.858196 106.156592) - (xy 110.873515 106.15615) - (xy 110.885043 106.156997) - (xy 110.890067 106.155984) - (xy 110.890068 106.155984) - (xy 110.938826 106.146153) - (xy 110.943873 106.145313) - (xy 110.989453 106.139312) - (xy 110.989454 106.139312) - (xy 110.994336 106.138669) - (xy 110.998886 106.136784) - (xy 110.998891 106.136783) - (xy 111.005035 106.134238) - (xy 111.018946 106.129998) - (xy 111.028517 106.128068) - (xy 111.028518 106.128068) - (xy 111.03354 106.127055) - (xy 111.038105 106.124729) - (xy 111.038108 106.124728) - (xy 111.079432 106.103673) - (xy 111.084792 106.101202) - (xy 111.124281 106.084844) - (xy 111.124282 106.084843) - (xy 111.128836 106.082957) - (xy 111.132741 106.07996) - (xy 111.132747 106.079957) - (xy 111.140648 106.073894) - (xy 111.152287 106.06655) - (xy 111.163945 106.06061) - (xy 111.168514 106.058282) - (xy 111.203964 106.025684) - (xy 111.20908 106.021384) - (xy 111.240426 105.997331) - (xy 111.244333 105.994333) - (xy 111.255409 105.979899) - (xy 111.264162 105.970329) - (xy 111.280022 105.955745) - (xy 111.295665 105.930516) - (xy 111.303642 105.91765) - (xy 111.307894 105.911498) - (xy 111.329957 105.882745) - (xy 111.329958 105.882744) - (xy 111.332957 105.878835) - (xy 111.341183 105.858976) - (xy 111.346743 105.848135) - (xy 111.357147 105.831355) - (xy 111.359848 105.826999) - (xy 111.363622 105.814007) - (xy 111.371422 105.78716) - (xy 111.37416 105.779362) - (xy 111.374735 105.777974) - (xy 111.388669 105.744336) - (xy 111.391905 105.719758) - (xy 111.394248 105.708596) - (xy 111.401012 105.685313) - (xy 111.401013 105.68531) - (xy 111.402111 105.681529) - (xy 111.4029 105.670785) - (xy 111.4029 105.641171) - (xy 111.403543 105.631356) - (xy 111.407028 105.604884) - (xy 111.407671 105.6) - (xy 111.403543 105.568644) - (xy 111.4029 105.558829) - (xy 111.4029 105.165625) - (xy 111.41054 105.1326) - (xy 111.414949 105.123581) - (xy 111.41495 105.123577) - (xy 111.417513 105.118334) - (xy 111.418356 105.112555) - (xy 111.419177 105.109898) - (xy 111.450258 105.068909) - (xy 111.491025 105.0569) - (xy 111.737631 105.0569) - (xy 111.738851 105.056996) - (xy 111.744153 105.056996) - (xy 111.75 105.057922) - (xy 111.755847 105.056996) - (xy 111.761149 105.056996) - (xy 111.762369 105.0569) - (xy 111.782024 105.0569) - (xy 111.78494 105.056438) - (xy 111.784942 105.056438) - (xy 111.854046 105.045493) - (xy 111.877306 105.041809) - (xy 111.883049 105.038883) - (xy 111.888517 105.036097) - (xy 111.922658 105.0279) - (xy 112.002742 105.0279) - (xy 112.05108 105.045493) - (xy 112.0768 105.090042) - (xy 112.065269 105.144879) - (xy 112.007614 105.231166) - (xy 112.00617 105.238428) - (xy 112.006169 105.238429) - (xy 111.994136 105.298927) - (xy 111.9921 105.309161) - (xy 111.992101 105.590838) - (xy 111.992822 105.594461) - (xy 111.992822 105.594465) - (xy 111.997569 105.618331) - (xy 112.007614 105.668834) - (xy 112.011728 105.674992) - (xy 112.011729 105.674993) - (xy 112.058889 105.745572) - (xy 112.066715 105.757285) - (xy 112.111427 105.78716) - (xy 112.111895 105.787473) - (xy 112.142312 105.828957) - (xy 112.138948 105.880286) - (xy 112.111896 105.912526) - (xy 112.066715 105.942715) - (xy 112.007614 106.031166) - (xy 112.00617 106.038428) - (xy 112.006169 106.038429) - (xy 111.993194 106.103659) - (xy 111.9921 106.109161) - (xy 111.992101 106.390838) - (xy 111.992822 106.394461) - (xy 111.992822 106.394465) - (xy 111.997363 106.417295) - (xy 112.007614 106.468834) - (xy 112.011728 106.474992) - (xy 112.011729 106.474993) - (xy 112.057173 106.543004) - (xy 112.066715 106.557285) - (xy 112.072869 106.561397) - (xy 112.112345 106.587774) - (xy 112.142762 106.629258) - (xy 112.139397 106.680588) - (xy 112.112345 106.712827) - (xy 112.073233 106.738961) - (xy 112.062964 106.74923) - (xy 112.0122 106.825205) - (xy 112.006641 106.838624) - (xy 111.99702 106.886994) - (xy 111.998664 106.897679) - (xy 112.00128 106.899972) - (xy 112.001428 106.9) - (xy 113.792307 106.9) - (xy 113.802464 106.896303) - (xy 113.804203 106.893291) - (xy 113.804201 106.893139) - (xy 113.793359 106.838628) - (xy 113.787799 106.825202) - (xy 113.737036 106.74923) - (xy 113.726767 106.738961) - (xy 113.687655 106.712827) - (xy 113.657238 106.671344) - (xy 113.660603 106.620014) - (xy 113.687655 106.587774) - (xy 113.727131 106.561397) - (xy 113.733285 106.557285) - (xy 113.763997 106.511321) - (xy 113.805481 106.480904) - (xy 113.826524 106.4779) - (xy 114.3169 106.4779) - (xy 114.365238 106.495493) - (xy 114.383904 106.51896) - (xy 114.412445 106.574974) - (xy 114.419984 106.589771) - (xy 114.510229 106.680016) - (xy 114.515505 106.682704) - (xy 114.515506 106.682705) - (xy 114.552912 106.701764) - (xy 114.623945 106.737957) - (xy 114.663065 106.744153) - (xy 114.737758 106.755983) - (xy 114.75 106.757922) - (xy 114.762243 106.755983) - (xy 114.836935 106.744153) - (xy 114.876055 106.737957) - (xy 114.947088 106.701764) - (xy 114.984494 106.682705) - (xy 114.984495 106.682704) - (xy 114.989771 106.680016) - (xy 115.080016 106.589771) - (xy 115.087556 106.574974) - (xy 115.111855 106.527284) - (xy 115.137957 106.476055) - (xy 115.150628 106.396051) - (xy 115.156996 106.355847) - (xy 115.157922 106.35) - (xy 115.137957 106.223945) - (xy 115.103287 106.155901) - (xy 115.082705 106.115506) - (xy 115.082704 106.115505) - (xy 115.080016 106.110229) - (xy 114.989771 106.019984) - (xy 114.983342 106.016708) - (xy 114.928331 105.988679) - (xy 114.876055 105.962043) - (xy 114.763057 105.944146) - (xy 114.755847 105.943004) - (xy 114.75 105.942078) - (xy 114.744153 105.943004) - (xy 114.736943 105.944146) - (xy 114.623945 105.962043) - (xy 114.532921 106.008422) - (xy 114.522162 106.013904) - (xy 114.488022 106.0221) - (xy 113.826524 106.0221) - (xy 113.778186 106.004507) - (xy 113.763997 105.988679) - (xy 113.737397 105.948869) - (xy 113.733285 105.942715) - (xy 113.688105 105.912526) - (xy 113.657688 105.871043) - (xy 113.661052 105.819714) - (xy 113.688105 105.787473) - (xy 113.688574 105.78716) - (xy 113.733285 105.757285) - (xy 113.738818 105.749005) - (xy 113.763997 105.711321) - (xy 113.805481 105.680904) - (xy 113.826524 105.6779) - (xy 114.488022 105.6779) - (xy 114.522161 105.686096) - (xy 114.623945 105.737957) - (xy 114.664221 105.744336) - (xy 114.736899 105.755847) - (xy 114.75 105.757922) - (xy 114.763102 105.755847) - (xy 114.835779 105.744336) - (xy 114.876055 105.737957) - (xy 114.959396 105.695493) - (xy 114.984494 105.682705) - (xy 114.984495 105.682704) - (xy 114.989771 105.680016) - (xy 115.080016 105.589771) - (xy 115.083675 105.582591) - (xy 115.120092 105.511117) - (xy 115.137957 105.476055) - (xy 115.155589 105.36473) - (xy 115.156996 105.355847) - (xy 115.157922 105.35) - (xy 115.15204 105.312859) - (xy 115.138883 105.229792) - (xy 115.137957 105.223945) - (xy 115.088561 105.127) - (xy 115.082705 105.115506) - (xy 115.082704 105.115505) - (xy 115.080016 105.110229) - (xy 114.989771 105.019984) - (xy 114.953836 105.001674) - (xy 114.931173 104.990127) - (xy 114.876055 104.962043) - (xy 114.790181 104.948442) - (xy 114.755847 104.943004) - (xy 114.75 104.942078) - (xy 114.744153 104.943004) - (xy 114.709819 104.948442) - (xy 114.623945 104.962043) - (xy 114.568827 104.990127) - (xy 114.546165 105.001674) - (xy 114.510229 105.019984) - (xy 114.419984 105.110229) - (xy 114.417296 105.115505) - (xy 114.417295 105.115506) - (xy 114.383904 105.18104) - (xy 114.346283 105.216122) - (xy 114.3169 105.2221) - (xy 113.826524 105.2221) - (xy 113.778186 105.204507) - (xy 113.763997 105.188679) - (xy 113.734731 105.144879) - (xy 113.722505 105.094913) - (xy 113.745256 105.048778) - (xy 113.797258 105.0279) - (xy 113.886153 105.0279) - (xy 113.912766 105.03429) - (xy 113.913042 105.033441) - (xy 113.918671 105.03527) - (xy 113.923945 105.037957) - (xy 113.963867 105.04428) - (xy 114.042026 105.056659) - (xy 114.05 105.057922) - (xy 114.057975 105.056659) - (xy 114.136133 105.04428) - (xy 114.176055 105.037957) - (xy 114.247265 105.001674) - (xy 114.284494 104.982705) - (xy 114.284495 104.982704) - (xy 114.289771 104.980016) - (xy 114.380016 104.889771) - (xy 114.384907 104.880173) - (xy 114.415185 104.820748) - (xy 114.428639 104.794343) - (xy 125.8226 104.794343) - (xy 125.823 104.79981) - (xy 125.832306 104.863029) - (xy 125.835731 104.87405) - (xy 125.883959 104.972278) - (xy 125.891094 104.982244) - (xy 125.968271 105.059286) - (xy 125.978257 105.06641) - (xy 126.076572 105.114467) - (xy 126.087584 105.11787) - (xy 126.150205 105.127005) - (xy 126.155641 105.1274) - (xy 126.286741 105.1274) - (xy 126.296898 105.123703) - (xy 126.3 105.118331) - (xy 126.3 105.114141) - (xy 126.6 105.114141) - (xy 126.603697 105.124298) - (xy 126.609069 105.1274) - (xy 126.744343 105.1274) - (xy 126.74981 105.127) - (xy 126.813029 105.117694) - (xy 126.82405 105.114269) - (xy 126.922278 105.066041) - (xy 126.932244 105.058906) - (xy 127.009286 104.981729) - (xy 127.01641 104.971743) - (xy 127.064467 104.873428) - (xy 127.06787 104.862416) - (xy 127.077005 104.799795) - (xy 127.0774 104.794359) - (xy 127.0774 104.713259) - (xy 127.073703 104.703102) - (xy 127.068331 104.7) - (xy 126.613259 104.7) - (xy 126.603102 104.703697) - (xy 126.6 104.709069) - (xy 126.6 105.114141) - (xy 126.3 105.114141) - (xy 126.3 104.713259) - (xy 126.296303 104.703102) - (xy 126.290931 104.7) - (xy 125.835859 104.7) - (xy 125.825702 104.703697) - (xy 125.8226 104.709069) - (xy 125.8226 104.794343) - (xy 114.428639 104.794343) - (xy 114.437957 104.776055) - (xy 114.457922 104.65) - (xy 114.437957 104.523945) - (xy 114.380016 104.410229) - (xy 114.289771 104.319984) - (xy 114.283342 104.316708) - (xy 114.231006 104.290042) - (xy 114.176055 104.262043) - (xy 114.071562 104.245493) - (xy 114.055847 104.243004) - (xy 114.05 104.242078) - (xy 114.044153 104.243004) - (xy 114.028438 104.245493) - (xy 113.923945 104.262043) - (xy 113.918671 104.26473) - (xy 113.913042 104.266559) - (xy 113.912766 104.26571) - (xy 113.886153 104.2721) - (xy 113.797258 104.2721) - (xy 113.74892 104.254507) - (xy 113.7232 104.209958) - (xy 113.734731 104.155121) - (xy 113.763997 104.111321) - (xy 113.805481 104.080904) - (xy 113.826524 104.0779) - (xy 114.376965 104.0779) - (xy 114.425303 104.095493) - (xy 114.430139 104.099926) - (xy 114.510229 104.180016) - (xy 114.515505 104.182704) - (xy 114.515506 104.182705) - (xy 114.533313 104.191778) - (xy 114.623945 104.237957) - (xy 114.657964 104.243345) - (xy 114.725648 104.254065) - (xy 114.75 104.257922) - (xy 114.774353 104.254065) - (xy 114.842036 104.243345) - (xy 114.876055 104.237957) - (xy 114.966687 104.191778) - (xy 114.984494 104.182705) - (xy 114.984495 104.182704) - (xy 114.989771 104.180016) - (xy 115.080016 104.089771) - (xy 115.137957 103.976055) - (xy 115.154168 103.873703) - (xy 115.156996 103.855847) - (xy 115.157922 103.85) - (xy 115.137957 103.723945) - (xy 115.104452 103.658188) - (xy 115.082705 103.615506) - (xy 115.082704 103.615505) - (xy 115.080016 103.610229) - (xy 114.989771 103.519984) - (xy 114.983342 103.516708) - (xy 114.920218 103.484545) - (xy 114.876055 103.462043) - (xy 114.800018 103.45) - (xy 114.755847 103.443004) - (xy 114.75 103.442078) - (xy 114.744153 103.443004) - (xy 114.699982 103.45) - (xy 114.623945 103.462043) - (xy 114.579782 103.484545) - (xy 114.516659 103.516708) - (xy 114.510229 103.519984) - (xy 114.430139 103.600074) - (xy 114.383519 103.621814) - (xy 114.376965 103.6221) - (xy 113.826524 103.6221) - (xy 113.778186 103.604507) - (xy 113.763997 103.588679) - (xy 113.737397 103.548869) - (xy 113.733285 103.542715) - (xy 113.687655 103.512226) - (xy 113.657238 103.470742) - (xy 113.660603 103.419412) - (xy 113.687655 103.387173) - (xy 113.726767 103.361039) - (xy 113.737036 103.35077) - (xy 113.7878 103.274795) - (xy 113.793359 103.261376) - (xy 113.80298 103.213006) - (xy 113.801336 103.202321) - (xy 113.79872 103.200028) - (xy 113.798572 103.2) - (xy 112.007693 103.2) - (xy 111.997536 103.203697) - (xy 111.995797 103.206709) - (xy 111.995799 103.206861) - (xy 112.006641 103.261372) - (xy 112.012201 103.274798) - (xy 112.062964 103.35077) - (xy 112.073233 103.361039) - (xy 112.112345 103.387173) - (xy 112.142762 103.428656) - (xy 112.139397 103.479986) - (xy 112.112345 103.512226) - (xy 112.066715 103.542715) - (xy 112.007614 103.631166) - (xy 112.00617 103.638428) - (xy 112.006169 103.638429) - (xy 111.995558 103.691778) - (xy 111.9921 103.709161) - (xy 111.992101 103.990838) - (xy 111.992822 103.994461) - (xy 111.992822 103.994465) - (xy 111.994784 104.00433) - (xy 112.007614 104.068834) - (xy 112.011728 104.074992) - (xy 112.011729 104.074993) - (xy 112.065269 104.155121) - (xy 112.077495 104.205087) - (xy 112.054744 104.251222) - (xy 112.002742 104.2721) - (xy 111.922658 104.2721) - (xy 111.888517 104.263903) - (xy 111.882582 104.260879) - (xy 111.882581 104.260879) - (xy 111.877306 104.258191) - (xy 111.833305 104.251222) - (xy 111.784942 104.243562) - (xy 111.78494 104.243562) - (xy 111.782024 104.2431) - (xy 111.762369 104.2431) - (xy 111.761149 104.243004) - (xy 111.755847 104.243004) - (xy 111.75 104.242078) - (xy 111.744153 104.243004) - (xy 111.738851 104.243004) - (xy 111.737631 104.2431) - (xy 111.255954 104.2431) - (xy 111.212392 104.229198) - (xy 111.181443 104.207204) - (xy 111.108565 104.155412) - (xy 110.966035 104.104098) - (xy 110.814957 104.093003) - (xy 110.809929 104.094017) - (xy 110.809926 104.094017) - (xy 110.689219 104.118356) - (xy 110.66646 104.122945) - (xy 110.661891 104.125273) - (xy 110.657085 104.127722) - (xy 110.531486 104.191718) - (xy 110.514643 104.207206) - (xy 110.474698 104.226248) - (xy 110.431047 104.232673) - (xy 110.425798 104.23525) - (xy 110.425484 104.235404) - (xy 110.424762 104.235572) - (xy 110.42022 104.236983) - (xy 110.420117 104.23665) - (xy 110.392345 104.2431) - (xy 109.962369 104.2431) - (xy 109.961149 104.243004) - (xy 109.955847 104.243004) - (xy 109.95 104.242078) - (xy 109.944153 104.243004) - (xy 109.938851 104.243004) - (xy 109.937631 104.2431) - (xy 109.917976 104.2431) - (xy 109.91506 104.243562) - (xy 109.915058 104.243562) - (xy 109.866695 104.251222) - (xy 109.822694 104.258191) - (xy 109.778738 104.280588) - (xy 109.715466 104.312827) - (xy 109.707849 104.316708) - (xy 109.616708 104.407849) - (xy 109.61402 104.413125) - (xy 109.614019 104.413126) - (xy 109.601027 104.438624) - (xy 109.558191 104.522694) - (xy 109.557265 104.528541) - (xy 109.542747 104.620208) - (xy 109.538028 104.65) - (xy 109.30028 104.65) - (xy 109.291644 104.63305) - (xy 109.282705 104.615506) - (xy 109.282704 104.615505) - (xy 109.280016 104.610229) - (xy 109.189771 104.519984) - (xy 109.162026 104.505847) - (xy 109.122157 104.485533) - (xy 109.076055 104.462043) - (xy 108.95 104.442078) - (xy 108.823945 104.462043) - (xy 108.777843 104.485533) - (xy 108.737975 104.505847) - (xy 108.710229 104.519984) - (xy 108.619984 104.610229) - (xy 108.617296 104.615505) - (xy 108.617295 104.615506) - (xy 108.608356 104.63305) - (xy 108.562043 104.723945) - (xy 108.552756 104.782579) - (xy 108.545086 104.831011) - (xy 108.542078 104.85) - (xy 108.543004 104.855847) - (xy 108.543879 104.861372) - (xy 108.562043 104.976055) - (xy 108.565835 104.983497) - (xy 108.569484 104.990659) - (xy 108.575754 105.041715) - (xy 108.547739 105.084856) - (xy 108.502481 105.1) - (xy 108.221897 105.1) - (xy 108.207227 105.098555) - (xy 108.203538 105.097821) - (xy 108.203533 105.097821) - (xy 108.199911 105.0971) - (xy 107.950034 105.0971) - (xy 107.70009 105.097101) - (xy 107.696467 105.097822) - (xy 107.696463 105.097822) - (xy 107.69278 105.098555) - (xy 107.67811 105.1) - (xy 107.3 105.1) - (xy 106.622026 105.777974) - (xy 106.575406 105.799714) - (xy 106.568852 105.8) - (xy 104.45 105.8) - (xy 104.022026 106.227974) - (xy 103.975406 106.249714) - (xy 103.968852 106.25) - (xy 103.631148 106.25) - (xy 103.58281 106.232407) - (xy 103.577974 106.227974) - (xy 103 105.65) - (xy 102.664186 105.65) - (xy 102.615848 105.632407) - (xy 102.590128 105.587858) - (xy 102.590431 105.560132) - (xy 102.6029 105.497447) - (xy 102.602899 105.302554) - (xy 102.601266 105.294343) - (xy 103.1226 105.294343) - (xy 103.123 105.29981) - (xy 103.132306 105.363029) - (xy 103.135731 105.37405) - (xy 103.183959 105.472278) - (xy 103.191094 105.482244) - (xy 103.268271 105.559286) - (xy 103.278257 105.56641) - (xy 103.376572 105.614467) - (xy 103.387584 105.61787) - (xy 103.450205 105.627005) - (xy 103.455641 105.6274) - (xy 103.586741 105.6274) - (xy 103.596898 105.623703) - (xy 103.6 105.618331) - (xy 103.6 105.614141) - (xy 103.9 105.614141) - (xy 103.903697 105.624298) - (xy 103.909069 105.6274) - (xy 104.044343 105.6274) - (xy 104.04981 105.627) - (xy 104.113029 105.617694) - (xy 104.12405 105.614269) - (xy 104.222278 105.566041) - (xy 104.232244 105.558906) - (xy 104.309286 105.481729) - (xy 104.31641 105.471743) - (xy 104.364467 105.373428) - (xy 104.36787 105.362416) - (xy 104.377005 105.299795) - (xy 104.3774 105.294359) - (xy 104.3774 105.213259) - (xy 104.373703 105.203102) - (xy 104.368331 105.2) - (xy 103.913259 105.2) - (xy 103.903102 105.203697) - (xy 103.9 105.209069) - (xy 103.9 105.614141) - (xy 103.6 105.614141) - (xy 103.6 105.213259) - (xy 103.596303 105.203102) - (xy 103.590931 105.2) - (xy 103.135859 105.2) - (xy 103.125702 105.203697) - (xy 103.1226 105.209069) - (xy 103.1226 105.294343) - (xy 102.601266 105.294343) - (xy 102.599109 105.283496) - (xy 102.595186 105.263777) - (xy 102.589677 105.236078) - (xy 102.58157 105.223945) - (xy 102.560078 105.191778) - (xy 102.547852 105.141812) - (xy 102.560078 105.108222) - (xy 102.585562 105.070081) - (xy 102.585562 105.07008) - (xy 102.589677 105.063922) - (xy 102.5918 105.053252) - (xy 102.602179 105.001072) - (xy 102.602179 105.001071) - (xy 102.6029 104.997447) - (xy 102.602899 104.886741) - (xy 103.1226 104.886741) - (xy 103.126297 104.896898) - (xy 103.131669 104.9) - (xy 103.586741 104.9) - (xy 103.596898 104.896303) - (xy 103.6 104.890931) - (xy 103.6 104.886741) - (xy 103.9 104.886741) - (xy 103.903697 104.896898) - (xy 103.909069 104.9) - (xy 104.364141 104.9) - (xy 104.374298 104.896303) - (xy 104.3774 104.890931) - (xy 104.3774 104.805657) - (xy 104.377 104.80019) - (xy 104.367694 104.736971) - (xy 104.364269 104.72595) - (xy 104.316041 104.627722) - (xy 104.308906 104.617756) - (xy 104.231729 104.540714) - (xy 104.221743 104.53359) - (xy 104.123428 104.485533) - (xy 104.112416 104.48213) - (xy 104.049795 104.472995) - (xy 104.044359 104.4726) - (xy 103.913259 104.4726) - (xy 103.903102 104.476297) - (xy 103.9 104.481669) - (xy 103.9 104.886741) - (xy 103.6 104.886741) - (xy 103.6 104.485859) - (xy 103.596303 104.475702) - (xy 103.590931 104.4726) - (xy 103.455657 104.4726) - (xy 103.45019 104.473) - (xy 103.386971 104.482306) - (xy 103.37595 104.485731) - (xy 103.277722 104.533959) - (xy 103.267756 104.541094) - (xy 103.190714 104.618271) - (xy 103.18359 104.628257) - (xy 103.135533 104.726572) - (xy 103.13213 104.737584) - (xy 103.122995 104.800205) - (xy 103.1226 104.805641) - (xy 103.1226 104.886741) - (xy 102.602899 104.886741) - (xy 102.602899 104.802554) - (xy 102.589677 104.736078) - (xy 102.585477 104.729792) - (xy 102.560078 104.691778) - (xy 102.547852 104.641812) - (xy 102.560078 104.608222) - (xy 102.585562 104.570081) - (xy 102.585562 104.57008) - (xy 102.589677 104.563922) - (xy 102.593362 104.5454) - (xy 102.602179 104.501072) - (xy 102.602179 104.501071) - (xy 102.6029 104.497447) - (xy 102.602899 104.302554) - (xy 102.600411 104.290042) - (xy 102.59461 104.260878) - (xy 102.589677 104.236078) - (xy 102.584213 104.2279) - (xy 102.560078 104.191778) - (xy 102.547852 104.141812) - (xy 102.560078 104.108222) - (xy 102.585562 104.070081) - (xy 102.585562 104.07008) - (xy 102.589677 104.063922) - (xy 102.59179 104.0533) - (xy 102.602179 104.001073) - (xy 102.602179 104.00107) - (xy 102.6029 103.997447) - (xy 102.6029 103.993749) - (xy 102.603117 103.99155) - (xy 102.625365 103.94517) - (xy 102.672221 103.923942) - (xy 102.712096 103.93192) - (xy 102.715961 103.933889) - (xy 102.723945 103.937957) - (xy 102.783131 103.947331) - (xy 102.824139 103.953826) - (xy 102.85 103.957922) - (xy 102.875862 103.953826) - (xy 102.887625 103.9529) - (xy 103.130816 103.9529) - (xy 103.179154 103.970493) - (xy 103.186263 103.978171) - (xy 103.186285 103.978149) - (xy 103.272377 104.064091) - (xy 103.277959 104.06682) - (xy 103.277961 104.066821) - (xy 103.37642 104.114949) - (xy 103.376422 104.11495) - (xy 103.381666 104.117513) - (xy 103.387443 104.118356) - (xy 103.387444 104.118356) - (xy 103.40014 104.120208) - (xy 103.452869 104.1279) - (xy 103.749078 104.1279) - (xy 104.04713 104.127899) - (xy 104.105685 104.11928) - (xy 104.113172 104.118178) - (xy 104.118953 104.117327) - (xy 104.1242 104.114751) - (xy 104.132662 104.110597) - (xy 104.165803 104.1029) - (xy 104.708829 104.1029) - (xy 104.718644 104.103543) - (xy 104.75 104.107671) - (xy 104.754884 104.107028) - (xy 104.784822 104.103087) - (xy 104.786696 104.1029) - (xy 104.787946 104.1029) - (xy 104.829774 104.09717) - (xy 104.83013 104.097122) - (xy 104.89149 104.089044) - (xy 104.891494 104.089043) - (xy 104.894336 104.088669) - (xy 104.895572 104.088157) - (xy 104.900084 104.087539) - (xy 104.967035 104.058567) - (xy 104.968122 104.058106) - (xy 105.024279 104.034845) - (xy 105.024281 104.034844) - (xy 105.028836 104.032957) - (xy 105.031348 104.031029) - (xy 105.033643 104.029875) - (xy 105.034409 104.029411) - (xy 105.03911 104.027377) - (xy 105.092615 103.98405) - (xy 105.094161 103.982832) - (xy 105.140426 103.947331) - (xy 105.144333 103.944333) - (xy 105.146126 103.941996) - (xy 105.192062 103.920575) - (xy 105.241749 103.933889) - (xy 105.259105 103.950811) - (xy 105.264489 103.9581) - (xy 105.276134 103.973866) - (xy 105.385681 104.054779) - (xy 105.514176 104.099903) - (xy 105.518739 104.100334) - (xy 105.518742 104.100335) - (xy 105.54412 104.102734) - (xy 105.544128 104.102734) - (xy 105.54588 104.1029) - (xy 106.45412 104.1029) - (xy 106.455872 104.102734) - (xy 106.45588 104.102734) - (xy 106.481258 104.100335) - (xy 106.481261 104.100334) - (xy 106.485824 104.099903) - (xy 106.614319 104.054779) - (xy 106.723866 103.973866) - (xy 106.804779 103.864319) - (xy 106.849903 103.735824) - (xy 106.850335 103.731258) - (xy 106.852734 103.70588) - (xy 106.852734 103.705872) - (xy 106.8529 103.70412) - (xy 106.8529 103.702289) - (xy 107.347601 103.702289) - (xy 107.347768 103.70582) - (xy 107.350163 103.731165) - (xy 107.352113 103.740054) - (xy 107.393805 103.858777) - (xy 107.399008 103.868605) - (xy 107.473155 103.96899) - (xy 107.48101 103.976845) - (xy 107.581395 104.050992) - (xy 107.591223 104.056195) - (xy 107.709943 104.097886) - (xy 107.718837 104.099838) - (xy 107.744183 104.102234) - (xy 107.747708 104.1024) - (xy 108.036741 104.1024) - (xy 108.046898 104.098703) - (xy 108.05 104.093331) - (xy 108.05 104.08914) - (xy 108.35 104.08914) - (xy 108.353697 104.099297) - (xy 108.359069 104.102399) - (xy 108.652289 104.102399) - (xy 108.65582 104.102232) - (xy 108.681165 104.099837) - (xy 108.690054 104.097887) - (xy 108.808777 104.056195) - (xy 108.818605 104.050992) - (xy 108.91899 103.976845) - (xy 108.926845 103.96899) - (xy 109.000992 103.868605) - (xy 109.006195 103.858777) - (xy 109.047886 103.740057) - (xy 109.049838 103.731163) - (xy 109.052234 103.705817) - (xy 109.0524 103.702292) - (xy 109.0524 103.544343) - (xy 110.1726 103.544343) - (xy 110.173 103.54981) - (xy 110.182306 103.613029) - (xy 110.185731 103.62405) - (xy 110.233959 103.722278) - (xy 110.241094 103.732244) - (xy 110.318271 103.809286) - (xy 110.328257 103.81641) - (xy 110.426572 103.864467) - (xy 110.437584 103.86787) - (xy 110.500205 103.877005) - (xy 110.505641 103.8774) - (xy 110.636741 103.8774) - (xy 110.646898 103.873703) - (xy 110.65 103.868331) - (xy 110.65 103.864141) - (xy 110.95 103.864141) - (xy 110.953697 103.874298) - (xy 110.959069 103.8774) - (xy 111.094343 103.8774) - (xy 111.09981 103.877) - (xy 111.163029 103.867694) - (xy 111.17405 103.864269) - (xy 111.272278 103.816041) - (xy 111.282244 103.808906) - (xy 111.359286 103.731729) - (xy 111.36641 103.721743) - (xy 111.414467 103.623428) - (xy 111.41787 103.612416) - (xy 111.427005 103.549795) - (xy 111.4274 103.544359) - (xy 111.4274 103.463259) - (xy 111.423703 103.453102) - (xy 111.418331 103.45) - (xy 110.963259 103.45) - (xy 110.953102 103.453697) - (xy 110.95 103.459069) - (xy 110.95 103.864141) - (xy 110.65 103.864141) - (xy 110.65 103.463259) - (xy 110.646303 103.453102) - (xy 110.640931 103.45) - (xy 110.185859 103.45) - (xy 110.175702 103.453697) - (xy 110.1726 103.459069) - (xy 110.1726 103.544343) - (xy 109.0524 103.544343) - (xy 109.0524 103.513259) - (xy 109.048703 103.503102) - (xy 109.043331 103.5) - (xy 108.363259 103.5) - (xy 108.353102 103.503697) - (xy 108.35 103.509069) - (xy 108.35 104.08914) - (xy 108.05 104.08914) - (xy 108.05 103.513259) - (xy 108.046303 103.503102) - (xy 108.040931 103.5) - (xy 107.36086 103.5) - (xy 107.350703 103.503697) - (xy 107.347601 103.509069) - (xy 107.347601 103.702289) - (xy 106.8529 103.702289) - (xy 106.8529 103.186741) - (xy 107.3476 103.186741) - (xy 107.351297 103.196898) - (xy 107.356669 103.2) - (xy 108.036741 103.2) - (xy 108.046898 103.196303) - (xy 108.05 103.190931) - (xy 108.05 103.186741) - (xy 108.35 103.186741) - (xy 108.353697 103.196898) - (xy 108.359069 103.2) - (xy 109.03914 103.2) - (xy 109.049297 103.196303) - (xy 109.052399 103.190931) - (xy 109.052399 103.136741) - (xy 110.1726 103.136741) - (xy 110.176297 103.146898) - (xy 110.181669 103.15) - (xy 110.636741 103.15) - (xy 110.646898 103.146303) - (xy 110.65 103.140931) - (xy 110.65 103.136741) - (xy 110.95 103.136741) - (xy 110.953697 103.146898) - (xy 110.959069 103.15) - (xy 111.414141 103.15) - (xy 111.424298 103.146303) - (xy 111.4274 103.140931) - (xy 111.4274 103.055657) - (xy 111.427 103.05019) - (xy 111.417694 102.986971) - (xy 111.414269 102.97595) - (xy 111.366041 102.877722) - (xy 111.358906 102.867756) - (xy 111.281729 102.790714) - (xy 111.271743 102.78359) - (xy 111.173428 102.735533) - (xy 111.162416 102.73213) - (xy 111.099795 102.722995) - (xy 111.094359 102.7226) - (xy 110.963259 102.7226) - (xy 110.953102 102.726297) - (xy 110.95 102.731669) - (xy 110.95 103.136741) - (xy 110.65 103.136741) - (xy 110.65 102.735859) - (xy 110.646303 102.725702) - (xy 110.640931 102.7226) - (xy 110.505657 102.7226) - (xy 110.50019 102.723) - (xy 110.436971 102.732306) - (xy 110.42595 102.735731) - (xy 110.327722 102.783959) - (xy 110.317756 102.791094) - (xy 110.240714 102.868271) - (xy 110.23359 102.878257) - (xy 110.185533 102.976572) - (xy 110.18213 102.987584) - (xy 110.172995 103.050205) - (xy 110.1726 103.055641) - (xy 110.1726 103.136741) - (xy 109.052399 103.136741) - (xy 109.052399 102.997711) - (xy 109.052232 102.99418) - (xy 109.049837 102.968835) - (xy 109.047887 102.959946) - (xy 109.006195 102.841223) - (xy 109.000992 102.831395) - (xy 108.926845 102.73101) - (xy 108.91899 102.723155) - (xy 108.818605 102.649008) - (xy 108.808777 102.643805) - (xy 108.690057 102.602114) - (xy 108.681163 102.600162) - (xy 108.655817 102.597766) - (xy 108.652292 102.5976) - (xy 108.363259 102.5976) - (xy 108.353102 102.601297) - (xy 108.35 102.606669) - (xy 108.35 103.186741) - (xy 108.05 103.186741) - (xy 108.05 102.61086) - (xy 108.046303 102.600703) - (xy 108.040931 102.597601) - (xy 107.747711 102.597601) - (xy 107.74418 102.597768) - (xy 107.718835 102.600163) - (xy 107.709946 102.602113) - (xy 107.591223 102.643805) - (xy 107.581395 102.649008) - (xy 107.48101 102.723155) - (xy 107.473155 102.73101) - (xy 107.399008 102.831395) - (xy 107.393805 102.841223) - (xy 107.352114 102.959943) - (xy 107.350162 102.968837) - (xy 107.347766 102.994183) - (xy 107.3476 102.997708) - (xy 107.3476 103.186741) - (xy 106.8529 103.186741) - (xy 106.8529 102.99588) - (xy 106.852629 102.993004) - (xy 106.850335 102.968742) - (xy 106.850334 102.968739) - (xy 106.849903 102.964176) - (xy 106.804779 102.835681) - (xy 106.723866 102.726134) - (xy 106.614319 102.645221) - (xy 106.485824 102.600097) - (xy 106.481261 102.599666) - (xy 106.481258 102.599665) - (xy 106.45588 102.597266) - (xy 106.455872 102.597266) - (xy 106.45412 102.5971) - (xy 105.54588 102.5971) - (xy 105.544128 102.597266) - (xy 105.54412 102.597266) - (xy 105.518742 102.599665) - (xy 105.518739 102.599666) - (xy 105.514176 102.600097) - (xy 105.385681 102.645221) - (xy 105.276134 102.726134) - (xy 105.195221 102.835681) - (xy 105.193358 102.840987) - (xy 105.193356 102.84099) - (xy 105.191309 102.846818) - (xy 105.158693 102.886595) - (xy 105.120358 102.8971) - (xy 104.981367 102.8971) - (xy 104.972528 102.896579) - (xy 104.970196 102.896303) - (xy 104.935614 102.89221) - (xy 104.930084 102.89322) - (xy 104.930081 102.89322) - (xy 104.876796 102.902952) - (xy 104.87447 102.90334) - (xy 104.815325 102.912232) - (xy 104.810452 102.914572) - (xy 104.810166 102.914642) - (xy 104.809806 102.914765) - (xy 104.80757 102.915492) - (xy 104.80722 102.9156) - (xy 104.806959 102.915707) - (xy 104.801641 102.916678) - (xy 104.796653 102.919269) - (xy 104.748548 102.944257) - (xy 104.746434 102.945312) - (xy 104.692557 102.971184) - (xy 104.688588 102.974853) - (xy 104.687722 102.97537) - (xy 104.684592 102.977479) - (xy 104.680785 102.979457) - (xy 104.67752 102.982245) - (xy 104.674529 102.984261) - (xy 104.632503 102.9971) - (xy 104.3781 102.9971) - (xy 104.329762 102.979507) - (xy 104.304042 102.934958) - (xy 104.3029 102.9219) - (xy 104.3029 102.641171) - (xy 104.303543 102.631356) - (xy 104.307028 102.604884) - (xy 104.307671 102.6) - (xy 104.30502 102.579865) - (xy 104.303543 102.568644) - (xy 104.3029 102.558829) - (xy 104.3029 102.2781) - (xy 104.320493 102.229762) - (xy 104.365042 102.204042) - (xy 104.3781 102.2029) - (xy 104.708829 102.2029) - (xy 104.718644 102.203543) - (xy 104.72665 102.204597) - (xy 104.75 102.207671) - (xy 104.754884 102.207028) - (xy 104.781356 102.203543) - (xy 104.791171 102.2029) - (xy 105.185772 102.2029) - (xy 105.23411 102.220493) - (xy 105.246261 102.233422) - (xy 105.254001 102.243901) - (xy 105.276134 102.273866) - (xy 105.385681 102.354779) - (xy 105.514176 102.399903) - (xy 105.518739 102.400334) - (xy 105.518742 102.400335) - (xy 105.54412 102.402734) - (xy 105.544128 102.402734) - (xy 105.54588 102.4029) - (xy 106.45412 102.4029) - (xy 106.455872 102.402734) - (xy 106.45588 102.402734) - (xy 106.481258 102.400335) - (xy 106.481261 102.400334) - (xy 106.485824 102.399903) - (xy 106.614319 102.354779) - (xy 106.723866 102.273866) - (xy 106.804779 102.164319) - (xy 106.849903 102.035824) - (xy 106.850335 102.031258) - (xy 106.852734 102.00588) - (xy 106.852734 102.005872) - (xy 106.8529 102.00412) - (xy 107.3471 102.00412) - (xy 107.347266 102.005872) - (xy 107.347266 102.00588) - (xy 107.349665 102.031258) - (xy 107.350097 102.035824) - (xy 107.395221 102.164319) - (xy 107.476134 102.273866) - (xy 107.585681 102.354779) - (xy 107.714176 102.399903) - (xy 107.718739 102.400334) - (xy 107.718742 102.400335) - (xy 107.74412 102.402734) - (xy 107.744128 102.402734) - (xy 107.74588 102.4029) - (xy 108.65412 102.4029) - (xy 108.655872 102.402734) - (xy 108.65588 102.402734) - (xy 108.681258 102.400335) - (xy 108.681261 102.400334) - (xy 108.685824 102.399903) - (xy 108.814319 102.354779) - (xy 108.923866 102.273866) - (xy 109.004779 102.164319) - (xy 109.049903 102.035824) - (xy 109.050335 102.031258) - (xy 109.052734 102.00588) - (xy 109.052734 102.005872) - (xy 109.0529 102.00412) - (xy 109.0529 101.29588) - (xy 109.052235 101.288837) - (xy 109.050335 101.268742) - (xy 109.050334 101.268739) - (xy 109.049903 101.264176) - (xy 109.004779 101.135681) - (xy 108.923866 101.026134) - (xy 108.814319 100.945221) - (xy 108.685824 100.900097) - (xy 108.681261 100.899666) - (xy 108.681258 100.899665) - (xy 108.65588 100.897266) - (xy 108.655872 100.897266) - (xy 108.65412 100.8971) - (xy 108.5031 100.8971) - (xy 108.454762 100.879507) - (xy 108.429042 100.834958) - (xy 108.4279 100.8219) - (xy 108.4279 100.358896) - (xy 108.445493 100.310558) - (xy 108.46132 100.29637) - (xy 108.472525 100.288883) - (xy 108.48233 100.282331) - (xy 108.484047 100.284901) - (xy 108.518807 100.268692) - (xy 108.568494 100.282006) - (xy 108.570097 100.283351) - (xy 108.64536 100.333641) - (xy 108.658782 100.339201) - (xy 108.686995 100.344813) - (xy 108.697679 100.343169) - (xy 108.699972 100.340554) - (xy 108.7 100.340406) - (xy 108.7 100.33414) - (xy 109 100.33414) - (xy 109.003697 100.344297) - (xy 109.006709 100.346036) - (xy 109.006861 100.346034) - (xy 109.041215 100.339201) - (xy 109.054643 100.333639) - (xy 109.125813 100.286084) - (xy 109.136084 100.275813) - (xy 109.183641 100.20464) - (xy 109.189201 100.191219) - (xy 109.201679 100.128486) - (xy 109.2024 100.121165) - (xy 109.2024 99.863259) - (xy 109.198703 99.853102) - (xy 109.193331 99.85) - (xy 109.013259 99.85) - (xy 109.003102 99.853697) - (xy 109 99.859069) - (xy 109 100.33414) - (xy 108.7 100.33414) - (xy 108.7 99.536741) - (xy 109 99.536741) - (xy 109.003697 99.546898) - (xy 109.009069 99.55) - (xy 109.18914 99.55) - (xy 109.199297 99.546303) - (xy 109.202399 99.540931) - (xy 109.202399 99.278837) - (xy 109.201678 99.271513) - (xy 109.189201 99.208785) - (xy 109.183639 99.195357) - (xy 109.136084 99.124187) - (xy 109.125813 99.113916) - (xy 109.05464 99.066359) - (xy 109.041218 99.060799) - (xy 109.013005 99.055187) - (xy 109.002321 99.056831) - (xy 109.000028 99.059446) - (xy 109 99.059594) - (xy 109 99.536741) - (xy 108.7 99.536741) - (xy 108.7 99.06586) - (xy 108.696303 99.055703) - (xy 108.693291 99.053964) - (xy 108.693139 99.053966) - (xy 108.658785 99.060799) - (xy 108.645357 99.066361) - (xy 108.56803 99.11803) - (xy 108.566215 99.115313) - (xy 108.531915 99.131308) - (xy 108.482618 99.118098) - (xy 108.482331 99.117669) - (xy 108.479927 99.116063) - (xy 108.479925 99.116061) - (xy 108.404836 99.065888) - (xy 108.404835 99.065887) - (xy 108.398677 99.061773) - (xy 108.387723 99.059594) - (xy 108.328536 99.047821) - (xy 108.328535 99.047821) - (xy 108.324911 99.0471) - (xy 108.200034 99.0471) - (xy 108.07509 99.047101) - (xy 108.071467 99.047822) - (xy 108.071463 99.047822) - (xy 108.039354 99.054209) - (xy 108.001323 99.061773) - (xy 107.917669 99.117669) - (xy 107.915952 99.115099) - (xy 107.881193 99.131308) - (xy 107.831506 99.117994) - (xy 107.829903 99.116649) - (xy 107.75464 99.066359) - (xy 107.741218 99.060799) - (xy 107.713005 99.055187) - (xy 107.702321 99.056831) - (xy 107.700028 99.059446) - (xy 107.7 99.059594) - (xy 107.7 100.33414) - (xy 107.703697 100.344297) - (xy 107.706709 100.346036) - (xy 107.706861 100.346034) - (xy 107.741215 100.339201) - (xy 107.754643 100.333639) - (xy 107.83197 100.28197) - (xy 107.833785 100.284687) - (xy 107.868085 100.268692) - (xy 107.917382 100.281902) - (xy 107.917669 100.282331) - (xy 107.927475 100.288883) - (xy 107.93868 100.29637) - (xy 107.969096 100.337854) - (xy 107.9721 100.358896) - (xy 107.9721 100.8219) - (xy 107.954507 100.870238) - (xy 107.909958 100.895958) - (xy 107.8969 100.8971) - (xy 107.74588 100.8971) - (xy 107.744128 100.897266) - (xy 107.74412 100.897266) - (xy 107.718742 100.899665) - (xy 107.718739 100.899666) - (xy 107.714176 100.900097) - (xy 107.585681 100.945221) - (xy 107.476134 101.026134) - (xy 107.395221 101.135681) - (xy 107.350097 101.264176) - (xy 107.349666 101.268739) - (xy 107.349665 101.268742) - (xy 107.347766 101.288837) - (xy 107.3471 101.29588) - (xy 107.3471 102.00412) - (xy 106.8529 102.00412) - (xy 106.8529 101.29588) - (xy 106.852235 101.288837) - (xy 106.850335 101.268742) - (xy 106.850334 101.268739) - (xy 106.849903 101.264176) - (xy 106.804779 101.135681) - (xy 106.723866 101.026134) - (xy 106.614319 100.945221) - (xy 106.485824 100.900097) - (xy 106.481261 100.899666) - (xy 106.481258 100.899665) - (xy 106.45588 100.897266) - (xy 106.455872 100.897266) - (xy 106.45412 100.8971) - (xy 105.54588 100.8971) - (xy 105.544128 100.897266) - (xy 105.54412 100.897266) - (xy 105.518742 100.899665) - (xy 105.518739 100.899666) - (xy 105.514176 100.900097) - (xy 105.385681 100.945221) - (xy 105.276134 101.026134) - (xy 105.272797 101.030652) - (xy 105.246261 101.066578) - (xy 105.20339 101.095007) - (xy 105.185772 101.0971) - (xy 105.006747 101.0971) - (xy 104.958409 101.079507) - (xy 104.932689 101.034958) - (xy 104.941622 100.9843) - (xy 104.953573 100.968726) - (xy 105.119746 100.802554) - (xy 105.355541 100.566759) - (xy 105.358397 100.564048) - (xy 105.373999 100.55) - (xy 105.388494 100.536949) - (xy 105.392741 100.527412) - (xy 105.398497 100.514483) - (xy 105.404126 100.504116) - (xy 105.413211 100.490126) - (xy 105.413212 100.490125) - (xy 105.417516 100.483496) - (xy 105.418852 100.475062) - (xy 105.424429 100.456237) - (xy 105.424686 100.45566) - (xy 105.4279 100.448442) - (xy 105.4279 100.423853) - (xy 105.428826 100.412089) - (xy 105.431436 100.395613) - (xy 105.431436 100.395611) - (xy 105.432672 100.387806) - (xy 105.430462 100.379558) - (xy 105.4279 100.360095) - (xy 105.4279 100.121163) - (xy 107.197601 100.121163) - (xy 107.198322 100.128487) - (xy 107.210799 100.191215) - (xy 107.216361 100.204643) - (xy 107.263916 100.275813) - (xy 107.274187 100.286084) - (xy 107.34536 100.333641) - (xy 107.358782 100.339201) - (xy 107.386995 100.344813) - (xy 107.397679 100.343169) - (xy 107.399972 100.340554) - (xy 107.4 100.340406) - (xy 107.4 99.863259) - (xy 107.396303 99.853102) - (xy 107.390931 99.85) - (xy 107.21086 99.85) - (xy 107.200703 99.853697) - (xy 107.197601 99.859069) - (xy 107.197601 100.121163) - (xy 105.4279 100.121163) - (xy 105.4279 100.112257) - (xy 105.445493 100.063919) - (xy 105.490042 100.038199) - (xy 105.536124 100.044696) - (xy 105.576571 100.064467) - (xy 105.587584 100.06787) - (xy 105.650205 100.077005) - (xy 105.655641 100.0774) - (xy 105.786741 100.0774) - (xy 105.796898 100.073703) - (xy 105.8 100.068331) - (xy 105.8 100.064141) - (xy 106.1 100.064141) - (xy 106.103697 100.074298) - (xy 106.109069 100.0774) - (xy 106.244343 100.0774) - (xy 106.24981 100.077) - (xy 106.313029 100.067694) - (xy 106.32405 100.064269) - (xy 106.422278 100.016041) - (xy 106.432244 100.008906) - (xy 106.509286 99.931729) - (xy 106.51641 99.921743) - (xy 106.564467 99.823428) - (xy 106.56787 99.812416) - (xy 106.577005 99.749795) - (xy 106.5774 99.744359) - (xy 106.5774 99.663259) - (xy 106.573703 99.653102) - (xy 106.568331 99.65) - (xy 106.113259 99.65) - (xy 106.103102 99.653697) - (xy 106.1 99.659069) - (xy 106.1 100.064141) - (xy 105.8 100.064141) - (xy 105.8 99.663259) - (xy 105.796303 99.653102) - (xy 105.790931 99.65) - (xy 105.335859 99.65) - (xy 105.325701 99.653697) - (xy 105.319907 99.663733) - (xy 105.280503 99.696799) - (xy 105.229063 99.696799) - (xy 105.201607 99.679308) - (xy 105.182993 99.660693) - (xy 105.059041 99.536741) - (xy 107.1976 99.536741) - (xy 107.201297 99.546898) - (xy 107.206669 99.55) - (xy 107.386741 99.55) - (xy 107.396898 99.546303) - (xy 107.4 99.540931) - (xy 107.4 99.06586) - (xy 107.396303 99.055703) - (xy 107.393291 99.053964) - (xy 107.393139 99.053966) - (xy 107.358785 99.060799) - (xy 107.345357 99.066361) - (xy 107.274187 99.113916) - (xy 107.263916 99.124187) - (xy 107.216359 99.19536) - (xy 107.210799 99.208781) - (xy 107.198321 99.271514) - (xy 107.1976 99.278835) - (xy 107.1976 99.536741) - (xy 105.059041 99.536741) - (xy 105.049925 99.527625) - (xy 105.028186 99.481005) - (xy 105.0279 99.474451) - (xy 105.0279 99.407928) - (xy 105.028003 99.403991) - (xy 105.02926 99.380016) - (xy 105.030123 99.363552) - (xy 105.02131 99.340592) - (xy 105.020169 99.336741) - (xy 105.3226 99.336741) - (xy 105.326297 99.346898) - (xy 105.331669 99.35) - (xy 105.786741 99.35) - (xy 105.796898 99.346303) - (xy 105.8 99.340931) - (xy 105.8 99.336741) - (xy 106.1 99.336741) - (xy 106.103697 99.346898) - (xy 106.109069 99.35) - (xy 106.564141 99.35) - (xy 106.574298 99.346303) - (xy 106.5774 99.340931) - (xy 106.5774 99.255657) - (xy 106.577 99.25019) - (xy 106.567694 99.186971) - (xy 106.564269 99.17595) - (xy 106.516041 99.077722) - (xy 106.508906 99.067756) - (xy 106.431729 98.990714) - (xy 106.421743 98.98359) - (xy 106.323428 98.935533) - (xy 106.312416 98.93213) - (xy 106.249795 98.922995) - (xy 106.244359 98.9226) - (xy 106.113259 98.9226) - (xy 106.103102 98.926297) - (xy 106.1 98.931669) - (xy 106.1 99.336741) - (xy 105.8 99.336741) - (xy 105.8 98.935859) - (xy 105.796303 98.925702) - (xy 105.790931 98.9226) - (xy 105.655657 98.9226) - (xy 105.65019 98.923) - (xy 105.586971 98.932306) - (xy 105.57595 98.935731) - (xy 105.477722 98.983959) - (xy 105.467756 98.991094) - (xy 105.390714 99.068271) - (xy 105.38359 99.078257) - (xy 105.335533 99.176572) - (xy 105.33213 99.187584) - (xy 105.322995 99.250205) - (xy 105.3226 99.255641) - (xy 105.3226 99.336741) - (xy 105.020169 99.336741) - (xy 105.01796 99.329284) - (xy 105.015458 99.317513) - (xy 105.012848 99.305234) - (xy 105.007829 99.298325) - (xy 104.998465 99.28108) - (xy 104.998236 99.280483) - (xy 104.998235 99.280482) - (xy 104.995403 99.273104) - (xy 104.978019 99.25572) - (xy 104.970355 99.246748) - (xy 104.960546 99.233247) - (xy 104.960545 99.233247) - (xy 104.955901 99.226854) - (xy 104.948508 99.222585) - (xy 104.932935 99.210636) - (xy 104.799925 99.077625) - (xy 104.778186 99.031005) - (xy 104.7779 99.024451) - (xy 104.7779 97.752869) - (xy 105.3221 97.752869) - (xy 105.322101 98.24713) - (xy 105.328247 98.288883) - (xy 105.331368 98.310084) - (xy 105.332673 98.318953) - (xy 105.343903 98.341826) - (xy 105.382881 98.421215) - (xy 105.386285 98.428149) - (xy 105.390679 98.432536) - (xy 105.390681 98.432538) - (xy 105.419761 98.461567) - (xy 105.472377 98.514091) - (xy 105.477959 98.51682) - (xy 105.477961 98.516821) - (xy 105.57642 98.564949) - (xy 105.576422 98.56495) - (xy 105.581666 98.567513) - (xy 105.587443 98.568356) - (xy 105.587444 98.568356) - (xy 105.597734 98.569857) - (xy 105.652869 98.5779) - (xy 105.949078 98.5779) - (xy 106.24713 98.577899) - (xy 106.305685 98.56928) - (xy 106.313172 98.568178) - (xy 106.318953 98.567327) - (xy 106.379097 98.537798) - (xy 106.422573 98.516453) - (xy 106.422575 98.516452) - (xy 106.428149 98.513715) - (xy 106.432536 98.509321) - (xy 106.432538 98.509319) - (xy 106.514091 98.427623) - (xy 106.515639 98.429168) - (xy 106.550376 98.405295) - (xy 106.569203 98.4029) - (xy 106.912375 98.4029) - (xy 106.924138 98.403826) - (xy 106.95 98.407922) - (xy 106.963102 98.405847) - (xy 107.036935 98.394153) - (xy 107.076055 98.387957) - (xy 107.086282 98.382746) - (xy 107.090773 98.380458) - (xy 107.141829 98.374188) - (xy 107.184971 98.402204) - (xy 107.198668 98.432791) - (xy 107.20234 98.451252) - (xy 107.210327 98.491409) - (xy 107.210328 98.491411) - (xy 107.211773 98.498677) - (xy 107.267669 98.582331) - (xy 107.273826 98.586445) - (xy 107.341853 98.631899) - (xy 107.351323 98.638227) - (xy 107.358587 98.639672) - (xy 107.421459 98.652178) - (xy 107.425089 98.6529) - (xy 107.549966 98.6529) - (xy 107.67491 98.652899) - (xy 107.678533 98.652178) - (xy 107.678537 98.652178) - (xy 107.710646 98.645791) - (xy 107.748677 98.638227) - (xy 107.832331 98.582331) - (xy 107.8668 98.530745) - (xy 107.884112 98.504836) - (xy 107.884113 98.504835) - (xy 107.888227 98.498677) - (xy 107.889673 98.49141) - (xy 107.902179 98.428536) - (xy 107.902179 98.428535) - (xy 107.9029 98.424911) - (xy 107.9029 98.018801) - (xy 107.90318 98.012313) - (xy 107.906141 97.97812) - (xy 107.906141 97.978119) - (xy 107.906677 97.971929) - (xy 107.905118 97.965653) - (xy 107.9029 97.947524) - (xy 107.9029 97.312912) - (xy 107.911096 97.278772) - (xy 107.937957 97.226055) - (xy 107.957922 97.1) - (xy 107.937957 96.973945) - (xy 107.905885 96.911) - (xy 107.882705 96.865506) - (xy 107.882704 96.865505) - (xy 107.880016 96.860229) - (xy 107.789771 96.769984) - (xy 107.780983 96.765506) - (xy 107.732325 96.740714) - (xy 107.676055 96.712043) - (xy 107.55 96.692078) - (xy 107.423945 96.712043) - (xy 107.367675 96.740714) - (xy 107.319018 96.765506) - (xy 107.310229 96.769984) - (xy 107.219984 96.860229) - (xy 107.217296 96.865505) - (xy 107.217295 96.865506) - (xy 107.194115 96.911) - (xy 107.162043 96.973945) - (xy 107.142078 97.1) - (xy 107.162043 97.226055) - (xy 107.188904 97.278772) - (xy 107.1971 97.312912) - (xy 107.1971 97.551003) - (xy 107.179507 97.599341) - (xy 107.134958 97.625061) - (xy 107.08776 97.618007) - (xy 107.087394 97.61782) - (xy 107.076055 97.612043) - (xy 106.981708 97.5971) - (xy 106.955847 97.593004) - (xy 106.95 97.592078) - (xy 106.925238 97.596) - (xy 106.924139 97.596174) - (xy 106.912375 97.5971) - (xy 106.569184 97.5971) - (xy 106.520846 97.579507) - (xy 106.513737 97.571829) - (xy 106.513715 97.571851) - (xy 106.427623 97.485909) - (xy 106.422041 97.48318) - (xy 106.422039 97.483179) - (xy 106.395075 97.469999) - (xy 106.359375 97.432965) - (xy 106.3529 97.402439) - (xy 106.3529 97.037625) - (xy 106.353826 97.025861) - (xy 106.356996 97.005847) - (xy 106.357922 97) - (xy 106.354722 96.979792) - (xy 106.342999 96.905776) - (xy 106.341158 96.894152) - (xy 106.337957 96.873945) - (xy 106.300899 96.801214) - (xy 106.282705 96.765506) - (xy 106.282704 96.765505) - (xy 106.280016 96.760229) - (xy 106.189771 96.669984) - (xy 106.180983 96.665506) - (xy 106.120118 96.634494) - (xy 106.076055 96.612043) - (xy 105.95 96.592078) - (xy 105.823945 96.612043) - (xy 105.779882 96.634494) - (xy 105.719018 96.665506) - (xy 105.710229 96.669984) - (xy 105.619984 96.760229) - (xy 105.617296 96.765505) - (xy 105.617295 96.765506) - (xy 105.56835 96.861567) - (xy 105.562043 96.873945) - (xy 105.561117 96.87979) - (xy 105.561117 96.879791) - (xy 105.55983 96.887916) - (xy 105.557002 96.905776) - (xy 105.542078 97) - (xy 105.543004 97.005847) - (xy 105.546174 97.025861) - (xy 105.5471 97.037625) - (xy 105.5471 97.402486) - (xy 105.529507 97.450824) - (xy 105.505042 97.469989) - (xy 105.477427 97.483547) - (xy 105.477425 97.483548) - (xy 105.471851 97.486285) - (xy 105.467464 97.490679) - (xy 105.467462 97.490681) - (xy 105.437987 97.520208) - (xy 105.385909 97.572377) - (xy 105.38318 97.577959) - (xy 105.383179 97.577961) - (xy 105.337574 97.671259) - (xy 105.332487 97.681666) - (xy 105.331644 97.687443) - (xy 105.331644 97.687444) - (xy 105.330693 97.693961) - (xy 105.3221 97.752869) - (xy 104.7779 97.752869) - (xy 104.7779 97.025547) - (xy 104.795493 96.977209) - (xy 104.799926 96.972373) - (xy 106.872373 94.899926) - (xy 106.918993 94.878186) - (xy 106.925547 94.8779) - (xy 106.981007 94.8779) - (xy 107.029345 94.895493) - (xy 107.054762 94.938429) - (xy 107.056244 94.945879) - (xy 107.061773 94.973677) - (xy 107.117669 95.057331) - (xy 107.123826 95.061445) - (xy 107.162781 95.087474) - (xy 107.193198 95.128957) - (xy 107.189833 95.180287) - (xy 107.162781 95.212526) - (xy 107.117669 95.242669) - (xy 107.113555 95.248826) - (xy 107.06589 95.320162) - (xy 107.061773 95.326323) - (xy 107.060328 95.333587) - (xy 107.052617 95.372353) - (xy 107.0471 95.400089) - (xy 107.047101 95.89991) - (xy 107.047822 95.903533) - (xy 107.047822 95.903537) - (xy 107.052572 95.927415) - (xy 107.061773 95.973677) - (xy 107.117669 96.057331) - (xy 107.136941 96.070208) - (xy 107.13868 96.07137) - (xy 107.169096 96.112854) - (xy 107.1721 96.133896) - (xy 107.1721 96.142064) - (xy 107.171997 96.146) - (xy 107.169877 96.186448) - (xy 107.17869 96.209408) - (xy 107.18204 96.220715) - (xy 107.187152 96.244766) - (xy 107.191798 96.25116) - (xy 107.191798 96.251161) - (xy 107.192171 96.251674) - (xy 107.201535 96.26892) - (xy 107.204597 96.276896) - (xy 107.221981 96.29428) - (xy 107.229645 96.303252) - (xy 107.231132 96.305298) - (xy 107.244099 96.323146) - (xy 107.251492 96.327415) - (xy 107.267065 96.339364) - (xy 107.383251 96.455551) - (xy 107.38596 96.458406) - (xy 107.413051 96.488494) - (xy 107.435522 96.498499) - (xy 107.445879 96.504123) - (xy 107.459332 96.512859) - (xy 107.466503 96.517516) - (xy 107.474939 96.518852) - (xy 107.493755 96.524426) - (xy 107.501558 96.5279) - (xy 107.526153 96.5279) - (xy 107.537916 96.528826) - (xy 107.554387 96.531435) - (xy 107.554389 96.531435) - (xy 107.562194 96.532671) - (xy 107.569826 96.530626) - (xy 107.569827 96.530626) - (xy 107.570438 96.530462) - (xy 107.589901 96.5279) - (xy 108.5469 96.5279) - (xy 108.595238 96.545493) - (xy 108.620958 96.590042) - (xy 108.6221 96.6031) - (xy 108.6221 97.341104) - (xy 108.604507 97.389442) - (xy 108.588681 97.403629) - (xy 108.567669 97.417669) - (xy 108.563555 97.423826) - (xy 108.51589 97.495162) - (xy 108.511773 97.501323) - (xy 108.510328 97.508587) - (xy 108.506853 97.526055) - (xy 108.4971 97.575089) - (xy 108.497101 98.42491) - (xy 108.497822 98.428533) - (xy 108.497822 98.428537) - (xy 108.500045 98.439713) - (xy 108.511773 98.498677) - (xy 108.567669 98.582331) - (xy 108.573826 98.586445) - (xy 108.641853 98.631899) - (xy 108.651323 98.638227) - (xy 108.658587 98.639672) - (xy 108.721459 98.652178) - (xy 108.725089 98.6529) - (xy 108.849966 98.6529) - (xy 108.97491 98.652899) - (xy 108.978533 98.652178) - (xy 108.978537 98.652178) - (xy 109.010646 98.645791) - (xy 109.048677 98.638227) - (xy 109.132331 98.582331) - (xy 109.1668 98.530745) - (xy 109.184112 98.504836) - (xy 109.184113 98.504835) - (xy 109.188227 98.498677) - (xy 109.189673 98.49141) - (xy 109.202179 98.428536) - (xy 109.202179 98.428535) - (xy 109.2029 98.424911) - (xy 109.202899 97.57509) - (xy 109.201884 97.569984) - (xy 109.191983 97.520208) - (xy 109.188227 97.501323) - (xy 109.132331 97.417669) - (xy 109.11132 97.40363) - (xy 109.080904 97.362146) - (xy 109.0779 97.341104) - (xy 109.0779 96.425548) - (xy 109.095493 96.37721) - (xy 109.099926 96.372373) - (xy 109.120382 96.351918) - (xy 109.155551 96.316749) - (xy 109.158407 96.314039) - (xy 109.182621 96.292237) - (xy 109.188494 96.286949) - (xy 109.198499 96.264478) - (xy 109.204123 96.254121) - (xy 109.213212 96.240125) - (xy 109.213212 96.240124) - (xy 109.217516 96.233497) - (xy 109.218852 96.225061) - (xy 109.224426 96.206245) - (xy 109.2279 96.198442) - (xy 109.2279 96.173847) - (xy 109.228826 96.162084) - (xy 109.231435 96.145612) - (xy 109.231435 96.145611) - (xy 109.232671 96.137806) - (xy 109.23158 96.133734) - (xy 109.245967 96.086684) - (xy 109.264239 96.06942) - (xy 109.282331 96.057331) - (xy 109.286445 96.051174) - (xy 109.334112 95.979836) - (xy 109.334113 95.979835) - (xy 109.338227 95.973677) - (xy 109.339673 95.96641) - (xy 109.352179 95.903536) - (xy 109.352179 95.903535) - (xy 109.3529 95.899911) - (xy 109.352899 95.40009) - (xy 109.351768 95.3944) - (xy 109.339672 95.33359) - (xy 109.338227 95.326323) - (xy 109.282331 95.242669) - (xy 109.237219 95.212526) - (xy 109.206802 95.171043) - (xy 109.210167 95.119713) - (xy 109.237219 95.087474) - (xy 109.276174 95.061445) - (xy 109.282331 95.057331) - (xy 109.31321 95.011117) - (xy 109.334112 94.979836) - (xy 109.334113 94.979835) - (xy 109.338227 94.973677) - (xy 109.339673 94.96641) - (xy 109.345238 94.93843) - (xy 109.371923 94.894453) - (xy 109.418993 94.8779) - (xy 109.524453 94.8779) - (xy 109.572791 94.895493) - (xy 109.577627 94.899926) - (xy 110.050074 95.372374) - (xy 110.071814 95.418994) - (xy 110.0721 95.425548) - (xy 110.0721 95.574452) - (xy 110.054507 95.62279) - (xy 110.050075 95.627626) - (xy 109.92204 95.75566) - (xy 109.794449 95.883251) - (xy 109.791594 95.88596) - (xy 109.761506 95.913051) - (xy 109.751501 95.935522) - (xy 109.745877 95.945879) - (xy 109.743541 95.949477) - (xy 109.732484 95.966503) - (xy 109.731148 95.974939) - (xy 109.725574 95.993755) - (xy 109.7221 96.001558) - (xy 109.7221 96.026153) - (xy 109.721174 96.037916) - (xy 109.717329 96.062194) - (xy 109.719374 96.069826) - (xy 109.719374 96.069827) - (xy 109.719538 96.070438) - (xy 109.7221 96.089901) - (xy 109.7221 100.342064) - (xy 109.721997 100.346) - (xy 109.719877 100.386448) - (xy 109.72869 100.409408) - (xy 109.73204 100.420715) - (xy 109.737152 100.444766) - (xy 109.741798 100.45116) - (xy 109.741798 100.451161) - (xy 109.742171 100.451674) - (xy 109.751535 100.46892) - (xy 109.754597 100.476896) - (xy 109.771981 100.49428) - (xy 109.779645 100.503252) - (xy 109.794099 100.523146) - (xy 109.801492 100.527415) - (xy 109.817065 100.539364) - (xy 109.95041 100.67271) - (xy 110.083251 100.805551) - (xy 110.08596 100.808406) - (xy 110.113051 100.838494) - (xy 110.135522 100.848499) - (xy 110.145879 100.854123) - (xy 110.166503 100.867516) - (xy 110.174939 100.868852) - (xy 110.193755 100.874426) - (xy 110.201558 100.8779) - (xy 110.226153 100.8779) - (xy 110.237916 100.878826) - (xy 110.254387 100.881435) - (xy 110.254389 100.881435) - (xy 110.262194 100.882671) - (xy 110.269826 100.880626) - (xy 110.269827 100.880626) - (xy 110.270438 100.880462) - (xy 110.289901 100.8779) - (xy 111.973476 100.8779) - (xy 112.021814 100.895493) - (xy 112.036003 100.911321) - (xy 112.060887 100.948562) - (xy 112.066715 100.957285) - (xy 112.100735 100.980016) - (xy 112.111895 100.987473) - (xy 112.142312 101.028957) - (xy 112.138948 101.080286) - (xy 112.111896 101.112526) - (xy 112.066715 101.142715) - (xy 112.007614 101.231166) - (xy 112.00617 101.238428) - (xy 112.006169 101.238429) - (xy 112.00014 101.268742) - (xy 111.9921 101.309161) - (xy 111.992101 101.590838) - (xy 111.992822 101.594461) - (xy 111.992822 101.594465) - (xy 111.997313 101.617043) - (xy 112.007614 101.668834) - (xy 112.011728 101.674992) - (xy 112.011729 101.674993) - (xy 112.058009 101.744255) - (xy 112.066715 101.757285) - (xy 112.108989 101.785531) - (xy 112.111895 101.787473) - (xy 112.142312 101.828957) - (xy 112.138948 101.880286) - (xy 112.111896 101.912526) - (xy 112.066715 101.942715) - (xy 112.062603 101.948869) - (xy 112.061903 101.949916) - (xy 112.007614 102.031166) - (xy 112.00617 102.038428) - (xy 112.006169 102.038429) - (xy 111.998264 102.078171) - (xy 111.9921 102.109161) - (xy 111.992101 102.390838) - (xy 111.992822 102.394461) - (xy 111.992822 102.394465) - (xy 111.997256 102.416755) - (xy 112.007614 102.468834) - (xy 112.011728 102.474992) - (xy 112.011729 102.474993) - (xy 112.055153 102.539981) - (xy 112.066715 102.557285) - (xy 112.072869 102.561397) - (xy 112.112345 102.587774) - (xy 112.142762 102.629258) - (xy 112.139397 102.680588) - (xy 112.112345 102.712827) - (xy 112.073233 102.738961) - (xy 112.062964 102.74923) - (xy 112.0122 102.825205) - (xy 112.006641 102.838624) - (xy 111.99702 102.886994) - (xy 111.998664 102.897679) - (xy 112.00128 102.899972) - (xy 112.001428 102.9) - (xy 113.792307 102.9) - (xy 113.802464 102.896303) - (xy 113.804203 102.893291) - (xy 113.804201 102.893139) - (xy 113.793359 102.838628) - (xy 113.787799 102.825202) - (xy 113.737036 102.74923) - (xy 113.726767 102.738961) - (xy 113.687655 102.712827) - (xy 113.657238 102.671344) - (xy 113.660603 102.620014) - (xy 113.687655 102.587774) - (xy 113.727131 102.561397) - (xy 113.733285 102.557285) - (xy 113.792386 102.468834) - (xy 113.793832 102.461567) - (xy 113.807179 102.394464) - (xy 113.8079 102.390839) - (xy 113.807899 102.109162) - (xy 113.807049 102.104884) - (xy 113.798527 102.062043) - (xy 113.792386 102.031166) - (xy 113.787185 102.023381) - (xy 113.737397 101.948869) - (xy 113.733285 101.942715) - (xy 113.688105 101.912526) - (xy 113.657688 101.871043) - (xy 113.661052 101.819714) - (xy 113.688106 101.787473) - (xy 113.714471 101.769857) - (xy 113.764437 101.757631) - (xy 113.799674 101.774534) - (xy 113.801259 101.772353) - (xy 113.806039 101.775826) - (xy 113.810229 101.780016) - (xy 113.815505 101.782704) - (xy 113.815506 101.782705) - (xy 113.862998 101.806903) - (xy 113.923945 101.837957) - (xy 114.05 101.857922) - (xy 114.176055 101.837957) - (xy 114.237002 101.806903) - (xy 114.284494 101.782705) - (xy 114.284495 101.782704) - (xy 114.289771 101.780016) - (xy 114.380016 101.689771) - (xy 114.403155 101.644359) - (xy 114.419413 101.612449) - (xy 114.437957 101.576055) - (xy 114.457922 101.45) - (xy 114.437957 101.323945) - (xy 114.397241 101.244035) - (xy 114.382705 101.215506) - (xy 114.382704 101.215505) - (xy 114.380016 101.210229) - (xy 114.289771 101.119984) - (xy 114.244859 101.0971) - (xy 114.224979 101.086971) - (xy 114.176055 101.062043) - (xy 114.05 101.042078) - (xy 113.923945 101.062043) - (xy 113.875021 101.086971) - (xy 113.855142 101.0971) - (xy 113.810229 101.119984) - (xy 113.80604 101.124173) - (xy 113.801259 101.127647) - (xy 113.799604 101.125369) - (xy 113.762803 101.14253) - (xy 113.714471 101.130143) - (xy 113.688106 101.112527) - (xy 113.657689 101.071044) - (xy 113.661052 101.019714) - (xy 113.688105 100.987473) - (xy 113.699266 100.980016) - (xy 113.733285 100.957285) - (xy 113.792386 100.868834) - (xy 113.799779 100.831669) - (xy 113.807179 100.794464) - (xy 113.8079 100.790839) - (xy 113.807899 100.509162) - (xy 113.806896 100.504116) - (xy 113.798058 100.459682) - (xy 113.792386 100.431166) - (xy 113.787185 100.423381) - (xy 113.737397 100.348869) - (xy 113.733285 100.342715) - (xy 113.688105 100.312526) - (xy 113.657688 100.271043) - (xy 113.661052 100.219714) - (xy 113.688105 100.187473) - (xy 113.698624 100.180445) - (xy 113.733285 100.157285) - (xy 113.754917 100.124911) - (xy 113.763997 100.111321) - (xy 113.805481 100.080904) - (xy 113.826524 100.0779) - (xy 114.326965 100.0779) - (xy 114.375303 100.095493) - (xy 114.380139 100.099926) - (xy 114.460229 100.180016) - (xy 114.465505 100.182704) - (xy 114.465506 100.182705) - (xy 114.506311 100.203496) - (xy 114.573945 100.237957) - (xy 114.579792 100.238883) - (xy 114.690239 100.256376) - (xy 114.7 100.257922) - (xy 114.709762 100.256376) - (xy 114.820208 100.238883) - (xy 114.826055 100.237957) - (xy 114.893689 100.203496) - (xy 114.934494 100.182705) - (xy 114.934495 100.182704) - (xy 114.939771 100.180016) - (xy 115.030016 100.089771) - (xy 115.034125 100.081708) - (xy 115.069725 100.011838) - (xy 115.087957 99.976055) - (xy 115.107922 99.85) - (xy 115.105319 99.833562) - (xy 115.097151 99.781992) - (xy 115.087957 99.723945) - (xy 115.051861 99.653102) - (xy 115.032705 99.615506) - (xy 115.032704 99.615505) - (xy 115.030016 99.610229) - (xy 114.939771 99.519984) - (xy 114.92946 99.51473) - (xy 114.885002 99.492078) - (xy 114.826055 99.462043) - (xy 114.750018 99.45) - (xy 114.705847 99.443004) - (xy 114.7 99.442078) - (xy 114.694153 99.443004) - (xy 114.649982 99.45) - (xy 114.573945 99.462043) - (xy 114.514998 99.492078) - (xy 114.470541 99.51473) - (xy 114.460229 99.519984) - (xy 114.380139 99.600074) - (xy 114.333519 99.621814) - (xy 114.326965 99.6221) - (xy 113.826524 99.6221) - (xy 113.778186 99.604507) - (xy 113.763997 99.588679) - (xy 113.737397 99.548869) - (xy 113.733285 99.542715) - (xy 113.688105 99.512526) - (xy 113.657688 99.471043) - (xy 113.661052 99.419714) - (xy 113.688106 99.387473) - (xy 113.714471 99.369857) - (xy 113.764437 99.357631) - (xy 113.799674 99.374534) - (xy 113.801259 99.372353) - (xy 113.806039 99.375826) - (xy 113.810229 99.380016) - (xy 113.815505 99.382704) - (xy 113.815506 99.382705) - (xy 113.84945 99.4) - (xy 113.923945 99.437957) - (xy 113.929792 99.438883) - (xy 114.036899 99.455847) - (xy 114.05 99.457922) - (xy 114.063102 99.455847) - (xy 114.170208 99.438883) - (xy 114.176055 99.437957) - (xy 114.25055 99.4) - (xy 114.284494 99.382705) - (xy 114.284495 99.382704) - (xy 114.289771 99.380016) - (xy 114.380016 99.289771) - (xy 114.383729 99.282485) - (xy 114.420337 99.210636) - (xy 114.437957 99.176055) - (xy 114.452325 99.085336) - (xy 114.456996 99.055847) - (xy 114.457922 99.05) - (xy 114.45582 99.036725) - (xy 114.438919 98.930017) - (xy 114.437957 98.923945) - (xy 114.380016 98.810229) - (xy 114.289771 98.719984) - (xy 114.27946 98.71473) - (xy 114.228406 98.688717) - (xy 114.176055 98.662043) - (xy 114.100018 98.65) - (xy 114.055847 98.643004) - (xy 114.05 98.642078) - (xy 114.044153 98.643004) - (xy 113.999982 98.65) - (xy 113.923945 98.662043) - (xy 113.871594 98.688717) - (xy 113.820541 98.71473) - (xy 113.810229 98.719984) - (xy 113.80604 98.724173) - (xy 113.801259 98.727647) - (xy 113.799604 98.725369) - (xy 113.762803 98.74253) - (xy 113.714471 98.730143) - (xy 113.688106 98.712527) - (xy 113.657689 98.671044) - (xy 113.661052 98.619714) - (xy 113.688105 98.587473) - (xy 113.689767 98.586363) - (xy 113.733285 98.557285) - (xy 113.761719 98.514731) - (xy 113.763997 98.511321) - (xy 113.805481 98.480904) - (xy 113.826524 98.4779) - (xy 114.326965 98.4779) - (xy 114.375303 98.495493) - (xy 114.380139 98.499926) - (xy 114.460229 98.580016) - (xy 114.465505 98.582704) - (xy 114.465506 98.582705) - (xy 114.49945 98.6) - (xy 114.573945 98.637957) - (xy 114.636972 98.647939) - (xy 114.663734 98.652178) - (xy 114.7 98.657922) - (xy 114.736267 98.652178) - (xy 114.763028 98.647939) - (xy 114.826055 98.637957) - (xy 114.90055 98.6) - (xy 114.934494 98.582705) - (xy 114.934495 98.582704) - (xy 114.939771 98.580016) - (xy 115.030016 98.489771) - (xy 115.040766 98.468674) - (xy 115.061682 98.427623) - (xy 115.087957 98.376055) - (xy 115.107922 98.25) - (xy 115.087957 98.123945) - (xy 115.056944 98.063079) - (xy 115.032705 98.015506) - (xy 115.032704 98.015505) - (xy 115.030016 98.010229) - (xy 114.939771 97.919984) - (xy 114.912026 97.905847) - (xy 114.886656 97.892921) - (xy 114.826055 97.862043) - (xy 114.750018 97.85) - (xy 114.705847 97.843004) - (xy 114.7 97.842078) - (xy 114.694153 97.843004) - (xy 114.649982 97.85) - (xy 114.573945 97.862043) - (xy 114.513344 97.892921) - (xy 114.487975 97.905847) - (xy 114.460229 97.919984) - (xy 114.380139 98.000074) - (xy 114.333519 98.021814) - (xy 114.326965 98.0221) - (xy 113.826524 98.0221) - (xy 113.778186 98.004507) - (xy 113.763997 97.988679) - (xy 113.737397 97.948869) - (xy 113.733285 97.942715) - (xy 113.688105 97.912526) - (xy 113.657688 97.871043) - (xy 113.661052 97.819714) - (xy 113.688106 97.787473) - (xy 113.714471 97.769857) - (xy 113.764437 97.757631) - (xy 113.799674 97.774534) - (xy 113.801259 97.772353) - (xy 113.806039 97.775826) - (xy 113.810229 97.780016) - (xy 113.815505 97.782704) - (xy 113.815506 97.782705) - (xy 113.828579 97.789366) - (xy 113.923945 97.837957) - (xy 113.929792 97.838883) - (xy 114.036899 97.855847) - (xy 114.05 97.857922) - (xy 114.063102 97.855847) - (xy 114.170208 97.838883) - (xy 114.176055 97.837957) - (xy 114.271421 97.789366) - (xy 114.284494 97.782705) - (xy 114.284495 97.782704) - (xy 114.289771 97.780016) - (xy 114.380016 97.689771) - (xy 114.38871 97.672709) - (xy 114.420092 97.611117) - (xy 114.437957 97.576055) - (xy 114.454463 97.471838) - (xy 114.456996 97.455847) - (xy 114.457922 97.45) - (xy 114.456562 97.44141) - (xy 114.438883 97.329792) - (xy 114.437957 97.323945) - (xy 114.406897 97.262986) - (xy 114.382705 97.215506) - (xy 114.382704 97.215505) - (xy 114.380016 97.210229) - (xy 114.289771 97.119984) - (xy 114.28431 97.117201) - (xy 114.224528 97.086741) - (xy 114.176055 97.062043) - (xy 114.069472 97.045162) - (xy 114.055847 97.043004) - (xy 114.05 97.042078) - (xy 114.044153 97.043004) - (xy 114.030528 97.045162) - (xy 113.923945 97.062043) - (xy 113.875472 97.086741) - (xy 113.815691 97.117201) - (xy 113.810229 97.119984) - (xy 113.80604 97.124173) - (xy 113.801259 97.127647) - (xy 113.799604 97.125369) - (xy 113.762803 97.14253) - (xy 113.714471 97.130143) - (xy 113.688106 97.112527) - (xy 113.657689 97.071044) - (xy 113.661052 97.019714) - (xy 113.688105 96.987473) - (xy 113.699266 96.980016) - (xy 113.733285 96.957285) - (xy 113.738367 96.94968) - (xy 113.763997 96.911321) - (xy 113.805481 96.880904) - (xy 113.826524 96.8779) - (xy 114.326965 96.8779) - (xy 114.375303 96.895493) - (xy 114.380139 96.899926) - (xy 114.460229 96.980016) - (xy 114.465505 96.982704) - (xy 114.465506 96.982705) - (xy 114.50913 97.004932) - (xy 114.573945 97.037957) - (xy 114.579792 97.038883) - (xy 114.693794 97.056939) - (xy 114.7 97.057922) - (xy 114.706207 97.056939) - (xy 114.820208 97.038883) - (xy 114.826055 97.037957) - (xy 114.89087 97.004932) - (xy 114.934494 96.982705) - (xy 114.934495 96.982704) - (xy 114.939771 96.980016) - (xy 115.030016 96.889771) - (xy 115.033941 96.882069) - (xy 115.072573 96.806248) - (xy 115.087957 96.776055) - (xy 115.104757 96.669984) - (xy 115.106996 96.655847) - (xy 115.107922 96.65) - (xy 115.087957 96.523945) - (xy 115.044385 96.438429) - (xy 115.032705 96.415506) - (xy 115.032704 96.415505) - (xy 115.030016 96.410229) - (xy 114.939771 96.319984) - (xy 114.928104 96.314039) - (xy 114.871641 96.28527) - (xy 114.826055 96.262043) - (xy 114.721562 96.245493) - (xy 114.705847 96.243004) - (xy 114.7 96.242078) - (xy 114.694153 96.243004) - (xy 114.678438 96.245493) - (xy 114.573945 96.262043) - (xy 114.528359 96.28527) - (xy 114.471897 96.314039) - (xy 114.460229 96.319984) - (xy 114.380139 96.400074) - (xy 114.333519 96.421814) - (xy 114.326965 96.4221) - (xy 113.826524 96.4221) - (xy 113.778186 96.404507) - (xy 113.763997 96.388679) - (xy 113.737397 96.348869) - (xy 113.733285 96.342715) - (xy 113.688105 96.312526) - (xy 113.657688 96.271043) - (xy 113.661052 96.219714) - (xy 113.688106 96.187473) - (xy 113.714471 96.169857) - (xy 113.764437 96.157631) - (xy 113.799674 96.174534) - (xy 113.801259 96.172353) - (xy 113.806039 96.175826) - (xy 113.810229 96.180016) - (xy 113.815505 96.182704) - (xy 113.815506 96.182705) - (xy 113.83759 96.193957) - (xy 113.923945 96.237957) - (xy 113.966936 96.244766) - (xy 114.035409 96.255611) - (xy 114.05 96.257922) - (xy 114.064592 96.255611) - (xy 114.133064 96.244766) - (xy 114.176055 96.237957) - (xy 114.26241 96.193957) - (xy 114.284494 96.182705) - (xy 114.284495 96.182704) - (xy 114.289771 96.180016) - (xy 114.380016 96.089771) - (xy 114.388416 96.073286) - (xy 114.424759 96.001957) - (xy 114.437957 95.976055) - (xy 114.450245 95.898469) - (xy 114.456996 95.855847) - (xy 114.457922 95.85) - (xy 114.452368 95.81493) - (xy 114.443192 95.756996) - (xy 114.437957 95.723945) - (xy 114.395804 95.641215) - (xy 114.382705 95.615506) - (xy 114.382704 95.615505) - (xy 114.380016 95.610229) - (xy 114.289771 95.519984) - (xy 114.279462 95.514731) - (xy 114.223953 95.486448) - (xy 114.176055 95.462043) - (xy 114.074763 95.446) - (xy 114.055847 95.443004) - (xy 114.05 95.442078) - (xy 114.044153 95.443004) - (xy 114.025237 95.446) - (xy 113.923945 95.462043) - (xy 113.876047 95.486448) - (xy 113.820539 95.514731) - (xy 113.810229 95.519984) - (xy 113.80604 95.524173) - (xy 113.801259 95.527647) - (xy 113.799604 95.525369) - (xy 113.762803 95.54253) - (xy 113.714471 95.530143) - (xy 113.688106 95.512527) - (xy 113.657689 95.471044) - (xy 113.661052 95.419714) - (xy 113.688105 95.387473) - (xy 113.689722 95.386393) - (xy 113.733285 95.357285) - (xy 113.74206 95.344153) - (xy 113.763997 95.311321) - (xy 113.805481 95.280904) - (xy 113.826524 95.2779) - (xy 114.326965 95.2779) - (xy 114.375303 95.295493) - (xy 114.380139 95.299926) - (xy 114.460229 95.380016) - (xy 114.465505 95.382704) - (xy 114.465506 95.382705) - (xy 114.506878 95.403785) - (xy 114.573945 95.437957) - (xy 114.7 95.457922) - (xy 114.826055 95.437957) - (xy 114.893122 95.403785) - (xy 114.934494 95.382705) - (xy 114.934495 95.382704) - (xy 114.939771 95.380016) - (xy 115.030016 95.289771) - (xy 115.04047 95.269255) - (xy 115.069374 95.212526) - (xy 115.087957 95.176055) - (xy 115.104093 95.074174) - (xy 115.106996 95.055847) - (xy 115.107922 95.05) - (xy 115.100899 95.005655) - (xy 115.097455 94.983915) - (xy 115.087957 94.923945) - (xy 115.051137 94.851682) - (xy 115.032705 94.815506) - (xy 115.032704 94.815505) - (xy 115.030016 94.810229) - (xy 114.939771 94.719984) - (xy 114.928944 94.714467) - (xy 114.880989 94.690033) - (xy 114.826055 94.662043) - (xy 114.738369 94.648155) - (xy 114.705847 94.643004) - (xy 114.7 94.642078) - (xy 114.694153 94.643004) - (xy 114.661631 94.648155) - (xy 114.573945 94.662043) - (xy 114.519011 94.690033) - (xy 114.471057 94.714467) - (xy 114.460229 94.719984) - (xy 114.380139 94.800074) - (xy 114.333519 94.821814) - (xy 114.326965 94.8221) - (xy 113.826524 94.8221) - (xy 113.778186 94.804507) - (xy 113.763997 94.788679) - (xy 113.737397 94.748869) - (xy 113.733285 94.742715) - (xy 113.688105 94.712526) - (xy 113.657688 94.671043) - (xy 113.661052 94.619714) - (xy 113.688106 94.587473) - (xy 113.714471 94.569857) - (xy 113.764437 94.557631) - (xy 113.799674 94.574534) - (xy 113.801259 94.572353) - (xy 113.806039 94.575826) - (xy 113.810229 94.580016) - (xy 113.815505 94.582704) - (xy 113.815506 94.582705) - (xy 113.821439 94.585728) - (xy 113.923945 94.637957) - (xy 113.986972 94.647939) - (xy 114.032448 94.655142) - (xy 114.05 94.657922) - (xy 114.067553 94.655142) - (xy 114.113028 94.647939) - (xy 114.176055 94.637957) - (xy 114.278561 94.585728) - (xy 114.284494 94.582705) - (xy 114.284495 94.582704) - (xy 114.289771 94.580016) - (xy 114.380016 94.489771) - (xy 114.385175 94.479647) - (xy 114.42346 94.404507) - (xy 114.437957 94.376055) - (xy 114.457922 94.25) - (xy 114.437957 94.123945) - (xy 114.394329 94.03832) - (xy 114.382705 94.015506) - (xy 114.382704 94.015505) - (xy 114.380016 94.010229) - (xy 114.289771 93.919984) - (xy 114.250953 93.900205) - (xy 114.236656 93.892921) - (xy 114.176055 93.862043) - (xy 114.05 93.842078) - (xy 113.923945 93.862043) - (xy 113.863344 93.892921) - (xy 113.849048 93.900205) - (xy 113.810229 93.919984) - (xy 113.80604 93.924173) - (xy 113.801259 93.927647) - (xy 113.799604 93.925369) - (xy 113.762803 93.94253) - (xy 113.714471 93.930143) - (xy 113.688106 93.912527) - (xy 113.657689 93.871044) - (xy 113.661052 93.819714) - (xy 113.688105 93.787473) - (xy 113.699266 93.780016) - (xy 113.733285 93.757285) - (xy 113.763997 93.711321) - (xy 113.805481 93.680904) - (xy 113.826524 93.6779) - (xy 114.326965 93.6779) - (xy 114.375303 93.695493) - (xy 114.380139 93.699926) - (xy 114.460229 93.780016) - (xy 114.573945 93.837957) - (xy 114.7 93.857922) - (xy 114.826055 93.837957) - (xy 114.939771 93.780016) - (xy 115.030016 93.689771) - (xy 115.087957 93.576055) - (xy 115.107922 93.45) - (xy 121.542078 93.45) - (xy 121.562043 93.576055) - (xy 121.619984 93.689771) - (xy 121.710229 93.780016) - (xy 121.823945 93.837957) - (xy 121.95 93.857922) - (xy 122.076055 93.837957) - (xy 122.189771 93.780016) - (xy 122.269861 93.699926) - (xy 122.316481 93.678186) - (xy 122.323035 93.6779) - (xy 123.473476 93.6779) - (xy 123.521814 93.695493) - (xy 123.536003 93.711321) - (xy 123.566715 93.757285) - (xy 123.600735 93.780016) - (xy 123.611895 93.787473) - (xy 123.642312 93.828957) - (xy 123.638948 93.880286) - (xy 123.611896 93.912526) - (xy 123.566715 93.942715) - (xy 123.562603 93.948869) - (xy 123.536003 93.988679) - (xy 123.494519 94.019096) - (xy 123.473476 94.0221) - (xy 122.973035 94.0221) - (xy 122.924697 94.004507) - (xy 122.919861 94.000074) - (xy 122.839771 93.919984) - (xy 122.800953 93.900205) - (xy 122.786656 93.892921) - (xy 122.726055 93.862043) - (xy 122.6 93.842078) - (xy 122.473945 93.862043) - (xy 122.413344 93.892921) - (xy 122.399048 93.900205) - (xy 122.360229 93.919984) - (xy 122.269984 94.010229) - (xy 122.267296 94.015505) - (xy 122.267295 94.015506) - (xy 122.255671 94.03832) - (xy 122.212043 94.123945) - (xy 122.192078 94.25) - (xy 122.212043 94.376055) - (xy 122.22654 94.404507) - (xy 122.264826 94.479647) - (xy 122.269984 94.489771) - (xy 122.360229 94.580016) - (xy 122.365505 94.582704) - (xy 122.365506 94.582705) - (xy 122.371439 94.585728) - (xy 122.473945 94.637957) - (xy 122.536972 94.647939) - (xy 122.582448 94.655142) - (xy 122.6 94.657922) - (xy 122.617553 94.655142) - (xy 122.663028 94.647939) - (xy 122.726055 94.637957) - (xy 122.828561 94.585728) - (xy 122.834494 94.582705) - (xy 122.834495 94.582704) - (xy 122.839771 94.580016) - (xy 122.919861 94.499926) - (xy 122.966481 94.478186) - (xy 122.973035 94.4779) - (xy 123.473476 94.4779) - (xy 123.521814 94.495493) - (xy 123.536003 94.511321) - (xy 123.566715 94.557285) - (xy 123.610942 94.586836) - (xy 123.611895 94.587473) - (xy 123.642312 94.628957) - (xy 123.638948 94.680286) - (xy 123.611894 94.712527) - (xy 123.585529 94.730143) - (xy 123.535563 94.742369) - (xy 123.500326 94.725466) - (xy 123.498741 94.727647) - (xy 123.49396 94.724173) - (xy 123.489771 94.719984) - (xy 123.478944 94.714467) - (xy 123.430989 94.690033) - (xy 123.376055 94.662043) - (xy 123.288369 94.648155) - (xy 123.255847 94.643004) - (xy 123.25 94.642078) - (xy 123.244153 94.643004) - (xy 123.211631 94.648155) - (xy 123.123945 94.662043) - (xy 123.069011 94.690033) - (xy 123.021057 94.714467) - (xy 123.010229 94.719984) - (xy 122.919984 94.810229) - (xy 122.917296 94.815505) - (xy 122.917295 94.815506) - (xy 122.898863 94.851682) - (xy 122.862043 94.923945) - (xy 122.852545 94.983915) - (xy 122.849102 95.005655) - (xy 122.842078 95.05) - (xy 122.843004 95.055847) - (xy 122.845907 95.074174) - (xy 122.862043 95.176055) - (xy 122.880626 95.212526) - (xy 122.909531 95.269255) - (xy 122.919984 95.289771) - (xy 123.010229 95.380016) - (xy 123.015505 95.382704) - (xy 123.015506 95.382705) - (xy 123.056878 95.403785) - (xy 123.123945 95.437957) - (xy 123.25 95.457922) - (xy 123.376055 95.437957) - (xy 123.443122 95.403785) - (xy 123.484494 95.382705) - (xy 123.484495 95.382704) - (xy 123.489771 95.380016) - (xy 123.493961 95.375826) - (xy 123.498741 95.372353) - (xy 123.500396 95.374631) - (xy 123.537197 95.35747) - (xy 123.585529 95.369857) - (xy 123.611894 95.387473) - (xy 123.642311 95.428956) - (xy 123.638948 95.480286) - (xy 123.611896 95.512526) - (xy 123.566715 95.542715) - (xy 123.562603 95.548869) - (xy 123.536003 95.588679) - (xy 123.494519 95.619096) - (xy 123.473476 95.6221) - (xy 122.973035 95.6221) - (xy 122.924697 95.604507) - (xy 122.919861 95.600074) - (xy 122.839771 95.519984) - (xy 122.829462 95.514731) - (xy 122.773953 95.486448) - (xy 122.726055 95.462043) - (xy 122.624763 95.446) - (xy 122.605847 95.443004) - (xy 122.6 95.442078) - (xy 122.594153 95.443004) - (xy 122.575237 95.446) - (xy 122.473945 95.462043) - (xy 122.426047 95.486448) - (xy 122.370539 95.514731) - (xy 122.360229 95.519984) - (xy 122.269984 95.610229) - (xy 122.267296 95.615505) - (xy 122.267295 95.615506) - (xy 122.254196 95.641215) - (xy 122.212043 95.723945) - (xy 122.206808 95.756996) - (xy 122.197633 95.81493) - (xy 122.192078 95.85) - (xy 122.193004 95.855847) - (xy 122.199755 95.898469) - (xy 122.212043 95.976055) - (xy 122.225241 96.001957) - (xy 122.261585 96.073286) - (xy 122.269984 96.089771) - (xy 122.360229 96.180016) - (xy 122.365505 96.182704) - (xy 122.365506 96.182705) - (xy 122.38759 96.193957) - (xy 122.473945 96.237957) - (xy 122.516936 96.244766) - (xy 122.585409 96.255611) - (xy 122.6 96.257922) - (xy 122.614592 96.255611) - (xy 122.683064 96.244766) - (xy 122.726055 96.237957) - (xy 122.81241 96.193957) - (xy 122.834494 96.182705) - (xy 122.834495 96.182704) - (xy 122.839771 96.180016) - (xy 122.919861 96.099926) - (xy 122.966481 96.078186) - (xy 122.973035 96.0779) - (xy 123.473476 96.0779) - (xy 123.521814 96.095493) - (xy 123.536003 96.111321) - (xy 123.566715 96.157285) - (xy 123.609628 96.185958) - (xy 123.611895 96.187473) - (xy 123.642312 96.228957) - (xy 123.638948 96.280286) - (xy 123.611894 96.312527) - (xy 123.585529 96.330143) - (xy 123.535563 96.342369) - (xy 123.500326 96.325466) - (xy 123.498741 96.327647) - (xy 123.49396 96.324173) - (xy 123.489771 96.319984) - (xy 123.478104 96.314039) - (xy 123.421641 96.28527) - (xy 123.376055 96.262043) - (xy 123.271562 96.245493) - (xy 123.255847 96.243004) - (xy 123.25 96.242078) - (xy 123.244153 96.243004) - (xy 123.228438 96.245493) - (xy 123.123945 96.262043) - (xy 123.078359 96.28527) - (xy 123.021897 96.314039) - (xy 123.010229 96.319984) - (xy 122.919984 96.410229) - (xy 122.917296 96.415505) - (xy 122.917295 96.415506) - (xy 122.905615 96.438429) - (xy 122.862043 96.523945) - (xy 122.842078 96.65) - (xy 122.843004 96.655847) - (xy 122.845243 96.669984) - (xy 122.862043 96.776055) - (xy 122.877427 96.806248) - (xy 122.91606 96.882069) - (xy 122.919984 96.889771) - (xy 123.010229 96.980016) - (xy 123.015505 96.982704) - (xy 123.015506 96.982705) - (xy 123.05913 97.004932) - (xy 123.123945 97.037957) - (xy 123.129792 97.038883) - (xy 123.243794 97.056939) - (xy 123.25 97.057922) - (xy 123.256207 97.056939) - (xy 123.370208 97.038883) - (xy 123.376055 97.037957) - (xy 123.44087 97.004932) - (xy 123.484494 96.982705) - (xy 123.484495 96.982704) - (xy 123.489771 96.980016) - (xy 123.493961 96.975826) - (xy 123.498741 96.972353) - (xy 123.500396 96.974631) - (xy 123.537197 96.95747) - (xy 123.585529 96.969857) - (xy 123.611894 96.987473) - (xy 123.642311 97.028956) - (xy 123.638948 97.080286) - (xy 123.611896 97.112526) - (xy 123.566715 97.142715) - (xy 123.562603 97.148869) - (xy 123.536003 97.188679) - (xy 123.494519 97.219096) - (xy 123.473476 97.2221) - (xy 122.973035 97.2221) - (xy 122.924697 97.204507) - (xy 122.919861 97.200074) - (xy 122.839771 97.119984) - (xy 122.83431 97.117201) - (xy 122.774528 97.086741) - (xy 122.726055 97.062043) - (xy 122.619472 97.045162) - (xy 122.605847 97.043004) - (xy 122.6 97.042078) - (xy 122.594153 97.043004) - (xy 122.580528 97.045162) - (xy 122.473945 97.062043) - (xy 122.425472 97.086741) - (xy 122.365691 97.117201) - (xy 122.360229 97.119984) - (xy 122.269984 97.210229) - (xy 122.267296 97.215505) - (xy 122.267295 97.215506) - (xy 122.243103 97.262986) - (xy 122.212043 97.323945) - (xy 122.211117 97.329792) - (xy 122.193439 97.44141) - (xy 122.192078 97.45) - (xy 122.193004 97.455847) - (xy 122.195537 97.471838) - (xy 122.212043 97.576055) - (xy 122.229908 97.611117) - (xy 122.261291 97.672709) - (xy 122.269984 97.689771) - (xy 122.360229 97.780016) - (xy 122.365505 97.782704) - (xy 122.365506 97.782705) - (xy 122.378579 97.789366) - (xy 122.473945 97.837957) - (xy 122.479792 97.838883) - (xy 122.586899 97.855847) - (xy 122.6 97.857922) - (xy 122.613102 97.855847) - (xy 122.720208 97.838883) - (xy 122.726055 97.837957) - (xy 122.821421 97.789366) - (xy 122.834494 97.782705) - (xy 122.834495 97.782704) - (xy 122.839771 97.780016) - (xy 122.919861 97.699926) - (xy 122.966481 97.678186) - (xy 122.973035 97.6779) - (xy 123.473476 97.6779) - (xy 123.521814 97.695493) - (xy 123.536003 97.711321) - (xy 123.541081 97.71892) - (xy 123.566715 97.757285) - (xy 123.604249 97.782364) - (xy 123.611895 97.787473) - (xy 123.642312 97.828957) - (xy 123.638948 97.880286) - (xy 123.611894 97.912527) - (xy 123.585529 97.930143) - (xy 123.535563 97.942369) - (xy 123.500326 97.925466) - (xy 123.498741 97.927647) - (xy 123.49396 97.924173) - (xy 123.489771 97.919984) - (xy 123.462026 97.905847) - (xy 123.436656 97.892921) - (xy 123.376055 97.862043) - (xy 123.300018 97.85) - (xy 123.255847 97.843004) - (xy 123.25 97.842078) - (xy 123.244153 97.843004) - (xy 123.199982 97.85) - (xy 123.123945 97.862043) - (xy 123.063344 97.892921) - (xy 123.037975 97.905847) - (xy 123.010229 97.919984) - (xy 122.919984 98.010229) - (xy 122.917296 98.015505) - (xy 122.917295 98.015506) - (xy 122.893056 98.063079) - (xy 122.862043 98.123945) - (xy 122.842078 98.25) - (xy 122.862043 98.376055) - (xy 122.888318 98.427623) - (xy 122.909235 98.468674) - (xy 122.919984 98.489771) - (xy 123.010229 98.580016) - (xy 123.015505 98.582704) - (xy 123.015506 98.582705) - (xy 123.04945 98.6) - (xy 123.123945 98.637957) - (xy 123.186972 98.647939) - (xy 123.213734 98.652178) - (xy 123.25 98.657922) - (xy 123.286267 98.652178) - (xy 123.313028 98.647939) - (xy 123.376055 98.637957) - (xy 123.45055 98.6) - (xy 123.484494 98.582705) - (xy 123.484495 98.582704) - (xy 123.489771 98.580016) - (xy 123.493961 98.575826) - (xy 123.498741 98.572353) - (xy 123.500396 98.574631) - (xy 123.537197 98.55747) - (xy 123.585529 98.569857) - (xy 123.611894 98.587473) - (xy 123.642311 98.628956) - (xy 123.638948 98.680286) - (xy 123.611896 98.712526) - (xy 123.566715 98.742715) - (xy 123.562603 98.748869) - (xy 123.536003 98.788679) - (xy 123.494519 98.819096) - (xy 123.473476 98.8221) - (xy 122.973035 98.8221) - (xy 122.924697 98.804507) - (xy 122.919861 98.800074) - (xy 122.839771 98.719984) - (xy 122.82946 98.71473) - (xy 122.778406 98.688717) - (xy 122.726055 98.662043) - (xy 122.650018 98.65) - (xy 122.605847 98.643004) - (xy 122.6 98.642078) - (xy 122.594153 98.643004) - (xy 122.549982 98.65) - (xy 122.473945 98.662043) - (xy 122.421594 98.688717) - (xy 122.370541 98.71473) - (xy 122.360229 98.719984) - (xy 122.269984 98.810229) - (xy 122.212043 98.923945) - (xy 122.211081 98.930017) - (xy 122.194181 99.036725) - (xy 122.192078 99.05) - (xy 122.193004 99.055847) - (xy 122.197675 99.085336) - (xy 122.212043 99.176055) - (xy 122.229663 99.210636) - (xy 122.266272 99.282485) - (xy 122.269984 99.289771) - (xy 122.360229 99.380016) - (xy 122.365505 99.382704) - (xy 122.365506 99.382705) - (xy 122.39945 99.4) - (xy 122.473945 99.437957) - (xy 122.479792 99.438883) - (xy 122.586899 99.455847) - (xy 122.6 99.457922) - (xy 122.613102 99.455847) - (xy 122.720208 99.438883) - (xy 122.726055 99.437957) - (xy 122.80055 99.4) - (xy 122.834494 99.382705) - (xy 122.834495 99.382704) - (xy 122.839771 99.380016) - (xy 122.919861 99.299926) - (xy 122.966481 99.278186) - (xy 122.973035 99.2779) - (xy 123.473476 99.2779) - (xy 123.521814 99.295493) - (xy 123.536003 99.311321) - (xy 123.557941 99.344153) - (xy 123.566715 99.357285) - (xy 123.600735 99.380016) - (xy 123.611895 99.387473) - (xy 123.642312 99.428957) - (xy 123.638948 99.480286) - (xy 123.611894 99.512527) - (xy 123.585529 99.530143) - (xy 123.535563 99.542369) - (xy 123.500326 99.525466) - (xy 123.498741 99.527647) - (xy 123.49396 99.524173) - (xy 123.489771 99.519984) - (xy 123.47946 99.51473) - (xy 123.435002 99.492078) - (xy 123.376055 99.462043) - (xy 123.300018 99.45) - (xy 123.255847 99.443004) - (xy 123.25 99.442078) - (xy 123.244153 99.443004) - (xy 123.199982 99.45) - (xy 123.123945 99.462043) - (xy 123.064998 99.492078) - (xy 123.020541 99.51473) - (xy 123.010229 99.519984) - (xy 122.919984 99.610229) - (xy 122.917296 99.615505) - (xy 122.917295 99.615506) - (xy 122.898139 99.653102) - (xy 122.862043 99.723945) - (xy 122.852849 99.781992) - (xy 122.844682 99.833562) - (xy 122.842078 99.85) - (xy 122.862043 99.976055) - (xy 122.880275 100.011838) - (xy 122.915876 100.081708) - (xy 122.919984 100.089771) - (xy 123.010229 100.180016) - (xy 123.015505 100.182704) - (xy 123.015506 100.182705) - (xy 123.056311 100.203496) - (xy 123.123945 100.237957) - (xy 123.129792 100.238883) - (xy 123.240239 100.256376) - (xy 123.25 100.257922) - (xy 123.259762 100.256376) - (xy 123.370208 100.238883) - (xy 123.376055 100.237957) - (xy 123.443689 100.203496) - (xy 123.484494 100.182705) - (xy 123.484495 100.182704) - (xy 123.489771 100.180016) - (xy 123.493961 100.175826) - (xy 123.498741 100.172353) - (xy 123.500396 100.174631) - (xy 123.537197 100.15747) - (xy 123.585529 100.169857) - (xy 123.611894 100.187473) - (xy 123.642311 100.228956) - (xy 123.638948 100.280286) - (xy 123.611896 100.312526) - (xy 123.566715 100.342715) - (xy 123.562603 100.348869) - (xy 123.536003 100.388679) - (xy 123.494519 100.419096) - (xy 123.473476 100.4221) - (xy 122.973035 100.4221) - (xy 122.924697 100.404507) - (xy 122.919861 100.400074) - (xy 122.839771 100.319984) - (xy 122.826573 100.313259) - (xy 122.771641 100.28527) - (xy 122.726055 100.262043) - (xy 122.650018 100.25) - (xy 122.605847 100.243004) - (xy 122.6 100.242078) - (xy 122.594153 100.243004) - (xy 122.549982 100.25) - (xy 122.473945 100.262043) - (xy 122.428359 100.28527) - (xy 122.373428 100.313259) - (xy 122.360229 100.319984) - (xy 122.269984 100.410229) - (xy 122.267296 100.415505) - (xy 122.267295 100.415506) - (xy 122.249174 100.451071) - (xy 122.212043 100.523945) - (xy 122.211117 100.529792) - (xy 122.198509 100.609399) - (xy 122.192078 100.65) - (xy 122.212043 100.776055) - (xy 122.24038 100.831669) - (xy 122.267023 100.883959) - (xy 122.269984 100.889771) - (xy 122.360229 100.980016) - (xy 122.365505 100.982704) - (xy 122.365506 100.982705) - (xy 122.394437 100.997446) - (xy 122.473945 101.037957) - (xy 122.479792 101.038883) - (xy 122.58724 101.055901) - (xy 122.6 101.057922) - (xy 122.612761 101.055901) - (xy 122.720208 101.038883) - (xy 122.726055 101.037957) - (xy 122.805563 100.997446) - (xy 122.834494 100.982705) - (xy 122.834495 100.982704) - (xy 122.839771 100.980016) - (xy 122.919861 100.899926) - (xy 122.966481 100.878186) - (xy 122.973035 100.8779) - (xy 123.473476 100.8779) - (xy 123.521814 100.895493) - (xy 123.536003 100.911321) - (xy 123.560887 100.948562) - (xy 123.566715 100.957285) - (xy 123.600735 100.980016) - (xy 123.611895 100.987473) - (xy 123.642312 101.028957) - (xy 123.638948 101.080286) - (xy 123.611894 101.112527) - (xy 123.585529 101.130143) - (xy 123.535563 101.142369) - (xy 123.500326 101.125466) - (xy 123.498741 101.127647) - (xy 123.49396 101.124173) - (xy 123.489771 101.119984) - (xy 123.444859 101.0971) - (xy 123.424979 101.086971) - (xy 123.376055 101.062043) - (xy 123.25 101.042078) - (xy 123.123945 101.062043) - (xy 123.075021 101.086971) - (xy 123.055142 101.0971) - (xy 123.010229 101.119984) - (xy 122.919984 101.210229) - (xy 122.917296 101.215505) - (xy 122.917295 101.215506) - (xy 122.902759 101.244035) - (xy 122.862043 101.323945) - (xy 122.842078 101.45) - (xy 122.862043 101.576055) - (xy 122.880587 101.612449) - (xy 122.896846 101.644359) - (xy 122.919984 101.689771) - (xy 123.010229 101.780016) - (xy 123.015505 101.782704) - (xy 123.015506 101.782705) - (xy 123.062998 101.806903) - (xy 123.123945 101.837957) - (xy 123.25 101.857922) - (xy 123.376055 101.837957) - (xy 123.437002 101.806903) - (xy 123.484494 101.782705) - (xy 123.484495 101.782704) - (xy 123.489771 101.780016) - (xy 123.493961 101.775826) - (xy 123.498741 101.772353) - (xy 123.500396 101.774631) - (xy 123.537197 101.75747) - (xy 123.585529 101.769857) - (xy 123.611894 101.787473) - (xy 123.642311 101.828956) - (xy 123.638948 101.880286) - (xy 123.611896 101.912526) - (xy 123.566715 101.942715) - (xy 123.562603 101.948869) - (xy 123.536003 101.988679) - (xy 123.494519 102.019096) - (xy 123.473476 102.0221) - (xy 122.973035 102.0221) - (xy 122.924697 102.004507) - (xy 122.919861 102.000074) - (xy 122.839771 101.919984) - (xy 122.833554 101.916816) - (xy 122.780474 101.889771) - (xy 122.726055 101.862043) - (xy 122.661793 101.851865) - (xy 122.605847 101.843004) - (xy 122.6 101.842078) - (xy 122.594153 101.843004) - (xy 122.538207 101.851865) - (xy 122.473945 101.862043) - (xy 122.419526 101.889771) - (xy 122.366447 101.916816) - (xy 122.360229 101.919984) - (xy 122.269984 102.010229) - (xy 122.267296 102.015505) - (xy 122.267295 102.015506) - (xy 122.253769 102.042053) - (xy 122.212043 102.123945) - (xy 122.205744 102.163715) - (xy 122.194949 102.231876) - (xy 122.192078 102.25) - (xy 122.212043 102.376055) - (xy 122.232781 102.416755) - (xy 122.265876 102.481708) - (xy 122.269984 102.489771) - (xy 122.360229 102.580016) - (xy 122.365505 102.582704) - (xy 122.365506 102.582705) - (xy 122.395065 102.597766) - (xy 122.473945 102.637957) - (xy 122.532954 102.647303) - (xy 122.590239 102.656376) - (xy 122.6 102.657922) - (xy 122.609762 102.656376) - (xy 122.667046 102.647303) - (xy 122.726055 102.637957) - (xy 122.804935 102.597766) - (xy 122.834494 102.582705) - (xy 122.834495 102.582704) - (xy 122.839771 102.580016) - (xy 122.919861 102.499926) - (xy 122.966481 102.478186) - (xy 122.973035 102.4779) - (xy 123.473476 102.4779) - (xy 123.521814 102.495493) - (xy 123.536003 102.511321) - (xy 123.565269 102.555121) - (xy 123.577495 102.605087) - (xy 123.554744 102.651222) - (xy 123.502742 102.6721) - (xy 123.422658 102.6721) - (xy 123.388517 102.663903) - (xy 123.382582 102.660879) - (xy 123.382581 102.660879) - (xy 123.377306 102.658191) - (xy 123.308561 102.647303) - (xy 123.255847 102.638954) - (xy 123.25 102.638028) - (xy 123.244153 102.638954) - (xy 123.191439 102.647303) - (xy 123.122694 102.658191) - (xy 123.080265 102.67981) - (xy 123.015466 102.712827) - (xy 123.007849 102.716708) - (xy 122.916708 102.807849) - (xy 122.91402 102.813125) - (xy 122.914019 102.813126) - (xy 122.902736 102.83527) - (xy 122.858191 102.922694) - (xy 122.849201 102.979457) - (xy 122.84406 103.011918) - (xy 122.838028 103.05) - (xy 122.838954 103.055847) - (xy 122.841497 103.0719) - (xy 122.858191 103.177306) - (xy 122.869754 103.2) - (xy 122.911451 103.281833) - (xy 122.916708 103.292151) - (xy 123.007849 103.383292) - (xy 123.013125 103.38598) - (xy 123.013126 103.385981) - (xy 123.052115 103.405847) - (xy 123.122694 103.441809) - (xy 123.128541 103.442735) - (xy 123.243093 103.460878) - (xy 123.25 103.461972) - (xy 123.256908 103.460878) - (xy 123.371459 103.442735) - (xy 123.377306 103.441809) - (xy 123.382582 103.439121) - (xy 123.388517 103.436097) - (xy 123.422658 103.4279) - (xy 123.502742 103.4279) - (xy 123.55108 103.445493) - (xy 123.5768 103.490042) - (xy 123.565269 103.544879) - (xy 123.536003 103.588679) - (xy 123.494519 103.619096) - (xy 123.473476 103.6221) - (xy 122.928691 103.6221) - (xy 122.880353 103.604507) - (xy 122.875517 103.600074) - (xy 122.792151 103.516708) - (xy 122.770836 103.505847) - (xy 122.687252 103.463259) - (xy 122.677306 103.458191) - (xy 122.558511 103.439376) - (xy 122.555847 103.438954) - (xy 122.55 103.438028) - (xy 122.544153 103.438954) - (xy 122.541489 103.439376) - (xy 122.422694 103.458191) - (xy 122.412748 103.463259) - (xy 122.329165 103.505847) - (xy 122.307849 103.516708) - (xy 122.216708 103.607849) - (xy 122.21402 103.613125) - (xy 122.214019 103.613126) - (xy 122.195231 103.65) - (xy 122.158191 103.722694) - (xy 122.138028 103.85) - (xy 122.138954 103.855847) - (xy 122.142782 103.880016) - (xy 122.158191 103.977306) - (xy 122.185222 104.030356) - (xy 122.212807 104.084494) - (xy 122.216708 104.092151) - (xy 122.307849 104.183292) - (xy 122.313125 104.18598) - (xy 122.313126 104.185981) - (xy 122.360183 104.209958) - (xy 122.422694 104.241809) - (xy 122.482126 104.251222) - (xy 122.543093 104.260878) - (xy 122.55 104.261972) - (xy 122.556908 104.260878) - (xy 122.617874 104.251222) - (xy 122.677306 104.241809) - (xy 122.739817 104.209958) - (xy 122.786874 104.185981) - (xy 122.786875 104.18598) - (xy 122.792151 104.183292) - (xy 122.875517 104.099926) - (xy 122.922137 104.078186) - (xy 122.928691 104.0779) - (xy 123.473476 104.0779) - (xy 123.521814 104.095493) - (xy 123.536003 104.111321) - (xy 123.549508 104.131532) - (xy 123.566715 104.157285) - (xy 123.572869 104.161397) - (xy 123.612345 104.187774) - (xy 123.642762 104.229258) - (xy 123.639397 104.280588) - (xy 123.612345 104.312827) - (xy 123.573233 104.338961) - (xy 123.562964 104.34923) - (xy 123.5122 104.425205) - (xy 123.506641 104.438624) - (xy 123.49702 104.486994) - (xy 123.498664 104.497679) - (xy 123.50128 104.499972) - (xy 123.501428 104.5) - (xy 125.292307 104.5) - (xy 125.302464 104.496303) - (xy 125.304203 104.493291) - (xy 125.304201 104.493139) - (xy 125.293359 104.438628) - (xy 125.287799 104.425202) - (xy 125.2621 104.386741) - (xy 125.8226 104.386741) - (xy 125.826297 104.396898) - (xy 125.831669 104.4) - (xy 126.286741 104.4) - (xy 126.296898 104.396303) - (xy 126.3 104.390931) - (xy 126.3 104.386741) - (xy 126.6 104.386741) - (xy 126.603697 104.396898) - (xy 126.609069 104.4) - (xy 127.064141 104.4) - (xy 127.074298 104.396303) - (xy 127.0774 104.390931) - (xy 127.0774 104.305657) - (xy 127.077 104.30019) - (xy 127.067694 104.236971) - (xy 127.064269 104.22595) - (xy 127.016041 104.127722) - (xy 127.008906 104.117756) - (xy 126.931729 104.040714) - (xy 126.921743 104.03359) - (xy 126.823428 103.985533) - (xy 126.812416 103.98213) - (xy 126.749795 103.972995) - (xy 126.744359 103.9726) - (xy 126.613259 103.9726) - (xy 126.603102 103.976297) - (xy 126.6 103.981669) - (xy 126.6 104.386741) - (xy 126.3 104.386741) - (xy 126.3 103.985859) - (xy 126.296303 103.975702) - (xy 126.290931 103.9726) - (xy 126.155657 103.9726) - (xy 126.15019 103.973) - (xy 126.086971 103.982306) - (xy 126.07595 103.985731) - (xy 125.977722 104.033959) - (xy 125.967756 104.041094) - (xy 125.890714 104.118271) - (xy 125.88359 104.128257) - (xy 125.835533 104.226572) - (xy 125.83213 104.237584) - (xy 125.822995 104.300205) - (xy 125.8226 104.305641) - (xy 125.8226 104.386741) - (xy 125.2621 104.386741) - (xy 125.237036 104.34923) - (xy 125.226767 104.338961) - (xy 125.187655 104.312827) - (xy 125.157238 104.271344) - (xy 125.160603 104.220014) - (xy 125.187655 104.187774) - (xy 125.227131 104.161397) - (xy 125.233285 104.157285) - (xy 125.292386 104.068834) - (xy 125.293832 104.061567) - (xy 125.307179 103.994464) - (xy 125.3079 103.990839) - (xy 125.307899 103.709162) - (xy 125.306897 103.70412) - (xy 125.29807 103.659745) - (xy 125.292386 103.631166) - (xy 125.287632 103.62405) - (xy 125.234731 103.544879) - (xy 125.222505 103.494913) - (xy 125.245256 103.448778) - (xy 125.297258 103.4279) - (xy 125.377342 103.4279) - (xy 125.411483 103.436097) - (xy 125.417418 103.439121) - (xy 125.422694 103.441809) - (xy 125.428541 103.442735) - (xy 125.515058 103.456438) - (xy 125.51506 103.456438) - (xy 125.517976 103.4569) - (xy 125.537631 103.4569) - (xy 125.538851 103.456996) - (xy 125.544153 103.456996) - (xy 125.55 103.457922) - (xy 125.555847 103.456996) - (xy 125.561149 103.456996) - (xy 125.562369 103.4569) - (xy 125.833888 103.4569) - (xy 125.882226 103.474493) - (xy 125.887016 103.478879) - (xy 125.892692 103.484545) - (xy 125.972377 103.564091) - (xy 125.977959 103.56682) - (xy 125.977961 103.566821) - (xy 126.07642 103.614949) - (xy 126.076422 103.61495) - (xy 126.081666 103.617513) - (xy 126.087443 103.618356) - (xy 126.087444 103.618356) - (xy 126.092517 103.619096) - (xy 126.152869 103.6279) - (xy 126.449078 103.6279) - (xy 126.74713 103.627899) - (xy 126.806935 103.619096) - (xy 126.813172 103.618178) - (xy 126.818953 103.617327) - (xy 126.87136 103.591597) - (xy 126.904502 103.5839) - (xy 126.947703 103.5839) - (xy 126.996041 103.601493) - (xy 127.000877 103.605926) - (xy 127.044245 103.649294) - (xy 127.050731 103.656689) - (xy 127.064148 103.674174) - (xy 127.069218 103.680782) - (xy 127.073125 103.68378) - (xy 127.096124 103.701428) - (xy 127.09753 103.702579) - (xy 127.098389 103.703438) - (xy 127.124293 103.7231) - (xy 127.131071 103.728245) - (xy 127.131327 103.728441) - (xy 127.141502 103.736248) - (xy 127.172755 103.760229) - (xy 127.180746 103.766361) - (xy 127.181937 103.766854) - (xy 127.185441 103.769514) - (xy 127.250991 103.795467) - (xy 127.251986 103.79587) - (xy 127.310624 103.820158) - (xy 127.313669 103.820559) - (xy 127.315748 103.821246) - (xy 127.316683 103.821476) - (xy 127.321449 103.823363) - (xy 127.326548 103.823899) - (xy 127.387511 103.830307) - (xy 127.389465 103.830538) - (xy 127.445112 103.837864) - (xy 127.445116 103.837864) - (xy 127.45 103.838507) - (xy 127.454889 103.837863) - (xy 127.455457 103.837863) - (xy 127.460884 103.838148) - (xy 127.461832 103.838118) - (xy 127.466928 103.838654) - (xy 127.528942 103.828165) - (xy 127.531645 103.827759) - (xy 127.589376 103.820158) - (xy 127.593932 103.818271) - (xy 127.598686 103.816997) - (xy 127.598769 103.817306) - (xy 127.60292 103.816112) - (xy 127.606103 103.815114) - (xy 127.611159 103.814259) - (xy 127.664988 103.788929) - (xy 127.668222 103.787499) - (xy 127.668739 103.787285) - (xy 127.719254 103.766361) - (xy 127.723161 103.763363) - (xy 127.724805 103.762414) - (xy 127.736585 103.755238) - (xy 127.738874 103.754161) - (xy 127.738877 103.754159) - (xy 127.743516 103.751976) - (xy 127.765842 103.732705) - (xy 127.786034 103.715276) - (xy 127.789392 103.712542) - (xy 127.826875 103.68378) - (xy 127.830782 103.680782) - (xy 127.835484 103.674655) - (xy 127.845998 103.663517) - (xy 127.85425 103.656394) - (xy 127.861724 103.645145) - (xy 127.883523 103.612335) - (xy 127.886498 103.608172) - (xy 127.913363 103.57316) - (xy 127.916361 103.569253) - (xy 127.920545 103.559152) - (xy 127.927385 103.546315) - (xy 127.932363 103.538823) - (xy 127.932364 103.538821) - (xy 127.935199 103.534554) - (xy 127.950532 103.487363) - (xy 127.952575 103.481825) - (xy 127.968272 103.44393) - (xy 127.968273 103.443927) - (xy 127.970158 103.439376) - (xy 127.972003 103.425358) - (xy 127.975039 103.411941) - (xy 127.980403 103.395434) - (xy 127.98075 103.387173) - (xy 127.982346 103.349084) - (xy 127.982923 103.342419) - (xy 127.987864 103.304885) - (xy 127.987864 103.304884) - (xy 127.988507 103.3) - (xy 127.987474 103.292151) - (xy 127.986244 103.282805) - (xy 127.985667 103.269846) - (xy 127.986314 103.254406) - (xy 127.986314 103.254401) - (xy 127.986528 103.249283) - (xy 127.976675 103.207275) - (xy 127.975331 103.199918) - (xy 127.970801 103.165511) - (xy 127.970158 103.160624) - (xy 127.968272 103.156071) - (xy 127.968271 103.156067) - (xy 127.962297 103.141644) - (xy 127.95856 103.13004) - (xy 127.954296 103.111859) - (xy 127.954295 103.111856) - (xy 127.953125 103.106868) - (xy 127.933893 103.071885) - (xy 127.930316 103.064437) - (xy 127.926679 103.055657) - (xy 127.916361 103.030747) - (xy 127.901912 103.011917) - (xy 127.895675 103.002367) - (xy 127.890345 102.992672) - (xy 127.882654 102.978682) - (xy 127.875865 102.970816) - (xy 127.855756 102.950707) - (xy 127.84927 102.943312) - (xy 127.83378 102.923125) - (xy 127.830782 102.919218) - (xy 127.806692 102.900732) - (xy 127.799296 102.894247) - (xy 127.587132 102.682084) - (xy 127.584951 102.67981) - (xy 127.546991 102.638528) - (xy 127.546989 102.638526) - (xy 127.543521 102.634755) - (xy 127.517709 102.618751) - (xy 127.505773 102.61135) - (xy 127.499934 102.607337) - (xy 127.468642 102.583585) - (xy 127.464558 102.580485) - (xy 127.447097 102.573571) - (xy 127.435161 102.567568) - (xy 127.419199 102.557672) - (xy 127.376548 102.545281) - (xy 127.369847 102.542986) - (xy 127.333317 102.528523) - (xy 127.328551 102.526636) - (xy 127.323453 102.5261) - (xy 127.323452 102.5261) - (xy 127.319488 102.525684) - (xy 127.309877 102.524673) - (xy 127.296767 102.522102) - (xy 127.278727 102.516861) - (xy 127.27275 102.516422) - (xy 127.269728 102.5162) - (xy 127.269724 102.5162) - (xy 127.268364 102.5161) - (xy 127.232245 102.5161) - (xy 127.224385 102.515688) - (xy 127.218286 102.515047) - (xy 127.183072 102.511346) - (xy 127.168487 102.513813) - (xy 127.161191 102.515047) - (xy 127.14865 102.5161) - (xy 127.0781 102.5161) - (xy 127.029762 102.498507) - (xy 127.004042 102.453958) - (xy 127.0029 102.4409) - (xy 127.0029 102.141171) - (xy 127.003543 102.131356) - (xy 127.007028 102.104884) - (xy 127.007671 102.1) - (xy 127.006216 102.088945) - (xy 127.003087 102.065178) - (xy 127.0029 102.063304) - (xy 127.0029 102.062054) - (xy 126.997129 102.019923) - (xy 126.995099 102.004507) - (xy 126.989044 101.95851) - (xy 126.989043 101.958506) - (xy 126.988669 101.955664) - (xy 126.988157 101.954428) - (xy 126.987539 101.949916) - (xy 126.958542 101.882907) - (xy 126.958088 101.881834) - (xy 126.934846 101.825725) - (xy 126.934846 101.825724) - (xy 126.932957 101.821165) - (xy 126.931027 101.81865) - (xy 126.929875 101.81636) - (xy 126.929416 101.815601) - (xy 126.927377 101.81089) - (xy 126.884049 101.757385) - (xy 126.882863 101.75588) - (xy 126.844333 101.705667) - (xy 126.840426 101.702669) - (xy 126.839614 101.701857) - (xy 126.835934 101.697771) - (xy 126.835269 101.697146) - (xy 126.832044 101.693164) - (xy 126.82787 101.690198) - (xy 126.827864 101.690192) - (xy 126.778921 101.65541) - (xy 126.776704 101.653773) - (xy 126.732744 101.620041) - (xy 126.73274 101.620039) - (xy 126.728836 101.617043) - (xy 126.724289 101.615159) - (xy 126.720013 101.612691) - (xy 126.720153 101.612449) - (xy 126.713783 101.608925) - (xy 126.712744 101.608382) - (xy 126.708565 101.605412) - (xy 126.683336 101.596329) - (xy 126.650561 101.584529) - (xy 126.647256 101.583251) - (xy 126.59889 101.563217) - (xy 126.598888 101.563216) - (xy 126.594336 101.561331) - (xy 126.589429 101.560685) - (xy 126.573764 101.556881) - (xy 126.566035 101.554098) - (xy 126.560922 101.553722) - (xy 126.56092 101.553722) - (xy 126.507953 101.549832) - (xy 126.503646 101.549391) - (xy 126.454886 101.542972) - (xy 126.454884 101.542972) - (xy 126.45 101.542329) - (xy 126.441804 101.543408) - (xy 126.426485 101.54385) - (xy 126.414957 101.543003) - (xy 126.409933 101.544016) - (xy 126.409932 101.544016) - (xy 126.361174 101.553847) - (xy 126.356127 101.554687) - (xy 126.310547 101.560688) - (xy 126.310546 101.560688) - (xy 126.305664 101.561331) - (xy 126.301114 101.563216) - (xy 126.301109 101.563217) - (xy 126.294965 101.565762) - (xy 126.281054 101.570002) - (xy 126.271483 101.571932) - (xy 126.271482 101.571932) - (xy 126.26646 101.572945) - (xy 126.239042 101.586915) - (xy 126.220566 101.596329) - (xy 126.215204 101.5988) - (xy 126.17572 101.615155) - (xy 126.175712 101.615159) - (xy 126.171165 101.617043) - (xy 126.15935 101.626109) - (xy 126.147722 101.633445) - (xy 126.131486 101.641718) - (xy 126.127715 101.645185) - (xy 126.12771 101.645189) - (xy 126.096033 101.674317) - (xy 126.090912 101.678622) - (xy 126.055667 101.705667) - (xy 126.044591 101.720101) - (xy 126.035838 101.729671) - (xy 126.019978 101.744255) - (xy 126.017276 101.748613) - (xy 125.996358 101.78235) - (xy 125.992106 101.788502) - (xy 125.974927 101.81089) - (xy 125.967043 101.821165) - (xy 125.958817 101.841024) - (xy 125.953258 101.851863) - (xy 125.940152 101.873001) - (xy 125.938722 101.877923) - (xy 125.928578 101.91284) - (xy 125.925841 101.920635) - (xy 125.911331 101.955664) - (xy 125.910688 101.960549) - (xy 125.908095 101.980242) - (xy 125.905752 101.991404) - (xy 125.898988 102.014687) - (xy 125.897889 102.018471) - (xy 125.8971 102.029215) - (xy 125.8971 102.058829) - (xy 125.896457 102.068644) - (xy 125.892329 102.1) - (xy 125.892972 102.104884) - (xy 125.896457 102.131356) - (xy 125.8971 102.141171) - (xy 125.8971 102.5679) - (xy 125.879507 102.616238) - (xy 125.834958 102.641958) - (xy 125.8219 102.6431) - (xy 125.562369 102.6431) - (xy 125.561149 102.643004) - (xy 125.555847 102.643004) - (xy 125.55 102.642078) - (xy 125.544153 102.643004) - (xy 125.538851 102.643004) - (xy 125.537631 102.6431) - (xy 125.517976 102.6431) - (xy 125.51506 102.643562) - (xy 125.515058 102.643562) - (xy 125.466695 102.651222) - (xy 125.422694 102.658191) - (xy 125.417419 102.660879) - (xy 125.417418 102.660879) - (xy 125.411483 102.663903) - (xy 125.377342 102.6721) - (xy 125.297258 102.6721) - (xy 125.24892 102.654507) - (xy 125.2232 102.609958) - (xy 125.234731 102.555121) - (xy 125.260295 102.516861) - (xy 125.292386 102.468834) - (xy 125.293832 102.461567) - (xy 125.307179 102.394464) - (xy 125.3079 102.390839) - (xy 125.307899 102.109162) - (xy 125.307049 102.104884) - (xy 125.298527 102.062043) - (xy 125.292386 102.031166) - (xy 125.287185 102.023381) - (xy 125.237397 101.948869) - (xy 125.233285 101.942715) - (xy 125.188105 101.912526) - (xy 125.157688 101.871043) - (xy 125.161052 101.819714) - (xy 125.188105 101.787473) - (xy 125.191012 101.785531) - (xy 125.233285 101.757285) - (xy 125.292386 101.668834) - (xy 125.293832 101.661567) - (xy 125.307179 101.594464) - (xy 125.3079 101.590839) - (xy 125.307899 101.309162) - (xy 125.305609 101.297645) - (xy 125.299103 101.264939) - (xy 125.292386 101.231166) - (xy 125.281923 101.215506) - (xy 125.237397 101.148869) - (xy 125.233285 101.142715) - (xy 125.188105 101.112526) - (xy 125.157688 101.071043) - (xy 125.161052 101.019714) - (xy 125.188105 100.987473) - (xy 125.199266 100.980016) - (xy 125.233285 100.957285) - (xy 125.292386 100.868834) - (xy 125.299779 100.831669) - (xy 125.307179 100.794464) - (xy 125.3079 100.790839) - (xy 125.307899 100.509162) - (xy 125.306896 100.504116) - (xy 125.298058 100.459682) - (xy 125.292386 100.431166) - (xy 125.287185 100.423381) - (xy 125.237397 100.348869) - (xy 125.233285 100.342715) - (xy 125.188105 100.312526) - (xy 125.182338 100.304661) - (xy 134.595601 100.304661) - (xy 134.595922 100.309557) - (xy 134.601414 100.35128) - (xy 134.604598 100.362205) - (xy 134.650414 100.460455) - (xy 134.657852 100.471078) - (xy 134.733922 100.547148) - (xy 134.744545 100.554586) - (xy 134.842798 100.600403) - (xy 134.853716 100.603586) - (xy 134.895446 100.60908) - (xy 134.900336 100.6094) - (xy 134.964741 100.6094) - (xy 134.974898 100.605703) - (xy 134.978 100.600331) - (xy 134.978 100.59614) - (xy 135.278 100.59614) - (xy 135.281697 100.606297) - (xy 135.287069 100.609399) - (xy 135.355661 100.609399) - (xy 135.360557 100.609078) - (xy 135.40228 100.603586) - (xy 135.413205 100.600402) - (xy 135.511455 100.554586) - (xy 135.522078 100.547148) - (xy 135.598148 100.471078) - (xy 135.605586 100.460455) - (xy 135.651403 100.362202) - (xy 135.654586 100.351284) - (xy 135.66008 100.309554) - (xy 135.6604 100.304664) - (xy 135.6604 100.304661) - (xy 135.865601 100.304661) - (xy 135.865922 100.309557) - (xy 135.871414 100.35128) - (xy 135.874598 100.362205) - (xy 135.920414 100.460455) - (xy 135.927852 100.471078) - (xy 136.003922 100.547148) - (xy 136.014545 100.554586) - (xy 136.112798 100.600403) - (xy 136.123716 100.603586) - (xy 136.165446 100.60908) - (xy 136.170336 100.6094) - (xy 136.234741 100.6094) - (xy 136.244898 100.605703) - (xy 136.248 100.600331) - (xy 136.248 100.59614) - (xy 136.548 100.59614) - (xy 136.551697 100.606297) - (xy 136.557069 100.609399) - (xy 136.625661 100.609399) - (xy 136.630557 100.609078) - (xy 136.67228 100.603586) - (xy 136.683205 100.600402) - (xy 136.781455 100.554586) - (xy 136.792078 100.547148) - (xy 136.868148 100.471078) - (xy 136.875586 100.460455) - (xy 136.921403 100.362202) - (xy 136.924586 100.351284) - (xy 136.93008 100.309554) - (xy 136.9304 100.304664) - (xy 136.9304 99.858259) - (xy 136.926703 99.848102) - (xy 136.921331 99.845) - (xy 136.561259 99.845) - (xy 136.551102 99.848697) - (xy 136.548 99.854069) - (xy 136.548 100.59614) - (xy 136.248 100.59614) - (xy 136.248 99.858259) - (xy 136.244303 99.848102) - (xy 136.238931 99.845) - (xy 135.87886 99.845) - (xy 135.868703 99.848697) - (xy 135.865601 99.854069) - (xy 135.865601 100.304661) - (xy 135.6604 100.304661) - (xy 135.6604 99.858259) - (xy 135.656703 99.848102) - (xy 135.651331 99.845) - (xy 135.291259 99.845) - (xy 135.281102 99.848697) - (xy 135.278 99.854069) - (xy 135.278 100.59614) - (xy 134.978 100.59614) - (xy 134.978 99.858259) - (xy 134.974303 99.848102) - (xy 134.968931 99.845) - (xy 134.60886 99.845) - (xy 134.598703 99.848697) - (xy 134.595601 99.854069) - (xy 134.595601 100.304661) - (xy 125.182338 100.304661) - (xy 125.157688 100.271043) - (xy 125.161052 100.219714) - (xy 125.188105 100.187473) - (xy 125.198624 100.180445) - (xy 125.233285 100.157285) - (xy 125.292386 100.068834) - (xy 125.293832 100.061567) - (xy 125.307179 99.994464) - (xy 125.3079 99.990839) - (xy 125.307899 99.709162) - (xy 125.30659 99.702578) - (xy 125.298166 99.660229) - (xy 125.292386 99.631166) - (xy 125.286329 99.6221) - (xy 125.237397 99.548869) - (xy 125.233285 99.542715) - (xy 125.216862 99.531741) - (xy 134.5956 99.531741) - (xy 134.599297 99.541898) - (xy 134.604669 99.545) - (xy 134.964741 99.545) - (xy 134.974898 99.541303) - (xy 134.978 99.535931) - (xy 134.978 99.531741) - (xy 135.278 99.531741) - (xy 135.281697 99.541898) - (xy 135.287069 99.545) - (xy 135.64714 99.545) - (xy 135.657297 99.541303) - (xy 135.660399 99.535931) - (xy 135.660399 99.531741) - (xy 135.8656 99.531741) - (xy 135.869297 99.541898) - (xy 135.874669 99.545) - (xy 136.234741 99.545) - (xy 136.244898 99.541303) - (xy 136.248 99.535931) - (xy 136.248 99.531741) - (xy 136.548 99.531741) - (xy 136.551697 99.541898) - (xy 136.557069 99.545) - (xy 136.91714 99.545) - (xy 136.927297 99.541303) - (xy 136.930399 99.535931) - (xy 136.930399 99.085339) - (xy 136.930078 99.080443) - (xy 136.924586 99.03872) - (xy 136.921402 99.027795) - (xy 136.875586 98.929545) - (xy 136.868148 98.918922) - (xy 136.792078 98.842852) - (xy 136.781455 98.835414) - (xy 136.683202 98.789597) - (xy 136.672284 98.786414) - (xy 136.630554 98.78092) - (xy 136.625664 98.7806) - (xy 136.561259 98.7806) - (xy 136.551102 98.784297) - (xy 136.548 98.789669) - (xy 136.548 99.531741) - (xy 136.248 99.531741) - (xy 136.248 98.79386) - (xy 136.244303 98.783703) - (xy 136.238931 98.780601) - (xy 136.170339 98.780601) - (xy 136.165443 98.780922) - (xy 136.12372 98.786414) - (xy 136.112795 98.789598) - (xy 136.014545 98.835414) - (xy 136.003922 98.842852) - (xy 135.927852 98.918922) - (xy 135.920414 98.929545) - (xy 135.874597 99.027798) - (xy 135.871414 99.038716) - (xy 135.86592 99.080446) - (xy 135.8656 99.085336) - (xy 135.8656 99.531741) - (xy 135.660399 99.531741) - (xy 135.660399 99.085339) - (xy 135.660078 99.080443) - (xy 135.654586 99.03872) - (xy 135.651402 99.027795) - (xy 135.605586 98.929545) - (xy 135.598148 98.918922) - (xy 135.522078 98.842852) - (xy 135.511455 98.835414) - (xy 135.413202 98.789597) - (xy 135.402284 98.786414) - (xy 135.360554 98.78092) - (xy 135.355664 98.7806) - (xy 135.291259 98.7806) - (xy 135.281102 98.784297) - (xy 135.278 98.789669) - (xy 135.278 99.531741) - (xy 134.978 99.531741) - (xy 134.978 98.79386) - (xy 134.974303 98.783703) - (xy 134.968931 98.780601) - (xy 134.900339 98.780601) - (xy 134.895443 98.780922) - (xy 134.85372 98.786414) - (xy 134.842795 98.789598) - (xy 134.744545 98.835414) - (xy 134.733922 98.842852) - (xy 134.657852 98.918922) - (xy 134.650414 98.929545) - (xy 134.604597 99.027798) - (xy 134.601414 99.038716) - (xy 134.59592 99.080446) - (xy 134.5956 99.085336) - (xy 134.5956 99.531741) - (xy 125.216862 99.531741) - (xy 125.188105 99.512526) - (xy 125.157688 99.471043) - (xy 125.161052 99.419714) - (xy 125.188105 99.387473) - (xy 125.199266 99.380016) - (xy 125.233285 99.357285) - (xy 125.292386 99.268834) - (xy 125.293832 99.261567) - (xy 125.307179 99.194464) - (xy 125.3079 99.190839) - (xy 125.307899 98.909162) - (xy 125.300686 98.872894) - (xy 125.296171 98.850196) - (xy 125.292386 98.831166) - (xy 125.286329 98.8221) - (xy 125.237397 98.748869) - (xy 125.233285 98.742715) - (xy 125.188105 98.712526) - (xy 125.157688 98.671043) - (xy 125.161052 98.619714) - (xy 125.188105 98.587473) - (xy 125.189767 98.586363) - (xy 125.233285 98.557285) - (xy 125.292386 98.468834) - (xy 125.293832 98.461567) - (xy 125.307179 98.394464) - (xy 125.3079 98.390839) - (xy 125.307899 98.109162) - (xy 125.292386 98.031166) - (xy 125.287783 98.024276) - (xy 125.237397 97.948869) - (xy 125.233285 97.942715) - (xy 125.188105 97.912526) - (xy 125.157688 97.871043) - (xy 125.161052 97.819714) - (xy 125.188105 97.787473) - (xy 125.195752 97.782364) - (xy 125.233285 97.757285) - (xy 125.292386 97.668834) - (xy 125.293832 97.661567) - (xy 125.307179 97.594464) - (xy 125.3079 97.590839) - (xy 125.307899 97.309162) - (xy 125.303682 97.287957) - (xy 125.298715 97.262986) - (xy 125.292386 97.231166) - (xy 125.286329 97.2221) - (xy 125.237397 97.148869) - (xy 125.233285 97.142715) - (xy 125.188105 97.112526) - (xy 125.157688 97.071043) - (xy 125.161052 97.019714) - (xy 125.188105 96.987473) - (xy 125.199266 96.980016) - (xy 125.233285 96.957285) - (xy 125.238367 96.94968) - (xy 125.244901 96.939901) - (xy 125.292386 96.868834) - (xy 125.293832 96.861567) - (xy 125.307179 96.794464) - (xy 125.3079 96.790839) - (xy 125.307899 96.509162) - (xy 125.305638 96.497791) - (xy 125.297804 96.458407) - (xy 125.292386 96.431166) - (xy 125.286329 96.4221) - (xy 125.237397 96.348869) - (xy 125.233285 96.342715) - (xy 125.188105 96.312526) - (xy 125.157688 96.271043) - (xy 125.161052 96.219714) - (xy 125.188105 96.187473) - (xy 125.190373 96.185958) - (xy 125.233285 96.157285) - (xy 125.292386 96.068834) - (xy 125.293832 96.061567) - (xy 125.307179 95.994464) - (xy 125.3079 95.990839) - (xy 125.307899 95.709162) - (xy 125.306796 95.703612) - (xy 125.297246 95.6556) - (xy 125.292386 95.631166) - (xy 125.287028 95.623146) - (xy 125.237397 95.548869) - (xy 125.233285 95.542715) - (xy 125.188105 95.512526) - (xy 125.157688 95.471043) - (xy 125.161052 95.419714) - (xy 125.188105 95.387473) - (xy 125.189722 95.386393) - (xy 125.233285 95.357285) - (xy 125.292386 95.268834) - (xy 125.293832 95.261567) - (xy 125.307179 95.194464) - (xy 125.3079 95.190839) - (xy 125.307899 94.909162) - (xy 125.305181 94.895493) - (xy 125.297028 94.854507) - (xy 125.292386 94.831166) - (xy 125.286329 94.8221) - (xy 125.237397 94.748869) - (xy 125.233285 94.742715) - (xy 125.188105 94.712526) - (xy 125.157688 94.671043) - (xy 125.161052 94.619714) - (xy 125.188105 94.587473) - (xy 125.189059 94.586836) - (xy 125.233285 94.557285) - (xy 125.292386 94.468834) - (xy 125.293832 94.461567) - (xy 125.307179 94.394464) - (xy 125.307203 94.394343) - (xy 125.8226 94.394343) - (xy 125.823 94.39981) - (xy 125.832306 94.463029) - (xy 125.835731 94.47405) - (xy 125.883959 94.572278) - (xy 125.891094 94.582244) - (xy 125.968271 94.659286) - (xy 125.978257 94.66641) - (xy 126.076572 94.714467) - (xy 126.087584 94.71787) - (xy 126.150205 94.727005) - (xy 126.155641 94.7274) - (xy 126.286741 94.7274) - (xy 126.296898 94.723703) - (xy 126.3 94.718331) - (xy 126.3 94.714141) - (xy 126.6 94.714141) - (xy 126.603697 94.724298) - (xy 126.609069 94.7274) - (xy 126.744343 94.7274) - (xy 126.74981 94.727) - (xy 126.813029 94.717694) - (xy 126.82405 94.714269) - (xy 126.922278 94.666041) - (xy 126.932244 94.658906) - (xy 127.009286 94.581729) - (xy 127.01641 94.571743) - (xy 127.064467 94.473428) - (xy 127.06787 94.462416) - (xy 127.077005 94.399795) - (xy 127.0774 94.394359) - (xy 127.0774 94.313259) - (xy 127.073703 94.303102) - (xy 127.068331 94.3) - (xy 126.613259 94.3) - (xy 126.603102 94.303697) - (xy 126.6 94.309069) - (xy 126.6 94.714141) - (xy 126.3 94.714141) - (xy 126.3 94.313259) - (xy 126.296303 94.303102) - (xy 126.290931 94.3) - (xy 125.835859 94.3) - (xy 125.825702 94.303697) - (xy 125.8226 94.309069) - (xy 125.8226 94.394343) - (xy 125.307203 94.394343) - (xy 125.3079 94.390839) - (xy 125.307899 94.109162) - (xy 125.304839 94.093774) - (xy 125.293831 94.038433) - (xy 125.292386 94.031166) - (xy 125.286329 94.0221) - (xy 125.262703 93.986741) - (xy 125.8226 93.986741) - (xy 125.826297 93.996898) - (xy 125.831669 94) - (xy 126.286741 94) - (xy 126.296898 93.996303) - (xy 126.3 93.990931) - (xy 126.3 93.986741) - (xy 126.6 93.986741) - (xy 126.603697 93.996898) - (xy 126.609069 94) - (xy 127.064141 94) - (xy 127.074298 93.996303) - (xy 127.0774 93.990931) - (xy 127.0774 93.905657) - (xy 127.077 93.90019) - (xy 127.067694 93.836971) - (xy 127.064269 93.82595) - (xy 127.016041 93.727722) - (xy 127.008906 93.717756) - (xy 126.931729 93.640714) - (xy 126.921743 93.63359) - (xy 126.823428 93.585533) - (xy 126.812416 93.58213) - (xy 126.749795 93.572995) - (xy 126.744359 93.5726) - (xy 126.613259 93.5726) - (xy 126.603102 93.576297) - (xy 126.6 93.581669) - (xy 126.6 93.986741) - (xy 126.3 93.986741) - (xy 126.3 93.585859) - (xy 126.296303 93.575702) - (xy 126.290931 93.5726) - (xy 126.155657 93.5726) - (xy 126.15019 93.573) - (xy 126.086971 93.582306) - (xy 126.07595 93.585731) - (xy 125.977722 93.633959) - (xy 125.967756 93.641094) - (xy 125.890714 93.718271) - (xy 125.88359 93.728257) - (xy 125.835533 93.826572) - (xy 125.83213 93.837584) - (xy 125.822995 93.900205) - (xy 125.8226 93.905641) - (xy 125.8226 93.986741) - (xy 125.262703 93.986741) - (xy 125.237397 93.948869) - (xy 125.233285 93.942715) - (xy 125.188105 93.912526) - (xy 125.157688 93.871043) - (xy 125.161052 93.819714) - (xy 125.188105 93.787473) - (xy 125.199266 93.780016) - (xy 125.233285 93.757285) - (xy 125.292386 93.668834) - (xy 125.293832 93.661567) - (xy 125.307179 93.594464) - (xy 125.3079 93.590839) - (xy 125.307899 93.309162) - (xy 125.305546 93.297328) - (xy 125.293831 93.238433) - (xy 125.292386 93.231166) - (xy 125.286329 93.2221) - (xy 125.234731 93.144879) - (xy 125.222505 93.094913) - (xy 125.245256 93.048778) - (xy 125.297258 93.0279) - (xy 125.386153 93.0279) - (xy 125.412766 93.03429) - (xy 125.413042 93.033441) - (xy 125.418671 93.03527) - (xy 125.423945 93.037957) - (xy 125.516108 93.052554) - (xy 125.55 93.057922) - (xy 125.560551 93.056251) - (xy 125.575861 93.053826) - (xy 125.587625 93.0529) - (xy 125.830816 93.0529) - (xy 125.879154 93.070493) - (xy 125.886263 93.078171) - (xy 125.886285 93.078149) - (xy 125.972377 93.164091) - (xy 125.977959 93.16682) - (xy 125.977961 93.166821) - (xy 126.07642 93.214949) - (xy 126.076422 93.21495) - (xy 126.081666 93.217513) - (xy 126.087443 93.218356) - (xy 126.087444 93.218356) - (xy 126.101305 93.220378) - (xy 126.152869 93.2279) - (xy 126.449078 93.2279) - (xy 126.74713 93.227899) - (xy 126.806935 93.219096) - (xy 126.813172 93.218178) - (xy 126.818953 93.217327) - (xy 126.8242 93.214751) - (xy 126.832662 93.210597) - (xy 126.865803 93.2029) - (xy 126.939833 93.2029) - (xy 126.988171 93.220493) - (xy 126.993007 93.224926) - (xy 127.029929 93.261848) - (xy 127.036414 93.269242) - (xy 127.055667 93.294333) - (xy 127.079811 93.312859) - (xy 127.083525 93.315709) - (xy 127.084986 93.316905) - (xy 127.085872 93.317791) - (xy 127.119764 93.343517) - (xy 127.171164 93.382957) - (xy 127.172399 93.383469) - (xy 127.176028 93.386223) - (xy 127.243864 93.413081) - (xy 127.244944 93.413518) - (xy 127.305664 93.438669) - (xy 127.308805 93.439083) - (xy 127.311234 93.439886) - (xy 127.312107 93.440101) - (xy 127.316875 93.441988) - (xy 127.321975 93.442524) - (xy 127.3853 93.44918) - (xy 127.387254 93.449411) - (xy 127.445113 93.457028) - (xy 127.445116 93.457028) - (xy 127.45 93.457671) - (xy 127.454888 93.457027) - (xy 127.456021 93.457027) - (xy 127.461509 93.457315) - (xy 127.462432 93.457286) - (xy 127.46753 93.457822) - (xy 127.531766 93.446957) - (xy 127.534477 93.44655) - (xy 127.594336 93.438669) - (xy 127.598893 93.436782) - (xy 127.603646 93.435508) - (xy 127.603719 93.435779) - (xy 127.610732 93.433761) - (xy 127.61184 93.433414) - (xy 127.616893 93.432559) - (xy 127.62153 93.430377) - (xy 127.621533 93.430376) - (xy 127.672668 93.406314) - (xy 127.675908 93.404881) - (xy 127.724285 93.384842) - (xy 127.724284 93.384842) - (xy 127.728836 93.382957) - (xy 127.732765 93.379942) - (xy 127.746525 93.37156) - (xy 127.749323 93.370243) - (xy 127.753962 93.36806) - (xy 127.757842 93.364711) - (xy 127.798049 93.330006) - (xy 127.801405 93.327273) - (xy 127.805742 93.323945) - (xy 127.844333 93.294333) - (xy 127.849369 93.28777) - (xy 127.85989 93.276626) - (xy 127.864753 93.272429) - (xy 127.864758 93.272424) - (xy 127.868635 93.269077) - (xy 127.871471 93.264808) - (xy 127.871474 93.264805) - (xy 127.898998 93.223377) - (xy 127.901967 93.219222) - (xy 127.925199 93.188946) - (xy 127.929957 93.182745) - (xy 127.929958 93.182744) - (xy 127.932957 93.178835) - (xy 127.937389 93.168135) - (xy 127.944227 93.155303) - (xy 127.949628 93.147174) - (xy 127.949629 93.147172) - (xy 127.952466 93.142902) - (xy 127.95405 93.138028) - (xy 127.954053 93.138021) - (xy 127.968382 93.093921) - (xy 127.970425 93.088381) - (xy 127.986784 93.048887) - (xy 127.986784 93.048886) - (xy 127.988669 93.044336) - (xy 127.990613 93.029572) - (xy 127.993649 93.016154) - (xy 127.997692 93.00371) - (xy 127.997692 93.003709) - (xy 127.999277 92.998831) - (xy 127.999507 92.993341) - (xy 128.001294 92.950726) - (xy 128.001871 92.944062) - (xy 128.007028 92.904886) - (xy 128.007028 92.904884) - (xy 128.007671 92.9) - (xy 128.005296 92.881961) - (xy 128.004719 92.868995) - (xy 128.005038 92.861376) - (xy 128.005621 92.847478) - (xy 127.995387 92.803844) - (xy 127.994045 92.796498) - (xy 127.993269 92.7906) - (xy 127.988669 92.755664) - (xy 127.981312 92.737903) - (xy 127.980444 92.735808) - (xy 127.976706 92.7242) - (xy 127.972199 92.704984) - (xy 127.971029 92.699995) - (xy 127.963985 92.687182) - (xy 134.5951 92.687182) - (xy 134.598221 92.71089) - (xy 134.600912 92.731328) - (xy 134.601674 92.737118) - (xy 134.604104 92.74233) - (xy 134.604105 92.742332) - (xy 134.649541 92.839771) - (xy 134.652779 92.846714) - (xy 134.738286 92.932221) - (xy 134.744252 92.935003) - (xy 134.842668 92.980895) - (xy 134.84267 92.980896) - (xy 134.847882 92.983326) - (xy 134.853582 92.984076) - (xy 134.853584 92.984077) - (xy 134.868786 92.986078) - (xy 134.897818 92.9899) - (xy 135.358182 92.9899) - (xy 135.387214 92.986078) - (xy 135.402416 92.984077) - (xy 135.402418 92.984076) - (xy 135.408118 92.983326) - (xy 135.41333 92.980896) - (xy 135.413332 92.980895) - (xy 135.511748 92.935003) - (xy 135.517714 92.932221) - (xy 135.603221 92.846714) - (xy 135.606459 92.839771) - (xy 135.651895 92.742332) - (xy 135.651896 92.74233) - (xy 135.654326 92.737118) - (xy 135.655089 92.731328) - (xy 135.657779 92.71089) - (xy 135.6609 92.687182) - (xy 135.8651 92.687182) - (xy 135.868221 92.71089) - (xy 135.870912 92.731328) - (xy 135.871674 92.737118) - (xy 135.874104 92.74233) - (xy 135.874105 92.742332) - (xy 135.919541 92.839771) - (xy 135.922779 92.846714) - (xy 136.008286 92.932221) - (xy 136.014252 92.935003) - (xy 136.112668 92.980895) - (xy 136.11267 92.980896) - (xy 136.117882 92.983326) - (xy 136.123582 92.984076) - (xy 136.123584 92.984077) - (xy 136.138786 92.986078) - (xy 136.167818 92.9899) - (xy 136.628182 92.9899) - (xy 136.657214 92.986078) - (xy 136.672416 92.984077) - (xy 136.672418 92.984076) - (xy 136.678118 92.983326) - (xy 136.68333 92.980896) - (xy 136.683332 92.980895) - (xy 136.781748 92.935003) - (xy 136.787714 92.932221) - (xy 136.873221 92.846714) - (xy 136.876459 92.839771) - (xy 136.921895 92.742332) - (xy 136.921896 92.74233) - (xy 136.924326 92.737118) - (xy 136.925089 92.731328) - (xy 136.927779 92.71089) - (xy 136.9309 92.687182) - (xy 136.9309 91.462818) - (xy 136.924326 91.412882) - (xy 136.92095 91.405641) - (xy 136.876003 91.309252) - (xy 136.873221 91.303286) - (xy 136.787714 91.217779) - (xy 136.781748 91.214997) - (xy 136.77946 91.213395) - (xy 136.749956 91.171257) - (xy 136.75559 91.117656) - (xy 136.783269 91.063332) - (xy 136.78327 91.063328) - (xy 136.785957 91.058055) - (xy 136.805922 90.932) - (xy 136.785957 90.805945) - (xy 136.750769 90.736884) - (xy 136.730705 90.697506) - (xy 136.730704 90.697505) - (xy 136.728016 90.692229) - (xy 136.637771 90.601984) - (xy 136.62779 90.596898) - (xy 136.583326 90.574243) - (xy 136.524055 90.544043) - (xy 136.398 90.524078) - (xy 136.271945 90.544043) - (xy 136.212674 90.574243) - (xy 136.168211 90.596898) - (xy 136.158229 90.601984) - (xy 136.067984 90.692229) - (xy 136.065296 90.697505) - (xy 136.065295 90.697506) - (xy 136.045231 90.736884) - (xy 136.010043 90.805945) - (xy 135.990078 90.932) - (xy 136.010043 91.058055) - (xy 136.01273 91.063328) - (xy 136.012731 91.063332) - (xy 136.04041 91.117656) - (xy 136.046678 91.168712) - (xy 136.01654 91.213395) - (xy 136.014252 91.214997) - (xy 136.008286 91.217779) - (xy 135.922779 91.303286) - (xy 135.919997 91.309252) - (xy 135.875051 91.405641) - (xy 135.871674 91.412882) - (xy 135.8651 91.462818) - (xy 135.8651 92.687182) - (xy 135.6609 92.687182) - (xy 135.6609 91.462818) - (xy 135.654326 91.412882) - (xy 135.65095 91.405641) - (xy 135.606003 91.309252) - (xy 135.603221 91.303286) - (xy 135.517714 91.217779) - (xy 135.511748 91.214997) - (xy 135.50946 91.213395) - (xy 135.479956 91.171257) - (xy 135.48559 91.117656) - (xy 135.513269 91.063332) - (xy 135.51327 91.063328) - (xy 135.515957 91.058055) - (xy 135.535922 90.932) - (xy 135.515957 90.805945) - (xy 135.480769 90.736884) - (xy 135.460705 90.697506) - (xy 135.460704 90.697505) - (xy 135.458016 90.692229) - (xy 135.367771 90.601984) - (xy 135.35779 90.596898) - (xy 135.313326 90.574243) - (xy 135.254055 90.544043) - (xy 135.128 90.524078) - (xy 135.001945 90.544043) - (xy 134.942674 90.574243) - (xy 134.898211 90.596898) - (xy 134.888229 90.601984) - (xy 134.797984 90.692229) - (xy 134.795296 90.697505) - (xy 134.795295 90.697506) - (xy 134.775231 90.736884) - (xy 134.740043 90.805945) - (xy 134.720078 90.932) - (xy 134.740043 91.058055) - (xy 134.74273 91.063328) - (xy 134.742731 91.063332) - (xy 134.77041 91.117656) - (xy 134.776678 91.168712) - (xy 134.74654 91.213395) - (xy 134.744252 91.214997) - (xy 134.738286 91.217779) - (xy 134.652779 91.303286) - (xy 134.649997 91.309252) - (xy 134.605051 91.405641) - (xy 134.601674 91.412882) - (xy 134.5951 91.462818) - (xy 134.5951 92.687182) - (xy 127.963985 92.687182) - (xy 127.951037 92.66363) - (xy 127.947471 92.656204) - (xy 127.932957 92.621165) - (xy 127.927978 92.614676) - (xy 127.91787 92.601502) - (xy 127.911632 92.591951) - (xy 127.899951 92.570703) - (xy 127.899948 92.570698) - (xy 127.898051 92.567248) - (xy 127.893845 92.562375) - (xy 127.89192 92.560144) - (xy 127.891908 92.560132) - (xy 127.891012 92.559093) - (xy 127.870071 92.538152) - (xy 127.863585 92.530757) - (xy 127.858987 92.524764) - (xy 127.844333 92.505667) - (xy 127.83213 92.496303) - (xy 127.819245 92.486416) - (xy 127.81185 92.479931) - (xy 127.600855 92.268937) - (xy 127.598674 92.266663) - (xy 127.559215 92.223751) - (xy 127.559213 92.223749) - (xy 127.555745 92.219978) - (xy 127.551388 92.217277) - (xy 127.551385 92.217274) - (xy 127.516545 92.195672) - (xy 127.510708 92.19166) - (xy 127.478061 92.16688) - (xy 127.478057 92.166878) - (xy 127.473972 92.163777) - (xy 127.469205 92.16189) - (xy 127.469204 92.161889) - (xy 127.455673 92.156532) - (xy 127.443729 92.150525) - (xy 127.431357 92.142854) - (xy 127.426999 92.140152) - (xy 127.422078 92.138722) - (xy 127.422075 92.138721) - (xy 127.382707 92.127284) - (xy 127.376004 92.124989) - (xy 127.355931 92.117042) - (xy 127.333125 92.108012) - (xy 127.328026 92.107476) - (xy 127.313548 92.105954) - (xy 127.300436 92.103382) - (xy 127.281529 92.097889) - (xy 127.270785 92.0971) - (xy 127.23324 92.0971) - (xy 127.22538 92.096688) - (xy 127.200023 92.094023) - (xy 127.182469 92.092178) - (xy 127.177414 92.093033) - (xy 127.159594 92.096047) - (xy 127.147053 92.0971) - (xy 126.9781 92.0971) - (xy 126.929762 92.079507) - (xy 126.904042 92.034958) - (xy 126.9029 92.0219) - (xy 126.9029 91.844328) - (xy 126.903942 91.831852) - (xy 126.906937 91.814049) - (xy 126.90742 91.811179) - (xy 126.907557 91.8) - (xy 126.906757 91.79441) - (xy 126.903056 91.768571) - (xy 126.9029 91.767137) - (xy 126.9029 91.765972) - (xy 126.901837 91.758897) - (xy 126.899374 91.742519) - (xy 126.898349 91.735699) - (xy 126.889166 91.671579) - (xy 126.888482 91.670075) - (xy 126.887768 91.665325) - (xy 126.869895 91.628105) - (xy 126.860893 91.609357) - (xy 126.860227 91.607931) - (xy 126.837686 91.558356) - (xy 126.83547 91.553482) - (xy 126.833075 91.550702) - (xy 126.831864 91.548529) - (xy 126.83125 91.547626) - (xy 126.828816 91.542557) - (xy 126.789021 91.499507) - (xy 126.787309 91.497588) - (xy 126.763207 91.469616) - (xy 126.754285 91.459261) - (xy 126.754283 91.459259) - (xy 126.750787 91.455202) - (xy 126.746289 91.452287) - (xy 126.744595 91.450809) - (xy 126.741082 91.447395) - (xy 126.740187 91.446678) - (xy 126.736371 91.44255) - (xy 126.688827 91.414934) - (xy 126.685696 91.413012) - (xy 126.64642 91.387554) - (xy 126.646416 91.387552) - (xy 126.641923 91.38464) - (xy 126.636789 91.383105) - (xy 126.631928 91.380859) - (xy 126.632019 91.380661) - (xy 126.623735 91.377077) - (xy 126.623472 91.376973) - (xy 126.618607 91.374147) - (xy 126.613133 91.372878) - (xy 126.61313 91.372877) - (xy 126.568587 91.362553) - (xy 126.564021 91.361342) - (xy 126.522768 91.349005) - (xy 126.522766 91.349005) - (xy 126.517631 91.347469) - (xy 126.512272 91.347436) - (xy 126.512271 91.347436) - (xy 126.511486 91.347431) - (xy 126.494977 91.345491) - (xy 126.485936 91.343396) - (xy 126.480329 91.343793) - (xy 126.438393 91.346762) - (xy 126.432623 91.346949) - (xy 126.409031 91.346805) - (xy 126.387902 91.346676) - (xy 126.378407 91.349389) - (xy 126.363055 91.352097) - (xy 126.350087 91.353015) - (xy 126.31169 91.36787) - (xy 126.309061 91.368887) - (xy 126.302592 91.371058) - (xy 126.268319 91.380853) - (xy 126.268317 91.380854) - (xy 126.263166 91.382326) - (xy 126.258637 91.385184) - (xy 126.258632 91.385186) - (xy 126.251662 91.389584) - (xy 126.238669 91.396119) - (xy 126.232423 91.398535) - (xy 126.223072 91.402153) - (xy 126.218659 91.405632) - (xy 126.218657 91.405633) - (xy 126.191406 91.427116) - (xy 126.184979 91.431658) - (xy 126.160038 91.447395) - (xy 126.153448 91.451553) - (xy 126.14198 91.464538) - (xy 126.132176 91.473808) - (xy 126.120537 91.482984) - (xy 126.120535 91.482987) - (xy 126.116121 91.486466) - (xy 126.112928 91.491085) - (xy 126.112925 91.491089) - (xy 126.095292 91.516603) - (xy 126.089796 91.523625) - (xy 126.06757 91.548791) - (xy 126.065294 91.553638) - (xy 126.065293 91.55364) - (xy 126.058628 91.567837) - (xy 126.052419 91.578634) - (xy 126.041885 91.593875) - (xy 126.041882 91.593881) - (xy 126.038689 91.598501) - (xy 126.036995 91.603858) - (xy 126.028757 91.629906) - (xy 126.025128 91.639189) - (xy 126.012436 91.666223) - (xy 126.011612 91.671516) - (xy 126.008627 91.690687) - (xy 126.006022 91.701794) - (xy 125.998916 91.724261) - (xy 125.998915 91.724265) - (xy 125.997623 91.728351) - (xy 125.9971 91.734996) - (xy 125.9971 91.758897) - (xy 125.996205 91.770466) - (xy 125.992477 91.79441) - (xy 125.993171 91.799718) - (xy 125.993171 91.79972) - (xy 125.996465 91.824908) - (xy 125.9971 91.834658) - (xy 125.9971 92.080816) - (xy 125.979507 92.129154) - (xy 125.971829 92.136263) - (xy 125.971851 92.136285) - (xy 125.885909 92.222377) - (xy 125.884361 92.220832) - (xy 125.849624 92.244705) - (xy 125.830797 92.2471) - (xy 125.587625 92.2471) - (xy 125.575861 92.246174) - (xy 125.555847 92.243004) - (xy 125.55 92.242078) - (xy 125.544153 92.243004) - (xy 125.524139 92.246174) - (xy 125.518292 92.2471) - (xy 125.423945 92.262043) - (xy 125.418671 92.26473) - (xy 125.413042 92.266559) - (xy 125.412766 92.26571) - (xy 125.386153 92.2721) - (xy 125.094354 92.2721) - (xy 125.079686 92.270655) - (xy 125.066839 92.2681) - (xy 124.873838 92.2681) - (xy 124.8255 92.250507) - (xy 124.79978 92.205958) - (xy 124.806306 92.159817) - (xy 124.808117 92.156121) - (xy 124.811311 92.151499) - (xy 124.826846 92.102379) - (xy 124.851084 92.025739) - (xy 124.851085 92.025735) - (xy 124.852377 92.021649) - (xy 124.8529 92.015004) - (xy 124.8529 91.320032) - (xy 124.870493 91.271694) - (xy 124.874879 91.266904) - (xy 124.914091 91.227623) - (xy 124.915639 91.229168) - (xy 124.950376 91.205295) - (xy 124.969203 91.2029) - (xy 125.243241 91.2029) - (xy 125.244619 91.202913) - (xy 125.301193 91.20395) - (xy 125.301195 91.20395) - (xy 125.306555 91.204048) - (xy 125.311726 91.202638) - (xy 125.311728 91.202638) - (xy 125.339397 91.195094) - (xy 125.347995 91.193282) - (xy 125.384675 91.187768) - (xy 125.402683 91.179121) - (xy 125.415448 91.174361) - (xy 125.426554 91.171333) - (xy 125.431718 91.169925) - (xy 125.436277 91.167126) - (xy 125.436281 91.167124) - (xy 125.463882 91.150176) - (xy 125.470678 91.14647) - (xy 125.478985 91.142481) - (xy 125.507443 91.128816) - (xy 125.519383 91.117779) - (xy 125.53108 91.108917) - (xy 125.537706 91.104848) - (xy 125.542273 91.102044) - (xy 125.545867 91.098073) - (xy 125.545871 91.09807) - (xy 125.570104 91.071298) - (xy 125.574803 91.066549) - (xy 125.60745 91.036371) - (xy 125.613748 91.025527) - (xy 125.623023 91.012833) - (xy 125.629332 91.005863) - (xy 125.634277 90.995658) - (xy 125.649024 90.965219) - (xy 125.651672 90.960238) - (xy 125.67303 90.923467) - (xy 125.675853 90.918607) - (xy 125.677844 90.910018) - (xy 125.683423 90.89422) - (xy 125.685897 90.889112) - (xy 125.694009 90.840898) - (xy 125.694908 90.836398) - (xy 125.701074 90.809796) - (xy 125.706604 90.785936) - (xy 125.706207 90.780327) - (xy 125.706372 90.778228) - (xy 125.706914 90.76696) - (xy 125.706678 90.766939) - (xy 125.706937 90.764048) - (xy 125.70742 90.761179) - (xy 125.707557 90.75) - (xy 125.705875 90.738251) - (xy 125.701019 90.704347) - (xy 125.700448 90.698997) - (xy 125.700351 90.697628) - (xy 125.696985 90.650087) - (xy 125.694143 90.64274) - (xy 125.690602 90.629196) - (xy 125.689926 90.626884) - (xy 125.689166 90.621579) - (xy 125.68503 90.612482) - (xy 125.668541 90.576216) - (xy 125.666863 90.572224) - (xy 125.649877 90.528318) - (xy 125.649875 90.528315) - (xy 125.647847 90.523072) - (xy 125.644367 90.518657) - (xy 125.641583 90.513776) - (xy 125.641597 90.513768) - (xy 125.640917 90.512651) - (xy 125.640574 90.51287) - (xy 125.637685 90.508353) - (xy 125.63547 90.503482) - (xy 125.625558 90.491978) - (xy 125.600492 90.462888) - (xy 125.598419 90.460373) - (xy 125.563534 90.416121) - (xy 125.558907 90.412923) - (xy 125.558136 90.412199) - (xy 125.554134 90.409086) - (xy 125.550787 90.405202) - (xy 125.502643 90.373997) - (xy 125.500788 90.372755) - (xy 125.487044 90.363256) - (xy 125.451499 90.338689) - (xy 125.446144 90.336995) - (xy 125.445039 90.336454) - (xy 125.444251 90.336149) - (xy 125.441923 90.33464) - (xy 125.436795 90.333106) - (xy 125.436792 90.333105) - (xy 125.38316 90.317066) - (xy 125.38203 90.316719) - (xy 125.325739 90.298916) - (xy 125.325735 90.298915) - (xy 125.321649 90.297623) - (xy 125.317372 90.297286) - (xy 125.31737 90.297286) - (xy 125.316482 90.297216) - (xy 125.316474 90.297216) - (xy 125.315004 90.2971) - (xy 125.257561 90.2971) - (xy 125.257101 90.297099) - (xy 125.191201 90.296696) - (xy 125.191199 90.296696) - (xy 125.187902 90.296676) - (xy 125.186777 90.296998) - (xy 125.185273 90.2971) - (xy 124.969184 90.2971) - (xy 124.920846 90.279507) - (xy 124.913737 90.271829) - (xy 124.913715 90.271851) - (xy 124.894333 90.252503) - (xy 124.874972 90.233175) - (xy 124.853192 90.186575) - (xy 124.8529 90.179955) - (xy 124.8529 90.031367) - (xy 124.853421 90.022528) - (xy 124.855691 90.003351) - (xy 124.85779 89.985614) - (xy 124.847041 89.926759) - (xy 124.846657 89.924453) - (xy 124.846256 89.921781) - (xy 124.837768 89.865325) - (xy 124.835428 89.860451) - (xy 124.835357 89.860161) - (xy 124.835232 89.859796) - (xy 124.834498 89.857538) - (xy 124.834399 89.857217) - (xy 124.834294 89.85696) - (xy 124.833322 89.85164) - (xy 124.805733 89.798528) - (xy 124.804702 89.796464) - (xy 124.781251 89.747628) - (xy 124.778816 89.742557) - (xy 124.775144 89.738584) - (xy 124.774627 89.737718) - (xy 124.772518 89.734589) - (xy 124.770542 89.730785) - (xy 124.76776 89.727527) - (xy 124.767757 89.727523) - (xy 124.767183 89.726851) - (xy 124.767174 89.726841) - (xy 124.766213 89.725716) - (xy 124.728064 89.687567) - (xy 124.726017 89.685439) - (xy 124.690184 89.646675) - (xy 124.686371 89.64255) - (xy 124.681514 89.639729) - (xy 124.678265 89.637126) - (xy 124.672109 89.631612) - (xy 124.584451 89.543954) - (xy 124.580656 89.539867) - (xy 124.554282 89.509258) - (xy 124.554281 89.509257) - (xy 124.550787 89.505202) - (xy 124.545124 89.501531) - (xy 124.509739 89.478596) - (xy 124.505995 89.476004) - (xy 124.464319 89.445222) - (xy 124.459015 89.443359) - (xy 124.457131 89.442362) - (xy 124.451403 89.439549) - (xy 124.451286 89.439803) - (xy 124.446418 89.437553) - (xy 124.441923 89.43464) - (xy 124.391481 89.419555) - (xy 124.388154 89.418474) - (xy 124.335824 89.400097) - (xy 124.330207 89.399877) - (xy 124.329119 89.399669) - (xy 124.323963 89.399184) - (xy 124.322766 89.399005) - (xy 124.317631 89.397469) - (xy 124.312274 89.397436) - (xy 124.312272 89.397436) - (xy 124.292403 89.397315) - (xy 124.261179 89.397124) - (xy 124.258739 89.397069) - (xy 124.19974 89.39475) - (xy 124.194308 89.39619) - (xy 124.193184 89.396314) - (xy 124.191276 89.396696) - (xy 124.187902 89.396676) - (xy 124.182754 89.398147) - (xy 124.182749 89.398148) - (xy 124.129887 89.413256) - (xy 124.128496 89.413639) - (xy 124.106166 89.41956) - (xy 124.0681 89.429653) - (xy 124.064362 89.431984) - (xy 124.063166 89.432326) - (xy 124.060883 89.433766) - (xy 124.060882 89.433767) - (xy 124.007888 89.467204) - (xy 124.007606 89.467381) - (xy 123.952543 89.501722) - (xy 123.946004 89.509258) - (xy 123.910254 89.550456) - (xy 123.909822 89.55095) - (xy 123.906039 89.555234) - (xy 123.86757 89.598791) - (xy 123.866696 89.600652) - (xy 123.863285 89.604583) - (xy 123.861013 89.609723) - (xy 123.861012 89.609724) - (xy 123.838374 89.66093) - (xy 123.837667 89.662482) - (xy 123.826889 89.685439) - (xy 123.812436 89.716223) - (xy 123.811827 89.720139) - (xy 123.810773 89.722968) - (xy 123.810491 89.723999) - (xy 123.808217 89.729142) - (xy 123.807556 89.734725) - (xy 123.807556 89.734726) - (xy 123.801383 89.786884) - (xy 123.801012 89.789595) - (xy 123.792477 89.84441) - (xy 123.793171 89.849721) - (xy 123.793131 89.853034) - (xy 123.792833 89.857608) - (xy 123.792871 89.858805) - (xy 123.79221 89.864386) - (xy 123.79322 89.869916) - (xy 123.79322 89.869918) - (xy 123.802006 89.91802) - (xy 123.802593 89.921771) - (xy 123.809298 89.973046) - (xy 123.811456 89.977951) - (xy 123.812896 89.983108) - (xy 123.812747 89.983149) - (xy 123.815597 89.992442) - (xy 123.816678 89.998359) - (xy 123.819271 90.00335) - (xy 123.819271 90.003351) - (xy 123.840126 90.043498) - (xy 123.842224 90.047877) - (xy 123.858783 90.085509) - (xy 123.861547 90.091791) - (xy 123.864997 90.095896) - (xy 123.864998 90.095897) - (xy 123.8658 90.096852) - (xy 123.874967 90.11057) - (xy 123.879458 90.119215) - (xy 123.882243 90.122476) - (xy 123.882817 90.123149) - (xy 123.882826 90.123159) - (xy 123.883787 90.124284) - (xy 123.905703 90.1462) - (xy 123.927443 90.19282) - (xy 123.914129 90.242507) - (xy 123.905753 90.252499) - (xy 123.885909 90.272377) - (xy 123.88318 90.277959) - (xy 123.883179 90.277961) - (xy 123.835281 90.37595) - (xy 123.832487 90.381666) - (xy 123.8221 90.452869) - (xy 123.822101 91.04713) - (xy 123.832673 91.118953) - (xy 123.848003 91.150176) - (xy 123.88348 91.222435) - (xy 123.886285 91.228149) - (xy 123.890679 91.232536) - (xy 123.890681 91.232538) - (xy 123.904844 91.246676) - (xy 123.924923 91.266719) - (xy 123.925028 91.266824) - (xy 123.946808 91.313425) - (xy 123.9471 91.320045) - (xy 123.9471 91.984028) - (xy 123.947518 91.986805) - (xy 123.947518 91.986811) - (xy 123.953371 92.025739) - (xy 123.962232 92.084675) - (xy 123.964664 92.089739) - (xy 123.964665 92.089743) - (xy 123.998569 92.160349) - (xy 124.003634 92.211539) - (xy 123.974609 92.254008) - (xy 123.93078 92.268101) - (xy 123.733162 92.268101) - (xy 123.729539 92.268822) - (xy 123.729535 92.268822) - (xy 123.720321 92.270655) - (xy 123.705651 92.2721) - (xy 123.413847 92.2721) - (xy 123.387234 92.26571) - (xy 123.386958 92.266559) - (xy 123.381329 92.26473) - (xy 123.376055 92.262043) - (xy 123.281708 92.2471) - (xy 123.255847 92.243004) - (xy 123.25 92.242078) - (xy 123.244153 92.243004) - (xy 123.218292 92.2471) - (xy 123.123945 92.262043) - (xy 123.093277 92.277669) - (xy 123.021057 92.314467) - (xy 123.010229 92.319984) - (xy 122.919984 92.410229) - (xy 122.862043 92.523945) - (xy 122.856476 92.559093) - (xy 122.843907 92.638455) - (xy 122.842078 92.65) - (xy 122.843004 92.655847) - (xy 122.843657 92.659967) - (xy 122.862043 92.776055) - (xy 122.881149 92.813552) - (xy 122.916005 92.881961) - (xy 122.919984 92.889771) - (xy 123.010229 92.980016) - (xy 123.015505 92.982704) - (xy 123.015506 92.982705) - (xy 123.056731 93.00371) - (xy 123.123945 93.037957) - (xy 123.129792 93.038883) - (xy 123.23945 93.056251) - (xy 123.25 93.057922) - (xy 123.260551 93.056251) - (xy 123.370208 93.038883) - (xy 123.376055 93.037957) - (xy 123.381329 93.03527) - (xy 123.386958 93.033441) - (xy 123.387234 93.03429) - (xy 123.413847 93.0279) - (xy 123.502742 93.0279) - (xy 123.55108 93.045493) - (xy 123.5768 93.090042) - (xy 123.565269 93.144879) - (xy 123.536003 93.188679) - (xy 123.494519 93.219096) - (xy 123.473476 93.2221) - (xy 122.323035 93.2221) - (xy 122.274697 93.204507) - (xy 122.269861 93.200074) - (xy 122.189771 93.119984) - (xy 122.076055 93.062043) - (xy 121.992991 93.048887) - (xy 121.955847 93.043004) - (xy 121.95 93.042078) - (xy 121.944153 93.043004) - (xy 121.907009 93.048887) - (xy 121.823945 93.062043) - (xy 121.710229 93.119984) - (xy 121.619984 93.210229) - (xy 121.617296 93.215505) - (xy 121.617295 93.215506) - (xy 121.612454 93.225007) - (xy 121.562043 93.323945) - (xy 121.558943 93.343517) - (xy 121.549118 93.405554) - (xy 121.542078 93.45) - (xy 115.107922 93.45) - (xy 115.100883 93.405554) - (xy 115.091057 93.343517) - (xy 115.087957 93.323945) - (xy 115.037546 93.225007) - (xy 115.032705 93.215506) - (xy 115.032704 93.215505) - (xy 115.030016 93.210229) - (xy 114.939771 93.119984) - (xy 114.826055 93.062043) - (xy 114.742991 93.048887) - (xy 114.705847 93.043004) - (xy 114.7 93.042078) - (xy 114.694153 93.043004) - (xy 114.657009 93.048887) - (xy 114.573945 93.062043) - (xy 114.460229 93.119984) - (xy 114.380139 93.200074) - (xy 114.333519 93.221814) - (xy 114.326965 93.2221) - (xy 113.826524 93.2221) - (xy 113.778186 93.204507) - (xy 113.763997 93.188679) - (xy 113.737397 93.148869) - (xy 113.733285 93.142715) - (xy 113.687655 93.112226) - (xy 113.657238 93.070742) - (xy 113.660603 93.019412) - (xy 113.687655 92.987173) - (xy 113.726767 92.961039) - (xy 113.737036 92.95077) - (xy 113.7878 92.874795) - (xy 113.793359 92.861376) - (xy 113.80298 92.813006) - (xy 113.801336 92.802321) - (xy 113.79872 92.800028) - (xy 113.798572 92.8) - (xy 112.007693 92.8) - (xy 111.997536 92.803697) - (xy 111.995797 92.806709) - (xy 111.995799 92.806861) - (xy 112.006641 92.861372) - (xy 112.012201 92.874798) - (xy 112.062964 92.95077) - (xy 112.073233 92.961039) - (xy 112.112345 92.987173) - (xy 112.142762 93.028656) - (xy 112.139397 93.079986) - (xy 112.112345 93.112226) - (xy 112.066715 93.142715) - (xy 112.007614 93.231166) - (xy 112.00617 93.238428) - (xy 112.006169 93.238429) - (xy 111.995826 93.290427) - (xy 111.9921 93.309161) - (xy 111.992101 93.590838) - (xy 111.992822 93.594461) - (xy 111.992822 93.594465) - (xy 111.995843 93.609654) - (xy 112.007614 93.668834) - (xy 112.011728 93.674992) - (xy 112.011729 93.674993) - (xy 112.040647 93.718271) - (xy 112.066715 93.757285) - (xy 112.100735 93.780016) - (xy 112.111895 93.787473) - (xy 112.142312 93.828957) - (xy 112.138948 93.880286) - (xy 112.111896 93.912526) - (xy 112.066715 93.942715) - (xy 112.007614 94.031166) - (xy 112.00617 94.038428) - (xy 112.006169 94.038429) - (xy 112.004434 94.047154) - (xy 111.9921 94.109161) - (xy 111.992101 94.390838) - (xy 111.992822 94.394461) - (xy 111.992822 94.394465) - (xy 111.99737 94.417329) - (xy 112.007614 94.468834) - (xy 112.011728 94.474992) - (xy 112.011729 94.474993) - (xy 112.059378 94.546305) - (xy 112.066715 94.557285) - (xy 112.110942 94.586836) - (xy 112.111895 94.587473) - (xy 112.142312 94.628957) - (xy 112.138948 94.680286) - (xy 112.111896 94.712526) - (xy 112.066715 94.742715) - (xy 112.007614 94.831166) - (xy 112.00617 94.838428) - (xy 112.006169 94.838429) - (xy 111.994819 94.895493) - (xy 111.9921 94.909161) - (xy 111.992101 95.190838) - (xy 111.992822 95.194461) - (xy 111.992822 95.194465) - (xy 111.996415 95.212528) - (xy 112.007614 95.268834) - (xy 112.011728 95.274992) - (xy 112.011729 95.274993) - (xy 112.052666 95.336259) - (xy 112.066715 95.357285) - (xy 112.110279 95.386393) - (xy 112.111895 95.387473) - (xy 112.142312 95.428957) - (xy 112.138948 95.480286) - (xy 112.111896 95.512526) - (xy 112.066715 95.542715) - (xy 112.007614 95.631166) - (xy 112.00617 95.638428) - (xy 112.006169 95.638429) - (xy 111.998731 95.675826) - (xy 111.9921 95.709161) - (xy 111.992101 95.990838) - (xy 111.992822 95.994461) - (xy 111.992822 95.994465) - (xy 111.998307 96.022041) - (xy 112.007614 96.068834) - (xy 112.011728 96.074992) - (xy 112.011729 96.074993) - (xy 112.055931 96.141146) - (xy 112.066715 96.157285) - (xy 112.109628 96.185958) - (xy 112.111895 96.187473) - (xy 112.142312 96.228957) - (xy 112.138948 96.280286) - (xy 112.111896 96.312526) - (xy 112.066715 96.342715) - (xy 112.007614 96.431166) - (xy 112.00617 96.438428) - (xy 112.006169 96.438429) - (xy 111.997379 96.482621) - (xy 111.9921 96.509161) - (xy 111.992101 96.790838) - (xy 111.992822 96.794461) - (xy 111.992822 96.794465) - (xy 111.997432 96.817641) - (xy 112.007614 96.868834) - (xy 112.011728 96.874992) - (xy 112.011729 96.874993) - (xy 112.062196 96.950522) - (xy 112.066715 96.957285) - (xy 112.100735 96.980016) - (xy 112.111895 96.987473) - (xy 112.142312 97.028957) - (xy 112.138948 97.080286) - (xy 112.111896 97.112526) - (xy 112.066715 97.142715) - (xy 112.007614 97.231166) - (xy 112.00617 97.238428) - (xy 112.006169 97.238429) - (xy 111.995712 97.291003) - (xy 111.9921 97.309161) - (xy 111.992101 97.590838) - (xy 111.992822 97.594461) - (xy 111.992822 97.594465) - (xy 111.996853 97.61473) - (xy 112.007614 97.668834) - (xy 112.011728 97.674992) - (xy 112.011729 97.674993) - (xy 112.048345 97.729792) - (xy 112.066715 97.757285) - (xy 112.104249 97.782364) - (xy 112.111895 97.787473) - (xy 112.142312 97.828957) - (xy 112.138948 97.880286) - (xy 112.111896 97.912526) - (xy 112.066715 97.942715) - (xy 112.007614 98.031166) - (xy 112.00617 98.038428) - (xy 112.006169 98.038429) - (xy 111.996059 98.089256) - (xy 111.9921 98.109161) - (xy 111.992101 98.390838) - (xy 111.992822 98.394461) - (xy 111.992822 98.394465) - (xy 111.997988 98.420437) - (xy 112.007614 98.468834) - (xy 112.011728 98.474992) - (xy 112.011729 98.474993) - (xy 112.049371 98.531328) - (xy 112.066715 98.557285) - (xy 112.110234 98.586363) - (xy 112.111895 98.587473) - (xy 112.142312 98.628957) - (xy 112.138948 98.680286) - (xy 112.111896 98.712526) - (xy 112.066715 98.742715) - (xy 112.007614 98.831166) - (xy 112.00617 98.838428) - (xy 112.006169 98.838429) - (xy 112.002005 98.859363) - (xy 111.9921 98.909161) - (xy 111.992101 99.190838) - (xy 111.992822 99.194461) - (xy 111.992822 99.194465) - (xy 111.998262 99.221814) - (xy 112.007614 99.268834) - (xy 112.011728 99.274992) - (xy 112.011729 99.274993) - (xy 112.048005 99.329284) - (xy 112.066715 99.357285) - (xy 112.100735 99.380016) - (xy 112.111895 99.387473) - (xy 112.142312 99.428957) - (xy 112.138948 99.480286) - (xy 112.111896 99.512526) - (xy 112.066715 99.542715) - (xy 112.007614 99.631166) - (xy 112.00617 99.638428) - (xy 112.006169 99.638429) - (xy 111.996501 99.687035) - (xy 111.9921 99.709161) - (xy 111.992101 99.990838) - (xy 111.992822 99.994461) - (xy 111.992822 99.994465) - (xy 111.998525 100.023138) - (xy 112.007614 100.068834) - (xy 112.011728 100.074992) - (xy 112.011729 100.074993) - (xy 112.056377 100.141813) - (xy 112.066715 100.157285) - (xy 112.101377 100.180445) - (xy 112.111895 100.187473) - (xy 112.142312 100.228957) - (xy 112.138948 100.280286) - (xy 112.111896 100.312526) - (xy 112.066715 100.342715) - (xy 112.062603 100.348869) - (xy 112.036003 100.388679) - (xy 111.994519 100.419096) - (xy 111.973476 100.4221) - (xy 110.375548 100.4221) - (xy 110.32721 100.404507) - (xy 110.322373 100.400074) - (xy 110.199925 100.277625) - (xy 110.178186 100.231005) - (xy 110.1779 100.224451) - (xy 110.1779 96.175548) - (xy 110.195493 96.12721) - (xy 110.199926 96.122373) - (xy 110.31817 96.00413) - (xy 110.455551 95.866749) - (xy 110.458407 95.864039) - (xy 110.475112 95.848998) - (xy 110.488494 95.836949) - (xy 110.498497 95.814483) - (xy 110.504126 95.804116) - (xy 110.513211 95.790126) - (xy 110.513212 95.790125) - (xy 110.517516 95.783496) - (xy 110.518852 95.775062) - (xy 110.524429 95.756237) - (xy 110.524686 95.75566) - (xy 110.5279 95.748442) - (xy 110.5279 95.723853) - (xy 110.528826 95.712089) - (xy 110.531436 95.695613) - (xy 110.531436 95.695611) - (xy 110.532672 95.687806) - (xy 110.530462 95.679558) - (xy 110.5279 95.660095) - (xy 110.5279 95.307936) - (xy 110.528003 95.304) - (xy 110.528168 95.30086) - (xy 110.530123 95.263552) - (xy 110.52131 95.240592) - (xy 110.51796 95.229284) - (xy 110.516144 95.22074) - (xy 110.512848 95.205234) - (xy 110.507829 95.198325) - (xy 110.498465 95.18108) - (xy 110.498236 95.180483) - (xy 110.498235 95.180482) - (xy 110.495403 95.173104) - (xy 110.478019 95.15572) - (xy 110.470355 95.146748) - (xy 110.460546 95.133247) - (xy 110.460545 95.133247) - (xy 110.455901 95.126854) - (xy 110.448507 95.122585) - (xy 110.432933 95.110634) - (xy 110.126807 94.804507) - (xy 109.816759 94.494459) - (xy 109.814048 94.491603) - (xy 109.812399 94.489771) - (xy 109.786949 94.461506) - (xy 109.764478 94.451501) - (xy 109.754121 94.445877) - (xy 109.740125 94.436788) - (xy 109.740124 94.436788) - (xy 109.733497 94.432484) - (xy 109.725061 94.431148) - (xy 109.706245 94.425574) - (xy 109.698442 94.4221) - (xy 109.673847 94.4221) - (xy 109.662084 94.421174) - (xy 109.645613 94.418565) - (xy 109.645611 94.418565) - (xy 109.637806 94.417329) - (xy 109.630174 94.419374) - (xy 109.630173 94.419374) - (xy 109.629562 94.419538) - (xy 109.610099 94.4221) - (xy 109.418993 94.4221) - (xy 109.370655 94.404507) - (xy 109.345238 94.361571) - (xy 109.339672 94.33359) - (xy 109.338227 94.326323) - (xy 109.282331 94.242669) - (xy 109.213127 94.196428) - (xy 109.204836 94.190888) - (xy 109.204835 94.190887) - (xy 109.198677 94.186773) - (xy 109.191388 94.185323) - (xy 109.128536 94.172821) - (xy 109.128535 94.172821) - (xy 109.124911 94.1721) - (xy 109.000034 94.1721) - (xy 108.87509 94.172101) - (xy 108.871467 94.172822) - (xy 108.871463 94.172822) - (xy 108.839354 94.179209) - (xy 108.801323 94.186773) - (xy 108.765394 94.21078) - (xy 108.750488 94.22074) - (xy 108.700522 94.232967) - (xy 108.666931 94.220741) - (xy 108.620081 94.189438) - (xy 108.62008 94.189438) - (xy 108.613922 94.185323) - (xy 108.60666 94.183879) - (xy 108.606659 94.183878) - (xy 108.551072 94.172821) - (xy 108.551071 94.172821) - (xy 108.547447 94.1721) - (xy 108.450027 94.1721) - (xy 108.352554 94.172101) - (xy 108.348931 94.172822) - (xy 108.348927 94.172822) - (xy 108.320853 94.178406) - (xy 108.286078 94.185323) - (xy 108.279917 94.18944) - (xy 108.279916 94.18944) - (xy 108.241778 94.214922) - (xy 108.191812 94.227148) - (xy 108.158222 94.214922) - (xy 108.120081 94.189438) - (xy 108.12008 94.189438) - (xy 108.113922 94.185323) - (xy 108.10666 94.183879) - (xy 108.106659 94.183878) - (xy 108.051072 94.172821) - (xy 108.051071 94.172821) - (xy 108.047447 94.1721) - (xy 107.950027 94.1721) - (xy 107.852554 94.172101) - (xy 107.848931 94.172822) - (xy 107.848927 94.172822) - (xy 107.820853 94.178406) - (xy 107.786078 94.185323) - (xy 107.779917 94.18944) - (xy 107.779916 94.18944) - (xy 107.733069 94.220741) - (xy 107.683103 94.232967) - (xy 107.649512 94.22074) - (xy 107.604836 94.190888) - (xy 107.604835 94.190887) - (xy 107.598677 94.186773) - (xy 107.591388 94.185323) - (xy 107.528536 94.172821) - (xy 107.528535 94.172821) - (xy 107.524911 94.1721) - (xy 107.400034 94.1721) - (xy 107.27509 94.172101) - (xy 107.271467 94.172822) - (xy 107.271463 94.172822) - (xy 107.239354 94.179209) - (xy 107.201323 94.186773) - (xy 107.117669 94.242669) - (xy 107.113555 94.248826) - (xy 107.06589 94.320162) - (xy 107.061773 94.326323) - (xy 107.060328 94.333587) - (xy 107.054762 94.36157) - (xy 107.028077 94.405547) - (xy 106.981007 94.4221) - (xy 106.807937 94.4221) - (xy 106.804001 94.421997) - (xy 106.803366 94.421964) - (xy 106.763553 94.419877) - (xy 106.756175 94.422709) - (xy 106.740594 94.428689) - (xy 106.729283 94.432039) - (xy 106.71297 94.435507) - (xy 106.712967 94.435508) - (xy 106.705234 94.437152) - (xy 106.698837 94.441799) - (xy 106.698838 94.441799) - (xy 106.698331 94.442167) - (xy 106.681079 94.451534) - (xy 106.680484 94.451762) - (xy 106.680481 94.451764) - (xy 106.673104 94.454596) - (xy 106.655717 94.471983) - (xy 106.646745 94.479647) - (xy 106.626854 94.494099) - (xy 106.622903 94.500941) - (xy 106.622902 94.500943) - (xy 106.622585 94.501492) - (xy 106.610634 94.517066) - (xy 104.394458 96.733242) - (xy 104.391603 96.735952) - (xy 104.361506 96.763051) - (xy 104.351501 96.785522) - (xy 104.345877 96.795879) - (xy 104.342413 96.801214) - (xy 104.332484 96.816503) - (xy 104.331148 96.824939) - (xy 104.325574 96.843755) - (xy 104.3221 96.851558) - (xy 104.3221 96.876153) - (xy 104.321174 96.887916) - (xy 104.320217 96.893961) - (xy 104.317329 96.912194) - (xy 104.319374 96.919826) - (xy 104.319374 96.919827) - (xy 104.319538 96.920438) - (xy 104.3221 96.939901) - (xy 104.3221 99.142064) - (xy 104.321997 99.146) - (xy 104.319877 99.186448) - (xy 104.32869 99.209408) - (xy 104.33204 99.220715) - (xy 104.337152 99.244766) - (xy 104.341798 99.25116) - (xy 104.341798 99.251161) - (xy 104.342171 99.251674) - (xy 104.351535 99.26892) - (xy 104.354597 99.276896) - (xy 104.371981 99.29428) - (xy 104.379645 99.303252) - (xy 104.388 99.314751) - (xy 104.394099 99.323146) - (xy 104.400944 99.327098) - (xy 104.401493 99.327415) - (xy 104.417067 99.339366) - (xy 104.550074 99.472373) - (xy 104.571814 99.518993) - (xy 104.5721 99.525547) - (xy 104.5721 99.592064) - (xy 104.571997 99.596) - (xy 104.569877 99.636448) - (xy 104.57869 99.659408) - (xy 104.58204 99.670715) - (xy 104.587152 99.694766) - (xy 104.591798 99.70116) - (xy 104.591798 99.701161) - (xy 104.592171 99.701674) - (xy 104.601535 99.71892) - (xy 104.604597 99.726896) - (xy 104.621981 99.74428) - (xy 104.629645 99.753252) - (xy 104.644099 99.773146) - (xy 104.650944 99.777098) - (xy 104.651493 99.777415) - (xy 104.667067 99.789366) - (xy 104.950074 100.072373) - (xy 104.971814 100.118993) - (xy 104.9721 100.125547) - (xy 104.9721 100.274452) - (xy 104.954507 100.32279) - (xy 104.950074 100.327627) - (xy 104.627625 100.650075) - (xy 104.581005 100.671814) - (xy 104.574451 100.6721) - (xy 104.387816 100.6721) - (xy 104.339478 100.654507) - (xy 104.313758 100.609958) - (xy 104.320255 100.563876) - (xy 104.364467 100.473428) - (xy 104.36787 100.462416) - (xy 104.377005 100.399795) - (xy 104.3774 100.394359) - (xy 104.3774 100.313259) - (xy 104.373703 100.303102) - (xy 104.368331 100.3) - (xy 103.135859 100.3) - (xy 103.125702 100.303697) - (xy 103.1226 100.309069) - (xy 103.1226 100.394343) - (xy 103.123 100.39981) - (xy 103.132306 100.463029) - (xy 103.135732 100.474055) - (xy 103.179775 100.563757) - (xy 103.185287 100.614901) - (xy 103.156634 100.657622) - (xy 103.112273 100.6721) - (xy 102.655297 100.6721) - (xy 102.606959 100.654507) - (xy 102.581239 100.609958) - (xy 102.585821 100.568122) - (xy 102.588968 100.560523) - (xy 102.587706 100.552321) - (xy 102.58509 100.550027) - (xy 102.584944 100.55) - (xy 100.846323 100.55) - (xy 100.836166 100.553697) - (xy 100.834441 100.556684) - (xy 100.839911 100.569888) - (xy 100.865223 100.60777) - (xy 100.87745 100.657735) - (xy 100.865224 100.691327) - (xy 100.835323 100.736078) - (xy 100.833879 100.74334) - (xy 100.833878 100.743341) - (xy 100.826322 100.781328) - (xy 100.8221 100.802553) - (xy 100.822101 100.899926) - (xy 100.822101 100.963741) - (xy 100.804508 101.012078) - (xy 100.75996 101.037798) - (xy 100.712762 101.030745) - (xy 100.681332 101.014731) - (xy 100.681328 101.01473) - (xy 100.676055 101.012043) - (xy 100.583899 100.997447) - (xy 100.555847 100.993004) - (xy 100.55 100.992078) - (xy 100.544153 100.993004) - (xy 100.516101 100.997447) - (xy 100.423945 101.012043) - (xy 100.378972 101.034958) - (xy 100.316914 101.066578) - (xy 100.310229 101.069984) - (xy 100.219984 101.160229) - (xy 100.217296 101.165505) - (xy 100.217295 101.165506) - (xy 100.203445 101.192689) - (xy 100.162043 101.273945) - (xy 100.161117 101.279792) - (xy 100.160039 101.28311) - (xy 100.128369 101.323645) - (xy 100.078054 101.33434) - (xy 100.035345 101.313046) - (xy 99.974217 101.251918) - (xy 99.952477 101.205298) - (xy 99.965791 101.155611) - (xy 99.974217 101.14557) - (xy 99.980016 101.139771) - (xy 99.983573 101.132791) - (xy 100.013439 101.074174) - (xy 100.037957 101.026055) - (xy 100.051055 100.943358) - (xy 100.056996 100.905847) - (xy 100.057922 100.9) - (xy 100.056512 100.891094) - (xy 100.038883 100.779792) - (xy 100.037957 100.773945) - (xy 99.989421 100.678688) - (xy 99.982705 100.665506) - (xy 99.982704 100.665505) - (xy 99.980016 100.660229) - (xy 99.889771 100.569984) - (xy 99.877784 100.563876) - (xy 99.789065 100.518672) - (xy 99.776055 100.512043) - (xy 99.713027 100.50206) - (xy 99.655847 100.493004) - (xy 99.65 100.492078) - (xy 99.644153 100.493004) - (xy 99.586973 100.50206) - (xy 99.523945 100.512043) - (xy 99.510935 100.518672) - (xy 99.422217 100.563876) - (xy 99.410229 100.569984) - (xy 99.319984 100.660229) - (xy 99.317296 100.665505) - (xy 99.317295 100.665506) - (xy 99.310579 100.678688) - (xy 99.262043 100.773945) - (xy 99.261117 100.779792) - (xy 99.243489 100.891094) - (xy 99.242078 100.9) - (xy 99.243004 100.905847) - (xy 99.248945 100.943358) - (xy 99.262043 101.026055) - (xy 99.286561 101.074174) - (xy 99.316428 101.132791) - (xy 99.319984 101.139771) - (xy 99.398532 101.218319) - (xy 99.420272 101.264939) - (xy 99.420455 101.275423) - (xy 99.419877 101.286448) - (xy 99.42869 101.309408) - (xy 99.43204 101.320715) - (xy 99.437152 101.344766) - (xy 99.441798 101.35116) - (xy 99.441798 101.351161) - (xy 99.442171 101.351674) - (xy 99.451535 101.36892) - (xy 99.454597 101.376896) - (xy 99.471981 101.39428) - (xy 99.479645 101.403252) - (xy 99.486951 101.413307) - (xy 99.494099 101.423146) - (xy 99.500944 101.427098) - (xy 99.501493 101.427415) - (xy 99.517067 101.439366) - (xy 100.021426 101.943726) - (xy 100.043166 101.990346) - (xy 100.029852 102.040033) - (xy 99.987715 102.069538) - (xy 99.968252 102.0721) - (xy 99.763847 102.0721) - (xy 99.737234 102.06571) - (xy 99.736958 102.066559) - (xy 99.731328 102.06473) - (xy 99.726055 102.062043) - (xy 99.6 102.042078) - (xy 99.473945 102.062043) - (xy 99.442335 102.078149) - (xy 99.366761 102.116656) - (xy 99.360229 102.119984) - (xy 99.269984 102.210229) - (xy 99.267296 102.215505) - (xy 99.267295 102.215506) - (xy 99.255093 102.239454) - (xy 99.212043 102.323945) - (xy 99.192078 102.45) - (xy 99.193004 102.455847) - (xy 99.1971 102.481708) - (xy 99.212043 102.576055) - (xy 99.229485 102.610287) - (xy 99.265498 102.680966) - (xy 99.269984 102.689771) - (xy 99.360229 102.780016) - (xy 99.365503 102.782703) - (xy 99.365506 102.782705) - (xy 99.387643 102.793984) - (xy 99.473945 102.837957) - (xy 99.505653 102.842979) - (xy 99.574139 102.853826) - (xy 99.6 102.857922) - (xy 99.625862 102.853826) - (xy 99.694347 102.842979) - (xy 99.726055 102.837957) - (xy 99.812357 102.793984) - (xy 99.834494 102.782705) - (xy 99.834497 102.782703) - (xy 99.839771 102.780016) - (xy 99.930016 102.689771) - (xy 99.934503 102.680966) - (xy 99.970515 102.610287) - (xy 99.987957 102.576055) - (xy 99.988504 102.576334) - (xy 100.017414 102.539328) - (xy 100.057266 102.5279) - (xy 100.624453 102.5279) - (xy 100.672791 102.545493) - (xy 100.677627 102.549926) - (xy 100.683233 102.555532) - (xy 100.685943 102.558387) - (xy 100.713051 102.588494) - (xy 100.720268 102.591707) - (xy 100.720269 102.591708) - (xy 100.735515 102.598496) - (xy 100.745884 102.604126) - (xy 100.766503 102.617516) - (xy 100.774939 102.618852) - (xy 100.793755 102.624426) - (xy 100.801558 102.6279) - (xy 100.801559 102.6279) - (xy 100.800184 102.630989) - (xy 100.831524 102.650559) - (xy 100.847436 102.699476) - (xy 100.841757 102.725654) - (xy 100.836032 102.739477) - (xy 100.837294 102.747679) - (xy 100.83991 102.749973) - (xy 100.840056 102.75) - (xy 102.578677 102.75) - (xy 102.588834 102.746303) - (xy 102.590559 102.743316) - (xy 102.585089 102.730112) - (xy 102.559777 102.69223) - (xy 102.54755 102.642265) - (xy 102.559776 102.608672) - (xy 102.560668 102.607337) - (xy 102.589677 102.563922) - (xy 102.591126 102.556641) - (xy 102.602179 102.501072) - (xy 102.602179 102.501071) - (xy 102.6029 102.497447) - (xy 102.602899 102.302554) - (xy 102.600577 102.290877) - (xy 102.592446 102.25) - (xy 102.589677 102.236078) - (xy 102.58381 102.227297) - (xy 102.560078 102.191778) - (xy 102.547852 102.141812) - (xy 102.560078 102.108222) - (xy 102.585562 102.070081) - (xy 102.585562 102.07008) - (xy 102.589677 102.063922) - (xy 102.59187 102.0529) - (xy 102.596852 102.027853) - (xy 102.6029 101.997447) - (xy 102.6029 101.995744) - (xy 102.624897 101.949877) - (xy 102.671751 101.928645) - (xy 102.721291 101.942498) - (xy 102.730664 101.950451) - (xy 102.760229 101.980016) - (xy 102.783565 101.991906) - (xy 102.793623 101.99807) - (xy 102.814807 102.013461) - (xy 102.820433 102.015289) - (xy 102.820437 102.015291) - (xy 102.839713 102.021554) - (xy 102.850614 102.026069) - (xy 102.873945 102.037957) - (xy 102.879788 102.038882) - (xy 102.87979 102.038883) - (xy 102.899807 102.042053) - (xy 102.911283 102.044808) - (xy 102.930557 102.051071) - (xy 102.930559 102.051071) - (xy 102.936187 102.0529) - (xy 103.1219 102.0529) - (xy 103.170238 102.070493) - (xy 103.195958 102.115042) - (xy 103.1971 102.1281) - (xy 103.1971 102.558829) - (xy 103.196457 102.568644) - (xy 103.19498 102.579865) - (xy 103.192329 102.6) - (xy 103.192972 102.604884) - (xy 103.196457 102.631356) - (xy 103.1971 102.641171) - (xy 103.1971 103.0719) - (xy 103.179507 103.120238) - (xy 103.134958 103.145958) - (xy 103.1219 103.1471) - (xy 102.896024 103.1471) - (xy 102.865203 103.138174) - (xy 102.86466 103.139535) - (xy 102.85134 103.134221) - (xy 102.836665 103.126385) - (xy 102.833189 103.124) - (xy 102.824843 103.118275) - (xy 102.818088 103.116672) - (xy 102.818084 103.11667) - (xy 102.796958 103.111656) - (xy 102.78646 103.108336) - (xy 102.764783 103.099688) - (xy 102.764778 103.099687) - (xy 102.759853 103.097722) - (xy 102.75351 103.0971) - (xy 102.744418 103.0971) - (xy 102.727054 103.095068) - (xy 102.725328 103.094658) - (xy 102.715053 103.09222) - (xy 102.708176 103.093156) - (xy 102.708175 103.093156) - (xy 102.691548 103.095419) - (xy 102.685127 103.096293) - (xy 102.684245 103.096413) - (xy 102.674104 103.0971) - (xy 102.659108 103.0971) - (xy 102.61077 103.079507) - (xy 102.603032 103.065761) - (xy 102.58509 103.050027) - (xy 102.584944 103.05) - (xy 100.846323 103.05) - (xy 100.836165 103.053697) - (xy 100.832815 103.0595) - (xy 100.79341 103.092565) - (xy 100.76769 103.0971) - (xy 100.704979 103.0971) - (xy 100.699495 103.096697) - (xy 100.695015 103.095159) - (xy 100.688079 103.095419) - (xy 100.688077 103.095419) - (xy 100.644722 103.097047) - (xy 100.641901 103.0971) - (xy 100.621827 103.0971) - (xy 100.618418 103.097735) - (xy 100.614983 103.098052) - (xy 100.610894 103.098317) - (xy 100.600842 103.098694) - (xy 100.586264 103.097821) - (xy 100.55 103.092078) - (xy 100.544153 103.093004) - (xy 100.501952 103.099688) - (xy 100.423945 103.112043) - (xy 100.375472 103.136741) - (xy 100.319008 103.165511) - (xy 100.310229 103.169984) - (xy 100.219984 103.260229) - (xy 100.162043 103.373945) - (xy 100.160137 103.385981) - (xy 100.148847 103.457265) - (xy 100.142078 103.5) - (xy 100.162043 103.626055) - (xy 100.16473 103.631328) - (xy 100.16473 103.631329) - (xy 100.180745 103.66276) - (xy 100.187014 103.713817) - (xy 100.158997 103.756958) - (xy 100.113741 103.7721) - (xy 99.973035 103.7721) - (xy 99.924697 103.754507) - (xy 99.919861 103.750074) - (xy 99.839771 103.669984) - (xy 99.833201 103.666636) - (xy 99.777841 103.638429) - (xy 99.726055 103.612043) - (xy 99.6 103.592078) - (xy 99.473945 103.612043) - (xy 99.422159 103.638429) - (xy 99.3668 103.666636) - (xy 99.360229 103.669984) - (xy 99.269984 103.760229) - (xy 99.267296 103.765505) - (xy 99.267295 103.765506) - (xy 99.251923 103.795675) - (xy 99.212043 103.873945) - (xy 99.204658 103.920575) - (xy 99.196058 103.974874) - (xy 99.192078 104) - (xy 99.212043 104.126055) - (xy 99.232301 104.165814) - (xy 99.265974 104.2319) - (xy 99.269984 104.239771) - (xy 99.360229 104.330016) - (xy 99.365505 104.332704) - (xy 99.365506 104.332705) - (xy 99.397939 104.34923) - (xy 99.473945 104.387957) - (xy 99.6 104.407922) - (xy 99.726055 104.387957) - (xy 99.802061 104.34923) - (xy 99.834494 104.332705) - (xy 99.834495 104.332704) - (xy 99.839771 104.330016) - (xy 99.919861 104.249926) - (xy 99.966481 104.228186) - (xy 99.973035 104.2279) - (xy 100.063741 104.2279) - (xy 100.112079 104.245493) - (xy 100.137799 104.290042) - (xy 100.130745 104.33724) - (xy 100.112043 104.373945) - (xy 100.092078 104.5) - (xy 100.112043 104.626055) - (xy 100.127223 104.655847) - (xy 100.167238 104.734381) - (xy 100.169984 104.739771) - (xy 100.260229 104.830016) - (xy 100.25856 104.831685) - (xy 100.281997 104.866444) - (xy 100.276611 104.917601) - (xy 100.262202 104.937798) - (xy 100 105.2) - (xy 100 107.318852) - (xy 99.982407 107.36719) - (xy 99.977974 107.372026) - (xy 99.772026 107.577974) - (xy 99.725406 107.599714) - (xy 99.718852 107.6) - (xy 98.65 107.6) - (xy 98.35 107.9) - (xy 98.35 108.067255) - (xy 98.339568 108.102474) - (xy 98.339621 108.102494) - (xy 98.339361 108.10317) - (xy 98.337868 108.108212) - (xy 98.337312 108.109069) - (xy 98.332484 108.116503) - (xy 98.331148 108.124939) - (xy 98.325574 108.143755) - (xy 98.3221 108.151558) - (xy 98.3221 108.151559) - (xy 98.319011 108.150184) - (xy 98.299441 108.181524) - (xy 98.250524 108.197436) - (xy 98.224346 108.191757) - (xy 98.210523 108.186032) - (xy 98.202321 108.187294) - (xy 98.200027 108.18991) - (xy 98.2 108.190056) - (xy 98.2 109.928677) - (xy 97.9 109.928677) - (xy 97.9 108.196323) - (xy 97.896303 108.186165) - (xy 97.8905 108.182815) - (xy 97.857435 108.14341) - (xy 97.8529 108.11769) - (xy 97.8529 108.054979) - (xy 97.853303 108.049495) - (xy 97.854841 108.045015) - (xy 97.854476 108.03527) - (xy 97.852953 107.994722) - (xy 97.8529 107.991901) - (xy 97.8529 107.971827) - (xy 97.852265 107.968418) - (xy 97.851948 107.964983) - (xy 97.851683 107.96089) - (xy 97.851306 107.950844) - (xy 97.852179 107.93626) - (xy 97.853668 107.926862) - (xy 97.857922 107.9) - (xy 97.837957 107.773945) - (xy 97.803163 107.705657) - (xy 97.782705 107.665506) - (xy 97.782704 107.665505) - (xy 97.780016 107.660229) - (xy 97.689771 107.569984) - (xy 97.683564 107.566821) - (xy 97.634975 107.542064) - (xy 97.591564 107.519945) - (xy 97.556483 107.482325) - (xy 97.553791 107.430956) - (xy 97.572531 107.399768) - (xy 97.612663 107.359636) - (xy 97.659283 107.337896) - (xy 97.677601 107.338536) - (xy 97.8 107.357922) - (xy 97.926055 107.337957) - (xy 98.002656 107.298927) - (xy 98.034494 107.282705) - (xy 98.034495 107.282704) - (xy 98.039771 107.280016) - (xy 98.130016 107.189771) - (xy 98.133941 107.182069) - (xy 98.170286 107.110736) - (xy 98.187957 107.076055) - (xy 98.204883 106.96919) - (xy 98.206996 106.955847) - (xy 98.207922 106.95) - (xy 98.206046 106.938152) - (xy 98.1973 106.882933) - (xy 98.187957 106.823945) - (xy 98.149703 106.748867) - (xy 98.132705 106.715506) - (xy 98.132704 106.715505) - (xy 98.130016 106.710229) - (xy 98.039771 106.619984) - (xy 98.034453 106.617274) - (xy 97.976555 106.587774) - (xy 97.926055 106.562043) - (xy 97.8 106.542078) - (xy 97.673945 106.562043) - (xy 97.623445 106.587774) - (xy 97.565548 106.617274) - (xy 97.560229 106.619984) - (xy 97.469984 106.710229) - (xy 97.467296 106.715505) - (xy 97.467295 106.715506) - (xy 97.450297 106.748867) - (xy 97.412043 106.823945) - (xy 97.411117 106.829792) - (xy 97.398606 106.908785) - (xy 97.377506 106.950195) - (xy 97.085622 107.242078) - (xy 96.794459 107.533241) - (xy 96.791604 107.535951) - (xy 96.761506 107.563051) - (xy 96.751501 107.585522) - (xy 96.745877 107.595879) - (xy 96.737097 107.6094) - (xy 96.732484 107.616503) - (xy 96.731148 107.624939) - (xy 96.725574 107.643755) - (xy 96.7221 107.651558) - (xy 96.7221 107.676153) - (xy 96.721174 107.687916) - (xy 96.719228 107.700205) - (xy 96.717329 107.712194) - (xy 96.719374 107.719826) - (xy 96.719374 107.719827) - (xy 96.719538 107.720438) - (xy 96.7221 107.739901) - (xy 96.7221 108.092064) - (xy 96.721997 108.095993) - (xy 96.721744 108.100829) - (xy 96.701649 108.148181) - (xy 96.655818 108.171539) - (xy 96.646647 108.1721) - (xy 96.612776 108.1721) - (xy 96.452554 108.172101) - (xy 96.448931 108.172822) - (xy 96.448927 108.172822) - (xy 96.420853 108.178406) - (xy 96.386078 108.185323) - (xy 96.379918 108.189439) - (xy 96.379916 108.18944) - (xy 96.341778 108.214922) - (xy 96.291812 108.227148) - (xy 96.258222 108.214922) - (xy 96.220081 108.189438) - (xy 96.22008 108.189438) - (xy 96.213922 108.185323) - (xy 96.20666 108.183879) - (xy 96.206659 108.183878) - (xy 96.151072 108.172821) - (xy 96.151071 108.172821) - (xy 96.147447 108.1721) - (xy 96.050027 108.1721) - (xy 95.952554 108.172101) - (xy 95.948931 108.172822) - (xy 95.948927 108.172822) - (xy 95.920853 108.178406) - (xy 95.886078 108.185323) - (xy 95.879918 108.189439) - (xy 95.879916 108.18944) - (xy 95.841778 108.214922) - (xy 95.791812 108.227148) - (xy 95.758222 108.214922) - (xy 95.720081 108.189438) - (xy 95.72008 108.189438) - (xy 95.713922 108.185323) - (xy 95.70666 108.183879) - (xy 95.706659 108.183878) - (xy 95.651072 108.172821) - (xy 95.651071 108.172821) - (xy 95.647447 108.1721) - (xy 95.550027 108.1721) - (xy 95.452554 108.172101) - (xy 95.448931 108.172822) - (xy 95.448927 108.172822) - (xy 95.420853 108.178406) - (xy 95.386078 108.185323) - (xy 95.379918 108.189439) - (xy 95.379916 108.18944) - (xy 95.341778 108.214922) - (xy 95.291812 108.227148) - (xy 95.258222 108.214922) - (xy 95.220081 108.189438) - (xy 95.22008 108.189438) - (xy 95.213922 108.185323) - (xy 95.20666 108.183879) - (xy 95.206659 108.183878) - (xy 95.151072 108.172821) - (xy 95.151071 108.172821) - (xy 95.147447 108.1721) - (xy 95.050027 108.1721) - (xy 94.952554 108.172101) - (xy 94.948931 108.172822) - (xy 94.948927 108.172822) - (xy 94.920853 108.178406) - (xy 94.886078 108.185323) - (xy 94.879918 108.189439) - (xy 94.879916 108.18944) - (xy 94.841778 108.214922) - (xy 94.791812 108.227148) - (xy 94.758222 108.214922) - (xy 94.720081 108.189438) - (xy 94.72008 108.189438) - (xy 94.713922 108.185323) - (xy 94.70666 108.183879) - (xy 94.706659 108.183878) - (xy 94.651072 108.172821) - (xy 94.651071 108.172821) - (xy 94.647447 108.1721) - (xy 94.550027 108.1721) - (xy 94.452554 108.172101) - (xy 94.448931 108.172822) - (xy 94.448927 108.172822) - (xy 94.420853 108.178406) - (xy 94.386078 108.185323) - (xy 94.379918 108.189439) - (xy 94.379916 108.18944) - (xy 94.341778 108.214922) - (xy 94.291812 108.227148) - (xy 94.258222 108.214922) - (xy 94.220081 108.189438) - (xy 94.22008 108.189438) - (xy 94.213922 108.185323) - (xy 94.20666 108.183879) - (xy 94.206659 108.183878) - (xy 94.151072 108.172821) - (xy 94.151071 108.172821) - (xy 94.147447 108.1721) - (xy 94.050027 108.1721) - (xy 93.952554 108.172101) - (xy 93.948931 108.172822) - (xy 93.948927 108.172822) - (xy 93.920853 108.178406) - (xy 93.886078 108.185323) - (xy 93.879918 108.189439) - (xy 93.879916 108.18944) - (xy 93.841778 108.214922) - (xy 93.791812 108.227148) - (xy 93.758222 108.214922) - (xy 93.720081 108.189438) - (xy 93.72008 108.189438) - (xy 93.713922 108.185323) - (xy 93.70666 108.183879) - (xy 93.706659 108.183878) - (xy 93.651072 108.172821) - (xy 93.651071 108.172821) - (xy 93.647447 108.1721) - (xy 93.550027 108.1721) - (xy 93.452554 108.172101) - (xy 93.448931 108.172822) - (xy 93.448927 108.172822) - (xy 93.420853 108.178406) - (xy 93.386078 108.185323) - (xy 93.379918 108.189439) - (xy 93.379916 108.18944) - (xy 93.341778 108.214922) - (xy 93.291812 108.227148) - (xy 93.258222 108.214922) - (xy 93.220081 108.189438) - (xy 93.22008 108.189438) - (xy 93.213922 108.185323) - (xy 93.20666 108.183879) - (xy 93.206659 108.183878) - (xy 93.151072 108.172821) - (xy 93.151071 108.172821) - (xy 93.147447 108.1721) - (xy 93.050027 108.1721) - (xy 92.952554 108.172101) - (xy 92.948931 108.172822) - (xy 92.948927 108.172822) - (xy 92.920853 108.178406) - (xy 92.886078 108.185323) - (xy 92.879918 108.189439) - (xy 92.879916 108.18944) - (xy 92.841778 108.214922) - (xy 92.791812 108.227148) - (xy 92.758222 108.214922) - (xy 92.720081 108.189438) - (xy 92.72008 108.189438) - (xy 92.713922 108.185323) - (xy 92.70666 108.183879) - (xy 92.706659 108.183878) - (xy 92.651072 108.172821) - (xy 92.651071 108.172821) - (xy 92.647447 108.1721) - (xy 92.550027 108.1721) - (xy 92.452554 108.172101) - (xy 92.448931 108.172822) - (xy 92.448927 108.172822) - (xy 92.420853 108.178406) - (xy 92.386078 108.185323) - (xy 92.379918 108.189439) - (xy 92.379916 108.18944) - (xy 92.341778 108.214922) - (xy 92.291812 108.227148) - (xy 92.258222 108.214922) - (xy 92.220081 108.189438) - (xy 92.22008 108.189438) - (xy 92.213922 108.185323) - (xy 92.20666 108.183879) - (xy 92.206659 108.183878) - (xy 92.151072 108.172821) - (xy 92.151071 108.172821) - (xy 92.147447 108.1721) - (xy 92.050027 108.1721) - (xy 91.952554 108.172101) - (xy 91.948931 108.172822) - (xy 91.948927 108.172822) - (xy 91.920853 108.178406) - (xy 91.886078 108.185323) - (xy 91.879918 108.189439) - (xy 91.879916 108.18944) - (xy 91.841778 108.214922) - (xy 91.791812 108.227148) - (xy 91.758222 108.214922) - (xy 91.720081 108.189438) - (xy 91.72008 108.189438) - (xy 91.713922 108.185323) - (xy 91.70666 108.183879) - (xy 91.706659 108.183878) - (xy 91.651072 108.172821) - (xy 91.651071 108.172821) - (xy 91.647447 108.1721) - (xy 91.550027 108.1721) - (xy 91.452554 108.172101) - (xy 91.448931 108.172822) - (xy 91.448927 108.172822) - (xy 91.420853 108.178406) - (xy 91.386078 108.185323) - (xy 91.37992 108.189438) - (xy 91.379919 108.189438) - (xy 91.373678 108.193608) - (xy 91.341327 108.215224) - (xy 91.291362 108.22745) - (xy 91.25777 108.215223) - (xy 91.219888 108.189911) - (xy 91.210523 108.186032) - (xy 91.202321 108.187294) - (xy 91.200027 108.18991) - (xy 91.2 108.190056) - (xy 91.2 109.928677) - (xy 91.203697 109.938834) - (xy 91.206684 109.940559) - (xy 91.219888 109.935089) - (xy 91.25777 109.909777) - (xy 91.307735 109.89755) - (xy 91.341327 109.909776) - (xy 91.386078 109.939677) - (xy 91.39334 109.941121) - (xy 91.393341 109.941122) - (xy 91.448918 109.952177) - (xy 91.452553 109.9529) - (xy 91.549973 109.9529) - (xy 91.647446 109.952899) - (xy 91.651069 109.952178) - (xy 91.651073 109.952178) - (xy 91.706658 109.941122) - (xy 91.706659 109.941122) - (xy 91.713922 109.939677) - (xy 91.720078 109.935564) - (xy 91.726536 109.932889) - (xy 91.777927 109.930647) - (xy 91.818736 109.961964) - (xy 91.825514 109.975411) - (xy 91.82869 109.983685) - (xy 91.83204 109.994993) - (xy 91.837152 110.019044) - (xy 91.841798 110.025438) - (xy 91.841798 110.025439) - (xy 91.842171 110.025952) - (xy 91.851535 110.043198) - (xy 91.854597 110.051174) - (xy 91.871981 110.068558) - (xy 91.879645 110.07753) - (xy 91.883643 110.083032) - (xy 91.894099 110.097424) - (xy 91.900944 110.101376) - (xy 91.901493 110.101693) - (xy 91.917067 110.113644) - (xy 92.700074 110.896651) - (xy 92.721814 110.943271) - (xy 92.7221 110.949825) - (xy 92.7221 113.368252) - (xy 92.704507 113.41659) - (xy 92.659958 113.44231) - (xy 92.6093 113.433377) - (xy 92.593726 113.421426) - (xy 92.366758 113.194458) - (xy 92.364047 113.191602) - (xy 92.342237 113.167379) - (xy 92.336949 113.161506) - (xy 92.314478 113.151501) - (xy 92.304121 113.145877) - (xy 92.290125 113.136788) - (xy 92.290124 113.136788) - (xy 92.283497 113.132484) - (xy 92.275061 113.131148) - (xy 92.256245 113.125574) - (xy 92.248442 113.1221) - (xy 92.223847 113.1221) - (xy 92.212084 113.121174) - (xy 92.195613 113.118565) - (xy 92.195611 113.118565) - (xy 92.187806 113.117329) - (xy 92.180174 113.119374) - (xy 92.180173 113.119374) - (xy 92.179562 113.119538) - (xy 92.160099 113.1221) - (xy 85.800547 113.1221) - (xy 85.752209 113.104507) - (xy 85.747373 113.100074) - (xy 83.249926 110.602626) - (xy 83.228186 110.556006) - (xy 83.2279 110.549452) - (xy 83.2279 109.66773) - (xy 83.245493 109.619392) - (xy 83.291336 109.593456) - (xy 83.320208 109.588883) - (xy 83.326055 109.587957) - (xy 83.439771 109.530016) - (xy 83.530016 109.439771) - (xy 83.536594 109.426862) - (xy 83.58527 109.331328) - (xy 83.587957 109.326055) - (xy 83.607922 109.2) - (xy 83.587957 109.073945) - (xy 83.548416 108.996341) - (xy 83.532705 108.965506) - (xy 83.532704 108.965505) - (xy 83.530016 108.960229) - (xy 83.439771 108.869984) - (xy 83.42946 108.86473) - (xy 83.376604 108.837799) - (xy 83.326055 108.812043) - (xy 83.225268 108.79608) - (xy 83.205847 108.793004) - (xy 83.2 108.792078) - (xy 83.194153 108.793004) - (xy 83.174732 108.79608) - (xy 83.073945 108.812043) - (xy 83.068672 108.81473) - (xy 83.068671 108.81473) - (xy 83.03724 108.830745) - (xy 82.986183 108.837014) - (xy 82.943042 108.808997) - (xy 82.9279 108.763741) - (xy 82.9279 108.673035) - (xy 82.945493 108.624697) - (xy 82.949926 108.619861) - (xy 83.030016 108.539771) - (xy 83.03632 108.5274) - (xy 83.071025 108.459286) - (xy 83.087957 108.426055) - (xy 83.107922 108.3) - (xy 83.087957 108.173945) - (xy 83.054901 108.109069) - (xy 83.032705 108.065506) - (xy 83.032704 108.065505) - (xy 83.030016 108.060229) - (xy 82.939771 107.969984) - (xy 82.932718 107.96639) - (xy 82.855139 107.926862) - (xy 82.826055 107.912043) - (xy 82.750018 107.9) - (xy 82.705847 107.893004) - (xy 82.7 107.892078) - (xy 82.694153 107.893004) - (xy 82.649982 107.9) - (xy 82.573945 107.912043) - (xy 82.544861 107.926862) - (xy 82.467283 107.96639) - (xy 82.460229 107.969984) - (xy 82.369984 108.060229) - (xy 82.367296 108.065505) - (xy 82.367295 108.065506) - (xy 82.345099 108.109069) - (xy 82.312043 108.173945) - (xy 82.292078 108.3) - (xy 82.312043 108.426055) - (xy 82.328975 108.459286) - (xy 82.363681 108.5274) - (xy 82.369984 108.539771) - (xy 82.450074 108.619861) - (xy 82.471814 108.666481) - (xy 82.4721 108.673035) - (xy 82.4721 108.763741) - (xy 82.454507 108.812079) - (xy 82.409958 108.837799) - (xy 82.36276 108.830745) - (xy 82.331329 108.81473) - (xy 82.331328 108.81473) - (xy 82.326055 108.812043) - (xy 82.225268 108.79608) - (xy 82.205847 108.793004) - (xy 82.2 108.792078) - (xy 82.194153 108.793004) - (xy 82.174732 108.79608) - (xy 82.073945 108.812043) - (xy 82.023396 108.837799) - (xy 81.970541 108.86473) - (xy 81.960229 108.869984) - (xy 81.869984 108.960229) - (xy 81.867296 108.965505) - (xy 81.867295 108.965506) - (xy 81.851584 108.996341) - (xy 81.812043 109.073945) - (xy 81.792078 109.2) - (xy 81.5779 109.2) - (xy 81.5779 109.08658) - (xy 81.574041 109.057265) - (xy 81.572364 109.044526) - (xy 81.572363 109.044524) - (xy 81.571613 109.038824) - (xy 81.568385 109.0319) - (xy 81.54419 108.980016) - (xy 81.522743 108.934023) - (xy 81.440977 108.852257) - (xy 81.348207 108.808997) - (xy 81.34139 108.805818) - (xy 81.341388 108.805817) - (xy 81.336176 108.803387) - (xy 81.330476 108.802637) - (xy 81.330474 108.802636) - (xy 81.308891 108.799795) - (xy 81.28842 108.7971) - (xy 80.61158 108.7971) - (xy 80.591109 108.799795) - (xy 80.569526 108.802636) - (xy 80.569524 108.802637) - (xy 80.563824 108.803387) - (xy 80.558612 108.805817) - (xy 80.55861 108.805818) - (xy 80.551793 108.808997) - (xy 80.459023 108.852257) - (xy 80.377257 108.934023) - (xy 80.352382 108.987367) - (xy 80.316011 109.023737) - (xy 80.264767 109.028221) - (xy 80.231056 109.008757) - (xy 80.162592 108.940293) - (xy 80.140852 108.893673) - (xy 80.148762 108.852979) - (xy 80.150548 108.849475) - (xy 80.187957 108.776055) - (xy 80.207922 108.65) - (xy 80.203468 108.621875) - (xy 80.197194 108.582268) - (xy 80.187957 108.523945) - (xy 80.144385 108.438429) - (xy 80.132705 108.415506) - (xy 80.132704 108.415505) - (xy 80.130016 108.410229) - (xy 80.039771 108.319984) - (xy 80.012026 108.305847) - (xy 79.986656 108.292921) - (xy 79.926055 108.262043) - (xy 79.850018 108.25) - (xy 79.805847 108.243004) - (xy 79.8 108.242078) - (xy 79.794153 108.243004) - (xy 79.749982 108.25) - (xy 79.673945 108.262043) - (xy 79.613344 108.292921) - (xy 79.587975 108.305847) - (xy 79.560229 108.319984) - (xy 79.469984 108.410229) - (xy 79.467296 108.415505) - (xy 79.467295 108.415506) - (xy 79.455615 108.438429) - (xy 79.412043 108.523945) - (xy 79.402806 108.582268) - (xy 79.396533 108.621875) - (xy 79.392078 108.65) - (xy 78.8723 108.65) - (xy 77.8723 107.65) - (xy 79.642078 107.65) - (xy 79.643004 107.655847) - (xy 79.644009 107.662194) - (xy 79.662043 107.776055) - (xy 79.677461 107.806314) - (xy 79.70327 107.856967) - (xy 79.719984 107.889771) - (xy 79.810229 107.980016) - (xy 79.815505 107.982704) - (xy 79.815506 107.982705) - (xy 79.838459 107.9944) - (xy 79.923945 108.037957) - (xy 80.05 108.057922) - (xy 80.176055 108.037957) - (xy 80.258689 107.995853) - (xy 80.309744 107.989584) - (xy 80.352885 108.0176) - (xy 80.360981 108.031073) - (xy 80.377257 108.065977) - (xy 80.459023 108.147743) - (xy 80.512805 108.172822) - (xy 80.55861 108.194182) - (xy 80.558612 108.194183) - (xy 80.563824 108.196613) - (xy 80.569524 108.197363) - (xy 80.569526 108.197364) - (xy 80.586907 108.199652) - (xy 80.61158 108.2029) - (xy 81.28842 108.2029) - (xy 81.313093 108.199652) - (xy 81.330474 108.197364) - (xy 81.330476 108.197363) - (xy 81.336176 108.196613) - (xy 81.341388 108.194183) - (xy 81.34139 108.194182) - (xy 81.387195 108.172822) - (xy 81.440977 108.147743) - (xy 81.522743 108.065977) - (xy 81.564782 107.975826) - (xy 81.569182 107.96639) - (xy 81.569183 107.966388) - (xy 81.571613 107.961176) - (xy 81.5779 107.91342) - (xy 81.5779 107.48658) - (xy 81.572938 107.448887) - (xy 81.572364 107.444526) - (xy 81.572363 107.444524) - (xy 81.571613 107.438824) - (xy 81.569173 107.43359) - (xy 81.548019 107.388227) - (xy 81.522743 107.334023) - (xy 81.440977 107.252257) - (xy 81.371504 107.219861) - (xy 81.34139 107.205818) - (xy 81.341388 107.205817) - (xy 81.336176 107.203387) - (xy 81.330476 107.202637) - (xy 81.330474 107.202636) - (xy 81.310448 107.2) - (xy 81.28842 107.1971) - (xy 80.61158 107.1971) - (xy 80.589552 107.2) - (xy 80.569526 107.202636) - (xy 80.569524 107.202637) - (xy 80.563824 107.203387) - (xy 80.558612 107.205817) - (xy 80.55861 107.205818) - (xy 80.528496 107.219861) - (xy 80.459023 107.252257) - (xy 80.393326 107.317954) - (xy 80.346706 107.339694) - (xy 80.29595 107.325618) - (xy 80.293957 107.32417) - (xy 80.289771 107.319984) - (xy 80.176055 107.262043) - (xy 80.05 107.242078) - (xy 79.923945 107.262043) - (xy 79.879882 107.284494) - (xy 79.837182 107.306251) - (xy 79.810229 107.319984) - (xy 79.719984 107.410229) - (xy 79.717296 107.415505) - (xy 79.717295 107.415506) - (xy 79.703893 107.441809) - (xy 79.662043 107.523945) - (xy 79.657076 107.555303) - (xy 79.645475 107.628555) - (xy 79.642078 107.65) - (xy 77.8723 107.65) - (xy 75.449926 105.227626) - (xy 75.428186 105.181006) - (xy 75.4279 105.174452) - (xy 75.4279 97.771908) - (xy 76.7136 97.771908) - (xy 76.713921 97.776801) - (xy 76.719126 97.816343) - (xy 76.722308 97.827259) - (xy 76.76589 97.920719) - (xy 76.773328 97.931342) - (xy 76.845658 98.003672) - (xy 76.856281 98.01111) - (xy 76.949741 98.054692) - (xy 76.960657 98.057874) - (xy 77.000199 98.063079) - (xy 77.005092 98.0634) - (xy 77.052741 98.0634) - (xy 77.062898 98.059703) - (xy 77.066 98.054331) - (xy 77.066 98.050141) - (xy 77.366 98.050141) - (xy 77.369697 98.060298) - (xy 77.375069 98.0634) - (xy 77.426908 98.0634) - (xy 77.431801 98.063079) - (xy 77.471343 98.057874) - (xy 77.482259 98.054692) - (xy 77.575719 98.01111) - (xy 77.586342 98.003672) - (xy 77.658672 97.931342) - (xy 77.66611 97.920719) - (xy 77.709692 97.827259) - (xy 77.712874 97.816343) - (xy 77.718079 97.776801) - (xy 77.7184 97.771908) - (xy 77.7184 97.224259) - (xy 77.714703 97.214102) - (xy 77.709331 97.211) - (xy 77.379259 97.211) - (xy 77.369102 97.214697) - (xy 77.366 97.220069) - (xy 77.366 98.050141) - (xy 77.066 98.050141) - (xy 77.066 97.224259) - (xy 77.062303 97.214102) - (xy 77.056931 97.211) - (xy 76.726859 97.211) - (xy 76.716702 97.214697) - (xy 76.7136 97.220069) - (xy 76.7136 97.771908) - (xy 75.4279 97.771908) - (xy 75.4279 97.225547) - (xy 75.445493 97.177209) - (xy 75.449926 97.172373) - (xy 75.724558 96.897741) - (xy 76.7136 96.897741) - (xy 76.717297 96.907898) - (xy 76.722669 96.911) - (xy 77.052741 96.911) - (xy 77.062898 96.907303) - (xy 77.066 96.901931) - (xy 77.066 96.897741) - (xy 77.366 96.897741) - (xy 77.369697 96.907898) - (xy 77.375069 96.911) - (xy 77.705141 96.911) - (xy 77.715298 96.907303) - (xy 77.7184 96.901931) - (xy 77.7184 96.350092) - (xy 77.718079 96.345199) - (xy 77.712874 96.305657) - (xy 77.709692 96.294741) - (xy 77.66611 96.201281) - (xy 77.658672 96.190658) - (xy 77.586342 96.118328) - (xy 77.575719 96.11089) - (xy 77.482259 96.067308) - (xy 77.471343 96.064126) - (xy 77.431801 96.058921) - (xy 77.426908 96.0586) - (xy 77.379259 96.0586) - (xy 77.369102 96.062297) - (xy 77.366 96.067669) - (xy 77.366 96.897741) - (xy 77.066 96.897741) - (xy 77.066 96.071859) - (xy 77.062303 96.061702) - (xy 77.056931 96.0586) - (xy 77.005092 96.0586) - (xy 77.000199 96.058921) - (xy 76.960657 96.064126) - (xy 76.949741 96.067308) - (xy 76.856281 96.11089) - (xy 76.845658 96.118328) - (xy 76.773328 96.190658) - (xy 76.76589 96.201281) - (xy 76.722308 96.294741) - (xy 76.719126 96.305657) - (xy 76.713921 96.345199) - (xy 76.7136 96.350092) - (xy 76.7136 96.897741) - (xy 75.724558 96.897741) - (xy 76.864299 95.758) - (xy 77.887578 95.758) - (xy 77.907543 95.884055) - (xy 77.929636 95.927415) - (xy 77.960484 95.987957) - (xy 77.965484 95.997771) - (xy 78.047323 96.07961) - (xy 78.069063 96.12623) - (xy 78.055749 96.175917) - (xy 78.047325 96.185955) - (xy 78.038257 96.195023) - (xy 78.016315 96.242078) - (xy 77.992542 96.293059) - (xy 77.989387 96.299824) - (xy 77.988637 96.305524) - (xy 77.988636 96.305526) - (xy 77.987342 96.315359) - (xy 77.9831 96.34758) - (xy 77.9831 97.77442) - (xy 77.984882 97.787957) - (xy 77.988625 97.816386) - (xy 77.989387 97.822176) - (xy 77.991817 97.827388) - (xy 77.991818 97.82739) - (xy 77.99995 97.844828) - (xy 78.038257 97.926977) - (xy 78.120023 98.008743) - (xy 78.165458 98.02993) - (xy 78.21961 98.055182) - (xy 78.219612 98.055183) - (xy 78.224824 98.057613) - (xy 78.230524 98.058363) - (xy 78.230526 98.058364) - (xy 78.245219 98.060298) - (xy 78.27258 98.0639) - (xy 78.69942 98.0639) - (xy 78.726781 98.060298) - (xy 78.741474 98.058364) - (xy 78.741476 98.058363) - (xy 78.747176 98.057613) - (xy 78.752388 98.055183) - (xy 78.75239 98.055182) - (xy 78.806542 98.02993) - (xy 78.851977 98.008743) - (xy 78.933743 97.926977) - (xy 78.97205 97.844828) - (xy 78.980182 97.82739) - (xy 78.980183 97.827388) - (xy 78.982613 97.822176) - (xy 78.983376 97.816386) - (xy 78.987118 97.787957) - (xy 78.9889 97.77442) - (xy 78.9889 96.34758) - (xy 78.984658 96.315359) - (xy 78.983364 96.305526) - (xy 78.983363 96.305524) - (xy 78.982613 96.299824) - (xy 78.979459 96.293059) - (xy 78.955685 96.242078) - (xy 78.933743 96.195023) - (xy 78.851977 96.113257) - (xy 78.757319 96.069117) - (xy 78.720946 96.032744) - (xy 78.7139 96.000963) - (xy 78.7139 95.956436) - (xy 78.714003 95.9525) - (xy 78.714439 95.944182) - (xy 78.716123 95.912052) - (xy 78.707311 95.889096) - (xy 78.703959 95.877781) - (xy 78.700492 95.861468) - (xy 78.700492 95.861467) - (xy 78.698848 95.853734) - (xy 78.698863 95.853731) - (xy 78.69405 95.81717) - (xy 78.695662 95.806996) - (xy 78.703422 95.758) - (xy 78.683457 95.631945) - (xy 78.64784 95.562043) - (xy 78.628205 95.523506) - (xy 78.628204 95.523505) - (xy 78.625516 95.518229) - (xy 78.535271 95.427984) - (xy 78.519041 95.419714) - (xy 78.460805 95.390042) - (xy 78.421555 95.370043) - (xy 78.358527 95.36006) - (xy 78.301347 95.351004) - (xy 78.2955 95.350078) - (xy 78.289653 95.351004) - (xy 78.232473 95.36006) - (xy 78.169445 95.370043) - (xy 78.130195 95.390042) - (xy 78.07196 95.419714) - (xy 78.055729 95.427984) - (xy 77.965484 95.518229) - (xy 77.962796 95.523505) - (xy 77.962795 95.523506) - (xy 77.94316 95.562043) - (xy 77.907543 95.631945) - (xy 77.887578 95.758) - (xy 76.864299 95.758) - (xy 77.572373 95.049926) - (xy 77.618993 95.028186) - (xy 77.625547 95.0279) - (xy 81.524453 95.0279) - (xy 81.572791 95.045493) - (xy 81.577627 95.049926) - (xy 82.750074 96.222373) - (xy 82.771814 96.268993) - (xy 82.7721 96.275547) - (xy 82.7721 97.592064) - (xy 82.771997 97.596) - (xy 82.769877 97.636448) - (xy 82.77869 97.659408) - (xy 82.78204 97.670715) - (xy 82.787152 97.694766) - (xy 82.791798 97.70116) - (xy 82.791798 97.701161) - (xy 82.792171 97.701674) - (xy 82.801535 97.71892) - (xy 82.804597 97.726896) - (xy 82.821981 97.74428) - (xy 82.829645 97.753252) - (xy 82.844099 97.773146) - (xy 82.850944 97.777098) - (xy 82.851493 97.777415) - (xy 82.867067 97.789366) - (xy 83.233233 98.155532) - (xy 83.235944 98.158388) - (xy 83.252574 98.176858) - (xy 83.271843 98.224553) - (xy 83.255947 98.273475) - (xy 83.249863 98.28035) - (xy 83.241713 98.2885) - (xy 83.232741 98.296164) - (xy 83.211556 98.311556) - (xy 83.208081 98.316339) - (xy 83.196164 98.332741) - (xy 83.1885 98.341713) - (xy 83.169984 98.360229) - (xy 83.159234 98.381328) - (xy 83.158096 98.383562) - (xy 83.15193 98.393623) - (xy 83.136539 98.414807) - (xy 83.134711 98.420433) - (xy 83.134709 98.420437) - (xy 83.128446 98.439713) - (xy 83.123931 98.450614) - (xy 83.112043 98.473945) - (xy 83.111118 98.479788) - (xy 83.111117 98.47979) - (xy 83.107947 98.499807) - (xy 83.105192 98.511283) - (xy 83.100979 98.52425) - (xy 83.0971 98.536187) - (xy 83.0971 98.562375) - (xy 83.096174 98.574138) - (xy 83.092078 98.6) - (xy 83.093004 98.605847) - (xy 83.096174 98.625861) - (xy 83.0971 98.637625) - (xy 83.0971 98.663813) - (xy 83.098929 98.669441) - (xy 83.098929 98.669443) - (xy 83.105192 98.688717) - (xy 83.107947 98.700193) - (xy 83.110656 98.717295) - (xy 83.112043 98.726055) - (xy 83.11715 98.736078) - (xy 83.123931 98.749386) - (xy 83.128446 98.760287) - (xy 83.134709 98.779563) - (xy 83.134711 98.779567) - (xy 83.136539 98.785193) - (xy 83.147351 98.800074) - (xy 83.15193 98.806376) - (xy 83.158094 98.816435) - (xy 83.169984 98.839771) - (xy 83.260229 98.930016) - (xy 83.260231 98.930017) - (xy 83.319983 98.989769) - (xy 83.319984 98.989771) - (xy 83.410229 99.080016) - (xy 83.433565 99.091906) - (xy 83.443623 99.09807) - (xy 83.464807 99.113461) - (xy 83.470433 99.115289) - (xy 83.470437 99.115291) - (xy 83.489713 99.121554) - (xy 83.500614 99.126069) - (xy 83.523945 99.137957) - (xy 83.529788 99.138882) - (xy 83.52979 99.138883) - (xy 83.549807 99.142053) - (xy 83.561283 99.144808) - (xy 83.580557 99.151071) - (xy 83.580559 99.151071) - (xy 83.586187 99.1529) - (xy 83.730816 99.1529) - (xy 83.779154 99.170493) - (xy 83.786263 99.178171) - (xy 83.786285 99.178149) - (xy 83.872377 99.264091) - (xy 83.877959 99.26682) - (xy 83.877961 99.266821) - (xy 83.97642 99.314949) - (xy 83.976422 99.31495) - (xy 83.981666 99.317513) - (xy 83.987443 99.318356) - (xy 83.987444 99.318356) - (xy 83.998605 99.319984) - (xy 84.052869 99.3279) - (xy 84.349078 99.3279) - (xy 84.64713 99.327899) - (xy 84.705685 99.31928) - (xy 84.713172 99.318178) - (xy 84.718953 99.317327) - (xy 84.725862 99.313935) - (xy 84.736919 99.308507) - (xy 84.788063 99.302997) - (xy 84.830783 99.331651) - (xy 84.844334 99.364246) - (xy 84.854583 99.428957) - (xy 84.862043 99.476055) - (xy 84.870207 99.492078) - (xy 84.880118 99.511529) - (xy 84.886387 99.562585) - (xy 84.858371 99.605726) - (xy 84.809178 99.620766) - (xy 84.78009 99.61323) - (xy 84.723428 99.585533) - (xy 84.712416 99.58213) - (xy 84.649795 99.572995) - (xy 84.644359 99.5726) - (xy 84.513259 99.5726) - (xy 84.503102 99.576297) - (xy 84.5 99.581669) - (xy 84.5 99.986741) - (xy 84.503697 99.996898) - (xy 84.509069 100) - (xy 84.964141 100) - (xy 84.974298 99.996303) - (xy 84.9774 99.990931) - (xy 84.9774 99.905657) - (xy 84.977 99.90019) - (xy 84.967694 99.836971) - (xy 84.964268 99.825945) - (xy 84.952867 99.802725) - (xy 84.947355 99.751581) - (xy 84.976008 99.70886) - (xy 85.025418 99.694552) - (xy 85.054509 99.702578) - (xy 85.118669 99.735269) - (xy 85.123945 99.737957) - (xy 85.163867 99.74428) - (xy 85.220515 99.753252) - (xy 85.25 99.757922) - (xy 85.279486 99.753252) - (xy 85.336133 99.74428) - (xy 85.376055 99.737957) - (xy 85.409808 99.720759) - (xy 85.460864 99.71449) - (xy 85.493528 99.731222) - (xy 85.514911 99.749973) - (xy 85.515056 99.75) - (xy 86.1248 99.75) - (xy 86.173138 99.767593) - (xy 86.198858 99.812142) - (xy 86.2 99.8252) - (xy 86.2 99.9748) - (xy 86.182407 100.023138) - (xy 86.137858 100.048858) - (xy 86.1248 100.05) - (xy 85.521323 100.05) - (xy 85.511166 100.053697) - (xy 85.509441 100.056684) - (xy 85.514911 100.069888) - (xy 85.540524 100.108221) - (xy 85.552751 100.158187) - (xy 85.540524 100.191779) - (xy 85.514911 100.230112) - (xy 85.511032 100.239477) - (xy 85.512294 100.247679) - (xy 85.51491 100.249973) - (xy 85.515056 100.25) - (xy 86.1248 100.25) - (xy 86.173138 100.267593) - (xy 86.198858 100.312142) - (xy 86.2 100.3252) - (xy 86.2 100.4748) - (xy 86.182407 100.523138) - (xy 86.137858 100.548858) - (xy 86.1248 100.55) - (xy 85.521323 100.55) - (xy 85.511166 100.553697) - (xy 85.509441 100.556684) - (xy 85.514179 100.568122) - (xy 85.516422 100.619513) - (xy 85.485108 100.660323) - (xy 85.444703 100.6721) - (xy 84.987816 100.6721) - (xy 84.939478 100.654507) - (xy 84.913758 100.609958) - (xy 84.920255 100.563876) - (xy 84.964467 100.473428) - (xy 84.96787 100.462416) - (xy 84.977005 100.399795) - (xy 84.9774 100.394359) - (xy 84.9774 100.313259) - (xy 84.973703 100.303102) - (xy 84.968331 100.3) - (xy 83.735859 100.3) - (xy 83.725702 100.303697) - (xy 83.7226 100.309069) - (xy 83.7226 100.394343) - (xy 83.723 100.39981) - (xy 83.732306 100.463029) - (xy 83.735732 100.474055) - (xy 83.779775 100.563757) - (xy 83.785287 100.614901) - (xy 83.756634 100.657622) - (xy 83.712273 100.6721) - (xy 80.717936 100.6721) - (xy 80.714 100.671997) - (xy 80.710508 100.671814) - (xy 80.673552 100.669877) - (xy 80.650592 100.67869) - (xy 80.639285 100.68204) - (xy 80.615234 100.687152) - (xy 80.60884 100.691798) - (xy 80.608839 100.691798) - (xy 80.608326 100.692171) - (xy 80.59108 100.701535) - (xy 80.590483 100.701764) - (xy 80.590482 100.701765) - (xy 80.583104 100.704597) - (xy 80.56572 100.721981) - (xy 80.556747 100.729645) - (xy 80.536854 100.744099) - (xy 80.532902 100.750944) - (xy 80.532585 100.751493) - (xy 80.520634 100.767067) - (xy 78.587009 102.700691) - (xy 78.540389 102.722431) - (xy 78.522071 102.721791) - (xy 78.491847 102.717004) - (xy 78.486 102.716078) - (xy 78.480153 102.717004) - (xy 78.442327 102.722995) - (xy 78.359945 102.736043) - (xy 78.348413 102.741919) - (xy 78.251901 102.791094) - (xy 78.246229 102.793984) - (xy 78.155984 102.884229) - (xy 78.153296 102.889505) - (xy 78.153295 102.889506) - (xy 78.135347 102.924731) - (xy 78.098043 102.997945) - (xy 78.097117 103.003792) - (xy 78.082179 103.09811) - (xy 78.078078 103.124) - (xy 78.079004 103.129847) - (xy 78.081468 103.145401) - (xy 78.098043 103.250055) - (xy 78.100731 103.25533) - (xy 78.100731 103.255331) - (xy 78.135958 103.32447) - (xy 78.142226 103.375526) - (xy 78.118084 103.411318) - (xy 78.120023 103.413257) - (xy 78.038257 103.495023) - (xy 78.015251 103.544359) - (xy 77.996813 103.5839) - (xy 77.989387 103.599824) - (xy 77.988637 103.605524) - (xy 77.988636 103.605526) - (xy 77.987422 103.614752) - (xy 77.9831 103.64758) - (xy 77.9831 105.07442) - (xy 77.984474 105.084856) - (xy 77.987771 105.109898) - (xy 77.989387 105.122176) - (xy 77.991817 105.127388) - (xy 77.991818 105.12739) - (xy 78.001536 105.148229) - (xy 78.038257 105.226977) - (xy 78.120023 105.308743) - (xy 78.154828 105.324973) - (xy 78.21961 105.355182) - (xy 78.219612 105.355183) - (xy 78.224824 105.357613) - (xy 78.230524 105.358363) - (xy 78.230526 105.358364) - (xy 78.247907 105.360652) - (xy 78.27258 105.3639) - (xy 78.69942 105.3639) - (xy 78.724093 105.360652) - (xy 78.741474 105.358364) - (xy 78.741476 105.358363) - (xy 78.747176 105.357613) - (xy 78.752388 105.355183) - (xy 78.75239 105.355182) - (xy 78.817172 105.324973) - (xy 78.851977 105.308743) - (xy 78.933743 105.226977) - (xy 78.970464 105.148229) - (xy 78.980182 105.12739) - (xy 78.980183 105.127388) - (xy 78.982613 105.122176) - (xy 78.98423 105.109898) - (xy 78.987526 105.084856) - (xy 78.9889 105.07442) - (xy 79.2531 105.07442) - (xy 79.254474 105.084856) - (xy 79.257771 105.109898) - (xy 79.259387 105.122176) - (xy 79.261817 105.127388) - (xy 79.261818 105.12739) - (xy 79.271536 105.148229) - (xy 79.308257 105.226977) - (xy 79.390023 105.308743) - (xy 79.424828 105.324973) - (xy 79.48961 105.355182) - (xy 79.489612 105.355183) - (xy 79.494824 105.357613) - (xy 79.500524 105.358363) - (xy 79.500526 105.358364) - (xy 79.517907 105.360652) - (xy 79.54258 105.3639) - (xy 79.96942 105.3639) - (xy 79.994093 105.360652) - (xy 80.011474 105.358364) - (xy 80.011476 105.358363) - (xy 80.017176 105.357613) - (xy 80.022388 105.355183) - (xy 80.02239 105.355182) - (xy 80.087172 105.324973) - (xy 80.121977 105.308743) - (xy 80.203743 105.226977) - (xy 80.240464 105.148229) - (xy 80.250182 105.12739) - (xy 80.250183 105.127388) - (xy 80.252613 105.122176) - (xy 80.25423 105.109898) - (xy 80.257526 105.084856) - (xy 80.2589 105.07442) - (xy 80.5231 105.07442) - (xy 80.524474 105.084856) - (xy 80.527771 105.109898) - (xy 80.529387 105.122176) - (xy 80.531817 105.127388) - (xy 80.531818 105.12739) - (xy 80.541536 105.148229) - (xy 80.578257 105.226977) - (xy 80.601074 105.249794) - (xy 80.622814 105.296414) - (xy 80.6231 105.302968) - (xy 80.6231 105.562875) - (xy 80.622174 105.574638) - (xy 80.618078 105.6005) - (xy 80.619004 105.606347) - (xy 80.623514 105.634822) - (xy 80.638043 105.726555) - (xy 80.653701 105.757285) - (xy 80.689222 105.826999) - (xy 80.695984 105.840271) - (xy 80.786229 105.930516) - (xy 80.791505 105.933204) - (xy 80.791506 105.933205) - (xy 80.8326 105.954143) - (xy 80.899945 105.988457) - (xy 80.933377 105.993752) - (xy 80.986899 106.002229) - (xy 81.026 106.008422) - (xy 81.065102 106.002229) - (xy 81.118623 105.993752) - (xy 81.152055 105.988457) - (xy 81.2194 105.954143) - (xy 81.260494 105.933205) - (xy 81.260495 105.933204) - (xy 81.265771 105.930516) - (xy 81.356016 105.840271) - (xy 81.362779 105.826999) - (xy 81.398299 105.757285) - (xy 81.413957 105.726555) - (xy 81.4289 105.632208) - (xy 81.433922 105.6005) - (xy 81.429826 105.574638) - (xy 81.4289 105.562875) - (xy 81.4289 105.302968) - (xy 81.446493 105.25463) - (xy 81.450926 105.249794) - (xy 81.473743 105.226977) - (xy 81.510464 105.148229) - (xy 81.520182 105.12739) - (xy 81.520183 105.127388) - (xy 81.522613 105.122176) - (xy 81.52423 105.109898) - (xy 81.527526 105.084856) - (xy 81.5289 105.07442) - (xy 81.5289 104.8391) - (xy 81.546493 104.790762) - (xy 81.591042 104.765042) - (xy 81.6041 104.7639) - (xy 81.952813 104.7639) - (xy 81.958441 104.762071) - (xy 81.958443 104.762071) - (xy 81.977717 104.755808) - (xy 81.989193 104.753053) - (xy 82.00921 104.749883) - (xy 82.009212 104.749882) - (xy 82.015055 104.748957) - (xy 82.038386 104.737069) - (xy 82.049287 104.732554) - (xy 82.068563 104.726291) - (xy 82.068567 104.726289) - (xy 82.074193 104.724461) - (xy 82.082726 104.718261) - (xy 82.126927 104.7039) - (xy 82.180816 104.7039) - (xy 82.229154 104.721493) - (xy 82.236263 104.729171) - (xy 82.236285 104.729149) - (xy 82.322377 104.815091) - (xy 82.327959 104.81782) - (xy 82.327961 104.817821) - (xy 82.354925 104.831001) - (xy 82.390625 104.868035) - (xy 82.3971 104.898561) - (xy 82.3971 105.062375) - (xy 82.396174 105.074138) - (xy 82.392078 105.1) - (xy 82.3971 105.131708) - (xy 82.40425 105.176854) - (xy 82.412043 105.226055) - (xy 82.434695 105.270513) - (xy 82.462504 105.32509) - (xy 82.469984 105.339771) - (xy 82.560229 105.430016) - (xy 82.565505 105.432704) - (xy 82.565506 105.432705) - (xy 82.594712 105.447586) - (xy 82.673945 105.487957) - (xy 82.733857 105.497446) - (xy 82.756732 105.501069) - (xy 82.8 105.507922) - (xy 82.843269 105.501069) - (xy 82.866143 105.497446) - (xy 82.926055 105.487957) - (xy 83.005288 105.447586) - (xy 83.034494 105.432705) - (xy 83.034495 105.432704) - (xy 83.039771 105.430016) - (xy 83.130016 105.339771) - (xy 83.137497 105.32509) - (xy 83.165305 105.270513) - (xy 83.187957 105.226055) - (xy 83.2029 105.131708) - (xy 83.207922 105.1) - (xy 83.203826 105.074138) - (xy 83.2029 105.062375) - (xy 83.2029 104.898514) - (xy 83.220493 104.850176) - (xy 83.244958 104.831011) - (xy 83.272573 104.817453) - (xy 83.272575 104.817452) - (xy 83.278149 104.814715) - (xy 83.282536 104.810321) - (xy 83.282538 104.810319) - (xy 83.338779 104.753979) - (xy 83.364091 104.728623) - (xy 83.367577 104.721493) - (xy 83.414949 104.62458) - (xy 83.41495 104.624578) - (xy 83.417513 104.619334) - (xy 83.419453 104.606039) - (xy 83.421879 104.589403) - (xy 83.4279 104.548131) - (xy 83.427899 104.05387) - (xy 83.417327 103.982047) - (xy 83.390528 103.927463) - (xy 83.366453 103.878427) - (xy 83.366452 103.878425) - (xy 83.363715 103.872851) - (xy 83.359321 103.868464) - (xy 83.359319 103.868462) - (xy 83.314823 103.824044) - (xy 83.277623 103.786909) - (xy 83.272041 103.78418) - (xy 83.272039 103.784179) - (xy 83.17358 103.736051) - (xy 83.173578 103.73605) - (xy 83.168334 103.733487) - (xy 83.162557 103.732644) - (xy 83.162556 103.732644) - (xy 83.143875 103.729919) - (xy 83.097131 103.7231) - (xy 82.800922 103.7231) - (xy 82.50287 103.723101) - (xy 82.456551 103.729919) - (xy 82.438038 103.732644) - (xy 82.431047 103.733673) - (xy 82.388613 103.754507) - (xy 82.327427 103.784547) - (xy 82.327425 103.784548) - (xy 82.321851 103.787285) - (xy 82.317464 103.791679) - (xy 82.317462 103.791681) - (xy 82.235909 103.873377) - (xy 82.234361 103.871832) - (xy 82.199624 103.895705) - (xy 82.180797 103.8981) - (xy 82.020127 103.8981) - (xy 82.015856 103.897764) - (xy 82.013813 103.8971) - (xy 81.987625 103.8971) - (xy 81.975861 103.896174) - (xy 81.974498 103.895958) - (xy 81.95 103.892078) - (xy 81.925503 103.895958) - (xy 81.924139 103.896174) - (xy 81.912375 103.8971) - (xy 81.886187 103.8971) - (xy 81.880559 103.898929) - (xy 81.880557 103.898929) - (xy 81.861283 103.905192) - (xy 81.849807 103.907947) - (xy 81.82979 103.911117) - (xy 81.829788 103.911118) - (xy 81.823945 103.912043) - (xy 81.818673 103.914729) - (xy 81.818674 103.914729) - (xy 81.800614 103.923931) - (xy 81.789713 103.928446) - (xy 81.770437 103.934709) - (xy 81.770433 103.934711) - (xy 81.764807 103.936539) - (xy 81.754899 103.943738) - (xy 81.710697 103.9581) - (xy 81.6041 103.9581) - (xy 81.555762 103.940507) - (xy 81.530042 103.895958) - (xy 81.5289 103.8829) - (xy 81.5289 103.64758) - (xy 81.524578 103.614752) - (xy 81.523364 103.605526) - (xy 81.523363 103.605524) - (xy 81.522613 103.599824) - (xy 81.515188 103.5839) - (xy 81.496749 103.544359) - (xy 81.473743 103.495023) - (xy 81.391977 103.413257) - (xy 81.331763 103.385178) - (xy 81.29239 103.366818) - (xy 81.292388 103.366817) - (xy 81.287176 103.364387) - (xy 81.281476 103.363637) - (xy 81.281474 103.363636) - (xy 81.264093 103.361348) - (xy 81.23942 103.3581) - (xy 80.81258 103.3581) - (xy 80.787907 103.361348) - (xy 80.770526 103.363636) - (xy 80.770524 103.363637) - (xy 80.764824 103.364387) - (xy 80.759612 103.366817) - (xy 80.75961 103.366818) - (xy 80.720237 103.385178) - (xy 80.660023 103.413257) - (xy 80.578257 103.495023) - (xy 80.555251 103.544359) - (xy 80.536813 103.5839) - (xy 80.529387 103.599824) - (xy 80.528637 103.605524) - (xy 80.528636 103.605526) - (xy 80.527422 103.614752) - (xy 80.5231 103.64758) - (xy 80.5231 105.07442) - (xy 80.2589 105.07442) - (xy 80.2589 103.64758) - (xy 80.254578 103.614752) - (xy 80.253364 103.605526) - (xy 80.253363 103.605524) - (xy 80.252613 103.599824) - (xy 80.245188 103.5839) - (xy 80.226749 103.544359) - (xy 80.203743 103.495023) - (xy 80.121977 103.413257) - (xy 80.123781 103.411453) - (xy 80.100408 103.378071) - (xy 80.106042 103.32447) - (xy 80.141269 103.255331) - (xy 80.141269 103.25533) - (xy 80.143957 103.250055) - (xy 80.160532 103.145401) - (xy 80.162996 103.129847) - (xy 80.163922 103.124) - (xy 80.159822 103.09811) - (xy 80.151464 103.045343) - (xy 82.1726 103.045343) - (xy 82.173 103.05081) - (xy 82.182306 103.114029) - (xy 82.185731 103.12505) - (xy 82.233959 103.223278) - (xy 82.241094 103.233244) - (xy 82.318271 103.310286) - (xy 82.328257 103.31741) - (xy 82.426572 103.365467) - (xy 82.437584 103.36887) - (xy 82.500205 103.378005) - (xy 82.505641 103.3784) - (xy 82.636741 103.3784) - (xy 82.646898 103.374703) - (xy 82.65 103.369331) - (xy 82.65 103.365141) - (xy 82.95 103.365141) - (xy 82.953697 103.375298) - (xy 82.959069 103.3784) - (xy 83.094343 103.3784) - (xy 83.09981 103.378) - (xy 83.163029 103.368694) - (xy 83.17405 103.365269) - (xy 83.272278 103.317041) - (xy 83.282244 103.309906) - (xy 83.359286 103.232729) - (xy 83.36641 103.222743) - (xy 83.414467 103.124428) - (xy 83.41787 103.113416) - (xy 83.427005 103.050795) - (xy 83.4274 103.045359) - (xy 83.4274 102.964259) - (xy 83.423703 102.954102) - (xy 83.418331 102.951) - (xy 82.963259 102.951) - (xy 82.953102 102.954697) - (xy 82.95 102.960069) - (xy 82.95 103.365141) - (xy 82.65 103.365141) - (xy 82.65 102.964259) - (xy 82.646303 102.954102) - (xy 82.640931 102.951) - (xy 82.185859 102.951) - (xy 82.175702 102.954697) - (xy 82.1726 102.960069) - (xy 82.1726 103.045343) - (xy 80.151464 103.045343) - (xy 80.144883 103.003792) - (xy 80.143957 102.997945) - (xy 80.106653 102.924731) - (xy 80.088705 102.889506) - (xy 80.088704 102.889505) - (xy 80.086016 102.884229) - (xy 79.995771 102.793984) - (xy 79.9901 102.791094) - (xy 79.893587 102.741919) - (xy 79.882055 102.736043) - (xy 79.799673 102.722995) - (xy 79.761847 102.717004) - (xy 79.756 102.716078) - (xy 79.750153 102.717004) - (xy 79.712327 102.722995) - (xy 79.629945 102.736043) - (xy 79.618413 102.741919) - (xy 79.521901 102.791094) - (xy 79.516229 102.793984) - (xy 79.425984 102.884229) - (xy 79.423296 102.889505) - (xy 79.423295 102.889506) - (xy 79.405347 102.924731) - (xy 79.368043 102.997945) - (xy 79.367117 103.003792) - (xy 79.352179 103.09811) - (xy 79.348078 103.124) - (xy 79.349004 103.129847) - (xy 79.351468 103.145401) - (xy 79.368043 103.250055) - (xy 79.370731 103.25533) - (xy 79.370731 103.255331) - (xy 79.405958 103.32447) - (xy 79.412226 103.375526) - (xy 79.388084 103.411318) - (xy 79.390023 103.413257) - (xy 79.308257 103.495023) - (xy 79.285251 103.544359) - (xy 79.266813 103.5839) - (xy 79.259387 103.599824) - (xy 79.258637 103.605524) - (xy 79.258636 103.605526) - (xy 79.257422 103.614752) - (xy 79.2531 103.64758) - (xy 79.2531 105.07442) - (xy 78.9889 105.07442) - (xy 78.9889 103.64758) - (xy 78.984578 103.614752) - (xy 78.983364 103.605526) - (xy 78.983363 103.605524) - (xy 78.982613 103.599824) - (xy 78.975188 103.5839) - (xy 78.956749 103.544359) - (xy 78.933743 103.495023) - (xy 78.851977 103.413257) - (xy 78.853781 103.411453) - (xy 78.830408 103.378071) - (xy 78.836042 103.32447) - (xy 78.871269 103.255331) - (xy 78.871269 103.25533) - (xy 78.873957 103.250055) - (xy 78.890532 103.145401) - (xy 78.892996 103.129847) - (xy 78.893922 103.124) - (xy 78.891967 103.111656) - (xy 78.888209 103.087927) - (xy 78.898024 103.037433) - (xy 78.909309 103.02299) - (xy 79.294558 102.637741) - (xy 82.1726 102.637741) - (xy 82.176297 102.647898) - (xy 82.181669 102.651) - (xy 82.636741 102.651) - (xy 82.646898 102.647303) - (xy 82.65 102.641931) - (xy 82.65 102.637741) - (xy 82.95 102.637741) - (xy 82.953697 102.647898) - (xy 82.959069 102.651) - (xy 83.414141 102.651) - (xy 83.424298 102.647303) - (xy 83.4274 102.641931) - (xy 83.4274 102.556657) - (xy 83.427 102.55119) - (xy 83.417694 102.487971) - (xy 83.414269 102.47695) - (xy 83.366041 102.378722) - (xy 83.358906 102.368756) - (xy 83.281729 102.291714) - (xy 83.271743 102.28459) - (xy 83.173428 102.236533) - (xy 83.162416 102.23313) - (xy 83.099795 102.223995) - (xy 83.094359 102.2236) - (xy 82.963259 102.2236) - (xy 82.953102 102.227297) - (xy 82.95 102.232669) - (xy 82.95 102.637741) - (xy 82.65 102.637741) - (xy 82.65 102.236859) - (xy 82.646303 102.226702) - (xy 82.640931 102.2236) - (xy 82.505657 102.2236) - (xy 82.50019 102.224) - (xy 82.436971 102.233306) - (xy 82.42595 102.236731) - (xy 82.327722 102.284959) - (xy 82.317756 102.292094) - (xy 82.240714 102.369271) - (xy 82.23359 102.379257) - (xy 82.185533 102.477572) - (xy 82.18213 102.488584) - (xy 82.172995 102.551205) - (xy 82.1726 102.556641) - (xy 82.1726 102.637741) - (xy 79.294558 102.637741) - (xy 79.843355 102.088945) - (xy 80.782374 101.149926) - (xy 80.828994 101.128186) - (xy 80.835548 101.1279) - (xy 83.120765 101.1279) - (xy 83.169103 101.145493) - (xy 83.194823 101.190042) - (xy 83.18589 101.2407) - (xy 83.17514 101.254709) - (xy 83.174173 101.25604) - (xy 83.169984 101.260229) - (xy 83.158326 101.28311) - (xy 83.158096 101.283562) - (xy 83.15193 101.293623) - (xy 83.136539 101.314807) - (xy 83.134711 101.320433) - (xy 83.134709 101.320437) - (xy 83.128446 101.339713) - (xy 83.123931 101.350614) - (xy 83.112043 101.373945) - (xy 83.111118 101.379788) - (xy 83.111117 101.37979) - (xy 83.107947 101.399807) - (xy 83.105192 101.411283) - (xy 83.0971 101.436187) - (xy 83.0971 101.462375) - (xy 83.096174 101.474138) - (xy 83.092078 101.5) - (xy 83.093004 101.505847) - (xy 83.096174 101.525861) - (xy 83.0971 101.537625) - (xy 83.0971 101.563813) - (xy 83.098929 101.569441) - (xy 83.098929 101.569443) - (xy 83.105192 101.588717) - (xy 83.107947 101.600193) - (xy 83.111091 101.620041) - (xy 83.112043 101.626055) - (xy 83.114729 101.631326) - (xy 83.123931 101.649386) - (xy 83.128446 101.660287) - (xy 83.134709 101.679563) - (xy 83.134711 101.679567) - (xy 83.136539 101.685193) - (xy 83.14291 101.693961) - (xy 83.15193 101.706376) - (xy 83.158094 101.716435) - (xy 83.169984 101.739771) - (xy 83.260229 101.830016) - (xy 83.260231 101.830017) - (xy 83.319983 101.889769) - (xy 83.319984 101.889771) - (xy 83.410229 101.980016) - (xy 83.433565 101.991906) - (xy 83.443623 101.99807) - (xy 83.464807 102.013461) - (xy 83.470433 102.015289) - (xy 83.470437 102.015291) - (xy 83.489713 102.021554) - (xy 83.500614 102.026069) - (xy 83.523945 102.037957) - (xy 83.529788 102.038882) - (xy 83.52979 102.038883) - (xy 83.549807 102.042053) - (xy 83.561283 102.044808) - (xy 83.580557 102.051071) - (xy 83.580559 102.051071) - (xy 83.586187 102.0529) - (xy 83.730816 102.0529) - (xy 83.779154 102.070493) - (xy 83.786263 102.078171) - (xy 83.786285 102.078149) - (xy 83.867597 102.159319) - (xy 83.869439 102.161158) - (xy 83.872377 102.164091) - (xy 83.871831 102.164638) - (xy 83.898016 102.202733) - (xy 83.892216 102.255705) - (xy 83.890018 102.260018) - (xy 83.886539 102.264807) - (xy 83.884709 102.270438) - (xy 83.884709 102.270439) - (xy 83.878446 102.289713) - (xy 83.873931 102.300614) - (xy 83.862043 102.323945) - (xy 83.861118 102.329788) - (xy 83.861117 102.32979) - (xy 83.857947 102.349807) - (xy 83.855192 102.361283) - (xy 83.849352 102.379257) - (xy 83.8471 102.386187) - (xy 83.8471 102.412375) - (xy 83.846174 102.424138) - (xy 83.842078 102.45) - (xy 83.846037 102.474993) - (xy 83.846174 102.475861) - (xy 83.8471 102.487625) - (xy 83.8471 102.513813) - (xy 83.848929 102.519441) - (xy 83.848929 102.519443) - (xy 83.855192 102.538717) - (xy 83.857947 102.550193) - (xy 83.859685 102.561164) - (xy 83.862043 102.576055) - (xy 83.86588 102.583585) - (xy 83.873931 102.599386) - (xy 83.878446 102.610287) - (xy 83.884709 102.629563) - (xy 83.884711 102.629567) - (xy 83.886539 102.635193) - (xy 83.898024 102.651) - (xy 83.90193 102.656376) - (xy 83.908094 102.666435) - (xy 83.919984 102.689771) - (xy 83.9385 102.708287) - (xy 83.946164 102.717259) - (xy 83.961556 102.738444) - (xy 83.972373 102.746303) - (xy 83.982741 102.753836) - (xy 83.991713 102.7615) - (xy 84.010229 102.780016) - (xy 84.033565 102.791906) - (xy 84.043623 102.79807) - (xy 84.064807 102.813461) - (xy 84.070433 102.815289) - (xy 84.070437 102.815291) - (xy 84.089713 102.821554) - (xy 84.100614 102.826069) - (xy 84.123945 102.837957) - (xy 84.129788 102.838882) - (xy 84.12979 102.838883) - (xy 84.149807 102.842053) - (xy 84.161283 102.844808) - (xy 84.180557 102.851071) - (xy 84.180559 102.851071) - (xy 84.186187 102.8529) - (xy 84.212375 102.8529) - (xy 84.224138 102.853826) - (xy 84.25 102.857922) - (xy 84.275862 102.853826) - (xy 84.287625 102.8529) - (xy 84.313813 102.8529) - (xy 84.319441 102.851071) - (xy 84.319443 102.851071) - (xy 84.338717 102.844808) - (xy 84.350193 102.842053) - (xy 84.37021 102.838883) - (xy 84.370212 102.838882) - (xy 84.376055 102.837957) - (xy 84.399386 102.826069) - (xy 84.410287 102.821554) - (xy 84.429563 102.815291) - (xy 84.429567 102.815289) - (xy 84.435193 102.813461) - (xy 84.456377 102.79807) - (xy 84.466435 102.791906) - (xy 84.489771 102.780016) - (xy 84.680016 102.589771) - (xy 84.682703 102.584498) - (xy 84.682707 102.584492) - (xy 84.691908 102.566433) - (xy 84.698073 102.556373) - (xy 84.702757 102.549926) - (xy 84.713461 102.535193) - (xy 84.715289 102.529567) - (xy 84.715291 102.529563) - (xy 84.721554 102.510287) - (xy 84.726069 102.499386) - (xy 84.733657 102.484494) - (xy 84.737957 102.476055) - (xy 84.741158 102.455847) - (xy 84.742053 102.450193) - (xy 84.744808 102.438717) - (xy 84.751071 102.419443) - (xy 84.751071 102.419441) - (xy 84.7529 102.413813) - (xy 84.7529 102.247514) - (xy 84.770493 102.199176) - (xy 84.794958 102.180011) - (xy 84.822573 102.166453) - (xy 84.822575 102.166452) - (xy 84.828149 102.163715) - (xy 84.832536 102.159321) - (xy 84.832538 102.159319) - (xy 84.914091 102.077623) - (xy 84.915639 102.079168) - (xy 84.950376 102.055295) - (xy 84.969203 102.0529) - (xy 85.163813 102.0529) - (xy 85.169441 102.051071) - (xy 85.169443 102.051071) - (xy 85.188717 102.044808) - (xy 85.200193 102.042053) - (xy 85.22021 102.038883) - (xy 85.220212 102.038882) - (xy 85.226055 102.037957) - (xy 85.249386 102.026069) - (xy 85.260287 102.021554) - (xy 85.279563 102.015291) - (xy 85.279567 102.015289) - (xy 85.285193 102.013461) - (xy 85.306377 101.99807) - (xy 85.316435 101.991906) - (xy 85.339771 101.980016) - (xy 85.369336 101.950451) - (xy 85.415956 101.928711) - (xy 85.465643 101.942025) - (xy 85.495148 101.984162) - (xy 85.497101 101.994899) - (xy 85.497101 101.997446) - (xy 85.510323 102.063922) - (xy 85.513369 102.068481) - (xy 85.515564 102.118775) - (xy 85.484249 102.159585) - (xy 85.43991 102.171258) - (xy 85.427554 102.170611) - (xy 85.413552 102.169877) - (xy 85.390592 102.17869) - (xy 85.379285 102.18204) - (xy 85.355234 102.187152) - (xy 85.34884 102.191798) - (xy 85.348839 102.191798) - (xy 85.348326 102.192171) - (xy 85.33108 102.201535) - (xy 85.330483 102.201764) - (xy 85.330482 102.201765) - (xy 85.323104 102.204597) - (xy 85.30572 102.221981) - (xy 85.296748 102.229645) - (xy 85.287268 102.236533) - (xy 85.276854 102.244099) - (xy 85.272902 102.250944) - (xy 85.272585 102.251493) - (xy 85.260634 102.267067) - (xy 84.725146 102.802554) - (xy 84.194458 103.333242) - (xy 84.191603 103.335952) - (xy 84.161506 103.363051) - (xy 84.151501 103.385522) - (xy 84.145877 103.395879) - (xy 84.132484 103.416503) - (xy 84.131148 103.424939) - (xy 84.125574 103.443755) - (xy 84.1221 103.451558) - (xy 84.1221 103.476153) - (xy 84.121174 103.487916) - (xy 84.118675 103.503697) - (xy 84.117329 103.512194) - (xy 84.119374 103.519826) - (xy 84.119374 103.519827) - (xy 84.119538 103.520438) - (xy 84.1221 103.539901) - (xy 84.1221 104.624453) - (xy 84.104507 104.672791) - (xy 84.100074 104.677627) - (xy 82.577626 106.200074) - (xy 82.531006 106.221814) - (xy 82.524452 106.2221) - (xy 77.988047 106.2221) - (xy 77.939709 106.204507) - (xy 77.934873 106.200074) - (xy 77.465926 105.731126) - (xy 77.444186 105.684506) - (xy 77.4439 105.677952) - (xy 77.4439 105.421037) - (xy 77.461493 105.372699) - (xy 77.487319 105.352883) - (xy 77.493502 105.35) - (xy 77.581977 105.308743) - (xy 77.663743 105.226977) - (xy 77.700464 105.148229) - (xy 77.710182 105.12739) - (xy 77.710183 105.127388) - (xy 77.712613 105.122176) - (xy 77.71423 105.109898) - (xy 77.717526 105.084856) - (xy 77.7189 105.07442) - (xy 77.7189 103.64758) - (xy 77.714578 103.614752) - (xy 77.713364 103.605526) - (xy 77.713363 103.605524) - (xy 77.712613 103.599824) - (xy 77.705188 103.5839) - (xy 77.686749 103.544359) - (xy 77.663743 103.495023) - (xy 77.581977 103.413257) - (xy 77.583781 103.411453) - (xy 77.560408 103.378071) - (xy 77.566042 103.32447) - (xy 77.601269 103.255331) - (xy 77.601269 103.25533) - (xy 77.603957 103.250055) - (xy 77.620532 103.145401) - (xy 77.622996 103.129847) - (xy 77.623922 103.124) - (xy 77.619822 103.09811) - (xy 77.604883 103.003792) - (xy 77.603957 102.997945) - (xy 77.566653 102.924731) - (xy 77.548705 102.889506) - (xy 77.548704 102.889505) - (xy 77.546016 102.884229) - (xy 77.455771 102.793984) - (xy 77.4501 102.791094) - (xy 77.353587 102.741919) - (xy 77.342055 102.736043) - (xy 77.259673 102.722995) - (xy 77.221847 102.717004) - (xy 77.216 102.716078) - (xy 77.210153 102.717004) - (xy 77.172327 102.722995) - (xy 77.089945 102.736043) - (xy 77.078413 102.741919) - (xy 76.981901 102.791094) - (xy 76.976229 102.793984) - (xy 76.885984 102.884229) - (xy 76.883296 102.889505) - (xy 76.883295 102.889506) - (xy 76.865347 102.924731) - (xy 76.828043 102.997945) - (xy 76.827117 103.003792) - (xy 76.812179 103.09811) - (xy 76.808078 103.124) - (xy 76.809004 103.129847) - (xy 76.811468 103.145401) - (xy 76.828043 103.250055) - (xy 76.830731 103.25533) - (xy 76.830731 103.255331) - (xy 76.865958 103.32447) - (xy 76.872226 103.375526) - (xy 76.848084 103.411318) - (xy 76.850023 103.413257) - (xy 76.768257 103.495023) - (xy 76.745251 103.544359) - (xy 76.726813 103.5839) - (xy 76.719387 103.599824) - (xy 76.718637 103.605524) - (xy 76.718636 103.605526) - (xy 76.717422 103.614752) - (xy 76.7131 103.64758) - (xy 76.7131 105.07442) - (xy 76.714474 105.084856) - (xy 76.717771 105.109898) - (xy 76.719387 105.122176) - (xy 76.721817 105.127388) - (xy 76.721818 105.12739) - (xy 76.731536 105.148229) - (xy 76.768257 105.226977) - (xy 76.850023 105.308743) - (xy 76.938498 105.35) - (xy 76.944681 105.352883) - (xy 76.981054 105.389256) - (xy 76.9881 105.421037) - (xy 76.9881 105.534253) - (xy 76.970507 105.582591) - (xy 76.925958 105.608311) - (xy 76.8753 105.599378) - (xy 76.859726 105.587427) - (xy 76.53089 105.25859) - (xy 76.099926 104.827626) - (xy 76.078186 104.781006) - (xy 76.0779 104.774452) - (xy 76.0779 102.329547) - (xy 76.095493 102.281209) - (xy 76.099926 102.276373) - (xy 78.389558 99.986741) - (xy 83.7226 99.986741) - (xy 83.726297 99.996898) - (xy 83.731669 100) - (xy 84.186741 100) - (xy 84.196898 99.996303) - (xy 84.2 99.990931) - (xy 84.2 99.585859) - (xy 84.196303 99.575702) - (xy 84.190931 99.5726) - (xy 84.055657 99.5726) - (xy 84.05019 99.573) - (xy 83.986971 99.582306) - (xy 83.97595 99.585731) - (xy 83.877722 99.633959) - (xy 83.867756 99.641094) - (xy 83.790714 99.718271) - (xy 83.78359 99.728257) - (xy 83.735533 99.826572) - (xy 83.73213 99.837584) - (xy 83.722995 99.900205) - (xy 83.7226 99.905641) - (xy 83.7226 99.986741) - (xy 78.389558 99.986741) - (xy 79.911532 98.464767) - (xy 79.914388 98.462056) - (xy 79.931699 98.446469) - (xy 79.944494 98.434949) - (xy 79.948964 98.424911) - (xy 79.954496 98.412485) - (xy 79.960126 98.402116) - (xy 79.962045 98.399161) - (xy 79.973516 98.381497) - (xy 79.974852 98.373061) - (xy 79.980426 98.354245) - (xy 79.9839 98.346442) - (xy 79.9839 98.321847) - (xy 79.984826 98.310084) - (xy 79.987435 98.293613) - (xy 79.987435 98.293611) - (xy 79.988671 98.285806) - (xy 79.986462 98.277561) - (xy 79.9839 98.258099) - (xy 79.9839 98.121037) - (xy 80.001493 98.072699) - (xy 80.027319 98.052883) - (xy 80.032616 98.050413) - (xy 80.121977 98.008743) - (xy 80.203743 97.926977) - (xy 80.24205 97.844828) - (xy 80.250182 97.82739) - (xy 80.250183 97.827388) - (xy 80.252613 97.822176) - (xy 80.253376 97.816386) - (xy 80.257118 97.787957) - (xy 80.2589 97.77442) - (xy 80.5231 97.77442) - (xy 80.524882 97.787957) - (xy 80.528625 97.816386) - (xy 80.529387 97.822176) - (xy 80.531817 97.827388) - (xy 80.531818 97.82739) - (xy 80.53995 97.844828) - (xy 80.578257 97.926977) - (xy 80.660023 98.008743) - (xy 80.749384 98.050413) - (xy 80.754681 98.052883) - (xy 80.791054 98.089256) - (xy 80.7981 98.121037) - (xy 80.7981 98.290064) - (xy 80.797997 98.294) - (xy 80.795877 98.334448) - (xy 80.80469 98.357408) - (xy 80.80804 98.368715) - (xy 80.813152 98.392766) - (xy 80.817798 98.39916) - (xy 80.817798 98.399161) - (xy 80.818171 98.399674) - (xy 80.827535 98.41692) - (xy 80.830597 98.424896) - (xy 80.847981 98.44228) - (xy 80.855645 98.451252) - (xy 80.864027 98.462788) - (xy 80.870099 98.471146) - (xy 80.876944 98.475098) - (xy 80.877493 98.475415) - (xy 80.893067 98.487366) - (xy 81.4528 99.0471) - (xy 82.011242 99.605542) - (xy 82.013952 99.608397) - (xy 82.041051 99.638494) - (xy 82.063522 99.648499) - (xy 82.073879 99.654123) - (xy 82.094503 99.667516) - (xy 82.102939 99.668852) - (xy 82.121755 99.674426) - (xy 82.129558 99.6779) - (xy 82.154153 99.6779) - (xy 82.165916 99.678826) - (xy 82.182387 99.681435) - (xy 82.182389 99.681435) - (xy 82.190194 99.682671) - (xy 82.197826 99.680626) - (xy 82.197827 99.680626) - (xy 82.198438 99.680462) - (xy 82.217901 99.6779) - (xy 82.576965 99.6779) - (xy 82.625303 99.695493) - (xy 82.630139 99.699926) - (xy 82.710229 99.780016) - (xy 82.715505 99.782704) - (xy 82.715506 99.782705) - (xy 82.728579 99.789366) - (xy 82.823945 99.837957) - (xy 82.863065 99.844153) - (xy 82.936899 99.855847) - (xy 82.95 99.857922) - (xy 82.963102 99.855847) - (xy 83.036935 99.844153) - (xy 83.076055 99.837957) - (xy 83.171421 99.789366) - (xy 83.184494 99.782705) - (xy 83.184495 99.782704) - (xy 83.189771 99.780016) - (xy 83.280016 99.689771) - (xy 83.283617 99.682705) - (xy 83.319015 99.61323) - (xy 83.337957 99.576055) - (xy 83.354378 99.472377) - (xy 83.356996 99.455847) - (xy 83.357922 99.45) - (xy 83.337957 99.323945) - (xy 83.303163 99.255657) - (xy 83.282705 99.215506) - (xy 83.282704 99.215505) - (xy 83.280016 99.210229) - (xy 83.189771 99.119984) - (xy 83.180983 99.115506) - (xy 83.121248 99.08507) - (xy 83.076055 99.062043) - (xy 82.989449 99.048326) - (xy 82.955847 99.043004) - (xy 82.95 99.042078) - (xy 82.944153 99.043004) - (xy 82.910551 99.048326) - (xy 82.823945 99.062043) - (xy 82.778752 99.08507) - (xy 82.719018 99.115506) - (xy 82.710229 99.119984) - (xy 82.630139 99.200074) - (xy 82.583519 99.221814) - (xy 82.576965 99.2221) - (xy 82.303547 99.2221) - (xy 82.255209 99.204507) - (xy 82.250373 99.200074) - (xy 81.275926 98.225626) - (xy 81.254186 98.179006) - (xy 81.2539 98.172452) - (xy 81.2539 98.121037) - (xy 81.271493 98.072699) - (xy 81.297319 98.052883) - (xy 81.302616 98.050413) - (xy 81.391977 98.008743) - (xy 81.473743 97.926977) - (xy 81.51205 97.844828) - (xy 81.520182 97.82739) - (xy 81.520183 97.827388) - (xy 81.522613 97.822176) - (xy 81.523376 97.816386) - (xy 81.527118 97.787957) - (xy 81.5289 97.77442) - (xy 81.5289 96.34758) - (xy 81.524658 96.315359) - (xy 81.523364 96.305526) - (xy 81.523363 96.305524) - (xy 81.522613 96.299824) - (xy 81.519459 96.293059) - (xy 81.495685 96.242078) - (xy 81.473743 96.195023) - (xy 81.391977 96.113257) - (xy 81.386009 96.110474) - (xy 81.384264 96.10966) - (xy 81.382903 96.108299) - (xy 81.380624 96.106703) - (xy 81.380905 96.106301) - (xy 81.347892 96.073286) - (xy 81.34341 96.022041) - (xy 81.35405 95.999737) - (xy 81.356016 95.997771) - (xy 81.413957 95.884055) - (xy 81.433922 95.758) - (xy 81.413957 95.631945) - (xy 81.37834 95.562043) - (xy 81.358705 95.523506) - (xy 81.358704 95.523505) - (xy 81.356016 95.518229) - (xy 81.265771 95.427984) - (xy 81.249541 95.419714) - (xy 81.191305 95.390042) - (xy 81.152055 95.370043) - (xy 81.089027 95.36006) - (xy 81.031847 95.351004) - (xy 81.026 95.350078) - (xy 81.020153 95.351004) - (xy 80.962973 95.36006) - (xy 80.899945 95.370043) - (xy 80.860695 95.390042) - (xy 80.80246 95.419714) - (xy 80.786229 95.427984) - (xy 80.695984 95.518229) - (xy 80.693296 95.523505) - (xy 80.693295 95.523506) - (xy 80.67366 95.562043) - (xy 80.638043 95.631945) - (xy 80.618078 95.758) - (xy 80.638043 95.884055) - (xy 80.695984 95.997771) - (xy 80.6973 95.999087) - (xy 80.71097 96.046747) - (xy 80.690051 96.093741) - (xy 80.667736 96.10966) - (xy 80.665991 96.110474) - (xy 80.660023 96.113257) - (xy 80.578257 96.195023) - (xy 80.556315 96.242078) - (xy 80.532542 96.293059) - (xy 80.529387 96.299824) - (xy 80.528637 96.305524) - (xy 80.528636 96.305526) - (xy 80.527342 96.315359) - (xy 80.5231 96.34758) - (xy 80.5231 97.77442) - (xy 80.2589 97.77442) - (xy 80.2589 96.34758) - (xy 80.254658 96.315359) - (xy 80.253364 96.305526) - (xy 80.253363 96.305524) - (xy 80.252613 96.299824) - (xy 80.249459 96.293059) - (xy 80.225685 96.242078) - (xy 80.203743 96.195023) - (xy 80.194678 96.185958) - (xy 80.172938 96.139338) - (xy 80.186252 96.089651) - (xy 80.194677 96.07961) - (xy 80.276516 95.997771) - (xy 80.281517 95.987957) - (xy 80.312364 95.927415) - (xy 80.334457 95.884055) - (xy 80.354422 95.758) - (xy 80.334457 95.631945) - (xy 80.29884 95.562043) - (xy 80.279205 95.523506) - (xy 80.279204 95.523505) - (xy 80.276516 95.518229) - (xy 80.186271 95.427984) - (xy 80.170041 95.419714) - (xy 80.111805 95.390042) - (xy 80.072555 95.370043) - (xy 80.009527 95.36006) - (xy 79.952347 95.351004) - (xy 79.9465 95.350078) - (xy 79.940653 95.351004) - (xy 79.883473 95.36006) - (xy 79.820445 95.370043) - (xy 79.781195 95.390042) - (xy 79.72296 95.419714) - (xy 79.706729 95.427984) - (xy 79.616484 95.518229) - (xy 79.613796 95.523505) - (xy 79.613795 95.523506) - (xy 79.59416 95.562043) - (xy 79.558543 95.631945) - (xy 79.538578 95.758) - (xy 79.547588 95.814884) - (xy 79.54791 95.81692) - (xy 79.543841 95.855633) - (xy 79.542789 95.858374) - (xy 79.538484 95.865003) - (xy 79.537148 95.873439) - (xy 79.531574 95.892255) - (xy 79.5281 95.900058) - (xy 79.5281 95.924653) - (xy 79.527174 95.936416) - (xy 79.524627 95.9525) - (xy 79.523329 95.960694) - (xy 79.525374 95.968326) - (xy 79.525374 95.968327) - (xy 79.525538 95.968938) - (xy 79.5281 95.988401) - (xy 79.5281 96.000963) - (xy 79.510507 96.049301) - (xy 79.484682 96.069117) - (xy 79.390023 96.113257) - (xy 79.308257 96.195023) - (xy 79.286315 96.242078) - (xy 79.262542 96.293059) - (xy 79.259387 96.299824) - (xy 79.258637 96.305524) - (xy 79.258636 96.305526) - (xy 79.257342 96.315359) - (xy 79.2531 96.34758) - (xy 79.2531 97.77442) - (xy 79.254882 97.787957) - (xy 79.258625 97.816386) - (xy 79.259387 97.822176) - (xy 79.261817 97.827388) - (xy 79.261818 97.82739) - (xy 79.26995 97.844828) - (xy 79.308257 97.926977) - (xy 79.390023 98.008743) - (xy 79.479384 98.050413) - (xy 79.484681 98.052883) - (xy 79.521054 98.089256) - (xy 79.5281 98.121037) - (xy 79.5281 98.172453) - (xy 79.510507 98.220791) - (xy 79.506075 98.225626) - (xy 77.597206 100.134494) - (xy 75.694458 102.037242) - (xy 75.691602 102.039953) - (xy 75.668097 102.061117) - (xy 75.661506 102.067051) - (xy 75.651501 102.089522) - (xy 75.645877 102.099879) - (xy 75.632484 102.120503) - (xy 75.631148 102.128939) - (xy 75.625574 102.147755) - (xy 75.6221 102.155558) - (xy 75.6221 102.180153) - (xy 75.621174 102.191916) - (xy 75.618679 102.207671) - (xy 75.617329 102.216194) - (xy 75.619374 102.223826) - (xy 75.619374 102.223827) - (xy 75.619538 102.224438) - (xy 75.6221 102.243901) - (xy 75.6221 104.892064) - (xy 75.621997 104.896) - (xy 75.619877 104.936448) - (xy 75.62869 104.959408) - (xy 75.63204 104.970715) - (xy 75.637152 104.994766) - (xy 75.641798 105.00116) - (xy 75.641798 105.001161) - (xy 75.642171 105.001674) - (xy 75.651535 105.01892) - (xy 75.654597 105.026896) - (xy 75.671981 105.04428) - (xy 75.679645 105.053252) - (xy 75.682296 105.0569) - (xy 75.694099 105.073146) - (xy 75.700944 105.077098) - (xy 75.701493 105.077415) - (xy 75.717067 105.089366) - (xy 77.533233 106.905532) - (xy 77.535943 106.908387) - (xy 77.563051 106.938494) - (xy 77.570268 106.941707) - (xy 77.570269 106.941708) - (xy 77.585515 106.948496) - (xy 77.595884 106.954126) - (xy 77.616503 106.967516) - (xy 77.624939 106.968852) - (xy 77.643755 106.974426) - (xy 77.651558 106.9779) - (xy 77.676153 106.9779) - (xy 77.687916 106.978826) - (xy 77.704387 106.981435) - (xy 77.704389 106.981435) - (xy 77.712194 106.982671) - (xy 77.719826 106.980626) - (xy 77.719827 106.980626) - (xy 77.720438 106.980462) - (xy 77.739901 106.9779) - (xy 82.792064 106.9779) - (xy 82.796 106.978003) - (xy 82.836448 106.980123) - (xy 82.859408 106.97131) - (xy 82.870715 106.96796) - (xy 82.894766 106.962848) - (xy 82.901675 106.957829) - (xy 82.91892 106.948465) - (xy 82.919517 106.948236) - (xy 82.919518 106.948235) - (xy 82.926896 106.945403) - (xy 82.94428 106.928019) - (xy 82.953252 106.920355) - (xy 82.966753 106.910546) - (xy 82.966753 106.910545) - (xy 82.973146 106.905901) - (xy 82.977415 106.898507) - (xy 82.989366 106.882933) - (xy 84.805532 105.066767) - (xy 84.808388 105.064056) - (xy 84.832622 105.042236) - (xy 84.838494 105.036949) - (xy 84.842187 105.028656) - (xy 84.848496 105.014485) - (xy 84.854126 105.004116) - (xy 84.86321 104.990127) - (xy 84.867516 104.983497) - (xy 84.868852 104.975061) - (xy 84.874426 104.956245) - (xy 84.8779 104.948442) - (xy 84.8779 104.923847) - (xy 84.878826 104.912084) - (xy 84.881435 104.895613) - (xy 84.881435 104.895611) - (xy 84.882671 104.887806) - (xy 84.880462 104.879561) - (xy 84.8779 104.860099) - (xy 84.8779 103.825547) - (xy 84.895493 103.777209) - (xy 84.899926 103.772373) - (xy 85.368727 103.303572) - (xy 85.415346 103.281833) - (xy 85.465033 103.295147) - (xy 85.494538 103.337284) - (xy 85.4971 103.356746) - (xy 85.497101 103.42536) - (xy 85.497101 103.497446) - (xy 85.497822 103.501069) - (xy 85.497822 103.501073) - (xy 85.502417 103.524174) - (xy 85.510323 103.563922) - (xy 85.51444 103.570083) - (xy 85.51444 103.570084) - (xy 85.539922 103.608222) - (xy 85.552148 103.658188) - (xy 85.539922 103.691778) - (xy 85.515397 103.728484) - (xy 85.510323 103.736078) - (xy 85.508879 103.74334) - (xy 85.508878 103.743341) - (xy 85.503103 103.772373) - (xy 85.4971 103.802553) - (xy 85.497101 103.997446) - (xy 85.510323 104.063922) - (xy 85.51444 104.070083) - (xy 85.51444 104.070084) - (xy 85.539922 104.108222) - (xy 85.552148 104.158188) - (xy 85.539922 104.191778) - (xy 85.515787 104.2279) - (xy 85.510323 104.236078) - (xy 85.508879 104.24334) - (xy 85.508878 104.243341) - (xy 85.501469 104.280588) - (xy 85.4971 104.302553) - (xy 85.497101 104.497446) - (xy 85.510323 104.563922) - (xy 85.51444 104.570083) - (xy 85.51444 104.570084) - (xy 85.539922 104.608222) - (xy 85.552148 104.658188) - (xy 85.539922 104.691778) - (xy 85.514523 104.729792) - (xy 85.510323 104.736078) - (xy 85.508879 104.74334) - (xy 85.508878 104.743341) - (xy 85.503534 104.770208) - (xy 85.4971 104.802553) - (xy 85.497101 104.997446) - (xy 85.497822 105.001069) - (xy 85.497822 105.001073) - (xy 85.50049 105.014485) - (xy 85.510323 105.063922) - (xy 85.51444 105.070083) - (xy 85.51444 105.070084) - (xy 85.539922 105.108222) - (xy 85.552148 105.158188) - (xy 85.539922 105.191778) - (xy 85.51843 105.223945) - (xy 85.510323 105.236078) - (xy 85.508879 105.24334) - (xy 85.508878 105.243341) - (xy 85.506576 105.254913) - (xy 85.4971 105.302553) - (xy 85.497101 105.389256) - (xy 85.497101 105.451002) - (xy 85.479508 105.49934) - (xy 85.43496 105.52506) - (xy 85.387763 105.518008) - (xy 85.38133 105.51473) - (xy 85.381326 105.514729) - (xy 85.376055 105.512043) - (xy 85.283899 105.497447) - (xy 85.255847 105.493004) - (xy 85.25 105.492078) - (xy 85.244153 105.493004) - (xy 85.216101 105.497447) - (xy 85.123945 105.512043) - (xy 85.1131 105.517569) - (xy 85.017968 105.566041) - (xy 85.010229 105.569984) - (xy 84.919984 105.660229) - (xy 84.917296 105.665505) - (xy 84.917295 105.665506) - (xy 84.902661 105.694228) - (xy 84.862043 105.773945) - (xy 84.842078 105.9) - (xy 84.843004 105.905847) - (xy 84.848192 105.938603) - (xy 84.862043 106.026055) - (xy 84.916798 106.133518) - (xy 84.917969 106.135816) - (xy 84.924238 106.186872) - (xy 84.895166 106.230794) - (xy 84.883249 106.239452) - (xy 84.883247 106.239454) - (xy 84.876854 106.244099) - (xy 84.872902 106.250944) - (xy 84.872585 106.251493) - (xy 84.860634 106.267067) - (xy 84.477626 106.650074) - (xy 84.431006 106.671814) - (xy 84.424452 106.6721) - (xy 84.157936 106.6721) - (xy 84.154 106.671997) - (xy 84.150508 106.671814) - (xy 84.113552 106.669877) - (xy 84.090592 106.67869) - (xy 84.079285 106.68204) - (xy 84.055234 106.687152) - (xy 84.04884 106.691798) - (xy 84.048839 106.691798) - (xy 84.048326 106.692171) - (xy 84.03108 106.701535) - (xy 84.030483 106.701764) - (xy 84.030482 106.701765) - (xy 84.023104 106.704597) - (xy 84.00572 106.721981) - (xy 83.996748 106.729645) - (xy 83.985308 106.737957) - (xy 83.976854 106.744099) - (xy 83.972585 106.751492) - (xy 83.960636 106.767065) - (xy 83.825031 106.902669) - (xy 83.694449 107.033251) - (xy 83.691594 107.03596) - (xy 83.661506 107.063051) - (xy 83.651501 107.085522) - (xy 83.645877 107.095879) - (xy 83.637862 107.108222) - (xy 83.632484 107.116503) - (xy 83.631148 107.124939) - (xy 83.625574 107.143755) - (xy 83.6221 107.151558) - (xy 83.6221 107.176153) - (xy 83.621174 107.187916) - (xy 83.61865 107.203854) - (xy 83.617329 107.212194) - (xy 83.619374 107.219826) - (xy 83.619374 107.219827) - (xy 83.619538 107.220438) - (xy 83.6221 107.239901) - (xy 83.6221 107.592064) - (xy 83.621997 107.596) - (xy 83.619877 107.636448) - (xy 83.62869 107.659408) - (xy 83.63204 107.670715) - (xy 83.637152 107.694766) - (xy 83.641798 107.70116) - (xy 83.641798 107.701161) - (xy 83.642171 107.701674) - (xy 83.651535 107.71892) - (xy 83.651694 107.719333) - (xy 83.654597 107.726896) - (xy 83.671981 107.74428) - (xy 83.679645 107.753252) - (xy 83.687971 107.764711) - (xy 83.694099 107.773146) - (xy 83.701492 107.777415) - (xy 83.717065 107.789364) - (xy 83.900075 107.972375) - (xy 83.921814 108.018995) - (xy 83.9221 108.025549) - (xy 83.9221 108.026965) - (xy 83.904507 108.075303) - (xy 83.900074 108.080139) - (xy 83.819984 108.160229) - (xy 83.817296 108.165505) - (xy 83.817295 108.165506) - (xy 83.798748 108.201907) - (xy 83.762043 108.273945) - (xy 83.761117 108.279792) - (xy 83.748847 108.357265) - (xy 83.742078 108.4) - (xy 83.743004 108.405847) - (xy 83.748165 108.438433) - (xy 83.762043 108.526055) - (xy 83.76473 108.531328) - (xy 83.815014 108.630016) - (xy 83.819984 108.639771) - (xy 83.910229 108.730016) - (xy 83.915505 108.732704) - (xy 83.915506 108.732705) - (xy 83.942312 108.746363) - (xy 84.023945 108.787957) - (xy 84.075232 108.79608) - (xy 84.136716 108.805818) - (xy 84.15 108.807922) - (xy 84.163285 108.805818) - (xy 84.224768 108.79608) - (xy 84.276055 108.787957) - (xy 84.357688 108.746363) - (xy 84.384494 108.732705) - (xy 84.384495 108.732704) - (xy 84.389771 108.730016) - (xy 84.480016 108.639771) - (xy 84.484987 108.630016) - (xy 84.53527 108.531328) - (xy 84.537957 108.526055) - (xy 84.551835 108.438433) - (xy 84.556996 108.405847) - (xy 84.557922 108.4) - (xy 84.554367 108.377553) - (xy 87.7471 108.377553) - (xy 87.747101 109.747446) - (xy 87.747822 109.751069) - (xy 87.747822 109.751073) - (xy 87.74982 109.761117) - (xy 87.760323 109.813922) - (xy 87.810693 109.889307) - (xy 87.816847 109.893419) - (xy 87.841327 109.909776) - (xy 87.886078 109.939677) - (xy 87.89334 109.941121) - (xy 87.893341 109.941122) - (xy 87.948918 109.952177) - (xy 87.952553 109.9529) - (xy 88.049973 109.9529) - (xy 88.147446 109.952899) - (xy 88.151069 109.952178) - (xy 88.151073 109.952178) - (xy 88.186526 109.945126) - (xy 88.213922 109.939677) - (xy 88.221006 109.934944) - (xy 88.258222 109.910078) - (xy 88.308188 109.897852) - (xy 88.341778 109.910078) - (xy 88.378994 109.934944) - (xy 88.386078 109.939677) - (xy 88.39334 109.941121) - (xy 88.393341 109.941122) - (xy 88.448918 109.952177) - (xy 88.452553 109.9529) - (xy 88.549973 109.9529) - (xy 88.647446 109.952899) - (xy 88.651069 109.952178) - (xy 88.651073 109.952178) - (xy 88.686526 109.945126) - (xy 88.713922 109.939677) - (xy 88.721006 109.934944) - (xy 88.758222 109.910078) - (xy 88.808188 109.897852) - (xy 88.841778 109.910078) - (xy 88.878994 109.934944) - (xy 88.886078 109.939677) - (xy 88.89334 109.941121) - (xy 88.893341 109.941122) - (xy 88.948918 109.952177) - (xy 88.952553 109.9529) - (xy 89.049973 109.9529) - (xy 89.147446 109.952899) - (xy 89.151069 109.952178) - (xy 89.151073 109.952178) - (xy 89.186526 109.945126) - (xy 89.213922 109.939677) - (xy 89.221006 109.934944) - (xy 89.258222 109.910078) - (xy 89.308188 109.897852) - (xy 89.341778 109.910078) - (xy 89.378994 109.934944) - (xy 89.386078 109.939677) - (xy 89.39334 109.941121) - (xy 89.393341 109.941122) - (xy 89.448918 109.952177) - (xy 89.452553 109.9529) - (xy 89.549973 109.9529) - (xy 89.647446 109.952899) - (xy 89.667708 109.948869) - (xy 89.691255 109.944186) - (xy 89.742096 109.952012) - (xy 89.776012 109.990687) - (xy 89.777133 110.042115) - (xy 89.759098 110.071115) - (xy 89.719984 110.110229) - (xy 89.708723 110.132331) - (xy 89.708096 110.133562) - (xy 89.70193 110.143623) - (xy 89.686539 110.164807) - (xy 89.684711 110.170433) - (xy 89.684709 110.170437) - (xy 89.678446 110.189713) - (xy 89.673931 110.200614) - (xy 89.662043 110.223945) - (xy 89.661118 110.229788) - (xy 89.661117 110.22979) - (xy 89.657947 110.249807) - (xy 89.655192 110.261283) - (xy 89.652514 110.269526) - (xy 89.6471 110.286187) - (xy 89.6471 110.480816) - (xy 89.629507 110.529154) - (xy 89.621829 110.536263) - (xy 89.621851 110.536285) - (xy 89.535909 110.622377) - (xy 89.534361 110.620832) - (xy 89.499624 110.644705) - (xy 89.480797 110.6471) - (xy 89.207561 110.6471) - (xy 89.207101 110.647099) - (xy 89.137902 110.646676) - (xy 89.126272 110.65) - (xy 89.10829 110.655139) - (xy 89.098806 110.657198) - (xy 89.070883 110.661396) - (xy 89.070881 110.661397) - (xy 89.065325 110.662232) - (xy 89.044388 110.672286) - (xy 89.032507 110.676798) - (xy 89.013166 110.682326) - (xy 89.008636 110.685184) - (xy 89.008634 110.685185) - (xy 89.006604 110.686466) - (xy 88.985904 110.699527) - (xy 88.983986 110.700737) - (xy 88.976411 110.704927) - (xy 88.947625 110.71875) - (xy 88.947623 110.718751) - (xy 88.942557 110.721184) - (xy 88.93843 110.724999) - (xy 88.928227 110.73443) - (xy 88.917311 110.742806) - (xy 88.908241 110.748529) - (xy 88.903448 110.751553) - (xy 88.878148 110.780199) - (xy 88.872841 110.785628) - (xy 88.84255 110.813629) - (xy 88.834615 110.82729) - (xy 88.825959 110.839292) - (xy 88.81757 110.848791) - (xy 88.800808 110.884494) - (xy 88.799752 110.886743) - (xy 88.796717 110.892536) - (xy 88.774147 110.931393) - (xy 88.772878 110.936867) - (xy 88.772877 110.93687) - (xy 88.77142 110.943157) - (xy 88.766233 110.958136) - (xy 88.762436 110.966223) - (xy 88.761612 110.971516) - (xy 88.761611 110.971519) - (xy 88.755415 111.011317) - (xy 88.754368 111.016727) - (xy 88.743396 111.064064) - (xy 88.743793 111.069672) - (xy 88.743793 111.069674) - (xy 88.743985 111.072391) - (xy 88.743309 111.088535) - (xy 88.743302 111.089113) - (xy 88.742477 111.09441) - (xy 88.743172 111.099723) - (xy 88.748877 111.143361) - (xy 88.749324 111.147797) - (xy 88.751559 111.179345) - (xy 88.753015 111.199913) - (xy 88.755043 111.205155) - (xy 88.755938 111.209159) - (xy 88.756832 111.212664) - (xy 88.757162 111.212572) - (xy 88.758604 111.217736) - (xy 88.759298 111.223046) - (xy 88.761455 111.227948) - (xy 88.780694 111.271674) - (xy 88.781996 111.274826) - (xy 88.795693 111.310229) - (xy 88.802153 111.326928) - (xy 88.805631 111.331339) - (xy 88.806168 111.332281) - (xy 88.809292 111.336731) - (xy 88.80939 111.336889) - (xy 88.811547 111.341791) - (xy 88.814993 111.34589) - (xy 88.848149 111.385335) - (xy 88.84964 111.387166) - (xy 88.868743 111.411397) - (xy 88.886466 111.433879) - (xy 88.891088 111.437074) - (xy 88.891937 111.437871) - (xy 88.893041 111.438741) - (xy 88.895023 111.441098) - (xy 88.944528 111.47405) - (xy 88.945689 111.474823) - (xy 88.94676 111.47555) - (xy 88.998501 111.511311) - (xy 89.002316 111.512518) - (xy 89.003017 111.512984) - (xy 89.066897 111.532942) - (xy 89.128351 111.552377) - (xy 89.132994 111.552742) - (xy 89.133518 111.552784) - (xy 89.133526 111.552784) - (xy 89.134996 111.5529) - (xy 89.193241 111.5529) - (xy 89.194619 111.552913) - (xy 89.251199 111.55395) - (xy 89.2512 111.55395) - (xy 89.256555 111.554048) - (xy 89.259727 111.553183) - (xy 89.26429 111.5529) - (xy 89.480816 111.5529) - (xy 89.529154 111.570493) - (xy 89.536263 111.578171) - (xy 89.536285 111.578149) - (xy 89.573191 111.61499) - (xy 89.575028 111.616824) - (xy 89.596808 111.663425) - (xy 89.5971 111.670045) - (xy 89.5971 111.818633) - (xy 89.596579 111.827472) - (xy 89.59221 111.864386) - (xy 89.59322 111.869916) - (xy 89.59322 111.869919) - (xy 89.602952 111.923204) - (xy 89.60334 111.92553) - (xy 89.612232 111.984675) - (xy 89.614572 111.989547) - (xy 89.614639 111.989823) - (xy 89.61476 111.990176) - (xy 89.615497 111.992443) - (xy 89.615602 111.992786) - (xy 89.615707 111.993042) - (xy 89.616678 111.998359) - (xy 89.619272 112.003352) - (xy 89.619272 112.003353) - (xy 89.644238 112.051415) - (xy 89.645294 112.053528) - (xy 89.668748 112.102371) - (xy 89.66875 112.102374) - (xy 89.671184 112.107443) - (xy 89.674855 112.111415) - (xy 89.675374 112.112285) - (xy 89.677484 112.115416) - (xy 89.679458 112.119215) - (xy 89.68224 112.122473) - (xy 89.682243 112.122477) - (xy 89.682817 112.123149) - (xy 89.682826 112.123159) - (xy 89.683787 112.124284) - (xy 89.721936 112.162433) - (xy 89.723983 112.164561) - (xy 89.763629 112.20745) - (xy 89.768486 112.210271) - (xy 89.771735 112.212874) - (xy 89.777891 112.218388) - (xy 89.863309 112.303806) - (xy 89.867699 112.308592) - (xy 89.895023 112.341098) - (xy 89.899482 112.344066) - (xy 89.899483 112.344067) - (xy 89.905809 112.348278) - (xy 89.936249 112.36854) - (xy 89.938451 112.370006) - (xy 89.941458 112.372116) - (xy 89.981156 112.401437) - (xy 89.981161 112.40144) - (xy 89.985681 112.404778) - (xy 89.990985 112.406641) - (xy 89.991998 112.407177) - (xy 89.99652 112.409044) - (xy 89.998559 112.410017) - (xy 90.003017 112.412984) - (xy 90.008124 112.414579) - (xy 90.008127 112.414581) - (xy 90.056423 112.429669) - (xy 90.058916 112.430496) - (xy 90.114176 112.449903) - (xy 90.119797 112.450124) - (xy 90.120867 112.450328) - (xy 90.12334 112.450576) - (xy 90.126845 112.451671) - (xy 90.186645 112.452767) - (xy 90.188174 112.45281) - (xy 90.25026 112.45525) - (xy 90.254907 112.454018) - (xy 90.256555 112.454048) - (xy 90.318753 112.437091) - (xy 90.319183 112.436976) - (xy 90.3819 112.420347) - (xy 90.436997 112.385985) - (xy 90.437418 112.385725) - (xy 90.459583 112.372116) - (xy 90.492273 112.352044) - (xy 90.493381 112.35082) - (xy 90.497457 112.348278) - (xy 90.501204 112.343961) - (xy 90.538102 112.301439) - (xy 90.539146 112.30026) - (xy 90.540447 112.298822) - (xy 90.579332 112.255863) - (xy 90.580933 112.252559) - (xy 90.582446 112.250586) - (xy 90.583034 112.24966) - (xy 90.586715 112.245417) - (xy 90.588987 112.240278) - (xy 90.588989 112.240275) - (xy 90.6104 112.191845) - (xy 90.611502 112.189464) - (xy 90.612799 112.186788) - (xy 90.635897 112.139112) - (xy 90.636785 112.133832) - (xy 90.637472 112.131681) - (xy 90.639206 112.127109) - (xy 90.639509 112.126003) - (xy 90.641783 112.120858) - (xy 90.644685 112.096341) - (xy 90.648248 112.06624) - (xy 90.648769 112.062605) - (xy 90.656939 112.014039) - (xy 90.656939 112.014037) - (xy 90.65742 112.011179) - (xy 90.657557 112) - (xy 90.657374 111.998725) - (xy 90.657425 111.996813) - (xy 90.657305 111.996817) - (xy 90.657129 111.991201) - (xy 90.65779 111.985614) - (xy 90.647423 111.928849) - (xy 90.646972 111.926084) - (xy 90.639166 111.871579) - (xy 90.636949 111.866702) - (xy 90.635713 111.862477) - (xy 90.634735 111.858351) - (xy 90.634333 111.857178) - (xy 90.633322 111.85164) - (xy 90.63073 111.846651) - (xy 90.630729 111.846647) - (xy 90.608396 111.803656) - (xy 90.606673 111.800116) - (xy 90.606621 111.8) - (xy 90.58547 111.753482) - (xy 90.581974 111.749425) - (xy 90.579087 111.74491) - (xy 90.579165 111.74486) - (xy 90.573528 111.736534) - (xy 90.572519 111.734591) - (xy 90.570542 111.730785) - (xy 90.56731 111.727) - (xy 90.567183 111.726851) - (xy 90.567174 111.726841) - (xy 90.566213 111.725716) - (xy 90.544297 111.7038) - (xy 90.522557 111.65718) - (xy 90.535871 111.607493) - (xy 90.544247 111.597501) - (xy 90.564091 111.577623) - (xy 90.566966 111.571743) - (xy 90.614949 111.47358) - (xy 90.61495 111.473578) - (xy 90.617513 111.468334) - (xy 90.6279 111.397131) - (xy 90.6279 111.394343) - (xy 90.9726 111.394343) - (xy 90.973 111.39981) - (xy 90.982306 111.463029) - (xy 90.985731 111.47405) - (xy 91.033959 111.572278) - (xy 91.041094 111.582244) - (xy 91.118271 111.659286) - (xy 91.128257 111.66641) - (xy 91.226572 111.714467) - (xy 91.237584 111.71787) - (xy 91.300205 111.727005) - (xy 91.305641 111.7274) - (xy 91.386741 111.7274) - (xy 91.396898 111.723703) - (xy 91.4 111.718331) - (xy 91.4 111.714141) - (xy 91.7 111.714141) - (xy 91.703697 111.724298) - (xy 91.709069 111.7274) - (xy 91.794343 111.7274) - (xy 91.79981 111.727) - (xy 91.863029 111.717694) - (xy 91.87405 111.714269) - (xy 91.972278 111.666041) - (xy 91.982244 111.658906) - (xy 92.059286 111.581729) - (xy 92.06641 111.571743) - (xy 92.114467 111.473428) - (xy 92.11787 111.462416) - (xy 92.127005 111.399795) - (xy 92.1274 111.394354) - (xy 92.1274 111.263259) - (xy 92.123703 111.253102) - (xy 92.118331 111.25) - (xy 91.713259 111.25) - (xy 91.703102 111.253697) - (xy 91.7 111.259069) - (xy 91.7 111.714141) - (xy 91.4 111.714141) - (xy 91.4 111.263259) - (xy 91.396303 111.253102) - (xy 91.390931 111.25) - (xy 90.985859 111.25) - (xy 90.975702 111.253697) - (xy 90.9726 111.259069) - (xy 90.9726 111.394343) - (xy 90.6279 111.394343) - (xy 90.627899 110.936741) - (xy 90.9726 110.936741) - (xy 90.976297 110.946898) - (xy 90.981669 110.95) - (xy 91.386741 110.95) - (xy 91.396898 110.946303) - (xy 91.4 110.940931) - (xy 91.4 110.936741) - (xy 91.7 110.936741) - (xy 91.703697 110.946898) - (xy 91.709069 110.95) - (xy 92.114141 110.95) - (xy 92.124298 110.946303) - (xy 92.1274 110.940931) - (xy 92.1274 110.805657) - (xy 92.127 110.80019) - (xy 92.117694 110.736971) - (xy 92.114269 110.72595) - (xy 92.066041 110.627722) - (xy 92.058906 110.617756) - (xy 91.981729 110.540714) - (xy 91.971743 110.53359) - (xy 91.873428 110.485533) - (xy 91.862416 110.48213) - (xy 91.799795 110.472995) - (xy 91.794359 110.4726) - (xy 91.713259 110.4726) - (xy 91.703102 110.476297) - (xy 91.7 110.481669) - (xy 91.7 110.936741) - (xy 91.4 110.936741) - (xy 91.4 110.485859) - (xy 91.396303 110.475702) - (xy 91.390931 110.4726) - (xy 91.305657 110.4726) - (xy 91.30019 110.473) - (xy 91.236971 110.482306) - (xy 91.22595 110.485731) - (xy 91.127722 110.533959) - (xy 91.117756 110.541094) - (xy 91.040714 110.618271) - (xy 91.03359 110.628257) - (xy 90.985533 110.726572) - (xy 90.98213 110.737584) - (xy 90.972995 110.800205) - (xy 90.9726 110.805641) - (xy 90.9726 110.936741) - (xy 90.627899 110.936741) - (xy 90.627899 110.80287) - (xy 90.617327 110.731047) - (xy 90.580089 110.655202) - (xy 90.575522 110.645899) - (xy 90.570012 110.594755) - (xy 90.598665 110.552035) - (xy 90.608885 110.545753) - (xy 90.6094 110.545491) - (xy 90.624186 110.537957) - (xy 90.634494 110.532705) - (xy 90.634495 110.532704) - (xy 90.639771 110.530016) - (xy 90.730016 110.439771) - (xy 90.753101 110.394465) - (xy 90.78527 110.331328) - (xy 90.787957 110.326055) - (xy 90.795163 110.280557) - (xy 90.802052 110.237067) - (xy 90.810492 110.214671) - (xy 90.810465 110.21466) - (xy 90.811017 110.213275) - (xy 90.815779 110.20134) - (xy 90.823615 110.186665) - (xy 90.827797 110.180569) - (xy 90.831725 110.174843) - (xy 90.833328 110.168088) - (xy 90.83333 110.168084) - (xy 90.838344 110.146958) - (xy 90.841664 110.13646) - (xy 90.850312 110.114783) - (xy 90.850313 110.114778) - (xy 90.852278 110.109853) - (xy 90.8529 110.10351) - (xy 90.8529 110.094418) - (xy 90.854932 110.077054) - (xy 90.856177 110.071807) - (xy 90.85778 110.065053) - (xy 90.853587 110.034245) - (xy 90.8529 110.024104) - (xy 90.8529 110.009108) - (xy 90.870493 109.96077) - (xy 90.884239 109.953032) - (xy 90.899973 109.93509) - (xy 90.9 109.934944) - (xy 90.9 108.196323) - (xy 90.896303 108.186165) - (xy 90.8905 108.182815) - (xy 90.857435 108.14341) - (xy 90.8529 108.11769) - (xy 90.8529 108.054979) - (xy 90.853303 108.049495) - (xy 90.854841 108.045015) - (xy 90.854476 108.03527) - (xy 90.852953 107.994722) - (xy 90.8529 107.991901) - (xy 90.8529 107.971827) - (xy 90.852265 107.968418) - (xy 90.851948 107.964983) - (xy 90.851683 107.96089) - (xy 90.851306 107.950844) - (xy 90.852179 107.93626) - (xy 90.853668 107.926862) - (xy 90.857922 107.9) - (xy 90.837957 107.773945) - (xy 90.803163 107.705657) - (xy 90.782705 107.665506) - (xy 90.782704 107.665505) - (xy 90.780016 107.660229) - (xy 90.689771 107.569984) - (xy 90.683564 107.566821) - (xy 90.634975 107.542064) - (xy 90.576055 107.512043) - (xy 90.476089 107.49621) - (xy 90.455847 107.493004) - (xy 90.45 107.492078) - (xy 90.444153 107.493004) - (xy 90.364864 107.505562) - (xy 90.314369 107.495747) - (xy 90.281997 107.455771) - (xy 90.2779 107.431288) - (xy 90.2779 107.273035) - (xy 90.295493 107.224697) - (xy 90.299926 107.219861) - (xy 90.380016 107.139771) - (xy 90.383996 107.131961) - (xy 90.413561 107.073935) - (xy 90.437957 107.026055) - (xy 90.452432 106.934664) - (xy 90.456996 106.905847) - (xy 90.457922 106.9) - (xy 90.437957 106.773945) - (xy 90.390503 106.68081) - (xy 90.382705 106.665506) - (xy 90.382704 106.665505) - (xy 90.380016 106.660229) - (xy 90.289771 106.569984) - (xy 90.28368 106.56688) - (xy 90.223633 106.536285) - (xy 90.176055 106.512043) - (xy 90.093622 106.498987) - (xy 90.055847 106.493004) - (xy 90.05 106.492078) - (xy 90.044153 106.493004) - (xy 90.006378 106.498987) - (xy 89.923945 106.512043) - (xy 89.876367 106.536285) - (xy 89.816321 106.56688) - (xy 89.810229 106.569984) - (xy 89.719984 106.660229) - (xy 89.717296 106.665505) - (xy 89.717295 106.665506) - (xy 89.709497 106.68081) - (xy 89.662043 106.773945) - (xy 89.642078 106.9) - (xy 89.643004 106.905847) - (xy 89.647568 106.934664) - (xy 89.662043 107.026055) - (xy 89.686439 107.073935) - (xy 89.716005 107.131961) - (xy 89.719984 107.139771) - (xy 89.800074 107.219861) - (xy 89.821814 107.266481) - (xy 89.8221 107.273035) - (xy 89.8221 107.424452) - (xy 89.804507 107.47279) - (xy 89.800074 107.477626) - (xy 89.79446 107.48324) - (xy 89.791605 107.48595) - (xy 89.761506 107.513051) - (xy 89.751501 107.535522) - (xy 89.745877 107.545879) - (xy 89.738877 107.556659) - (xy 89.732484 107.566503) - (xy 89.731148 107.574939) - (xy 89.725574 107.593755) - (xy 89.7221 107.601558) - (xy 89.7221 107.626153) - (xy 89.721174 107.637916) - (xy 89.720249 107.643759) - (xy 89.717329 107.662194) - (xy 89.719374 107.669826) - (xy 89.719374 107.669827) - (xy 89.719538 107.670438) - (xy 89.7221 107.689901) - (xy 89.7221 108.092064) - (xy 89.721997 108.095993) - (xy 89.721744 108.100829) - (xy 89.701649 108.148181) - (xy 89.655818 108.171539) - (xy 89.646647 108.1721) - (xy 89.612776 108.1721) - (xy 89.452554 108.172101) - (xy 89.448931 108.172822) - (xy 89.448927 108.172822) - (xy 89.420853 108.178406) - (xy 89.386078 108.185323) - (xy 89.379918 108.189439) - (xy 89.379916 108.18944) - (xy 89.341778 108.214922) - (xy 89.291812 108.227148) - (xy 89.258222 108.214922) - (xy 89.220081 108.189438) - (xy 89.22008 108.189438) - (xy 89.213922 108.185323) - (xy 89.20666 108.183879) - (xy 89.206659 108.183878) - (xy 89.151072 108.172821) - (xy 89.151071 108.172821) - (xy 89.147447 108.1721) - (xy 89.050027 108.1721) - (xy 88.952554 108.172101) - (xy 88.948931 108.172822) - (xy 88.948927 108.172822) - (xy 88.920853 108.178406) - (xy 88.886078 108.185323) - (xy 88.879918 108.189439) - (xy 88.879916 108.18944) - (xy 88.841778 108.214922) - (xy 88.791812 108.227148) - (xy 88.758222 108.214922) - (xy 88.720081 108.189438) - (xy 88.72008 108.189438) - (xy 88.713922 108.185323) - (xy 88.70666 108.183879) - (xy 88.706659 108.183878) - (xy 88.651072 108.172821) - (xy 88.651071 108.172821) - (xy 88.647447 108.1721) - (xy 88.550027 108.1721) - (xy 88.452554 108.172101) - (xy 88.448931 108.172822) - (xy 88.448927 108.172822) - (xy 88.420853 108.178406) - (xy 88.386078 108.185323) - (xy 88.379918 108.189439) - (xy 88.379916 108.18944) - (xy 88.341778 108.214922) - (xy 88.291812 108.227148) - (xy 88.258222 108.214922) - (xy 88.220081 108.189438) - (xy 88.22008 108.189438) - (xy 88.213922 108.185323) - (xy 88.20666 108.183879) - (xy 88.206659 108.183878) - (xy 88.151072 108.172821) - (xy 88.151071 108.172821) - (xy 88.147447 108.1721) - (xy 88.050027 108.1721) - (xy 87.952554 108.172101) - (xy 87.948931 108.172822) - (xy 87.948927 108.172822) - (xy 87.920853 108.178406) - (xy 87.886078 108.185323) - (xy 87.810693 108.235693) - (xy 87.760323 108.311078) - (xy 87.758879 108.31834) - (xy 87.758878 108.318341) - (xy 87.750951 108.358191) - (xy 87.7471 108.377553) - (xy 84.554367 108.377553) - (xy 84.551154 108.357265) - (xy 84.538883 108.279792) - (xy 84.537957 108.273945) - (xy 84.501252 108.201907) - (xy 84.482705 108.165506) - (xy 84.482704 108.165505) - (xy 84.480016 108.160229) - (xy 84.399926 108.080139) - (xy 84.378186 108.033519) - (xy 84.3779 108.026965) - (xy 84.3779 107.907936) - (xy 84.378003 107.904) - (xy 84.380123 107.863552) - (xy 84.383864 107.863748) - (xy 84.390983 107.828275) - (xy 84.431155 107.796146) - (xy 84.44344 107.793123) - (xy 84.476055 107.787957) - (xy 84.561776 107.74428) - (xy 84.584494 107.732705) - (xy 84.584495 107.732704) - (xy 84.589771 107.730016) - (xy 84.669861 107.649926) - (xy 84.716481 107.628186) - (xy 84.723035 107.6279) - (xy 85.520809 107.6279) - (xy 85.562588 107.640573) - (xy 85.636078 107.689677) - (xy 85.64334 107.691121) - (xy 85.643341 107.691122) - (xy 85.698923 107.702178) - (xy 85.702553 107.7029) - (xy 86.387407 107.7029) - (xy 87.072446 107.702899) - (xy 87.076069 107.702178) - (xy 87.076073 107.702178) - (xy 87.104147 107.696594) - (xy 87.138922 107.689677) - (xy 87.214307 107.639307) - (xy 87.22193 107.627899) - (xy 87.260563 107.570079) - (xy 87.264677 107.563922) - (xy 87.266392 107.555303) - (xy 87.277179 107.501072) - (xy 87.277179 107.501071) - (xy 87.2779 107.497447) - (xy 87.277899 107.302554) - (xy 87.275357 107.289771) - (xy 87.267895 107.252257) - (xy 87.264677 107.236078) - (xy 87.254227 107.220438) - (xy 87.235078 107.191778) - (xy 87.222852 107.141812) - (xy 87.235078 107.108222) - (xy 87.260562 107.070081) - (xy 87.260562 107.07008) - (xy 87.264677 107.063922) - (xy 87.266284 107.055847) - (xy 87.277179 107.001072) - (xy 87.277179 107.001071) - (xy 87.2779 106.997447) - (xy 87.277899 106.802554) - (xy 87.274506 106.785492) - (xy 87.269022 106.757922) - (xy 87.264677 106.736078) - (xy 87.258057 106.72617) - (xy 87.235078 106.691778) - (xy 87.222852 106.641812) - (xy 87.235078 106.608222) - (xy 87.260562 106.570081) - (xy 87.260562 106.57008) - (xy 87.264677 106.563922) - (xy 87.267222 106.551131) - (xy 87.277179 106.501072) - (xy 87.277179 106.501071) - (xy 87.2779 106.497447) - (xy 87.277899 106.302554) - (xy 87.273334 106.2796) - (xy 87.267389 106.249714) - (xy 87.264677 106.236078) - (xy 87.25657 106.223945) - (xy 87.235078 106.191778) - (xy 87.222852 106.141812) - (xy 87.235078 106.108222) - (xy 87.260562 106.070081) - (xy 87.260562 106.07008) - (xy 87.264677 106.063922) - (xy 87.268523 106.04459) - (xy 87.277179 106.001072) - (xy 87.277179 106.001071) - (xy 87.2779 105.997447) - (xy 87.277899 105.802554) - (xy 87.27532 105.789584) - (xy 87.268895 105.757285) - (xy 87.264677 105.736078) - (xy 87.258314 105.726555) - (xy 87.235078 105.691778) - (xy 87.222852 105.641812) - (xy 87.235078 105.608222) - (xy 87.260562 105.570081) - (xy 87.260562 105.57008) - (xy 87.264677 105.563922) - (xy 87.273898 105.517569) - (xy 87.277179 105.501072) - (xy 87.277179 105.501071) - (xy 87.2779 105.497447) - (xy 87.277899 105.302554) - (xy 87.274109 105.283496) - (xy 87.270186 105.263777) - (xy 87.264677 105.236078) - (xy 87.25657 105.223945) - (xy 87.235078 105.191778) - (xy 87.222852 105.141812) - (xy 87.235078 105.108222) - (xy 87.260562 105.070081) - (xy 87.260562 105.07008) - (xy 87.264677 105.063922) - (xy 87.2668 105.053252) - (xy 87.277179 105.001072) - (xy 87.277179 105.001071) - (xy 87.2779 104.997447) - (xy 87.277899 104.802554) - (xy 87.264677 104.736078) - (xy 87.260477 104.729792) - (xy 87.235078 104.691778) - (xy 87.222852 104.641812) - (xy 87.235078 104.608222) - (xy 87.260562 104.570081) - (xy 87.260562 104.57008) - (xy 87.264677 104.563922) - (xy 87.268362 104.5454) - (xy 87.277179 104.501072) - (xy 87.277179 104.501071) - (xy 87.2779 104.497447) - (xy 87.277899 104.302554) - (xy 87.275411 104.290042) - (xy 87.26961 104.260878) - (xy 87.264677 104.236078) - (xy 87.259213 104.2279) - (xy 87.235078 104.191778) - (xy 87.222852 104.141812) - (xy 87.235078 104.108222) - (xy 87.260562 104.070081) - (xy 87.260562 104.07008) - (xy 87.264677 104.063922) - (xy 87.267161 104.051438) - (xy 87.277179 104.001072) - (xy 87.277179 104.001071) - (xy 87.2779 103.997447) - (xy 87.277899 103.836259) - (xy 87.295492 103.787922) - (xy 87.34004 103.762202) - (xy 87.387238 103.769255) - (xy 87.418668 103.785269) - (xy 87.418672 103.78527) - (xy 87.423945 103.787957) - (xy 87.471286 103.795455) - (xy 87.539431 103.806248) - (xy 87.55 103.807922) - (xy 87.56057 103.806248) - (xy 87.628714 103.795455) - (xy 87.676055 103.787957) - (xy 87.769878 103.740152) - (xy 87.784494 103.732705) - (xy 87.784495 103.732704) - (xy 87.789771 103.730016) - (xy 87.880016 103.639771) - (xy 87.884318 103.631329) - (xy 87.921093 103.559152) - (xy 87.937957 103.526055) - (xy 87.951111 103.443004) - (xy 87.956996 103.405847) - (xy 87.957922 103.4) - (xy 87.952282 103.364387) - (xy 87.94131 103.295114) - (xy 87.937957 103.273945) - (xy 87.90028 103.2) - (xy 87.882705 103.165506) - (xy 87.882704 103.165505) - (xy 87.880016 103.160229) - (xy 87.789771 103.069984) - (xy 87.778885 103.064437) - (xy 87.725886 103.037433) - (xy 87.676055 103.012043) - (xy 87.55 102.992078) - (xy 87.423945 103.012043) - (xy 87.418672 103.01473) - (xy 87.418671 103.01473) - (xy 87.38724 103.030745) - (xy 87.336183 103.037014) - (xy 87.293042 103.008997) - (xy 87.2779 102.963741) - (xy 87.277899 102.806251) - (xy 87.277899 102.802554) - (xy 87.277008 102.79807) - (xy 87.267446 102.75) - (xy 87.264677 102.736078) - (xy 87.256458 102.723777) - (xy 87.235078 102.691778) - (xy 87.222852 102.641812) - (xy 87.235078 102.608222) - (xy 87.260562 102.570081) - (xy 87.260562 102.57008) - (xy 87.264677 102.563922) - (xy 87.266126 102.556641) - (xy 87.277179 102.501072) - (xy 87.277179 102.501071) - (xy 87.2779 102.497447) - (xy 87.277899 102.302554) - (xy 87.275577 102.290877) - (xy 87.266122 102.243341) - (xy 87.266121 102.243339) - (xy 87.264677 102.236078) - (xy 87.261338 102.231081) - (xy 87.25913 102.180486) - (xy 87.290445 102.139676) - (xy 87.330849 102.1279) - (xy 88.076965 102.1279) - (xy 88.125303 102.145493) - (xy 88.130139 102.149926) - (xy 88.210229 102.230016) - (xy 88.215505 102.232704) - (xy 88.215506 102.232705) - (xy 88.244571 102.247514) - (xy 88.323945 102.287957) - (xy 88.386972 102.297939) - (xy 88.43945 102.306251) - (xy 88.45 102.307922) - (xy 88.460551 102.306251) - (xy 88.513028 102.297939) - (xy 88.576055 102.287957) - (xy 88.655429 102.247514) - (xy 88.684494 102.232705) - (xy 88.684495 102.232704) - (xy 88.689771 102.230016) - (xy 88.780016 102.139771) - (xy 88.790767 102.118672) - (xy 88.816899 102.067384) - (xy 88.837957 102.026055) - (xy 88.856451 101.909286) - (xy 88.856996 101.905847) - (xy 88.857922 101.9) - (xy 88.837957 101.773945) - (xy 88.80164 101.702669) - (xy 88.782705 101.665506) - (xy 88.782704 101.665505) - (xy 88.780016 101.660229) - (xy 88.689771 101.569984) - (xy 88.676573 101.563259) - (xy 88.603174 101.525861) - (xy 88.576055 101.512043) - (xy 88.500018 101.5) - (xy 88.455847 101.493004) - (xy 88.45 101.492078) - (xy 88.444153 101.493004) - (xy 88.399982 101.5) - (xy 88.323945 101.512043) - (xy 88.296826 101.525861) - (xy 88.223428 101.563259) - (xy 88.210229 101.569984) - (xy 88.130139 101.650074) - (xy 88.083519 101.671814) - (xy 88.076965 101.6721) - (xy 87.936259 101.6721) - (xy 87.887921 101.654507) - (xy 87.862201 101.609958) - (xy 87.869255 101.56276) - (xy 87.88527 101.531329) - (xy 87.88527 101.531328) - (xy 87.887957 101.526055) - (xy 87.907922 101.4) - (xy 87.902961 101.368674) - (xy 87.889933 101.286423) - (xy 87.887957 101.273945) - (xy 87.846555 101.192689) - (xy 87.832705 101.165506) - (xy 87.832704 101.165505) - (xy 87.830016 101.160229) - (xy 87.739771 101.069984) - (xy 87.733087 101.066578) - (xy 87.671028 101.034958) - (xy 87.626055 101.012043) - (xy 87.533899 100.997447) - (xy 87.505847 100.993004) - (xy 87.5 100.992078) - (xy 87.494153 100.993004) - (xy 87.379796 101.011116) - (xy 87.379794 101.011117) - (xy 87.373945 101.012043) - (xy 87.373739 101.012148) - (xy 87.324932 101.010445) - (xy 87.286704 100.976027) - (xy 87.2779 100.940721) - (xy 87.277899 100.806251) - (xy 87.277899 100.802554) - (xy 87.264677 100.736078) - (xy 87.234776 100.691327) - (xy 87.22255 100.641362) - (xy 87.234777 100.60777) - (xy 87.260089 100.569888) - (xy 87.263968 100.560523) - (xy 87.262706 100.552321) - (xy 87.26009 100.550027) - (xy 87.259944 100.55) - (xy 86.6252 100.55) - (xy 86.576862 100.532407) - (xy 86.551142 100.487858) - (xy 86.55 100.4748) - (xy 86.55 100.3252) - (xy 86.567593 100.276862) - (xy 86.612142 100.251142) - (xy 86.6252 100.25) - (xy 87.253677 100.25) - (xy 87.263834 100.246303) - (xy 87.265559 100.243316) - (xy 87.260089 100.230112) - (xy 87.234476 100.191779) - (xy 87.222249 100.141813) - (xy 87.234476 100.108221) - (xy 87.260089 100.069888) - (xy 87.263968 100.060523) - (xy 87.262706 100.052321) - (xy 87.26009 100.050027) - (xy 87.259944 100.05) - (xy 86.6252 100.05) - (xy 86.576862 100.032407) - (xy 86.551142 99.987858) - (xy 86.55 99.9748) - (xy 86.55 99.8252) - (xy 86.567593 99.776862) - (xy 86.612142 99.751142) - (xy 86.6252 99.75) - (xy 87.253677 99.75) - (xy 87.276854 99.741565) - (xy 87.296307 99.72524) - (xy 87.347747 99.725238) - (xy 87.356171 99.728901) - (xy 87.368669 99.735269) - (xy 87.36867 99.735269) - (xy 87.373945 99.737957) - (xy 87.413867 99.74428) - (xy 87.470515 99.753252) - (xy 87.5 99.757922) - (xy 87.529486 99.753252) - (xy 87.586133 99.74428) - (xy 87.626055 99.737957) - (xy 87.689687 99.705535) - (xy 87.734494 99.682705) - (xy 87.734495 99.682704) - (xy 87.739771 99.680016) - (xy 87.830016 99.589771) - (xy 87.83391 99.58213) - (xy 87.879793 99.492078) - (xy 87.887957 99.476055) - (xy 87.904382 99.372353) - (xy 87.906996 99.355847) - (xy 87.907922 99.35) - (xy 87.887957 99.223945) - (xy 87.843975 99.137625) - (xy 87.832705 99.115506) - (xy 87.832704 99.115505) - (xy 87.830016 99.110229) - (xy 87.776061 99.056274) - (xy 87.754321 99.009654) - (xy 87.767635 98.959967) - (xy 87.809772 98.930462) - (xy 87.829235 98.9279) - (xy 87.924453 98.9279) - (xy 87.972791 98.945493) - (xy 87.977627 98.949926) - (xy 88.250074 99.222373) - (xy 88.271814 99.268993) - (xy 88.2721 99.275547) - (xy 88.2721 99.276965) - (xy 88.254507 99.325303) - (xy 88.250074 99.330139) - (xy 88.169984 99.410229) - (xy 88.167296 99.415505) - (xy 88.167295 99.415506) - (xy 88.158942 99.4319) - (xy 88.112043 99.523945) - (xy 88.1029 99.581669) - (xy 88.093489 99.641094) - (xy 88.092078 99.65) - (xy 88.093004 99.655847) - (xy 88.095309 99.6704) - (xy 88.112043 99.776055) - (xy 88.13043 99.812142) - (xy 88.156476 99.863259) - (xy 88.169984 99.889771) - (xy 88.260229 99.980016) - (xy 88.265505 99.982704) - (xy 88.265506 99.982705) - (xy 88.288585 99.994464) - (xy 88.373945 100.037957) - (xy 88.379792 100.038883) - (xy 88.492184 100.056684) - (xy 88.5 100.057922) - (xy 88.507817 100.056684) - (xy 88.620208 100.038883) - (xy 88.626055 100.037957) - (xy 88.711415 99.994464) - (xy 88.734494 99.982705) - (xy 88.734495 99.982704) - (xy 88.739771 99.980016) - (xy 88.819787 99.9) - (xy 99.242078 99.9) - (xy 99.243004 99.905847) - (xy 99.249967 99.949807) - (xy 99.262043 100.026055) - (xy 99.288459 100.0779) - (xy 99.314235 100.128487) - (xy 99.319984 100.139771) - (xy 99.410229 100.230016) - (xy 99.415505 100.232704) - (xy 99.415506 100.232705) - (xy 99.433902 100.242078) - (xy 99.523945 100.287957) - (xy 99.561847 100.29396) - (xy 99.635346 100.305601) - (xy 99.65 100.307922) - (xy 99.664655 100.305601) - (xy 99.738153 100.29396) - (xy 99.776055 100.287957) - (xy 99.866098 100.242078) - (xy 99.884494 100.232705) - (xy 99.884495 100.232704) - (xy 99.889771 100.230016) - (xy 99.969861 100.149926) - (xy 100.016481 100.128186) - (xy 100.023035 100.1279) - (xy 100.769703 100.1279) - (xy 100.818041 100.145493) - (xy 100.843761 100.190042) - (xy 100.839179 100.231878) - (xy 100.836032 100.239477) - (xy 100.837294 100.247679) - (xy 100.83991 100.249973) - (xy 100.840056 100.25) - (xy 102.578677 100.25) - (xy 102.588834 100.246303) - (xy 102.590559 100.243316) - (xy 102.585089 100.230112) - (xy 102.559777 100.19223) - (xy 102.54755 100.142265) - (xy 102.559776 100.108672) - (xy 102.589677 100.063922) - (xy 102.591284 100.055847) - (xy 102.602179 100.001072) - (xy 102.602179 100.001071) - (xy 102.6029 99.997447) - (xy 102.602899 99.848997) - (xy 102.620492 99.80066) - (xy 102.66504 99.77494) - (xy 102.712237 99.781992) - (xy 102.71867 99.78527) - (xy 102.718674 99.785271) - (xy 102.723945 99.787957) - (xy 102.786972 99.797939) - (xy 102.816108 99.802554) - (xy 102.85 99.807922) - (xy 102.883893 99.802554) - (xy 102.913028 99.797939) - (xy 102.976055 99.787957) - (xy 102.981328 99.78527) - (xy 102.981332 99.785269) - (xy 103.022946 99.764066) - (xy 103.074002 99.757798) - (xy 103.117143 99.785814) - (xy 103.132182 99.835006) - (xy 103.131497 99.841926) - (xy 103.122994 99.900207) - (xy 103.1226 99.905641) - (xy 103.1226 99.986741) - (xy 103.126297 99.996898) - (xy 103.131669 100) - (xy 103.586741 100) - (xy 103.596898 99.996303) - (xy 103.6 99.990931) - (xy 103.6 99.986741) - (xy 103.9 99.986741) - (xy 103.903697 99.996898) - (xy 103.909069 100) - (xy 104.364141 100) - (xy 104.374298 99.996303) - (xy 104.3774 99.990931) - (xy 104.3774 99.905657) - (xy 104.377 99.90019) - (xy 104.367694 99.836971) - (xy 104.364269 99.82595) - (xy 104.316041 99.727722) - (xy 104.308906 99.717756) - (xy 104.231729 99.640714) - (xy 104.221743 99.63359) - (xy 104.123428 99.585533) - (xy 104.112416 99.58213) - (xy 104.049795 99.572995) - (xy 104.044359 99.5726) - (xy 103.913259 99.5726) - (xy 103.903102 99.576297) - (xy 103.9 99.581669) - (xy 103.9 99.986741) - (xy 103.6 99.986741) - (xy 103.6 99.585859) - (xy 103.596303 99.575702) - (xy 103.590931 99.5726) - (xy 103.455657 99.5726) - (xy 103.45019 99.573) - (xy 103.386971 99.582306) - (xy 103.375947 99.585732) - (xy 103.346159 99.600357) - (xy 103.295016 99.605868) - (xy 103.252295 99.577215) - (xy 103.237987 99.527805) - (xy 103.238743 99.52109) - (xy 103.238919 99.519984) - (xy 103.257922 99.4) - (xy 103.237957 99.273945) - (xy 103.19746 99.194464) - (xy 103.182705 99.165506) - (xy 103.182704 99.165505) - (xy 103.180016 99.160229) - (xy 103.089771 99.069984) - (xy 103.082661 99.066361) - (xy 103.01327 99.031005) - (xy 102.976055 99.012043) - (xy 102.883899 98.997447) - (xy 102.855847 98.993004) - (xy 102.85 98.992078) - (xy 102.844153 98.993004) - (xy 102.816101 98.997447) - (xy 102.723945 99.012043) - (xy 102.713206 99.017515) - (xy 102.71224 99.018007) - (xy 102.661184 99.024276) - (xy 102.618043 98.99626) - (xy 102.6029 98.951004) - (xy 102.602899 98.848998) - (xy 102.620492 98.80066) - (xy 102.66504 98.77494) - (xy 102.712237 98.781992) - (xy 102.71867 98.78527) - (xy 102.718674 98.785271) - (xy 102.723945 98.787957) - (xy 102.786973 98.79794) - (xy 102.840239 98.806376) - (xy 102.85 98.807922) - (xy 102.859762 98.806376) - (xy 102.913027 98.79794) - (xy 102.976055 98.787957) - (xy 103.05277 98.748869) - (xy 103.084494 98.732705) - (xy 103.084495 98.732704) - (xy 103.089771 98.730016) - (xy 103.180016 98.639771) - (xy 103.1829 98.634112) - (xy 103.216944 98.567295) - (xy 103.237957 98.526055) - (xy 103.25611 98.411443) - (xy 103.256996 98.405847) - (xy 103.257922 98.4) - (xy 103.255319 98.383562) - (xy 103.245916 98.324197) - (xy 103.237957 98.273945) - (xy 103.190098 98.180016) - (xy 103.182705 98.165506) - (xy 103.182704 98.165505) - (xy 103.180016 98.160229) - (xy 103.089771 98.069984) - (xy 103.077831 98.0639) - (xy 103.001498 98.025007) - (xy 102.976055 98.012043) - (xy 102.883899 97.997447) - (xy 102.855847 97.993004) - (xy 102.85 97.992078) - (xy 102.844153 97.993004) - (xy 102.816101 97.997447) - (xy 102.723945 98.012043) - (xy 102.71707 98.015546) - (xy 102.71224 98.018007) - (xy 102.661184 98.024276) - (xy 102.618043 97.99626) - (xy 102.6029 97.951004) - (xy 102.602899 97.848998) - (xy 102.620492 97.80066) - (xy 102.66504 97.77494) - (xy 102.712237 97.781992) - (xy 102.71867 97.78527) - (xy 102.718674 97.785271) - (xy 102.723945 97.787957) - (xy 102.786972 97.797939) - (xy 102.83945 97.806251) - (xy 102.85 97.807922) - (xy 102.860551 97.806251) - (xy 102.913028 97.797939) - (xy 102.976055 97.787957) - (xy 103.061776 97.74428) - (xy 103.084494 97.732705) - (xy 103.084495 97.732704) - (xy 103.089771 97.730016) - (xy 103.180016 97.639771) - (xy 103.190713 97.618778) - (xy 103.212481 97.576055) - (xy 103.237957 97.526055) - (xy 103.257922 97.4) - (xy 103.254523 97.378536) - (xy 103.238883 97.279792) - (xy 103.237957 97.273945) - (xy 103.199795 97.199047) - (xy 103.182705 97.165506) - (xy 103.182704 97.165505) - (xy 103.180016 97.160229) - (xy 103.089771 97.069984) - (xy 103.07946 97.06473) - (xy 103.017058 97.032935) - (xy 102.976055 97.012043) - (xy 102.883899 96.997447) - (xy 102.855847 96.993004) - (xy 102.85 96.992078) - (xy 102.844153 96.993004) - (xy 102.816101 96.997447) - (xy 102.723945 97.012043) - (xy 102.71224 97.018007) - (xy 102.661184 97.024276) - (xy 102.618043 96.99626) - (xy 102.6029 96.951004) - (xy 102.602899 96.848998) - (xy 102.620492 96.80066) - (xy 102.66504 96.77494) - (xy 102.712237 96.781992) - (xy 102.71867 96.78527) - (xy 102.718674 96.785271) - (xy 102.723945 96.787957) - (xy 102.765029 96.794464) - (xy 102.839431 96.806248) - (xy 102.85 96.807922) - (xy 102.86057 96.806248) - (xy 102.934971 96.794464) - (xy 102.976055 96.787957) - (xy 103.074202 96.737949) - (xy 103.084494 96.732705) - (xy 103.084495 96.732704) - (xy 103.089771 96.730016) - (xy 103.180016 96.639771) - (xy 103.183617 96.632705) - (xy 103.218663 96.563922) - (xy 103.237957 96.526055) - (xy 103.248705 96.458193) - (xy 103.256996 96.405847) - (xy 103.257922 96.4) - (xy 103.251358 96.358553) - (xy 103.238961 96.280286) - (xy 103.237957 96.273945) - (xy 103.200785 96.20099) - (xy 103.182705 96.165506) - (xy 103.182704 96.165505) - (xy 103.180016 96.160229) - (xy 103.089771 96.069984) - (xy 103.080983 96.065506) - (xy 103.030131 96.039596) - (xy 102.976055 96.012043) - (xy 102.886071 95.997791) - (xy 102.855847 95.993004) - (xy 102.85 95.992078) - (xy 102.844153 95.993004) - (xy 102.813929 95.997791) - (xy 102.723945 96.012043) - (xy 102.71224 96.018007) - (xy 102.661184 96.024276) - (xy 102.618043 95.99626) - (xy 102.6029 95.951004) - (xy 102.602899 95.848998) - (xy 102.620492 95.80066) - (xy 102.66504 95.77494) - (xy 102.712237 95.781992) - (xy 102.71867 95.78527) - (xy 102.718674 95.785271) - (xy 102.723945 95.787957) - (xy 102.729792 95.788883) - (xy 102.82597 95.804116) - (xy 102.85 95.807922) - (xy 102.874031 95.804116) - (xy 102.970208 95.788883) - (xy 102.976055 95.787957) - (xy 103.058401 95.746) - (xy 103.084494 95.732705) - (xy 103.084495 95.732704) - (xy 103.089771 95.730016) - (xy 103.180016 95.639771) - (xy 103.184401 95.631166) - (xy 103.220823 95.559682) - (xy 103.237957 95.526055) - (xy 103.257922 95.4) - (xy 103.253777 95.373826) - (xy 103.246363 95.32702) - (xy 103.237957 95.273945) - (xy 103.191468 95.182705) - (xy 103.182705 95.165506) - (xy 103.182704 95.165505) - (xy 103.180016 95.160229) - (xy 103.089771 95.069984) - (xy 103.08398 95.067033) - (xy 103.013904 95.031328) - (xy 102.976055 95.012043) - (xy 102.909855 95.001558) - (xy 102.855847 94.993004) - (xy 102.85 94.992078) - (xy 102.844153 94.993004) - (xy 102.790145 95.001558) - (xy 102.723945 95.012043) - (xy 102.686096 95.031328) - (xy 102.616021 95.067033) - (xy 102.610229 95.069984) - (xy 102.571084 95.109129) - (xy 102.524464 95.130869) - (xy 102.476132 95.118482) - (xy 102.463922 95.110323) - (xy 102.397447 95.0971) - (xy 101.712593 95.0971) - (xy 101.027554 95.097101) - (xy 101.023931 95.097822) - (xy 101.023927 95.097822) - (xy 100.995853 95.103406) - (xy 100.961078 95.110323) - (xy 100.885693 95.160693) - (xy 100.881581 95.166847) - (xy 100.8774 95.173104) - (xy 100.835323 95.236078) - (xy 100.833879 95.24334) - (xy 100.833878 95.243341) - (xy 100.823809 95.293961) - (xy 100.8221 95.302553) - (xy 100.822101 95.396464) - (xy 100.822101 95.463741) - (xy 100.804508 95.512078) - (xy 100.75996 95.537798) - (xy 100.712762 95.530745) - (xy 100.681332 95.514731) - (xy 100.681328 95.51473) - (xy 100.676055 95.512043) - (xy 100.613028 95.502061) - (xy 100.555847 95.493004) - (xy 100.55 95.492078) - (xy 100.544153 95.493004) - (xy 100.486972 95.502061) - (xy 100.423945 95.512043) - (xy 100.375078 95.536942) - (xy 100.320541 95.56473) - (xy 100.310229 95.569984) - (xy 100.219984 95.660229) - (xy 100.217296 95.665505) - (xy 100.217295 95.665506) - (xy 100.196899 95.705536) - (xy 100.162043 95.773945) - (xy 100.155197 95.81717) - (xy 100.144302 95.885961) - (xy 100.142078 95.9) - (xy 100.143004 95.905847) - (xy 100.143922 95.911645) - (xy 100.162043 96.026055) - (xy 100.184346 96.069827) - (xy 100.216991 96.133896) - (xy 100.219984 96.139771) - (xy 100.310229 96.230016) - (xy 100.315505 96.232704) - (xy 100.315506 96.232705) - (xy 100.352735 96.251674) - (xy 100.423945 96.287957) - (xy 100.463065 96.294153) - (xy 100.536899 96.305847) - (xy 100.55 96.307922) - (xy 100.563102 96.305847) - (xy 100.636935 96.294153) - (xy 100.676055 96.287957) - (xy 100.686795 96.282485) - (xy 100.71276 96.269255) - (xy 100.763817 96.262986) - (xy 100.806958 96.291003) - (xy 100.8221 96.336258) - (xy 100.822101 96.46374) - (xy 100.804508 96.512078) - (xy 100.75996 96.537798) - (xy 100.712762 96.530745) - (xy 100.681332 96.514731) - (xy 100.681328 96.51473) - (xy 100.676055 96.512043) - (xy 100.586071 96.497791) - (xy 100.555847 96.493004) - (xy 100.55 96.492078) - (xy 100.544153 96.493004) - (xy 100.513929 96.497791) - (xy 100.423945 96.512043) - (xy 100.373398 96.537798) - (xy 100.332988 96.558388) - (xy 100.310229 96.569984) - (xy 100.219984 96.660229) - (xy 100.217296 96.665505) - (xy 100.217295 96.665506) - (xy 100.20906 96.681669) - (xy 100.162043 96.773945) - (xy 100.159953 96.787141) - (xy 100.143308 96.892237) - (xy 100.142078 96.9) - (xy 100.143004 96.905847) - (xy 100.147934 96.936971) - (xy 100.162043 97.026055) - (xy 100.186561 97.074174) - (xy 100.213807 97.127647) - (xy 100.219984 97.139771) - (xy 100.310229 97.230016) - (xy 100.423945 97.287957) - (xy 100.429792 97.288883) - (xy 100.539431 97.306248) - (xy 100.55 97.307922) - (xy 100.56057 97.306248) - (xy 100.670208 97.288883) - (xy 100.676055 97.287957) - (xy 100.71276 97.269255) - (xy 100.763817 97.262986) - (xy 100.806958 97.291003) - (xy 100.8221 97.336258) - (xy 100.822101 97.46374) - (xy 100.804508 97.512078) - (xy 100.75996 97.537798) - (xy 100.712762 97.530745) - (xy 100.681332 97.514731) - (xy 100.681328 97.51473) - (xy 100.676055 97.512043) - (xy 100.598724 97.499795) - (xy 100.555847 97.493004) - (xy 100.55 97.492078) - (xy 100.544153 97.493004) - (xy 100.501276 97.499795) - (xy 100.423945 97.512043) - (xy 100.310229 97.569984) - (xy 100.219984 97.660229) - (xy 100.217296 97.665505) - (xy 100.217295 97.665506) - (xy 100.202016 97.695493) - (xy 100.162043 97.773945) - (xy 100.142078 97.9) - (xy 100.143004 97.905847) - (xy 100.145406 97.92101) - (xy 100.162043 98.026055) - (xy 100.179491 98.060298) - (xy 100.210439 98.121037) - (xy 100.219984 98.139771) - (xy 100.310229 98.230016) - (xy 100.315505 98.232704) - (xy 100.315506 98.232705) - (xy 100.34945 98.25) - (xy 100.423945 98.287957) - (xy 100.442413 98.290882) - (xy 100.52102 98.303332) - (xy 100.55 98.307922) - (xy 100.578981 98.303332) - (xy 100.657587 98.290882) - (xy 100.676055 98.287957) - (xy 100.695258 98.278173) - (xy 100.71276 98.269255) - (xy 100.763817 98.262986) - (xy 100.806958 98.291003) - (xy 100.8221 98.336258) - (xy 100.822101 98.46374) - (xy 100.804508 98.512078) - (xy 100.75996 98.537798) - (xy 100.712762 98.530745) - (xy 100.681332 98.514731) - (xy 100.681328 98.51473) - (xy 100.676055 98.512043) - (xy 100.591665 98.498677) - (xy 100.555847 98.493004) - (xy 100.55 98.492078) - (xy 100.544153 98.493004) - (xy 100.508335 98.498677) - (xy 100.423945 98.512043) - (xy 100.396445 98.526055) - (xy 100.320111 98.564949) - (xy 100.310229 98.569984) - (xy 100.219984 98.660229) - (xy 100.217296 98.665505) - (xy 100.217295 98.665506) - (xy 100.199621 98.700193) - (xy 100.162043 98.773945) - (xy 100.156808 98.806996) - (xy 100.14951 98.853079) - (xy 100.142078 98.9) - (xy 100.143004 98.905847) - (xy 100.146903 98.930462) - (xy 100.162043 99.026055) - (xy 100.177223 99.055847) - (xy 100.215876 99.131708) - (xy 100.219984 99.139771) - (xy 100.310229 99.230016) - (xy 100.315505 99.232704) - (xy 100.315506 99.232705) - (xy 100.352455 99.251531) - (xy 100.423945 99.287957) - (xy 100.438852 99.290318) - (xy 100.533029 99.305234) - (xy 100.55 99.307922) - (xy 100.566972 99.305234) - (xy 100.661148 99.290318) - (xy 100.676055 99.287957) - (xy 100.684766 99.283519) - (xy 100.71276 99.269255) - (xy 100.763817 99.262986) - (xy 100.806958 99.291003) - (xy 100.8221 99.336259) - (xy 100.822101 99.415506) - (xy 100.822101 99.497446) - (xy 100.822822 99.501069) - (xy 100.822822 99.501073) - (xy 100.833878 99.556659) - (xy 100.835323 99.563922) - (xy 100.838662 99.568919) - (xy 100.84087 99.619514) - (xy 100.809555 99.660324) - (xy 100.769151 99.6721) - (xy 100.023035 99.6721) - (xy 99.974697 99.654507) - (xy 99.969861 99.650074) - (xy 99.889771 99.569984) - (xy 99.86644 99.558096) - (xy 99.82054 99.534709) - (xy 99.776055 99.512043) - (xy 99.693256 99.498929) - (xy 99.655847 99.493004) - (xy 99.65 99.492078) - (xy 99.644153 99.493004) - (xy 99.606744 99.498929) - (xy 99.523945 99.512043) - (xy 99.47946 99.534709) - (xy 99.433561 99.558096) - (xy 99.410229 99.569984) - (xy 99.319984 99.660229) - (xy 99.317296 99.665505) - (xy 99.317295 99.665506) - (xy 99.296899 99.705536) - (xy 99.262043 99.773945) - (xy 99.242078 99.9) - (xy 88.819787 99.9) - (xy 88.830016 99.889771) - (xy 88.843525 99.863259) - (xy 88.86957 99.812142) - (xy 88.887957 99.776055) - (xy 88.904691 99.6704) - (xy 88.906996 99.655847) - (xy 88.907922 99.65) - (xy 88.906512 99.641094) - (xy 88.8971 99.581669) - (xy 88.887957 99.523945) - (xy 88.841058 99.4319) - (xy 88.832705 99.415506) - (xy 88.832704 99.415505) - (xy 88.830016 99.410229) - (xy 88.749926 99.330139) - (xy 88.728186 99.283519) - (xy 88.7279 99.276965) - (xy 88.7279 99.157936) - (xy 88.728003 99.154) - (xy 88.728068 99.152768) - (xy 88.730123 99.113552) - (xy 88.72131 99.090592) - (xy 88.71796 99.079284) - (xy 88.715619 99.068271) - (xy 88.712848 99.055234) - (xy 88.707829 99.048325) - (xy 88.698465 99.03108) - (xy 88.698236 99.030483) - (xy 88.698235 99.030482) - (xy 88.695403 99.023104) - (xy 88.678019 99.00572) - (xy 88.670355 98.996748) - (xy 88.660546 98.983247) - (xy 88.660545 98.983247) - (xy 88.655901 98.976854) - (xy 88.648507 98.972585) - (xy 88.632933 98.960634) - (xy 88.572531 98.900232) - (xy 88.550791 98.853612) - (xy 88.564105 98.803925) - (xy 88.591564 98.780055) - (xy 88.65277 98.748869) - (xy 88.684494 98.732705) - (xy 88.684495 98.732704) - (xy 88.689771 98.730016) - (xy 88.780016 98.639771) - (xy 88.7829 98.634112) - (xy 88.816944 98.567295) - (xy 88.837957 98.526055) - (xy 88.85611 98.411443) - (xy 88.856996 98.405847) - (xy 88.857922 98.4) - (xy 88.855319 98.383562) - (xy 88.845916 98.324197) - (xy 88.837957 98.273945) - (xy 88.790098 98.180016) - (xy 88.782705 98.165506) - (xy 88.782704 98.165505) - (xy 88.780016 98.160229) - (xy 88.689771 98.069984) - (xy 88.677831 98.0639) - (xy 88.601498 98.025007) - (xy 88.576055 98.012043) - (xy 88.483899 97.997447) - (xy 88.455847 97.993004) - (xy 88.45 97.992078) - (xy 88.444153 97.993004) - (xy 88.416101 97.997447) - (xy 88.323945 98.012043) - (xy 88.298502 98.025007) - (xy 88.22217 98.0639) - (xy 88.210229 98.069984) - (xy 88.130139 98.150074) - (xy 88.083519 98.171814) - (xy 88.076965 98.1721) - (xy 87.330849 98.1721) - (xy 87.282511 98.154507) - (xy 87.256791 98.109958) - (xy 87.261275 98.069013) - (xy 87.264677 98.063922) - (xy 87.2779 97.997447) - (xy 87.277899 97.802554) - (xy 87.2749 97.787473) - (xy 87.266122 97.743341) - (xy 87.266121 97.743339) - (xy 87.264677 97.736078) - (xy 87.261338 97.731081) - (xy 87.25913 97.680486) - (xy 87.290445 97.639676) - (xy 87.330849 97.6279) - (xy 88.076965 97.6279) - (xy 88.125303 97.645493) - (xy 88.130139 97.649926) - (xy 88.210229 97.730016) - (xy 88.215505 97.732704) - (xy 88.215506 97.732705) - (xy 88.238224 97.74428) - (xy 88.323945 97.787957) - (xy 88.386972 97.797939) - (xy 88.43945 97.806251) - (xy 88.45 97.807922) - (xy 88.460551 97.806251) - (xy 88.513028 97.797939) - (xy 88.576055 97.787957) - (xy 88.661776 97.74428) - (xy 88.684494 97.732705) - (xy 88.684495 97.732704) - (xy 88.689771 97.730016) - (xy 88.780016 97.639771) - (xy 88.790713 97.618778) - (xy 88.812481 97.576055) - (xy 88.837957 97.526055) - (xy 88.857922 97.4) - (xy 88.854523 97.378536) - (xy 88.838883 97.279792) - (xy 88.837957 97.273945) - (xy 88.799795 97.199047) - (xy 88.782705 97.165506) - (xy 88.782704 97.165505) - (xy 88.780016 97.160229) - (xy 88.689771 97.069984) - (xy 88.67946 97.06473) - (xy 88.617058 97.032935) - (xy 88.576055 97.012043) - (xy 88.483899 96.997447) - (xy 88.455847 96.993004) - (xy 88.45 96.992078) - (xy 88.444153 96.993004) - (xy 88.416101 96.997447) - (xy 88.323945 97.012043) - (xy 88.282942 97.032935) - (xy 88.220541 97.06473) - (xy 88.210229 97.069984) - (xy 88.130139 97.150074) - (xy 88.083519 97.171814) - (xy 88.076965 97.1721) - (xy 87.535211 97.1721) - (xy 87.486873 97.154507) - (xy 87.461153 97.109958) - (xy 87.470086 97.0593) - (xy 87.471895 97.05681) - (xy 87.473146 97.055901) - (xy 87.477415 97.048508) - (xy 87.489364 97.032935) - (xy 87.545091 96.977209) - (xy 87.605551 96.916749) - (xy 87.608407 96.914039) - (xy 87.632621 96.892237) - (xy 87.638494 96.886949) - (xy 87.648499 96.864478) - (xy 87.654123 96.854121) - (xy 87.663212 96.840125) - (xy 87.663212 96.840124) - (xy 87.667516 96.833497) - (xy 87.668852 96.825061) - (xy 87.674426 96.806245) - (xy 87.6779 96.798442) - (xy 87.6779 96.773847) - (xy 87.678826 96.762084) - (xy 87.681435 96.745613) - (xy 87.681435 96.745611) - (xy 87.682671 96.737806) - (xy 87.680462 96.729561) - (xy 87.6779 96.710099) - (xy 87.6779 95.406805) - (xy 87.695493 95.358467) - (xy 87.740042 95.332747) - (xy 87.749166 95.331708) - (xy 87.754387 95.331435) - (xy 87.762194 95.332671) - (xy 87.769826 95.330626) - (xy 87.769827 95.330626) - (xy 87.770438 95.330462) - (xy 87.789901 95.3279) - (xy 88.563741 95.3279) - (xy 88.612079 95.345493) - (xy 88.637799 95.390042) - (xy 88.630745 95.43724) - (xy 88.615021 95.468101) - (xy 88.612043 95.473945) - (xy 88.602457 95.53447) - (xy 88.600364 95.547687) - (xy 88.592078 95.6) - (xy 88.593004 95.605847) - (xy 88.598165 95.638433) - (xy 88.612043 95.726055) - (xy 88.631299 95.763847) - (xy 88.664672 95.829345) - (xy 88.669984 95.839771) - (xy 88.760229 95.930016) - (xy 88.765505 95.932704) - (xy 88.765506 95.932705) - (xy 88.788031 95.944182) - (xy 88.873945 95.987957) - (xy 88.92772 95.996474) - (xy 88.988364 96.006079) - (xy 89 96.007922) - (xy 89.011637 96.006079) - (xy 89.07228 95.996474) - (xy 89.126055 95.987957) - (xy 89.211969 95.944182) - (xy 89.234494 95.932705) - (xy 89.234495 95.932704) - (xy 89.239771 95.930016) - (xy 89.330016 95.839771) - (xy 89.335329 95.829345) - (xy 89.368701 95.763847) - (xy 89.387957 95.726055) - (xy 89.401394 95.641215) - (xy 89.422494 95.599805) - (xy 89.549768 95.472531) - (xy 89.596388 95.450791) - (xy 89.646075 95.464105) - (xy 89.669945 95.491564) - (xy 89.68717 95.525369) - (xy 89.716272 95.582485) - (xy 89.719984 95.589771) - (xy 89.810229 95.680016) - (xy 89.815505 95.682704) - (xy 89.815506 95.682705) - (xy 89.856539 95.703612) - (xy 89.923945 95.737957) - (xy 89.974727 95.746) - (xy 90.013576 95.752153) - (xy 90.05 95.757922) - (xy 90.086425 95.752153) - (xy 90.125273 95.746) - (xy 90.176055 95.737957) - (xy 90.243461 95.703612) - (xy 90.284494 95.682705) - (xy 90.284495 95.682704) - (xy 90.289771 95.680016) - (xy 90.380016 95.589771) - (xy 90.383729 95.582485) - (xy 90.41283 95.525369) - (xy 90.437957 95.476055) - (xy 90.457922 95.35) - (xy 90.455323 95.333587) - (xy 90.443192 95.256996) - (xy 90.437957 95.223945) - (xy 90.43527 95.218672) - (xy 90.435269 95.218668) - (xy 90.41564 95.180143) - (xy 90.409372 95.129087) - (xy 90.42947 95.09283) - (xy 90.516645 95.005655) - (xy 90.563265 94.983915) - (xy 90.612952 94.997229) - (xy 90.636823 95.024689) - (xy 90.648856 95.048304) - (xy 90.669984 95.089771) - (xy 90.760229 95.180016) - (xy 90.765505 95.182704) - (xy 90.765506 95.182705) - (xy 90.797171 95.198839) - (xy 90.873945 95.237957) - (xy 90.936972 95.247939) - (xy 90.948745 95.249804) - (xy 91 95.257922) - (xy 91.051256 95.249804) - (xy 91.063028 95.247939) - (xy 91.126055 95.237957) - (xy 91.202829 95.198839) - (xy 91.234494 95.182705) - (xy 91.234495 95.182704) - (xy 91.239771 95.180016) - (xy 91.330016 95.089771) - (xy 91.387957 94.976055) - (xy 91.406158 94.861135) - (xy 91.406996 94.855847) - (xy 91.407922 94.85) - (xy 91.387957 94.723945) - (xy 91.38527 94.718672) - (xy 91.385269 94.718668) - (xy 91.378816 94.706003) - (xy 91.372548 94.654946) - (xy 91.389279 94.622284) - (xy 91.399973 94.610089) - (xy 91.4 94.609944) - (xy 91.4 92.871323) - (xy 91.396304 92.861167) - (xy 91.392173 92.858782) - (xy 91.359107 92.819377) - (xy 91.356627 92.794948) - (xy 91.354841 92.795015) - (xy 91.352953 92.744722) - (xy 91.3529 92.741901) - (xy 91.3529 92.721827) - (xy 91.352265 92.71842) - (xy 91.351948 92.714981) - (xy 91.351683 92.71089) - (xy 91.351461 92.704984) - (xy 91.350608 92.682255) - (xy 91.34659 92.672902) - (xy 91.344949 92.669082) - (xy 91.340113 92.653168) - (xy 91.338758 92.645891) - (xy 91.338758 92.64589) - (xy 91.337487 92.639068) - (xy 91.333845 92.633159) - (xy 91.333844 92.633157) - (xy 91.322452 92.614676) - (xy 91.317374 92.6049) - (xy 91.309007 92.585425) - (xy 91.3029 92.555741) - (xy 91.3029 92.319184) - (xy 91.320493 92.270846) - (xy 91.328171 92.263737) - (xy 91.328149 92.263715) - (xy 91.370957 92.220832) - (xy 91.414091 92.177623) - (xy 91.416966 92.171743) - (xy 91.464949 92.07358) - (xy 91.46495 92.073578) - (xy 91.467513 92.068334) - (xy 91.468727 92.060016) - (xy 91.471794 92.038987) - (xy 91.4779 91.997131) - (xy 91.477899 91.40287) - (xy 91.467327 91.331047) - (xy 91.426368 91.247623) - (xy 91.416453 91.227427) - (xy 91.416452 91.227425) - (xy 91.413715 91.221851) - (xy 91.409321 91.217464) - (xy 91.409319 91.217462) - (xy 91.374972 91.183176) - (xy 91.353192 91.136575) - (xy 91.3529 91.129955) - (xy 91.3529 90.981365) - (xy 91.353421 90.972526) - (xy 91.354875 90.960238) - (xy 91.35779 90.935614) - (xy 91.355572 90.923467) - (xy 91.351615 90.901805) - (xy 91.347041 90.876759) - (xy 91.346657 90.874453) - (xy 91.346256 90.871781) - (xy 91.337768 90.815325) - (xy 91.335428 90.810451) - (xy 91.335357 90.810161) - (xy 91.335232 90.809796) - (xy 91.334498 90.807538) - (xy 91.334399 90.807217) - (xy 91.334294 90.80696) - (xy 91.333322 90.80164) - (xy 91.305733 90.748528) - (xy 91.304702 90.746464) - (xy 91.300102 90.736884) - (xy 91.278816 90.692557) - (xy 91.275144 90.688584) - (xy 91.274627 90.687718) - (xy 91.272518 90.684589) - (xy 91.270542 90.680785) - (xy 91.26776 90.677527) - (xy 91.267757 90.677523) - (xy 91.267183 90.676851) - (xy 91.267174 90.676841) - (xy 91.266213 90.675716) - (xy 91.228064 90.637567) - (xy 91.226017 90.635439) - (xy 91.190184 90.596675) - (xy 91.186371 90.59255) - (xy 91.181514 90.589729) - (xy 91.178265 90.587126) - (xy 91.172109 90.581612) - (xy 91.084451 90.493954) - (xy 91.080656 90.489867) - (xy 91.054282 90.459258) - (xy 91.054281 90.459257) - (xy 91.050787 90.455202) - (xy 91.043055 90.45019) - (xy 91.009739 90.428596) - (xy 91.005995 90.426004) - (xy 90.964319 90.395222) - (xy 90.959015 90.393359) - (xy 90.957131 90.392362) - (xy 90.951403 90.389549) - (xy 90.951286 90.389803) - (xy 90.946418 90.387553) - (xy 90.941923 90.38464) - (xy 90.891481 90.369555) - (xy 90.888154 90.368474) - (xy 90.835824 90.350097) - (xy 90.830207 90.349877) - (xy 90.829119 90.349669) - (xy 90.823963 90.349184) - (xy 90.822766 90.349005) - (xy 90.817631 90.347469) - (xy 90.812274 90.347436) - (xy 90.812272 90.347436) - (xy 90.792403 90.347315) - (xy 90.761179 90.347124) - (xy 90.758739 90.347069) - (xy 90.69974 90.34475) - (xy 90.694308 90.34619) - (xy 90.693184 90.346314) - (xy 90.691276 90.346696) - (xy 90.687902 90.346676) - (xy 90.682754 90.348147) - (xy 90.682749 90.348148) - (xy 90.629887 90.363256) - (xy 90.628496 90.363639) - (xy 90.606166 90.36956) - (xy 90.5681 90.379653) - (xy 90.564362 90.381984) - (xy 90.563166 90.382326) - (xy 90.560883 90.383766) - (xy 90.560882 90.383767) - (xy 90.507888 90.417204) - (xy 90.507606 90.417381) - (xy 90.452543 90.451722) - (xy 90.442854 90.462888) - (xy 90.410254 90.500456) - (xy 90.409822 90.50095) - (xy 90.390285 90.523072) - (xy 90.36757 90.548791) - (xy 90.366696 90.550652) - (xy 90.363285 90.554583) - (xy 90.361013 90.559723) - (xy 90.361012 90.559724) - (xy 90.338374 90.61093) - (xy 90.337667 90.612482) - (xy 90.326889 90.635439) - (xy 90.312436 90.666223) - (xy 90.311827 90.670139) - (xy 90.310773 90.672968) - (xy 90.310491 90.673999) - (xy 90.308217 90.679142) - (xy 90.307556 90.684725) - (xy 90.307556 90.684726) - (xy 90.301383 90.736884) - (xy 90.301012 90.739595) - (xy 90.292477 90.79441) - (xy 90.293171 90.799721) - (xy 90.293131 90.803034) - (xy 90.292833 90.807608) - (xy 90.292871 90.808805) - (xy 90.29221 90.814386) - (xy 90.29322 90.819916) - (xy 90.29322 90.819918) - (xy 90.302006 90.86802) - (xy 90.302595 90.871781) - (xy 90.306521 90.901805) - (xy 90.309298 90.923046) - (xy 90.311456 90.927951) - (xy 90.312896 90.933108) - (xy 90.312747 90.933149) - (xy 90.315597 90.942442) - (xy 90.316678 90.948359) - (xy 90.319271 90.95335) - (xy 90.319271 90.953351) - (xy 90.340126 90.993498) - (xy 90.342224 90.997877) - (xy 90.351385 91.018695) - (xy 90.361547 91.041791) - (xy 90.364997 91.045896) - (xy 90.364998 91.045897) - (xy 90.3658 91.046852) - (xy 90.374967 91.06057) - (xy 90.379458 91.069215) - (xy 90.382243 91.072476) - (xy 90.382817 91.073149) - (xy 90.382826 91.073159) - (xy 90.383787 91.074284) - (xy 90.405703 91.0962) - (xy 90.427443 91.14282) - (xy 90.414129 91.192507) - (xy 90.405753 91.202499) - (xy 90.385909 91.222377) - (xy 90.38318 91.227959) - (xy 90.383179 91.227961) - (xy 90.335353 91.325803) - (xy 90.332487 91.331666) - (xy 90.3221 91.402869) - (xy 90.322101 91.99713) - (xy 90.329265 92.045802) - (xy 90.331358 92.060016) - (xy 90.332673 92.068953) - (xy 90.351245 92.10678) - (xy 90.383403 92.172278) - (xy 90.386285 92.178149) - (xy 90.390679 92.182536) - (xy 90.390681 92.182538) - (xy 90.472377 92.264091) - (xy 90.470832 92.265639) - (xy 90.494705 92.300376) - (xy 90.4971 92.319203) - (xy 90.4971 92.562375) - (xy 90.496174 92.574138) - (xy 90.492078 92.6) - (xy 90.493004 92.605847) - (xy 90.498169 92.638455) - (xy 90.512043 92.726055) - (xy 90.51473 92.731328) - (xy 90.51473 92.731329) - (xy 90.51808 92.737903) - (xy 90.524351 92.788959) - (xy 90.496337 92.832102) - (xy 90.458452 92.846884) - (xy 90.456251 92.847101) - (xy 90.452554 92.847101) - (xy 90.386078 92.860323) - (xy 90.379918 92.864439) - (xy 90.379916 92.86444) - (xy 90.341778 92.889922) - (xy 90.291812 92.902148) - (xy 90.258222 92.889922) - (xy 90.220081 92.864438) - (xy 90.22008 92.864438) - (xy 90.213922 92.860323) - (xy 90.20666 92.858879) - (xy 90.206659 92.858878) - (xy 90.151072 92.847821) - (xy 90.151071 92.847821) - (xy 90.147447 92.8471) - (xy 90.050027 92.8471) - (xy 89.952554 92.847101) - (xy 89.948931 92.847822) - (xy 89.948927 92.847822) - (xy 89.924912 92.852599) - (xy 89.886078 92.860323) - (xy 89.879918 92.864439) - (xy 89.879916 92.86444) - (xy 89.841778 92.889922) - (xy 89.791812 92.902148) - (xy 89.758222 92.889922) - (xy 89.720081 92.864438) - (xy 89.72008 92.864438) - (xy 89.713922 92.860323) - (xy 89.70666 92.858879) - (xy 89.706659 92.858878) - (xy 89.651072 92.847821) - (xy 89.651071 92.847821) - (xy 89.647447 92.8471) - (xy 89.550027 92.8471) - (xy 89.452554 92.847101) - (xy 89.448931 92.847822) - (xy 89.448927 92.847822) - (xy 89.424912 92.852599) - (xy 89.386078 92.860323) - (xy 89.379918 92.864439) - (xy 89.379916 92.86444) - (xy 89.341778 92.889922) - (xy 89.291812 92.902148) - (xy 89.258222 92.889922) - (xy 89.220081 92.864438) - (xy 89.22008 92.864438) - (xy 89.213922 92.860323) - (xy 89.20666 92.858879) - (xy 89.206659 92.858878) - (xy 89.151072 92.847821) - (xy 89.151071 92.847821) - (xy 89.147447 92.8471) - (xy 89.050027 92.8471) - (xy 88.952554 92.847101) - (xy 88.948931 92.847822) - (xy 88.948927 92.847822) - (xy 88.924912 92.852599) - (xy 88.886078 92.860323) - (xy 88.880203 92.864249) - (xy 88.829008 92.866483) - (xy 88.788198 92.835169) - (xy 88.780109 92.813821) - (xy 88.780123 92.813552) - (xy 88.77131 92.790592) - (xy 88.76796 92.779284) - (xy 88.767959 92.779281) - (xy 88.762848 92.755234) - (xy 88.757829 92.748325) - (xy 88.748462 92.731074) - (xy 88.748234 92.73048) - (xy 88.745403 92.723104) - (xy 88.728016 92.705717) - (xy 88.720355 92.696748) - (xy 88.710546 92.683247) - (xy 88.710545 92.683247) - (xy 88.705901 92.676854) - (xy 88.698507 92.672585) - (xy 88.682933 92.660634) - (xy 88.266759 92.244459) - (xy 88.264048 92.241603) - (xy 88.242237 92.217379) - (xy 88.236949 92.211506) - (xy 88.214478 92.201501) - (xy 88.204121 92.195877) - (xy 88.190125 92.186788) - (xy 88.190124 92.186788) - (xy 88.183497 92.182484) - (xy 88.175061 92.181148) - (xy 88.156245 92.175574) - (xy 88.148442 92.1721) - (xy 88.123847 92.1721) - (xy 88.112084 92.171174) - (xy 88.095613 92.168565) - (xy 88.095611 92.168565) - (xy 88.087806 92.167329) - (xy 88.080174 92.169374) - (xy 88.080173 92.169374) - (xy 88.079562 92.169538) - (xy 88.060099 92.1721) - (xy 87.681747 92.1721) - (xy 87.633409 92.154507) - (xy 87.607689 92.109958) - (xy 87.616622 92.0593) - (xy 87.628573 92.043726) - (xy 87.677956 91.994343) - (xy 88.8226 91.994343) - (xy 88.823 91.99981) - (xy 88.832306 92.063029) - (xy 88.835731 92.07405) - (xy 88.883959 92.172278) - (xy 88.891094 92.182244) - (xy 88.968271 92.259286) - (xy 88.978257 92.26641) - (xy 89.076572 92.314467) - (xy 89.087584 92.31787) - (xy 89.150205 92.327005) - (xy 89.155641 92.3274) - (xy 89.236741 92.3274) - (xy 89.246898 92.323703) - (xy 89.25 92.318331) - (xy 89.25 92.314141) - (xy 89.55 92.314141) - (xy 89.553697 92.324298) - (xy 89.559069 92.3274) - (xy 89.644343 92.3274) - (xy 89.64981 92.327) - (xy 89.713029 92.317694) - (xy 89.72405 92.314269) - (xy 89.822278 92.266041) - (xy 89.832244 92.258906) - (xy 89.909286 92.181729) - (xy 89.91641 92.171743) - (xy 89.964467 92.073428) - (xy 89.96787 92.062416) - (xy 89.977005 91.999795) - (xy 89.9774 91.994359) - (xy 89.9774 91.863259) - (xy 89.973703 91.853102) - (xy 89.968331 91.85) - (xy 89.563259 91.85) - (xy 89.553102 91.853697) - (xy 89.55 91.859069) - (xy 89.55 92.314141) - (xy 89.25 92.314141) - (xy 89.25 91.863259) - (xy 89.246303 91.853102) - (xy 89.240931 91.85) - (xy 88.835859 91.85) - (xy 88.825702 91.853697) - (xy 88.8226 91.859069) - (xy 88.8226 91.994343) - (xy 87.677956 91.994343) - (xy 88.135559 91.536741) - (xy 88.8226 91.536741) - (xy 88.826297 91.546898) - (xy 88.831669 91.55) - (xy 89.236741 91.55) - (xy 89.246898 91.546303) - (xy 89.25 91.540931) - (xy 89.25 91.536741) - (xy 89.55 91.536741) - (xy 89.553697 91.546898) - (xy 89.559069 91.55) - (xy 89.964141 91.55) - (xy 89.974298 91.546303) - (xy 89.9774 91.540931) - (xy 89.9774 91.405657) - (xy 89.977 91.40019) - (xy 89.967694 91.336971) - (xy 89.964269 91.32595) - (xy 89.916041 91.227722) - (xy 89.908906 91.217756) - (xy 89.831729 91.140714) - (xy 89.821743 91.13359) - (xy 89.723428 91.085533) - (xy 89.712416 91.08213) - (xy 89.649795 91.072995) - (xy 89.644359 91.0726) - (xy 89.563259 91.0726) - (xy 89.553102 91.076297) - (xy 89.55 91.081669) - (xy 89.55 91.536741) - (xy 89.25 91.536741) - (xy 89.25 91.085859) - (xy 89.246303 91.075702) - (xy 89.240931 91.0726) - (xy 89.155657 91.0726) - (xy 89.15019 91.073) - (xy 89.086971 91.082306) - (xy 89.07595 91.085731) - (xy 88.977722 91.133959) - (xy 88.967756 91.141094) - (xy 88.890714 91.218271) - (xy 88.88359 91.228257) - (xy 88.835533 91.326572) - (xy 88.83213 91.337584) - (xy 88.822995 91.400205) - (xy 88.8226 91.405641) - (xy 88.8226 91.536741) - (xy 88.135559 91.536741) - (xy 88.524155 91.148145) - (xy 89.522374 90.149926) - (xy 89.568994 90.128186) - (xy 89.575548 90.1279) - (xy 91.474453 90.1279) - (xy 91.522791 90.145493) - (xy 91.527627 90.149926) - (xy 92.000074 90.622374) - (xy 92.021814 90.668994) - (xy 92.0221 90.675548) - (xy 92.0221 92.474452) - (xy 92.004507 92.52279) - (xy 92.000074 92.527626) - (xy 91.894458 92.633242) - (xy 91.891603 92.635952) - (xy 91.861506 92.663051) - (xy 91.851501 92.685522) - (xy 91.845877 92.695879) - (xy 91.832484 92.716503) - (xy 91.831148 92.724939) - (xy 91.825574 92.743755) - (xy 91.8221 92.751558) - (xy 91.8221 92.776153) - (xy 91.821174 92.787916) - (xy 91.818417 92.805324) - (xy 91.793479 92.850315) - (xy 91.745456 92.86875) - (xy 91.715365 92.863037) - (xy 91.710523 92.861032) - (xy 91.702321 92.862294) - (xy 91.700027 92.86491) - (xy 91.7 92.865056) - (xy 91.7 94.603677) - (xy 91.703697 94.613834) - (xy 91.706684 94.615559) - (xy 91.719888 94.610089) - (xy 91.75777 94.584777) - (xy 91.807735 94.57255) - (xy 91.841327 94.584776) - (xy 91.886078 94.614677) - (xy 91.89334 94.616121) - (xy 91.893341 94.616122) - (xy 91.948923 94.627178) - (xy 91.952553 94.6279) - (xy 92.049973 94.6279) - (xy 92.147446 94.627899) - (xy 92.151069 94.627178) - (xy 92.151073 94.627178) - (xy 92.179147 94.621594) - (xy 92.213922 94.614677) - (xy 92.223918 94.607998) - (xy 92.258222 94.585078) - (xy 92.308188 94.572852) - (xy 92.341778 94.585078) - (xy 92.376082 94.607998) - (xy 92.386078 94.614677) - (xy 92.39334 94.616121) - (xy 92.393341 94.616122) - (xy 92.448923 94.627178) - (xy 92.452553 94.6279) - (xy 92.549973 94.6279) - (xy 92.647446 94.627899) - (xy 92.651069 94.627178) - (xy 92.651073 94.627178) - (xy 92.679147 94.621594) - (xy 92.713922 94.614677) - (xy 92.723918 94.607998) - (xy 92.758222 94.585078) - (xy 92.808188 94.572852) - (xy 92.841778 94.585078) - (xy 92.876082 94.607998) - (xy 92.886078 94.614677) - (xy 92.89334 94.616121) - (xy 92.893341 94.616122) - (xy 92.948923 94.627178) - (xy 92.952553 94.6279) - (xy 93.049973 94.6279) - (xy 93.147446 94.627899) - (xy 93.151069 94.627178) - (xy 93.151073 94.627178) - (xy 93.179147 94.621594) - (xy 93.213922 94.614677) - (xy 93.223918 94.607998) - (xy 93.258222 94.585078) - (xy 93.308188 94.572852) - (xy 93.341778 94.585078) - (xy 93.376082 94.607998) - (xy 93.386078 94.614677) - (xy 93.39334 94.616121) - (xy 93.393341 94.616122) - (xy 93.448923 94.627178) - (xy 93.452553 94.6279) - (xy 93.549973 94.6279) - (xy 93.647446 94.627899) - (xy 93.651069 94.627178) - (xy 93.651073 94.627178) - (xy 93.679147 94.621594) - (xy 93.713922 94.614677) - (xy 93.723918 94.607998) - (xy 93.758222 94.585078) - (xy 93.808188 94.572852) - (xy 93.841778 94.585078) - (xy 93.876082 94.607998) - (xy 93.886078 94.614677) - (xy 93.89334 94.616121) - (xy 93.893341 94.616122) - (xy 93.948923 94.627178) - (xy 93.952553 94.6279) - (xy 94.049973 94.6279) - (xy 94.147446 94.627899) - (xy 94.151069 94.627178) - (xy 94.151073 94.627178) - (xy 94.179147 94.621594) - (xy 94.213922 94.614677) - (xy 94.223918 94.607998) - (xy 94.258222 94.585078) - (xy 94.308188 94.572852) - (xy 94.341778 94.585078) - (xy 94.376082 94.607998) - (xy 94.386078 94.614677) - (xy 94.39334 94.616121) - (xy 94.393341 94.616122) - (xy 94.448923 94.627178) - (xy 94.452553 94.6279) - (xy 94.549973 94.6279) - (xy 94.647446 94.627899) - (xy 94.651069 94.627178) - (xy 94.651073 94.627178) - (xy 94.679147 94.621594) - (xy 94.713922 94.614677) - (xy 94.723918 94.607998) - (xy 94.758222 94.585078) - (xy 94.808188 94.572852) - (xy 94.841778 94.585078) - (xy 94.876082 94.607998) - (xy 94.886078 94.614677) - (xy 94.89334 94.616121) - (xy 94.893341 94.616122) - (xy 94.948923 94.627178) - (xy 94.952553 94.6279) - (xy 95.049973 94.6279) - (xy 95.147446 94.627899) - (xy 95.151069 94.627178) - (xy 95.151073 94.627178) - (xy 95.206659 94.616122) - (xy 95.206661 94.616121) - (xy 95.213922 94.614677) - (xy 95.218919 94.611338) - (xy 95.269514 94.60913) - (xy 95.310324 94.640445) - (xy 95.3221 94.680849) - (xy 95.3221 94.824452) - (xy 95.304507 94.87279) - (xy 95.300074 94.877626) - (xy 95.29446 94.88324) - (xy 95.291605 94.88595) - (xy 95.261506 94.913051) - (xy 95.251501 94.935522) - (xy 95.245877 94.945879) - (xy 95.232484 94.966503) - (xy 95.231148 94.974939) - (xy 95.225574 94.993755) - (xy 95.2221 95.001558) - (xy 95.2221 95.026153) - (xy 95.221174 95.037916) - (xy 95.217329 95.062194) - (xy 95.219374 95.069826) - (xy 95.219374 95.069827) - (xy 95.219538 95.070438) - (xy 95.2221 95.089901) - (xy 95.2221 95.442064) - (xy 95.221997 95.446) - (xy 95.219877 95.486448) - (xy 95.22869 95.509408) - (xy 95.23204 95.520715) - (xy 95.237152 95.544766) - (xy 95.241798 95.55116) - (xy 95.241798 95.551161) - (xy 95.242171 95.551674) - (xy 95.251535 95.56892) - (xy 95.254597 95.576896) - (xy 95.271981 95.59428) - (xy 95.279645 95.603252) - (xy 95.294099 95.623146) - (xy 95.300944 95.627098) - (xy 95.301493 95.627415) - (xy 95.317067 95.639366) - (xy 96.283233 96.605532) - (xy 96.285944 96.608388) - (xy 96.305418 96.630016) - (xy 96.313051 96.638494) - (xy 96.335523 96.648499) - (xy 96.345888 96.654127) - (xy 96.348156 96.6556) - (xy 96.359873 96.66321) - (xy 96.359874 96.663211) - (xy 96.366504 96.667516) - (xy 96.374938 96.668852) - (xy 96.39376 96.674428) - (xy 96.401558 96.6779) - (xy 96.426147 96.6779) - (xy 96.437911 96.678826) - (xy 96.454387 96.681436) - (xy 96.454389 96.681436) - (xy 96.462194 96.682672) - (xy 96.469826 96.680627) - (xy 96.469828 96.680627) - (xy 96.470442 96.680462) - (xy 96.489905 96.6779) - (xy 96.624453 96.6779) - (xy 96.672791 96.695493) - (xy 96.677627 96.699926) - (xy 96.776691 96.79899) - (xy 96.798431 96.84561) - (xy 96.797791 96.863927) - (xy 96.793075 96.893703) - (xy 96.792078 96.9) - (xy 96.793004 96.905847) - (xy 96.797934 96.936971) - (xy 96.812043 97.026055) - (xy 96.836561 97.074174) - (xy 96.863807 97.127647) - (xy 96.869984 97.139771) - (xy 96.960229 97.230016) - (xy 97.073945 97.287957) - (xy 97.079792 97.288883) - (xy 97.189431 97.306248) - (xy 97.2 97.307922) - (xy 97.21057 97.306248) - (xy 97.320208 97.288883) - (xy 97.326055 97.287957) - (xy 97.439771 97.230016) - (xy 97.530016 97.139771) - (xy 97.536194 97.127647) - (xy 97.563439 97.074174) - (xy 97.587957 97.026055) - (xy 97.602066 96.936971) - (xy 97.606996 96.905847) - (xy 97.607922 96.9) - (xy 97.606693 96.892237) - (xy 97.590047 96.787141) - (xy 97.587957 96.773945) - (xy 97.54094 96.681669) - (xy 97.532705 96.665506) - (xy 97.532704 96.665505) - (xy 97.530016 96.660229) - (xy 97.439771 96.569984) - (xy 97.417013 96.558388) - (xy 97.376602 96.537798) - (xy 97.326055 96.512043) - (xy 97.236071 96.497791) - (xy 97.205847 96.493004) - (xy 97.2 96.492078) - (xy 97.194153 96.493004) - (xy 97.163929 96.497791) - (xy 97.113434 96.487976) - (xy 97.098991 96.476691) - (xy 96.974218 96.351918) - (xy 96.952478 96.305298) - (xy 96.965792 96.255611) - (xy 96.974217 96.24557) - (xy 97.030016 96.189771) - (xy 97.033617 96.182705) - (xy 97.074455 96.102554) - (xy 97.087957 96.076055) - (xy 97.100737 95.995362) - (xy 97.106996 95.955847) - (xy 97.107922 95.95) - (xy 97.106996 95.944152) - (xy 97.099058 95.894032) - (xy 97.108873 95.843538) - (xy 97.11698 95.836973) - (xy 97.104426 95.834075) - (xy 97.078379 95.805147) - (xy 97.032705 95.715506) - (xy 97.032704 95.715505) - (xy 97.030016 95.710229) - (xy 96.939771 95.619984) - (xy 96.934078 95.617083) - (xy 96.861329 95.580016) - (xy 96.826055 95.562043) - (xy 96.741336 95.548625) - (xy 96.696346 95.523687) - (xy 96.6779 95.474351) - (xy 96.6779 95.436259) - (xy 96.695493 95.387921) - (xy 96.740042 95.362201) - (xy 96.78724 95.369255) - (xy 96.816762 95.384297) - (xy 96.823945 95.387957) - (xy 96.886973 95.39794) - (xy 96.936899 95.405847) - (xy 96.95 95.407922) - (xy 96.963102 95.405847) - (xy 97.013027 95.39794) - (xy 97.076055 95.387957) - (xy 97.083239 95.384297) - (xy 97.11276 95.369255) - (xy 97.163817 95.362986) - (xy 97.206958 95.391003) - (xy 97.2221 95.436259) - (xy 97.2221 95.742064) - (xy 97.221997 95.746) - (xy 97.22048 95.774943) - (xy 97.203526 95.814884) - (xy 97.236982 95.843968) - (xy 97.237152 95.844766) - (xy 97.241709 95.851038) - (xy 97.242171 95.851674) - (xy 97.251535 95.86892) - (xy 97.254597 95.876896) - (xy 97.271981 95.89428) - (xy 97.279645 95.903252) - (xy 97.286765 95.913051) - (xy 97.294099 95.923146) - (xy 97.300944 95.927098) - (xy 97.301493 95.927415) - (xy 97.317064 95.939363) - (xy 97.676693 96.298993) - (xy 97.698431 96.345611) - (xy 97.697791 96.363929) - (xy 97.692078 96.4) - (xy 97.693004 96.405847) - (xy 97.701295 96.458193) - (xy 97.712043 96.526055) - (xy 97.731337 96.563922) - (xy 97.766384 96.632705) - (xy 97.769984 96.639771) - (xy 97.860229 96.730016) - (xy 97.865505 96.732704) - (xy 97.865506 96.732705) - (xy 97.875798 96.737949) - (xy 97.973945 96.787957) - (xy 98.015029 96.794464) - (xy 98.089431 96.806248) - (xy 98.1 96.807922) - (xy 98.11057 96.806248) - (xy 98.184971 96.794464) - (xy 98.226055 96.787957) - (xy 98.324202 96.737949) - (xy 98.334494 96.732705) - (xy 98.334495 96.732704) - (xy 98.339771 96.730016) - (xy 98.430016 96.639771) - (xy 98.433617 96.632705) - (xy 98.468663 96.563922) - (xy 98.487957 96.526055) - (xy 98.498705 96.458193) - (xy 98.506996 96.405847) - (xy 98.507922 96.4) - (xy 98.501358 96.358553) - (xy 98.488961 96.280286) - (xy 98.487957 96.273945) - (xy 98.450785 96.20099) - (xy 98.432705 96.165506) - (xy 98.432704 96.165505) - (xy 98.430016 96.160229) - (xy 98.339771 96.069984) - (xy 98.330983 96.065506) - (xy 98.280131 96.039596) - (xy 98.226055 96.012043) - (xy 98.136071 95.997791) - (xy 98.105847 95.993004) - (xy 98.1 95.992078) - (xy 98.094153 95.993004) - (xy 98.072244 95.996474) - (xy 98.063929 95.997791) - (xy 98.013434 95.987976) - (xy 97.99899 95.976691) - (xy 97.851645 95.829345) - (xy 97.699925 95.677625) - (xy 97.678186 95.631005) - (xy 97.6779 95.624451) - (xy 97.6779 95.336259) - (xy 97.695493 95.287921) - (xy 97.740042 95.262201) - (xy 97.78724 95.269255) - (xy 97.810103 95.280904) - (xy 97.823945 95.287957) - (xy 97.861853 95.293961) - (xy 97.939431 95.306248) - (xy 97.95 95.307922) - (xy 97.96057 95.306248) - (xy 98.038147 95.293961) - (xy 98.076055 95.287957) - (xy 98.138433 95.256174) - (xy 98.184494 95.232705) - (xy 98.184495 95.232704) - (xy 98.189771 95.230016) - (xy 98.280016 95.139771) - (xy 98.290235 95.119716) - (xy 98.325794 95.049926) - (xy 98.337957 95.026055) - (xy 98.351835 94.93843) - (xy 98.356996 94.905847) - (xy 98.357922 94.9) - (xy 98.356996 94.894152) - (xy 98.353495 94.872048) - (xy 98.352659 94.856614) - (xy 98.352722 94.855326) - (xy 98.3529 94.85351) - (xy 98.3529 94.844413) - (xy 98.354932 94.82705) - (xy 98.355417 94.825007) - (xy 98.357779 94.815053) - (xy 98.353587 94.784252) - (xy 98.3529 94.774111) - (xy 98.3529 94.684108) - (xy 98.370493 94.63577) - (xy 98.384239 94.628032) - (xy 98.399973 94.61009) - (xy 98.4 94.609944) - (xy 98.4 94.603677) - (xy 98.7 94.603677) - (xy 98.703697 94.613834) - (xy 98.706684 94.615559) - (xy 98.719518 94.610243) - (xy 98.770909 94.607998) - (xy 98.811719 94.639312) - (xy 98.819905 94.660915) - (xy 98.819877 94.661448) - (xy 98.82869 94.684408) - (xy 98.83204 94.695715) - (xy 98.837152 94.719766) - (xy 98.841798 94.72616) - (xy 98.841798 94.726161) - (xy 98.842171 94.726674) - (xy 98.851535 94.74392) - (xy 98.854597 94.751896) - (xy 98.871981 94.76928) - (xy 98.879645 94.778252) - (xy 98.887784 94.789454) - (xy 98.894099 94.798146) - (xy 98.898141 94.80048) - (xy 98.921368 94.84416) - (xy 98.9221 94.854628) - (xy 98.9221 95.926965) - (xy 98.904507 95.975303) - (xy 98.900074 95.980139) - (xy 98.819984 96.060229) - (xy 98.817296 96.065505) - (xy 98.817295 96.065506) - (xy 98.805826 96.088016) - (xy 98.762043 96.173945) - (xy 98.760872 96.181339) - (xy 98.743308 96.292237) - (xy 98.742078 96.3) - (xy 98.743004 96.305847) - (xy 98.744511 96.315359) - (xy 98.762043 96.426055) - (xy 98.787843 96.476691) - (xy 98.815737 96.531435) - (xy 98.819984 96.539771) - (xy 98.910229 96.630016) - (xy 98.915505 96.632704) - (xy 98.915506 96.632705) - (xy 98.944017 96.647232) - (xy 99.023945 96.687957) - (xy 99.15 96.707922) - (xy 99.276055 96.687957) - (xy 99.355983 96.647232) - (xy 99.384494 96.632705) - (xy 99.384495 96.632704) - (xy 99.389771 96.630016) - (xy 99.480016 96.539771) - (xy 99.484264 96.531435) - (xy 99.512157 96.476691) - (xy 99.537957 96.426055) - (xy 99.555489 96.315359) - (xy 99.556996 96.305847) - (xy 99.557922 96.3) - (xy 99.556693 96.292237) - (xy 99.539128 96.181339) - (xy 99.537957 96.173945) - (xy 99.494174 96.088016) - (xy 99.482705 96.065506) - (xy 99.482704 96.065505) - (xy 99.480016 96.060229) - (xy 99.399926 95.980139) - (xy 99.378186 95.933519) - (xy 99.3779 95.926965) - (xy 99.3779 95.336259) - (xy 99.395493 95.287921) - (xy 99.440042 95.262201) - (xy 99.48724 95.269255) - (xy 99.510103 95.280904) - (xy 99.523945 95.287957) - (xy 99.561853 95.293961) - (xy 99.639431 95.306248) - (xy 99.65 95.307922) - (xy 99.66057 95.306248) - (xy 99.738147 95.293961) - (xy 99.776055 95.287957) - (xy 99.838433 95.256174) - (xy 99.884494 95.232705) - (xy 99.884495 95.232704) - (xy 99.889771 95.230016) - (xy 99.980016 95.139771) - (xy 99.990235 95.119716) - (xy 100.025794 95.049926) - (xy 100.037957 95.026055) - (xy 100.051835 94.93843) - (xy 100.056996 94.905847) - (xy 100.057922 94.9) - (xy 100.056323 94.889901) - (xy 100.04304 94.806039) - (xy 100.037957 94.773945) - (xy 100.03527 94.768672) - (xy 100.035269 94.768668) - (xy 100.019255 94.737238) - (xy 100.012987 94.686182) - (xy 100.041003 94.643041) - (xy 100.086258 94.627899) - (xy 100.147446 94.627899) - (xy 100.151069 94.627178) - (xy 100.151073 94.627178) - (xy 100.179147 94.621594) - (xy 100.213922 94.614677) - (xy 100.289307 94.564307) - (xy 100.339677 94.488922) - (xy 100.34187 94.4779) - (xy 100.352179 94.426072) - (xy 100.352179 94.426071) - (xy 100.3529 94.422447) - (xy 100.352899 93.052554) - (xy 100.351265 93.044336) - (xy 100.343184 93.00371) - (xy 100.339677 92.986078) - (xy 100.331519 92.973868) - (xy 100.319292 92.923904) - (xy 100.340871 92.878916) - (xy 100.380016 92.839771) - (xy 100.383998 92.831957) - (xy 100.42256 92.756274) - (xy 100.437957 92.726055) - (xy 100.451831 92.638455) - (xy 100.456996 92.605847) - (xy 100.457922 92.6) - (xy 100.45161 92.560144) - (xy 100.440024 92.486994) - (xy 111.99702 92.486994) - (xy 111.998664 92.497679) - (xy 112.00128 92.499972) - (xy 112.001428 92.5) - (xy 112.736741 92.5) - (xy 112.746898 92.496303) - (xy 112.75 92.490931) - (xy 112.75 92.486741) - (xy 113.05 92.486741) - (xy 113.053697 92.496898) - (xy 113.059069 92.5) - (xy 113.792307 92.5) - (xy 113.802464 92.496303) - (xy 113.804203 92.493291) - (xy 113.804201 92.493139) - (xy 113.793359 92.438628) - (xy 113.787799 92.425202) - (xy 113.737036 92.34923) - (xy 113.72677 92.338964) - (xy 113.650795 92.2882) - (xy 113.637376 92.282641) - (xy 113.570412 92.269321) - (xy 113.563091 92.2686) - (xy 113.063259 92.2686) - (xy 113.053102 92.272297) - (xy 113.05 92.277669) - (xy 113.05 92.486741) - (xy 112.75 92.486741) - (xy 112.75 92.28186) - (xy 112.746303 92.271703) - (xy 112.740931 92.268601) - (xy 112.236911 92.268601) - (xy 112.229587 92.269322) - (xy 112.162628 92.282641) - (xy 112.149202 92.288201) - (xy 112.07323 92.338964) - (xy 112.062964 92.34923) - (xy 112.0122 92.425205) - (xy 112.006641 92.438624) - (xy 111.99702 92.486994) - (xy 100.440024 92.486994) - (xy 100.438883 92.479792) - (xy 100.437957 92.473945) - (xy 100.380016 92.360229) - (xy 100.289771 92.269984) - (xy 100.283254 92.266663) - (xy 100.199033 92.223751) - (xy 100.176055 92.212043) - (xy 100.05 92.192078) - (xy 99.923945 92.212043) - (xy 99.900967 92.223751) - (xy 99.816747 92.266663) - (xy 99.810229 92.269984) - (xy 99.719984 92.360229) - (xy 99.662043 92.473945) - (xy 99.661117 92.479792) - (xy 99.648391 92.560144) - (xy 99.642078 92.6) - (xy 99.643004 92.605847) - (xy 99.648169 92.638455) - (xy 99.662043 92.726055) - (xy 99.667012 92.735808) - (xy 99.668007 92.73776) - (xy 99.674276 92.788816) - (xy 99.64626 92.831957) - (xy 99.601004 92.8471) - (xy 99.466924 92.847101) - (xy 99.452554 92.847101) - (xy 99.448931 92.847822) - (xy 99.448927 92.847822) - (xy 99.424912 92.852599) - (xy 99.386078 92.860323) - (xy 99.379918 92.864439) - (xy 99.379916 92.86444) - (xy 99.341778 92.889922) - (xy 99.291812 92.902148) - (xy 99.258222 92.889922) - (xy 99.220081 92.864438) - (xy 99.22008 92.864438) - (xy 99.213922 92.860323) - (xy 99.20666 92.858879) - (xy 99.206659 92.858878) - (xy 99.151072 92.847821) - (xy 99.151071 92.847821) - (xy 99.147447 92.8471) - (xy 99.050027 92.8471) - (xy 98.952554 92.847101) - (xy 98.948931 92.847822) - (xy 98.948927 92.847822) - (xy 98.924912 92.852599) - (xy 98.886078 92.860323) - (xy 98.87992 92.864438) - (xy 98.879919 92.864438) - (xy 98.873466 92.86875) - (xy 98.841327 92.890224) - (xy 98.791362 92.90245) - (xy 98.75777 92.890223) - (xy 98.719888 92.864911) - (xy 98.710523 92.861032) - (xy 98.702321 92.862294) - (xy 98.700027 92.86491) - (xy 98.7 92.865056) - (xy 98.7 94.603677) - (xy 98.4 94.603677) - (xy 98.4 92.871323) - (xy 98.396304 92.861167) - (xy 98.392173 92.858782) - (xy 98.359107 92.819377) - (xy 98.356627 92.794948) - (xy 98.354841 92.795015) - (xy 98.352953 92.744722) - (xy 98.3529 92.741901) - (xy 98.3529 92.721827) - (xy 98.352265 92.71842) - (xy 98.351948 92.714981) - (xy 98.351683 92.71089) - (xy 98.351461 92.704984) - (xy 98.350608 92.682255) - (xy 98.34659 92.672902) - (xy 98.344949 92.669082) - (xy 98.340113 92.653168) - (xy 98.338758 92.645891) - (xy 98.338758 92.64589) - (xy 98.337487 92.639068) - (xy 98.333845 92.633159) - (xy 98.333844 92.633157) - (xy 98.322452 92.614676) - (xy 98.317374 92.6049) - (xy 98.309007 92.585425) - (xy 98.3029 92.555741) - (xy 98.3029 92.319184) - (xy 98.320493 92.270846) - (xy 98.328171 92.263737) - (xy 98.328149 92.263715) - (xy 98.414091 92.177623) - (xy 98.415639 92.179168) - (xy 98.450376 92.155295) - (xy 98.469203 92.1529) - (xy 98.743241 92.1529) - (xy 98.744619 92.152913) - (xy 98.801193 92.15395) - (xy 98.801195 92.15395) - (xy 98.806555 92.154048) - (xy 98.811726 92.152638) - (xy 98.811728 92.152638) - (xy 98.839397 92.145094) - (xy 98.847995 92.143282) - (xy 98.884675 92.137768) - (xy 98.902683 92.129121) - (xy 98.915448 92.124361) - (xy 98.926554 92.121333) - (xy 98.931718 92.119925) - (xy 98.936277 92.117126) - (xy 98.936281 92.117124) - (xy 98.963882 92.100176) - (xy 98.970678 92.09647) - (xy 98.971559 92.096047) - (xy 99.007443 92.078816) - (xy 99.018113 92.068953) - (xy 99.019383 92.067779) - (xy 99.03108 92.058917) - (xy 99.037706 92.054848) - (xy 99.042273 92.052044) - (xy 99.045867 92.048073) - (xy 99.045871 92.04807) - (xy 99.070104 92.021298) - (xy 99.074803 92.016549) - (xy 99.10745 91.986371) - (xy 99.113748 91.975527) - (xy 99.123023 91.962833) - (xy 99.124894 91.960766) - (xy 99.129332 91.955863) - (xy 99.149024 91.915219) - (xy 99.151672 91.910238) - (xy 99.17303 91.873467) - (xy 99.175853 91.868607) - (xy 99.177844 91.860018) - (xy 99.183423 91.84422) - (xy 99.185897 91.839112) - (xy 99.194009 91.790898) - (xy 99.194908 91.786398) - (xy 99.199233 91.767736) - (xy 99.206604 91.735936) - (xy 99.206207 91.730327) - (xy 99.206372 91.728228) - (xy 99.206914 91.71696) - (xy 99.206678 91.716939) - (xy 99.206937 91.714048) - (xy 99.20742 91.711179) - (xy 99.207466 91.707473) - (xy 99.207521 91.702913) - (xy 99.207557 91.7) - (xy 99.201019 91.654345) - (xy 99.200448 91.648997) - (xy 99.200048 91.643348) - (xy 99.196985 91.600087) - (xy 99.194143 91.59274) - (xy 99.190602 91.579196) - (xy 99.189926 91.576884) - (xy 99.189166 91.571579) - (xy 99.186948 91.5667) - (xy 99.168541 91.526216) - (xy 99.166863 91.522224) - (xy 99.149877 91.478318) - (xy 99.149875 91.478315) - (xy 99.147847 91.473072) - (xy 99.144367 91.468657) - (xy 99.141583 91.463776) - (xy 99.141597 91.463768) - (xy 99.140917 91.462651) - (xy 99.140574 91.46287) - (xy 99.137685 91.458353) - (xy 99.13547 91.453482) - (xy 99.126051 91.44255) - (xy 99.100492 91.412888) - (xy 99.098419 91.410373) - (xy 99.063534 91.366121) - (xy 99.058907 91.362923) - (xy 99.058136 91.362199) - (xy 99.054134 91.359086) - (xy 99.050787 91.355202) - (xy 99.002643 91.323997) - (xy 99.000788 91.322755) - (xy 98.996848 91.320032) - (xy 98.951499 91.288689) - (xy 98.946144 91.286995) - (xy 98.945039 91.286454) - (xy 98.944251 91.286149) - (xy 98.941923 91.28464) - (xy 98.936795 91.283106) - (xy 98.936792 91.283105) - (xy 98.88316 91.267066) - (xy 98.88203 91.266719) - (xy 98.825739 91.248916) - (xy 98.825735 91.248915) - (xy 98.821649 91.247623) - (xy 98.817372 91.247286) - (xy 98.81737 91.247286) - (xy 98.816482 91.247216) - (xy 98.816474 91.247216) - (xy 98.815004 91.2471) - (xy 98.757561 91.2471) - (xy 98.757101 91.247099) - (xy 98.691201 91.246696) - (xy 98.691199 91.246696) - (xy 98.687902 91.246676) - (xy 98.686777 91.246998) - (xy 98.685273 91.2471) - (xy 98.469184 91.2471) - (xy 98.420846 91.229507) - (xy 98.413737 91.221829) - (xy 98.413715 91.221851) - (xy 98.385096 91.193282) - (xy 98.374972 91.183175) - (xy 98.353192 91.136575) - (xy 98.3529 91.129955) - (xy 98.3529 91.044343) - (xy 112.3226 91.044343) - (xy 112.323 91.04981) - (xy 112.332306 91.113029) - (xy 112.335731 91.12405) - (xy 112.383959 91.222278) - (xy 112.391094 91.232244) - (xy 112.468271 91.309286) - (xy 112.478257 91.31641) - (xy 112.576572 91.364467) - (xy 112.587584 91.36787) - (xy 112.650205 91.377005) - (xy 112.655641 91.3774) - (xy 112.736741 91.3774) - (xy 112.746898 91.373703) - (xy 112.75 91.368331) - (xy 112.75 91.364141) - (xy 113.05 91.364141) - (xy 113.053697 91.374298) - (xy 113.059069 91.3774) - (xy 113.144343 91.3774) - (xy 113.14981 91.377) - (xy 113.213029 91.367694) - (xy 113.22405 91.364269) - (xy 113.322278 91.316041) - (xy 113.332244 91.308906) - (xy 113.409286 91.231729) - (xy 113.41641 91.221743) - (xy 113.464467 91.123428) - (xy 113.46787 91.112416) - (xy 113.477005 91.049795) - (xy 113.4774 91.044359) - (xy 113.4774 90.913259) - (xy 113.473703 90.903102) - (xy 113.468331 90.9) - (xy 113.063259 90.9) - (xy 113.053102 90.903697) - (xy 113.05 90.909069) - (xy 113.05 91.364141) - (xy 112.75 91.364141) - (xy 112.75 90.913259) - (xy 112.746303 90.903102) - (xy 112.740931 90.9) - (xy 112.335859 90.9) - (xy 112.325702 90.903697) - (xy 112.3226 90.909069) - (xy 112.3226 91.044343) - (xy 98.3529 91.044343) - (xy 98.3529 90.981365) - (xy 98.353421 90.972526) - (xy 98.354875 90.960238) - (xy 98.35779 90.935614) - (xy 98.355572 90.923467) - (xy 98.351615 90.901805) - (xy 98.347041 90.876759) - (xy 98.346657 90.874453) - (xy 98.346256 90.871781) - (xy 98.337768 90.815325) - (xy 98.335428 90.810451) - (xy 98.335357 90.810161) - (xy 98.335232 90.809796) - (xy 98.334498 90.807538) - (xy 98.334399 90.807217) - (xy 98.334294 90.80696) - (xy 98.333322 90.80164) - (xy 98.305733 90.748528) - (xy 98.304702 90.746464) - (xy 98.300102 90.736884) - (xy 98.278816 90.692557) - (xy 98.275144 90.688584) - (xy 98.274627 90.687718) - (xy 98.272518 90.684589) - (xy 98.270542 90.680785) - (xy 98.26776 90.677527) - (xy 98.267757 90.677523) - (xy 98.267183 90.676851) - (xy 98.267174 90.676841) - (xy 98.266213 90.675716) - (xy 98.228064 90.637567) - (xy 98.226017 90.635439) - (xy 98.190184 90.596675) - (xy 98.186371 90.59255) - (xy 98.181514 90.589729) - (xy 98.178265 90.587126) - (xy 98.177835 90.586741) - (xy 112.3226 90.586741) - (xy 112.326297 90.596898) - (xy 112.331669 90.6) - (xy 112.736741 90.6) - (xy 112.746898 90.596303) - (xy 112.75 90.590931) - (xy 112.75 90.586741) - (xy 113.05 90.586741) - (xy 113.053697 90.596898) - (xy 113.059069 90.6) - (xy 113.464141 90.6) - (xy 113.474298 90.596303) - (xy 113.4774 90.590931) - (xy 113.4774 90.455657) - (xy 113.477 90.45019) - (xy 113.467694 90.386971) - (xy 113.464269 90.37595) - (xy 113.416041 90.277722) - (xy 113.408906 90.267756) - (xy 113.331729 90.190714) - (xy 113.321743 90.18359) - (xy 113.223428 90.135533) - (xy 113.212416 90.13213) - (xy 113.149795 90.122995) - (xy 113.144359 90.1226) - (xy 113.063259 90.1226) - (xy 113.053102 90.126297) - (xy 113.05 90.131669) - (xy 113.05 90.586741) - (xy 112.75 90.586741) - (xy 112.75 90.135859) - (xy 112.746303 90.125702) - (xy 112.740931 90.1226) - (xy 112.655657 90.1226) - (xy 112.65019 90.123) - (xy 112.586971 90.132306) - (xy 112.57595 90.135731) - (xy 112.477722 90.183959) - (xy 112.467756 90.191094) - (xy 112.390714 90.268271) - (xy 112.38359 90.278257) - (xy 112.335533 90.376572) - (xy 112.33213 90.387584) - (xy 112.322995 90.450205) - (xy 112.3226 90.455641) - (xy 112.3226 90.586741) - (xy 98.177835 90.586741) - (xy 98.172109 90.581612) - (xy 98.084451 90.493954) - (xy 98.080656 90.489867) - (xy 98.054282 90.459258) - (xy 98.054281 90.459257) - (xy 98.050787 90.455202) - (xy 98.043055 90.45019) - (xy 98.009739 90.428596) - (xy 98.005995 90.426004) - (xy 97.964319 90.395222) - (xy 97.959015 90.393359) - (xy 97.957131 90.392362) - (xy 97.951403 90.389549) - (xy 97.951286 90.389803) - (xy 97.946418 90.387553) - (xy 97.941923 90.38464) - (xy 97.891481 90.369555) - (xy 97.888154 90.368474) - (xy 97.835824 90.350097) - (xy 97.830207 90.349877) - (xy 97.829119 90.349669) - (xy 97.823963 90.349184) - (xy 97.822766 90.349005) - (xy 97.817631 90.347469) - (xy 97.812274 90.347436) - (xy 97.812272 90.347436) - (xy 97.792403 90.347315) - (xy 97.761179 90.347124) - (xy 97.758739 90.347069) - (xy 97.69974 90.34475) - (xy 97.694308 90.34619) - (xy 97.693184 90.346314) - (xy 97.691276 90.346696) - (xy 97.687902 90.346676) - (xy 97.682754 90.348147) - (xy 97.682749 90.348148) - (xy 97.629887 90.363256) - (xy 97.628496 90.363639) - (xy 97.606166 90.36956) - (xy 97.5681 90.379653) - (xy 97.564362 90.381984) - (xy 97.563166 90.382326) - (xy 97.560883 90.383766) - (xy 97.560882 90.383767) - (xy 97.507888 90.417204) - (xy 97.507606 90.417381) - (xy 97.452543 90.451722) - (xy 97.442854 90.462888) - (xy 97.410254 90.500456) - (xy 97.409822 90.50095) - (xy 97.390285 90.523072) - (xy 97.36757 90.548791) - (xy 97.366696 90.550652) - (xy 97.363285 90.554583) - (xy 97.361013 90.559723) - (xy 97.361012 90.559724) - (xy 97.338374 90.61093) - (xy 97.337667 90.612482) - (xy 97.326889 90.635439) - (xy 97.312436 90.666223) - (xy 97.311827 90.670139) - (xy 97.310773 90.672968) - (xy 97.310491 90.673999) - (xy 97.308217 90.679142) - (xy 97.307556 90.684725) - (xy 97.307556 90.684726) - (xy 97.301383 90.736884) - (xy 97.301012 90.739595) - (xy 97.292477 90.79441) - (xy 97.293171 90.799721) - (xy 97.293131 90.803034) - (xy 97.292833 90.807608) - (xy 97.292871 90.808805) - (xy 97.29221 90.814386) - (xy 97.29322 90.819916) - (xy 97.29322 90.819918) - (xy 97.302006 90.86802) - (xy 97.302595 90.871781) - (xy 97.306521 90.901805) - (xy 97.309298 90.923046) - (xy 97.311456 90.927951) - (xy 97.312896 90.933108) - (xy 97.312747 90.933149) - (xy 97.315597 90.942442) - (xy 97.316678 90.948359) - (xy 97.319271 90.95335) - (xy 97.319271 90.953351) - (xy 97.340126 90.993498) - (xy 97.342224 90.997877) - (xy 97.351385 91.018695) - (xy 97.361547 91.041791) - (xy 97.364997 91.045896) - (xy 97.364998 91.045897) - (xy 97.3658 91.046852) - (xy 97.374967 91.06057) - (xy 97.379458 91.069215) - (xy 97.382243 91.072476) - (xy 97.382817 91.073149) - (xy 97.382826 91.073159) - (xy 97.383787 91.074284) - (xy 97.405703 91.0962) - (xy 97.427443 91.14282) - (xy 97.414129 91.192507) - (xy 97.405753 91.202499) - (xy 97.385909 91.222377) - (xy 97.38318 91.227959) - (xy 97.383179 91.227961) - (xy 97.335353 91.325803) - (xy 97.332487 91.331666) - (xy 97.3221 91.402869) - (xy 97.322101 91.99713) - (xy 97.329265 92.045802) - (xy 97.331358 92.060016) - (xy 97.332673 92.068953) - (xy 97.351245 92.10678) - (xy 97.383403 92.172278) - (xy 97.386285 92.178149) - (xy 97.390679 92.182536) - (xy 97.390681 92.182538) - (xy 97.472377 92.264091) - (xy 97.470832 92.265639) - (xy 97.494705 92.300376) - (xy 97.4971 92.319203) - (xy 97.4971 92.562375) - (xy 97.496174 92.574138) - (xy 97.492078 92.6) - (xy 97.493004 92.605847) - (xy 97.494402 92.614676) - (xy 97.4971 92.631708) - (xy 97.512043 92.726055) - (xy 97.51473 92.731328) - (xy 97.51473 92.731329) - (xy 97.51808 92.737903) - (xy 97.524351 92.788959) - (xy 97.496337 92.832102) - (xy 97.458452 92.846884) - (xy 97.456251 92.847101) - (xy 97.452554 92.847101) - (xy 97.386078 92.860323) - (xy 97.379918 92.864439) - (xy 97.379916 92.86444) - (xy 97.341778 92.889922) - (xy 97.291812 92.902148) - (xy 97.258222 92.889922) - (xy 97.220081 92.864438) - (xy 97.22008 92.864438) - (xy 97.213922 92.860323) - (xy 97.20666 92.858879) - (xy 97.206659 92.858878) - (xy 97.151072 92.847821) - (xy 97.151071 92.847821) - (xy 97.147447 92.8471) - (xy 97.050027 92.8471) - (xy 96.952554 92.847101) - (xy 96.948931 92.847822) - (xy 96.948927 92.847822) - (xy 96.924912 92.852599) - (xy 96.886078 92.860323) - (xy 96.879918 92.864439) - (xy 96.879916 92.86444) - (xy 96.841778 92.889922) - (xy 96.791812 92.902148) - (xy 96.758222 92.889922) - (xy 96.720081 92.864438) - (xy 96.72008 92.864438) - (xy 96.713922 92.860323) - (xy 96.70666 92.858879) - (xy 96.706659 92.858878) - (xy 96.651072 92.847821) - (xy 96.651071 92.847821) - (xy 96.647447 92.8471) - (xy 96.550027 92.8471) - (xy 96.452554 92.847101) - (xy 96.448931 92.847822) - (xy 96.448927 92.847822) - (xy 96.424912 92.852599) - (xy 96.386078 92.860323) - (xy 96.379918 92.864439) - (xy 96.379916 92.86444) - (xy 96.341778 92.889922) - (xy 96.291812 92.902148) - (xy 96.258222 92.889922) - (xy 96.220081 92.864438) - (xy 96.22008 92.864438) - (xy 96.213922 92.860323) - (xy 96.20666 92.858879) - (xy 96.206659 92.858878) - (xy 96.151072 92.847821) - (xy 96.151071 92.847821) - (xy 96.147447 92.8471) - (xy 96.050027 92.8471) - (xy 95.952554 92.847101) - (xy 95.948931 92.847822) - (xy 95.948927 92.847822) - (xy 95.924912 92.852599) - (xy 95.886078 92.860323) - (xy 95.879918 92.864439) - (xy 95.879916 92.86444) - (xy 95.841778 92.889922) - (xy 95.791812 92.902148) - (xy 95.758222 92.889922) - (xy 95.720081 92.864438) - (xy 95.72008 92.864438) - (xy 95.713922 92.860323) - (xy 95.70666 92.858879) - (xy 95.706659 92.858878) - (xy 95.651072 92.847821) - (xy 95.651071 92.847821) - (xy 95.647447 92.8471) - (xy 95.550027 92.8471) - (xy 95.452554 92.847101) - (xy 95.448931 92.847822) - (xy 95.448927 92.847822) - (xy 95.424912 92.852599) - (xy 95.386078 92.860323) - (xy 95.380203 92.864249) - (xy 95.329008 92.866483) - (xy 95.288198 92.835169) - (xy 95.280109 92.813821) - (xy 95.280123 92.813552) - (xy 95.276401 92.803854) - (xy 95.271311 92.790596) - (xy 95.267959 92.779281) - (xy 95.264491 92.762965) - (xy 95.262848 92.755234) - (xy 95.257832 92.74833) - (xy 95.248466 92.73108) - (xy 95.245404 92.723104) - (xy 95.228017 92.705717) - (xy 95.220353 92.696745) - (xy 95.210546 92.683247) - (xy 95.205901 92.676854) - (xy 95.199059 92.672903) - (xy 95.199057 92.672902) - (xy 95.198508 92.672585) - (xy 95.182934 92.660634) - (xy 94.516643 91.994343) - (xy 95.8226 91.994343) - (xy 95.823 91.99981) - (xy 95.832306 92.063029) - (xy 95.835731 92.07405) - (xy 95.883959 92.172278) - (xy 95.891094 92.182244) - (xy 95.968271 92.259286) - (xy 95.978257 92.26641) - (xy 96.076572 92.314467) - (xy 96.087584 92.31787) - (xy 96.150205 92.327005) - (xy 96.155641 92.3274) - (xy 96.236741 92.3274) - (xy 96.246898 92.323703) - (xy 96.25 92.318331) - (xy 96.25 92.314141) - (xy 96.55 92.314141) - (xy 96.553697 92.324298) - (xy 96.559069 92.3274) - (xy 96.644343 92.3274) - (xy 96.64981 92.327) - (xy 96.713029 92.317694) - (xy 96.72405 92.314269) - (xy 96.822278 92.266041) - (xy 96.832244 92.258906) - (xy 96.909286 92.181729) - (xy 96.91641 92.171743) - (xy 96.964467 92.073428) - (xy 96.96787 92.062416) - (xy 96.977005 91.999795) - (xy 96.9774 91.994359) - (xy 96.9774 91.863259) - (xy 96.973703 91.853102) - (xy 96.968331 91.85) - (xy 96.563259 91.85) - (xy 96.553102 91.853697) - (xy 96.55 91.859069) - (xy 96.55 92.314141) - (xy 96.25 92.314141) - (xy 96.25 91.863259) - (xy 96.246303 91.853102) - (xy 96.240931 91.85) - (xy 95.835859 91.85) - (xy 95.825702 91.853697) - (xy 95.8226 91.859069) - (xy 95.8226 91.994343) - (xy 94.516643 91.994343) - (xy 94.299926 91.777626) - (xy 94.278186 91.731006) - (xy 94.2779 91.724452) - (xy 94.2779 91.536741) - (xy 95.8226 91.536741) - (xy 95.826297 91.546898) - (xy 95.831669 91.55) - (xy 96.236741 91.55) - (xy 96.246898 91.546303) - (xy 96.25 91.540931) - (xy 96.25 91.536741) - (xy 96.55 91.536741) - (xy 96.553697 91.546898) - (xy 96.559069 91.55) - (xy 96.964141 91.55) - (xy 96.974298 91.546303) - (xy 96.9774 91.540931) - (xy 96.9774 91.405657) - (xy 96.977 91.40019) - (xy 96.967694 91.336971) - (xy 96.964269 91.32595) - (xy 96.916041 91.227722) - (xy 96.908906 91.217756) - (xy 96.831729 91.140714) - (xy 96.821743 91.13359) - (xy 96.723428 91.085533) - (xy 96.712416 91.08213) - (xy 96.649795 91.072995) - (xy 96.644359 91.0726) - (xy 96.563259 91.0726) - (xy 96.553102 91.076297) - (xy 96.55 91.081669) - (xy 96.55 91.536741) - (xy 96.25 91.536741) - (xy 96.25 91.085859) - (xy 96.246303 91.075702) - (xy 96.240931 91.0726) - (xy 96.155657 91.0726) - (xy 96.15019 91.073) - (xy 96.086971 91.082306) - (xy 96.07595 91.085731) - (xy 95.977722 91.133959) - (xy 95.967756 91.141094) - (xy 95.890714 91.218271) - (xy 95.88359 91.228257) - (xy 95.835533 91.326572) - (xy 95.83213 91.337584) - (xy 95.822995 91.400205) - (xy 95.8226 91.405641) - (xy 95.8226 91.536741) - (xy 94.2779 91.536741) - (xy 94.2779 89.864386) - (xy 113.79221 89.864386) - (xy 113.79322 89.869916) - (xy 113.79322 89.869918) - (xy 113.802006 89.91802) - (xy 113.802593 89.921771) - (xy 113.809298 89.973046) - (xy 113.811456 89.977951) - (xy 113.812896 89.983108) - (xy 113.812747 89.983149) - (xy 113.815597 89.992442) - (xy 113.816678 89.998359) - (xy 113.819271 90.00335) - (xy 113.819271 90.003351) - (xy 113.840126 90.043498) - (xy 113.842224 90.047877) - (xy 113.858783 90.085509) - (xy 113.861547 90.091791) - (xy 113.864997 90.095896) - (xy 113.864998 90.095897) - (xy 113.8658 90.096852) - (xy 113.874967 90.11057) - (xy 113.879458 90.119215) - (xy 113.882243 90.122476) - (xy 113.882817 90.123149) - (xy 113.882826 90.123159) - (xy 113.883787 90.124284) - (xy 113.905703 90.1462) - (xy 113.927443 90.19282) - (xy 113.914129 90.242507) - (xy 113.905753 90.252499) - (xy 113.885909 90.272377) - (xy 113.88318 90.277959) - (xy 113.883179 90.277961) - (xy 113.835281 90.37595) - (xy 113.832487 90.381666) - (xy 113.8221 90.452869) - (xy 113.822101 91.04713) - (xy 113.832673 91.118953) - (xy 113.848003 91.150176) - (xy 113.88348 91.222435) - (xy 113.886285 91.228149) - (xy 113.905618 91.247448) - (xy 113.927398 91.294049) - (xy 113.914128 91.343748) - (xy 113.909478 91.349734) - (xy 113.907676 91.351827) - (xy 113.90569 91.353813) - (xy 113.899629 91.36202) - (xy 113.899452 91.362259) - (xy 113.895325 91.367364) - (xy 113.871118 91.394772) - (xy 113.871114 91.394778) - (xy 113.86757 91.398791) - (xy 113.865293 91.403641) - (xy 113.862186 91.410259) - (xy 113.854607 91.422975) - (xy 113.845222 91.435681) - (xy 113.843362 91.440977) - (xy 113.84336 91.440981) - (xy 113.831556 91.474593) - (xy 113.82868 91.481623) - (xy 113.812436 91.516223) - (xy 113.811612 91.521516) - (xy 113.811611 91.521519) - (xy 113.809914 91.532421) - (xy 113.806562 91.545767) - (xy 113.800097 91.564176) - (xy 113.799876 91.569793) - (xy 113.799876 91.569794) - (xy 113.798622 91.6017) - (xy 113.797785 91.610314) - (xy 113.792477 91.64441) - (xy 113.795085 91.664358) - (xy 113.795662 91.67705) - (xy 113.79475 91.70026) - (xy 113.801114 91.724261) - (xy 113.80343 91.732998) - (xy 113.805306 91.742519) - (xy 113.809298 91.773046) - (xy 113.811454 91.777947) - (xy 113.811455 91.777949) - (xy 113.818895 91.794858) - (xy 113.822752 91.805873) - (xy 113.829653 91.8319) - (xy 113.832625 91.836665) - (xy 113.832628 91.836672) - (xy 113.845618 91.857499) - (xy 113.850642 91.867006) - (xy 113.861547 91.891791) - (xy 113.864995 91.895893) - (xy 113.879269 91.912874) - (xy 113.885511 91.921466) - (xy 113.898745 91.942685) - (xy 113.898748 91.942689) - (xy 113.901722 91.947457) - (xy 113.918212 91.961766) - (xy 113.921707 91.964799) - (xy 113.929978 91.9732) - (xy 113.945023 91.991098) - (xy 113.949485 91.994068) - (xy 113.971047 92.008421) - (xy 113.978653 92.014214) - (xy 114.004583 92.036715) - (xy 114.019145 92.043153) - (xy 114.025397 92.045917) - (xy 114.036657 92.052094) - (xy 114.053017 92.062984) - (xy 114.05813 92.064581) - (xy 114.058131 92.064582) - (xy 114.08639 92.073411) - (xy 114.094364 92.076408) - (xy 114.129142 92.091783) - (xy 114.14358 92.093492) - (xy 114.148066 92.094023) - (xy 114.161645 92.096922) - (xy 114.176845 92.101671) - (xy 114.215508 92.102379) - (xy 114.222957 92.102887) - (xy 114.264386 92.10779) - (xy 114.279476 92.105034) - (xy 114.294354 92.103824) - (xy 114.299196 92.103913) - (xy 114.301194 92.10395) - (xy 114.301196 92.10395) - (xy 114.306555 92.104048) - (xy 114.311726 92.102638) - (xy 114.311728 92.102638) - (xy 114.347453 92.092898) - (xy 114.353722 92.091474) - (xy 114.398359 92.083322) - (xy 114.403349 92.08073) - (xy 114.403352 92.080729) - (xy 114.408657 92.077973) - (xy 114.423543 92.072154) - (xy 114.424521 92.071887) - (xy 114.431718 92.069925) - (xy 114.436277 92.067126) - (xy 114.436281 92.067124) - (xy 114.471006 92.045802) - (xy 114.475689 92.043153) - (xy 114.515404 92.022523) - (xy 114.515413 92.022517) - (xy 114.519215 92.020542) - (xy 114.522703 92.017563) - (xy 114.523149 92.017183) - (xy 114.523159 92.017174) - (xy 114.524284 92.016213) - (xy 114.526104 92.014393) - (xy 114.533694 92.008404) - (xy 114.533584 92.008271) - (xy 114.53771 92.004846) - (xy 114.542273 92.002044) - (xy 114.545866 91.998075) - (xy 114.545868 91.998073) - (xy 114.577481 91.963147) - (xy 114.580059 91.960438) - (xy 114.698064 91.842433) - (xy 114.704682 91.836551) - (xy 114.729467 91.817012) - (xy 114.733879 91.813534) - (xy 114.743087 91.800212) - (xy 114.767895 91.764317) - (xy 114.769269 91.762395) - (xy 114.787513 91.737694) - (xy 114.804778 91.714319) - (xy 114.806568 91.709221) - (xy 114.806715 91.708979) - (xy 114.80689 91.708623) - (xy 114.807968 91.706508) - (xy 114.808129 91.706205) - (xy 114.808237 91.705947) - (xy 114.811311 91.701499) - (xy 114.813479 91.694645) - (xy 114.829349 91.644465) - (xy 114.830097 91.642225) - (xy 114.84804 91.591131) - (xy 114.848041 91.591127) - (xy 114.849903 91.585824) - (xy 114.850115 91.580422) - (xy 114.850362 91.579446) - (xy 114.851085 91.575735) - (xy 114.852377 91.571649) - (xy 114.8529 91.565004) - (xy 114.8529 91.511025) - (xy 114.852958 91.508073) - (xy 114.854127 91.478318) - (xy 114.85525 91.44974) - (xy 114.853808 91.444303) - (xy 114.853354 91.440187) - (xy 114.8529 91.431934) - (xy 114.8529 91.320032) - (xy 114.870493 91.271694) - (xy 114.874879 91.266904) - (xy 114.914091 91.227623) - (xy 114.915639 91.229168) - (xy 114.950376 91.205295) - (xy 114.969203 91.2029) - (xy 115.243241 91.2029) - (xy 115.244619 91.202913) - (xy 115.301193 91.20395) - (xy 115.301195 91.20395) - (xy 115.306555 91.204048) - (xy 115.311726 91.202638) - (xy 115.311728 91.202638) - (xy 115.339397 91.195094) - (xy 115.347995 91.193282) - (xy 115.384675 91.187768) - (xy 115.402683 91.179121) - (xy 115.415448 91.174361) - (xy 115.426554 91.171333) - (xy 115.431718 91.169925) - (xy 115.436277 91.167126) - (xy 115.436281 91.167124) - (xy 115.463882 91.150176) - (xy 115.470678 91.14647) - (xy 115.478985 91.142481) - (xy 115.507443 91.128816) - (xy 115.519383 91.117779) - (xy 115.53108 91.108917) - (xy 115.537706 91.104848) - (xy 115.542273 91.102044) - (xy 115.545867 91.098073) - (xy 115.545871 91.09807) - (xy 115.570104 91.071298) - (xy 115.574803 91.066549) - (xy 115.598826 91.044343) - (xy 122.3226 91.044343) - (xy 122.323 91.04981) - (xy 122.332306 91.113029) - (xy 122.335731 91.12405) - (xy 122.383959 91.222278) - (xy 122.391094 91.232244) - (xy 122.468271 91.309286) - (xy 122.478257 91.31641) - (xy 122.576572 91.364467) - (xy 122.587584 91.36787) - (xy 122.650205 91.377005) - (xy 122.655641 91.3774) - (xy 122.736741 91.3774) - (xy 122.746898 91.373703) - (xy 122.75 91.368331) - (xy 122.75 91.364141) - (xy 123.05 91.364141) - (xy 123.053697 91.374298) - (xy 123.059069 91.3774) - (xy 123.144343 91.3774) - (xy 123.14981 91.377) - (xy 123.213029 91.367694) - (xy 123.22405 91.364269) - (xy 123.322278 91.316041) - (xy 123.332244 91.308906) - (xy 123.409286 91.231729) - (xy 123.41641 91.221743) - (xy 123.464467 91.123428) - (xy 123.46787 91.112416) - (xy 123.477005 91.049795) - (xy 123.4774 91.044359) - (xy 123.4774 90.913259) - (xy 123.473703 90.903102) - (xy 123.468331 90.9) - (xy 123.063259 90.9) - (xy 123.053102 90.903697) - (xy 123.05 90.909069) - (xy 123.05 91.364141) - (xy 122.75 91.364141) - (xy 122.75 90.913259) - (xy 122.746303 90.903102) - (xy 122.740931 90.9) - (xy 122.335859 90.9) - (xy 122.325702 90.903697) - (xy 122.3226 90.909069) - (xy 122.3226 91.044343) - (xy 115.598826 91.044343) - (xy 115.60745 91.036371) - (xy 115.613748 91.025527) - (xy 115.623023 91.012833) - (xy 115.629332 91.005863) - (xy 115.634277 90.995658) - (xy 115.649024 90.965219) - (xy 115.651672 90.960238) - (xy 115.67303 90.923467) - (xy 115.675853 90.918607) - (xy 115.677844 90.910018) - (xy 115.683423 90.89422) - (xy 115.685897 90.889112) - (xy 115.694009 90.840898) - (xy 115.694908 90.836398) - (xy 115.701074 90.809796) - (xy 115.706604 90.785936) - (xy 115.706207 90.780327) - (xy 115.706372 90.778228) - (xy 115.706914 90.76696) - (xy 115.706678 90.766939) - (xy 115.706937 90.764048) - (xy 115.70742 90.761179) - (xy 115.707557 90.75) - (xy 115.705875 90.738251) - (xy 115.701019 90.704347) - (xy 115.700448 90.698997) - (xy 115.700351 90.697628) - (xy 115.696985 90.650087) - (xy 115.694143 90.64274) - (xy 115.690602 90.629196) - (xy 115.689926 90.626884) - (xy 115.689166 90.621579) - (xy 115.68503 90.612482) - (xy 115.673326 90.586741) - (xy 122.3226 90.586741) - (xy 122.326297 90.596898) - (xy 122.331669 90.6) - (xy 122.736741 90.6) - (xy 122.746898 90.596303) - (xy 122.75 90.590931) - (xy 122.75 90.586741) - (xy 123.05 90.586741) - (xy 123.053697 90.596898) - (xy 123.059069 90.6) - (xy 123.464141 90.6) - (xy 123.474298 90.596303) - (xy 123.4774 90.590931) - (xy 123.4774 90.455657) - (xy 123.477 90.45019) - (xy 123.467694 90.386971) - (xy 123.464269 90.37595) - (xy 123.416041 90.277722) - (xy 123.408906 90.267756) - (xy 123.331729 90.190714) - (xy 123.321743 90.18359) - (xy 123.223428 90.135533) - (xy 123.212416 90.13213) - (xy 123.149795 90.122995) - (xy 123.144359 90.1226) - (xy 123.063259 90.1226) - (xy 123.053102 90.126297) - (xy 123.05 90.131669) - (xy 123.05 90.586741) - (xy 122.75 90.586741) - (xy 122.75 90.135859) - (xy 122.746303 90.125702) - (xy 122.740931 90.1226) - (xy 122.655657 90.1226) - (xy 122.65019 90.123) - (xy 122.586971 90.132306) - (xy 122.57595 90.135731) - (xy 122.477722 90.183959) - (xy 122.467756 90.191094) - (xy 122.390714 90.268271) - (xy 122.38359 90.278257) - (xy 122.335533 90.376572) - (xy 122.33213 90.387584) - (xy 122.322995 90.450205) - (xy 122.3226 90.455641) - (xy 122.3226 90.586741) - (xy 115.673326 90.586741) - (xy 115.668541 90.576216) - (xy 115.666863 90.572224) - (xy 115.649877 90.528318) - (xy 115.649875 90.528315) - (xy 115.647847 90.523072) - (xy 115.644367 90.518657) - (xy 115.641583 90.513776) - (xy 115.641597 90.513768) - (xy 115.640917 90.512651) - (xy 115.640574 90.51287) - (xy 115.637685 90.508353) - (xy 115.63547 90.503482) - (xy 115.625558 90.491978) - (xy 115.600492 90.462888) - (xy 115.598419 90.460373) - (xy 115.563534 90.416121) - (xy 115.558907 90.412923) - (xy 115.558136 90.412199) - (xy 115.554134 90.409086) - (xy 115.550787 90.405202) - (xy 115.502643 90.373997) - (xy 115.500788 90.372755) - (xy 115.487044 90.363256) - (xy 115.451499 90.338689) - (xy 115.446144 90.336995) - (xy 115.445039 90.336454) - (xy 115.444251 90.336149) - (xy 115.441923 90.33464) - (xy 115.436795 90.333106) - (xy 115.436792 90.333105) - (xy 115.38316 90.317066) - (xy 115.38203 90.316719) - (xy 115.325739 90.298916) - (xy 115.325735 90.298915) - (xy 115.321649 90.297623) - (xy 115.317372 90.297286) - (xy 115.31737 90.297286) - (xy 115.316482 90.297216) - (xy 115.316474 90.297216) - (xy 115.315004 90.2971) - (xy 115.257561 90.2971) - (xy 115.257101 90.297099) - (xy 115.191201 90.296696) - (xy 115.191199 90.296696) - (xy 115.187902 90.296676) - (xy 115.186777 90.296998) - (xy 115.185273 90.2971) - (xy 114.969184 90.2971) - (xy 114.920846 90.279507) - (xy 114.913737 90.271829) - (xy 114.913715 90.271851) - (xy 114.894333 90.252503) - (xy 114.874972 90.233175) - (xy 114.853192 90.186575) - (xy 114.8529 90.179955) - (xy 114.8529 90.031367) - (xy 114.853421 90.022528) - (xy 114.855691 90.003351) - (xy 114.85779 89.985614) - (xy 114.847041 89.926759) - (xy 114.846657 89.924453) - (xy 114.846256 89.921781) - (xy 114.837768 89.865325) - (xy 114.835428 89.860451) - (xy 114.835357 89.860161) - (xy 114.835232 89.859796) - (xy 114.834498 89.857538) - (xy 114.834399 89.857217) - (xy 114.834294 89.85696) - (xy 114.833322 89.85164) - (xy 114.805733 89.798528) - (xy 114.804702 89.796464) - (xy 114.781251 89.747628) - (xy 114.778816 89.742557) - (xy 114.775144 89.738584) - (xy 114.774627 89.737718) - (xy 114.772518 89.734589) - (xy 114.770542 89.730785) - (xy 114.76776 89.727527) - (xy 114.767757 89.727523) - (xy 114.767183 89.726851) - (xy 114.767174 89.726841) - (xy 114.766213 89.725716) - (xy 114.728064 89.687567) - (xy 114.726017 89.685439) - (xy 114.690184 89.646675) - (xy 114.686371 89.64255) - (xy 114.681514 89.639729) - (xy 114.678265 89.637126) - (xy 114.672109 89.631612) - (xy 114.584451 89.543954) - (xy 114.580656 89.539867) - (xy 114.554282 89.509258) - (xy 114.554281 89.509257) - (xy 114.550787 89.505202) - (xy 114.545124 89.501531) - (xy 114.509739 89.478596) - (xy 114.505995 89.476004) - (xy 114.464319 89.445222) - (xy 114.459015 89.443359) - (xy 114.457131 89.442362) - (xy 114.451403 89.439549) - (xy 114.451286 89.439803) - (xy 114.446418 89.437553) - (xy 114.441923 89.43464) - (xy 114.391481 89.419555) - (xy 114.388154 89.418474) - (xy 114.335824 89.400097) - (xy 114.330207 89.399877) - (xy 114.329119 89.399669) - (xy 114.323963 89.399184) - (xy 114.322766 89.399005) - (xy 114.317631 89.397469) - (xy 114.312274 89.397436) - (xy 114.312272 89.397436) - (xy 114.292403 89.397315) - (xy 114.261179 89.397124) - (xy 114.258739 89.397069) - (xy 114.19974 89.39475) - (xy 114.194308 89.39619) - (xy 114.193184 89.396314) - (xy 114.191276 89.396696) - (xy 114.187902 89.396676) - (xy 114.182754 89.398147) - (xy 114.182749 89.398148) - (xy 114.129887 89.413256) - (xy 114.128496 89.413639) - (xy 114.106166 89.41956) - (xy 114.0681 89.429653) - (xy 114.064362 89.431984) - (xy 114.063166 89.432326) - (xy 114.060883 89.433766) - (xy 114.060882 89.433767) - (xy 114.007888 89.467204) - (xy 114.007606 89.467381) - (xy 113.952543 89.501722) - (xy 113.946004 89.509258) - (xy 113.910254 89.550456) - (xy 113.909822 89.55095) - (xy 113.906039 89.555234) - (xy 113.86757 89.598791) - (xy 113.866696 89.600652) - (xy 113.863285 89.604583) - (xy 113.861013 89.609723) - (xy 113.861012 89.609724) - (xy 113.838374 89.66093) - (xy 113.837667 89.662482) - (xy 113.826889 89.685439) - (xy 113.812436 89.716223) - (xy 113.811827 89.720139) - (xy 113.810773 89.722968) - (xy 113.810491 89.723999) - (xy 113.808217 89.729142) - (xy 113.807556 89.734725) - (xy 113.807556 89.734726) - (xy 113.801383 89.786884) - (xy 113.801012 89.789595) - (xy 113.792477 89.84441) - (xy 113.793171 89.849721) - (xy 113.793131 89.853034) - (xy 113.792833 89.857608) - (xy 113.792871 89.858805) - (xy 113.79221 89.864386) - (xy 94.2779 89.864386) - (xy 94.2779 89.657936) - (xy 94.278003 89.654) - (xy 94.278603 89.64255) - (xy 94.280123 89.613552) - (xy 94.27131 89.590592) - (xy 94.26796 89.579284) - (xy 94.264491 89.562965) - (xy 94.262848 89.555234) - (xy 94.257829 89.548325) - (xy 94.248465 89.53108) - (xy 94.248236 89.530483) - (xy 94.248235 89.530482) - (xy 94.245403 89.523104) - (xy 94.228019 89.50572) - (xy 94.220355 89.496748) - (xy 94.210546 89.483247) - (xy 94.210545 89.483247) - (xy 94.205901 89.476854) - (xy 94.198507 89.472585) - (xy 94.182933 89.460634) - (xy 92.666758 87.944458) - (xy 92.664047 87.941602) - (xy 92.642237 87.917379) - (xy 92.636949 87.911506) - (xy 92.614478 87.901501) - (xy 92.604121 87.895877) - (xy 92.590125 87.886788) - (xy 92.590124 87.886788) - (xy 92.583497 87.882484) - (xy 92.575061 87.881148) - (xy 92.556245 87.875574) - (xy 92.548442 87.8721) - (xy 92.523847 87.8721) - (xy 92.512084 87.871174) - (xy 92.495613 87.868565) - (xy 92.495611 87.868565) - (xy 92.487806 87.867329) - (xy 92.480174 87.869374) - (xy 92.480173 87.869374) - (xy 92.479562 87.869538) - (xy 92.460099 87.8721) - (xy 88.582936 87.8721) - (xy 88.579 87.871997) - (xy 88.578151 87.871952) - (xy 88.538552 87.869877) - (xy 88.515592 87.87869) - (xy 88.504285 87.88204) - (xy 88.480234 87.887152) - (xy 88.47384 87.891798) - (xy 88.473839 87.891798) - (xy 88.473326 87.892171) - (xy 88.45608 87.901535) - (xy 88.455483 87.901764) - (xy 88.455482 87.901765) - (xy 88.448104 87.904597) - (xy 88.43072 87.921981) - (xy 88.421747 87.929645) - (xy 88.401854 87.944099) - (xy 88.397902 87.950944) - (xy 88.397585 87.951493) - (xy 88.385634 87.967067) - (xy 86.302626 90.050074) - (xy 86.256006 90.071814) - (xy 86.249452 90.0721) - (xy 75.257936 90.0721) - (xy 75.254 90.071997) - (xy 75.250508 90.071814) - (xy 75.213552 90.069877) - (xy 75.206172 90.07271) - (xy 75.190596 90.078689) - (xy 75.179281 90.082041) - (xy 75.155234 90.087152) - (xy 75.14884 90.091798) - (xy 75.148839 90.091798) - (xy 75.14833 90.092168) - (xy 75.13108 90.101534) - (xy 75.123104 90.104596) - (xy 75.105717 90.121983) - (xy 75.096745 90.129647) - (xy 75.093328 90.13213) - (xy 75.076854 90.144099) - (xy 75.072903 90.150941) - (xy 75.072902 90.150943) - (xy 75.072585 90.151492) - (xy 75.060634 90.167066) - (xy 70.544458 94.683242) - (xy 70.541603 94.685952) - (xy 70.511506 94.713051) - (xy 70.501501 94.735522) - (xy 70.495877 94.745879) - (xy 70.482484 94.766503) - (xy 70.481148 94.774939) - (xy 70.475574 94.793755) - (xy 70.4721 94.801558) - (xy 70.4721 94.826153) - (xy 70.471174 94.837916) - (xy 70.468994 94.851682) - (xy 70.467329 94.862194) - (xy 69.956493 94.862194) - (xy 69.951137 94.851682) - (xy 69.932705 94.815506) - (xy 69.932704 94.815505) - (xy 69.930016 94.810229) - (xy 69.839771 94.719984) - (xy 69.828944 94.714467) - (xy 69.780989 94.690033) - (xy 69.726055 94.662043) - (xy 69.638369 94.648155) - (xy 69.605847 94.643004) - (xy 69.6 94.642078) - (xy 69.594153 94.643004) - (xy 69.561631 94.648155) - (xy 69.473945 94.662043) - (xy 69.419011 94.690033) - (xy 69.371057 94.714467) - (xy 69.360229 94.719984) - (xy 69.269984 94.810229) - (xy 69.267296 94.815505) - (xy 69.267295 94.815506) - (xy 69.248863 94.851682) - (xy 69.212043 94.923945) - (xy 69.202545 94.983915) - (xy 69.199102 95.005655) - (xy 69.192078 95.05) - (xy 69.193004 95.055847) - (xy 69.195907 95.074174) - (xy 69.212043 95.176055) - (xy 69.246327 95.243341) - (xy 69.24962 95.249804) - (xy 69.255889 95.30086) - (xy 69.224395 95.34647) - (xy 69.210362 95.355847) - (xy 69.192669 95.367669) - (xy 69.188555 95.373826) - (xy 69.162526 95.412781) - (xy 69.121043 95.443198) - (xy 69.069713 95.439833) - (xy 69.037474 95.412781) - (xy 69.011445 95.373826) - (xy 69.007331 95.367669) - (xy 68.989638 95.355847) - (xy 68.929836 95.315888) - (xy 68.929835 95.315887) - (xy 68.923677 95.311773) - (xy 68.904388 95.307936) - (xy 68.853536 95.297821) - (xy 68.853535 95.297821) - (xy 68.849911 95.2971) - (xy 68.600034 95.2971) - (xy 68.35009 95.297101) - (xy 68.346467 95.297822) - (xy 68.346463 95.297822) - (xy 68.315404 95.304) - (xy 68.276323 95.311773) - (xy 68.192669 95.367669) - (xy 68.188555 95.373826) - (xy 68.14089 95.445162) - (xy 68.136773 95.451323) - (xy 68.135328 95.458587) - (xy 68.123115 95.519984) - (xy 68.1221 95.525089) - (xy 68.122101 95.77491) - (xy 68.122823 95.778539) - (xy 68.128804 95.808614) - (xy 68.120978 95.859455) - (xy 68.082302 95.893371) - (xy 68.057871 95.89843) - (xy 68.039192 95.899131) - (xy 68.039189 95.899132) - (xy 68.032255 95.899392) - (xy 68.025875 95.902133) - (xy 68.019082 95.905051) - (xy 68.003169 95.909887) - (xy 68.000854 95.910318) - (xy 67.995891 95.911242) - (xy 67.99589 95.911242) - (xy 67.989068 95.912513) - (xy 67.983159 95.916155) - (xy 67.983157 95.916156) - (xy 67.964676 95.927548) - (xy 67.954901 95.932626) - (xy 67.933455 95.94184) - (xy 67.933452 95.941842) - (xy 67.92858 95.943935) - (xy 67.923655 95.94798) - (xy 67.917226 95.954409) - (xy 67.90351 95.965251) - (xy 67.898924 95.968078) - (xy 67.893011 95.971723) - (xy 67.875812 95.994341) - (xy 67.87419 95.996474) - (xy 67.867505 96.00413) - (xy 67.833578 96.038057) - (xy 67.792168 96.059157) - (xy 67.77979 96.061117) - (xy 67.779788 96.061118) - (xy 67.773945 96.062043) - (xy 67.768674 96.064729) - (xy 67.768673 96.064729) - (xy 67.673432 96.113257) - (xy 67.660229 96.119984) - (xy 67.569984 96.210229) - (xy 67.567296 96.215505) - (xy 67.567295 96.215506) - (xy 67.552016 96.245493) - (xy 67.512043 96.323945) - (xy 67.492078 96.45) - (xy 48.89878 96.45) - (xy 48.90076 96.445049) - (xy 48.933748 96.362573) - (xy 48.963078 96.185409) - (xy 48.962796 96.180016) - (xy 48.956461 96.059157) - (xy 48.953679 96.006079) - (xy 48.951391 95.997771) - (xy 48.907074 95.836878) - (xy 48.907073 95.836875) - (xy 48.905992 95.832951) - (xy 48.896467 95.814884) - (xy 48.824142 95.677709) - (xy 48.824141 95.677707) - (xy 48.82224 95.674102) - (xy 48.819614 95.670995) - (xy 48.819611 95.67099) - (xy 48.726761 95.561117) - (xy 48.706332 95.536942) - (xy 48.692093 95.526055) - (xy 48.566906 95.430343) - (xy 48.563674 95.427872) - (xy 48.400923 95.35198) - (xy 48.225672 95.312807) - (xy 48.220181 95.3125) - (xy 48.088134 95.3125) - (xy 48.086111 95.31272) - (xy 48.086107 95.31272) - (xy 48.019895 95.319913) - (xy 47.954475 95.32702) - (xy 47.784278 95.384297) - (xy 47.780792 95.386392) - (xy 47.780789 95.386393) - (xy 47.650416 95.46473) - (xy 47.630352 95.476786) - (xy 47.627393 95.479584) - (xy 47.627392 95.479585) - (xy 47.620135 95.486448) - (xy 47.499877 95.60017) - (xy 47.497589 95.603537) - (xy 47.497588 95.603538) - (xy 47.464816 95.651761) - (xy 47.39894 95.748694) - (xy 47.332252 95.915427) - (xy 47.302922 96.092591) - (xy 46.101 96.092591) - (xy 46.101 93.606448) - (xy 46.10246 93.5917) - (xy 46.106655 93.570726) - (xy 47.053262 93.570726) - (xy 47.053487 93.57416) - (xy 47.053487 93.574162) - (xy 47.05643 93.619063) - (xy 47.06619 93.767966) - (xy 47.114845 93.959547) - (xy 47.176725 94.093774) - (xy 47.182147 94.105535) - (xy 47.197599 94.139054) - (xy 47.311679 94.300474) - (xy 47.345674 94.33359) - (xy 47.445822 94.43115) - (xy 47.453266 94.438402) - (xy 47.617617 94.548217) - (xy 47.707504 94.586836) - (xy 47.796062 94.624884) - (xy 47.796064 94.624885) - (xy 47.799228 94.626244) - (xy 47.992018 94.669868) - (xy 48.105924 94.674343) - (xy 48.186084 94.677493) - (xy 48.186087 94.677493) - (xy 48.189528 94.677628) - (xy 48.192933 94.677134) - (xy 48.192938 94.677134) - (xy 48.332977 94.656829) - (xy 48.385146 94.649265) - (xy 48.414467 94.639312) - (xy 48.569057 94.586836) - (xy 48.56906 94.586834) - (xy 48.57232 94.585728) - (xy 48.735934 94.494099) - (xy 48.741775 94.490828) - (xy 48.741776 94.490827) - (xy 48.74478 94.489145) - (xy 48.896752 94.362752) - (xy 48.92705 94.326323) - (xy 49.020941 94.21343) - (xy 49.023145 94.21078) - (xy 49.119728 94.03832) - (xy 49.122157 94.031166) - (xy 49.182155 93.854416) - (xy 49.183265 93.851146) - (xy 49.211628 93.655528) - (xy 49.213108 93.599) - (xy 49.212692 93.594465) - (xy 49.200121 93.457671) - (xy 49.195021 93.402166) - (xy 49.141368 93.211924) - (xy 49.137711 93.204507) - (xy 49.067454 93.062043) - (xy 49.053943 93.034645) - (xy 48.935677 92.876267) - (xy 48.790528 92.742093) - (xy 48.783888 92.737903) - (xy 48.723807 92.699995) - (xy 48.623359 92.636617) - (xy 48.477889 92.57858) - (xy 48.442976 92.564651) - (xy 48.442973 92.56465) - (xy 48.439767 92.563371) - (xy 48.436386 92.562698) - (xy 48.436381 92.562697) - (xy 48.249285 92.525482) - (xy 48.249286 92.525482) - (xy 48.245902 92.524809) - (xy 48.125114 92.523228) - (xy 48.051699 92.522267) - (xy 48.051698 92.522267) - (xy 48.048256 92.522222) - (xy 48.044865 92.522805) - (xy 48.044861 92.522805) - (xy 47.856839 92.555113) - (xy 47.856837 92.555113) - (xy 47.853447 92.555696) - (xy 47.668002 92.62411) - (xy 47.498128 92.725174) - (xy 47.495535 92.727448) - (xy 47.35423 92.85137) - (xy 47.349517 92.855503) - (xy 47.347383 92.85821) - (xy 47.347381 92.858212) - (xy 47.23268 93.00371) - (xy 47.227145 93.010731) - (xy 47.225542 93.013778) - (xy 47.22554 93.013781) - (xy 47.145022 93.166821) - (xy 47.13511 93.185661) - (xy 47.13409 93.188946) - (xy 47.086095 93.343517) - (xy 47.076495 93.374433) - (xy 47.07609 93.377853) - (xy 47.07609 93.377854) - (xy 47.074876 93.388109) - (xy 47.053262 93.570726) - (xy 46.106655 93.570726) - (xy 46.139824 93.404881) - (xy 46.226026 92.97387) - (xy 46.232502 92.954996) - (xy 46.476545 92.466911) - (xy 46.49063 92.44737) - (xy 54.275409 84.662591) - (xy 56.192922 84.662591) - (xy 56.202321 84.841921) - (xy 56.203403 84.845848) - (xy 56.203403 84.84585) - (xy 56.227291 84.932573) - (xy 56.250008 85.015049) - (xy 56.251908 85.018653) - (xy 56.251909 85.018655) - (xy 56.331858 85.170291) - (xy 56.33376 85.173898) - (xy 56.336386 85.177005) - (xy 56.336389 85.17701) - (xy 56.396237 85.24783) - (xy 56.449668 85.311058) - (xy 56.592326 85.420128) - (xy 56.755077 85.49602) - (xy 56.930328 85.535193) - (xy 56.935819 85.5355) - (xy 57.067866 85.5355) - (xy 57.069889 85.53528) - (xy 57.069893 85.53528) - (xy 57.136105 85.528087) - (xy 57.201525 85.52098) - (xy 57.371722 85.463703) - (xy 57.375208 85.461608) - (xy 57.375211 85.461607) - (xy 57.522158 85.373311) - (xy 57.525648 85.371214) - (xy 57.656123 85.24783) - (xy 57.75706 85.099306) - (xy 57.823748 84.932573) - (xy 57.853078 84.755409) - (xy 57.843679 84.576079) - (xy 57.819817 84.489447) - (xy 57.797074 84.406878) - (xy 57.797073 84.406875) - (xy 57.795992 84.402951) - (xy 57.749793 84.315326) - (xy 57.714142 84.247709) - (xy 57.714141 84.247707) - (xy 57.71224 84.244102) - (xy 57.709614 84.240995) - (xy 57.709611 84.24099) - (xy 57.598959 84.110051) - (xy 57.596332 84.106942) - (xy 57.453674 83.997872) - (xy 57.290923 83.92198) - (xy 57.276858 83.918836) - (xy 57.264376 83.916046) - (xy 57.115672 83.882807) - (xy 57.110181 83.8825) - (xy 56.978134 83.8825) - (xy 56.976111 83.88272) - (xy 56.976107 83.88272) - (xy 56.909895 83.889913) - (xy 56.844475 83.89702) - (xy 56.674278 83.954297) - (xy 56.670792 83.956392) - (xy 56.670789 83.956393) - (xy 56.531908 84.039843) - (xy 56.520352 84.046786) - (xy 56.389877 84.17017) - (xy 56.28894 84.318694) - (xy 56.222252 84.485427) - (xy 56.192922 84.662591) - (xy 54.275409 84.662591) - (xy 56.035274 82.902726) - (xy 57.721262 82.902726) - (xy 57.721487 82.90616) - (xy 57.721487 82.906162) - (xy 57.724915 82.958462) - (xy 57.73419 83.099966) - (xy 57.782845 83.291547) - (xy 57.865599 83.471054) - (xy 57.979679 83.632474) - (xy 58.121266 83.770402) - (xy 58.285617 83.880217) - (xy 58.396673 83.927931) - (xy 58.464062 83.956884) - (xy 58.464064 83.956885) - (xy 58.467228 83.958244) - (xy 58.660018 84.001868) - (xy 58.773924 84.006343) - (xy 58.854084 84.009493) - (xy 58.854087 84.009493) - (xy 58.857528 84.009628) - (xy 58.860933 84.009134) - (xy 58.860938 84.009134) - (xy 59.000977 83.988829) - (xy 59.053146 83.981265) - (xy 59.120964 83.958244) - (xy 59.237057 83.918836) - (xy 59.23706 83.918834) - (xy 59.24032 83.917728) - (xy 59.41278 83.821145) - (xy 59.564752 83.694752) - (xy 59.691145 83.54278) - (xy 59.787728 83.37032) - (xy 59.807137 83.313145) - (xy 59.850155 83.186416) - (xy 59.851265 83.183146) - (xy 59.879628 82.987528) - (xy 59.881108 82.931) - (xy 59.877434 82.89101) - (xy 59.869946 82.809526) - (xy 59.863021 82.734166) - (xy 59.809368 82.543924) - (xy 59.798037 82.520946) - (xy 59.749734 82.423) - (xy 59.726848 82.376591) - (xy 139.631922 82.376591) - (xy 139.632135 82.380658) - (xy 139.632135 82.380661) - (xy 139.634745 82.430448) - (xy 139.641321 82.555921) - (xy 139.642403 82.559848) - (xy 139.642403 82.55985) - (xy 139.682779 82.706433) - (xy 139.689008 82.729049) - (xy 139.690908 82.732653) - (xy 139.690909 82.732655) - (xy 139.757554 82.859057) - (xy 139.77276 82.887898) - (xy 139.775386 82.891005) - (xy 139.775389 82.89101) - (xy 139.855085 82.985317) - (xy 139.888668 83.025058) - (xy 140.031326 83.134128) - (xy 140.194077 83.21002) - (xy 140.369328 83.249193) - (xy 140.374819 83.2495) - (xy 140.506866 83.2495) - (xy 140.508889 83.24928) - (xy 140.508893 83.24928) - (xy 140.575105 83.242087) - (xy 140.640525 83.23498) - (xy 140.810722 83.177703) - (xy 140.814208 83.175608) - (xy 140.814211 83.175607) - (xy 140.961158 83.087311) - (xy 140.964648 83.085214) - (xy 141.095123 82.96183) - (xy 141.19606 82.813306) - (xy 141.262748 82.646573) - (xy 141.292078 82.469409) - (xy 141.288164 82.394726) - (xy 141.922262 82.394726) - (xy 141.922487 82.39816) - (xy 141.922487 82.398162) - (xy 141.924603 82.430448) - (xy 141.93519 82.591966) - (xy 141.983845 82.783547) - (xy 142.066599 82.963054) - (xy 142.180679 83.124474) - (xy 142.23532 83.177703) - (xy 142.309022 83.2495) - (xy 142.322266 83.262402) - (xy 142.486617 83.372217) - (xy 142.576504 83.410836) - (xy 142.665062 83.448884) - (xy 142.665064 83.448885) - (xy 142.668228 83.450244) - (xy 142.861018 83.493868) - (xy 142.974924 83.498343) - (xy 143.055084 83.501493) - (xy 143.055087 83.501493) - (xy 143.058528 83.501628) - (xy 143.061933 83.501134) - (xy 143.061938 83.501134) - (xy 143.201977 83.480829) - (xy 143.254146 83.473265) - (xy 143.321964 83.450244) - (xy 143.438057 83.410836) - (xy 143.43806 83.410834) - (xy 143.44132 83.409728) - (xy 143.61378 83.313145) - (xy 143.765752 83.186752) - (xy 143.892145 83.03478) - (xy 143.988728 82.86232) - (xy 144.032231 82.734166) - (xy 144.051155 82.678416) - (xy 144.052265 82.675146) - (xy 144.080628 82.479528) - (xy 144.081 82.465339) - (xy 144.08205 82.425217) - (xy 144.08205 82.425216) - (xy 144.082108 82.423) - (xy 144.081438 82.4157) - (xy 144.064336 82.229599) - (xy 144.064021 82.226166) - (xy 144.010368 82.035924) - (xy 144.007115 82.029326) - (xy 143.965745 81.945439) - (xy 143.922943 81.858645) - (xy 143.804677 81.700267) - (xy 143.659528 81.566093) - (xy 143.629918 81.54741) - (xy 143.606058 81.532356) - (xy 143.492359 81.460617) - (xy 143.375661 81.414059) - (xy 143.311976 81.388651) - (xy 143.311973 81.38865) - (xy 143.308767 81.387371) - (xy 143.305386 81.386698) - (xy 143.305381 81.386697) - (xy 143.118285 81.349482) - (xy 143.118286 81.349482) - (xy 143.114902 81.348809) - (xy 142.994114 81.347228) - (xy 142.920699 81.346267) - (xy 142.920698 81.346267) - (xy 142.917256 81.346222) - (xy 142.913865 81.346805) - (xy 142.913861 81.346805) - (xy 142.725839 81.379113) - (xy 142.725837 81.379113) - (xy 142.722447 81.379696) - (xy 142.537002 81.44811) - (xy 142.367128 81.549174) - (xy 142.364535 81.551448) - (xy 142.266181 81.637703) - (xy 142.218517 81.679503) - (xy 142.216383 81.68221) - (xy 142.216381 81.682212) - (xy 142.125204 81.79787) - (xy 142.096145 81.834731) - (xy 142.094542 81.837778) - (xy 142.09454 81.837781) - (xy 142.031356 81.957874) - (xy 142.00411 82.009661) - (xy 142.00309 82.012946) - (xy 141.948048 82.190212) - (xy 141.945495 82.198433) - (xy 141.94509 82.201853) - (xy 141.94509 82.201854) - (xy 141.944608 82.205927) - (xy 141.922262 82.394726) - (xy 141.288164 82.394726) - (xy 141.282679 82.290079) - (xy 141.265075 82.226166) - (xy 141.236074 82.120878) - (xy 141.236073 82.120875) - (xy 141.234992 82.116951) - (xy 141.212396 82.074093) - (xy 141.153142 81.961709) - (xy 141.153141 81.961707) - (xy 141.15124 81.958102) - (xy 141.148614 81.954995) - (xy 141.148611 81.95499) - (xy 141.063948 81.854805) - (xy 141.035332 81.820942) - (xy 140.892674 81.711872) - (xy 140.729923 81.63598) - (xy 140.554672 81.596807) - (xy 140.549181 81.5965) - (xy 140.417134 81.5965) - (xy 140.415111 81.59672) - (xy 140.415107 81.59672) - (xy 140.348895 81.603913) - (xy 140.283475 81.61102) - (xy 140.113278 81.668297) - (xy 140.109792 81.670392) - (xy 140.109789 81.670393) - (xy 140.036645 81.714343) - (xy 139.959352 81.760786) - (xy 139.828877 81.88417) - (xy 139.72794 82.032694) - (xy 139.661252 82.199427) - (xy 139.631922 82.376591) - (xy 59.726848 82.376591) - (xy 59.721943 82.366645) - (xy 59.603677 82.208267) - (xy 59.458528 82.074093) - (xy 59.428918 82.05541) - (xy 59.361616 82.012946) - (xy 59.291359 81.968617) - (xy 59.174661 81.922059) - (xy 59.110976 81.896651) - (xy 59.110973 81.89665) - (xy 59.107767 81.895371) - (xy 59.104386 81.894698) - (xy 59.104381 81.894697) - (xy 58.917285 81.857482) - (xy 58.917286 81.857482) - (xy 58.913902 81.856809) - (xy 58.793114 81.855228) - (xy 58.719699 81.854267) - (xy 58.719698 81.854267) - (xy 58.716256 81.854222) - (xy 58.712865 81.854805) - (xy 58.712861 81.854805) - (xy 58.524839 81.887113) - (xy 58.524837 81.887113) - (xy 58.521447 81.887696) - (xy 58.336002 81.95611) - (xy 58.166128 82.057174) - (xy 58.163535 82.059448) - (xy 58.102078 82.113345) - (xy 58.017517 82.187503) - (xy 58.015383 82.19021) - (xy 58.015381 82.190212) - (xy 57.933445 82.294148) - (xy 57.895145 82.342731) - (xy 57.893542 82.345778) - (xy 57.89354 82.345781) - (xy 57.82638 82.473431) - (xy 57.80311 82.517661) - (xy 57.80209 82.520946) - (xy 57.761908 82.650355) - (xy 57.744495 82.706433) - (xy 57.721262 82.902726) - (xy 56.035274 82.902726) - (xy 58.15737 80.78063) - (xy 58.176911 80.766545) - (xy 58.664996 80.522502) - (xy 58.68387 80.516026) - (xy 59.3017 80.39246) - (xy 59.316448 80.391) - (xy 142.994552 80.391) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 60.570594 105.447586) - (xy 60.600099 105.489723) - (xy 60.602192 105.517568) - (xy 60.587345 105.64993) - (xy 60.587653 105.653598) - (xy 60.587653 105.653601) - (xy 60.597669 105.772877) - (xy 60.603803 105.845919) - (xy 60.638762 105.967837) - (xy 60.654701 106.02342) - (xy 60.658015 106.034979) - (xy 60.747916 106.209908) - (xy 60.750201 106.212791) - (xy 60.768658 106.236078) - (xy 60.870083 106.364044) - (xy 60.872877 106.366422) - (xy 60.872878 106.366423) - (xy 60.940735 106.424174) - (xy 61.019862 106.491516) - (xy 61.023063 106.493305) - (xy 61.023066 106.493307) - (xy 61.077785 106.523888) - (xy 61.169195 106.574975) - (xy 61.202806 106.613914) - (xy 61.203524 106.665348) - (xy 61.171013 106.705212) - (xy 61.159462 106.710821) - (xy 61.154598 106.712688) - (xy 61.143281 106.716041) - (xy 61.119234 106.721152) - (xy 61.11284 106.725798) - (xy 61.112839 106.725798) - (xy 61.11233 106.726168) - (xy 61.09508 106.735534) - (xy 61.087104 106.738596) - (xy 61.069717 106.755983) - (xy 61.060745 106.763647) - (xy 61.040854 106.778099) - (xy 61.036903 106.784941) - (xy 61.036902 106.784943) - (xy 61.036585 106.785492) - (xy 61.024634 106.801066) - (xy 57.375458 110.450242) - (xy 57.372603 110.452952) - (xy 57.342506 110.480051) - (xy 57.332501 110.502522) - (xy 57.326877 110.512879) - (xy 57.313484 110.533503) - (xy 57.312148 110.541939) - (xy 57.306574 110.560755) - (xy 57.3031 110.568558) - (xy 57.3031 110.593153) - (xy 57.302174 110.604916) - (xy 57.300231 110.617187) - (xy 57.298329 110.629194) - (xy 57.300374 110.636826) - (xy 57.300374 110.636827) - (xy 57.300538 110.637438) - (xy 57.3031 110.656901) - (xy 57.3031 123.329564) - (xy 57.302997 123.3335) - (xy 57.300877 123.373948) - (xy 57.30969 123.396908) - (xy 57.31304 123.408215) - (xy 57.318152 123.432266) - (xy 57.322798 123.43866) - (xy 57.322798 123.438661) - (xy 57.323171 123.439174) - (xy 57.332535 123.45642) - (xy 57.335597 123.464396) - (xy 57.352981 123.48178) - (xy 57.360645 123.490752) - (xy 57.375099 123.510646) - (xy 57.381944 123.514598) - (xy 57.382493 123.514915) - (xy 57.398067 123.526866) - (xy 58.486742 124.615542) - (xy 58.489452 124.618397) - (xy 58.516551 124.648494) - (xy 58.523768 124.651707) - (xy 58.523769 124.651708) - (xy 58.539017 124.658497) - (xy 58.549384 124.664126) - (xy 58.563374 124.673211) - (xy 58.563375 124.673212) - (xy 58.570004 124.677516) - (xy 58.578438 124.678852) - (xy 58.59726 124.684428) - (xy 58.605058 124.6879) - (xy 58.629647 124.6879) - (xy 58.641411 124.688826) - (xy 58.657887 124.691436) - (xy 58.657889 124.691436) - (xy 58.665694 124.692672) - (xy 58.673326 124.690627) - (xy 58.673328 124.690627) - (xy 58.673942 124.690462) - (xy 58.693405 124.6879) - (xy 61.079064 124.6879) - (xy 61.083 124.688003) - (xy 61.123448 124.690123) - (xy 61.146408 124.68131) - (xy 61.157715 124.67796) - (xy 61.181766 124.672848) - (xy 61.188675 124.667829) - (xy 61.20592 124.658465) - (xy 61.206517 124.658236) - (xy 61.206518 124.658235) - (xy 61.213896 124.655403) - (xy 61.23128 124.638019) - (xy 61.240252 124.630355) - (xy 61.253753 124.620546) - (xy 61.253753 124.620545) - (xy 61.260146 124.615901) - (xy 61.264415 124.608507) - (xy 61.276366 124.592933) - (xy 63.401532 122.467767) - (xy 63.404388 122.465056) - (xy 63.409749 122.460229) - (xy 63.434494 122.437949) - (xy 63.440468 122.424533) - (xy 63.444496 122.415485) - (xy 63.450126 122.405116) - (xy 63.45921 122.391127) - (xy 63.463516 122.384497) - (xy 63.464852 122.376061) - (xy 63.470426 122.357245) - (xy 63.4739 122.349442) - (xy 63.4739 122.324847) - (xy 63.474826 122.313084) - (xy 63.477435 122.296613) - (xy 63.477435 122.296611) - (xy 63.478671 122.288806) - (xy 63.476462 122.280561) - (xy 63.4739 122.261099) - (xy 63.4739 120.648547) - (xy 63.491493 120.600209) - (xy 63.495926 120.595373) - (xy 63.65502 120.436279) - (xy 63.70164 120.414539) - (xy 63.728642 120.418693) - (xy 63.728997 120.41737) - (xy 63.733757 120.418646) - (xy 63.738309 120.420531) - (xy 63.743191 120.421174) - (xy 63.743192 120.421174) - (xy 63.876116 120.438674) - (xy 63.881 120.439317) - (xy 63.885884 120.438674) - (xy 63.97909 120.426403) - (xy 64.029311 120.437537) - (xy 64.060625 120.478347) - (xy 64.058382 120.529738) - (xy 64.04208 120.554134) - (xy 63.895231 120.700983) - (xy 63.895229 120.700984) - (xy 63.804984 120.791229) - (xy 63.793096 120.814562) - (xy 63.78693 120.824623) - (xy 63.771539 120.845807) - (xy 63.769711 120.851433) - (xy 63.769709 120.851437) - (xy 63.763446 120.870713) - (xy 63.758931 120.881614) - (xy 63.747043 120.904945) - (xy 63.746118 120.910788) - (xy 63.746117 120.91079) - (xy 63.742947 120.930807) - (xy 63.740192 120.942283) - (xy 63.7321 120.967187) - (xy 63.7321 121.628375) - (xy 63.731174 121.640138) - (xy 63.727078 121.666) - (xy 63.7321 121.697708) - (xy 63.747043 121.792055) - (xy 63.74973 121.797328) - (xy 63.797235 121.890562) - (xy 63.804984 121.905771) - (xy 63.895229 121.996016) - (xy 63.900505 121.998704) - (xy 63.900506 121.998705) - (xy 63.948344 122.023079) - (xy 64.008945 122.053957) - (xy 64.014792 122.054883) - (xy 64.084288 122.06589) - (xy 64.135 122.073922) - (xy 64.185713 122.06589) - (xy 64.255208 122.054883) - (xy 64.261055 122.053957) - (xy 64.266328 122.05127) - (xy 64.266332 122.051269) - (xy 64.270471 122.04916) - (xy 64.321527 122.042892) - (xy 64.364668 122.070908) - (xy 64.378945 122.104788) - (xy 64.381936 122.124332) - (xy 64.382403 122.127384) - (xy 64.383361 122.130316) - (xy 64.383362 122.130319) - (xy 64.413914 122.223791) - (xy 64.455864 122.352138) - (xy 64.463454 122.366718) - (xy 64.538053 122.510021) - (xy 64.565046 122.561875) - (xy 64.566899 122.564343) - (xy 64.68983 122.728071) - (xy 64.707019 122.750965) - (xy 64.709252 122.753099) - (xy 64.709253 122.7531) - (xy 64.841589 122.879563) - (xy 64.877967 122.914327) - (xy 64.880508 122.91606) - (xy 64.88051 122.916062) - (xy 64.93483 122.953116) - (xy 65.073302 123.047575) - (xy 65.179559 123.096898) - (xy 65.24772 123.128537) - (xy 65.287777 123.147131) - (xy 65.324968 123.157445) - (xy 65.512659 123.209497) - (xy 65.512665 123.209498) - (xy 65.515632 123.210321) - (xy 65.518698 123.210649) - (xy 65.5187 123.210649) - (xy 65.70668 123.230738) - (xy 65.706686 123.230738) - (xy 65.708667 123.23095) - (xy 65.845939 123.23095) - (xy 65.847481 123.230823) - (xy 65.847486 123.230823) - (xy 65.960223 123.221554) - (xy 66.021659 123.216503) - (xy 66.140146 123.186741) - (xy 66.247993 123.159652) - (xy 66.247995 123.159651) - (xy 66.25099 123.158899) - (xy 66.253818 123.157669) - (xy 66.253822 123.157668) - (xy 66.465002 123.065844) - (xy 66.467833 123.064613) - (xy 66.470427 123.062935) - (xy 66.663772 122.937855) - (xy 66.663776 122.937852) - (xy 66.666365 122.936177) - (xy 66.841254 122.77704) - (xy 66.987804 122.591476) - (xy 66.998042 122.572931) - (xy 67.087125 122.411556) - (xy 67.102078 122.384469) - (xy 67.181008 122.161577) - (xy 67.186865 122.128696) - (xy 67.212663 122.084193) - (xy 67.261031 122.066684) - (xy 67.309338 122.084362) - (xy 67.33498 122.128955) - (xy 67.3361 122.141884) - (xy 67.3361 123.826453) - (xy 67.318507 123.874791) - (xy 67.314074 123.879627) - (xy 66.221626 124.972074) - (xy 66.175006 124.993814) - (xy 66.168452 124.9941) - (xy 58.147547 124.9941) - (xy 58.099209 124.976507) - (xy 58.094373 124.972074) - (xy 57.145926 124.023626) - (xy 57.124186 123.977006) - (xy 57.1239 123.970452) - (xy 57.1239 108.837547) - (xy 57.141493 108.789209) - (xy 57.145926 108.784373) - (xy 60.474287 105.456012) - (xy 60.520907 105.434272) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 61.181933 118.652816) - (xy 61.211438 118.694953) - (xy 61.214 118.714416) - (xy 61.214 118.925984) - (xy 61.196407 118.974322) - (xy 61.191974 118.979158) - (xy 61.08241 119.088722) - (xy 61.077982 119.098219) - (xy 61.082951 119.102207) - (xy 61.167578 119.137261) - (xy 61.205503 119.172014) - (xy 61.214 119.206737) - (xy 61.214 119.298722) - (xy 61.196407 119.34706) - (xy 61.167578 119.368198) - (xy 61.065342 119.410545) - (xy 60.95116 119.49816) - (xy 60.914652 119.545739) - (xy 60.91311 119.547748) - (xy 60.869726 119.575386) - (xy 60.818727 119.568672) - (xy 60.783974 119.530747) - (xy 60.781479 119.480171) - (xy 60.808743 119.390153) - (xy 60.808743 119.390151) - (xy 60.81001 119.385969) - (xy 60.81028 119.381613) - (xy 60.810281 119.381609) - (xy 60.820508 119.216752) - (xy 60.820508 119.216748) - (xy 60.820778 119.212393) - (xy 60.804189 119.115847) - (xy 60.792067 119.0453) - (xy 60.792066 119.045296) - (xy 60.791327 119.040996) - (xy 60.789618 119.036979) - (xy 60.789616 119.036973) - (xy 60.766824 118.983409) - (xy 60.764087 118.932042) - (xy 60.795008 118.890934) - (xy 60.845835 118.879409) - (xy 60.862588 118.881614) - (xy 60.868494 118.878374) - (xy 61.085626 118.661242) - (xy 61.132246 118.639502) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 85.022791 96.245493) - (xy 85.027627 96.249926) - (xy 85.333233 96.555532) - (xy 85.335943 96.558387) - (xy 85.363051 96.588494) - (xy 85.370268 96.591707) - (xy 85.370269 96.591708) - (xy 85.385515 96.598496) - (xy 85.395884 96.604126) - (xy 85.416503 96.617516) - (xy 85.424939 96.618852) - (xy 85.443755 96.624426) - (xy 85.451558 96.6279) - (xy 85.45946 96.6279) - (xy 85.460387 96.628097) - (xy 85.50401 96.655357) - (xy 85.519904 96.704279) - (xy 85.514288 96.730144) - (xy 85.510323 96.736078) - (xy 85.508879 96.74334) - (xy 85.508878 96.743341) - (xy 85.498116 96.797446) - (xy 85.4971 96.802553) - (xy 85.497101 96.997446) - (xy 85.497822 97.001069) - (xy 85.497822 97.001073) - (xy 85.503406 97.029147) - (xy 85.510323 97.063922) - (xy 85.51444 97.070083) - (xy 85.51444 97.070084) - (xy 85.539922 97.108222) - (xy 85.552148 97.158188) - (xy 85.539922 97.191778) - (xy 85.51822 97.224259) - (xy 85.510323 97.236078) - (xy 85.508879 97.24334) - (xy 85.508878 97.243341) - (xy 85.500538 97.28527) - (xy 85.4971 97.302553) - (xy 85.497101 97.497446) - (xy 85.497822 97.501069) - (xy 85.497822 97.501073) - (xy 85.504858 97.536445) - (xy 85.510323 97.563922) - (xy 85.51337 97.568482) - (xy 85.515564 97.618778) - (xy 85.484248 97.659586) - (xy 85.439908 97.671259) - (xy 85.413552 97.669877) - (xy 85.390592 97.67869) - (xy 85.379285 97.68204) - (xy 85.355234 97.687152) - (xy 85.34884 97.691798) - (xy 85.348839 97.691798) - (xy 85.348326 97.692171) - (xy 85.33108 97.701535) - (xy 85.330483 97.701764) - (xy 85.330482 97.701765) - (xy 85.323104 97.704597) - (xy 85.30572 97.721981) - (xy 85.296747 97.729645) - (xy 85.276854 97.744099) - (xy 85.274519 97.748143) - (xy 85.230836 97.771368) - (xy 85.220371 97.7721) - (xy 84.987816 97.7721) - (xy 84.939478 97.754507) - (xy 84.913758 97.709958) - (xy 84.920255 97.663876) - (xy 84.964467 97.573428) - (xy 84.96787 97.562416) - (xy 84.977005 97.499795) - (xy 84.9774 97.494359) - (xy 84.9774 97.413259) - (xy 84.973703 97.403102) - (xy 84.968331 97.4) - (xy 83.735859 97.4) - (xy 83.725702 97.403697) - (xy 83.7226 97.409069) - (xy 83.7226 97.494343) - (xy 83.723 97.49981) - (xy 83.732306 97.563029) - (xy 83.735732 97.574055) - (xy 83.779775 97.663757) - (xy 83.785287 97.714901) - (xy 83.756634 97.757622) - (xy 83.712273 97.7721) - (xy 83.525548 97.7721) - (xy 83.47721 97.754507) - (xy 83.472373 97.750074) - (xy 83.249925 97.527625) - (xy 83.228186 97.481005) - (xy 83.2279 97.474451) - (xy 83.2279 97.086741) - (xy 83.7226 97.086741) - (xy 83.726297 97.096898) - (xy 83.731669 97.1) - (xy 84.186741 97.1) - (xy 84.196898 97.096303) - (xy 84.2 97.090931) - (xy 84.2 97.086741) - (xy 84.5 97.086741) - (xy 84.503697 97.096898) - (xy 84.509069 97.1) - (xy 84.964141 97.1) - (xy 84.974298 97.096303) - (xy 84.9774 97.090931) - (xy 84.9774 97.005657) - (xy 84.977 97.00019) - (xy 84.967694 96.936971) - (xy 84.964269 96.92595) - (xy 84.916041 96.827722) - (xy 84.908906 96.817756) - (xy 84.831729 96.740714) - (xy 84.821743 96.73359) - (xy 84.723428 96.685533) - (xy 84.712416 96.68213) - (xy 84.649795 96.672995) - (xy 84.644359 96.6726) - (xy 84.513259 96.6726) - (xy 84.503102 96.676297) - (xy 84.5 96.681669) - (xy 84.5 97.086741) - (xy 84.2 97.086741) - (xy 84.2 96.685859) - (xy 84.196303 96.675702) - (xy 84.190931 96.6726) - (xy 84.055657 96.6726) - (xy 84.05019 96.673) - (xy 83.986971 96.682306) - (xy 83.97595 96.685731) - (xy 83.877722 96.733959) - (xy 83.867756 96.741094) - (xy 83.790714 96.818271) - (xy 83.78359 96.828257) - (xy 83.735533 96.926572) - (xy 83.73213 96.937584) - (xy 83.722995 97.000205) - (xy 83.7226 97.005641) - (xy 83.7226 97.086741) - (xy 83.2279 97.086741) - (xy 83.2279 96.306805) - (xy 83.245493 96.258467) - (xy 83.290042 96.232747) - (xy 83.299166 96.231708) - (xy 83.304387 96.231435) - (xy 83.312194 96.232671) - (xy 83.319826 96.230626) - (xy 83.319827 96.230626) - (xy 83.320438 96.230462) - (xy 83.339901 96.2279) - (xy 84.974453 96.2279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 85.872791 93.545493) - (xy 85.877627 93.549926) - (xy 86.921427 94.593726) - (xy 86.943167 94.640346) - (xy 86.929853 94.690033) - (xy 86.887716 94.719538) - (xy 86.868253 94.7221) - (xy 85.557936 94.7221) - (xy 85.554 94.721997) - (xy 85.553151 94.721952) - (xy 85.513552 94.719877) - (xy 85.490592 94.72869) - (xy 85.479285 94.73204) - (xy 85.455234 94.737152) - (xy 85.44884 94.741798) - (xy 85.448839 94.741798) - (xy 85.448326 94.742171) - (xy 85.43108 94.751535) - (xy 85.430483 94.751764) - (xy 85.430482 94.751765) - (xy 85.423104 94.754597) - (xy 85.40572 94.771981) - (xy 85.396748 94.779645) - (xy 85.389773 94.784713) - (xy 85.376854 94.794099) - (xy 85.372585 94.801492) - (xy 85.360636 94.817065) - (xy 85.327625 94.850075) - (xy 85.281004 94.871814) - (xy 85.274451 94.8721) - (xy 83.875547 94.8721) - (xy 83.827209 94.854507) - (xy 83.822373 94.850074) - (xy 82.628574 93.656274) - (xy 82.606834 93.609654) - (xy 82.620148 93.559967) - (xy 82.662285 93.530462) - (xy 82.681748 93.5279) - (xy 85.824453 93.5279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 88.022791 92.645493) - (xy 88.027627 92.649926) - (xy 88.096428 92.718727) - (xy 88.118168 92.765347) - (xy 88.104854 92.815034) - (xy 88.062717 92.844539) - (xy 88.043258 92.847101) - (xy 87.952554 92.847101) - (xy 87.948931 92.847822) - (xy 87.948927 92.847822) - (xy 87.924912 92.852599) - (xy 87.886078 92.860323) - (xy 87.810693 92.910693) - (xy 87.760323 92.986078) - (xy 87.758879 92.99334) - (xy 87.758878 92.993341) - (xy 87.748504 93.045493) - (xy 87.7471 93.052553) - (xy 87.7471 93.4344) - (xy 87.729507 93.482738) - (xy 87.684958 93.508458) - (xy 87.6719 93.5096) - (xy 87.413048 93.5096) - (xy 87.36471 93.492007) - (xy 87.359874 93.487574) - (xy 86.628574 92.756274) - (xy 86.606834 92.709654) - (xy 86.620148 92.659967) - (xy 86.662285 92.630462) - (xy 86.681748 92.6279) - (xy 87.974453 92.6279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 84.566591 129.245493) - (xy 84.592311 129.290042) - (xy 84.583378 129.3407) - (xy 84.571427 129.356273) - (xy 83.664458 130.263242) - (xy 83.661603 130.265952) - (xy 83.631506 130.293051) - (xy 83.621501 130.315522) - (xy 83.615877 130.325879) - (xy 83.602484 130.346503) - (xy 83.601148 130.354939) - (xy 83.595574 130.373755) - (xy 83.5921 130.381558) - (xy 83.5921 130.406153) - (xy 83.591174 130.417916) - (xy 83.588971 130.431828) - (xy 83.587329 130.442194) - (xy 83.589374 130.449826) - (xy 83.589374 130.449827) - (xy 83.589538 130.450438) - (xy 83.5921 130.469901) - (xy 83.5921 131.3839) - (xy 83.574507 131.432238) - (xy 83.529958 131.457958) - (xy 83.5169 131.4591) - (xy 83.397516 131.4591) - (xy 83.31045 131.469636) - (xy 83.241841 131.4968) - (xy 83.17921 131.521597) - (xy 83.179209 131.521598) - (xy 83.174442 131.523485) - (xy 83.170361 131.526583) - (xy 83.170357 131.526585) - (xy 83.130684 131.556699) - (xy 83.085218 131.572) - (xy 82.014782 131.572) - (xy 81.969316 131.556699) - (xy 81.929643 131.526585) - (xy 81.929639 131.526583) - (xy 81.925558 131.523485) - (xy 81.920791 131.521598) - (xy 81.92079 131.521597) - (xy 81.858159 131.4968) - (xy 81.78955 131.469636) - (xy 81.702484 131.4591) - (xy 81.5831 131.4591) - (xy 81.534762 131.441507) - (xy 81.509042 131.396958) - (xy 81.5079 131.3839) - (xy 81.5079 131.189547) - (xy 81.525493 131.141209) - (xy 81.529926 131.136373) - (xy 83.416374 129.249926) - (xy 83.462994 129.228186) - (xy 83.469548 129.2279) - (xy 84.518253 129.2279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 82.960591 128.945493) - (xy 82.986311 128.990042) - (xy 82.977378 129.0407) - (xy 82.965427 129.056274) - (xy 82.04238 129.97932) - (xy 81.124458 130.897242) - (xy 81.121603 130.899952) - (xy 81.091506 130.927051) - (xy 81.081501 130.949522) - (xy 81.075877 130.959879) - (xy 81.06977 130.969284) - (xy 81.062484 130.980503) - (xy 81.061148 130.988939) - (xy 81.055574 131.007755) - (xy 81.0521 131.015558) - (xy 81.0521 131.040153) - (xy 81.051174 131.051916) - (xy 81.048643 131.0679) - (xy 81.047329 131.076194) - (xy 81.049374 131.083826) - (xy 81.049374 131.083827) - (xy 81.049538 131.084438) - (xy 81.0521 131.103901) - (xy 81.0521 131.3839) - (xy 81.034507 131.432238) - (xy 80.989958 131.457958) - (xy 80.9769 131.4591) - (xy 80.857516 131.4591) - (xy 80.77045 131.469636) - (xy 80.701841 131.4968) - (xy 80.63921 131.521597) - (xy 80.639209 131.521598) - (xy 80.634442 131.523485) - (xy 80.630361 131.526583) - (xy 80.630357 131.526585) - (xy 80.590684 131.556699) - (xy 80.545218 131.572) - (xy 79.474782 131.572) - (xy 79.429316 131.556699) - (xy 79.389643 131.526585) - (xy 79.389639 131.526583) - (xy 79.385558 131.523485) - (xy 79.380791 131.521598) - (xy 79.38079 131.521597) - (xy 79.318159 131.4968) - (xy 79.24955 131.469636) - (xy 79.162484 131.4591) - (xy 79.0431 131.4591) - (xy 78.994762 131.441507) - (xy 78.969042 131.396958) - (xy 78.9679 131.3839) - (xy 78.9679 131.316547) - (xy 78.985493 131.268209) - (xy 78.989926 131.263373) - (xy 81.303374 128.949926) - (xy 81.349994 128.928186) - (xy 81.356548 128.9279) - (xy 82.912253 128.9279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 86.100033 129.410147) - (xy 86.129538 129.452284) - (xy 86.1321 129.471747) - (xy 86.1321 131.3839) - (xy 86.114507 131.432238) - (xy 86.069958 131.457958) - (xy 86.0569 131.4591) - (xy 85.937516 131.4591) - (xy 85.85045 131.469636) - (xy 85.781841 131.4968) - (xy 85.71921 131.521597) - (xy 85.719209 131.521598) - (xy 85.714442 131.523485) - (xy 85.710361 131.526583) - (xy 85.710357 131.526585) - (xy 85.670684 131.556699) - (xy 85.625218 131.572) - (xy 84.554782 131.572) - (xy 84.509316 131.556699) - (xy 84.469643 131.526585) - (xy 84.469639 131.526583) - (xy 84.465558 131.523485) - (xy 84.460791 131.521598) - (xy 84.46079 131.521597) - (xy 84.398159 131.4968) - (xy 84.32955 131.469636) - (xy 84.242484 131.4591) - (xy 84.1231 131.4591) - (xy 84.074762 131.441507) - (xy 84.049042 131.396958) - (xy 84.0479 131.3839) - (xy 84.0479 130.555547) - (xy 84.065493 130.507209) - (xy 84.069926 130.502373) - (xy 85.022373 129.549926) - (xy 85.068993 129.528186) - (xy 85.075547 129.5279) - (xy 85.792064 129.5279) - (xy 85.796 129.528003) - (xy 85.836448 129.530123) - (xy 85.859408 129.52131) - (xy 85.870715 129.51796) - (xy 85.894766 129.512848) - (xy 85.901675 129.507829) - (xy 85.91892 129.498465) - (xy 85.919517 129.498236) - (xy 85.919518 129.498235) - (xy 85.926896 129.495403) - (xy 85.94428 129.478019) - (xy 85.953252 129.470355) - (xy 85.966753 129.460546) - (xy 85.966753 129.460545) - (xy 85.973146 129.455901) - (xy 85.977415 129.448507) - (xy 85.989366 129.432933) - (xy 86.003726 129.418573) - (xy 86.050346 129.396833) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 88.640033 127.520148) - (xy 88.669538 127.562285) - (xy 88.6721 127.581748) - (xy 88.6721 131.3839) - (xy 88.654507 131.432238) - (xy 88.609958 131.457958) - (xy 88.5969 131.4591) - (xy 88.477516 131.4591) - (xy 88.39045 131.469636) - (xy 88.321841 131.4968) - (xy 88.25921 131.521597) - (xy 88.259209 131.521598) - (xy 88.254442 131.523485) - (xy 88.250361 131.526583) - (xy 88.250357 131.526585) - (xy 88.210684 131.556699) - (xy 88.165218 131.572) - (xy 87.094782 131.572) - (xy 87.049316 131.556699) - (xy 87.009643 131.526585) - (xy 87.009639 131.526583) - (xy 87.005558 131.523485) - (xy 87.000791 131.521598) - (xy 87.00079 131.521597) - (xy 86.938159 131.4968) - (xy 86.86955 131.469636) - (xy 86.782484 131.4591) - (xy 86.6631 131.4591) - (xy 86.614762 131.441507) - (xy 86.589042 131.396958) - (xy 86.5879 131.3839) - (xy 86.5879 129.515547) - (xy 86.605493 129.467209) - (xy 86.609926 129.462373) - (xy 88.543726 127.528574) - (xy 88.590346 127.506834) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 118.662791 130.785493) - (xy 118.667627 130.789926) - (xy 119.130074 131.252373) - (xy 119.151814 131.298993) - (xy 119.1521 131.305547) - (xy 119.1521 131.3839) - (xy 119.134507 131.432238) - (xy 119.089958 131.457958) - (xy 119.0769 131.4591) - (xy 118.957516 131.4591) - (xy 118.87045 131.469636) - (xy 118.801841 131.4968) - (xy 118.73921 131.521597) - (xy 118.739209 131.521598) - (xy 118.734442 131.523485) - (xy 118.730361 131.526583) - (xy 118.730357 131.526585) - (xy 118.690684 131.556699) - (xy 118.645218 131.572) - (xy 117.574782 131.572) - (xy 117.529316 131.556699) - (xy 117.489643 131.526585) - (xy 117.489639 131.526583) - (xy 117.485558 131.523485) - (xy 117.480791 131.521598) - (xy 117.48079 131.521597) - (xy 117.418159 131.4968) - (xy 117.34955 131.469636) - (xy 117.262484 131.4591) - (xy 117.1431 131.4591) - (xy 117.094762 131.441507) - (xy 117.069042 131.396958) - (xy 117.0679 131.3839) - (xy 117.0679 131.197936) - (xy 117.068003 131.194) - (xy 117.068527 131.184) - (xy 117.070123 131.153552) - (xy 117.06131 131.130592) - (xy 117.05796 131.119284) - (xy 117.052848 131.095234) - (xy 117.047829 131.088325) - (xy 117.038465 131.07108) - (xy 117.038236 131.070483) - (xy 117.038235 131.070482) - (xy 117.035403 131.063104) - (xy 117.018019 131.04572) - (xy 117.010355 131.036748) - (xy 117.000546 131.023247) - (xy 117.000545 131.023247) - (xy 116.995901 131.016854) - (xy 116.988508 131.012585) - (xy 116.972936 131.000636) - (xy 116.868574 130.896274) - (xy 116.846834 130.849654) - (xy 116.860148 130.799967) - (xy 116.902285 130.770462) - (xy 116.921748 130.7679) - (xy 118.614453 130.7679) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 90.916781 125.767622) - (xy 90.938543 125.782164) - (xy 90.968958 125.823648) - (xy 90.971859 125.848624) - (xy 90.969877 125.886448) - (xy 90.97869 125.909408) - (xy 90.98204 125.920715) - (xy 90.987152 125.944766) - (xy 90.991798 125.95116) - (xy 90.991798 125.951161) - (xy 90.992171 125.951674) - (xy 91.001535 125.96892) - (xy 91.004597 125.976896) - (xy 91.021981 125.99428) - (xy 91.029645 126.003252) - (xy 91.038441 126.015358) - (xy 91.044099 126.023146) - (xy 91.050944 126.027098) - (xy 91.051493 126.027415) - (xy 91.067067 126.039366) - (xy 91.190074 126.162373) - (xy 91.211814 126.208993) - (xy 91.2121 126.215547) - (xy 91.2121 131.3839) - (xy 91.194507 131.432238) - (xy 91.149958 131.457958) - (xy 91.1369 131.4591) - (xy 91.017516 131.4591) - (xy 90.93045 131.469636) - (xy 90.861841 131.4968) - (xy 90.79921 131.521597) - (xy 90.799209 131.521598) - (xy 90.794442 131.523485) - (xy 90.790361 131.526583) - (xy 90.790357 131.526585) - (xy 90.750684 131.556699) - (xy 90.705218 131.572) - (xy 89.634782 131.572) - (xy 89.589316 131.556699) - (xy 89.549643 131.526585) - (xy 89.549639 131.526583) - (xy 89.545558 131.523485) - (xy 89.540791 131.521598) - (xy 89.54079 131.521597) - (xy 89.478159 131.4968) - (xy 89.40955 131.469636) - (xy 89.322484 131.4591) - (xy 89.2031 131.4591) - (xy 89.154762 131.441507) - (xy 89.129042 131.396958) - (xy 89.1279 131.3839) - (xy 89.1279 127.625547) - (xy 89.145493 127.577209) - (xy 89.149926 127.572373) - (xy 90.705532 126.016767) - (xy 90.708388 126.014056) - (xy 90.732622 125.992236) - (xy 90.738494 125.986949) - (xy 90.748496 125.964485) - (xy 90.754126 125.954116) - (xy 90.756307 125.950758) - (xy 90.767516 125.933497) - (xy 90.768852 125.925061) - (xy 90.774426 125.906245) - (xy 90.7779 125.898442) - (xy 90.7779 125.873847) - (xy 90.778826 125.862084) - (xy 90.782671 125.837806) - (xy 90.784035 125.838022) - (xy 90.796519 125.7972) - (xy 90.814791 125.779937) - (xy 90.833218 125.767623) - (xy 90.883183 125.755394) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 91.7807 126.206622) - (xy 91.796274 126.218573) - (xy 92.797253 127.219553) - (xy 93.730074 128.152374) - (xy 93.751814 128.198994) - (xy 93.7521 128.205548) - (xy 93.7521 131.3839) - (xy 93.734507 131.432238) - (xy 93.689958 131.457958) - (xy 93.6769 131.4591) - (xy 93.557516 131.4591) - (xy 93.47045 131.469636) - (xy 93.401841 131.4968) - (xy 93.33921 131.521597) - (xy 93.339209 131.521598) - (xy 93.334442 131.523485) - (xy 93.330361 131.526583) - (xy 93.330357 131.526585) - (xy 93.290684 131.556699) - (xy 93.245218 131.572) - (xy 92.174782 131.572) - (xy 92.129316 131.556699) - (xy 92.089643 131.526585) - (xy 92.089639 131.526583) - (xy 92.085558 131.523485) - (xy 92.080791 131.521598) - (xy 92.08079 131.521597) - (xy 92.018159 131.4968) - (xy 91.94955 131.469636) - (xy 91.862484 131.4591) - (xy 91.7431 131.4591) - (xy 91.694762 131.441507) - (xy 91.669042 131.396958) - (xy 91.6679 131.3839) - (xy 91.6679 126.271747) - (xy 91.685493 126.223409) - (xy 91.730042 126.197689) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 110.631791 127.845493) - (xy 110.636627 127.849926) - (xy 114.050074 131.263374) - (xy 114.071814 131.309994) - (xy 114.0721 131.316548) - (xy 114.0721 131.3839) - (xy 114.054507 131.432238) - (xy 114.009958 131.457958) - (xy 113.9969 131.4591) - (xy 113.877516 131.4591) - (xy 113.79045 131.469636) - (xy 113.721841 131.4968) - (xy 113.65921 131.521597) - (xy 113.659209 131.521598) - (xy 113.654442 131.523485) - (xy 113.650361 131.526583) - (xy 113.650357 131.526585) - (xy 113.610684 131.556699) - (xy 113.565218 131.572) - (xy 112.494782 131.572) - (xy 112.449316 131.556699) - (xy 112.409643 131.526585) - (xy 112.409639 131.526583) - (xy 112.405558 131.523485) - (xy 112.400791 131.521598) - (xy 112.40079 131.521597) - (xy 112.338159 131.4968) - (xy 112.26955 131.469636) - (xy 112.182484 131.4591) - (xy 112.0631 131.4591) - (xy 112.014762 131.441507) - (xy 111.989042 131.396958) - (xy 111.9879 131.3839) - (xy 111.9879 130.817928) - (xy 111.988003 130.813991) - (xy 111.989105 130.792965) - (xy 111.990123 130.773552) - (xy 111.98131 130.750592) - (xy 111.97796 130.739284) - (xy 111.972848 130.715234) - (xy 111.967829 130.708325) - (xy 111.958465 130.69108) - (xy 111.958236 130.690483) - (xy 111.958235 130.690482) - (xy 111.955403 130.683104) - (xy 111.938019 130.66572) - (xy 111.930355 130.656748) - (xy 111.920546 130.643247) - (xy 111.920545 130.643247) - (xy 111.915901 130.636854) - (xy 111.908507 130.632585) - (xy 111.892933 130.620634) - (xy 109.228574 127.956274) - (xy 109.206834 127.909654) - (xy 109.220148 127.859967) - (xy 109.262285 127.830462) - (xy 109.281748 127.8279) - (xy 110.583453 127.8279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 108.772791 128.145493) - (xy 108.777627 128.149926) - (xy 111.510074 130.882373) - (xy 111.531814 130.928993) - (xy 111.5321 130.935547) - (xy 111.5321 131.3839) - (xy 111.514507 131.432238) - (xy 111.469958 131.457958) - (xy 111.4569 131.4591) - (xy 111.337516 131.4591) - (xy 111.25045 131.469636) - (xy 111.181841 131.4968) - (xy 111.11921 131.521597) - (xy 111.119209 131.521598) - (xy 111.114442 131.523485) - (xy 111.110361 131.526583) - (xy 111.110357 131.526585) - (xy 111.070684 131.556699) - (xy 111.025218 131.572) - (xy 109.954782 131.572) - (xy 109.909316 131.556699) - (xy 109.869643 131.526585) - (xy 109.869639 131.526583) - (xy 109.865558 131.523485) - (xy 109.860791 131.521598) - (xy 109.86079 131.521597) - (xy 109.798159 131.4968) - (xy 109.72955 131.469636) - (xy 109.642484 131.4591) - (xy 109.5231 131.4591) - (xy 109.474762 131.441507) - (xy 109.449042 131.396958) - (xy 109.4479 131.3839) - (xy 109.4479 131.177929) - (xy 109.448003 131.173992) - (xy 109.448051 131.173069) - (xy 109.450123 131.133552) - (xy 109.44131 131.110592) - (xy 109.43796 131.099284) - (xy 109.435971 131.089926) - (xy 109.432848 131.075234) - (xy 109.427829 131.068325) - (xy 109.418465 131.05108) - (xy 109.418236 131.050483) - (xy 109.418235 131.050482) - (xy 109.415403 131.043104) - (xy 109.398019 131.02572) - (xy 109.390355 131.016748) - (xy 109.380546 131.003247) - (xy 109.380545 131.003247) - (xy 109.375901 130.996854) - (xy 109.368507 130.992585) - (xy 109.352933 130.980634) - (xy 106.628574 128.256274) - (xy 106.606834 128.209654) - (xy 106.620148 128.159967) - (xy 106.662285 128.130462) - (xy 106.681748 128.1279) - (xy 108.724453 128.1279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 106.172791 128.445493) - (xy 106.177627 128.449926) - (xy 108.970074 131.242373) - (xy 108.991814 131.288993) - (xy 108.9921 131.295547) - (xy 108.9921 131.3839) - (xy 108.974507 131.432238) - (xy 108.929958 131.457958) - (xy 108.9169 131.4591) - (xy 108.797516 131.4591) - (xy 108.71045 131.469636) - (xy 108.641841 131.4968) - (xy 108.57921 131.521597) - (xy 108.579209 131.521598) - (xy 108.574442 131.523485) - (xy 108.570361 131.526583) - (xy 108.570357 131.526585) - (xy 108.530684 131.556699) - (xy 108.485218 131.572) - (xy 107.414782 131.572) - (xy 107.369316 131.556699) - (xy 107.329643 131.526585) - (xy 107.329639 131.526583) - (xy 107.325558 131.523485) - (xy 107.320791 131.521598) - (xy 107.32079 131.521597) - (xy 107.258159 131.4968) - (xy 107.18955 131.469636) - (xy 107.102484 131.4591) - (xy 106.9831 131.4591) - (xy 106.934762 131.441507) - (xy 106.909042 131.396958) - (xy 106.9079 131.3839) - (xy 106.9079 130.887929) - (xy 106.908003 130.883992) - (xy 106.908901 130.866854) - (xy 106.910123 130.843552) - (xy 106.90131 130.820592) - (xy 106.89796 130.809284) - (xy 106.897205 130.80573) - (xy 106.892848 130.785234) - (xy 106.887829 130.778325) - (xy 106.878465 130.76108) - (xy 106.878236 130.760483) - (xy 106.878235 130.760482) - (xy 106.875403 130.753104) - (xy 106.858019 130.73572) - (xy 106.850355 130.726748) - (xy 106.840546 130.713247) - (xy 106.840545 130.713247) - (xy 106.835901 130.706854) - (xy 106.828507 130.702585) - (xy 106.812933 130.690634) - (xy 104.678574 128.556274) - (xy 104.656834 128.509654) - (xy 104.670148 128.459967) - (xy 104.712285 128.430462) - (xy 104.731748 128.4279) - (xy 106.124453 128.4279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 104.222791 128.745493) - (xy 104.227627 128.749926) - (xy 106.430074 130.952373) - (xy 106.451814 130.998993) - (xy 106.4521 131.005547) - (xy 106.4521 131.3839) - (xy 106.434507 131.432238) - (xy 106.389958 131.457958) - (xy 106.3769 131.4591) - (xy 106.257516 131.4591) - (xy 106.17045 131.469636) - (xy 106.101841 131.4968) - (xy 106.03921 131.521597) - (xy 106.039209 131.521598) - (xy 106.034442 131.523485) - (xy 106.030361 131.526583) - (xy 106.030357 131.526585) - (xy 105.990684 131.556699) - (xy 105.945218 131.572) - (xy 104.874782 131.572) - (xy 104.829316 131.556699) - (xy 104.789643 131.526585) - (xy 104.789639 131.526583) - (xy 104.785558 131.523485) - (xy 104.780791 131.521598) - (xy 104.78079 131.521597) - (xy 104.718159 131.4968) - (xy 104.64955 131.469636) - (xy 104.562484 131.4591) - (xy 104.4431 131.4591) - (xy 104.394762 131.441507) - (xy 104.369042 131.396958) - (xy 104.3679 131.3839) - (xy 104.3679 131.047929) - (xy 104.368003 131.043992) - (xy 104.368204 131.040153) - (xy 104.370123 131.003552) - (xy 104.36131 130.980592) - (xy 104.35796 130.969284) - (xy 104.355244 130.956506) - (xy 104.352848 130.945234) - (xy 104.347829 130.938325) - (xy 104.338465 130.92108) - (xy 104.338236 130.920483) - (xy 104.338235 130.920482) - (xy 104.335403 130.913104) - (xy 104.318019 130.89572) - (xy 104.310355 130.886748) - (xy 104.300546 130.873247) - (xy 104.300545 130.873247) - (xy 104.295901 130.866854) - (xy 104.288507 130.862585) - (xy 104.272933 130.850634) - (xy 102.278574 128.856274) - (xy 102.256834 128.809654) - (xy 102.270148 128.759967) - (xy 102.312285 128.730462) - (xy 102.331748 128.7279) - (xy 104.174453 128.7279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 101.822791 129.045493) - (xy 101.827627 129.049926) - (xy 103.890074 131.112373) - (xy 103.911814 131.158993) - (xy 103.9121 131.165547) - (xy 103.9121 131.3839) - (xy 103.894507 131.432238) - (xy 103.849958 131.457958) - (xy 103.8369 131.4591) - (xy 103.717516 131.4591) - (xy 103.63045 131.469636) - (xy 103.561841 131.4968) - (xy 103.49921 131.521597) - (xy 103.499209 131.521598) - (xy 103.494442 131.523485) - (xy 103.490361 131.526583) - (xy 103.490357 131.526585) - (xy 103.450684 131.556699) - (xy 103.405218 131.572) - (xy 102.334782 131.572) - (xy 102.289316 131.556699) - (xy 102.249643 131.526585) - (xy 102.249639 131.526583) - (xy 102.245558 131.523485) - (xy 102.240791 131.521598) - (xy 102.24079 131.521597) - (xy 102.178159 131.4968) - (xy 102.10955 131.469636) - (xy 102.022484 131.4591) - (xy 101.9031 131.4591) - (xy 101.854762 131.441507) - (xy 101.829042 131.396958) - (xy 101.8279 131.3839) - (xy 101.8279 129.707937) - (xy 101.828003 129.704001) - (xy 101.828969 129.685572) - (xy 101.830123 129.663553) - (xy 101.824382 129.648596) - (xy 101.821311 129.640594) - (xy 101.817961 129.629283) - (xy 101.814493 129.61297) - (xy 101.814492 129.612967) - (xy 101.812848 129.605234) - (xy 101.807833 129.598331) - (xy 101.798466 129.581079) - (xy 101.798238 129.580484) - (xy 101.798236 129.580481) - (xy 101.795404 129.573104) - (xy 101.778017 129.555717) - (xy 101.770353 129.546745) - (xy 101.760546 129.533247) - (xy 101.755901 129.526854) - (xy 101.749059 129.522903) - (xy 101.749057 129.522902) - (xy 101.748508 129.522585) - (xy 101.732934 129.510634) - (xy 101.378574 129.156274) - (xy 101.356834 129.109654) - (xy 101.370148 129.059967) - (xy 101.412285 129.030462) - (xy 101.431748 129.0279) - (xy 101.774453 129.0279) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 99.4007 127.826622) - (xy 99.416274 127.838573) - (xy 101.350074 129.772373) - (xy 101.371814 129.818993) - (xy 101.3721 129.825547) - (xy 101.3721 131.3839) - (xy 101.354507 131.432238) - (xy 101.309958 131.457958) - (xy 101.2969 131.4591) - (xy 101.177516 131.4591) - (xy 101.09045 131.469636) - (xy 101.021841 131.4968) - (xy 100.95921 131.521597) - (xy 100.959209 131.521598) - (xy 100.954442 131.523485) - (xy 100.950361 131.526583) - (xy 100.950357 131.526585) - (xy 100.910684 131.556699) - (xy 100.865218 131.572) - (xy 99.794782 131.572) - (xy 99.749316 131.556699) - (xy 99.709643 131.526585) - (xy 99.709639 131.526583) - (xy 99.705558 131.523485) - (xy 99.700791 131.521598) - (xy 99.70079 131.521597) - (xy 99.638159 131.4968) - (xy 99.56955 131.469636) - (xy 99.482484 131.4591) - (xy 99.3631 131.4591) - (xy 99.314762 131.441507) - (xy 99.289042 131.396958) - (xy 99.2879 131.3839) - (xy 99.2879 127.891747) - (xy 99.305493 127.843409) - (xy 99.350042 127.817689) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 94.3207 128.296622) - (xy 94.336274 128.308573) - (xy 96.270074 130.242373) - (xy 96.291814 130.288993) - (xy 96.2921 130.295547) - (xy 96.2921 131.3839) - (xy 96.274507 131.432238) - (xy 96.229958 131.457958) - (xy 96.2169 131.4591) - (xy 96.097516 131.4591) - (xy 96.01045 131.469636) - (xy 95.941841 131.4968) - (xy 95.87921 131.521597) - (xy 95.879209 131.521598) - (xy 95.874442 131.523485) - (xy 95.870361 131.526583) - (xy 95.870357 131.526585) - (xy 95.830684 131.556699) - (xy 95.785218 131.572) - (xy 94.714782 131.572) - (xy 94.669316 131.556699) - (xy 94.629643 131.526585) - (xy 94.629639 131.526583) - (xy 94.625558 131.523485) - (xy 94.620791 131.521598) - (xy 94.62079 131.521597) - (xy 94.558159 131.4968) - (xy 94.48955 131.469636) - (xy 94.402484 131.4591) - (xy 94.2831 131.4591) - (xy 94.234762 131.441507) - (xy 94.209042 131.396958) - (xy 94.2079 131.3839) - (xy 94.2079 128.361747) - (xy 94.225493 128.313409) - (xy 94.270042 128.287689) - ) - ) - ) - (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 4e647fa9-4baf-493a-891e-373b7bb90db1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.55 100.6) - (xy 86.2 100.6) - (xy 86.2 99.7) - (xy 86.55 99.7) - ) - ) - ) - (zone (net 1) (net_name "+5V") (layer "F.Cu") (tstamp 7afec855-ed33-4dd1-8a74-3d2203c81740) (hatch edge 0.508) - (priority 1) - (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) (filled_areas_thickness no) - (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.8)) - (polygon - (pts - (xy 139.2 124.75) - (xy 141.35 124.75) - (xy 141.8 125.2) - (xy 141.8 126.3) - (xy 136.9 131.2) - (xy 136.9 123.8) - (xy 138.25 123.8) - ) - ) - (filled_polygon - (layer "F.Cu") - (pts - (xy 138.26719 123.817593) - (xy 138.272026 123.822026) - (xy 139.2 124.75) - (xy 141.318852 124.75) - (xy 141.36719 124.767593) - (xy 141.372026 124.772026) - (xy 141.777974 125.177974) - (xy 141.799714 125.224594) - (xy 141.8 125.231148) - (xy 141.8 126.268852) - (xy 141.782407 126.31719) - (xy 141.777974 126.322026) - (xy 137.028374 131.071626) - (xy 136.981754 131.093366) - (xy 136.932067 131.080052) - (xy 136.902562 131.037915) - (xy 136.9 131.018452) - (xy 136.9 129.089834) - (xy 137.56 129.089834) - (xy 137.56322 129.098683) - (xy 137.570912 129.097533) - (xy 137.664135 129.050034) - (xy 137.673602 129.043155) - (xy 137.758155 128.958602) - (xy 137.765034 128.949135) - (xy 137.819325 128.842582) - (xy 137.822938 128.831462) - (xy 137.836938 128.743072) - (xy 137.8374 128.7372) - (xy 137.8374 128.683259) - (xy 137.833703 128.673102) - (xy 137.828331 128.67) - (xy 137.573259 128.67) - (xy 137.563102 128.673697) - (xy 137.56 128.679069) - (xy 137.56 129.089834) - (xy 136.9 129.089834) - (xy 136.9 127.856741) - (xy 137.56 127.856741) - (xy 137.563697 127.866898) - (xy 137.569069 127.87) - (xy 137.824141 127.87) - (xy 137.834298 127.866303) - (xy 137.8374 127.860931) - (xy 137.8374 127.8028) - (xy 137.836938 127.796928) - (xy 137.822938 127.708538) - (xy 137.819325 127.697418) - (xy 137.765034 127.590865) - (xy 137.758155 127.581398) - (xy 137.673602 127.496845) - (xy 137.664135 127.489966) - (xy 137.571813 127.442926) - (xy 137.562468 127.441778) - (xy 137.56 127.449155) - (xy 137.56 127.856741) - (xy 136.9 127.856741) - (xy 136.9 125.45322) - (xy 139.271317 125.45322) - (xy 139.272467 125.460912) - (xy 139.319966 125.554135) - (xy 139.326845 125.563602) - (xy 139.411398 125.648155) - (xy 139.420865 125.655034) - (xy 139.527418 125.709325) - (xy 139.538538 125.712938) - (xy 139.626928 125.726938) - (xy 139.6328 125.7274) - (xy 139.686741 125.7274) - (xy 139.696898 125.723703) - (xy 139.7 125.718331) - (xy 139.7 125.714141) - (xy 140.5 125.714141) - (xy 140.503697 125.724298) - (xy 140.509069 125.7274) - (xy 140.5672 125.7274) - (xy 140.573072 125.726938) - (xy 140.661462 125.712938) - (xy 140.672582 125.709325) - (xy 140.779135 125.655034) - (xy 140.788602 125.648155) - (xy 140.873155 125.563602) - (xy 140.880034 125.554135) - (xy 140.927074 125.461813) - (xy 140.928222 125.452468) - (xy 140.920845 125.45) - (xy 140.513259 125.45) - (xy 140.503102 125.453697) - (xy 140.5 125.459069) - (xy 140.5 125.714141) - (xy 139.7 125.714141) - (xy 139.7 125.463259) - (xy 139.696303 125.453102) - (xy 139.690931 125.45) - (xy 139.280166 125.45) - (xy 139.271317 125.45322) - (xy 136.9 125.45322) - (xy 136.9 124.739141) - (xy 137.7 124.739141) - (xy 137.703697 124.749298) - (xy 137.709069 124.7524) - (xy 137.805657 124.7524) - (xy 137.811123 124.752) - (xy 137.871884 124.743055) - (xy 137.882911 124.739629) - (xy 137.977401 124.693237) - (xy 137.987365 124.686103) - (xy 138.06147 124.611867) - (xy 138.064082 124.608207) - (xy 138.064706 124.602317) - (xy 138.057585 124.6) - (xy 137.713259 124.6) - (xy 137.703102 124.603697) - (xy 137.7 124.609069) - (xy 137.7 124.739141) - (xy 136.9 124.739141) - (xy 136.9 123.8752) - (xy 136.917593 123.826862) - (xy 136.962142 123.801142) - (xy 136.9752 123.8) - (xy 138.218852 123.8) - ) - ) - ) - (zone (net 0) (net_name "") (layers F&B.Cu) (tstamp cf7be387-da08-4d97-a3e9-4ac4123d2c0a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 66.548 120.015) - (xy 61.214 120.015) - (xy 61.214 118.491) - (xy 66.548 118.491) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03db) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 133.223) - (xy 88.392 133.604) - (xy 88.773 133.604) - (xy 88.773 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03de) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 133.858) - (xy 89.027 134.239) - (xy 89.408 134.239) - (xy 89.408 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03e1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 131.953) - (xy 88.392 132.334) - (xy 88.773 132.334) - (xy 88.773 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03e4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 137.033) - (xy 89.027 137.414) - (xy 89.408 137.414) - (xy 89.408 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03e7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 132.588) - (xy 89.027 132.969) - (xy 89.408 132.969) - (xy 89.408 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03ea) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 138.303) - (xy 89.027 138.684) - (xy 89.408 138.684) - (xy 89.408 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03ed) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 138.303) - (xy 88.392 138.684) - (xy 88.773 138.684) - (xy 88.773 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03f0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 132.588) - (xy 83.312 132.969) - (xy 83.693 132.969) - (xy 83.693 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03f3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 135.128) - (xy 83.312 135.509) - (xy 83.693 135.509) - (xy 83.693 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03f6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 134.493) - (xy 83.947 134.874) - (xy 84.328 134.874) - (xy 84.328 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03f9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 136.398) - (xy 83.312 136.779) - (xy 83.693 136.779) - (xy 83.693 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03fc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 135.763) - (xy 83.947 136.144) - (xy 84.328 136.144) - (xy 84.328 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d03ff) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 135.763) - (xy 83.312 136.144) - (xy 83.693 136.144) - (xy 83.693 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0402) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 136.398) - (xy 83.947 136.779) - (xy 84.328 136.779) - (xy 84.328 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0405) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 134.493) - (xy 83.312 134.874) - (xy 83.693 134.874) - (xy 83.693 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0408) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 135.128) - (xy 83.947 135.509) - (xy 84.328 135.509) - (xy 84.328 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d040b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 137.668) - (xy 83.312 138.049) - (xy 83.693 138.049) - (xy 83.693 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d040e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 131.953) - (xy 81.407 132.334) - (xy 81.788 132.334) - (xy 81.788 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0411) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 133.858) - (xy 80.772 134.239) - (xy 81.153 134.239) - (xy 81.153 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0414) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 133.223) - (xy 81.407 133.604) - (xy 81.788 133.604) - (xy 81.788 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0417) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 133.223) - (xy 80.772 133.604) - (xy 81.153 133.604) - (xy 81.153 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d041a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 133.223) - (xy 89.027 133.604) - (xy 89.408 133.604) - (xy 89.408 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d041d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 133.223) - (xy 78.867 133.604) - (xy 79.248 133.604) - (xy 79.248 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0420) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 133.223) - (xy 78.232 133.604) - (xy 78.613 133.604) - (xy 78.613 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0423) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 133.858) - (xy 78.867 134.239) - (xy 79.248 134.239) - (xy 79.248 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0426) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 131.953) - (xy 78.232 132.334) - (xy 78.613 132.334) - (xy 78.613 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0429) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 136.398) - (xy 78.867 136.779) - (xy 79.248 136.779) - (xy 79.248 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d042c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 137.668) - (xy 78.232 138.049) - (xy 78.613 138.049) - (xy 78.613 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d042f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 131.953) - (xy 78.867 132.334) - (xy 79.248 132.334) - (xy 79.248 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0432) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 133.858) - (xy 78.232 134.239) - (xy 78.613 134.239) - (xy 78.613 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0435) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 134.493) - (xy 78.232 134.874) - (xy 78.613 134.874) - (xy 78.613 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0438) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 135.128) - (xy 78.867 135.509) - (xy 79.248 135.509) - (xy 79.248 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d043b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 131.953) - (xy 75.692 132.334) - (xy 76.073 132.334) - (xy 76.073 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d043e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 131.953) - (xy 76.327 132.334) - (xy 76.708 132.334) - (xy 76.708 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0441) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 136.398) - (xy 134.112 136.779) - (xy 134.493 136.779) - (xy 134.493 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0444) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 134.493) - (xy 134.747 134.874) - (xy 135.128 134.874) - (xy 135.128 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0447) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 138.303) - (xy 121.412 138.684) - (xy 121.793 138.684) - (xy 121.793 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d044a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 135.128) - (xy 134.112 135.509) - (xy 134.493 135.509) - (xy 134.493 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d044d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 133.858) - (xy 134.747 134.239) - (xy 135.128 134.239) - (xy 135.128 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0450) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 131.953) - (xy 134.112 132.334) - (xy 134.493 132.334) - (xy 134.493 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0453) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 137.668) - (xy 129.032 138.049) - (xy 129.413 138.049) - (xy 129.413 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0456) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 131.953) - (xy 132.207 132.334) - (xy 132.588 132.334) - (xy 132.588 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0459) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 138.303) - (xy 127.127 138.684) - (xy 127.508 138.684) - (xy 127.508 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d045c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 138.303) - (xy 126.492 138.684) - (xy 126.873 138.684) - (xy 126.873 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d045f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 137.033) - (xy 126.492 137.414) - (xy 126.873 137.414) - (xy 126.873 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0462) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 137.668) - (xy 127.127 138.049) - (xy 127.508 138.049) - (xy 127.508 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0465) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 132.588) - (xy 126.492 132.969) - (xy 126.873 132.969) - (xy 126.873 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0468) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 135.128) - (xy 126.492 135.509) - (xy 126.873 135.509) - (xy 126.873 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d046b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 133.223) - (xy 123.952 133.604) - (xy 124.333 133.604) - (xy 124.333 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d046e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 133.858) - (xy 124.587 134.239) - (xy 124.968 134.239) - (xy 124.968 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0471) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 131.953) - (xy 123.952 132.334) - (xy 124.333 132.334) - (xy 124.333 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0474) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 136.398) - (xy 134.747 136.779) - (xy 135.128 136.779) - (xy 135.128 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0477) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 134.493) - (xy 134.112 134.874) - (xy 134.493 134.874) - (xy 134.493 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d047a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 137.033) - (xy 124.587 137.414) - (xy 124.968 137.414) - (xy 124.968 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d047d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 132.588) - (xy 124.587 132.969) - (xy 124.968 132.969) - (xy 124.968 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0480) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 138.303) - (xy 129.667 138.684) - (xy 130.048 138.684) - (xy 130.048 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0483) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 138.303) - (xy 129.032 138.684) - (xy 129.413 138.684) - (xy 129.413 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0486) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 137.033) - (xy 129.032 137.414) - (xy 129.413 137.414) - (xy 129.413 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0489) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 137.668) - (xy 129.667 138.049) - (xy 130.048 138.049) - (xy 130.048 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d048c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 132.588) - (xy 129.032 132.969) - (xy 129.413 132.969) - (xy 129.413 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d048f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 135.128) - (xy 129.032 135.509) - (xy 129.413 135.509) - (xy 129.413 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0492) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 134.493) - (xy 129.667 134.874) - (xy 130.048 134.874) - (xy 130.048 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0495) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 136.398) - (xy 129.032 136.779) - (xy 129.413 136.779) - (xy 129.413 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0498) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 133.223) - (xy 131.572 133.604) - (xy 131.953 133.604) - (xy 131.953 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d049b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 133.858) - (xy 132.207 134.239) - (xy 132.588 134.239) - (xy 132.588 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d049e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 136.398) - (xy 124.587 136.779) - (xy 124.968 136.779) - (xy 124.968 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04a1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 134.493) - (xy 123.952 134.874) - (xy 124.333 134.874) - (xy 124.333 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04a4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 135.128) - (xy 124.587 135.509) - (xy 124.968 135.509) - (xy 124.968 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04a7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 137.668) - (xy 123.952 138.049) - (xy 124.333 138.049) - (xy 124.333 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04aa) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 131.953) - (xy 127.127 132.334) - (xy 127.508 132.334) - (xy 127.508 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04ad) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 133.858) - (xy 126.492 134.239) - (xy 126.873 134.239) - (xy 126.873 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04b0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 133.223) - (xy 127.127 133.604) - (xy 127.508 133.604) - (xy 127.508 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04b3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 133.223) - (xy 126.492 133.604) - (xy 126.873 133.604) - (xy 126.873 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04b6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 131.953) - (xy 131.572 132.334) - (xy 131.953 132.334) - (xy 131.953 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04b9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 133.858) - (xy 127.127 134.239) - (xy 127.508 134.239) - (xy 127.508 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04bc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 131.953) - (xy 126.492 132.334) - (xy 126.873 132.334) - (xy 126.873 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04bf) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 133.858) - (xy 131.572 134.239) - (xy 131.953 134.239) - (xy 131.953 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04c2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 133.223) - (xy 132.207 133.604) - (xy 132.588 133.604) - (xy 132.588 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04c5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 137.033) - (xy 134.747 137.414) - (xy 135.128 137.414) - (xy 135.128 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04c8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 137.033) - (xy 127.127 137.414) - (xy 127.508 137.414) - (xy 127.508 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04cb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 132.588) - (xy 127.127 132.969) - (xy 127.508 132.969) - (xy 127.508 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04ce) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 138.303) - (xy 124.587 138.684) - (xy 124.968 138.684) - (xy 124.968 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04d1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 138.303) - (xy 123.952 138.684) - (xy 124.333 138.684) - (xy 124.333 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04d4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 133.223) - (xy 134.112 133.604) - (xy 134.493 133.604) - (xy 134.493 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04d7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 136.398) - (xy 129.667 136.779) - (xy 130.048 136.779) - (xy 130.048 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04da) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 134.493) - (xy 129.032 134.874) - (xy 129.413 134.874) - (xy 129.413 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04dd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 135.128) - (xy 129.667 135.509) - (xy 130.048 135.509) - (xy 130.048 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04e0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 135.763) - (xy 129.667 136.144) - (xy 130.048 136.144) - (xy 130.048 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04e3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 135.763) - (xy 129.032 136.144) - (xy 129.413 136.144) - (xy 129.413 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04e6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 132.588) - (xy 123.952 132.969) - (xy 124.333 132.969) - (xy 124.333 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04e9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 134.493) - (xy 132.207 134.874) - (xy 132.588 134.874) - (xy 132.588 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04ec) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 136.398) - (xy 131.572 136.779) - (xy 131.953 136.779) - (xy 131.953 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04ef) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 135.763) - (xy 132.207 136.144) - (xy 132.588 136.144) - (xy 132.588 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04f2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 135.763) - (xy 131.572 136.144) - (xy 131.953 136.144) - (xy 131.953 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04f5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 136.398) - (xy 132.207 136.779) - (xy 132.588 136.779) - (xy 132.588 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04f8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 134.493) - (xy 131.572 134.874) - (xy 131.953 134.874) - (xy 131.953 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04fb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 135.128) - (xy 132.207 135.509) - (xy 132.588 135.509) - (xy 132.588 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d04fe) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 137.668) - (xy 131.572 138.049) - (xy 131.953 138.049) - (xy 131.953 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0501) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 131.953) - (xy 129.667 132.334) - (xy 130.048 132.334) - (xy 130.048 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0504) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 133.858) - (xy 129.032 134.239) - (xy 129.413 134.239) - (xy 129.413 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0507) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 133.223) - (xy 129.667 133.604) - (xy 130.048 133.604) - (xy 130.048 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d050a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 133.223) - (xy 129.032 133.604) - (xy 129.413 133.604) - (xy 129.413 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d050d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 133.858) - (xy 129.667 134.239) - (xy 130.048 134.239) - (xy 130.048 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0510) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.032 131.953) - (xy 129.032 132.334) - (xy 129.413 132.334) - (xy 129.413 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0513) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 137.033) - (xy 129.667 137.414) - (xy 130.048 137.414) - (xy 130.048 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0516) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 129.667 132.588) - (xy 129.667 132.969) - (xy 130.048 132.969) - (xy 130.048 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0519) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 135.763) - (xy 134.747 136.144) - (xy 135.128 136.144) - (xy 135.128 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d051c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 135.763) - (xy 134.112 136.144) - (xy 134.493 136.144) - (xy 134.493 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d051f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 131.953) - (xy 134.747 132.334) - (xy 135.128 132.334) - (xy 135.128 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0522) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 133.858) - (xy 134.112 134.239) - (xy 134.493 134.239) - (xy 134.493 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0525) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 137.668) - (xy 134.112 138.049) - (xy 134.493 138.049) - (xy 134.493 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0528) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 133.223) - (xy 134.747 133.604) - (xy 135.128 133.604) - (xy 135.128 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d052b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 137.033) - (xy 132.207 137.414) - (xy 132.588 137.414) - (xy 132.588 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d052e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 132.588) - (xy 132.207 132.969) - (xy 132.588 132.969) - (xy 132.588 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0531) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 138.303) - (xy 132.207 138.684) - (xy 132.588 138.684) - (xy 132.588 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0534) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 138.303) - (xy 131.572 138.684) - (xy 131.953 138.684) - (xy 131.953 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0537) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 137.033) - (xy 131.572 137.414) - (xy 131.953 137.414) - (xy 131.953 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d053a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 132.207 137.668) - (xy 132.207 138.049) - (xy 132.588 138.049) - (xy 132.588 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d053d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 132.588) - (xy 131.572 132.969) - (xy 131.953 132.969) - (xy 131.953 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0540) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 131.953) - (xy 121.412 132.334) - (xy 121.793 132.334) - (xy 121.793 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0543) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 137.033) - (xy 122.047 137.414) - (xy 122.428 137.414) - (xy 122.428 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0546) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 132.588) - (xy 122.047 132.969) - (xy 122.428 132.969) - (xy 122.428 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0549) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 138.303) - (xy 122.047 138.684) - (xy 122.428 138.684) - (xy 122.428 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d054c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 132.588) - (xy 134.747 132.969) - (xy 135.128 132.969) - (xy 135.128 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d054f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 135.763) - (xy 123.952 136.144) - (xy 124.333 136.144) - (xy 124.333 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0552) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 137.033) - (xy 123.952 137.414) - (xy 124.333 137.414) - (xy 124.333 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0555) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 137.668) - (xy 124.587 138.049) - (xy 124.968 138.049) - (xy 124.968 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0558) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 138.303) - (xy 111.887 138.684) - (xy 112.268 138.684) - (xy 112.268 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d055b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 138.303) - (xy 111.252 138.684) - (xy 111.633 138.684) - (xy 111.633 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d055e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 137.033) - (xy 111.252 137.414) - (xy 111.633 137.414) - (xy 111.633 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0561) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 131.953) - (xy 109.347 132.334) - (xy 109.728 132.334) - (xy 109.728 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0564) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 133.858) - (xy 108.712 134.239) - (xy 109.093 134.239) - (xy 109.093 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0567) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 133.223) - (xy 109.347 133.604) - (xy 109.728 133.604) - (xy 109.728 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d056a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 133.223) - (xy 108.712 133.604) - (xy 109.093 133.604) - (xy 109.093 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d056d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 131.953) - (xy 113.792 132.334) - (xy 114.173 132.334) - (xy 114.173 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0570) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 133.858) - (xy 109.347 134.239) - (xy 109.728 134.239) - (xy 109.728 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0573) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 131.953) - (xy 108.712 132.334) - (xy 109.093 132.334) - (xy 109.093 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0576) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 133.858) - (xy 113.792 134.239) - (xy 114.173 134.239) - (xy 114.173 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0579) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 133.223) - (xy 114.427 133.604) - (xy 114.808 133.604) - (xy 114.808 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d057c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 137.033) - (xy 116.967 137.414) - (xy 117.348 137.414) - (xy 117.348 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d057f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 137.033) - (xy 109.347 137.414) - (xy 109.728 137.414) - (xy 109.728 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0582) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 132.588) - (xy 109.347 132.969) - (xy 109.728 132.969) - (xy 109.728 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0585) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 138.303) - (xy 106.807 138.684) - (xy 107.188 138.684) - (xy 107.188 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0588) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 138.303) - (xy 106.172 138.684) - (xy 106.553 138.684) - (xy 106.553 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d058b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 133.223) - (xy 116.332 133.604) - (xy 116.713 133.604) - (xy 116.713 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d058e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 133.858) - (xy 116.967 134.239) - (xy 117.348 134.239) - (xy 117.348 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0591) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 131.953) - (xy 116.332 132.334) - (xy 116.713 132.334) - (xy 116.713 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0594) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 135.128) - (xy 121.412 135.509) - (xy 121.793 135.509) - (xy 121.793 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0597) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 131.953) - (xy 122.047 132.334) - (xy 122.428 132.334) - (xy 122.428 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d059a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 133.858) - (xy 121.412 134.239) - (xy 121.793 134.239) - (xy 121.793 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d059d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 133.223) - (xy 122.047 133.604) - (xy 122.428 133.604) - (xy 122.428 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05a0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 133.223) - (xy 121.412 133.604) - (xy 121.793 133.604) - (xy 121.793 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05a3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 133.858) - (xy 122.047 134.239) - (xy 122.428 134.239) - (xy 122.428 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05a6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 131.953) - (xy 106.807 132.334) - (xy 107.188 132.334) - (xy 107.188 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05a9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 135.128) - (xy 116.967 135.509) - (xy 117.348 135.509) - (xy 117.348 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05ac) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 133.858) - (xy 106.172 134.239) - (xy 106.553 134.239) - (xy 106.553 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05af) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 133.223) - (xy 106.807 133.604) - (xy 107.188 133.604) - (xy 107.188 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05b2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 133.223) - (xy 106.172 133.604) - (xy 106.553 133.604) - (xy 106.553 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05b5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 133.858) - (xy 106.807 134.239) - (xy 107.188 134.239) - (xy 107.188 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05b8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 131.953) - (xy 106.172 132.334) - (xy 106.553 132.334) - (xy 106.553 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05bb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 136.398) - (xy 116.967 136.779) - (xy 117.348 136.779) - (xy 117.348 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05be) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 134.493) - (xy 116.332 134.874) - (xy 116.713 134.874) - (xy 116.713 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05c1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 137.033) - (xy 106.807 137.414) - (xy 107.188 137.414) - (xy 107.188 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05c4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 132.588) - (xy 106.807 132.969) - (xy 107.188 132.969) - (xy 107.188 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05c7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 135.128) - (xy 109.347 135.509) - (xy 109.728 135.509) - (xy 109.728 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05ca) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 137.668) - (xy 108.712 138.049) - (xy 109.093 138.049) - (xy 109.093 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05cd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 135.763) - (xy 108.712 136.144) - (xy 109.093 136.144) - (xy 109.093 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05d0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 136.398) - (xy 109.347 136.779) - (xy 109.728 136.779) - (xy 109.728 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05d3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 134.493) - (xy 108.712 134.874) - (xy 109.093 134.874) - (xy 109.093 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05d6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 136.398) - (xy 119.507 136.779) - (xy 119.888 136.779) - (xy 119.888 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05d9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 134.493) - (xy 118.872 134.874) - (xy 119.253 134.874) - (xy 119.253 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05dc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 135.128) - (xy 119.507 135.509) - (xy 119.888 135.509) - (xy 119.888 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05df) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 137.668) - (xy 118.872 138.049) - (xy 119.253 138.049) - (xy 119.253 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05e2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 131.953) - (xy 116.967 132.334) - (xy 117.348 132.334) - (xy 117.348 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05e5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 133.858) - (xy 116.332 134.239) - (xy 116.713 134.239) - (xy 116.713 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05e8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 137.668) - (xy 116.332 138.049) - (xy 116.713 138.049) - (xy 116.713 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05eb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 133.223) - (xy 116.967 133.604) - (xy 117.348 133.604) - (xy 117.348 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05ee) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 137.033) - (xy 114.427 137.414) - (xy 114.808 137.414) - (xy 114.808 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05f1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 132.588) - (xy 114.427 132.969) - (xy 114.808 132.969) - (xy 114.808 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05f4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 138.303) - (xy 114.427 138.684) - (xy 114.808 138.684) - (xy 114.808 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05f7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 138.303) - (xy 113.792 138.684) - (xy 114.173 138.684) - (xy 114.173 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05fa) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 137.033) - (xy 113.792 137.414) - (xy 114.173 137.414) - (xy 114.173 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d05fd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 138.303) - (xy 108.712 138.684) - (xy 109.093 138.684) - (xy 109.093 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0600) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 137.033) - (xy 108.712 137.414) - (xy 109.093 137.414) - (xy 109.093 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0603) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 137.668) - (xy 109.347 138.049) - (xy 109.728 138.049) - (xy 109.728 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0606) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 132.588) - (xy 108.712 132.969) - (xy 109.093 132.969) - (xy 109.093 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0609) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 135.128) - (xy 108.712 135.509) - (xy 109.093 135.509) - (xy 109.093 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d060c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 134.493) - (xy 109.347 134.874) - (xy 109.728 134.874) - (xy 109.728 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d060f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 108.712 136.398) - (xy 108.712 136.779) - (xy 109.093 136.779) - (xy 109.093 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0612) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 135.763) - (xy 109.347 136.144) - (xy 109.728 136.144) - (xy 109.728 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0618) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 134.493) - (xy 119.507 134.874) - (xy 119.888 134.874) - (xy 119.888 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d061b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 136.398) - (xy 118.872 136.779) - (xy 119.253 136.779) - (xy 119.253 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d061e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 135.763) - (xy 119.507 136.144) - (xy 119.888 136.144) - (xy 119.888 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0621) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 135.763) - (xy 118.872 136.144) - (xy 119.253 136.144) - (xy 119.253 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d062d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 134.493) - (xy 111.887 134.874) - (xy 112.268 134.874) - (xy 112.268 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0630) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 136.398) - (xy 111.252 136.779) - (xy 111.633 136.779) - (xy 111.633 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0633) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 133.858) - (xy 114.427 134.239) - (xy 114.808 134.239) - (xy 114.808 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0636) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 132.588) - (xy 113.792 132.969) - (xy 114.173 132.969) - (xy 114.173 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0639) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 135.763) - (xy 111.887 136.144) - (xy 112.268 136.144) - (xy 112.268 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d063c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 135.763) - (xy 111.252 136.144) - (xy 111.633 136.144) - (xy 111.633 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d063f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 136.398) - (xy 111.887 136.779) - (xy 112.268 136.779) - (xy 112.268 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0642) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 134.493) - (xy 111.252 134.874) - (xy 111.633 134.874) - (xy 111.633 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0645) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 135.128) - (xy 111.887 135.509) - (xy 112.268 135.509) - (xy 112.268 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0648) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 137.668) - (xy 111.252 138.049) - (xy 111.633 138.049) - (xy 111.633 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d064b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 131.953) - (xy 114.427 132.334) - (xy 114.808 132.334) - (xy 114.808 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d064e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 109.347 138.303) - (xy 109.347 138.684) - (xy 109.728 138.684) - (xy 109.728 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0651) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 137.668) - (xy 111.887 138.049) - (xy 112.268 138.049) - (xy 112.268 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0654) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 132.588) - (xy 111.252 132.969) - (xy 111.633 132.969) - (xy 111.633 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0657) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 135.128) - (xy 111.252 135.509) - (xy 111.633 135.509) - (xy 111.633 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d065a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 133.223) - (xy 124.587 133.604) - (xy 124.968 133.604) - (xy 124.968 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d065d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 135.763) - (xy 116.967 136.144) - (xy 117.348 136.144) - (xy 117.348 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0660) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 135.763) - (xy 116.332 136.144) - (xy 116.713 136.144) - (xy 116.713 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0663) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 137.668) - (xy 114.427 138.049) - (xy 114.808 138.049) - (xy 114.808 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0666) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 136.398) - (xy 113.792 136.779) - (xy 114.173 136.779) - (xy 114.173 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0669) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 135.763) - (xy 114.427 136.144) - (xy 114.808 136.144) - (xy 114.808 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d066c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 135.763) - (xy 113.792 136.144) - (xy 114.173 136.144) - (xy 114.173 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d066f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 136.398) - (xy 114.427 136.779) - (xy 114.808 136.779) - (xy 114.808 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0672) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 134.493) - (xy 113.792 134.874) - (xy 114.173 134.874) - (xy 114.173 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0675) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 135.128) - (xy 114.427 135.509) - (xy 114.808 135.509) - (xy 114.808 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0678) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 137.668) - (xy 113.792 138.049) - (xy 114.173 138.049) - (xy 114.173 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d067b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 131.953) - (xy 111.887 132.334) - (xy 112.268 132.334) - (xy 112.268 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d067e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 133.858) - (xy 111.252 134.239) - (xy 111.633 134.239) - (xy 111.633 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0681) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 133.223) - (xy 111.887 133.604) - (xy 112.268 133.604) - (xy 112.268 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0684) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 133.223) - (xy 111.252 133.604) - (xy 111.633 133.604) - (xy 111.633 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0687) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 133.858) - (xy 111.887 134.239) - (xy 112.268 134.239) - (xy 112.268 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d068a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.252 131.953) - (xy 111.252 132.334) - (xy 111.633 132.334) - (xy 111.633 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d068d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 137.033) - (xy 111.887 137.414) - (xy 112.268 137.414) - (xy 112.268 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0690) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.887 132.588) - (xy 111.887 132.969) - (xy 112.268 132.969) - (xy 112.268 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0693) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 137.033) - (xy 106.172 137.414) - (xy 106.553 137.414) - (xy 106.553 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0696) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 137.668) - (xy 106.807 138.049) - (xy 107.188 138.049) - (xy 107.188 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0699) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 132.588) - (xy 106.172 132.969) - (xy 106.553 132.969) - (xy 106.553 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d069c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 114.427 134.493) - (xy 114.427 134.874) - (xy 114.808 134.874) - (xy 114.808 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d069f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 131.953) - (xy 119.507 132.334) - (xy 119.888 132.334) - (xy 119.888 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06a2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 133.858) - (xy 118.872 134.239) - (xy 119.253 134.239) - (xy 119.253 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06a5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 135.763) - (xy 106.172 136.144) - (xy 106.553 136.144) - (xy 106.553 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06a8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 137.668) - (xy 116.967 138.049) - (xy 117.348 138.049) - (xy 117.348 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06ab) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 132.588) - (xy 116.332 132.969) - (xy 116.713 132.969) - (xy 116.713 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06ae) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 135.128) - (xy 116.332 135.509) - (xy 116.713 135.509) - (xy 116.713 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06b1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 134.493) - (xy 116.967 134.874) - (xy 117.348 134.874) - (xy 117.348 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06b4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 136.398) - (xy 116.332 136.779) - (xy 116.713 136.779) - (xy 116.713 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06b7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 135.128) - (xy 118.872 135.509) - (xy 119.253 135.509) - (xy 119.253 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06ba) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 138.303) - (xy 116.967 138.684) - (xy 117.348 138.684) - (xy 117.348 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06bd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 138.303) - (xy 116.332 138.684) - (xy 116.713 138.684) - (xy 116.713 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06c0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.332 137.033) - (xy 116.332 137.414) - (xy 116.713 137.414) - (xy 116.713 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06c3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 137.033) - (xy 98.552 137.414) - (xy 98.933 137.414) - (xy 98.933 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06c6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 137.668) - (xy 99.187 138.049) - (xy 99.568 138.049) - (xy 99.568 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06c9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 132.588) - (xy 98.552 132.969) - (xy 98.933 132.969) - (xy 98.933 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06cc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 116.967 132.588) - (xy 116.967 132.969) - (xy 117.348 132.969) - (xy 117.348 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06cf) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 136.398) - (xy 96.012 136.779) - (xy 96.393 136.779) - (xy 96.393 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06d2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 133.858) - (xy 99.187 134.239) - (xy 99.568 134.239) - (xy 99.568 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06d5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 131.953) - (xy 98.552 132.334) - (xy 98.933 132.334) - (xy 98.933 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06d8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 137.033) - (xy 99.187 137.414) - (xy 99.568 137.414) - (xy 99.568 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06db) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 132.588) - (xy 99.187 132.969) - (xy 99.568 132.969) - (xy 99.568 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06de) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 138.303) - (xy 99.187 138.684) - (xy 99.568 138.684) - (xy 99.568 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06e1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 138.303) - (xy 98.552 138.684) - (xy 98.933 138.684) - (xy 98.933 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06e4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 134.493) - (xy 96.647 134.874) - (xy 97.028 134.874) - (xy 97.028 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06e7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 138.303) - (xy 96.012 138.684) - (xy 96.393 138.684) - (xy 96.393 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06ea) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 137.033) - (xy 96.012 137.414) - (xy 96.393 137.414) - (xy 96.393 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06ed) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 137.668) - (xy 96.647 138.049) - (xy 97.028 138.049) - (xy 97.028 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06f0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 132.588) - (xy 96.012 132.969) - (xy 96.393 132.969) - (xy 96.393 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06f3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 135.128) - (xy 96.012 135.509) - (xy 96.393 135.509) - (xy 96.393 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06f6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 134.493) - (xy 78.867 134.874) - (xy 79.248 134.874) - (xy 79.248 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06f9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 136.398) - (xy 78.232 136.779) - (xy 78.613 136.779) - (xy 78.613 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06fc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 135.763) - (xy 78.867 136.144) - (xy 79.248 136.144) - (xy 79.248 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d06ff) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 135.763) - (xy 78.232 136.144) - (xy 78.613 136.144) - (xy 78.613 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0702) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 137.033) - (xy 78.867 137.414) - (xy 79.248 137.414) - (xy 79.248 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0705) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 132.588) - (xy 78.867 132.969) - (xy 79.248 132.969) - (xy 79.248 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0708) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 138.303) - (xy 81.407 138.684) - (xy 81.788 138.684) - (xy 81.788 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d070b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 138.303) - (xy 80.772 138.684) - (xy 81.153 138.684) - (xy 81.153 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d070e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 137.033) - (xy 80.772 137.414) - (xy 81.153 137.414) - (xy 81.153 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0711) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 137.668) - (xy 81.407 138.049) - (xy 81.788 138.049) - (xy 81.788 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0714) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 132.588) - (xy 80.772 132.969) - (xy 81.153 132.969) - (xy 81.153 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0717) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 135.128) - (xy 80.772 135.509) - (xy 81.153 135.509) - (xy 81.153 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d071a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 134.493) - (xy 81.407 134.874) - (xy 81.788 134.874) - (xy 81.788 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d071d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 136.398) - (xy 80.772 136.779) - (xy 81.153 136.779) - (xy 81.153 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0720) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 135.763) - (xy 81.407 136.144) - (xy 81.788 136.144) - (xy 81.788 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0723) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 135.763) - (xy 80.772 136.144) - (xy 81.153 136.144) - (xy 81.153 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0726) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 136.398) - (xy 81.407 136.779) - (xy 81.788 136.779) - (xy 81.788 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0729) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 134.493) - (xy 80.772 134.874) - (xy 81.153 134.874) - (xy 81.153 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d072c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 135.128) - (xy 81.407 135.509) - (xy 81.788 135.509) - (xy 81.788 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d072f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 137.668) - (xy 80.772 138.049) - (xy 81.153 138.049) - (xy 81.153 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0732) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 137.668) - (xy 78.867 138.049) - (xy 79.248 138.049) - (xy 79.248 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0735) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 132.588) - (xy 78.232 132.969) - (xy 78.613 132.969) - (xy 78.613 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0738) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 135.128) - (xy 78.232 135.509) - (xy 78.613 135.509) - (xy 78.613 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d073b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 138.303) - (xy 75.692 138.684) - (xy 76.073 138.684) - (xy 76.073 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d073e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 137.033) - (xy 75.692 137.414) - (xy 76.073 137.414) - (xy 76.073 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0741) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 137.668) - (xy 76.327 138.049) - (xy 76.708 138.049) - (xy 76.708 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0744) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.867 138.303) - (xy 78.867 138.684) - (xy 79.248 138.684) - (xy 79.248 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0747) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 138.303) - (xy 78.232 138.684) - (xy 78.613 138.684) - (xy 78.613 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d074a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 78.232 137.033) - (xy 78.232 137.414) - (xy 78.613 137.414) - (xy 78.613 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d074d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 137.033) - (xy 134.112 137.414) - (xy 134.493 137.414) - (xy 134.493 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0750) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 137.033) - (xy 76.327 137.414) - (xy 76.708 137.414) - (xy 76.708 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0753) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 138.303) - (xy 76.327 138.684) - (xy 76.708 138.684) - (xy 76.708 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0756) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 135.128) - (xy 75.692 135.509) - (xy 76.073 135.509) - (xy 76.073 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0759) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 134.493) - (xy 76.327 134.874) - (xy 76.708 134.874) - (xy 76.708 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d075c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 133.858) - (xy 76.327 134.239) - (xy 76.708 134.239) - (xy 76.708 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d075f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 133.858) - (xy 75.692 134.239) - (xy 76.073 134.239) - (xy 76.073 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0762) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 133.223) - (xy 76.327 133.604) - (xy 76.708 133.604) - (xy 76.708 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0765) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 133.223) - (xy 75.692 133.604) - (xy 76.073 133.604) - (xy 76.073 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0768) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 132.588) - (xy 76.327 132.969) - (xy 76.708 132.969) - (xy 76.708 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d076b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 132.588) - (xy 75.692 132.969) - (xy 76.073 132.969) - (xy 76.073 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d076e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 136.398) - (xy 75.692 136.779) - (xy 76.073 136.779) - (xy 76.073 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0771) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 135.763) - (xy 76.327 136.144) - (xy 76.708 136.144) - (xy 76.708 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0774) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 135.763) - (xy 75.692 136.144) - (xy 76.073 136.144) - (xy 76.073 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0777) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 136.398) - (xy 76.327 136.779) - (xy 76.708 136.779) - (xy 76.708 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d077a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 134.493) - (xy 75.692 134.874) - (xy 76.073 134.874) - (xy 76.073 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d077d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 76.327 135.128) - (xy 76.327 135.509) - (xy 76.708 135.509) - (xy 76.708 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0780) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 75.692 137.668) - (xy 75.692 138.049) - (xy 76.073 138.049) - (xy 76.073 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0783) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 135.128) - (xy 134.747 135.509) - (xy 135.128 135.509) - (xy 135.128 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0786) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 138.303) - (xy 134.112 138.684) - (xy 134.493 138.684) - (xy 134.493 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0789) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 138.303) - (xy 134.747 138.684) - (xy 135.128 138.684) - (xy 135.128 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d078c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 138.303) - (xy 118.872 138.684) - (xy 119.253 138.684) - (xy 119.253 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d078f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 134.493) - (xy 127.127 134.874) - (xy 127.508 134.874) - (xy 127.508 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0792) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 136.398) - (xy 127.127 136.779) - (xy 127.508 136.779) - (xy 127.508 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0795) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.112 132.588) - (xy 134.112 132.969) - (xy 134.493 132.969) - (xy 134.493 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0798) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 137.668) - (xy 121.412 138.049) - (xy 121.793 138.049) - (xy 121.793 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d079b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 135.128) - (xy 127.127 135.509) - (xy 127.508 135.509) - (xy 127.508 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d079e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 137.668) - (xy 106.172 138.049) - (xy 106.553 138.049) - (xy 106.553 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07a1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 135.128) - (xy 106.807 135.509) - (xy 107.188 135.509) - (xy 107.188 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07a4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 134.493) - (xy 106.172 134.874) - (xy 106.553 134.874) - (xy 106.553 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07a7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 133.223) - (xy 113.792 133.604) - (xy 114.173 133.604) - (xy 114.173 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07aa) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 131.572 135.128) - (xy 131.572 135.509) - (xy 131.953 135.509) - (xy 131.953 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07ad) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 134.747 137.668) - (xy 134.747 138.049) - (xy 135.128 138.049) - (xy 135.128 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07b0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 135.763) - (xy 124.587 136.144) - (xy 124.968 136.144) - (xy 124.968 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07b3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 131.953) - (xy 124.587 132.334) - (xy 124.968 132.334) - (xy 124.968 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07b6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 135.763) - (xy 126.492 136.144) - (xy 126.873 136.144) - (xy 126.873 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07b9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 127.127 135.763) - (xy 127.127 136.144) - (xy 127.508 136.144) - (xy 127.508 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07bc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 137.033) - (xy 121.412 137.414) - (xy 121.793 137.414) - (xy 121.793 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07bf) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 134.493) - (xy 121.412 134.874) - (xy 121.793 134.874) - (xy 121.793 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07c2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 134.493) - (xy 122.047 134.874) - (xy 122.428 134.874) - (xy 122.428 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07c5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 136.398) - (xy 121.412 136.779) - (xy 121.793 136.779) - (xy 121.793 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07c8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 133.858) - (xy 123.952 134.239) - (xy 124.333 134.239) - (xy 124.333 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07cb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 136.398) - (xy 106.807 136.779) - (xy 107.188 136.779) - (xy 107.188 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07ce) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 135.763) - (xy 121.412 136.144) - (xy 121.793 136.144) - (xy 121.793 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07d1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 136.398) - (xy 123.952 136.779) - (xy 124.333 136.779) - (xy 124.333 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07d4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 135.128) - (xy 122.047 135.509) - (xy 122.428 135.509) - (xy 122.428 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07d7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 136.398) - (xy 122.047 136.779) - (xy 122.428 136.779) - (xy 122.428 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07da) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 135.763) - (xy 122.047 136.144) - (xy 122.428 136.144) - (xy 122.428 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07dd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 136.398) - (xy 126.492 136.779) - (xy 126.873 136.779) - (xy 126.873 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07e0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 122.047 137.668) - (xy 122.047 138.049) - (xy 122.428 138.049) - (xy 122.428 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07e3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 124.587 134.493) - (xy 124.587 134.874) - (xy 124.968 134.874) - (xy 124.968 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07e6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 137.668) - (xy 126.492 138.049) - (xy 126.873 138.049) - (xy 126.873 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07e9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 121.412 132.588) - (xy 121.412 132.969) - (xy 121.793 132.969) - (xy 121.793 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07ec) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 123.952 135.128) - (xy 123.952 135.509) - (xy 124.333 135.509) - (xy 124.333 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07ef) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 126.492 134.493) - (xy 126.492 134.874) - (xy 126.873 134.874) - (xy 126.873 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07f2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 133.223) - (xy 101.092 133.604) - (xy 101.473 133.604) - (xy 101.473 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07f5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 133.858) - (xy 101.092 134.239) - (xy 101.473 134.239) - (xy 101.473 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07f8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 137.033) - (xy 101.727 137.414) - (xy 102.108 137.414) - (xy 102.108 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07fb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 133.858) - (xy 101.727 134.239) - (xy 102.108 134.239) - (xy 102.108 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d07fe) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 138.303) - (xy 86.487 138.684) - (xy 86.868 138.684) - (xy 86.868 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0801) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 138.303) - (xy 85.852 138.684) - (xy 86.233 138.684) - (xy 86.233 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0804) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 137.033) - (xy 85.852 137.414) - (xy 86.233 137.414) - (xy 86.233 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0807) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 137.668) - (xy 86.487 138.049) - (xy 86.868 138.049) - (xy 86.868 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d080a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 132.588) - (xy 85.852 132.969) - (xy 86.233 132.969) - (xy 86.233 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d080d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 135.128) - (xy 85.852 135.509) - (xy 86.233 135.509) - (xy 86.233 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0810) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 136.398) - (xy 85.852 136.779) - (xy 86.233 136.779) - (xy 86.233 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0813) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 135.763) - (xy 86.487 136.144) - (xy 86.868 136.144) - (xy 86.868 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0816) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 135.763) - (xy 85.852 136.144) - (xy 86.233 136.144) - (xy 86.233 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0819) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 136.398) - (xy 86.487 136.779) - (xy 86.868 136.779) - (xy 86.868 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d081c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 134.493) - (xy 85.852 134.874) - (xy 86.233 134.874) - (xy 86.233 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d081f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 135.128) - (xy 86.487 135.509) - (xy 86.868 135.509) - (xy 86.868 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0822) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 137.668) - (xy 85.852 138.049) - (xy 86.233 138.049) - (xy 86.233 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0825) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 131.953) - (xy 89.027 132.334) - (xy 89.408 132.334) - (xy 89.408 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0828) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 133.858) - (xy 88.392 134.239) - (xy 88.773 134.239) - (xy 88.773 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d082b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 137.668) - (xy 103.632 138.049) - (xy 104.013 138.049) - (xy 104.013 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d082e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 131.953) - (xy 101.727 132.334) - (xy 102.108 132.334) - (xy 102.108 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0831) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 132.588) - (xy 101.727 132.969) - (xy 102.108 132.969) - (xy 102.108 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0834) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 133.223) - (xy 94.107 133.604) - (xy 94.488 133.604) - (xy 94.488 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0837) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 131.953) - (xy 101.092 132.334) - (xy 101.473 132.334) - (xy 101.473 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d083a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 133.223) - (xy 93.472 133.604) - (xy 93.853 133.604) - (xy 93.853 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d083d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 133.858) - (xy 94.107 134.239) - (xy 94.488 134.239) - (xy 94.488 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0840) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 131.953) - (xy 93.472 132.334) - (xy 93.853 132.334) - (xy 93.853 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0843) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 137.668) - (xy 101.092 138.049) - (xy 101.473 138.049) - (xy 101.473 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0846) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 133.223) - (xy 101.727 133.604) - (xy 102.108 133.604) - (xy 102.108 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0849) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 132.588) - (xy 91.567 132.969) - (xy 91.948 132.969) - (xy 91.948 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d084c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 138.303) - (xy 96.647 138.684) - (xy 97.028 138.684) - (xy 97.028 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d084f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 137.033) - (xy 94.107 137.414) - (xy 94.488 137.414) - (xy 94.488 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0852) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 132.588) - (xy 94.107 132.969) - (xy 94.488 132.969) - (xy 94.488 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0855) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 138.303) - (xy 94.107 138.684) - (xy 94.488 138.684) - (xy 94.488 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0858) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 138.303) - (xy 93.472 138.684) - (xy 93.853 138.684) - (xy 93.853 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d085b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 137.033) - (xy 93.472 137.414) - (xy 93.853 137.414) - (xy 93.853 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d085e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 137.668) - (xy 94.107 138.049) - (xy 94.488 138.049) - (xy 94.488 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0861) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 132.588) - (xy 93.472 132.969) - (xy 93.853 132.969) - (xy 93.853 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0864) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 135.128) - (xy 93.472 135.509) - (xy 93.853 135.509) - (xy 93.853 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0867) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 135.763) - (xy 96.647 136.144) - (xy 97.028 136.144) - (xy 97.028 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d086a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 135.763) - (xy 96.012 136.144) - (xy 96.393 136.144) - (xy 96.393 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d086d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 136.398) - (xy 96.647 136.779) - (xy 97.028 136.779) - (xy 97.028 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0870) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 134.493) - (xy 96.012 134.874) - (xy 96.393 134.874) - (xy 96.393 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0873) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 135.128) - (xy 96.647 135.509) - (xy 97.028 135.509) - (xy 97.028 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0876) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 137.668) - (xy 96.012 138.049) - (xy 96.393 138.049) - (xy 96.393 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0879) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 131.953) - (xy 99.187 132.334) - (xy 99.568 132.334) - (xy 99.568 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d087c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 133.858) - (xy 98.552 134.239) - (xy 98.933 134.239) - (xy 98.933 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d087f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 133.223) - (xy 99.187 133.604) - (xy 99.568 133.604) - (xy 99.568 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0882) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 133.223) - (xy 98.552 133.604) - (xy 98.933 133.604) - (xy 98.933 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0885) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 133.223) - (xy 119.507 133.604) - (xy 119.888 133.604) - (xy 119.888 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0888) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 133.223) - (xy 118.872 133.604) - (xy 119.253 133.604) - (xy 119.253 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d088b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 133.858) - (xy 119.507 134.239) - (xy 119.888 134.239) - (xy 119.888 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d088e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 131.953) - (xy 118.872 132.334) - (xy 119.253 132.334) - (xy 119.253 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0891) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 137.033) - (xy 119.507 137.414) - (xy 119.888 137.414) - (xy 119.888 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0894) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 132.588) - (xy 119.507 132.969) - (xy 119.888 132.969) - (xy 119.888 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0897) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 138.303) - (xy 119.507 138.684) - (xy 119.888 138.684) - (xy 119.888 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d089a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 135.128) - (xy 106.172 135.509) - (xy 106.553 135.509) - (xy 106.553 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d089d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 134.493) - (xy 106.807 134.874) - (xy 107.188 134.874) - (xy 107.188 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08a0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.172 136.398) - (xy 106.172 136.779) - (xy 106.553 136.779) - (xy 106.553 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08a3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 106.807 135.763) - (xy 106.807 136.144) - (xy 107.188 136.144) - (xy 107.188 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08a6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 113.792 135.128) - (xy 113.792 135.509) - (xy 114.173 135.509) - (xy 114.173 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08a9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 137.033) - (xy 118.872 137.414) - (xy 119.253 137.414) - (xy 119.253 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08ac) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 119.507 137.668) - (xy 119.507 138.049) - (xy 119.888 138.049) - (xy 119.888 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08af) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 118.872 132.588) - (xy 118.872 132.969) - (xy 119.253 132.969) - (xy 119.253 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08b2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 137.033) - (xy 88.392 137.414) - (xy 88.773 137.414) - (xy 88.773 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08b5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 137.668) - (xy 89.027 138.049) - (xy 89.408 138.049) - (xy 89.408 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08b8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 132.588) - (xy 88.392 132.969) - (xy 88.773 132.969) - (xy 88.773 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08bb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 138.303) - (xy 91.567 138.684) - (xy 91.948 138.684) - (xy 91.948 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08be) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 138.303) - (xy 90.932 138.684) - (xy 91.313 138.684) - (xy 91.313 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08c1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 137.033) - (xy 90.932 137.414) - (xy 91.313 137.414) - (xy 91.313 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08c4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 137.668) - (xy 91.567 138.049) - (xy 91.948 138.049) - (xy 91.948 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08c7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 132.588) - (xy 90.932 132.969) - (xy 91.313 132.969) - (xy 91.313 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08ca) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 134.493) - (xy 99.187 134.874) - (xy 99.568 134.874) - (xy 99.568 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08cd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 136.398) - (xy 98.552 136.779) - (xy 98.933 136.779) - (xy 98.933 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08d0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 135.763) - (xy 99.187 136.144) - (xy 99.568 136.144) - (xy 99.568 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08d3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 135.763) - (xy 98.552 136.144) - (xy 98.933 136.144) - (xy 98.933 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08d6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 136.398) - (xy 99.187 136.779) - (xy 99.568 136.779) - (xy 99.568 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08d9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 134.493) - (xy 98.552 134.874) - (xy 98.933 134.874) - (xy 98.933 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08dc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 99.187 135.128) - (xy 99.187 135.509) - (xy 99.568 135.509) - (xy 99.568 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08df) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 137.668) - (xy 98.552 138.049) - (xy 98.933 138.049) - (xy 98.933 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08e2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 131.953) - (xy 96.647 132.334) - (xy 97.028 132.334) - (xy 97.028 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08e5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 133.858) - (xy 96.012 134.239) - (xy 96.393 134.239) - (xy 96.393 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08e8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 133.223) - (xy 96.647 133.604) - (xy 97.028 133.604) - (xy 97.028 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08eb) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 133.223) - (xy 96.012 133.604) - (xy 96.393 133.604) - (xy 96.393 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08ee) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 133.858) - (xy 96.647 134.239) - (xy 97.028 134.239) - (xy 97.028 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08f1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.012 131.953) - (xy 96.012 132.334) - (xy 96.393 132.334) - (xy 96.393 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08f4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 137.033) - (xy 96.647 137.414) - (xy 97.028 137.414) - (xy 97.028 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08f7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 96.647 132.588) - (xy 96.647 132.969) - (xy 97.028 132.969) - (xy 97.028 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08fa) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 135.763) - (xy 101.727 136.144) - (xy 102.108 136.144) - (xy 102.108 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d08fd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 135.763) - (xy 101.092 136.144) - (xy 101.473 136.144) - (xy 101.473 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0900) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 98.552 135.128) - (xy 98.552 135.509) - (xy 98.933 135.509) - (xy 98.933 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0903) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 137.033) - (xy 103.632 137.414) - (xy 104.013 137.414) - (xy 104.013 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0906) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 137.668) - (xy 104.267 138.049) - (xy 104.648 138.049) - (xy 104.648 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0909) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 132.588) - (xy 103.632 132.969) - (xy 104.013 132.969) - (xy 104.013 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d090c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 137.668) - (xy 93.472 138.049) - (xy 93.853 138.049) - (xy 93.853 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d090f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 131.953) - (xy 91.567 132.334) - (xy 91.948 132.334) - (xy 91.948 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0912) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 135.128) - (xy 101.727 135.509) - (xy 102.108 135.509) - (xy 102.108 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0915) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 133.858) - (xy 90.932 134.239) - (xy 91.313 134.239) - (xy 91.313 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0918) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 133.223) - (xy 91.567 133.604) - (xy 91.948 133.604) - (xy 91.948 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d091b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 133.223) - (xy 90.932 133.604) - (xy 91.313 133.604) - (xy 91.313 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d091e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 133.858) - (xy 91.567 134.239) - (xy 91.948 134.239) - (xy 91.948 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0921) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 131.953) - (xy 90.932 132.334) - (xy 91.313 132.334) - (xy 91.313 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0924) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 136.398) - (xy 101.727 136.779) - (xy 102.108 136.779) - (xy 102.108 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0927) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 134.493) - (xy 93.472 134.874) - (xy 93.853 134.874) - (xy 93.853 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d092a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 135.128) - (xy 94.107 135.509) - (xy 94.488 135.509) - (xy 94.488 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d092d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 133.223) - (xy 104.267 133.604) - (xy 104.648 133.604) - (xy 104.648 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0930) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 133.223) - (xy 103.632 133.604) - (xy 104.013 133.604) - (xy 104.013 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0933) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 133.858) - (xy 104.267 134.239) - (xy 104.648 134.239) - (xy 104.648 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0936) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 131.953) - (xy 103.632 132.334) - (xy 104.013 132.334) - (xy 104.013 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0939) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 137.033) - (xy 104.267 137.414) - (xy 104.648 137.414) - (xy 104.648 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d093c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 132.588) - (xy 104.267 132.969) - (xy 104.648 132.969) - (xy 104.648 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d093f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 138.303) - (xy 104.267 138.684) - (xy 104.648 138.684) - (xy 104.648 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0942) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 135.128) - (xy 90.932 135.509) - (xy 91.313 135.509) - (xy 91.313 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0945) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 134.493) - (xy 91.567 134.874) - (xy 91.948 134.874) - (xy 91.948 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0948) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 136.398) - (xy 90.932 136.779) - (xy 91.313 136.779) - (xy 91.313 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d094b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 135.763) - (xy 91.567 136.144) - (xy 91.948 136.144) - (xy 91.948 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d094e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 135.763) - (xy 90.932 136.144) - (xy 91.313 136.144) - (xy 91.313 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0951) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 136.398) - (xy 91.567 136.779) - (xy 91.948 136.779) - (xy 91.948 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0954) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 134.493) - (xy 104.267 134.874) - (xy 104.648 134.874) - (xy 104.648 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0957) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 136.398) - (xy 103.632 136.779) - (xy 104.013 136.779) - (xy 104.013 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d095a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 135.763) - (xy 104.267 136.144) - (xy 104.648 136.144) - (xy 104.648 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d095d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 135.763) - (xy 103.632 136.144) - (xy 104.013 136.144) - (xy 104.013 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0960) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 136.398) - (xy 104.267 136.779) - (xy 104.648 136.779) - (xy 104.648 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0963) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 134.493) - (xy 103.632 134.874) - (xy 104.013 134.874) - (xy 104.013 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0966) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 135.128) - (xy 104.267 135.509) - (xy 104.648 135.509) - (xy 104.648 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0969) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 135.128) - (xy 103.632 135.509) - (xy 104.013 135.509) - (xy 104.013 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d096c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 138.303) - (xy 101.727 138.684) - (xy 102.108 138.684) - (xy 102.108 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d096f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 138.303) - (xy 101.092 138.684) - (xy 101.473 138.684) - (xy 101.473 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0972) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 137.033) - (xy 101.092 137.414) - (xy 101.473 137.414) - (xy 101.473 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0975) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 137.668) - (xy 101.727 138.049) - (xy 102.108 138.049) - (xy 102.108 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0978) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 132.588) - (xy 101.092 132.969) - (xy 101.473 132.969) - (xy 101.473 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d097b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 135.128) - (xy 101.092 135.509) - (xy 101.473 135.509) - (xy 101.473 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d097e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.727 134.493) - (xy 101.727 134.874) - (xy 102.108 134.874) - (xy 102.108 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0981) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 136.398) - (xy 101.092 136.779) - (xy 101.473 136.779) - (xy 101.473 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0984) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 104.267 131.953) - (xy 104.267 132.334) - (xy 104.648 132.334) - (xy 104.648 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0987) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 133.858) - (xy 103.632 134.239) - (xy 104.013 134.239) - (xy 104.013 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d098a) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 133.223) - (xy 83.947 133.604) - (xy 84.328 133.604) - (xy 84.328 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d098d) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 133.223) - (xy 83.312 133.604) - (xy 83.693 133.604) - (xy 83.693 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0990) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 133.858) - (xy 83.947 134.239) - (xy 84.328 134.239) - (xy 84.328 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0993) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 137.033) - (xy 91.567 137.414) - (xy 91.948 137.414) - (xy 91.948 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0996) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 131.953) - (xy 83.947 132.334) - (xy 84.328 132.334) - (xy 84.328 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0999) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 80.772 131.953) - (xy 80.772 132.334) - (xy 81.153 132.334) - (xy 81.153 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d099c) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 137.033) - (xy 81.407 137.414) - (xy 81.788 137.414) - (xy 81.788 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d099f) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 132.588) - (xy 81.407 132.969) - (xy 81.788 132.969) - (xy 81.788 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09a2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 134.493) - (xy 89.027 134.874) - (xy 89.408 134.874) - (xy 89.408 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09a5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 136.398) - (xy 88.392 136.779) - (xy 88.773 136.779) - (xy 88.773 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09a8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 135.763) - (xy 89.027 136.144) - (xy 89.408 136.144) - (xy 89.408 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09ab) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 135.763) - (xy 88.392 136.144) - (xy 88.773 136.144) - (xy 88.773 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09ae) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 136.398) - (xy 89.027 136.779) - (xy 89.408 136.779) - (xy 89.408 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09b1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 134.493) - (xy 88.392 134.874) - (xy 88.773 134.874) - (xy 88.773 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09b4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 89.027 135.128) - (xy 89.027 135.509) - (xy 89.408 135.509) - (xy 89.408 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09b7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 137.668) - (xy 88.392 138.049) - (xy 88.773 138.049) - (xy 88.773 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09ba) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 131.953) - (xy 86.487 132.334) - (xy 86.868 132.334) - (xy 86.868 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09bd) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 133.858) - (xy 85.852 134.239) - (xy 86.233 134.239) - (xy 86.233 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09c0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 133.223) - (xy 86.487 133.604) - (xy 86.868 133.604) - (xy 86.868 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09c3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 133.223) - (xy 85.852 133.604) - (xy 86.233 133.604) - (xy 86.233 133.223) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09c6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 133.858) - (xy 86.487 134.239) - (xy 86.868 134.239) - (xy 86.868 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09c9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 85.852 131.953) - (xy 85.852 132.334) - (xy 86.233 132.334) - (xy 86.233 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09cc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 137.033) - (xy 86.487 137.414) - (xy 86.868 137.414) - (xy 86.868 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09cf) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 132.588) - (xy 86.487 132.969) - (xy 86.868 132.969) - (xy 86.868 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09d2) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 88.392 135.128) - (xy 88.392 135.509) - (xy 88.773 135.509) - (xy 88.773 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09d5) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 133.858) - (xy 83.312 134.239) - (xy 83.693 134.239) - (xy 83.693 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09d8) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 131.953) - (xy 83.312 132.334) - (xy 83.693 132.334) - (xy 83.693 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09db) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 137.033) - (xy 83.947 137.414) - (xy 84.328 137.414) - (xy 84.328 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09de) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 132.588) - (xy 83.947 132.969) - (xy 84.328 132.969) - (xy 84.328 132.588) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09e1) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 138.303) - (xy 83.947 138.684) - (xy 84.328 138.684) - (xy 84.328 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09e4) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 138.303) - (xy 83.312 138.684) - (xy 83.693 138.684) - (xy 83.693 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09e7) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.312 137.033) - (xy 83.312 137.414) - (xy 83.693 137.414) - (xy 83.693 137.033) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09ea) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 83.947 137.668) - (xy 83.947 138.049) - (xy 84.328 138.049) - (xy 84.328 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09ed) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 81.407 133.858) - (xy 81.407 134.239) - (xy 81.788 134.239) - (xy 81.788 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09f0) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 101.092 134.493) - (xy 101.092 134.874) - (xy 101.473 134.874) - (xy 101.473 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09f3) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 134.493) - (xy 90.932 134.874) - (xy 91.313 134.874) - (xy 91.313 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09f6) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 91.567 135.128) - (xy 91.567 135.509) - (xy 91.948 135.509) - (xy 91.948 135.128) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09f9) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 90.932 137.668) - (xy 90.932 138.049) - (xy 91.313 138.049) - (xy 91.313 137.668) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09fc) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 103.632 138.303) - (xy 103.632 138.684) - (xy 104.013 138.684) - (xy 104.013 138.303) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d09ff) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 131.953) - (xy 94.107 132.334) - (xy 94.488 132.334) - (xy 94.488 131.953) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0a02) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 133.858) - (xy 93.472 134.239) - (xy 93.853 134.239) - (xy 93.853 133.858) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0a05) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 134.493) - (xy 94.107 134.874) - (xy 94.488 134.874) - (xy 94.488 134.493) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0a08) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 136.398) - (xy 93.472 136.779) - (xy 93.853 136.779) - (xy 93.853 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0a0b) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 135.763) - (xy 94.107 136.144) - (xy 94.488 136.144) - (xy 94.488 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0a0e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 93.472 135.763) - (xy 93.472 136.144) - (xy 93.853 136.144) - (xy 93.853 135.763) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d0a11) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 94.107 136.398) - (xy 94.107 136.779) - (xy 94.488 136.779) - (xy 94.488 136.398) - ) - ) - ) - (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 00000000-0000-0000-0000-00005f3d160e) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 86.487 134.493) - (xy 86.487 134.874) - (xy 86.868 134.874) - (xy 86.868 134.493) - ) - ) - ) - (zone (net 2) (net_name "GND") (layer "In1.Cu") (tstamp 00000000-0000-0000-0000-00005f7407c9) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) (filled_areas_thickness no) - (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 143.637 80.518) - (xy 144.272 80.899) - (xy 144.653 81.28) - (xy 144.907 81.788) - (xy 145.034 82.423) - (xy 145.034 129.54) - (xy 144.907 130.175) - (xy 144.653 130.683) - (xy 144.145 131.191) - (xy 143.637 131.445) - (xy 143.002 131.572) - (xy 138.938 131.572) - (xy 138.938 139.192) - (xy 74.422 139.192) - (xy 74.422 131.572) - (xy 48.133 131.572) - (xy 47.498 131.445) - (xy 46.99 131.191) - (xy 46.482 130.683) - (xy 46.228 130.175) - (xy 46.101 129.54) - (xy 46.101 93.599) - (xy 46.228 92.964) - (xy 46.482 92.456) - (xy 58.166 80.772) - (xy 58.674 80.518) - (xy 59.309 80.391) - (xy 143.002 80.391) - ) - ) - (filled_polygon - (layer "In1.Cu") - (pts - (xy 143.0093 80.39246) - (xy 143.624229 80.515446) - (xy 143.648171 80.524703) - (xy 144.264063 80.894238) - (xy 144.278547 80.905547) - (xy 144.64437 81.27137) - (xy 144.658455 81.290911) - (xy 144.902498 81.778996) - (xy 144.908974 81.79787) - (xy 145.03254 82.4157) - (xy 145.034 82.430448) - (xy 145.034 129.532552) - (xy 145.03254 129.5473) - (xy 144.909116 130.164424) - (xy 144.908975 130.165127) - (xy 144.902498 130.184004) - (xy 144.658457 130.672087) - (xy 144.64437 130.69163) - (xy 144.15363 131.18237) - (xy 144.134089 131.196455) - (xy 143.646004 131.440498) - (xy 143.62713 131.446974) - (xy 143.0093 131.57054) - (xy 142.994552 131.572) - (xy 138.938 131.572) - (xy 138.938 139.1168) - (xy 138.920407 139.165138) - (xy 138.875858 139.190858) - (xy 138.8628 139.192) - (xy 74.4972 139.192) - (xy 74.448862 139.174407) - (xy 74.423142 139.129858) - (xy 74.422 139.1168) - (xy 74.422 138.684) - (xy 75.692 138.684) - (xy 76.073 138.684) - (xy 76.327 138.684) - (xy 76.708 138.684) - (xy 78.232 138.684) - (xy 78.613 138.684) - (xy 78.867 138.684) - (xy 79.248 138.684) - (xy 80.772 138.684) - (xy 81.153 138.684) - (xy 81.407 138.684) - (xy 81.788 138.684) - (xy 83.312 138.684) - (xy 83.693 138.684) - (xy 83.947 138.684) - (xy 84.328 138.684) - (xy 85.852 138.684) - (xy 86.233 138.684) - (xy 86.487 138.684) - (xy 86.868 138.684) - (xy 88.392 138.684) - (xy 88.773 138.684) - (xy 89.027 138.684) - (xy 89.408 138.684) - (xy 90.932 138.684) - (xy 91.313 138.684) - (xy 91.567 138.684) - (xy 91.948 138.684) - (xy 93.472 138.684) - (xy 93.853 138.684) - (xy 94.107 138.684) - (xy 94.488 138.684) - (xy 96.012 138.684) - (xy 96.393 138.684) - (xy 96.647 138.684) - (xy 97.028 138.684) - (xy 98.552 138.684) - (xy 98.933 138.684) - (xy 99.187 138.684) - (xy 99.568 138.684) - (xy 101.092 138.684) - (xy 101.473 138.684) - (xy 101.727 138.684) - (xy 102.108 138.684) - (xy 103.632 138.684) - (xy 104.013 138.684) - (xy 104.267 138.684) - (xy 104.648 138.684) - (xy 106.172 138.684) - (xy 106.553 138.684) - (xy 106.807 138.684) - (xy 107.188 138.684) - (xy 108.712 138.684) - (xy 109.093 138.684) - (xy 109.347 138.684) - (xy 109.728 138.684) - (xy 111.252 138.684) - (xy 111.633 138.684) - (xy 111.887 138.684) - (xy 112.268 138.684) - (xy 113.792 138.684) - (xy 114.173 138.684) - (xy 114.427 138.684) - (xy 114.808 138.684) - (xy 116.332 138.684) - (xy 116.713 138.684) - (xy 116.967 138.684) - (xy 117.348 138.684) - (xy 118.872 138.684) - (xy 119.253 138.684) - (xy 119.507 138.684) - (xy 119.888 138.684) - (xy 121.412 138.684) - (xy 121.793 138.684) - (xy 122.047 138.684) - (xy 122.428 138.684) - (xy 123.952 138.684) - (xy 124.333 138.684) - (xy 124.587 138.684) - (xy 124.968 138.684) - (xy 126.492 138.684) - (xy 126.873 138.684) - (xy 127.127 138.684) - (xy 127.508 138.684) - (xy 129.032 138.684) - (xy 129.413 138.684) - (xy 129.667 138.684) - (xy 130.048 138.684) - (xy 131.572 138.684) - (xy 131.953 138.684) - (xy 132.207 138.684) - (xy 132.588 138.684) - (xy 134.112 138.684) - (xy 134.493 138.684) - (xy 134.747 138.684) - (xy 135.128 138.684) - (xy 135.128 138.303) - (xy 134.747 138.303) - (xy 134.747 138.684) - (xy 134.493 138.684) - (xy 134.493 138.303) - (xy 134.112 138.303) - (xy 134.112 138.684) - (xy 132.588 138.684) - (xy 132.588 138.303) - (xy 132.207 138.303) - (xy 132.207 138.684) - (xy 131.953 138.684) - (xy 131.953 138.303) - (xy 131.572 138.303) - (xy 131.572 138.684) - (xy 130.048 138.684) - (xy 130.048 138.303) - (xy 129.667 138.303) - (xy 129.667 138.684) - (xy 129.413 138.684) - (xy 129.413 138.303) - (xy 129.032 138.303) - (xy 129.032 138.684) - (xy 127.508 138.684) - (xy 127.508 138.303) - (xy 127.127 138.303) - (xy 127.127 138.684) - (xy 126.873 138.684) - (xy 126.873 138.303) - (xy 126.492 138.303) - (xy 126.492 138.684) - (xy 124.968 138.684) - (xy 124.968 138.303) - (xy 124.587 138.303) - (xy 124.587 138.684) - (xy 124.333 138.684) - (xy 124.333 138.303) - (xy 123.952 138.303) - (xy 123.952 138.684) - (xy 122.428 138.684) - (xy 122.428 138.303) - (xy 122.047 138.303) - (xy 122.047 138.684) - (xy 121.793 138.684) - (xy 121.793 138.303) - (xy 121.412 138.303) - (xy 121.412 138.684) - (xy 119.888 138.684) - (xy 119.888 138.303) - (xy 119.507 138.303) - (xy 119.507 138.684) - (xy 119.253 138.684) - (xy 119.253 138.303) - (xy 118.872 138.303) - (xy 118.872 138.684) - (xy 117.348 138.684) - (xy 117.348 138.303) - (xy 116.967 138.303) - (xy 116.967 138.684) - (xy 116.713 138.684) - (xy 116.713 138.303) - (xy 116.332 138.303) - (xy 116.332 138.684) - (xy 114.808 138.684) - (xy 114.808 138.303) - (xy 114.427 138.303) - (xy 114.427 138.684) - (xy 114.173 138.684) - (xy 114.173 138.303) - (xy 113.792 138.303) - (xy 113.792 138.684) - (xy 112.268 138.684) - (xy 112.268 138.303) - (xy 111.887 138.303) - (xy 111.887 138.684) - (xy 111.633 138.684) - (xy 111.633 138.303) - (xy 111.252 138.303) - (xy 111.252 138.684) - (xy 109.728 138.684) - (xy 109.728 138.303) - (xy 109.347 138.303) - (xy 109.347 138.684) - (xy 109.093 138.684) - (xy 109.093 138.303) - (xy 108.712 138.303) - (xy 108.712 138.684) - (xy 107.188 138.684) - (xy 107.188 138.303) - (xy 106.807 138.303) - (xy 106.807 138.684) - (xy 106.553 138.684) - (xy 106.553 138.303) - (xy 106.172 138.303) - (xy 106.172 138.684) - (xy 104.648 138.684) - (xy 104.648 138.303) - (xy 104.267 138.303) - (xy 104.267 138.684) - (xy 104.013 138.684) - (xy 104.013 138.303) - (xy 103.632 138.303) - (xy 103.632 138.684) - (xy 102.108 138.684) - (xy 102.108 138.303) - (xy 101.727 138.303) - (xy 101.727 138.684) - (xy 101.473 138.684) - (xy 101.473 138.303) - (xy 101.092 138.303) - (xy 101.092 138.684) - (xy 99.568 138.684) - (xy 99.568 138.303) - (xy 99.187 138.303) - (xy 99.187 138.684) - (xy 98.933 138.684) - (xy 98.933 138.303) - (xy 98.552 138.303) - (xy 98.552 138.684) - (xy 97.028 138.684) - (xy 97.028 138.303) - (xy 96.647 138.303) - (xy 96.647 138.684) - (xy 96.393 138.684) - (xy 96.393 138.303) - (xy 96.012 138.303) - (xy 96.012 138.684) - (xy 94.488 138.684) - (xy 94.488 138.303) - (xy 94.107 138.303) - (xy 94.107 138.684) - (xy 93.853 138.684) - (xy 93.853 138.303) - (xy 93.472 138.303) - (xy 93.472 138.684) - (xy 91.948 138.684) - (xy 91.948 138.303) - (xy 91.567 138.303) - (xy 91.567 138.684) - (xy 91.313 138.684) - (xy 91.313 138.303) - (xy 90.932 138.303) - (xy 90.932 138.684) - (xy 89.408 138.684) - (xy 89.408 138.303) - (xy 89.027 138.303) - (xy 89.027 138.684) - (xy 88.773 138.684) - (xy 88.773 138.303) - (xy 88.392 138.303) - (xy 88.392 138.684) - (xy 86.868 138.684) - (xy 86.868 138.303) - (xy 86.487 138.303) - (xy 86.487 138.684) - (xy 86.233 138.684) - (xy 86.233 138.303) - (xy 85.852 138.303) - (xy 85.852 138.684) - (xy 84.328 138.684) - (xy 84.328 138.303) - (xy 83.947 138.303) - (xy 83.947 138.684) - (xy 83.693 138.684) - (xy 83.693 138.303) - (xy 83.312 138.303) - (xy 83.312 138.684) - (xy 81.788 138.684) - (xy 81.788 138.303) - (xy 81.407 138.303) - (xy 81.407 138.684) - (xy 81.153 138.684) - (xy 81.153 138.303) - (xy 80.772 138.303) - (xy 80.772 138.684) - (xy 79.248 138.684) - (xy 79.248 138.303) - (xy 78.867 138.303) - (xy 78.867 138.684) - (xy 78.613 138.684) - (xy 78.613 138.303) - (xy 78.232 138.303) - (xy 78.232 138.684) - (xy 76.708 138.684) - (xy 76.708 138.303) - (xy 76.327 138.303) - (xy 76.327 138.684) - (xy 76.073 138.684) - (xy 76.073 138.303) - (xy 75.692 138.303) - (xy 75.692 138.684) - (xy 74.422 138.684) - (xy 74.422 138.049) - (xy 75.692 138.049) - (xy 76.073 138.049) - (xy 76.327 138.049) - (xy 76.708 138.049) - (xy 78.232 138.049) - (xy 78.613 138.049) - (xy 78.867 138.049) - (xy 79.248 138.049) - (xy 80.772 138.049) - (xy 81.153 138.049) - (xy 81.407 138.049) - (xy 81.788 138.049) - (xy 83.312 138.049) - (xy 83.693 138.049) - (xy 83.947 138.049) - (xy 84.328 138.049) - (xy 85.852 138.049) - (xy 86.233 138.049) - (xy 86.487 138.049) - (xy 86.868 138.049) - (xy 88.392 138.049) - (xy 88.773 138.049) - (xy 89.027 138.049) - (xy 89.408 138.049) - (xy 90.932 138.049) - (xy 91.313 138.049) - (xy 91.567 138.049) - (xy 91.948 138.049) - (xy 93.472 138.049) - (xy 93.853 138.049) - (xy 94.107 138.049) - (xy 94.488 138.049) - (xy 96.012 138.049) - (xy 96.393 138.049) - (xy 96.647 138.049) - (xy 97.028 138.049) - (xy 98.552 138.049) - (xy 98.933 138.049) - (xy 99.187 138.049) - (xy 99.568 138.049) - (xy 101.092 138.049) - (xy 101.473 138.049) - (xy 101.727 138.049) - (xy 102.108 138.049) - (xy 103.632 138.049) - (xy 104.013 138.049) - (xy 104.267 138.049) - (xy 104.648 138.049) - (xy 106.172 138.049) - (xy 106.553 138.049) - (xy 106.807 138.049) - (xy 107.188 138.049) - (xy 108.712 138.049) - (xy 109.093 138.049) - (xy 109.347 138.049) - (xy 109.728 138.049) - (xy 111.252 138.049) - (xy 111.633 138.049) - (xy 111.887 138.049) - (xy 112.268 138.049) - (xy 113.792 138.049) - (xy 114.173 138.049) - (xy 114.427 138.049) - (xy 114.808 138.049) - (xy 116.332 138.049) - (xy 116.713 138.049) - (xy 116.967 138.049) - (xy 117.348 138.049) - (xy 118.872 138.049) - (xy 119.253 138.049) - (xy 119.507 138.049) - (xy 119.888 138.049) - (xy 121.412 138.049) - (xy 121.793 138.049) - (xy 122.047 138.049) - (xy 122.428 138.049) - (xy 123.952 138.049) - (xy 124.333 138.049) - (xy 124.587 138.049) - (xy 124.968 138.049) - (xy 126.492 138.049) - (xy 126.873 138.049) - (xy 127.127 138.049) - (xy 127.508 138.049) - (xy 129.032 138.049) - (xy 129.413 138.049) - (xy 129.667 138.049) - (xy 130.048 138.049) - (xy 131.572 138.049) - (xy 131.953 138.049) - (xy 132.207 138.049) - (xy 132.588 138.049) - (xy 134.112 138.049) - (xy 134.493 138.049) - (xy 134.747 138.049) - (xy 135.128 138.049) - (xy 135.128 137.668) - (xy 134.747 137.668) - (xy 134.747 138.049) - (xy 134.493 138.049) - (xy 134.493 137.668) - (xy 134.112 137.668) - (xy 134.112 138.049) - (xy 132.588 138.049) - (xy 132.588 137.668) - (xy 132.207 137.668) - (xy 132.207 138.049) - (xy 131.953 138.049) - (xy 131.953 137.668) - (xy 131.572 137.668) - (xy 131.572 138.049) - (xy 130.048 138.049) - (xy 130.048 137.668) - (xy 129.667 137.668) - (xy 129.667 138.049) - (xy 129.413 138.049) - (xy 129.413 137.668) - (xy 129.032 137.668) - (xy 129.032 138.049) - (xy 127.508 138.049) - (xy 127.508 137.668) - (xy 127.127 137.668) - (xy 127.127 138.049) - (xy 126.873 138.049) - (xy 126.873 137.668) - (xy 126.492 137.668) - (xy 126.492 138.049) - (xy 124.968 138.049) - (xy 124.968 137.668) - (xy 124.587 137.668) - (xy 124.587 138.049) - (xy 124.333 138.049) - (xy 124.333 137.668) - (xy 123.952 137.668) - (xy 123.952 138.049) - (xy 122.428 138.049) - (xy 122.428 137.668) - (xy 122.047 137.668) - (xy 122.047 138.049) - (xy 121.793 138.049) - (xy 121.793 137.668) - (xy 121.412 137.668) - (xy 121.412 138.049) - (xy 119.888 138.049) - (xy 119.888 137.668) - (xy 119.507 137.668) - (xy 119.507 138.049) - (xy 119.253 138.049) - (xy 119.253 137.668) - (xy 118.872 137.668) - (xy 118.872 138.049) - (xy 117.348 138.049) - (xy 117.348 137.668) - (xy 116.967 137.668) - (xy 116.967 138.049) - (xy 116.713 138.049) - (xy 116.713 137.668) - (xy 116.332 137.668) - (xy 116.332 138.049) - (xy 114.808 138.049) - (xy 114.808 137.668) - (xy 114.427 137.668) - (xy 114.427 138.049) - (xy 114.173 138.049) - (xy 114.173 137.668) - (xy 113.792 137.668) - (xy 113.792 138.049) - (xy 112.268 138.049) - (xy 112.268 137.668) - (xy 111.887 137.668) - (xy 111.887 138.049) - (xy 111.633 138.049) - (xy 111.633 137.668) - (xy 111.252 137.668) - (xy 111.252 138.049) - (xy 109.728 138.049) - (xy 109.728 137.668) - (xy 109.347 137.668) - (xy 109.347 138.049) - (xy 109.093 138.049) - (xy 109.093 137.668) - (xy 108.712 137.668) - (xy 108.712 138.049) - (xy 107.188 138.049) - (xy 107.188 137.668) - (xy 106.807 137.668) - (xy 106.807 138.049) - (xy 106.553 138.049) - (xy 106.553 137.668) - (xy 106.172 137.668) - (xy 106.172 138.049) - (xy 104.648 138.049) - (xy 104.648 137.668) - (xy 104.267 137.668) - (xy 104.267 138.049) - (xy 104.013 138.049) - (xy 104.013 137.668) - (xy 103.632 137.668) - (xy 103.632 138.049) - (xy 102.108 138.049) - (xy 102.108 137.668) - (xy 101.727 137.668) - (xy 101.727 138.049) - (xy 101.473 138.049) - (xy 101.473 137.668) - (xy 101.092 137.668) - (xy 101.092 138.049) - (xy 99.568 138.049) - (xy 99.568 137.668) - (xy 99.187 137.668) - (xy 99.187 138.049) - (xy 98.933 138.049) - (xy 98.933 137.668) - (xy 98.552 137.668) - (xy 98.552 138.049) - (xy 97.028 138.049) - (xy 97.028 137.668) - (xy 96.647 137.668) - (xy 96.647 138.049) - (xy 96.393 138.049) - (xy 96.393 137.668) - (xy 96.012 137.668) - (xy 96.012 138.049) - (xy 94.488 138.049) - (xy 94.488 137.668) - (xy 94.107 137.668) - (xy 94.107 138.049) - (xy 93.853 138.049) - (xy 93.853 137.668) - (xy 93.472 137.668) - (xy 93.472 138.049) - (xy 91.948 138.049) - (xy 91.948 137.668) - (xy 91.567 137.668) - (xy 91.567 138.049) - (xy 91.313 138.049) - (xy 91.313 137.668) - (xy 90.932 137.668) - (xy 90.932 138.049) - (xy 89.408 138.049) - (xy 89.408 137.668) - (xy 89.027 137.668) - (xy 89.027 138.049) - (xy 88.773 138.049) - (xy 88.773 137.668) - (xy 88.392 137.668) - (xy 88.392 138.049) - (xy 86.868 138.049) - (xy 86.868 137.668) - (xy 86.487 137.668) - (xy 86.487 138.049) - (xy 86.233 138.049) - (xy 86.233 137.668) - (xy 85.852 137.668) - (xy 85.852 138.049) - (xy 84.328 138.049) - (xy 84.328 137.668) - (xy 83.947 137.668) - (xy 83.947 138.049) - (xy 83.693 138.049) - (xy 83.693 137.668) - (xy 83.312 137.668) - (xy 83.312 138.049) - (xy 81.788 138.049) - (xy 81.788 137.668) - (xy 81.407 137.668) - (xy 81.407 138.049) - (xy 81.153 138.049) - (xy 81.153 137.668) - (xy 80.772 137.668) - (xy 80.772 138.049) - (xy 79.248 138.049) - (xy 79.248 137.668) - (xy 78.867 137.668) - (xy 78.867 138.049) - (xy 78.613 138.049) - (xy 78.613 137.668) - (xy 78.232 137.668) - (xy 78.232 138.049) - (xy 76.708 138.049) - (xy 76.708 137.668) - (xy 76.327 137.668) - (xy 76.327 138.049) - (xy 76.073 138.049) - (xy 76.073 137.668) - (xy 75.692 137.668) - (xy 75.692 138.049) - (xy 74.422 138.049) - (xy 74.422 137.414) - (xy 75.692 137.414) - (xy 76.073 137.414) - (xy 76.327 137.414) - (xy 76.708 137.414) - (xy 78.232 137.414) - (xy 78.613 137.414) - (xy 78.867 137.414) - (xy 79.248 137.414) - (xy 80.772 137.414) - (xy 81.153 137.414) - (xy 81.407 137.414) - (xy 81.788 137.414) - (xy 83.312 137.414) - (xy 83.693 137.414) - (xy 83.947 137.414) - (xy 84.328 137.414) - (xy 85.852 137.414) - (xy 86.233 137.414) - (xy 86.487 137.414) - (xy 86.868 137.414) - (xy 88.392 137.414) - (xy 88.773 137.414) - (xy 89.027 137.414) - (xy 89.408 137.414) - (xy 90.932 137.414) - (xy 91.313 137.414) - (xy 91.567 137.414) - (xy 91.948 137.414) - (xy 93.472 137.414) - (xy 93.853 137.414) - (xy 94.107 137.414) - (xy 94.488 137.414) - (xy 96.012 137.414) - (xy 96.393 137.414) - (xy 96.647 137.414) - (xy 97.028 137.414) - (xy 98.552 137.414) - (xy 98.933 137.414) - (xy 99.187 137.414) - (xy 99.568 137.414) - (xy 101.092 137.414) - (xy 101.473 137.414) - (xy 101.727 137.414) - (xy 102.108 137.414) - (xy 103.632 137.414) - (xy 104.013 137.414) - (xy 104.267 137.414) - (xy 104.648 137.414) - (xy 106.172 137.414) - (xy 106.553 137.414) - (xy 106.807 137.414) - (xy 107.188 137.414) - (xy 108.712 137.414) - (xy 109.093 137.414) - (xy 109.347 137.414) - (xy 109.728 137.414) - (xy 111.252 137.414) - (xy 111.633 137.414) - (xy 111.887 137.414) - (xy 112.268 137.414) - (xy 113.792 137.414) - (xy 114.173 137.414) - (xy 114.427 137.414) - (xy 114.808 137.414) - (xy 116.332 137.414) - (xy 116.713 137.414) - (xy 116.967 137.414) - (xy 117.348 137.414) - (xy 118.872 137.414) - (xy 119.253 137.414) - (xy 119.507 137.414) - (xy 119.888 137.414) - (xy 121.412 137.414) - (xy 121.793 137.414) - (xy 122.047 137.414) - (xy 122.428 137.414) - (xy 123.952 137.414) - (xy 124.333 137.414) - (xy 124.587 137.414) - (xy 124.968 137.414) - (xy 126.492 137.414) - (xy 126.873 137.414) - (xy 127.127 137.414) - (xy 127.508 137.414) - (xy 129.032 137.414) - (xy 129.413 137.414) - (xy 129.667 137.414) - (xy 130.048 137.414) - (xy 131.572 137.414) - (xy 131.953 137.414) - (xy 132.207 137.414) - (xy 132.588 137.414) - (xy 134.112 137.414) - (xy 134.493 137.414) - (xy 134.747 137.414) - (xy 135.128 137.414) - (xy 135.128 137.033) - (xy 134.747 137.033) - (xy 134.747 137.414) - (xy 134.493 137.414) - (xy 134.493 137.033) - (xy 134.112 137.033) - (xy 134.112 137.414) - (xy 132.588 137.414) - (xy 132.588 137.033) - (xy 132.207 137.033) - (xy 132.207 137.414) - (xy 131.953 137.414) - (xy 131.953 137.033) - (xy 131.572 137.033) - (xy 131.572 137.414) - (xy 130.048 137.414) - (xy 130.048 137.033) - (xy 129.667 137.033) - (xy 129.667 137.414) - (xy 129.413 137.414) - (xy 129.413 137.033) - (xy 129.032 137.033) - (xy 129.032 137.414) - (xy 127.508 137.414) - (xy 127.508 137.033) - (xy 127.127 137.033) - (xy 127.127 137.414) - (xy 126.873 137.414) - (xy 126.873 137.033) - (xy 126.492 137.033) - (xy 126.492 137.414) - (xy 124.968 137.414) - (xy 124.968 137.033) - (xy 124.587 137.033) - (xy 124.587 137.414) - (xy 124.333 137.414) - (xy 124.333 137.033) - (xy 123.952 137.033) - (xy 123.952 137.414) - (xy 122.428 137.414) - (xy 122.428 137.033) - (xy 122.047 137.033) - (xy 122.047 137.414) - (xy 121.793 137.414) - (xy 121.793 137.033) - (xy 121.412 137.033) - (xy 121.412 137.414) - (xy 119.888 137.414) - (xy 119.888 137.033) - (xy 119.507 137.033) - (xy 119.507 137.414) - (xy 119.253 137.414) - (xy 119.253 137.033) - (xy 118.872 137.033) - (xy 118.872 137.414) - (xy 117.348 137.414) - (xy 117.348 137.033) - (xy 116.967 137.033) - (xy 116.967 137.414) - (xy 116.713 137.414) - (xy 116.713 137.033) - (xy 116.332 137.033) - (xy 116.332 137.414) - (xy 114.808 137.414) - (xy 114.808 137.033) - (xy 114.427 137.033) - (xy 114.427 137.414) - (xy 114.173 137.414) - (xy 114.173 137.033) - (xy 113.792 137.033) - (xy 113.792 137.414) - (xy 112.268 137.414) - (xy 112.268 137.033) - (xy 111.887 137.033) - (xy 111.887 137.414) - (xy 111.633 137.414) - (xy 111.633 137.033) - (xy 111.252 137.033) - (xy 111.252 137.414) - (xy 109.728 137.414) - (xy 109.728 137.033) - (xy 109.347 137.033) - (xy 109.347 137.414) - (xy 109.093 137.414) - (xy 109.093 137.033) - (xy 108.712 137.033) - (xy 108.712 137.414) - (xy 107.188 137.414) - (xy 107.188 137.033) - (xy 106.807 137.033) - (xy 106.807 137.414) - (xy 106.553 137.414) - (xy 106.553 137.033) - (xy 106.172 137.033) - (xy 106.172 137.414) - (xy 104.648 137.414) - (xy 104.648 137.033) - (xy 104.267 137.033) - (xy 104.267 137.414) - (xy 104.013 137.414) - (xy 104.013 137.033) - (xy 103.632 137.033) - (xy 103.632 137.414) - (xy 102.108 137.414) - (xy 102.108 137.033) - (xy 101.727 137.033) - (xy 101.727 137.414) - (xy 101.473 137.414) - (xy 101.473 137.033) - (xy 101.092 137.033) - (xy 101.092 137.414) - (xy 99.568 137.414) - (xy 99.568 137.033) - (xy 99.187 137.033) - (xy 99.187 137.414) - (xy 98.933 137.414) - (xy 98.933 137.033) - (xy 98.552 137.033) - (xy 98.552 137.414) - (xy 97.028 137.414) - (xy 97.028 137.033) - (xy 96.647 137.033) - (xy 96.647 137.414) - (xy 96.393 137.414) - (xy 96.393 137.033) - (xy 96.012 137.033) - (xy 96.012 137.414) - (xy 94.488 137.414) - (xy 94.488 137.033) - (xy 94.107 137.033) - (xy 94.107 137.414) - (xy 93.853 137.414) - (xy 93.853 137.033) - (xy 93.472 137.033) - (xy 93.472 137.414) - (xy 91.948 137.414) - (xy 91.948 137.033) - (xy 91.567 137.033) - (xy 91.567 137.414) - (xy 91.313 137.414) - (xy 91.313 137.033) - (xy 90.932 137.033) - (xy 90.932 137.414) - (xy 89.408 137.414) - (xy 89.408 137.033) - (xy 89.027 137.033) - (xy 89.027 137.414) - (xy 88.773 137.414) - (xy 88.773 137.033) - (xy 88.392 137.033) - (xy 88.392 137.414) - (xy 86.868 137.414) - (xy 86.868 137.033) - (xy 86.487 137.033) - (xy 86.487 137.414) - (xy 86.233 137.414) - (xy 86.233 137.033) - (xy 85.852 137.033) - (xy 85.852 137.414) - (xy 84.328 137.414) - (xy 84.328 137.033) - (xy 83.947 137.033) - (xy 83.947 137.414) - (xy 83.693 137.414) - (xy 83.693 137.033) - (xy 83.312 137.033) - (xy 83.312 137.414) - (xy 81.788 137.414) - (xy 81.788 137.033) - (xy 81.407 137.033) - (xy 81.407 137.414) - (xy 81.153 137.414) - (xy 81.153 137.033) - (xy 80.772 137.033) - (xy 80.772 137.414) - (xy 79.248 137.414) - (xy 79.248 137.033) - (xy 78.867 137.033) - (xy 78.867 137.414) - (xy 78.613 137.414) - (xy 78.613 137.033) - (xy 78.232 137.033) - (xy 78.232 137.414) - (xy 76.708 137.414) - (xy 76.708 137.033) - (xy 76.327 137.033) - (xy 76.327 137.414) - (xy 76.073 137.414) - (xy 76.073 137.033) - (xy 75.692 137.033) - (xy 75.692 137.414) - (xy 74.422 137.414) - (xy 74.422 136.779) - (xy 75.692 136.779) - (xy 76.073 136.779) - (xy 76.327 136.779) - (xy 76.708 136.779) - (xy 78.232 136.779) - (xy 78.613 136.779) - (xy 78.867 136.779) - (xy 79.248 136.779) - (xy 80.772 136.779) - (xy 81.153 136.779) - (xy 81.407 136.779) - (xy 81.788 136.779) - (xy 83.312 136.779) - (xy 83.693 136.779) - (xy 83.947 136.779) - (xy 84.328 136.779) - (xy 85.852 136.779) - (xy 86.233 136.779) - (xy 86.487 136.779) - (xy 86.868 136.779) - (xy 88.392 136.779) - (xy 88.773 136.779) - (xy 89.027 136.779) - (xy 89.408 136.779) - (xy 90.932 136.779) - (xy 91.313 136.779) - (xy 91.567 136.779) - (xy 91.948 136.779) - (xy 93.472 136.779) - (xy 93.853 136.779) - (xy 94.107 136.779) - (xy 94.488 136.779) - (xy 96.012 136.779) - (xy 96.393 136.779) - (xy 96.647 136.779) - (xy 97.028 136.779) - (xy 98.552 136.779) - (xy 98.933 136.779) - (xy 99.187 136.779) - (xy 99.568 136.779) - (xy 101.092 136.779) - (xy 101.473 136.779) - (xy 101.727 136.779) - (xy 102.108 136.779) - (xy 103.632 136.779) - (xy 104.013 136.779) - (xy 104.267 136.779) - (xy 104.648 136.779) - (xy 106.172 136.779) - (xy 106.553 136.779) - (xy 106.807 136.779) - (xy 107.188 136.779) - (xy 108.712 136.779) - (xy 109.093 136.779) - (xy 109.347 136.779) - (xy 109.728 136.779) - (xy 111.252 136.779) - (xy 111.633 136.779) - (xy 111.887 136.779) - (xy 112.268 136.779) - (xy 113.792 136.779) - (xy 114.173 136.779) - (xy 114.427 136.779) - (xy 114.808 136.779) - (xy 116.332 136.779) - (xy 116.713 136.779) - (xy 116.967 136.779) - (xy 117.348 136.779) - (xy 118.872 136.779) - (xy 119.253 136.779) - (xy 119.507 136.779) - (xy 119.888 136.779) - (xy 121.412 136.779) - (xy 121.793 136.779) - (xy 122.047 136.779) - (xy 122.428 136.779) - (xy 123.952 136.779) - (xy 124.333 136.779) - (xy 124.587 136.779) - (xy 124.968 136.779) - (xy 126.492 136.779) - (xy 126.873 136.779) - (xy 127.127 136.779) - (xy 127.508 136.779) - (xy 129.032 136.779) - (xy 129.413 136.779) - (xy 129.667 136.779) - (xy 130.048 136.779) - (xy 131.572 136.779) - (xy 131.953 136.779) - (xy 132.207 136.779) - (xy 132.588 136.779) - (xy 134.112 136.779) - (xy 134.493 136.779) - (xy 134.747 136.779) - (xy 135.128 136.779) - (xy 135.128 136.398) - (xy 134.747 136.398) - (xy 134.747 136.779) - (xy 134.493 136.779) - (xy 134.493 136.398) - (xy 134.112 136.398) - (xy 134.112 136.779) - (xy 132.588 136.779) - (xy 132.588 136.398) - (xy 132.207 136.398) - (xy 132.207 136.779) - (xy 131.953 136.779) - (xy 131.953 136.398) - (xy 131.572 136.398) - (xy 131.572 136.779) - (xy 130.048 136.779) - (xy 130.048 136.398) - (xy 129.667 136.398) - (xy 129.667 136.779) - (xy 129.413 136.779) - (xy 129.413 136.398) - (xy 129.032 136.398) - (xy 129.032 136.779) - (xy 127.508 136.779) - (xy 127.508 136.398) - (xy 127.127 136.398) - (xy 127.127 136.779) - (xy 126.873 136.779) - (xy 126.873 136.398) - (xy 126.492 136.398) - (xy 126.492 136.779) - (xy 124.968 136.779) - (xy 124.968 136.398) - (xy 124.587 136.398) - (xy 124.587 136.779) - (xy 124.333 136.779) - (xy 124.333 136.398) - (xy 123.952 136.398) - (xy 123.952 136.779) - (xy 122.428 136.779) - (xy 122.428 136.398) - (xy 122.047 136.398) - (xy 122.047 136.779) - (xy 121.793 136.779) - (xy 121.793 136.398) - (xy 121.412 136.398) - (xy 121.412 136.779) - (xy 119.888 136.779) - (xy 119.888 136.398) - (xy 119.507 136.398) - (xy 119.507 136.779) - (xy 119.253 136.779) - (xy 119.253 136.398) - (xy 118.872 136.398) - (xy 118.872 136.779) - (xy 117.348 136.779) - (xy 117.348 136.398) - (xy 116.967 136.398) - (xy 116.967 136.779) - (xy 116.713 136.779) - (xy 116.713 136.398) - (xy 116.332 136.398) - (xy 116.332 136.779) - (xy 114.808 136.779) - (xy 114.808 136.398) - (xy 114.427 136.398) - (xy 114.427 136.779) - (xy 114.173 136.779) - (xy 114.173 136.398) - (xy 113.792 136.398) - (xy 113.792 136.779) - (xy 112.268 136.779) - (xy 112.268 136.398) - (xy 111.887 136.398) - (xy 111.887 136.779) - (xy 111.633 136.779) - (xy 111.633 136.398) - (xy 111.252 136.398) - (xy 111.252 136.779) - (xy 109.728 136.779) - (xy 109.728 136.398) - (xy 109.347 136.398) - (xy 109.347 136.779) - (xy 109.093 136.779) - (xy 109.093 136.398) - (xy 108.712 136.398) - (xy 108.712 136.779) - (xy 107.188 136.779) - (xy 107.188 136.398) - (xy 106.807 136.398) - (xy 106.807 136.779) - (xy 106.553 136.779) - (xy 106.553 136.398) - (xy 106.172 136.398) - (xy 106.172 136.779) - (xy 104.648 136.779) - (xy 104.648 136.398) - (xy 104.267 136.398) - (xy 104.267 136.779) - (xy 104.013 136.779) - (xy 104.013 136.398) - (xy 103.632 136.398) - (xy 103.632 136.779) - (xy 102.108 136.779) - (xy 102.108 136.398) - (xy 101.727 136.398) - (xy 101.727 136.779) - (xy 101.473 136.779) - (xy 101.473 136.398) - (xy 101.092 136.398) - (xy 101.092 136.779) - (xy 99.568 136.779) - (xy 99.568 136.398) - (xy 99.187 136.398) - (xy 99.187 136.779) - (xy 98.933 136.779) - (xy 98.933 136.398) - (xy 98.552 136.398) - (xy 98.552 136.779) - (xy 97.028 136.779) - (xy 97.028 136.398) - (xy 96.647 136.398) - (xy 96.647 136.779) - (xy 96.393 136.779) - (xy 96.393 136.398) - (xy 96.012 136.398) - (xy 96.012 136.779) - (xy 94.488 136.779) - (xy 94.488 136.398) - (xy 94.107 136.398) - (xy 94.107 136.779) - (xy 93.853 136.779) - (xy 93.853 136.398) - (xy 93.472 136.398) - (xy 93.472 136.779) - (xy 91.948 136.779) - (xy 91.948 136.398) - (xy 91.567 136.398) - (xy 91.567 136.779) - (xy 91.313 136.779) - (xy 91.313 136.398) - (xy 90.932 136.398) - (xy 90.932 136.779) - (xy 89.408 136.779) - (xy 89.408 136.398) - (xy 89.027 136.398) - (xy 89.027 136.779) - (xy 88.773 136.779) - (xy 88.773 136.398) - (xy 88.392 136.398) - (xy 88.392 136.779) - (xy 86.868 136.779) - (xy 86.868 136.398) - (xy 86.487 136.398) - (xy 86.487 136.779) - (xy 86.233 136.779) - (xy 86.233 136.398) - (xy 85.852 136.398) - (xy 85.852 136.779) - (xy 84.328 136.779) - (xy 84.328 136.398) - (xy 83.947 136.398) - (xy 83.947 136.779) - (xy 83.693 136.779) - (xy 83.693 136.398) - (xy 83.312 136.398) - (xy 83.312 136.779) - (xy 81.788 136.779) - (xy 81.788 136.398) - (xy 81.407 136.398) - (xy 81.407 136.779) - (xy 81.153 136.779) - (xy 81.153 136.398) - (xy 80.772 136.398) - (xy 80.772 136.779) - (xy 79.248 136.779) - (xy 79.248 136.398) - (xy 78.867 136.398) - (xy 78.867 136.779) - (xy 78.613 136.779) - (xy 78.613 136.398) - (xy 78.232 136.398) - (xy 78.232 136.779) - (xy 76.708 136.779) - (xy 76.708 136.398) - (xy 76.327 136.398) - (xy 76.327 136.779) - (xy 76.073 136.779) - (xy 76.073 136.398) - (xy 75.692 136.398) - (xy 75.692 136.779) - (xy 74.422 136.779) - (xy 74.422 136.144) - (xy 75.692 136.144) - (xy 76.073 136.144) - (xy 76.327 136.144) - (xy 76.708 136.144) - (xy 78.232 136.144) - (xy 78.613 136.144) - (xy 78.867 136.144) - (xy 79.248 136.144) - (xy 80.772 136.144) - (xy 81.153 136.144) - (xy 81.407 136.144) - (xy 81.788 136.144) - (xy 83.312 136.144) - (xy 83.693 136.144) - (xy 83.947 136.144) - (xy 84.328 136.144) - (xy 85.852 136.144) - (xy 86.233 136.144) - (xy 86.487 136.144) - (xy 86.868 136.144) - (xy 88.392 136.144) - (xy 88.773 136.144) - (xy 89.027 136.144) - (xy 89.408 136.144) - (xy 90.932 136.144) - (xy 91.313 136.144) - (xy 91.567 136.144) - (xy 91.948 136.144) - (xy 93.472 136.144) - (xy 93.853 136.144) - (xy 94.107 136.144) - (xy 94.488 136.144) - (xy 96.012 136.144) - (xy 96.393 136.144) - (xy 96.647 136.144) - (xy 97.028 136.144) - (xy 98.552 136.144) - (xy 98.933 136.144) - (xy 99.187 136.144) - (xy 99.568 136.144) - (xy 101.092 136.144) - (xy 101.473 136.144) - (xy 101.727 136.144) - (xy 102.108 136.144) - (xy 103.632 136.144) - (xy 104.013 136.144) - (xy 104.267 136.144) - (xy 104.648 136.144) - (xy 106.172 136.144) - (xy 106.553 136.144) - (xy 106.807 136.144) - (xy 107.188 136.144) - (xy 108.712 136.144) - (xy 109.093 136.144) - (xy 109.347 136.144) - (xy 109.728 136.144) - (xy 111.252 136.144) - (xy 111.633 136.144) - (xy 111.887 136.144) - (xy 112.268 136.144) - (xy 113.792 136.144) - (xy 114.173 136.144) - (xy 114.427 136.144) - (xy 114.808 136.144) - (xy 116.332 136.144) - (xy 116.713 136.144) - (xy 116.967 136.144) - (xy 117.348 136.144) - (xy 118.872 136.144) - (xy 119.253 136.144) - (xy 119.507 136.144) - (xy 119.888 136.144) - (xy 121.412 136.144) - (xy 121.793 136.144) - (xy 122.047 136.144) - (xy 122.428 136.144) - (xy 123.952 136.144) - (xy 124.333 136.144) - (xy 124.587 136.144) - (xy 124.968 136.144) - (xy 126.492 136.144) - (xy 126.873 136.144) - (xy 127.127 136.144) - (xy 127.508 136.144) - (xy 129.032 136.144) - (xy 129.413 136.144) - (xy 129.667 136.144) - (xy 130.048 136.144) - (xy 131.572 136.144) - (xy 131.953 136.144) - (xy 132.207 136.144) - (xy 132.588 136.144) - (xy 134.112 136.144) - (xy 134.493 136.144) - (xy 134.747 136.144) - (xy 135.128 136.144) - (xy 135.128 135.763) - (xy 134.747 135.763) - (xy 134.747 136.144) - (xy 134.493 136.144) - (xy 134.493 135.763) - (xy 134.112 135.763) - (xy 134.112 136.144) - (xy 132.588 136.144) - (xy 132.588 135.763) - (xy 132.207 135.763) - (xy 132.207 136.144) - (xy 131.953 136.144) - (xy 131.953 135.763) - (xy 131.572 135.763) - (xy 131.572 136.144) - (xy 130.048 136.144) - (xy 130.048 135.763) - (xy 129.667 135.763) - (xy 129.667 136.144) - (xy 129.413 136.144) - (xy 129.413 135.763) - (xy 129.032 135.763) - (xy 129.032 136.144) - (xy 127.508 136.144) - (xy 127.508 135.763) - (xy 127.127 135.763) - (xy 127.127 136.144) - (xy 126.873 136.144) - (xy 126.873 135.763) - (xy 126.492 135.763) - (xy 126.492 136.144) - (xy 124.968 136.144) - (xy 124.968 135.763) - (xy 124.587 135.763) - (xy 124.587 136.144) - (xy 124.333 136.144) - (xy 124.333 135.763) - (xy 123.952 135.763) - (xy 123.952 136.144) - (xy 122.428 136.144) - (xy 122.428 135.763) - (xy 122.047 135.763) - (xy 122.047 136.144) - (xy 121.793 136.144) - (xy 121.793 135.763) - (xy 121.412 135.763) - (xy 121.412 136.144) - (xy 119.888 136.144) - (xy 119.888 135.763) - (xy 119.507 135.763) - (xy 119.507 136.144) - (xy 119.253 136.144) - (xy 119.253 135.763) - (xy 118.872 135.763) - (xy 118.872 136.144) - (xy 117.348 136.144) - (xy 117.348 135.763) - (xy 116.967 135.763) - (xy 116.967 136.144) - (xy 116.713 136.144) - (xy 116.713 135.763) - (xy 116.332 135.763) - (xy 116.332 136.144) - (xy 114.808 136.144) - (xy 114.808 135.763) - (xy 114.427 135.763) - (xy 114.427 136.144) - (xy 114.173 136.144) - (xy 114.173 135.763) - (xy 113.792 135.763) - (xy 113.792 136.144) - (xy 112.268 136.144) - (xy 112.268 135.763) - (xy 111.887 135.763) - (xy 111.887 136.144) - (xy 111.633 136.144) - (xy 111.633 135.763) - (xy 111.252 135.763) - (xy 111.252 136.144) - (xy 109.728 136.144) - (xy 109.728 135.763) - (xy 109.347 135.763) - (xy 109.347 136.144) - (xy 109.093 136.144) - (xy 109.093 135.763) - (xy 108.712 135.763) - (xy 108.712 136.144) - (xy 107.188 136.144) - (xy 107.188 135.763) - (xy 106.807 135.763) - (xy 106.807 136.144) - (xy 106.553 136.144) - (xy 106.553 135.763) - (xy 106.172 135.763) - (xy 106.172 136.144) - (xy 104.648 136.144) - (xy 104.648 135.763) - (xy 104.267 135.763) - (xy 104.267 136.144) - (xy 104.013 136.144) - (xy 104.013 135.763) - (xy 103.632 135.763) - (xy 103.632 136.144) - (xy 102.108 136.144) - (xy 102.108 135.763) - (xy 101.727 135.763) - (xy 101.727 136.144) - (xy 101.473 136.144) - (xy 101.473 135.763) - (xy 101.092 135.763) - (xy 101.092 136.144) - (xy 99.568 136.144) - (xy 99.568 135.763) - (xy 99.187 135.763) - (xy 99.187 136.144) - (xy 98.933 136.144) - (xy 98.933 135.763) - (xy 98.552 135.763) - (xy 98.552 136.144) - (xy 97.028 136.144) - (xy 97.028 135.763) - (xy 96.647 135.763) - (xy 96.647 136.144) - (xy 96.393 136.144) - (xy 96.393 135.763) - (xy 96.012 135.763) - (xy 96.012 136.144) - (xy 94.488 136.144) - (xy 94.488 135.763) - (xy 94.107 135.763) - (xy 94.107 136.144) - (xy 93.853 136.144) - (xy 93.853 135.763) - (xy 93.472 135.763) - (xy 93.472 136.144) - (xy 91.948 136.144) - (xy 91.948 135.763) - (xy 91.567 135.763) - (xy 91.567 136.144) - (xy 91.313 136.144) - (xy 91.313 135.763) - (xy 90.932 135.763) - (xy 90.932 136.144) - (xy 89.408 136.144) - (xy 89.408 135.763) - (xy 89.027 135.763) - (xy 89.027 136.144) - (xy 88.773 136.144) - (xy 88.773 135.763) - (xy 88.392 135.763) - (xy 88.392 136.144) - (xy 86.868 136.144) - (xy 86.868 135.763) - (xy 86.487 135.763) - (xy 86.487 136.144) - (xy 86.233 136.144) - (xy 86.233 135.763) - (xy 85.852 135.763) - (xy 85.852 136.144) - (xy 84.328 136.144) - (xy 84.328 135.763) - (xy 83.947 135.763) - (xy 83.947 136.144) - (xy 83.693 136.144) - (xy 83.693 135.763) - (xy 83.312 135.763) - (xy 83.312 136.144) - (xy 81.788 136.144) - (xy 81.788 135.763) - (xy 81.407 135.763) - (xy 81.407 136.144) - (xy 81.153 136.144) - (xy 81.153 135.763) - (xy 80.772 135.763) - (xy 80.772 136.144) - (xy 79.248 136.144) - (xy 79.248 135.763) - (xy 78.867 135.763) - (xy 78.867 136.144) - (xy 78.613 136.144) - (xy 78.613 135.763) - (xy 78.232 135.763) - (xy 78.232 136.144) - (xy 76.708 136.144) - (xy 76.708 135.763) - (xy 76.327 135.763) - (xy 76.327 136.144) - (xy 76.073 136.144) - (xy 76.073 135.763) - (xy 75.692 135.763) - (xy 75.692 136.144) - (xy 74.422 136.144) - (xy 74.422 135.509) - (xy 75.692 135.509) - (xy 76.073 135.509) - (xy 76.327 135.509) - (xy 76.708 135.509) - (xy 78.232 135.509) - (xy 78.613 135.509) - (xy 78.867 135.509) - (xy 79.248 135.509) - (xy 80.772 135.509) - (xy 81.153 135.509) - (xy 81.407 135.509) - (xy 81.788 135.509) - (xy 83.312 135.509) - (xy 83.693 135.509) - (xy 83.947 135.509) - (xy 84.328 135.509) - (xy 85.852 135.509) - (xy 86.233 135.509) - (xy 86.487 135.509) - (xy 86.868 135.509) - (xy 88.392 135.509) - (xy 88.773 135.509) - (xy 89.027 135.509) - (xy 89.408 135.509) - (xy 90.932 135.509) - (xy 91.313 135.509) - (xy 91.567 135.509) - (xy 91.948 135.509) - (xy 93.472 135.509) - (xy 93.853 135.509) - (xy 94.107 135.509) - (xy 94.488 135.509) - (xy 96.012 135.509) - (xy 96.393 135.509) - (xy 96.647 135.509) - (xy 97.028 135.509) - (xy 98.552 135.509) - (xy 98.933 135.509) - (xy 99.187 135.509) - (xy 99.568 135.509) - (xy 101.092 135.509) - (xy 101.473 135.509) - (xy 101.727 135.509) - (xy 102.108 135.509) - (xy 103.632 135.509) - (xy 104.013 135.509) - (xy 104.267 135.509) - (xy 104.648 135.509) - (xy 106.172 135.509) - (xy 106.553 135.509) - (xy 106.807 135.509) - (xy 107.188 135.509) - (xy 108.712 135.509) - (xy 109.093 135.509) - (xy 109.347 135.509) - (xy 109.728 135.509) - (xy 111.252 135.509) - (xy 111.633 135.509) - (xy 111.887 135.509) - (xy 112.268 135.509) - (xy 113.792 135.509) - (xy 114.173 135.509) - (xy 114.427 135.509) - (xy 114.808 135.509) - (xy 116.332 135.509) - (xy 116.713 135.509) - (xy 116.967 135.509) - (xy 117.348 135.509) - (xy 118.872 135.509) - (xy 119.253 135.509) - (xy 119.507 135.509) - (xy 119.888 135.509) - (xy 121.412 135.509) - (xy 121.793 135.509) - (xy 122.047 135.509) - (xy 122.428 135.509) - (xy 123.952 135.509) - (xy 124.333 135.509) - (xy 124.587 135.509) - (xy 124.968 135.509) - (xy 126.492 135.509) - (xy 126.873 135.509) - (xy 127.127 135.509) - (xy 127.508 135.509) - (xy 129.032 135.509) - (xy 129.413 135.509) - (xy 129.667 135.509) - (xy 130.048 135.509) - (xy 131.572 135.509) - (xy 131.953 135.509) - (xy 132.207 135.509) - (xy 132.588 135.509) - (xy 134.112 135.509) - (xy 134.493 135.509) - (xy 134.747 135.509) - (xy 135.128 135.509) - (xy 135.128 135.128) - (xy 134.747 135.128) - (xy 134.747 135.509) - (xy 134.493 135.509) - (xy 134.493 135.128) - (xy 134.112 135.128) - (xy 134.112 135.509) - (xy 132.588 135.509) - (xy 132.588 135.128) - (xy 132.207 135.128) - (xy 132.207 135.509) - (xy 131.953 135.509) - (xy 131.953 135.128) - (xy 131.572 135.128) - (xy 131.572 135.509) - (xy 130.048 135.509) - (xy 130.048 135.128) - (xy 129.667 135.128) - (xy 129.667 135.509) - (xy 129.413 135.509) - (xy 129.413 135.128) - (xy 129.032 135.128) - (xy 129.032 135.509) - (xy 127.508 135.509) - (xy 127.508 135.128) - (xy 127.127 135.128) - (xy 127.127 135.509) - (xy 126.873 135.509) - (xy 126.873 135.128) - (xy 126.492 135.128) - (xy 126.492 135.509) - (xy 124.968 135.509) - (xy 124.968 135.128) - (xy 124.587 135.128) - (xy 124.587 135.509) - (xy 124.333 135.509) - (xy 124.333 135.128) - (xy 123.952 135.128) - (xy 123.952 135.509) - (xy 122.428 135.509) - (xy 122.428 135.128) - (xy 122.047 135.128) - (xy 122.047 135.509) - (xy 121.793 135.509) - (xy 121.793 135.128) - (xy 121.412 135.128) - (xy 121.412 135.509) - (xy 119.888 135.509) - (xy 119.888 135.128) - (xy 119.507 135.128) - (xy 119.507 135.509) - (xy 119.253 135.509) - (xy 119.253 135.128) - (xy 118.872 135.128) - (xy 118.872 135.509) - (xy 117.348 135.509) - (xy 117.348 135.128) - (xy 116.967 135.128) - (xy 116.967 135.509) - (xy 116.713 135.509) - (xy 116.713 135.128) - (xy 116.332 135.128) - (xy 116.332 135.509) - (xy 114.808 135.509) - (xy 114.808 135.128) - (xy 114.427 135.128) - (xy 114.427 135.509) - (xy 114.173 135.509) - (xy 114.173 135.128) - (xy 113.792 135.128) - (xy 113.792 135.509) - (xy 112.268 135.509) - (xy 112.268 135.128) - (xy 111.887 135.128) - (xy 111.887 135.509) - (xy 111.633 135.509) - (xy 111.633 135.128) - (xy 111.252 135.128) - (xy 111.252 135.509) - (xy 109.728 135.509) - (xy 109.728 135.128) - (xy 109.347 135.128) - (xy 109.347 135.509) - (xy 109.093 135.509) - (xy 109.093 135.128) - (xy 108.712 135.128) - (xy 108.712 135.509) - (xy 107.188 135.509) - (xy 107.188 135.128) - (xy 106.807 135.128) - (xy 106.807 135.509) - (xy 106.553 135.509) - (xy 106.553 135.128) - (xy 106.172 135.128) - (xy 106.172 135.509) - (xy 104.648 135.509) - (xy 104.648 135.128) - (xy 104.267 135.128) - (xy 104.267 135.509) - (xy 104.013 135.509) - (xy 104.013 135.128) - (xy 103.632 135.128) - (xy 103.632 135.509) - (xy 102.108 135.509) - (xy 102.108 135.128) - (xy 101.727 135.128) - (xy 101.727 135.509) - (xy 101.473 135.509) - (xy 101.473 135.128) - (xy 101.092 135.128) - (xy 101.092 135.509) - (xy 99.568 135.509) - (xy 99.568 135.128) - (xy 99.187 135.128) - (xy 99.187 135.509) - (xy 98.933 135.509) - (xy 98.933 135.128) - (xy 98.552 135.128) - (xy 98.552 135.509) - (xy 97.028 135.509) - (xy 97.028 135.128) - (xy 96.647 135.128) - (xy 96.647 135.509) - (xy 96.393 135.509) - (xy 96.393 135.128) - (xy 96.012 135.128) - (xy 96.012 135.509) - (xy 94.488 135.509) - (xy 94.488 135.128) - (xy 94.107 135.128) - (xy 94.107 135.509) - (xy 93.853 135.509) - (xy 93.853 135.128) - (xy 93.472 135.128) - (xy 93.472 135.509) - (xy 91.948 135.509) - (xy 91.948 135.128) - (xy 91.567 135.128) - (xy 91.567 135.509) - (xy 91.313 135.509) - (xy 91.313 135.128) - (xy 90.932 135.128) - (xy 90.932 135.509) - (xy 89.408 135.509) - (xy 89.408 135.128) - (xy 89.027 135.128) - (xy 89.027 135.509) - (xy 88.773 135.509) - (xy 88.773 135.128) - (xy 88.392 135.128) - (xy 88.392 135.509) - (xy 86.868 135.509) - (xy 86.868 135.128) - (xy 86.487 135.128) - (xy 86.487 135.509) - (xy 86.233 135.509) - (xy 86.233 135.128) - (xy 85.852 135.128) - (xy 85.852 135.509) - (xy 84.328 135.509) - (xy 84.328 135.128) - (xy 83.947 135.128) - (xy 83.947 135.509) - (xy 83.693 135.509) - (xy 83.693 135.128) - (xy 83.312 135.128) - (xy 83.312 135.509) - (xy 81.788 135.509) - (xy 81.788 135.128) - (xy 81.407 135.128) - (xy 81.407 135.509) - (xy 81.153 135.509) - (xy 81.153 135.128) - (xy 80.772 135.128) - (xy 80.772 135.509) - (xy 79.248 135.509) - (xy 79.248 135.128) - (xy 78.867 135.128) - (xy 78.867 135.509) - (xy 78.613 135.509) - (xy 78.613 135.128) - (xy 78.232 135.128) - (xy 78.232 135.509) - (xy 76.708 135.509) - (xy 76.708 135.128) - (xy 76.327 135.128) - (xy 76.327 135.509) - (xy 76.073 135.509) - (xy 76.073 135.128) - (xy 75.692 135.128) - (xy 75.692 135.509) - (xy 74.422 135.509) - (xy 74.422 134.874) - (xy 75.692 134.874) - (xy 76.073 134.874) - (xy 76.327 134.874) - (xy 76.708 134.874) - (xy 78.232 134.874) - (xy 78.613 134.874) - (xy 78.867 134.874) - (xy 79.248 134.874) - (xy 80.772 134.874) - (xy 81.153 134.874) - (xy 81.407 134.874) - (xy 81.788 134.874) - (xy 83.312 134.874) - (xy 83.693 134.874) - (xy 83.947 134.874) - (xy 84.328 134.874) - (xy 85.852 134.874) - (xy 86.233 134.874) - (xy 86.487 134.874) - (xy 86.868 134.874) - (xy 88.392 134.874) - (xy 88.773 134.874) - (xy 89.027 134.874) - (xy 89.408 134.874) - (xy 90.932 134.874) - (xy 91.313 134.874) - (xy 91.567 134.874) - (xy 91.948 134.874) - (xy 93.472 134.874) - (xy 93.853 134.874) - (xy 94.107 134.874) - (xy 94.488 134.874) - (xy 96.012 134.874) - (xy 96.393 134.874) - (xy 96.647 134.874) - (xy 97.028 134.874) - (xy 98.552 134.874) - (xy 98.933 134.874) - (xy 99.187 134.874) - (xy 99.568 134.874) - (xy 101.092 134.874) - (xy 101.473 134.874) - (xy 101.727 134.874) - (xy 102.108 134.874) - (xy 103.632 134.874) - (xy 104.013 134.874) - (xy 104.267 134.874) - (xy 104.648 134.874) - (xy 106.172 134.874) - (xy 106.553 134.874) - (xy 106.807 134.874) - (xy 107.188 134.874) - (xy 108.712 134.874) - (xy 109.093 134.874) - (xy 109.347 134.874) - (xy 109.728 134.874) - (xy 111.252 134.874) - (xy 111.633 134.874) - (xy 111.887 134.874) - (xy 112.268 134.874) - (xy 113.792 134.874) - (xy 114.173 134.874) - (xy 114.427 134.874) - (xy 114.808 134.874) - (xy 116.332 134.874) - (xy 116.713 134.874) - (xy 116.967 134.874) - (xy 117.348 134.874) - (xy 118.872 134.874) - (xy 119.253 134.874) - (xy 119.507 134.874) - (xy 119.888 134.874) - (xy 121.412 134.874) - (xy 121.793 134.874) - (xy 122.047 134.874) - (xy 122.428 134.874) - (xy 123.952 134.874) - (xy 124.333 134.874) - (xy 124.587 134.874) - (xy 124.968 134.874) - (xy 126.492 134.874) - (xy 126.873 134.874) - (xy 127.127 134.874) - (xy 127.508 134.874) - (xy 129.032 134.874) - (xy 129.413 134.874) - (xy 129.667 134.874) - (xy 130.048 134.874) - (xy 131.572 134.874) - (xy 131.953 134.874) - (xy 132.207 134.874) - (xy 132.588 134.874) - (xy 134.112 134.874) - (xy 134.493 134.874) - (xy 134.747 134.874) - (xy 135.128 134.874) - (xy 135.128 134.493) - (xy 134.747 134.493) - (xy 134.747 134.874) - (xy 134.493 134.874) - (xy 134.493 134.493) - (xy 134.112 134.493) - (xy 134.112 134.874) - (xy 132.588 134.874) - (xy 132.588 134.493) - (xy 132.207 134.493) - (xy 132.207 134.874) - (xy 131.953 134.874) - (xy 131.953 134.493) - (xy 131.572 134.493) - (xy 131.572 134.874) - (xy 130.048 134.874) - (xy 130.048 134.493) - (xy 129.667 134.493) - (xy 129.667 134.874) - (xy 129.413 134.874) - (xy 129.413 134.493) - (xy 129.032 134.493) - (xy 129.032 134.874) - (xy 127.508 134.874) - (xy 127.508 134.493) - (xy 127.127 134.493) - (xy 127.127 134.874) - (xy 126.873 134.874) - (xy 126.873 134.493) - (xy 126.492 134.493) - (xy 126.492 134.874) - (xy 124.968 134.874) - (xy 124.968 134.493) - (xy 124.587 134.493) - (xy 124.587 134.874) - (xy 124.333 134.874) - (xy 124.333 134.493) - (xy 123.952 134.493) - (xy 123.952 134.874) - (xy 122.428 134.874) - (xy 122.428 134.493) - (xy 122.047 134.493) - (xy 122.047 134.874) - (xy 121.793 134.874) - (xy 121.793 134.493) - (xy 121.412 134.493) - (xy 121.412 134.874) - (xy 119.888 134.874) - (xy 119.888 134.493) - (xy 119.507 134.493) - (xy 119.507 134.874) - (xy 119.253 134.874) - (xy 119.253 134.493) - (xy 118.872 134.493) - (xy 118.872 134.874) - (xy 117.348 134.874) - (xy 117.348 134.493) - (xy 116.967 134.493) - (xy 116.967 134.874) - (xy 116.713 134.874) - (xy 116.713 134.493) - (xy 116.332 134.493) - (xy 116.332 134.874) - (xy 114.808 134.874) - (xy 114.808 134.493) - (xy 114.427 134.493) - (xy 114.427 134.874) - (xy 114.173 134.874) - (xy 114.173 134.493) - (xy 113.792 134.493) - (xy 113.792 134.874) - (xy 112.268 134.874) - (xy 112.268 134.493) - (xy 111.887 134.493) - (xy 111.887 134.874) - (xy 111.633 134.874) - (xy 111.633 134.493) - (xy 111.252 134.493) - (xy 111.252 134.874) - (xy 109.728 134.874) - (xy 109.728 134.493) - (xy 109.347 134.493) - (xy 109.347 134.874) - (xy 109.093 134.874) - (xy 109.093 134.493) - (xy 108.712 134.493) - (xy 108.712 134.874) - (xy 107.188 134.874) - (xy 107.188 134.493) - (xy 106.807 134.493) - (xy 106.807 134.874) - (xy 106.553 134.874) - (xy 106.553 134.493) - (xy 106.172 134.493) - (xy 106.172 134.874) - (xy 104.648 134.874) - (xy 104.648 134.493) - (xy 104.267 134.493) - (xy 104.267 134.874) - (xy 104.013 134.874) - (xy 104.013 134.493) - (xy 103.632 134.493) - (xy 103.632 134.874) - (xy 102.108 134.874) - (xy 102.108 134.493) - (xy 101.727 134.493) - (xy 101.727 134.874) - (xy 101.473 134.874) - (xy 101.473 134.493) - (xy 101.092 134.493) - (xy 101.092 134.874) - (xy 99.568 134.874) - (xy 99.568 134.493) - (xy 99.187 134.493) - (xy 99.187 134.874) - (xy 98.933 134.874) - (xy 98.933 134.493) - (xy 98.552 134.493) - (xy 98.552 134.874) - (xy 97.028 134.874) - (xy 97.028 134.493) - (xy 96.647 134.493) - (xy 96.647 134.874) - (xy 96.393 134.874) - (xy 96.393 134.493) - (xy 96.012 134.493) - (xy 96.012 134.874) - (xy 94.488 134.874) - (xy 94.488 134.493) - (xy 94.107 134.493) - (xy 94.107 134.874) - (xy 93.853 134.874) - (xy 93.853 134.493) - (xy 93.472 134.493) - (xy 93.472 134.874) - (xy 91.948 134.874) - (xy 91.948 134.493) - (xy 91.567 134.493) - (xy 91.567 134.874) - (xy 91.313 134.874) - (xy 91.313 134.493) - (xy 90.932 134.493) - (xy 90.932 134.874) - (xy 89.408 134.874) - (xy 89.408 134.493) - (xy 89.027 134.493) - (xy 89.027 134.874) - (xy 88.773 134.874) - (xy 88.773 134.493) - (xy 88.392 134.493) - (xy 88.392 134.874) - (xy 86.868 134.874) - (xy 86.868 134.493) - (xy 86.487 134.493) - (xy 86.487 134.874) - (xy 86.233 134.874) - (xy 86.233 134.493) - (xy 85.852 134.493) - (xy 85.852 134.874) - (xy 84.328 134.874) - (xy 84.328 134.493) - (xy 83.947 134.493) - (xy 83.947 134.874) - (xy 83.693 134.874) - (xy 83.693 134.493) - (xy 83.312 134.493) - (xy 83.312 134.874) - (xy 81.788 134.874) - (xy 81.788 134.493) - (xy 81.407 134.493) - (xy 81.407 134.874) - (xy 81.153 134.874) - (xy 81.153 134.493) - (xy 80.772 134.493) - (xy 80.772 134.874) - (xy 79.248 134.874) - (xy 79.248 134.493) - (xy 78.867 134.493) - (xy 78.867 134.874) - (xy 78.613 134.874) - (xy 78.613 134.493) - (xy 78.232 134.493) - (xy 78.232 134.874) - (xy 76.708 134.874) - (xy 76.708 134.493) - (xy 76.327 134.493) - (xy 76.327 134.874) - (xy 76.073 134.874) - (xy 76.073 134.493) - (xy 75.692 134.493) - (xy 75.692 134.874) - (xy 74.422 134.874) - (xy 74.422 134.239) - (xy 75.692 134.239) - (xy 76.073 134.239) - (xy 76.327 134.239) - (xy 76.708 134.239) - (xy 78.232 134.239) - (xy 78.613 134.239) - (xy 78.867 134.239) - (xy 79.248 134.239) - (xy 80.772 134.239) - (xy 81.153 134.239) - (xy 81.407 134.239) - (xy 81.788 134.239) - (xy 83.312 134.239) - (xy 83.693 134.239) - (xy 83.947 134.239) - (xy 84.328 134.239) - (xy 85.852 134.239) - (xy 86.233 134.239) - (xy 86.487 134.239) - (xy 86.868 134.239) - (xy 88.392 134.239) - (xy 88.773 134.239) - (xy 89.027 134.239) - (xy 89.408 134.239) - (xy 90.932 134.239) - (xy 91.313 134.239) - (xy 91.567 134.239) - (xy 91.948 134.239) - (xy 93.472 134.239) - (xy 93.853 134.239) - (xy 94.107 134.239) - (xy 94.488 134.239) - (xy 96.012 134.239) - (xy 96.393 134.239) - (xy 96.647 134.239) - (xy 97.028 134.239) - (xy 98.552 134.239) - (xy 98.933 134.239) - (xy 99.187 134.239) - (xy 99.568 134.239) - (xy 101.092 134.239) - (xy 101.473 134.239) - (xy 101.727 134.239) - (xy 102.108 134.239) - (xy 103.632 134.239) - (xy 104.013 134.239) - (xy 104.267 134.239) - (xy 104.648 134.239) - (xy 106.172 134.239) - (xy 106.553 134.239) - (xy 106.807 134.239) - (xy 107.188 134.239) - (xy 108.712 134.239) - (xy 109.093 134.239) - (xy 109.347 134.239) - (xy 109.728 134.239) - (xy 111.252 134.239) - (xy 111.633 134.239) - (xy 111.887 134.239) - (xy 112.268 134.239) - (xy 113.792 134.239) - (xy 114.173 134.239) - (xy 114.427 134.239) - (xy 114.808 134.239) - (xy 116.332 134.239) - (xy 116.713 134.239) - (xy 116.967 134.239) - (xy 117.348 134.239) - (xy 118.872 134.239) - (xy 119.253 134.239) - (xy 119.507 134.239) - (xy 119.888 134.239) - (xy 121.412 134.239) - (xy 121.793 134.239) - (xy 122.047 134.239) - (xy 122.428 134.239) - (xy 123.952 134.239) - (xy 124.333 134.239) - (xy 124.587 134.239) - (xy 124.968 134.239) - (xy 126.492 134.239) - (xy 126.873 134.239) - (xy 127.127 134.239) - (xy 127.508 134.239) - (xy 129.032 134.239) - (xy 129.413 134.239) - (xy 129.667 134.239) - (xy 130.048 134.239) - (xy 131.572 134.239) - (xy 131.953 134.239) - (xy 132.207 134.239) - (xy 132.588 134.239) - (xy 134.112 134.239) - (xy 134.493 134.239) - (xy 134.747 134.239) - (xy 135.128 134.239) - (xy 135.128 133.858) - (xy 134.747 133.858) - (xy 134.747 134.239) - (xy 134.493 134.239) - (xy 134.493 133.858) - (xy 134.112 133.858) - (xy 134.112 134.239) - (xy 132.588 134.239) - (xy 132.588 133.858) - (xy 132.207 133.858) - (xy 132.207 134.239) - (xy 131.953 134.239) - (xy 131.953 133.858) - (xy 131.572 133.858) - (xy 131.572 134.239) - (xy 130.048 134.239) - (xy 130.048 133.858) - (xy 129.667 133.858) - (xy 129.667 134.239) - (xy 129.413 134.239) - (xy 129.413 133.858) - (xy 129.032 133.858) - (xy 129.032 134.239) - (xy 127.508 134.239) - (xy 127.508 133.858) - (xy 127.127 133.858) - (xy 127.127 134.239) - (xy 126.873 134.239) - (xy 126.873 133.858) - (xy 126.492 133.858) - (xy 126.492 134.239) - (xy 124.968 134.239) - (xy 124.968 133.858) - (xy 124.587 133.858) - (xy 124.587 134.239) - (xy 124.333 134.239) - (xy 124.333 133.858) - (xy 123.952 133.858) - (xy 123.952 134.239) - (xy 122.428 134.239) - (xy 122.428 133.858) - (xy 122.047 133.858) - (xy 122.047 134.239) - (xy 121.793 134.239) - (xy 121.793 133.858) - (xy 121.412 133.858) - (xy 121.412 134.239) - (xy 119.888 134.239) - (xy 119.888 133.858) - (xy 119.507 133.858) - (xy 119.507 134.239) - (xy 119.253 134.239) - (xy 119.253 133.858) - (xy 118.872 133.858) - (xy 118.872 134.239) - (xy 117.348 134.239) - (xy 117.348 133.858) - (xy 116.967 133.858) - (xy 116.967 134.239) - (xy 116.713 134.239) - (xy 116.713 133.858) - (xy 116.332 133.858) - (xy 116.332 134.239) - (xy 114.808 134.239) - (xy 114.808 133.858) - (xy 114.427 133.858) - (xy 114.427 134.239) - (xy 114.173 134.239) - (xy 114.173 133.858) - (xy 113.792 133.858) - (xy 113.792 134.239) - (xy 112.268 134.239) - (xy 112.268 133.858) - (xy 111.887 133.858) - (xy 111.887 134.239) - (xy 111.633 134.239) - (xy 111.633 133.858) - (xy 111.252 133.858) - (xy 111.252 134.239) - (xy 109.728 134.239) - (xy 109.728 133.858) - (xy 109.347 133.858) - (xy 109.347 134.239) - (xy 109.093 134.239) - (xy 109.093 133.858) - (xy 108.712 133.858) - (xy 108.712 134.239) - (xy 107.188 134.239) - (xy 107.188 133.858) - (xy 106.807 133.858) - (xy 106.807 134.239) - (xy 106.553 134.239) - (xy 106.553 133.858) - (xy 106.172 133.858) - (xy 106.172 134.239) - (xy 104.648 134.239) - (xy 104.648 133.858) - (xy 104.267 133.858) - (xy 104.267 134.239) - (xy 104.013 134.239) - (xy 104.013 133.858) - (xy 103.632 133.858) - (xy 103.632 134.239) - (xy 102.108 134.239) - (xy 102.108 133.858) - (xy 101.727 133.858) - (xy 101.727 134.239) - (xy 101.473 134.239) - (xy 101.473 133.858) - (xy 101.092 133.858) - (xy 101.092 134.239) - (xy 99.568 134.239) - (xy 99.568 133.858) - (xy 99.187 133.858) - (xy 99.187 134.239) - (xy 98.933 134.239) - (xy 98.933 133.858) - (xy 98.552 133.858) - (xy 98.552 134.239) - (xy 97.028 134.239) - (xy 97.028 133.858) - (xy 96.647 133.858) - (xy 96.647 134.239) - (xy 96.393 134.239) - (xy 96.393 133.858) - (xy 96.012 133.858) - (xy 96.012 134.239) - (xy 94.488 134.239) - (xy 94.488 133.858) - (xy 94.107 133.858) - (xy 94.107 134.239) - (xy 93.853 134.239) - (xy 93.853 133.858) - (xy 93.472 133.858) - (xy 93.472 134.239) - (xy 91.948 134.239) - (xy 91.948 133.858) - (xy 91.567 133.858) - (xy 91.567 134.239) - (xy 91.313 134.239) - (xy 91.313 133.858) - (xy 90.932 133.858) - (xy 90.932 134.239) - (xy 89.408 134.239) - (xy 89.408 133.858) - (xy 89.027 133.858) - (xy 89.027 134.239) - (xy 88.773 134.239) - (xy 88.773 133.858) - (xy 88.392 133.858) - (xy 88.392 134.239) - (xy 86.868 134.239) - (xy 86.868 133.858) - (xy 86.487 133.858) - (xy 86.487 134.239) - (xy 86.233 134.239) - (xy 86.233 133.858) - (xy 85.852 133.858) - (xy 85.852 134.239) - (xy 84.328 134.239) - (xy 84.328 133.858) - (xy 83.947 133.858) - (xy 83.947 134.239) - (xy 83.693 134.239) - (xy 83.693 133.858) - (xy 83.312 133.858) - (xy 83.312 134.239) - (xy 81.788 134.239) - (xy 81.788 133.858) - (xy 81.407 133.858) - (xy 81.407 134.239) - (xy 81.153 134.239) - (xy 81.153 133.858) - (xy 80.772 133.858) - (xy 80.772 134.239) - (xy 79.248 134.239) - (xy 79.248 133.858) - (xy 78.867 133.858) - (xy 78.867 134.239) - (xy 78.613 134.239) - (xy 78.613 133.858) - (xy 78.232 133.858) - (xy 78.232 134.239) - (xy 76.708 134.239) - (xy 76.708 133.858) - (xy 76.327 133.858) - (xy 76.327 134.239) - (xy 76.073 134.239) - (xy 76.073 133.858) - (xy 75.692 133.858) - (xy 75.692 134.239) - (xy 74.422 134.239) - (xy 74.422 133.604) - (xy 75.692 133.604) - (xy 76.073 133.604) - (xy 76.327 133.604) - (xy 76.708 133.604) - (xy 78.232 133.604) - (xy 78.613 133.604) - (xy 78.867 133.604) - (xy 79.248 133.604) - (xy 80.772 133.604) - (xy 81.153 133.604) - (xy 81.407 133.604) - (xy 81.788 133.604) - (xy 83.312 133.604) - (xy 83.693 133.604) - (xy 83.947 133.604) - (xy 84.328 133.604) - (xy 85.852 133.604) - (xy 86.233 133.604) - (xy 86.487 133.604) - (xy 86.868 133.604) - (xy 88.392 133.604) - (xy 88.773 133.604) - (xy 89.027 133.604) - (xy 89.408 133.604) - (xy 90.932 133.604) - (xy 91.313 133.604) - (xy 91.567 133.604) - (xy 91.948 133.604) - (xy 93.472 133.604) - (xy 93.853 133.604) - (xy 94.107 133.604) - (xy 94.488 133.604) - (xy 96.012 133.604) - (xy 96.393 133.604) - (xy 96.647 133.604) - (xy 97.028 133.604) - (xy 98.552 133.604) - (xy 98.933 133.604) - (xy 99.187 133.604) - (xy 99.568 133.604) - (xy 101.092 133.604) - (xy 101.473 133.604) - (xy 101.727 133.604) - (xy 102.108 133.604) - (xy 103.632 133.604) - (xy 104.013 133.604) - (xy 104.267 133.604) - (xy 104.648 133.604) - (xy 106.172 133.604) - (xy 106.553 133.604) - (xy 106.807 133.604) - (xy 107.188 133.604) - (xy 108.712 133.604) - (xy 109.093 133.604) - (xy 109.347 133.604) - (xy 109.728 133.604) - (xy 111.252 133.604) - (xy 111.633 133.604) - (xy 111.887 133.604) - (xy 112.268 133.604) - (xy 113.792 133.604) - (xy 114.173 133.604) - (xy 114.427 133.604) - (xy 114.808 133.604) - (xy 116.332 133.604) - (xy 116.713 133.604) - (xy 116.967 133.604) - (xy 117.348 133.604) - (xy 118.872 133.604) - (xy 119.253 133.604) - (xy 119.507 133.604) - (xy 119.888 133.604) - (xy 121.412 133.604) - (xy 121.793 133.604) - (xy 122.047 133.604) - (xy 122.428 133.604) - (xy 123.952 133.604) - (xy 124.333 133.604) - (xy 124.587 133.604) - (xy 124.968 133.604) - (xy 126.492 133.604) - (xy 126.873 133.604) - (xy 127.127 133.604) - (xy 127.508 133.604) - (xy 129.032 133.604) - (xy 129.413 133.604) - (xy 129.667 133.604) - (xy 130.048 133.604) - (xy 131.572 133.604) - (xy 131.953 133.604) - (xy 132.207 133.604) - (xy 132.588 133.604) - (xy 134.112 133.604) - (xy 134.493 133.604) - (xy 134.747 133.604) - (xy 135.128 133.604) - (xy 135.128 133.223) - (xy 134.747 133.223) - (xy 134.747 133.604) - (xy 134.493 133.604) - (xy 134.493 133.223) - (xy 134.112 133.223) - (xy 134.112 133.604) - (xy 132.588 133.604) - (xy 132.588 133.223) - (xy 132.207 133.223) - (xy 132.207 133.604) - (xy 131.953 133.604) - (xy 131.953 133.223) - (xy 131.572 133.223) - (xy 131.572 133.604) - (xy 130.048 133.604) - (xy 130.048 133.223) - (xy 129.667 133.223) - (xy 129.667 133.604) - (xy 129.413 133.604) - (xy 129.413 133.223) - (xy 129.032 133.223) - (xy 129.032 133.604) - (xy 127.508 133.604) - (xy 127.508 133.223) - (xy 127.127 133.223) - (xy 127.127 133.604) - (xy 126.873 133.604) - (xy 126.873 133.223) - (xy 126.492 133.223) - (xy 126.492 133.604) - (xy 124.968 133.604) - (xy 124.968 133.223) - (xy 124.587 133.223) - (xy 124.587 133.604) - (xy 124.333 133.604) - (xy 124.333 133.223) - (xy 123.952 133.223) - (xy 123.952 133.604) - (xy 122.428 133.604) - (xy 122.428 133.223) - (xy 122.047 133.223) - (xy 122.047 133.604) - (xy 121.793 133.604) - (xy 121.793 133.223) - (xy 121.412 133.223) - (xy 121.412 133.604) - (xy 119.888 133.604) - (xy 119.888 133.223) - (xy 119.507 133.223) - (xy 119.507 133.604) - (xy 119.253 133.604) - (xy 119.253 133.223) - (xy 118.872 133.223) - (xy 118.872 133.604) - (xy 117.348 133.604) - (xy 117.348 133.223) - (xy 116.967 133.223) - (xy 116.967 133.604) - (xy 116.713 133.604) - (xy 116.713 133.223) - (xy 116.332 133.223) - (xy 116.332 133.604) - (xy 114.808 133.604) - (xy 114.808 133.223) - (xy 114.427 133.223) - (xy 114.427 133.604) - (xy 114.173 133.604) - (xy 114.173 133.223) - (xy 113.792 133.223) - (xy 113.792 133.604) - (xy 112.268 133.604) - (xy 112.268 133.223) - (xy 111.887 133.223) - (xy 111.887 133.604) - (xy 111.633 133.604) - (xy 111.633 133.223) - (xy 111.252 133.223) - (xy 111.252 133.604) - (xy 109.728 133.604) - (xy 109.728 133.223) - (xy 109.347 133.223) - (xy 109.347 133.604) - (xy 109.093 133.604) - (xy 109.093 133.223) - (xy 108.712 133.223) - (xy 108.712 133.604) - (xy 107.188 133.604) - (xy 107.188 133.223) - (xy 106.807 133.223) - (xy 106.807 133.604) - (xy 106.553 133.604) - (xy 106.553 133.223) - (xy 106.172 133.223) - (xy 106.172 133.604) - (xy 104.648 133.604) - (xy 104.648 133.223) - (xy 104.267 133.223) - (xy 104.267 133.604) - (xy 104.013 133.604) - (xy 104.013 133.223) - (xy 103.632 133.223) - (xy 103.632 133.604) - (xy 102.108 133.604) - (xy 102.108 133.223) - (xy 101.727 133.223) - (xy 101.727 133.604) - (xy 101.473 133.604) - (xy 101.473 133.223) - (xy 101.092 133.223) - (xy 101.092 133.604) - (xy 99.568 133.604) - (xy 99.568 133.223) - (xy 99.187 133.223) - (xy 99.187 133.604) - (xy 98.933 133.604) - (xy 98.933 133.223) - (xy 98.552 133.223) - (xy 98.552 133.604) - (xy 97.028 133.604) - (xy 97.028 133.223) - (xy 96.647 133.223) - (xy 96.647 133.604) - (xy 96.393 133.604) - (xy 96.393 133.223) - (xy 96.012 133.223) - (xy 96.012 133.604) - (xy 94.488 133.604) - (xy 94.488 133.223) - (xy 94.107 133.223) - (xy 94.107 133.604) - (xy 93.853 133.604) - (xy 93.853 133.223) - (xy 93.472 133.223) - (xy 93.472 133.604) - (xy 91.948 133.604) - (xy 91.948 133.223) - (xy 91.567 133.223) - (xy 91.567 133.604) - (xy 91.313 133.604) - (xy 91.313 133.223) - (xy 90.932 133.223) - (xy 90.932 133.604) - (xy 89.408 133.604) - (xy 89.408 133.223) - (xy 89.027 133.223) - (xy 89.027 133.604) - (xy 88.773 133.604) - (xy 88.773 133.223) - (xy 88.392 133.223) - (xy 88.392 133.604) - (xy 86.868 133.604) - (xy 86.868 133.223) - (xy 86.487 133.223) - (xy 86.487 133.604) - (xy 86.233 133.604) - (xy 86.233 133.223) - (xy 85.852 133.223) - (xy 85.852 133.604) - (xy 84.328 133.604) - (xy 84.328 133.223) - (xy 83.947 133.223) - (xy 83.947 133.604) - (xy 83.693 133.604) - (xy 83.693 133.223) - (xy 83.312 133.223) - (xy 83.312 133.604) - (xy 81.788 133.604) - (xy 81.788 133.223) - (xy 81.407 133.223) - (xy 81.407 133.604) - (xy 81.153 133.604) - (xy 81.153 133.223) - (xy 80.772 133.223) - (xy 80.772 133.604) - (xy 79.248 133.604) - (xy 79.248 133.223) - (xy 78.867 133.223) - (xy 78.867 133.604) - (xy 78.613 133.604) - (xy 78.613 133.223) - (xy 78.232 133.223) - (xy 78.232 133.604) - (xy 76.708 133.604) - (xy 76.708 133.223) - (xy 76.327 133.223) - (xy 76.327 133.604) - (xy 76.073 133.604) - (xy 76.073 133.223) - (xy 75.692 133.223) - (xy 75.692 133.604) - (xy 74.422 133.604) - (xy 74.422 132.969) - (xy 75.692 132.969) - (xy 76.073 132.969) - (xy 76.327 132.969) - (xy 76.708 132.969) - (xy 78.232 132.969) - (xy 78.613 132.969) - (xy 78.867 132.969) - (xy 79.248 132.969) - (xy 80.772 132.969) - (xy 81.153 132.969) - (xy 81.407 132.969) - (xy 81.788 132.969) - (xy 83.312 132.969) - (xy 83.693 132.969) - (xy 83.947 132.969) - (xy 84.328 132.969) - (xy 85.852 132.969) - (xy 86.233 132.969) - (xy 86.487 132.969) - (xy 86.868 132.969) - (xy 88.392 132.969) - (xy 88.773 132.969) - (xy 89.027 132.969) - (xy 89.408 132.969) - (xy 90.932 132.969) - (xy 91.313 132.969) - (xy 91.567 132.969) - (xy 91.948 132.969) - (xy 93.472 132.969) - (xy 93.853 132.969) - (xy 94.107 132.969) - (xy 94.488 132.969) - (xy 96.012 132.969) - (xy 96.393 132.969) - (xy 96.647 132.969) - (xy 97.028 132.969) - (xy 98.552 132.969) - (xy 98.933 132.969) - (xy 99.187 132.969) - (xy 99.568 132.969) - (xy 101.092 132.969) - (xy 101.473 132.969) - (xy 101.727 132.969) - (xy 102.108 132.969) - (xy 103.632 132.969) - (xy 104.013 132.969) - (xy 104.267 132.969) - (xy 104.648 132.969) - (xy 106.172 132.969) - (xy 106.553 132.969) - (xy 106.807 132.969) - (xy 107.188 132.969) - (xy 108.712 132.969) - (xy 109.093 132.969) - (xy 109.347 132.969) - (xy 109.728 132.969) - (xy 111.252 132.969) - (xy 111.633 132.969) - (xy 111.887 132.969) - (xy 112.268 132.969) - (xy 113.792 132.969) - (xy 114.173 132.969) - (xy 114.427 132.969) - (xy 114.808 132.969) - (xy 116.332 132.969) - (xy 116.713 132.969) - (xy 116.967 132.969) - (xy 117.348 132.969) - (xy 118.872 132.969) - (xy 119.253 132.969) - (xy 119.507 132.969) - (xy 119.888 132.969) - (xy 121.412 132.969) - (xy 121.793 132.969) - (xy 122.047 132.969) - (xy 122.428 132.969) - (xy 123.952 132.969) - (xy 124.333 132.969) - (xy 124.587 132.969) - (xy 124.968 132.969) - (xy 126.492 132.969) - (xy 126.873 132.969) - (xy 127.127 132.969) - (xy 127.508 132.969) - (xy 129.032 132.969) - (xy 129.413 132.969) - (xy 129.667 132.969) - (xy 130.048 132.969) - (xy 131.572 132.969) - (xy 131.953 132.969) - (xy 132.207 132.969) - (xy 132.588 132.969) - (xy 134.112 132.969) - (xy 134.493 132.969) - (xy 134.747 132.969) - (xy 135.128 132.969) - (xy 135.128 132.588) - (xy 134.747 132.588) - (xy 134.747 132.969) - (xy 134.493 132.969) - (xy 134.493 132.588) - (xy 134.112 132.588) - (xy 134.112 132.969) - (xy 132.588 132.969) - (xy 132.588 132.588) - (xy 132.207 132.588) - (xy 132.207 132.969) - (xy 131.953 132.969) - (xy 131.953 132.588) - (xy 131.572 132.588) - (xy 131.572 132.969) - (xy 130.048 132.969) - (xy 130.048 132.588) - (xy 129.667 132.588) - (xy 129.667 132.969) - (xy 129.413 132.969) - (xy 129.413 132.588) - (xy 129.032 132.588) - (xy 129.032 132.969) - (xy 127.508 132.969) - (xy 127.508 132.588) - (xy 127.127 132.588) - (xy 127.127 132.969) - (xy 126.873 132.969) - (xy 126.873 132.588) - (xy 126.492 132.588) - (xy 126.492 132.969) - (xy 124.968 132.969) - (xy 124.968 132.588) - (xy 124.587 132.588) - (xy 124.587 132.969) - (xy 124.333 132.969) - (xy 124.333 132.588) - (xy 123.952 132.588) - (xy 123.952 132.969) - (xy 122.428 132.969) - (xy 122.428 132.588) - (xy 122.047 132.588) - (xy 122.047 132.969) - (xy 121.793 132.969) - (xy 121.793 132.588) - (xy 121.412 132.588) - (xy 121.412 132.969) - (xy 119.888 132.969) - (xy 119.888 132.588) - (xy 119.507 132.588) - (xy 119.507 132.969) - (xy 119.253 132.969) - (xy 119.253 132.588) - (xy 118.872 132.588) - (xy 118.872 132.969) - (xy 117.348 132.969) - (xy 117.348 132.588) - (xy 116.967 132.588) - (xy 116.967 132.969) - (xy 116.713 132.969) - (xy 116.713 132.588) - (xy 116.332 132.588) - (xy 116.332 132.969) - (xy 114.808 132.969) - (xy 114.808 132.588) - (xy 114.427 132.588) - (xy 114.427 132.969) - (xy 114.173 132.969) - (xy 114.173 132.588) - (xy 113.792 132.588) - (xy 113.792 132.969) - (xy 112.268 132.969) - (xy 112.268 132.588) - (xy 111.887 132.588) - (xy 111.887 132.969) - (xy 111.633 132.969) - (xy 111.633 132.588) - (xy 111.252 132.588) - (xy 111.252 132.969) - (xy 109.728 132.969) - (xy 109.728 132.588) - (xy 109.347 132.588) - (xy 109.347 132.969) - (xy 109.093 132.969) - (xy 109.093 132.588) - (xy 108.712 132.588) - (xy 108.712 132.969) - (xy 107.188 132.969) - (xy 107.188 132.588) - (xy 106.807 132.588) - (xy 106.807 132.969) - (xy 106.553 132.969) - (xy 106.553 132.588) - (xy 106.172 132.588) - (xy 106.172 132.969) - (xy 104.648 132.969) - (xy 104.648 132.588) - (xy 104.267 132.588) - (xy 104.267 132.969) - (xy 104.013 132.969) - (xy 104.013 132.588) - (xy 103.632 132.588) - (xy 103.632 132.969) - (xy 102.108 132.969) - (xy 102.108 132.588) - (xy 101.727 132.588) - (xy 101.727 132.969) - (xy 101.473 132.969) - (xy 101.473 132.588) - (xy 101.092 132.588) - (xy 101.092 132.969) - (xy 99.568 132.969) - (xy 99.568 132.588) - (xy 99.187 132.588) - (xy 99.187 132.969) - (xy 98.933 132.969) - (xy 98.933 132.588) - (xy 98.552 132.588) - (xy 98.552 132.969) - (xy 97.028 132.969) - (xy 97.028 132.588) - (xy 96.647 132.588) - (xy 96.647 132.969) - (xy 96.393 132.969) - (xy 96.393 132.588) - (xy 96.012 132.588) - (xy 96.012 132.969) - (xy 94.488 132.969) - (xy 94.488 132.588) - (xy 94.107 132.588) - (xy 94.107 132.969) - (xy 93.853 132.969) - (xy 93.853 132.588) - (xy 93.472 132.588) - (xy 93.472 132.969) - (xy 91.948 132.969) - (xy 91.948 132.588) - (xy 91.567 132.588) - (xy 91.567 132.969) - (xy 91.313 132.969) - (xy 91.313 132.588) - (xy 90.932 132.588) - (xy 90.932 132.969) - (xy 89.408 132.969) - (xy 89.408 132.588) - (xy 89.027 132.588) - (xy 89.027 132.969) - (xy 88.773 132.969) - (xy 88.773 132.588) - (xy 88.392 132.588) - (xy 88.392 132.969) - (xy 86.868 132.969) - (xy 86.868 132.588) - (xy 86.487 132.588) - (xy 86.487 132.969) - (xy 86.233 132.969) - (xy 86.233 132.588) - (xy 85.852 132.588) - (xy 85.852 132.969) - (xy 84.328 132.969) - (xy 84.328 132.588) - (xy 83.947 132.588) - (xy 83.947 132.969) - (xy 83.693 132.969) - (xy 83.693 132.588) - (xy 83.312 132.588) - (xy 83.312 132.969) - (xy 81.788 132.969) - (xy 81.788 132.588) - (xy 81.407 132.588) - (xy 81.407 132.969) - (xy 81.153 132.969) - (xy 81.153 132.588) - (xy 80.772 132.588) - (xy 80.772 132.969) - (xy 79.248 132.969) - (xy 79.248 132.588) - (xy 78.867 132.588) - (xy 78.867 132.969) - (xy 78.613 132.969) - (xy 78.613 132.588) - (xy 78.232 132.588) - (xy 78.232 132.969) - (xy 76.708 132.969) - (xy 76.708 132.588) - (xy 76.327 132.588) - (xy 76.327 132.969) - (xy 76.073 132.969) - (xy 76.073 132.588) - (xy 75.692 132.588) - (xy 75.692 132.969) - (xy 74.422 132.969) - (xy 74.422 132.334) - (xy 75.692 132.334) - (xy 76.073 132.334) - (xy 76.327 132.334) - (xy 76.708 132.334) - (xy 78.232 132.334) - (xy 78.613 132.334) - (xy 78.867 132.334) - (xy 79.248 132.334) - (xy 80.772 132.334) - (xy 81.153 132.334) - (xy 81.407 132.334) - (xy 81.788 132.334) - (xy 83.312 132.334) - (xy 83.693 132.334) - (xy 83.947 132.334) - (xy 84.328 132.334) - (xy 85.852 132.334) - (xy 86.233 132.334) - (xy 86.487 132.334) - (xy 86.868 132.334) - (xy 88.392 132.334) - (xy 88.773 132.334) - (xy 89.027 132.334) - (xy 89.408 132.334) - (xy 90.932 132.334) - (xy 91.313 132.334) - (xy 91.567 132.334) - (xy 91.948 132.334) - (xy 93.472 132.334) - (xy 93.853 132.334) - (xy 94.107 132.334) - (xy 94.488 132.334) - (xy 96.012 132.334) - (xy 96.393 132.334) - (xy 96.647 132.334) - (xy 97.028 132.334) - (xy 98.552 132.334) - (xy 98.933 132.334) - (xy 99.187 132.334) - (xy 99.568 132.334) - (xy 101.092 132.334) - (xy 101.473 132.334) - (xy 101.727 132.334) - (xy 102.108 132.334) - (xy 103.632 132.334) - (xy 104.013 132.334) - (xy 104.267 132.334) - (xy 104.648 132.334) - (xy 106.172 132.334) - (xy 106.553 132.334) - (xy 106.807 132.334) - (xy 107.188 132.334) - (xy 108.712 132.334) - (xy 109.093 132.334) - (xy 109.347 132.334) - (xy 109.728 132.334) - (xy 111.252 132.334) - (xy 111.633 132.334) - (xy 111.887 132.334) - (xy 112.268 132.334) - (xy 113.792 132.334) - (xy 114.173 132.334) - (xy 114.427 132.334) - (xy 114.808 132.334) - (xy 116.332 132.334) - (xy 116.713 132.334) - (xy 116.967 132.334) - (xy 117.348 132.334) - (xy 118.872 132.334) - (xy 119.253 132.334) - (xy 119.507 132.334) - (xy 119.888 132.334) - (xy 121.412 132.334) - (xy 121.793 132.334) - (xy 122.047 132.334) - (xy 122.428 132.334) - (xy 123.952 132.334) - (xy 124.333 132.334) - (xy 124.587 132.334) - (xy 124.968 132.334) - (xy 126.492 132.334) - (xy 126.873 132.334) - (xy 127.127 132.334) - (xy 127.508 132.334) - (xy 129.032 132.334) - (xy 129.413 132.334) - (xy 129.667 132.334) - (xy 130.048 132.334) - (xy 131.572 132.334) - (xy 131.953 132.334) - (xy 132.207 132.334) - (xy 132.588 132.334) - (xy 134.112 132.334) - (xy 134.493 132.334) - (xy 134.747 132.334) - (xy 135.128 132.334) - (xy 135.128 131.953) - (xy 134.747 131.953) - (xy 134.747 132.334) - (xy 134.493 132.334) - (xy 134.493 131.953) - (xy 134.112 131.953) - (xy 134.112 132.334) - (xy 132.588 132.334) - (xy 132.588 131.953) - (xy 132.207 131.953) - (xy 132.207 132.334) - (xy 131.953 132.334) - (xy 131.953 131.953) - (xy 131.572 131.953) - (xy 131.572 132.334) - (xy 130.048 132.334) - (xy 130.048 131.953) - (xy 129.667 131.953) - (xy 129.667 132.334) - (xy 129.413 132.334) - (xy 129.413 131.953) - (xy 129.032 131.953) - (xy 129.032 132.334) - (xy 127.508 132.334) - (xy 127.508 131.953) - (xy 127.127 131.953) - (xy 127.127 132.334) - (xy 126.873 132.334) - (xy 126.873 131.953) - (xy 126.492 131.953) - (xy 126.492 132.334) - (xy 124.968 132.334) - (xy 124.968 131.953) - (xy 124.587 131.953) - (xy 124.587 132.334) - (xy 124.333 132.334) - (xy 124.333 131.953) - (xy 123.952 131.953) - (xy 123.952 132.334) - (xy 122.428 132.334) - (xy 122.428 131.953) - (xy 122.047 131.953) - (xy 122.047 132.334) - (xy 121.793 132.334) - (xy 121.793 131.953) - (xy 121.412 131.953) - (xy 121.412 132.334) - (xy 119.888 132.334) - (xy 119.888 131.953) - (xy 119.507 131.953) - (xy 119.507 132.334) - (xy 119.253 132.334) - (xy 119.253 131.953) - (xy 118.872 131.953) - (xy 118.872 132.334) - (xy 117.348 132.334) - (xy 117.348 131.953) - (xy 116.967 131.953) - (xy 116.967 132.334) - (xy 116.713 132.334) - (xy 116.713 131.953) - (xy 116.332 131.953) - (xy 116.332 132.334) - (xy 114.808 132.334) - (xy 114.808 131.953) - (xy 114.427 131.953) - (xy 114.427 132.334) - (xy 114.173 132.334) - (xy 114.173 131.953) - (xy 113.792 131.953) - (xy 113.792 132.334) - (xy 112.268 132.334) - (xy 112.268 131.953) - (xy 111.887 131.953) - (xy 111.887 132.334) - (xy 111.633 132.334) - (xy 111.633 131.953) - (xy 111.252 131.953) - (xy 111.252 132.334) - (xy 109.728 132.334) - (xy 109.728 131.953) - (xy 109.347 131.953) - (xy 109.347 132.334) - (xy 109.093 132.334) - (xy 109.093 131.953) - (xy 108.712 131.953) - (xy 108.712 132.334) - (xy 107.188 132.334) - (xy 107.188 131.953) - (xy 106.807 131.953) - (xy 106.807 132.334) - (xy 106.553 132.334) - (xy 106.553 131.953) - (xy 106.172 131.953) - (xy 106.172 132.334) - (xy 104.648 132.334) - (xy 104.648 131.953) - (xy 104.267 131.953) - (xy 104.267 132.334) - (xy 104.013 132.334) - (xy 104.013 131.953) - (xy 103.632 131.953) - (xy 103.632 132.334) - (xy 102.108 132.334) - (xy 102.108 131.953) - (xy 101.727 131.953) - (xy 101.727 132.334) - (xy 101.473 132.334) - (xy 101.473 131.953) - (xy 101.092 131.953) - (xy 101.092 132.334) - (xy 99.568 132.334) - (xy 99.568 131.953) - (xy 99.187 131.953) - (xy 99.187 132.334) - (xy 98.933 132.334) - (xy 98.933 131.953) - (xy 98.552 131.953) - (xy 98.552 132.334) - (xy 97.028 132.334) - (xy 97.028 131.953) - (xy 96.647 131.953) - (xy 96.647 132.334) - (xy 96.393 132.334) - (xy 96.393 131.953) - (xy 96.012 131.953) - (xy 96.012 132.334) - (xy 94.488 132.334) - (xy 94.488 131.953) - (xy 94.107 131.953) - (xy 94.107 132.334) - (xy 93.853 132.334) - (xy 93.853 131.953) - (xy 93.472 131.953) - (xy 93.472 132.334) - (xy 91.948 132.334) - (xy 91.948 131.953) - (xy 91.567 131.953) - (xy 91.567 132.334) - (xy 91.313 132.334) - (xy 91.313 131.953) - (xy 90.932 131.953) - (xy 90.932 132.334) - (xy 89.408 132.334) - (xy 89.408 131.953) - (xy 89.027 131.953) - (xy 89.027 132.334) - (xy 88.773 132.334) - (xy 88.773 131.953) - (xy 88.392 131.953) - (xy 88.392 132.334) - (xy 86.868 132.334) - (xy 86.868 131.953) - (xy 86.487 131.953) - (xy 86.487 132.334) - (xy 86.233 132.334) - (xy 86.233 131.953) - (xy 85.852 131.953) - (xy 85.852 132.334) - (xy 84.328 132.334) - (xy 84.328 131.953) - (xy 83.947 131.953) - (xy 83.947 132.334) - (xy 83.693 132.334) - (xy 83.693 131.953) - (xy 83.312 131.953) - (xy 83.312 132.334) - (xy 81.788 132.334) - (xy 81.788 131.953) - (xy 81.407 131.953) - (xy 81.407 132.334) - (xy 81.153 132.334) - (xy 81.153 131.953) - (xy 80.772 131.953) - (xy 80.772 132.334) - (xy 79.248 132.334) - (xy 79.248 131.953) - (xy 78.867 131.953) - (xy 78.867 132.334) - (xy 78.613 132.334) - (xy 78.613 131.953) - (xy 78.232 131.953) - (xy 78.232 132.334) - (xy 76.708 132.334) - (xy 76.708 131.953) - (xy 76.327 131.953) - (xy 76.327 132.334) - (xy 76.073 132.334) - (xy 76.073 131.953) - (xy 75.692 131.953) - (xy 75.692 132.334) - (xy 74.422 132.334) - (xy 74.422 131.572) - (xy 48.140448 131.572) - (xy 48.1257 131.57054) - (xy 47.50787 131.446974) - (xy 47.488996 131.440498) - (xy 47.000911 131.196455) - (xy 46.993343 131.191) - (xy 131.672078 131.191) - (xy 131.692043 131.317055) - (xy 131.749984 131.430771) - (xy 131.840229 131.521016) - (xy 131.953945 131.578957) - (xy 132.08 131.598922) - (xy 132.206055 131.578957) - (xy 132.319771 131.521016) - (xy 132.410016 131.430771) - (xy 132.467957 131.317055) - (xy 132.487922 131.191) - (xy 134.212078 131.191) - (xy 134.232043 131.317055) - (xy 134.289984 131.430771) - (xy 134.380229 131.521016) - (xy 134.493945 131.578957) - (xy 134.62 131.598922) - (xy 134.746055 131.578957) - (xy 134.859771 131.521016) - (xy 134.950016 131.430771) - (xy 135.007957 131.317055) - (xy 135.027922 131.191) - (xy 135.007957 131.064945) - (xy 134.950016 130.951229) - (xy 134.859771 130.860984) - (xy 134.746055 130.803043) - (xy 134.62 130.783078) - (xy 134.493945 130.803043) - (xy 134.380229 130.860984) - (xy 134.289984 130.951229) - (xy 134.232043 131.064945) - (xy 134.212078 131.191) - (xy 132.487922 131.191) - (xy 132.467957 131.064945) - (xy 132.410016 130.951229) - (xy 132.319771 130.860984) - (xy 132.206055 130.803043) - (xy 132.08 130.783078) - (xy 131.953945 130.803043) - (xy 131.840229 130.860984) - (xy 131.749984 130.951229) - (xy 131.692043 131.064945) - (xy 131.672078 131.191) - (xy 46.993343 131.191) - (xy 46.98137 131.18237) - (xy 46.49063 130.69163) - (xy 46.476543 130.672087) - (xy 46.232502 130.184004) - (xy 46.226025 130.165127) - (xy 46.225885 130.164424) - (xy 46.10246 129.5473) - (xy 46.101737 129.54) - (xy 75.642329 129.54) - (xy 75.661331 129.684336) - (xy 75.717043 129.818835) - (xy 75.720039 129.822739) - (xy 75.720041 129.822743) - (xy 75.802669 129.930426) - (xy 75.805667 129.934333) - (xy 75.921164 130.022957) - (xy 75.981042 130.047759) - (xy 76.05111 130.076783) - (xy 76.051112 130.076784) - (xy 76.055664 130.078669) - (xy 76.2 130.097671) - (xy 76.344336 130.078669) - (xy 76.348888 130.076784) - (xy 76.34889 130.076783) - (xy 76.418958 130.047759) - (xy 76.478836 130.022957) - (xy 76.594333 129.934333) - (xy 76.597331 129.930426) - (xy 76.679959 129.822743) - (xy 76.679961 129.822739) - (xy 76.682957 129.818835) - (xy 76.738669 129.684336) - (xy 76.757671 129.54) - (xy 116.536329 129.54) - (xy 116.555331 129.684336) - (xy 116.611043 129.818835) - (xy 116.614039 129.822739) - (xy 116.614041 129.822743) - (xy 116.696669 129.930426) - (xy 116.699667 129.934333) - (xy 116.815164 130.022957) - (xy 116.875042 130.047759) - (xy 116.94511 130.076783) - (xy 116.945112 130.076784) - (xy 116.949664 130.078669) - (xy 117.094 130.097671) - (xy 117.238336 130.078669) - (xy 117.242888 130.076784) - (xy 117.24289 130.076783) - (xy 117.312958 130.047759) - (xy 117.372836 130.022957) - (xy 117.488333 129.934333) - (xy 117.491331 129.930426) - (xy 117.573959 129.822743) - (xy 117.573961 129.822739) - (xy 117.576957 129.818835) - (xy 117.632669 129.684336) - (xy 117.651671 129.54) - (xy 118.568329 129.54) - (xy 118.587331 129.684336) - (xy 118.643043 129.818835) - (xy 118.646039 129.822739) - (xy 118.646041 129.822743) - (xy 118.728669 129.930426) - (xy 118.731667 129.934333) - (xy 118.847164 130.022957) - (xy 118.907042 130.047759) - (xy 118.97711 130.076783) - (xy 118.977112 130.076784) - (xy 118.981664 130.078669) - (xy 119.126 130.097671) - (xy 119.270336 130.078669) - (xy 119.274888 130.076784) - (xy 119.27489 130.076783) - (xy 119.344958 130.047759) - (xy 119.404836 130.022957) - (xy 119.520333 129.934333) - (xy 119.523331 129.930426) - (xy 119.605959 129.822743) - (xy 119.605961 129.822739) - (xy 119.608957 129.818835) - (xy 119.619244 129.794) - (xy 136.24006 129.794) - (xy 136.260163 129.985266) - (xy 136.261381 129.989014) - (xy 136.261381 129.989015) - (xy 136.273022 130.024841) - (xy 136.319593 130.168173) - (xy 136.321563 130.171585) - (xy 136.413782 130.331314) - (xy 136.413784 130.331317) - (xy 136.415753 130.334727) - (xy 136.418388 130.337653) - (xy 136.541804 130.474722) - (xy 136.541807 130.474725) - (xy 136.54444 130.477649) - (xy 136.614071 130.528239) - (xy 136.696843 130.588376) - (xy 136.696846 130.588378) - (xy 136.70003 130.590691) - (xy 136.875723 130.668915) - (xy 137.06384 130.7089) - (xy 137.25616 130.7089) - (xy 137.444277 130.668915) - (xy 137.61997 130.590691) - (xy 137.623154 130.588378) - (xy 137.623157 130.588376) - (xy 137.705929 130.528239) - (xy 137.77556 130.477649) - (xy 137.778193 130.474725) - (xy 137.778196 130.474722) - (xy 137.901612 130.337653) - (xy 137.904247 130.334727) - (xy 137.906216 130.331317) - (xy 137.906218 130.331314) - (xy 137.998437 130.171585) - (xy 138.000407 130.168173) - (xy 138.046978 130.024841) - (xy 138.058619 129.989015) - (xy 138.058619 129.989014) - (xy 138.059837 129.985266) - (xy 138.07994 129.794) - (xy 138.079528 129.79008) - (xy 138.060249 129.606652) - (xy 138.060249 129.60665) - (xy 138.059837 129.602734) - (xy 138.000407 129.419827) - (xy 137.91143 129.265714) - (xy 137.906218 129.256686) - (xy 137.906216 129.256683) - (xy 137.904247 129.253273) - (xy 137.846323 129.188941) - (xy 137.778196 129.113278) - (xy 137.778193 129.113275) - (xy 137.77556 129.110351) - (xy 137.624621 129.000688) - (xy 137.623157 128.999624) - (xy 137.623154 128.999622) - (xy 137.61997 128.997309) - (xy 137.444277 128.919085) - (xy 137.25616 128.8791) - (xy 137.06384 128.8791) - (xy 136.875723 128.919085) - (xy 136.70003 128.997309) - (xy 136.696846 128.999622) - (xy 136.696843 128.999624) - (xy 136.695379 129.000688) - (xy 136.54444 129.110351) - (xy 136.541807 129.113275) - (xy 136.541804 129.113278) - (xy 136.473677 129.188941) - (xy 136.415753 129.253273) - (xy 136.413784 129.256683) - (xy 136.413782 129.256686) - (xy 136.40857 129.265714) - (xy 136.319593 129.419827) - (xy 136.260163 129.602734) - (xy 136.259751 129.60665) - (xy 136.259751 129.606652) - (xy 136.240472 129.79008) - (xy 136.24006 129.794) - (xy 119.619244 129.794) - (xy 119.664669 129.684336) - (xy 119.683671 129.54) - (xy 119.664669 129.395664) - (xy 119.608957 129.261165) - (xy 119.605961 129.257261) - (xy 119.605959 129.257257) - (xy 119.523331 129.149574) - (xy 119.520333 129.145667) - (xy 119.404836 129.057043) - (xy 119.344958 129.032241) - (xy 119.27489 129.003217) - (xy 119.274888 129.003216) - (xy 119.270336 129.001331) - (xy 119.126 128.982329) - (xy 118.981664 129.001331) - (xy 118.977112 129.003216) - (xy 118.97711 129.003217) - (xy 118.907368 129.032106) - (xy 118.847165 129.057043) - (xy 118.843261 129.060039) - (xy 118.843257 129.060041) - (xy 118.735574 129.142669) - (xy 118.731667 129.145667) - (xy 118.728669 129.149574) - (xy 118.646041 129.257257) - (xy 118.646039 129.257261) - (xy 118.643043 129.261165) - (xy 118.587331 129.395664) - (xy 118.568329 129.54) - (xy 117.651671 129.54) - (xy 117.632669 129.395664) - (xy 117.576957 129.261165) - (xy 117.573961 129.257261) - (xy 117.573959 129.257257) - (xy 117.491331 129.149574) - (xy 117.488333 129.145667) - (xy 117.372836 129.057043) - (xy 117.312958 129.032241) - (xy 117.24289 129.003217) - (xy 117.242888 129.003216) - (xy 117.238336 129.001331) - (xy 117.094 128.982329) - (xy 116.949664 129.001331) - (xy 116.945112 129.003216) - (xy 116.94511 129.003217) - (xy 116.875368 129.032106) - (xy 116.815165 129.057043) - (xy 116.811261 129.060039) - (xy 116.811257 129.060041) - (xy 116.703574 129.142669) - (xy 116.699667 129.145667) - (xy 116.696669 129.149574) - (xy 116.614041 129.257257) - (xy 116.614039 129.257261) - (xy 116.611043 129.261165) - (xy 116.555331 129.395664) - (xy 116.536329 129.54) - (xy 76.757671 129.54) - (xy 76.738669 129.395664) - (xy 76.682957 129.261165) - (xy 76.679961 129.257261) - (xy 76.679959 129.257257) - (xy 76.597331 129.149574) - (xy 76.594333 129.145667) - (xy 76.478836 129.057043) - (xy 76.418958 129.032241) - (xy 76.34889 129.003217) - (xy 76.348888 129.003216) - (xy 76.344336 129.001331) - (xy 76.2 128.982329) - (xy 76.055664 129.001331) - (xy 76.051112 129.003216) - (xy 76.05111 129.003217) - (xy 75.981368 129.032106) - (xy 75.921165 129.057043) - (xy 75.917261 129.060039) - (xy 75.917257 129.060041) - (xy 75.809574 129.142669) - (xy 75.805667 129.145667) - (xy 75.802669 129.149574) - (xy 75.720041 129.257257) - (xy 75.720039 129.257261) - (xy 75.717043 129.261165) - (xy 75.661331 129.395664) - (xy 75.642329 129.54) - (xy 46.101737 129.54) - (xy 46.101 129.532552) - (xy 46.101 128.27) - (xy 76.785329 128.27) - (xy 76.804331 128.414336) - (xy 76.860043 128.548835) - (xy 76.863039 128.552739) - (xy 76.863041 128.552743) - (xy 76.945669 128.660426) - (xy 76.948667 128.664333) - (xy 77.064164 128.752957) - (xy 77.124042 128.777759) - (xy 77.19411 128.806783) - (xy 77.194112 128.806784) - (xy 77.198664 128.808669) - (xy 77.343 128.827671) - (xy 77.487336 128.808669) - (xy 77.491888 128.806784) - (xy 77.49189 128.806783) - (xy 77.561958 128.777759) - (xy 77.621836 128.752957) - (xy 77.737333 128.664333) - (xy 77.740331 128.660426) - (xy 77.822959 128.552743) - (xy 77.822961 128.552739) - (xy 77.825957 128.548835) - (xy 77.881669 128.414336) - (xy 77.900671 128.27) - (xy 77.881669 128.125664) - (xy 77.825957 127.991165) - (xy 77.822961 127.987261) - (xy 77.822959 127.987257) - (xy 77.794371 127.95) - (xy 112.742078 127.95) - (xy 112.762043 128.076055) - (xy 112.819984 128.189771) - (xy 112.910229 128.280016) - (xy 113.023945 128.337957) - (xy 113.15 128.357922) - (xy 113.276055 128.337957) - (xy 113.389771 128.280016) - (xy 113.399787 128.27) - (xy 137.745329 128.27) - (xy 137.764331 128.414336) - (xy 137.820043 128.548835) - (xy 137.823039 128.552739) - (xy 137.823041 128.552743) - (xy 137.905669 128.660426) - (xy 137.908667 128.664333) - (xy 138.024164 128.752957) - (xy 138.084042 128.777759) - (xy 138.15411 128.806783) - (xy 138.154112 128.806784) - (xy 138.158664 128.808669) - (xy 138.303 128.827671) - (xy 138.447336 128.808669) - (xy 138.451888 128.806784) - (xy 138.45189 128.806783) - (xy 138.521958 128.777759) - (xy 138.581836 128.752957) - (xy 138.697333 128.664333) - (xy 138.700331 128.660426) - (xy 138.782959 128.552743) - (xy 138.782961 128.552739) - (xy 138.785957 128.548835) - (xy 138.841669 128.414336) - (xy 138.860671 128.27) - (xy 138.841669 128.125664) - (xy 138.785957 127.991165) - (xy 138.782961 127.987261) - (xy 138.782959 127.987257) - (xy 138.700331 127.879574) - (xy 138.697333 127.875667) - (xy 138.581836 127.787043) - (xy 138.521958 127.762241) - (xy 138.45189 127.733217) - (xy 138.451888 127.733216) - (xy 138.447336 127.731331) - (xy 138.303 127.712329) - (xy 138.158664 127.731331) - (xy 138.154112 127.733216) - (xy 138.15411 127.733217) - (xy 138.099106 127.756001) - (xy 138.024165 127.787043) - (xy 138.020261 127.790039) - (xy 138.020257 127.790041) - (xy 137.912574 127.872669) - (xy 137.908667 127.875667) - (xy 137.905669 127.879574) - (xy 137.823041 127.987257) - (xy 137.823039 127.987261) - (xy 137.820043 127.991165) - (xy 137.764331 128.125664) - (xy 137.745329 128.27) - (xy 113.399787 128.27) - (xy 113.480016 128.189771) - (xy 113.537957 128.076055) - (xy 113.557922 127.95) - (xy 113.537957 127.823945) - (xy 113.490768 127.731331) - (xy 113.482705 127.715506) - (xy 113.482704 127.715505) - (xy 113.480016 127.710229) - (xy 113.389771 127.619984) - (xy 113.276055 127.562043) - (xy 113.15 127.542078) - (xy 113.023945 127.562043) - (xy 112.910229 127.619984) - (xy 112.819984 127.710229) - (xy 112.817296 127.715505) - (xy 112.817295 127.715506) - (xy 112.809232 127.731331) - (xy 112.762043 127.823945) - (xy 112.742078 127.95) - (xy 77.794371 127.95) - (xy 77.740331 127.879574) - (xy 77.737333 127.875667) - (xy 77.621836 127.787043) - (xy 77.561958 127.762241) - (xy 77.49189 127.733217) - (xy 77.491888 127.733216) - (xy 77.487336 127.731331) - (xy 77.343 127.712329) - (xy 77.198664 127.731331) - (xy 77.194112 127.733216) - (xy 77.19411 127.733217) - (xy 77.139106 127.756001) - (xy 77.064165 127.787043) - (xy 77.060261 127.790039) - (xy 77.060257 127.790041) - (xy 76.952574 127.872669) - (xy 76.948667 127.875667) - (xy 76.945669 127.879574) - (xy 76.863041 127.987257) - (xy 76.863039 127.987261) - (xy 76.860043 127.991165) - (xy 76.804331 128.125664) - (xy 76.785329 128.27) - (xy 46.101 128.27) - (xy 46.101 126.953591) - (xy 47.302922 126.953591) - (xy 47.303135 126.957658) - (xy 47.303135 126.957661) - (xy 47.305354 127) - (xy 47.312321 127.132921) - (xy 47.313403 127.136848) - (xy 47.313403 127.13685) - (xy 47.353589 127.282743) - (xy 47.360008 127.306049) - (xy 47.361908 127.309653) - (xy 47.361909 127.309655) - (xy 47.44376 127.464898) - (xy 47.446386 127.468005) - (xy 47.446389 127.46801) - (xy 47.525853 127.562043) - (xy 47.559668 127.602058) - (xy 47.5629 127.604529) - (xy 47.562901 127.60453) - (xy 47.589624 127.624961) - (xy 47.702326 127.711128) - (xy 47.865077 127.78702) - (xy 48.040328 127.826193) - (xy 48.045819 127.8265) - (xy 48.177866 127.8265) - (xy 48.179889 127.82628) - (xy 48.179893 127.82628) - (xy 48.249924 127.818672) - (xy 48.311525 127.81198) - (xy 48.481722 127.754703) - (xy 48.485208 127.752608) - (xy 48.485211 127.752607) - (xy 48.632158 127.664311) - (xy 48.635648 127.662214) - (xy 48.766123 127.53883) - (xy 48.86706 127.390306) - (xy 48.870782 127.381) - (xy 84.792078 127.381) - (xy 84.812043 127.507055) - (xy 84.869984 127.620771) - (xy 84.960229 127.711016) - (xy 84.965505 127.713704) - (xy 84.965506 127.713705) - (xy 85.003801 127.733217) - (xy 85.073945 127.768957) - (xy 85.2 127.788922) - (xy 85.326055 127.768957) - (xy 85.396199 127.733217) - (xy 85.434494 127.713705) - (xy 85.434495 127.713704) - (xy 85.439771 127.711016) - (xy 85.530016 127.620771) - (xy 85.587957 127.507055) - (xy 85.607922 127.381) - (xy 85.587957 127.254945) - (xy 85.547644 127.175826) - (xy 85.532705 127.146506) - (xy 85.532704 127.146505) - (xy 85.530016 127.141229) - (xy 85.439771 127.050984) - (xy 85.422805 127.042339) - (xy 85.349294 127.004884) - (xy 85.339709 127) - (xy 136.602329 127) - (xy 136.621331 127.144336) - (xy 136.677043 127.278835) - (xy 136.680039 127.282739) - (xy 136.680041 127.282743) - (xy 136.759923 127.386847) - (xy 136.765667 127.394333) - (xy 136.881164 127.482957) - (xy 136.925226 127.501208) - (xy 137.01111 127.536783) - (xy 137.011112 127.536784) - (xy 137.015664 127.538669) - (xy 137.16 127.557671) - (xy 137.304336 127.538669) - (xy 137.308888 127.536784) - (xy 137.30889 127.536783) - (xy 137.394774 127.501208) - (xy 137.438836 127.482957) - (xy 137.554333 127.394333) - (xy 137.560077 127.386847) - (xy 137.639959 127.282743) - (xy 137.639961 127.282739) - (xy 137.642957 127.278835) - (xy 137.698669 127.144336) - (xy 137.717671 127) - (xy 137.711561 126.953591) - (xy 142.171922 126.953591) - (xy 142.172135 126.957658) - (xy 142.172135 126.957661) - (xy 142.174354 127) - (xy 142.181321 127.132921) - (xy 142.182403 127.136848) - (xy 142.182403 127.13685) - (xy 142.222589 127.282743) - (xy 142.229008 127.306049) - (xy 142.230908 127.309653) - (xy 142.230909 127.309655) - (xy 142.31276 127.464898) - (xy 142.315386 127.468005) - (xy 142.315389 127.46801) - (xy 142.394853 127.562043) - (xy 142.428668 127.602058) - (xy 142.4319 127.604529) - (xy 142.431901 127.60453) - (xy 142.458624 127.624961) - (xy 142.571326 127.711128) - (xy 142.734077 127.78702) - (xy 142.909328 127.826193) - (xy 142.914819 127.8265) - (xy 143.046866 127.8265) - (xy 143.048889 127.82628) - (xy 143.048893 127.82628) - (xy 143.118924 127.818672) - (xy 143.180525 127.81198) - (xy 143.350722 127.754703) - (xy 143.354208 127.752608) - (xy 143.354211 127.752607) - (xy 143.501158 127.664311) - (xy 143.504648 127.662214) - (xy 143.635123 127.53883) - (xy 143.73606 127.390306) - (xy 143.802748 127.223573) - (xy 143.832078 127.046409) - (xy 143.829233 126.992117) - (xy 143.822892 126.871148) - (xy 143.822679 126.867079) - (xy 143.819535 126.855664) - (xy 143.776074 126.697878) - (xy 143.776073 126.697875) - (xy 143.774992 126.693951) - (xy 143.733633 126.615506) - (xy 143.693142 126.538709) - (xy 143.693141 126.538707) - (xy 143.69124 126.535102) - (xy 143.688614 126.531995) - (xy 143.688611 126.53199) - (xy 143.602436 126.430016) - (xy 143.575332 126.397942) - (xy 143.555453 126.382743) - (xy 143.435906 126.291343) - (xy 143.432674 126.288872) - (xy 143.269923 126.21298) - (xy 143.094672 126.173807) - (xy 143.089181 126.1735) - (xy 142.957134 126.1735) - (xy 142.955111 126.17372) - (xy 142.955107 126.17372) - (xy 142.888895 126.180913) - (xy 142.823475 126.18802) - (xy 142.653278 126.245297) - (xy 142.649792 126.247392) - (xy 142.649789 126.247393) - (xy 142.514249 126.328835) - (xy 142.499352 126.337786) - (xy 142.368877 126.46117) - (xy 142.366589 126.464537) - (xy 142.366588 126.464538) - (xy 142.350043 126.488883) - (xy 142.26794 126.609694) - (xy 142.201252 126.776427) - (xy 142.171922 126.953591) - (xy 137.711561 126.953591) - (xy 137.698669 126.855664) - (xy 137.642957 126.721165) - (xy 137.639961 126.717261) - (xy 137.639959 126.717257) - (xy 137.557331 126.609574) - (xy 137.554333 126.605667) - (xy 137.438836 126.517043) - (xy 137.36213 126.48527) - (xy 137.30889 126.463217) - (xy 137.308888 126.463216) - (xy 137.304336 126.461331) - (xy 137.16 126.442329) - (xy 137.015664 126.461331) - (xy 137.011112 126.463216) - (xy 137.01111 126.463217) - (xy 136.957871 126.48527) - (xy 136.881165 126.517043) - (xy 136.877261 126.520039) - (xy 136.877257 126.520041) - (xy 136.795263 126.582957) - (xy 136.765667 126.605667) - (xy 136.762669 126.609574) - (xy 136.680041 126.717257) - (xy 136.680039 126.717261) - (xy 136.677043 126.721165) - (xy 136.621331 126.855664) - (xy 136.602329 127) - (xy 85.339709 127) - (xy 85.326055 126.993043) - (xy 85.252089 126.981328) - (xy 85.205847 126.974004) - (xy 85.2 126.973078) - (xy 85.194153 126.974004) - (xy 85.147911 126.981328) - (xy 85.073945 126.993043) - (xy 85.050706 127.004884) - (xy 84.977196 127.042339) - (xy 84.960229 127.050984) - (xy 84.869984 127.141229) - (xy 84.867296 127.146505) - (xy 84.867295 127.146506) - (xy 84.852356 127.175826) - (xy 84.812043 127.254945) - (xy 84.792078 127.381) - (xy 48.870782 127.381) - (xy 48.933748 127.223573) - (xy 48.963078 127.046409) - (xy 48.960233 126.992117) - (xy 48.953892 126.871148) - (xy 48.953679 126.867079) - (xy 48.950535 126.855664) - (xy 48.948975 126.85) - (xy 78.992078 126.85) - (xy 79.012043 126.976055) - (xy 79.069984 127.089771) - (xy 79.160229 127.180016) - (xy 79.273945 127.237957) - (xy 79.279792 127.238883) - (xy 79.381204 127.254945) - (xy 79.4 127.257922) - (xy 79.418797 127.254945) - (xy 79.520208 127.238883) - (xy 79.526055 127.237957) - (xy 79.639771 127.180016) - (xy 79.730016 127.089771) - (xy 79.787957 126.976055) - (xy 79.807922 126.85) - (xy 80.292078 126.85) - (xy 80.312043 126.976055) - (xy 80.369984 127.089771) - (xy 80.460229 127.180016) - (xy 80.573945 127.237957) - (xy 80.579792 127.238883) - (xy 80.681204 127.254945) - (xy 80.7 127.257922) - (xy 80.718797 127.254945) - (xy 80.820208 127.238883) - (xy 80.826055 127.237957) - (xy 80.939771 127.180016) - (xy 81.030016 127.089771) - (xy 81.087957 126.976055) - (xy 81.107922 126.85) - (xy 81.592078 126.85) - (xy 81.612043 126.976055) - (xy 81.669984 127.089771) - (xy 81.760229 127.180016) - (xy 81.873945 127.237957) - (xy 81.879792 127.238883) - (xy 81.981204 127.254945) - (xy 82 127.257922) - (xy 82.018797 127.254945) - (xy 82.120208 127.238883) - (xy 82.126055 127.237957) - (xy 82.239771 127.180016) - (xy 82.330016 127.089771) - (xy 82.387957 126.976055) - (xy 82.407922 126.85) - (xy 82.892078 126.85) - (xy 82.912043 126.976055) - (xy 82.969984 127.089771) - (xy 83.060229 127.180016) - (xy 83.173945 127.237957) - (xy 83.179792 127.238883) - (xy 83.281204 127.254945) - (xy 83.3 127.257922) - (xy 83.318797 127.254945) - (xy 83.420208 127.238883) - (xy 83.426055 127.237957) - (xy 83.539771 127.180016) - (xy 83.630016 127.089771) - (xy 83.687957 126.976055) - (xy 83.707922 126.85) - (xy 83.687957 126.723945) - (xy 83.653861 126.657028) - (xy 83.632705 126.615506) - (xy 83.632704 126.615505) - (xy 83.630016 126.610229) - (xy 83.539771 126.519984) - (xy 83.530302 126.515159) - (xy 83.471641 126.48527) - (xy 83.426055 126.462043) - (xy 83.363028 126.452061) - (xy 83.305847 126.443004) - (xy 83.3 126.442078) - (xy 83.294153 126.443004) - (xy 83.236972 126.452061) - (xy 83.173945 126.462043) - (xy 83.128359 126.48527) - (xy 83.069699 126.515159) - (xy 83.060229 126.519984) - (xy 82.969984 126.610229) - (xy 82.967296 126.615505) - (xy 82.967295 126.615506) - (xy 82.946139 126.657028) - (xy 82.912043 126.723945) - (xy 82.892078 126.85) - (xy 82.407922 126.85) - (xy 82.387957 126.723945) - (xy 82.353861 126.657028) - (xy 82.332705 126.615506) - (xy 82.332704 126.615505) - (xy 82.330016 126.610229) - (xy 82.239771 126.519984) - (xy 82.230302 126.515159) - (xy 82.171641 126.48527) - (xy 82.126055 126.462043) - (xy 82.063028 126.452061) - (xy 82.005847 126.443004) - (xy 82 126.442078) - (xy 81.994153 126.443004) - (xy 81.936972 126.452061) - (xy 81.873945 126.462043) - (xy 81.828359 126.48527) - (xy 81.769699 126.515159) - (xy 81.760229 126.519984) - (xy 81.669984 126.610229) - (xy 81.667296 126.615505) - (xy 81.667295 126.615506) - (xy 81.646139 126.657028) - (xy 81.612043 126.723945) - (xy 81.592078 126.85) - (xy 81.107922 126.85) - (xy 81.087957 126.723945) - (xy 81.053861 126.657028) - (xy 81.032705 126.615506) - (xy 81.032704 126.615505) - (xy 81.030016 126.610229) - (xy 80.939771 126.519984) - (xy 80.930302 126.515159) - (xy 80.871641 126.48527) - (xy 80.826055 126.462043) - (xy 80.763028 126.452061) - (xy 80.705847 126.443004) - (xy 80.7 126.442078) - (xy 80.694153 126.443004) - (xy 80.636972 126.452061) - (xy 80.573945 126.462043) - (xy 80.528359 126.48527) - (xy 80.469699 126.515159) - (xy 80.460229 126.519984) - (xy 80.369984 126.610229) - (xy 80.367296 126.615505) - (xy 80.367295 126.615506) - (xy 80.346139 126.657028) - (xy 80.312043 126.723945) - (xy 80.292078 126.85) - (xy 79.807922 126.85) - (xy 79.787957 126.723945) - (xy 79.753861 126.657028) - (xy 79.732705 126.615506) - (xy 79.732704 126.615505) - (xy 79.730016 126.610229) - (xy 79.639771 126.519984) - (xy 79.630302 126.515159) - (xy 79.571641 126.48527) - (xy 79.526055 126.462043) - (xy 79.463028 126.452061) - (xy 79.405847 126.443004) - (xy 79.4 126.442078) - (xy 79.394153 126.443004) - (xy 79.336972 126.452061) - (xy 79.273945 126.462043) - (xy 79.228359 126.48527) - (xy 79.169699 126.515159) - (xy 79.160229 126.519984) - (xy 79.069984 126.610229) - (xy 79.067296 126.615505) - (xy 79.067295 126.615506) - (xy 79.046139 126.657028) - (xy 79.012043 126.723945) - (xy 78.992078 126.85) - (xy 48.948975 126.85) - (xy 48.907074 126.697878) - (xy 48.907073 126.697875) - (xy 48.905992 126.693951) - (xy 48.864633 126.615506) - (xy 48.824142 126.538709) - (xy 48.824141 126.538707) - (xy 48.82224 126.535102) - (xy 48.819614 126.531995) - (xy 48.819611 126.53199) - (xy 48.733436 126.430016) - (xy 48.706332 126.397942) - (xy 48.686453 126.382743) - (xy 48.566906 126.291343) - (xy 48.563674 126.288872) - (xy 48.400923 126.21298) - (xy 48.225672 126.173807) - (xy 48.220181 126.1735) - (xy 48.088134 126.1735) - (xy 48.086111 126.17372) - (xy 48.086107 126.17372) - (xy 48.019895 126.180913) - (xy 47.954475 126.18802) - (xy 47.784278 126.245297) - (xy 47.780792 126.247392) - (xy 47.780789 126.247393) - (xy 47.645249 126.328835) - (xy 47.630352 126.337786) - (xy 47.499877 126.46117) - (xy 47.497589 126.464537) - (xy 47.497588 126.464538) - (xy 47.481043 126.488883) - (xy 47.39894 126.609694) - (xy 47.332252 126.776427) - (xy 47.302922 126.953591) - (xy 46.101 126.953591) - (xy 46.101 126.1) - (xy 78.342078 126.1) - (xy 78.362043 126.226055) - (xy 78.419984 126.339771) - (xy 78.510229 126.430016) - (xy 78.515505 126.432704) - (xy 78.515506 126.432705) - (xy 78.535719 126.443004) - (xy 78.623945 126.487957) - (xy 78.75 126.507922) - (xy 78.876055 126.487957) - (xy 78.964281 126.443004) - (xy 78.984494 126.432705) - (xy 78.984495 126.432704) - (xy 78.989771 126.430016) - (xy 79.080016 126.339771) - (xy 79.137957 126.226055) - (xy 79.157922 126.1) - (xy 79.642078 126.1) - (xy 79.662043 126.226055) - (xy 79.719984 126.339771) - (xy 79.810229 126.430016) - (xy 79.815505 126.432704) - (xy 79.815506 126.432705) - (xy 79.835719 126.443004) - (xy 79.923945 126.487957) - (xy 80.05 126.507922) - (xy 80.176055 126.487957) - (xy 80.264281 126.443004) - (xy 80.284494 126.432705) - (xy 80.284495 126.432704) - (xy 80.289771 126.430016) - (xy 80.380016 126.339771) - (xy 80.437957 126.226055) - (xy 80.457922 126.1) - (xy 80.942078 126.1) - (xy 80.962043 126.226055) - (xy 81.019984 126.339771) - (xy 81.110229 126.430016) - (xy 81.115505 126.432704) - (xy 81.115506 126.432705) - (xy 81.135719 126.443004) - (xy 81.223945 126.487957) - (xy 81.35 126.507922) - (xy 81.476055 126.487957) - (xy 81.564281 126.443004) - (xy 81.584494 126.432705) - (xy 81.584495 126.432704) - (xy 81.589771 126.430016) - (xy 81.680016 126.339771) - (xy 81.737957 126.226055) - (xy 81.757922 126.1) - (xy 82.242078 126.1) - (xy 82.262043 126.226055) - (xy 82.319984 126.339771) - (xy 82.410229 126.430016) - (xy 82.415505 126.432704) - (xy 82.415506 126.432705) - (xy 82.435719 126.443004) - (xy 82.523945 126.487957) - (xy 82.65 126.507922) - (xy 82.776055 126.487957) - (xy 82.864281 126.443004) - (xy 82.884494 126.432705) - (xy 82.884495 126.432704) - (xy 82.889771 126.430016) - (xy 82.980016 126.339771) - (xy 83.037957 126.226055) - (xy 83.057922 126.1) - (xy 129.792329 126.1) - (xy 129.811331 126.244336) - (xy 129.813216 126.248888) - (xy 129.813217 126.24889) - (xy 129.830802 126.291343) - (xy 129.867043 126.378835) - (xy 129.870039 126.382739) - (xy 129.870041 126.382743) - (xy 129.951485 126.488883) - (xy 129.955667 126.494333) - (xy 129.959574 126.497331) - (xy 129.982808 126.515159) - (xy 130.071164 126.582957) - (xy 130.129276 126.607028) - (xy 130.20111 126.636783) - (xy 130.201112 126.636784) - (xy 130.205664 126.638669) - (xy 130.35 126.657671) - (xy 130.494336 126.638669) - (xy 130.498888 126.636784) - (xy 130.49889 126.636783) - (xy 130.570724 126.607028) - (xy 130.628836 126.582957) - (xy 130.717192 126.515159) - (xy 130.740426 126.497331) - (xy 130.744333 126.494333) - (xy 130.748515 126.488883) - (xy 130.829959 126.382743) - (xy 130.829961 126.382739) - (xy 130.832957 126.378835) - (xy 130.869198 126.291343) - (xy 130.886783 126.24889) - (xy 130.886784 126.248888) - (xy 130.888669 126.244336) - (xy 130.907671 126.1) - (xy 132.192329 126.1) - (xy 132.211331 126.244336) - (xy 132.213216 126.248888) - (xy 132.213217 126.24889) - (xy 132.230802 126.291343) - (xy 132.267043 126.378835) - (xy 132.270039 126.382739) - (xy 132.270041 126.382743) - (xy 132.351485 126.488883) - (xy 132.355667 126.494333) - (xy 132.359574 126.497331) - (xy 132.382808 126.515159) - (xy 132.471164 126.582957) - (xy 132.529276 126.607028) - (xy 132.60111 126.636783) - (xy 132.601112 126.636784) - (xy 132.605664 126.638669) - (xy 132.75 126.657671) - (xy 132.894336 126.638669) - (xy 132.898888 126.636784) - (xy 132.89889 126.636783) - (xy 132.970724 126.607028) - (xy 133.028836 126.582957) - (xy 133.117192 126.515159) - (xy 133.140426 126.497331) - (xy 133.144333 126.494333) - (xy 133.148515 126.488883) - (xy 133.229959 126.382743) - (xy 133.229961 126.382739) - (xy 133.232957 126.378835) - (xy 133.269198 126.291343) - (xy 133.286783 126.24889) - (xy 133.286784 126.248888) - (xy 133.288669 126.244336) - (xy 133.307671 126.1) - (xy 133.301088 126.05) - (xy 134.642329 126.05) - (xy 134.661331 126.194336) - (xy 134.663216 126.198888) - (xy 134.663217 126.19889) - (xy 134.676654 126.231328) - (xy 134.717043 126.328835) - (xy 134.720039 126.332739) - (xy 134.720041 126.332743) - (xy 134.802669 126.440426) - (xy 134.805667 126.444333) - (xy 134.921164 126.532957) - (xy 134.981042 126.557759) - (xy 135.05111 126.586783) - (xy 135.051112 126.586784) - (xy 135.055664 126.588669) - (xy 135.2 126.607671) - (xy 135.344336 126.588669) - (xy 135.348888 126.586784) - (xy 135.34889 126.586783) - (xy 135.418958 126.557759) - (xy 135.478836 126.532957) - (xy 135.594333 126.444333) - (xy 135.597331 126.440426) - (xy 135.679959 126.332743) - (xy 135.679961 126.332739) - (xy 135.682957 126.328835) - (xy 135.723346 126.231328) - (xy 135.736783 126.19889) - (xy 135.736784 126.198888) - (xy 135.738669 126.194336) - (xy 135.757671 126.05) - (xy 135.738669 125.905664) - (xy 135.718114 125.856039) - (xy 135.690296 125.788883) - (xy 135.682957 125.771165) - (xy 135.679961 125.767261) - (xy 135.679959 125.767257) - (xy 135.597331 125.659574) - (xy 135.594333 125.655667) - (xy 135.579078 125.643961) - (xy 135.482743 125.570041) - (xy 135.478836 125.567043) - (xy 135.365767 125.520208) - (xy 135.34889 125.513217) - (xy 135.348888 125.513216) - (xy 135.344336 125.511331) - (xy 135.2 125.492329) - (xy 135.055664 125.511331) - (xy 135.051112 125.513216) - (xy 135.05111 125.513217) - (xy 134.981368 125.542106) - (xy 134.921165 125.567043) - (xy 134.917261 125.570039) - (xy 134.917257 125.570041) - (xy 134.809574 125.652669) - (xy 134.805667 125.655667) - (xy 134.802669 125.659574) - (xy 134.720041 125.767257) - (xy 134.720039 125.767261) - (xy 134.717043 125.771165) - (xy 134.709704 125.788883) - (xy 134.681887 125.856039) - (xy 134.661331 125.905664) - (xy 134.642329 126.05) - (xy 133.301088 126.05) - (xy 133.288669 125.955664) - (xy 133.232957 125.821165) - (xy 133.229961 125.817261) - (xy 133.229959 125.817257) - (xy 133.147331 125.709574) - (xy 133.144333 125.705667) - (xy 133.126624 125.692078) - (xy 133.032743 125.620041) - (xy 133.028836 125.617043) - (xy 132.915364 125.570041) - (xy 132.89889 125.563217) - (xy 132.898888 125.563216) - (xy 132.894336 125.561331) - (xy 132.75 125.542329) - (xy 132.605664 125.561331) - (xy 132.601112 125.563216) - (xy 132.60111 125.563217) - (xy 132.584636 125.570041) - (xy 132.471165 125.617043) - (xy 132.467261 125.620039) - (xy 132.467257 125.620041) - (xy 132.37217 125.693004) - (xy 132.355667 125.705667) - (xy 132.352669 125.709574) - (xy 132.270041 125.817257) - (xy 132.270039 125.817261) - (xy 132.267043 125.821165) - (xy 132.211331 125.955664) - (xy 132.192329 126.1) - (xy 130.907671 126.1) - (xy 130.888669 125.955664) - (xy 130.832957 125.821165) - (xy 130.829961 125.817261) - (xy 130.829959 125.817257) - (xy 130.747331 125.709574) - (xy 130.744333 125.705667) - (xy 130.726624 125.692078) - (xy 130.632743 125.620041) - (xy 130.628836 125.617043) - (xy 130.515364 125.570041) - (xy 130.49889 125.563217) - (xy 130.498888 125.563216) - (xy 130.494336 125.561331) - (xy 130.35 125.542329) - (xy 130.205664 125.561331) - (xy 130.201112 125.563216) - (xy 130.20111 125.563217) - (xy 130.184636 125.570041) - (xy 130.071165 125.617043) - (xy 130.067261 125.620039) - (xy 130.067257 125.620041) - (xy 129.97217 125.693004) - (xy 129.955667 125.705667) - (xy 129.952669 125.709574) - (xy 129.870041 125.817257) - (xy 129.870039 125.817261) - (xy 129.867043 125.821165) - (xy 129.811331 125.955664) - (xy 129.792329 126.1) - (xy 83.057922 126.1) - (xy 83.037957 125.973945) - (xy 82.980016 125.860229) - (xy 82.889771 125.769984) - (xy 82.776055 125.712043) - (xy 82.65 125.692078) - (xy 82.523945 125.712043) - (xy 82.410229 125.769984) - (xy 82.319984 125.860229) - (xy 82.262043 125.973945) - (xy 82.242078 126.1) - (xy 81.757922 126.1) - (xy 81.737957 125.973945) - (xy 81.680016 125.860229) - (xy 81.589771 125.769984) - (xy 81.476055 125.712043) - (xy 81.35 125.692078) - (xy 81.223945 125.712043) - (xy 81.110229 125.769984) - (xy 81.019984 125.860229) - (xy 80.962043 125.973945) - (xy 80.942078 126.1) - (xy 80.457922 126.1) - (xy 80.437957 125.973945) - (xy 80.380016 125.860229) - (xy 80.289771 125.769984) - (xy 80.176055 125.712043) - (xy 80.05 125.692078) - (xy 79.923945 125.712043) - (xy 79.810229 125.769984) - (xy 79.719984 125.860229) - (xy 79.662043 125.973945) - (xy 79.642078 126.1) - (xy 79.157922 126.1) - (xy 79.137957 125.973945) - (xy 79.080016 125.860229) - (xy 78.989771 125.769984) - (xy 78.876055 125.712043) - (xy 78.75 125.692078) - (xy 78.623945 125.712043) - (xy 78.510229 125.769984) - (xy 78.419984 125.860229) - (xy 78.362043 125.973945) - (xy 78.342078 126.1) - (xy 46.101 126.1) - (xy 46.101 125.4) - (xy 116.192078 125.4) - (xy 116.193004 125.405847) - (xy 116.198304 125.439312) - (xy 116.212043 125.526055) - (xy 116.232927 125.567043) - (xy 116.258404 125.617043) - (xy 116.269984 125.639771) - (xy 116.360229 125.730016) - (xy 116.473945 125.787957) - (xy 116.6 125.807922) - (xy 116.726055 125.787957) - (xy 116.839771 125.730016) - (xy 116.930016 125.639771) - (xy 116.941597 125.617043) - (xy 116.967073 125.567043) - (xy 116.987957 125.526055) - (xy 117.001696 125.439312) - (xy 117.006996 125.405847) - (xy 117.007922 125.4) - (xy 116.987957 125.273945) - (xy 116.957079 125.213344) - (xy 116.932705 125.165506) - (xy 116.932704 125.165505) - (xy 116.930016 125.160229) - (xy 116.839771 125.069984) - (xy 116.726055 125.012043) - (xy 116.6 124.992078) - (xy 116.473945 125.012043) - (xy 116.360229 125.069984) - (xy 116.269984 125.160229) - (xy 116.267296 125.165505) - (xy 116.267295 125.165506) - (xy 116.242921 125.213344) - (xy 116.212043 125.273945) - (xy 116.192078 125.4) - (xy 46.101 125.4) - (xy 46.101 124.85) - (xy 128.592329 124.85) - (xy 128.611331 124.994336) - (xy 128.613216 124.998888) - (xy 128.613217 124.99889) - (xy 128.630018 125.039449) - (xy 128.667043 125.128835) - (xy 128.670039 125.132739) - (xy 128.670041 125.132743) - (xy 128.752669 125.240426) - (xy 128.755667 125.244333) - (xy 128.871164 125.332957) - (xy 128.931042 125.357759) - (xy 129.00111 125.386783) - (xy 129.001112 125.386784) - (xy 129.005664 125.388669) - (xy 129.15 125.407671) - (xy 129.294336 125.388669) - (xy 129.298888 125.386784) - (xy 129.29889 125.386783) - (xy 129.368958 125.357759) - (xy 129.428836 125.332957) - (xy 129.544333 125.244333) - (xy 129.547331 125.240426) - (xy 129.629959 125.132743) - (xy 129.629961 125.132739) - (xy 129.632957 125.128835) - (xy 129.669982 125.039449) - (xy 129.686783 124.99889) - (xy 129.686784 124.998888) - (xy 129.688669 124.994336) - (xy 129.707671 124.85) - (xy 130.992329 124.85) - (xy 131.011331 124.994336) - (xy 131.013216 124.998888) - (xy 131.013217 124.99889) - (xy 131.030018 125.039449) - (xy 131.067043 125.128835) - (xy 131.070039 125.132739) - (xy 131.070041 125.132743) - (xy 131.152669 125.240426) - (xy 131.155667 125.244333) - (xy 131.271164 125.332957) - (xy 131.331042 125.357759) - (xy 131.40111 125.386783) - (xy 131.401112 125.386784) - (xy 131.405664 125.388669) - (xy 131.55 125.407671) - (xy 131.694336 125.388669) - (xy 131.698888 125.386784) - (xy 131.69889 125.386783) - (xy 131.768958 125.357759) - (xy 131.828836 125.332957) - (xy 131.944333 125.244333) - (xy 131.947331 125.240426) - (xy 132.029959 125.132743) - (xy 132.029961 125.132739) - (xy 132.032957 125.128835) - (xy 132.069982 125.039449) - (xy 132.086783 124.99889) - (xy 132.086784 124.998888) - (xy 132.088669 124.994336) - (xy 132.101088 124.9) - (xy 133.442329 124.9) - (xy 133.461331 125.044336) - (xy 133.517043 125.178835) - (xy 133.520039 125.182739) - (xy 133.520041 125.182743) - (xy 133.564303 125.240426) - (xy 133.605667 125.294333) - (xy 133.721164 125.382957) - (xy 133.762309 125.4) - (xy 133.85111 125.436783) - (xy 133.851112 125.436784) - (xy 133.855664 125.438669) - (xy 134 125.457671) - (xy 134.144336 125.438669) - (xy 134.148888 125.436784) - (xy 134.14889 125.436783) - (xy 134.237691 125.4) - (xy 134.278836 125.382957) - (xy 134.394333 125.294333) - (xy 134.435697 125.240426) - (xy 134.479959 125.182743) - (xy 134.479961 125.182739) - (xy 134.482957 125.178835) - (xy 134.538669 125.044336) - (xy 134.557671 124.9) - (xy 134.538669 124.755664) - (xy 134.482957 124.621165) - (xy 134.479961 124.617261) - (xy 134.479959 124.617257) - (xy 134.397331 124.509574) - (xy 134.394333 124.505667) - (xy 134.278836 124.417043) - (xy 134.165364 124.370041) - (xy 134.14889 124.363217) - (xy 134.148888 124.363216) - (xy 134.144336 124.361331) - (xy 134 124.342329) - (xy 133.855664 124.361331) - (xy 133.851112 124.363216) - (xy 133.85111 124.363217) - (xy 133.834636 124.370041) - (xy 133.721165 124.417043) - (xy 133.717261 124.420039) - (xy 133.717257 124.420041) - (xy 133.665737 124.459574) - (xy 133.605667 124.505667) - (xy 133.602669 124.509574) - (xy 133.520041 124.617257) - (xy 133.520039 124.617261) - (xy 133.517043 124.621165) - (xy 133.461331 124.755664) - (xy 133.442329 124.9) - (xy 132.101088 124.9) - (xy 132.107671 124.85) - (xy 132.088669 124.705664) - (xy 132.032957 124.571165) - (xy 132.029961 124.567261) - (xy 132.029959 124.567257) - (xy 131.947331 124.459574) - (xy 131.944333 124.455667) - (xy 131.828836 124.367043) - (xy 131.768958 124.342241) - (xy 131.69889 124.313217) - (xy 131.698888 124.313216) - (xy 131.694336 124.311331) - (xy 131.55 124.292329) - (xy 131.405664 124.311331) - (xy 131.401112 124.313216) - (xy 131.40111 124.313217) - (xy 131.331368 124.342106) - (xy 131.271165 124.367043) - (xy 131.267261 124.370039) - (xy 131.267257 124.370041) - (xy 131.208458 124.415159) - (xy 131.155667 124.455667) - (xy 131.152669 124.459574) - (xy 131.070041 124.567257) - (xy 131.070039 124.567261) - (xy 131.067043 124.571165) - (xy 131.011331 124.705664) - (xy 130.992329 124.85) - (xy 129.707671 124.85) - (xy 129.688669 124.705664) - (xy 129.632957 124.571165) - (xy 129.629961 124.567261) - (xy 129.629959 124.567257) - (xy 129.547331 124.459574) - (xy 129.544333 124.455667) - (xy 129.428836 124.367043) - (xy 129.368958 124.342241) - (xy 129.29889 124.313217) - (xy 129.298888 124.313216) - (xy 129.294336 124.311331) - (xy 129.15 124.292329) - (xy 129.005664 124.311331) - (xy 129.001112 124.313216) - (xy 129.00111 124.313217) - (xy 128.931368 124.342106) - (xy 128.871165 124.367043) - (xy 128.867261 124.370039) - (xy 128.867257 124.370041) - (xy 128.808458 124.415159) - (xy 128.755667 124.455667) - (xy 128.752669 124.459574) - (xy 128.670041 124.567257) - (xy 128.670039 124.567261) - (xy 128.667043 124.571165) - (xy 128.611331 124.705664) - (xy 128.592329 124.85) - (xy 46.101 124.85) - (xy 46.101 123.8) - (xy 77.692078 123.8) - (xy 77.712043 123.926055) - (xy 77.769984 124.039771) - (xy 77.860229 124.130016) - (xy 77.973945 124.187957) - (xy 78.1 124.207922) - (xy 78.226055 124.187957) - (xy 78.339771 124.130016) - (xy 78.430016 124.039771) - (xy 78.487957 123.926055) - (xy 78.507922 123.8) - (xy 86.892078 123.8) - (xy 86.912043 123.926055) - (xy 86.969984 124.039771) - (xy 87.060229 124.130016) - (xy 87.173945 124.187957) - (xy 87.3 124.207922) - (xy 87.426055 124.187957) - (xy 87.539771 124.130016) - (xy 87.630016 124.039771) - (xy 87.687957 123.926055) - (xy 87.707922 123.8) - (xy 96.092078 123.8) - (xy 96.112043 123.926055) - (xy 96.169984 124.039771) - (xy 96.260229 124.130016) - (xy 96.373945 124.187957) - (xy 96.5 124.207922) - (xy 96.626055 124.187957) - (xy 96.739771 124.130016) - (xy 96.830016 124.039771) - (xy 96.887957 123.926055) - (xy 96.907922 123.8) - (xy 105.292078 123.8) - (xy 105.312043 123.926055) - (xy 105.369984 124.039771) - (xy 105.460229 124.130016) - (xy 105.573945 124.187957) - (xy 105.7 124.207922) - (xy 105.826055 124.187957) - (xy 105.939771 124.130016) - (xy 106.030016 124.039771) - (xy 106.087957 123.926055) - (xy 106.107922 123.8) - (xy 106.592078 123.8) - (xy 106.612043 123.926055) - (xy 106.669984 124.039771) - (xy 106.760229 124.130016) - (xy 106.873945 124.187957) - (xy 107 124.207922) - (xy 107.126055 124.187957) - (xy 107.239771 124.130016) - (xy 107.330016 124.039771) - (xy 107.387957 123.926055) - (xy 107.407922 123.8) - (xy 107.892078 123.8) - (xy 107.912043 123.926055) - (xy 107.969984 124.039771) - (xy 108.060229 124.130016) - (xy 108.173945 124.187957) - (xy 108.3 124.207922) - (xy 108.426055 124.187957) - (xy 108.539771 124.130016) - (xy 108.630016 124.039771) - (xy 108.687957 123.926055) - (xy 108.707922 123.8) - (xy 108.687957 123.673945) - (xy 108.675756 123.65) - (xy 117.392078 123.65) - (xy 117.412043 123.776055) - (xy 117.469984 123.889771) - (xy 117.560229 123.980016) - (xy 117.673945 124.037957) - (xy 117.8 124.057922) - (xy 117.926055 124.037957) - (xy 118.039771 123.980016) - (xy 118.130016 123.889771) - (xy 118.187957 123.776055) - (xy 118.207922 123.65) - (xy 118.187957 123.523945) - (xy 118.130016 123.410229) - (xy 118.039771 123.319984) - (xy 117.926055 123.262043) - (xy 117.8 123.242078) - (xy 117.673945 123.262043) - (xy 117.560229 123.319984) - (xy 117.469984 123.410229) - (xy 117.412043 123.523945) - (xy 117.392078 123.65) - (xy 108.675756 123.65) - (xy 108.630016 123.560229) - (xy 108.539771 123.469984) - (xy 108.426055 123.412043) - (xy 108.3 123.392078) - (xy 108.173945 123.412043) - (xy 108.060229 123.469984) - (xy 107.969984 123.560229) - (xy 107.912043 123.673945) - (xy 107.892078 123.8) - (xy 107.407922 123.8) - (xy 107.387957 123.673945) - (xy 107.330016 123.560229) - (xy 107.239771 123.469984) - (xy 107.126055 123.412043) - (xy 107 123.392078) - (xy 106.873945 123.412043) - (xy 106.760229 123.469984) - (xy 106.669984 123.560229) - (xy 106.612043 123.673945) - (xy 106.592078 123.8) - (xy 106.107922 123.8) - (xy 106.087957 123.673945) - (xy 106.030016 123.560229) - (xy 105.939771 123.469984) - (xy 105.826055 123.412043) - (xy 105.7 123.392078) - (xy 105.573945 123.412043) - (xy 105.460229 123.469984) - (xy 105.369984 123.560229) - (xy 105.312043 123.673945) - (xy 105.292078 123.8) - (xy 96.907922 123.8) - (xy 96.887957 123.673945) - (xy 96.830016 123.560229) - (xy 96.739771 123.469984) - (xy 96.626055 123.412043) - (xy 96.5 123.392078) - (xy 96.373945 123.412043) - (xy 96.260229 123.469984) - (xy 96.169984 123.560229) - (xy 96.112043 123.673945) - (xy 96.092078 123.8) - (xy 87.707922 123.8) - (xy 87.687957 123.673945) - (xy 87.630016 123.560229) - (xy 87.539771 123.469984) - (xy 87.426055 123.412043) - (xy 87.3 123.392078) - (xy 87.173945 123.412043) - (xy 87.060229 123.469984) - (xy 86.969984 123.560229) - (xy 86.912043 123.673945) - (xy 86.892078 123.8) - (xy 78.507922 123.8) - (xy 78.487957 123.673945) - (xy 78.430016 123.560229) - (xy 78.339771 123.469984) - (xy 78.226055 123.412043) - (xy 78.1 123.392078) - (xy 77.973945 123.412043) - (xy 77.860229 123.469984) - (xy 77.769984 123.560229) - (xy 77.712043 123.673945) - (xy 77.692078 123.8) - (xy 46.101 123.8) - (xy 46.101 121.89365) - (xy 58.631637 121.89365) - (xy 58.632103 121.896693) - (xy 58.632103 121.896698) - (xy 58.659222 122.073922) - (xy 58.667403 122.127384) - (xy 58.668361 122.130316) - (xy 58.668362 122.130319) - (xy 58.678579 122.161577) - (xy 58.740864 122.352138) - (xy 58.742293 122.354883) - (xy 58.79988 122.465506) - (xy 58.850046 122.561875) - (xy 58.992019 122.750965) - (xy 59.162967 122.914327) - (xy 59.165508 122.91606) - (xy 59.16551 122.916062) - (xy 59.206409 122.943961) - (xy 59.358302 123.047575) - (xy 59.572777 123.147131) - (xy 59.610772 123.157668) - (xy 59.797659 123.209497) - (xy 59.797665 123.209498) - (xy 59.800632 123.210321) - (xy 59.803698 123.210649) - (xy 59.8037 123.210649) - (xy 59.99168 123.230738) - (xy 59.991686 123.230738) - (xy 59.993667 123.23095) - (xy 60.130939 123.23095) - (xy 60.132481 123.230823) - (xy 60.132486 123.230823) - (xy 60.229664 123.222833) - (xy 60.306659 123.216503) - (xy 60.421324 123.187701) - (xy 60.532993 123.159652) - (xy 60.532995 123.159651) - (xy 60.53599 123.158899) - (xy 60.538818 123.157669) - (xy 60.538822 123.157668) - (xy 60.750002 123.065844) - (xy 60.752833 123.064613) - (xy 60.766383 123.055847) - (xy 60.948772 122.937855) - (xy 60.948776 122.937852) - (xy 60.951365 122.936177) - (xy 61.126254 122.77704) - (xy 61.272804 122.591476) - (xy 61.285393 122.568672) - (xy 61.385588 122.387168) - (xy 61.387078 122.384469) - (xy 61.466008 122.161577) - (xy 61.472642 122.124333) - (xy 61.506933 121.931826) - (xy 61.506933 121.931822) - (xy 61.507474 121.928787) - (xy 61.510363 121.69235) - (xy 61.506331 121.666) - (xy 63.727078 121.666) - (xy 63.747043 121.792055) - (xy 63.74973 121.797328) - (xy 63.797235 121.890562) - (xy 63.804984 121.905771) - (xy 63.895229 121.996016) - (xy 63.900505 121.998704) - (xy 63.900506 121.998705) - (xy 63.948344 122.023079) - (xy 64.008945 122.053957) - (xy 64.135 122.073922) - (xy 64.261055 122.053957) - (xy 64.266328 122.05127) - (xy 64.266332 122.051269) - (xy 64.270471 122.04916) - (xy 64.321527 122.042892) - (xy 64.364668 122.070908) - (xy 64.378945 122.104788) - (xy 64.382403 122.127384) - (xy 64.383361 122.130316) - (xy 64.383362 122.130319) - (xy 64.393579 122.161577) - (xy 64.455864 122.352138) - (xy 64.457293 122.354883) - (xy 64.51488 122.465506) - (xy 64.565046 122.561875) - (xy 64.707019 122.750965) - (xy 64.877967 122.914327) - (xy 64.880508 122.91606) - (xy 64.88051 122.916062) - (xy 64.921409 122.943961) - (xy 65.073302 123.047575) - (xy 65.287777 123.147131) - (xy 65.325772 123.157668) - (xy 65.512659 123.209497) - (xy 65.512665 123.209498) - (xy 65.515632 123.210321) - (xy 65.518698 123.210649) - (xy 65.5187 123.210649) - (xy 65.70668 123.230738) - (xy 65.706686 123.230738) - (xy 65.708667 123.23095) - (xy 65.845939 123.23095) - (xy 65.847481 123.230823) - (xy 65.847486 123.230823) - (xy 65.944664 123.222833) - (xy 66.021659 123.216503) - (xy 66.136324 123.187701) - (xy 66.247993 123.159652) - (xy 66.247995 123.159651) - (xy 66.25099 123.158899) - (xy 66.253818 123.157669) - (xy 66.253822 123.157668) - (xy 66.465002 123.065844) - (xy 66.467833 123.064613) - (xy 66.481383 123.055847) - (xy 66.490421 123.05) - (xy 105.942078 123.05) - (xy 105.962043 123.176055) - (xy 106.019984 123.289771) - (xy 106.110229 123.380016) - (xy 106.223945 123.437957) - (xy 106.35 123.457922) - (xy 106.476055 123.437957) - (xy 106.589771 123.380016) - (xy 106.680016 123.289771) - (xy 106.737957 123.176055) - (xy 106.757922 123.05) - (xy 107.242078 123.05) - (xy 107.262043 123.176055) - (xy 107.319984 123.289771) - (xy 107.410229 123.380016) - (xy 107.523945 123.437957) - (xy 107.65 123.457922) - (xy 107.776055 123.437957) - (xy 107.889771 123.380016) - (xy 107.980016 123.289771) - (xy 108.037957 123.176055) - (xy 108.057922 123.05) - (xy 108.542078 123.05) - (xy 108.562043 123.176055) - (xy 108.619984 123.289771) - (xy 108.710229 123.380016) - (xy 108.823945 123.437957) - (xy 108.95 123.457922) - (xy 109.076055 123.437957) - (xy 109.189771 123.380016) - (xy 109.280016 123.289771) - (xy 109.337957 123.176055) - (xy 109.357922 123.05) - (xy 109.337957 122.923945) - (xy 109.307079 122.863344) - (xy 109.282705 122.815506) - (xy 109.282704 122.815505) - (xy 109.280016 122.810229) - (xy 109.189771 122.719984) - (xy 109.162026 122.705847) - (xy 109.15055 122.7) - (xy 116.442078 122.7) - (xy 116.462043 122.826055) - (xy 116.46473 122.831328) - (xy 116.509234 122.918672) - (xy 116.519984 122.939771) - (xy 116.610229 123.030016) - (xy 116.615505 123.032704) - (xy 116.615506 123.032705) - (xy 116.64724 123.048874) - (xy 116.723945 123.087957) - (xy 116.85 123.107922) - (xy 116.976055 123.087957) - (xy 117.05276 123.048874) - (xy 117.084494 123.032705) - (xy 117.084495 123.032704) - (xy 117.089771 123.030016) - (xy 117.180016 122.939771) - (xy 117.190767 122.918672) - (xy 117.23527 122.831328) - (xy 117.237957 122.826055) - (xy 117.257922 122.7) - (xy 118.242078 122.7) - (xy 118.262043 122.826055) - (xy 118.26473 122.831328) - (xy 118.309234 122.918672) - (xy 118.319984 122.939771) - (xy 118.410229 123.030016) - (xy 118.415505 123.032704) - (xy 118.415506 123.032705) - (xy 118.44724 123.048874) - (xy 118.523945 123.087957) - (xy 118.65 123.107922) - (xy 118.776055 123.087957) - (xy 118.85276 123.048874) - (xy 118.884494 123.032705) - (xy 118.884495 123.032704) - (xy 118.889771 123.030016) - (xy 118.980016 122.939771) - (xy 118.990767 122.918672) - (xy 119.03527 122.831328) - (xy 119.037957 122.826055) - (xy 119.057922 122.7) - (xy 119.037957 122.573945) - (xy 118.980016 122.460229) - (xy 118.889771 122.369984) - (xy 118.776055 122.312043) - (xy 118.65 122.292078) - (xy 118.523945 122.312043) - (xy 118.410229 122.369984) - (xy 118.319984 122.460229) - (xy 118.262043 122.573945) - (xy 118.242078 122.7) - (xy 117.257922 122.7) - (xy 117.237957 122.573945) - (xy 117.180016 122.460229) - (xy 117.089771 122.369984) - (xy 116.976055 122.312043) - (xy 116.85 122.292078) - (xy 116.723945 122.312043) - (xy 116.610229 122.369984) - (xy 116.519984 122.460229) - (xy 116.462043 122.573945) - (xy 116.442078 122.7) - (xy 109.15055 122.7) - (xy 109.136656 122.692921) - (xy 109.076055 122.662043) - (xy 108.95 122.642078) - (xy 108.823945 122.662043) - (xy 108.763344 122.692921) - (xy 108.737975 122.705847) - (xy 108.710229 122.719984) - (xy 108.619984 122.810229) - (xy 108.617296 122.815505) - (xy 108.617295 122.815506) - (xy 108.592921 122.863344) - (xy 108.562043 122.923945) - (xy 108.542078 123.05) - (xy 108.057922 123.05) - (xy 108.037957 122.923945) - (xy 108.007079 122.863344) - (xy 107.982705 122.815506) - (xy 107.982704 122.815505) - (xy 107.980016 122.810229) - (xy 107.889771 122.719984) - (xy 107.862026 122.705847) - (xy 107.836656 122.692921) - (xy 107.776055 122.662043) - (xy 107.65 122.642078) - (xy 107.523945 122.662043) - (xy 107.463344 122.692921) - (xy 107.437975 122.705847) - (xy 107.410229 122.719984) - (xy 107.319984 122.810229) - (xy 107.317296 122.815505) - (xy 107.317295 122.815506) - (xy 107.292921 122.863344) - (xy 107.262043 122.923945) - (xy 107.242078 123.05) - (xy 106.757922 123.05) - (xy 106.737957 122.923945) - (xy 106.707079 122.863344) - (xy 106.682705 122.815506) - (xy 106.682704 122.815505) - (xy 106.680016 122.810229) - (xy 106.589771 122.719984) - (xy 106.562026 122.705847) - (xy 106.536656 122.692921) - (xy 106.476055 122.662043) - (xy 106.35 122.642078) - (xy 106.223945 122.662043) - (xy 106.163344 122.692921) - (xy 106.137975 122.705847) - (xy 106.110229 122.719984) - (xy 106.019984 122.810229) - (xy 106.017296 122.815505) - (xy 106.017295 122.815506) - (xy 105.992921 122.863344) - (xy 105.962043 122.923945) - (xy 105.942078 123.05) - (xy 66.490421 123.05) - (xy 66.663772 122.937855) - (xy 66.663776 122.937852) - (xy 66.666365 122.936177) - (xy 66.841254 122.77704) - (xy 66.987804 122.591476) - (xy 67.000393 122.568672) - (xy 67.100588 122.387168) - (xy 67.102078 122.384469) - (xy 67.181008 122.161577) - (xy 67.187642 122.124333) - (xy 67.221933 121.931826) - (xy 67.221933 121.931822) - (xy 67.222474 121.928787) - (xy 67.225363 121.69235) - (xy 67.22046 121.660304) - (xy 67.190064 121.461668) - (xy 67.189597 121.458616) - (xy 67.179414 121.427459) - (xy 67.148636 121.333295) - (xy 67.116136 121.233862) - (xy 67.006954 121.024125) - (xy 66.988644 120.999738) - (xy 66.866835 120.837504) - (xy 66.866834 120.837502) - (xy 66.864981 120.835035) - (xy 66.746661 120.721965) - (xy 66.696261 120.673802) - (xy 66.69626 120.673801) - (xy 66.694033 120.671673) - (xy 66.665049 120.651901) - (xy 66.578192 120.592652) - (xy 66.498698 120.538425) - (xy 66.284223 120.438869) - (xy 66.228429 120.423396) - (xy 66.059341 120.376503) - (xy 66.059335 120.376502) - (xy 66.056368 120.375679) - (xy 66.053302 120.375351) - (xy 66.0533 120.375351) - (xy 65.86532 120.355262) - (xy 65.865314 120.355262) - (xy 65.863333 120.35505) - (xy 65.726061 120.35505) - (xy 65.724519 120.355177) - (xy 65.724514 120.355177) - (xy 65.627336 120.363167) - (xy 65.550341 120.369497) - (xy 65.469627 120.389771) - (xy 65.324007 120.426348) - (xy 65.324005 120.426349) - (xy 65.32101 120.427101) - (xy 65.318182 120.428331) - (xy 65.318178 120.428332) - (xy 65.178921 120.488883) - (xy 65.104167 120.521387) - (xy 65.101574 120.523064) - (xy 65.101573 120.523065) - (xy 64.908228 120.648145) - (xy 64.908224 120.648148) - (xy 64.905635 120.649823) - (xy 64.730746 120.80896) - (xy 64.584196 120.994524) - (xy 64.582705 120.997225) - (xy 64.582703 120.997228) - (xy 64.581038 121.000244) - (xy 64.469922 121.201531) - (xy 64.468893 121.204437) - (xy 64.443667 121.275673) - (xy 64.410947 121.315365) - (xy 64.360368 121.32474) - (xy 64.338643 121.317576) - (xy 64.261055 121.278043) - (xy 64.135 121.258078) - (xy 64.008945 121.278043) - (xy 63.948344 121.308921) - (xy 63.917297 121.32474) - (xy 63.895229 121.335984) - (xy 63.804984 121.426229) - (xy 63.802296 121.431505) - (xy 63.802295 121.431506) - (xy 63.788482 121.458616) - (xy 63.747043 121.539945) - (xy 63.727078 121.666) - (xy 61.506331 121.666) - (xy 61.50546 121.660304) - (xy 61.475064 121.461668) - (xy 61.474597 121.458616) - (xy 61.464414 121.427459) - (xy 61.433636 121.333295) - (xy 61.401136 121.233862) - (xy 61.291954 121.024125) - (xy 61.273644 120.999738) - (xy 61.151835 120.837504) - (xy 61.151834 120.837502) - (xy 61.149981 120.835035) - (xy 61.031661 120.721965) - (xy 60.981261 120.673802) - (xy 60.98126 120.673801) - (xy 60.979033 120.671673) - (xy 60.950049 120.651901) - (xy 60.863192 120.592652) - (xy 60.783698 120.538425) - (xy 60.569223 120.438869) - (xy 60.513429 120.423396) - (xy 60.344341 120.376503) - (xy 60.344335 120.376502) - (xy 60.341368 120.375679) - (xy 60.338302 120.375351) - (xy 60.3383 120.375351) - (xy 60.15032 120.355262) - (xy 60.150314 120.355262) - (xy 60.148333 120.35505) - (xy 60.011061 120.35505) - (xy 60.009519 120.355177) - (xy 60.009514 120.355177) - (xy 59.912336 120.363167) - (xy 59.835341 120.369497) - (xy 59.754627 120.389771) - (xy 59.609007 120.426348) - (xy 59.609005 120.426349) - (xy 59.60601 120.427101) - (xy 59.603182 120.428331) - (xy 59.603178 120.428332) - (xy 59.463921 120.488883) - (xy 59.389167 120.521387) - (xy 59.386574 120.523064) - (xy 59.386573 120.523065) - (xy 59.193228 120.648145) - (xy 59.193224 120.648148) - (xy 59.190635 120.649823) - (xy 59.015746 120.80896) - (xy 58.869196 120.994524) - (xy 58.867705 120.997225) - (xy 58.867703 120.997228) - (xy 58.866038 121.000244) - (xy 58.754922 121.201531) - (xy 58.675992 121.424423) - (xy 58.675452 121.427457) - (xy 58.675451 121.427459) - (xy 58.655415 121.539945) - (xy 58.634526 121.657213) - (xy 58.634488 121.660301) - (xy 58.634488 121.660304) - (xy 58.634347 121.671847) - (xy 58.631637 121.89365) - (xy 46.101 121.89365) - (xy 46.101 120.309607) - (xy 66.941222 120.309607) - (xy 66.949052 120.355177) - (xy 66.961282 120.426348) - (xy 66.970673 120.481004) - (xy 66.972383 120.485022) - (xy 66.972383 120.485023) - (xy 66.987856 120.521387) - (xy 67.038765 120.641029) - (xy 67.141843 120.781097) - (xy 67.27438 120.893695) - (xy 67.35429 120.934499) - (xy 67.425377 120.970798) - (xy 67.425379 120.970799) - (xy 67.429265 120.972783) - (xy 67.59819 121.014119) - (xy 67.601531 121.014326) - (xy 67.601536 121.014327) - (xy 67.604817 121.01453) - (xy 67.609167 121.0148) - (xy 67.734547 121.0148) - (xy 67.736707 121.014548) - (xy 67.73671 121.014548) - (xy 67.779053 121.009611) - (xy 67.863739 120.999738) - (xy 67.867848 120.998246) - (xy 67.86785 120.998246) - (xy 68.023105 120.941891) - (xy 68.023107 120.94189) - (xy 68.027212 120.9404) - (xy 68.17265 120.845047) - (xy 68.292251 120.718793) - (xy 68.319621 120.671673) - (xy 68.3774 120.572198) - (xy 68.3774 120.572197) - (xy 68.379599 120.568412) - (xy 68.393334 120.523065) - (xy 68.428743 120.406153) - (xy 68.428743 120.406151) - (xy 68.43001 120.401969) - (xy 68.43028 120.397613) - (xy 68.430281 120.397609) - (xy 68.440508 120.232752) - (xy 68.440508 120.232748) - (xy 68.440778 120.228393) - (xy 68.429377 120.162041) - (xy 68.427308 120.15) - (xy 77.692078 120.15) - (xy 77.712043 120.276055) - (xy 77.71473 120.281328) - (xy 77.763224 120.376503) - (xy 77.769984 120.389771) - (xy 77.860229 120.480016) - (xy 77.865505 120.482704) - (xy 77.865506 120.482705) - (xy 77.91318 120.506996) - (xy 77.973945 120.537957) - (xy 78.1 120.557922) - (xy 78.226055 120.537957) - (xy 78.28682 120.506996) - (xy 78.334494 120.482705) - (xy 78.334495 120.482704) - (xy 78.339771 120.480016) - (xy 78.430016 120.389771) - (xy 78.436777 120.376503) - (xy 78.48527 120.281328) - (xy 78.487957 120.276055) - (xy 78.507922 120.15) - (xy 86.892078 120.15) - (xy 86.912043 120.276055) - (xy 86.91473 120.281328) - (xy 86.963224 120.376503) - (xy 86.969984 120.389771) - (xy 87.060229 120.480016) - (xy 87.065505 120.482704) - (xy 87.065506 120.482705) - (xy 87.11318 120.506996) - (xy 87.173945 120.537957) - (xy 87.3 120.557922) - (xy 87.426055 120.537957) - (xy 87.48682 120.506996) - (xy 87.534494 120.482705) - (xy 87.534495 120.482704) - (xy 87.539771 120.480016) - (xy 87.630016 120.389771) - (xy 87.636777 120.376503) - (xy 87.68527 120.281328) - (xy 87.687957 120.276055) - (xy 87.707922 120.15) - (xy 96.092078 120.15) - (xy 96.112043 120.276055) - (xy 96.11473 120.281328) - (xy 96.163224 120.376503) - (xy 96.169984 120.389771) - (xy 96.260229 120.480016) - (xy 96.265505 120.482704) - (xy 96.265506 120.482705) - (xy 96.31318 120.506996) - (xy 96.373945 120.537957) - (xy 96.5 120.557922) - (xy 96.626055 120.537957) - (xy 96.68682 120.506996) - (xy 96.734494 120.482705) - (xy 96.734495 120.482704) - (xy 96.739771 120.480016) - (xy 96.830016 120.389771) - (xy 96.836777 120.376503) - (xy 96.88527 120.281328) - (xy 96.887957 120.276055) - (xy 96.907922 120.15) - (xy 105.292078 120.15) - (xy 105.312043 120.276055) - (xy 105.31473 120.281328) - (xy 105.363224 120.376503) - (xy 105.369984 120.389771) - (xy 105.460229 120.480016) - (xy 105.465505 120.482704) - (xy 105.465506 120.482705) - (xy 105.51318 120.506996) - (xy 105.573945 120.537957) - (xy 105.7 120.557922) - (xy 105.826055 120.537957) - (xy 105.88682 120.506996) - (xy 105.934494 120.482705) - (xy 105.934495 120.482704) - (xy 105.939771 120.480016) - (xy 106.030016 120.389771) - (xy 106.036777 120.376503) - (xy 106.08527 120.281328) - (xy 106.087957 120.276055) - (xy 106.107922 120.15) - (xy 106.100003 120.1) - (xy 115.892078 120.1) - (xy 115.912043 120.226055) - (xy 115.969984 120.339771) - (xy 116.060229 120.430016) - (xy 116.173945 120.487957) - (xy 116.3 120.507922) - (xy 116.426055 120.487957) - (xy 116.539771 120.430016) - (xy 116.630016 120.339771) - (xy 116.687957 120.226055) - (xy 116.707922 120.1) - (xy 116.687957 119.973945) - (xy 116.639927 119.879681) - (xy 116.632705 119.865506) - (xy 116.632704 119.865505) - (xy 116.630016 119.860229) - (xy 116.539771 119.769984) - (xy 116.52946 119.76473) - (xy 116.485002 119.742078) - (xy 116.426055 119.712043) - (xy 116.3 119.692078) - (xy 116.173945 119.712043) - (xy 116.114998 119.742078) - (xy 116.070541 119.76473) - (xy 116.060229 119.769984) - (xy 115.969984 119.860229) - (xy 115.967296 119.865505) - (xy 115.967295 119.865506) - (xy 115.960073 119.879681) - (xy 115.912043 119.973945) - (xy 115.892078 120.1) - (xy 106.100003 120.1) - (xy 106.087957 120.023945) - (xy 106.037996 119.925891) - (xy 106.032705 119.915506) - (xy 106.032704 119.915505) - (xy 106.030016 119.910229) - (xy 105.939771 119.819984) - (xy 105.826055 119.762043) - (xy 105.7 119.742078) - (xy 105.573945 119.762043) - (xy 105.460229 119.819984) - (xy 105.369984 119.910229) - (xy 105.367296 119.915505) - (xy 105.367295 119.915506) - (xy 105.362004 119.925891) - (xy 105.312043 120.023945) - (xy 105.292078 120.15) - (xy 96.907922 120.15) - (xy 96.887957 120.023945) - (xy 96.837996 119.925891) - (xy 96.832705 119.915506) - (xy 96.832704 119.915505) - (xy 96.830016 119.910229) - (xy 96.739771 119.819984) - (xy 96.626055 119.762043) - (xy 96.5 119.742078) - (xy 96.373945 119.762043) - (xy 96.260229 119.819984) - (xy 96.169984 119.910229) - (xy 96.167296 119.915505) - (xy 96.167295 119.915506) - (xy 96.162004 119.925891) - (xy 96.112043 120.023945) - (xy 96.092078 120.15) - (xy 87.707922 120.15) - (xy 87.687957 120.023945) - (xy 87.637996 119.925891) - (xy 87.632705 119.915506) - (xy 87.632704 119.915505) - (xy 87.630016 119.910229) - (xy 87.539771 119.819984) - (xy 87.426055 119.762043) - (xy 87.3 119.742078) - (xy 87.173945 119.762043) - (xy 87.060229 119.819984) - (xy 86.969984 119.910229) - (xy 86.967296 119.915505) - (xy 86.967295 119.915506) - (xy 86.962004 119.925891) - (xy 86.912043 120.023945) - (xy 86.892078 120.15) - (xy 78.507922 120.15) - (xy 78.487957 120.023945) - (xy 78.437996 119.925891) - (xy 78.432705 119.915506) - (xy 78.432704 119.915505) - (xy 78.430016 119.910229) - (xy 78.339771 119.819984) - (xy 78.226055 119.762043) - (xy 78.1 119.742078) - (xy 77.973945 119.762043) - (xy 77.860229 119.819984) - (xy 77.769984 119.910229) - (xy 77.767296 119.915505) - (xy 77.767295 119.915506) - (xy 77.762004 119.925891) - (xy 77.712043 120.023945) - (xy 77.692078 120.15) - (xy 68.427308 120.15) - (xy 68.412067 120.0613) - (xy 68.412066 120.061296) - (xy 68.411327 120.056996) - (xy 68.399752 120.029792) - (xy 68.344946 119.900992) - (xy 68.343235 119.896971) - (xy 68.252867 119.774174) - (xy 68.242747 119.760422) - (xy 68.242745 119.76042) - (xy 68.240157 119.756903) - (xy 68.10762 119.644305) - (xy 68.020842 119.599994) - (xy 67.956623 119.567202) - (xy 67.956621 119.567201) - (xy 67.952735 119.565217) - (xy 67.78381 119.523881) - (xy 67.780469 119.523674) - (xy 67.780464 119.523673) - (xy 67.777183 119.52347) - (xy 67.772833 119.5232) - (xy 67.647453 119.5232) - (xy 67.645293 119.523452) - (xy 67.64529 119.523452) - (xy 67.602947 119.528389) - (xy 67.518261 119.538262) - (xy 67.514152 119.539754) - (xy 67.51415 119.539754) - (xy 67.358895 119.596109) - (xy 67.358893 119.59611) - (xy 67.354788 119.5976) - (xy 67.20935 119.692953) - (xy 67.206346 119.696124) - (xy 67.162814 119.742078) - (xy 67.089749 119.819207) - (xy 67.087555 119.822985) - (xy 67.087553 119.822987) - (xy 67.039313 119.906039) - (xy 67.002401 119.969588) - (xy 67.001133 119.973775) - (xy 67.001132 119.973777) - (xy 66.962903 120.1) - (xy 66.95199 120.136031) - (xy 66.95172 120.140387) - (xy 66.951719 120.140391) - (xy 66.943666 120.270208) - (xy 66.941222 120.309607) - (xy 46.101 120.309607) - (xy 46.101 119.293607) - (xy 59.321222 119.293607) - (xy 59.327124 119.327953) - (xy 59.349785 119.459834) - (xy 59.350673 119.465004) - (xy 59.352383 119.469022) - (xy 59.352383 119.469023) - (xy 59.38602 119.548074) - (xy 59.418765 119.625029) - (xy 59.468107 119.692078) - (xy 59.518403 119.760422) - (xy 59.521843 119.765097) - (xy 59.65438 119.877695) - (xy 59.69213 119.896971) - (xy 59.805377 119.954798) - (xy 59.805379 119.954799) - (xy 59.809265 119.956783) - (xy 59.97819 119.998119) - (xy 59.981531 119.998326) - (xy 59.981536 119.998327) - (xy 59.984817 119.99853) - (xy 59.989167 119.9988) - (xy 60.114547 119.9988) - (xy 60.116707 119.998548) - (xy 60.11671 119.998548) - (xy 60.159053 119.993611) - (xy 60.243739 119.983738) - (xy 60.247848 119.982246) - (xy 60.24785 119.982246) - (xy 60.403105 119.925891) - (xy 60.403107 119.92589) - (xy 60.407212 119.9244) - (xy 60.497041 119.865506) - (xy 60.548999 119.831441) - (xy 60.549001 119.83144) - (xy 60.55265 119.829047) - (xy 60.561236 119.819984) - (xy 60.663488 119.712043) - (xy 60.672251 119.702793) - (xy 60.700565 119.654048) - (xy 60.7574 119.556198) - (xy 60.7574 119.556197) - (xy 60.759599 119.552412) - (xy 60.768241 119.523881) - (xy 60.808743 119.390153) - (xy 60.808743 119.390151) - (xy 60.81001 119.385969) - (xy 60.81028 119.381613) - (xy 60.810281 119.381609) - (xy 60.820508 119.216752) - (xy 60.820508 119.216748) - (xy 60.820778 119.212393) - (xy 60.817688 119.19441) - (xy 75.242477 119.19441) - (xy 75.243171 119.199717) - (xy 75.243171 119.19972) - (xy 75.252389 119.270208) - (xy 75.259298 119.323046) - (xy 75.261457 119.327952) - (xy 75.261457 119.327953) - (xy 75.286336 119.384494) - (xy 75.311547 119.441791) - (xy 75.395023 119.541098) - (xy 75.503017 119.612984) - (xy 75.626845 119.651671) - (xy 75.693716 119.652896) - (xy 75.751193 119.65395) - (xy 75.751195 119.65395) - (xy 75.756555 119.654048) - (xy 75.761726 119.652638) - (xy 75.761728 119.652638) - (xy 75.850085 119.628549) - (xy 75.881718 119.619925) - (xy 75.992273 119.552044) - (xy 75.995865 119.548076) - (xy 75.995867 119.548074) - (xy 76.032481 119.507623) - (xy 76.079332 119.455863) - (xy 76.135897 119.339112) - (xy 76.15742 119.211179) - (xy 76.157557 119.2) - (xy 76.157145 119.197122) - (xy 76.139925 119.076876) - (xy 76.139924 119.076873) - (xy 76.139166 119.071579) - (xy 76.129354 119.05) - (xy 77.067078 119.05) - (xy 77.087043 119.176055) - (xy 77.144984 119.289771) - (xy 77.235229 119.380016) - (xy 77.240505 119.382704) - (xy 77.240506 119.382705) - (xy 77.288344 119.407079) - (xy 77.348945 119.437957) - (xy 77.475 119.457922) - (xy 77.601055 119.437957) - (xy 77.661656 119.407079) - (xy 77.709494 119.382705) - (xy 77.709495 119.382704) - (xy 77.714771 119.380016) - (xy 77.805016 119.289771) - (xy 77.862957 119.176055) - (xy 77.867084 119.15) - (xy 84.542078 119.15) - (xy 84.562043 119.276055) - (xy 84.56473 119.281328) - (xy 84.616384 119.382705) - (xy 84.619984 119.389771) - (xy 84.710229 119.480016) - (xy 84.823945 119.537957) - (xy 84.95 119.557922) - (xy 85.076055 119.537957) - (xy 85.189771 119.480016) - (xy 85.280016 119.389771) - (xy 85.283617 119.382705) - (xy 85.33527 119.281328) - (xy 85.337957 119.276055) - (xy 85.357922 119.15) - (xy 85.342084 119.05) - (xy 86.267078 119.05) - (xy 86.287043 119.176055) - (xy 86.344984 119.289771) - (xy 86.435229 119.380016) - (xy 86.440505 119.382704) - (xy 86.440506 119.382705) - (xy 86.488344 119.407079) - (xy 86.548945 119.437957) - (xy 86.675 119.457922) - (xy 86.801055 119.437957) - (xy 86.861656 119.407079) - (xy 86.909494 119.382705) - (xy 86.909495 119.382704) - (xy 86.914771 119.380016) - (xy 87.005016 119.289771) - (xy 87.062957 119.176055) - (xy 87.067084 119.15) - (xy 93.742078 119.15) - (xy 93.762043 119.276055) - (xy 93.76473 119.281328) - (xy 93.816384 119.382705) - (xy 93.819984 119.389771) - (xy 93.910229 119.480016) - (xy 94.023945 119.537957) - (xy 94.15 119.557922) - (xy 94.276055 119.537957) - (xy 94.389771 119.480016) - (xy 94.480016 119.389771) - (xy 94.483617 119.382705) - (xy 94.53527 119.281328) - (xy 94.537957 119.276055) - (xy 94.557922 119.15) - (xy 94.542084 119.05) - (xy 95.467078 119.05) - (xy 95.487043 119.176055) - (xy 95.544984 119.289771) - (xy 95.635229 119.380016) - (xy 95.640505 119.382704) - (xy 95.640506 119.382705) - (xy 95.688344 119.407079) - (xy 95.748945 119.437957) - (xy 95.875 119.457922) - (xy 96.001055 119.437957) - (xy 96.061656 119.407079) - (xy 96.109494 119.382705) - (xy 96.109495 119.382704) - (xy 96.114771 119.380016) - (xy 96.205016 119.289771) - (xy 96.262957 119.176055) - (xy 96.267084 119.15) - (xy 102.942078 119.15) - (xy 102.962043 119.276055) - (xy 102.96473 119.281328) - (xy 103.016384 119.382705) - (xy 103.019984 119.389771) - (xy 103.110229 119.480016) - (xy 103.223945 119.537957) - (xy 103.35 119.557922) - (xy 103.476055 119.537957) - (xy 103.589771 119.480016) - (xy 103.680016 119.389771) - (xy 103.683617 119.382705) - (xy 103.73527 119.281328) - (xy 103.737957 119.276055) - (xy 103.757922 119.15) - (xy 103.742084 119.05) - (xy 104.667078 119.05) - (xy 104.687043 119.176055) - (xy 104.744984 119.289771) - (xy 104.835229 119.380016) - (xy 104.840505 119.382704) - (xy 104.840506 119.382705) - (xy 104.888344 119.407079) - (xy 104.948945 119.437957) - (xy 105.075 119.457922) - (xy 105.201055 119.437957) - (xy 105.261656 119.407079) - (xy 105.309494 119.382705) - (xy 105.309495 119.382704) - (xy 105.314771 119.380016) - (xy 105.405016 119.289771) - (xy 105.462957 119.176055) - (xy 105.482922 119.05) - (xy 105.462957 118.923945) - (xy 105.424616 118.848696) - (xy 105.407705 118.815506) - (xy 105.407704 118.815505) - (xy 105.405016 118.810229) - (xy 105.314771 118.719984) - (xy 105.201055 118.662043) - (xy 105.075 118.642078) - (xy 104.948945 118.662043) - (xy 104.835229 118.719984) - (xy 104.744984 118.810229) - (xy 104.742296 118.815505) - (xy 104.742295 118.815506) - (xy 104.725384 118.848696) - (xy 104.687043 118.923945) - (xy 104.667078 119.05) - (xy 103.742084 119.05) - (xy 103.737957 119.023945) - (xy 103.680016 118.910229) - (xy 103.589771 118.819984) - (xy 103.580983 118.815506) - (xy 103.520404 118.78464) - (xy 103.476055 118.762043) - (xy 103.394317 118.749097) - (xy 103.355847 118.743004) - (xy 103.35 118.742078) - (xy 103.344153 118.743004) - (xy 103.305683 118.749097) - (xy 103.223945 118.762043) - (xy 103.179596 118.78464) - (xy 103.119018 118.815506) - (xy 103.110229 118.819984) - (xy 103.019984 118.910229) - (xy 102.962043 119.023945) - (xy 102.942078 119.15) - (xy 96.267084 119.15) - (xy 96.282922 119.05) - (xy 96.262957 118.923945) - (xy 96.224616 118.848696) - (xy 96.207705 118.815506) - (xy 96.207704 118.815505) - (xy 96.205016 118.810229) - (xy 96.114771 118.719984) - (xy 96.001055 118.662043) - (xy 95.875 118.642078) - (xy 95.748945 118.662043) - (xy 95.635229 118.719984) - (xy 95.544984 118.810229) - (xy 95.542296 118.815505) - (xy 95.542295 118.815506) - (xy 95.525384 118.848696) - (xy 95.487043 118.923945) - (xy 95.467078 119.05) - (xy 94.542084 119.05) - (xy 94.537957 119.023945) - (xy 94.480016 118.910229) - (xy 94.389771 118.819984) - (xy 94.380983 118.815506) - (xy 94.320404 118.78464) - (xy 94.276055 118.762043) - (xy 94.194317 118.749097) - (xy 94.155847 118.743004) - (xy 94.15 118.742078) - (xy 94.144153 118.743004) - (xy 94.105683 118.749097) - (xy 94.023945 118.762043) - (xy 93.979596 118.78464) - (xy 93.919018 118.815506) - (xy 93.910229 118.819984) - (xy 93.819984 118.910229) - (xy 93.762043 119.023945) - (xy 93.742078 119.15) - (xy 87.067084 119.15) - (xy 87.082922 119.05) - (xy 87.062957 118.923945) - (xy 87.024616 118.848696) - (xy 87.007705 118.815506) - (xy 87.007704 118.815505) - (xy 87.005016 118.810229) - (xy 86.914771 118.719984) - (xy 86.801055 118.662043) - (xy 86.675 118.642078) - (xy 86.548945 118.662043) - (xy 86.435229 118.719984) - (xy 86.344984 118.810229) - (xy 86.342296 118.815505) - (xy 86.342295 118.815506) - (xy 86.325384 118.848696) - (xy 86.287043 118.923945) - (xy 86.267078 119.05) - (xy 85.342084 119.05) - (xy 85.337957 119.023945) - (xy 85.280016 118.910229) - (xy 85.189771 118.819984) - (xy 85.180983 118.815506) - (xy 85.120404 118.78464) - (xy 85.076055 118.762043) - (xy 84.994317 118.749097) - (xy 84.955847 118.743004) - (xy 84.95 118.742078) - (xy 84.944153 118.743004) - (xy 84.905683 118.749097) - (xy 84.823945 118.762043) - (xy 84.779596 118.78464) - (xy 84.719018 118.815506) - (xy 84.710229 118.819984) - (xy 84.619984 118.910229) - (xy 84.562043 119.023945) - (xy 84.542078 119.15) - (xy 77.867084 119.15) - (xy 77.882922 119.05) - (xy 77.862957 118.923945) - (xy 77.824616 118.848696) - (xy 77.807705 118.815506) - (xy 77.807704 118.815505) - (xy 77.805016 118.810229) - (xy 77.714771 118.719984) - (xy 77.601055 118.662043) - (xy 77.475 118.642078) - (xy 77.348945 118.662043) - (xy 77.235229 118.719984) - (xy 77.144984 118.810229) - (xy 77.142296 118.815505) - (xy 77.142295 118.815506) - (xy 77.125384 118.848696) - (xy 77.087043 118.923945) - (xy 77.067078 119.05) - (xy 76.129354 119.05) - (xy 76.123433 119.036977) - (xy 76.087689 118.958362) - (xy 76.087688 118.958361) - (xy 76.08547 118.953482) - (xy 76.077969 118.944776) - (xy 76.004282 118.859258) - (xy 76.004281 118.859257) - (xy 76.000787 118.855202) - (xy 75.995157 118.851553) - (xy 75.896416 118.787552) - (xy 75.896415 118.787551) - (xy 75.891923 118.78464) - (xy 75.849367 118.771913) - (xy 75.772765 118.749004) - (xy 75.772762 118.749004) - (xy 75.767631 118.747469) - (xy 75.762276 118.747436) - (xy 75.762274 118.747436) - (xy 75.704164 118.747081) - (xy 75.637902 118.746676) - (xy 75.513166 118.782326) - (xy 75.403448 118.851553) - (xy 75.31757 118.948791) - (xy 75.315294 118.953638) - (xy 75.315293 118.95364) - (xy 75.264713 119.061373) - (xy 75.262436 119.066223) - (xy 75.242477 119.19441) - (xy 60.817688 119.19441) - (xy 60.804189 119.115847) - (xy 60.792067 119.0453) - (xy 60.792066 119.045296) - (xy 60.791327 119.040996) - (xy 60.766534 118.982728) - (xy 60.724946 118.884992) - (xy 60.723235 118.880971) - (xy 60.62443 118.746709) - (xy 60.622747 118.744422) - (xy 60.622745 118.74442) - (xy 60.620157 118.740903) - (xy 60.48762 118.628305) - (xy 60.398811 118.582957) - (xy 60.336623 118.551202) - (xy 60.336621 118.551201) - (xy 60.332735 118.549217) - (xy 60.16381 118.507881) - (xy 60.160469 118.507674) - (xy 60.160464 118.507673) - (xy 60.157183 118.50747) - (xy 60.152833 118.5072) - (xy 60.027453 118.5072) - (xy 60.025293 118.507452) - (xy 60.02529 118.507452) - (xy 59.982947 118.512389) - (xy 59.898261 118.522262) - (xy 59.894152 118.523754) - (xy 59.89415 118.523754) - (xy 59.738895 118.580109) - (xy 59.738893 118.58011) - (xy 59.734788 118.5816) - (xy 59.674183 118.621334) - (xy 59.612092 118.662043) - (xy 59.58935 118.676953) - (xy 59.586346 118.680124) - (xy 59.577024 118.689965) - (xy 59.469749 118.803207) - (xy 59.467555 118.806985) - (xy 59.467553 118.806987) - (xy 59.408648 118.9084) - (xy 59.382401 118.953588) - (xy 59.381133 118.957775) - (xy 59.381132 118.957777) - (xy 59.349756 119.061373) - (xy 59.33199 119.120031) - (xy 59.33172 119.124387) - (xy 59.331719 119.124391) - (xy 59.321787 119.284494) - (xy 59.321222 119.293607) - (xy 46.101 119.293607) - (xy 46.101 118.277607) - (xy 66.941222 118.277607) - (xy 66.941962 118.281912) - (xy 66.969434 118.441791) - (xy 66.970673 118.449004) - (xy 66.972383 118.453022) - (xy 66.972383 118.453023) - (xy 66.995543 118.507452) - (xy 67.038765 118.609029) - (xy 67.088751 118.676953) - (xy 67.138403 118.744422) - (xy 67.141843 118.749097) - (xy 67.27438 118.861695) - (xy 67.31213 118.880971) - (xy 67.425377 118.938798) - (xy 67.425379 118.938799) - (xy 67.429265 118.940783) - (xy 67.59819 118.982119) - (xy 67.601531 118.982326) - (xy 67.601536 118.982327) - (xy 67.604817 118.98253) - (xy 67.609167 118.9828) - (xy 67.734547 118.9828) - (xy 67.736707 118.982548) - (xy 67.73671 118.982548) - (xy 67.779053 118.977611) - (xy 67.863739 118.967738) - (xy 67.867848 118.966246) - (xy 67.86785 118.966246) - (xy 68.023105 118.909891) - (xy 68.023107 118.90989) - (xy 68.027212 118.9084) - (xy 68.118276 118.848696) - (xy 68.168999 118.815441) - (xy 68.169001 118.81544) - (xy 68.17265 118.813047) - (xy 68.181972 118.803207) - (xy 68.289246 118.689965) - (xy 68.292251 118.686793) - (xy 68.305067 118.66473) - (xy 68.3774 118.540198) - (xy 68.3774 118.540197) - (xy 68.379599 118.536412) - (xy 68.388241 118.507881) - (xy 68.428743 118.374153) - (xy 68.428743 118.374151) - (xy 68.43001 118.369969) - (xy 68.43028 118.365613) - (xy 68.430281 118.365609) - (xy 68.440508 118.200752) - (xy 68.440508 118.200748) - (xy 68.440778 118.196393) - (xy 68.440437 118.19441) - (xy 76.142477 118.19441) - (xy 76.143171 118.199717) - (xy 76.143171 118.19972) - (xy 76.149601 118.24889) - (xy 76.159298 118.323046) - (xy 76.161457 118.327952) - (xy 76.161457 118.327953) - (xy 76.181844 118.374286) - (xy 76.211547 118.441791) - (xy 76.295023 118.541098) - (xy 76.403017 118.612984) - (xy 76.526845 118.651671) - (xy 76.593716 118.652896) - (xy 76.651193 118.65395) - (xy 76.651195 118.65395) - (xy 76.656555 118.654048) - (xy 76.661726 118.652638) - (xy 76.661728 118.652638) - (xy 76.75098 118.628305) - (xy 76.781718 118.619925) - (xy 76.892273 118.552044) - (xy 76.895865 118.548076) - (xy 76.895867 118.548074) - (xy 76.941797 118.497331) - (xy 76.979332 118.455863) - (xy 77.014758 118.382743) - (xy 77.033559 118.343938) - (xy 77.033559 118.343937) - (xy 77.035897 118.339112) - (xy 77.05742 118.211179) - (xy 77.057557 118.2) - (xy 77.057145 118.197122) - (xy 77.039925 118.076876) - (xy 77.039924 118.076873) - (xy 77.039166 118.071579) - (xy 77.017986 118.024996) - (xy 76.987689 117.958362) - (xy 76.987688 117.958361) - (xy 76.98547 117.953482) - (xy 76.98247 117.95) - (xy 77.692078 117.95) - (xy 77.712043 118.076055) - (xy 77.769984 118.189771) - (xy 77.860229 118.280016) - (xy 77.973945 118.337957) - (xy 78.1 118.357922) - (xy 78.226055 118.337957) - (xy 78.339771 118.280016) - (xy 78.430016 118.189771) - (xy 78.475756 118.1) - (xy 85.242329 118.1) - (xy 85.261331 118.244336) - (xy 85.263216 118.248888) - (xy 85.263217 118.24889) - (xy 85.277224 118.282705) - (xy 85.317043 118.378835) - (xy 85.320039 118.382739) - (xy 85.320041 118.382743) - (xy 85.373969 118.453023) - (xy 85.405667 118.494333) - (xy 85.521164 118.582957) - (xy 85.5744 118.605008) - (xy 85.65111 118.636783) - (xy 85.651112 118.636784) - (xy 85.655664 118.638669) - (xy 85.8 118.657671) - (xy 85.944336 118.638669) - (xy 85.948888 118.636784) - (xy 85.94889 118.636783) - (xy 86.0256 118.605008) - (xy 86.078836 118.582957) - (xy 86.194333 118.494333) - (xy 86.226031 118.453023) - (xy 86.279959 118.382743) - (xy 86.279961 118.382739) - (xy 86.282957 118.378835) - (xy 86.322776 118.282705) - (xy 86.336783 118.24889) - (xy 86.336784 118.248888) - (xy 86.338669 118.244336) - (xy 86.357671 118.1) - (xy 86.338669 117.955664) - (xy 86.336323 117.95) - (xy 86.892078 117.95) - (xy 86.912043 118.076055) - (xy 86.969984 118.189771) - (xy 87.060229 118.280016) - (xy 87.173945 118.337957) - (xy 87.3 118.357922) - (xy 87.426055 118.337957) - (xy 87.539771 118.280016) - (xy 87.630016 118.189771) - (xy 87.675756 118.1) - (xy 94.442329 118.1) - (xy 94.461331 118.244336) - (xy 94.463216 118.248888) - (xy 94.463217 118.24889) - (xy 94.477224 118.282705) - (xy 94.517043 118.378835) - (xy 94.520039 118.382739) - (xy 94.520041 118.382743) - (xy 94.573969 118.453023) - (xy 94.605667 118.494333) - (xy 94.721164 118.582957) - (xy 94.7744 118.605008) - (xy 94.85111 118.636783) - (xy 94.851112 118.636784) - (xy 94.855664 118.638669) - (xy 95 118.657671) - (xy 95.144336 118.638669) - (xy 95.148888 118.636784) - (xy 95.14889 118.636783) - (xy 95.2256 118.605008) - (xy 95.278836 118.582957) - (xy 95.394333 118.494333) - (xy 95.426031 118.453023) - (xy 95.479959 118.382743) - (xy 95.479961 118.382739) - (xy 95.482957 118.378835) - (xy 95.522776 118.282705) - (xy 95.536783 118.24889) - (xy 95.536784 118.248888) - (xy 95.538669 118.244336) - (xy 95.557671 118.1) - (xy 95.538669 117.955664) - (xy 95.536323 117.95) - (xy 96.092078 117.95) - (xy 96.112043 118.076055) - (xy 96.169984 118.189771) - (xy 96.260229 118.280016) - (xy 96.373945 118.337957) - (xy 96.5 118.357922) - (xy 96.626055 118.337957) - (xy 96.739771 118.280016) - (xy 96.830016 118.189771) - (xy 96.875756 118.1) - (xy 103.642329 118.1) - (xy 103.661331 118.244336) - (xy 103.663216 118.248888) - (xy 103.663217 118.24889) - (xy 103.677224 118.282705) - (xy 103.717043 118.378835) - (xy 103.720039 118.382739) - (xy 103.720041 118.382743) - (xy 103.773969 118.453023) - (xy 103.805667 118.494333) - (xy 103.921164 118.582957) - (xy 103.9744 118.605008) - (xy 104.05111 118.636783) - (xy 104.051112 118.636784) - (xy 104.055664 118.638669) - (xy 104.2 118.657671) - (xy 104.344336 118.638669) - (xy 104.348888 118.636784) - (xy 104.34889 118.636783) - (xy 104.4256 118.605008) - (xy 104.478836 118.582957) - (xy 104.594333 118.494333) - (xy 104.626031 118.453023) - (xy 104.679959 118.382743) - (xy 104.679961 118.382739) - (xy 104.682957 118.378835) - (xy 104.722776 118.282705) - (xy 104.736783 118.24889) - (xy 104.736784 118.248888) - (xy 104.738669 118.244336) - (xy 104.757671 118.1) - (xy 104.738669 117.955664) - (xy 104.736323 117.95) - (xy 105.292078 117.95) - (xy 105.312043 118.076055) - (xy 105.369984 118.189771) - (xy 105.460229 118.280016) - (xy 105.573945 118.337957) - (xy 105.7 118.357922) - (xy 105.826055 118.337957) - (xy 105.939771 118.280016) - (xy 106.030016 118.189771) - (xy 106.087957 118.076055) - (xy 106.107922 117.95) - (xy 106.087957 117.823945) - (xy 106.048974 117.747436) - (xy 106.032705 117.715506) - (xy 106.032704 117.715505) - (xy 106.030016 117.710229) - (xy 105.939771 117.619984) - (xy 105.930302 117.615159) - (xy 105.886656 117.592921) - (xy 105.826055 117.562043) - (xy 105.7 117.542078) - (xy 105.573945 117.562043) - (xy 105.513344 117.592921) - (xy 105.469699 117.615159) - (xy 105.460229 117.619984) - (xy 105.369984 117.710229) - (xy 105.367296 117.715505) - (xy 105.367295 117.715506) - (xy 105.351026 117.747436) - (xy 105.312043 117.823945) - (xy 105.292078 117.95) - (xy 104.736323 117.95) - (xy 104.729614 117.933802) - (xy 104.698155 117.857855) - (xy 104.682957 117.821165) - (xy 104.679961 117.817261) - (xy 104.679959 117.817257) - (xy 104.597331 117.709574) - (xy 104.594333 117.705667) - (xy 104.549109 117.670965) - (xy 104.482743 117.620041) - (xy 104.478836 117.617043) - (xy 104.418958 117.592241) - (xy 104.34889 117.563217) - (xy 104.348888 117.563216) - (xy 104.344336 117.561331) - (xy 104.2 117.542329) - (xy 104.055664 117.561331) - (xy 104.051112 117.563216) - (xy 104.05111 117.563217) - (xy 104.039578 117.567994) - (xy 103.921165 117.617043) - (xy 103.917261 117.620039) - (xy 103.917257 117.620041) - (xy 103.848109 117.6731) - (xy 103.805667 117.705667) - (xy 103.802669 117.709574) - (xy 103.720041 117.817257) - (xy 103.720039 117.817261) - (xy 103.717043 117.821165) - (xy 103.701845 117.857855) - (xy 103.670387 117.933802) - (xy 103.661331 117.955664) - (xy 103.642329 118.1) - (xy 96.875756 118.1) - (xy 96.887957 118.076055) - (xy 96.907922 117.95) - (xy 96.887957 117.823945) - (xy 96.848974 117.747436) - (xy 96.832705 117.715506) - (xy 96.832704 117.715505) - (xy 96.830016 117.710229) - (xy 96.739771 117.619984) - (xy 96.730302 117.615159) - (xy 96.686656 117.592921) - (xy 96.626055 117.562043) - (xy 96.5 117.542078) - (xy 96.373945 117.562043) - (xy 96.313344 117.592921) - (xy 96.269699 117.615159) - (xy 96.260229 117.619984) - (xy 96.169984 117.710229) - (xy 96.167296 117.715505) - (xy 96.167295 117.715506) - (xy 96.151026 117.747436) - (xy 96.112043 117.823945) - (xy 96.092078 117.95) - (xy 95.536323 117.95) - (xy 95.529614 117.933802) - (xy 95.498155 117.857855) - (xy 95.482957 117.821165) - (xy 95.479961 117.817261) - (xy 95.479959 117.817257) - (xy 95.397331 117.709574) - (xy 95.394333 117.705667) - (xy 95.349109 117.670965) - (xy 95.282743 117.620041) - (xy 95.278836 117.617043) - (xy 95.218958 117.592241) - (xy 95.14889 117.563217) - (xy 95.148888 117.563216) - (xy 95.144336 117.561331) - (xy 95 117.542329) - (xy 94.855664 117.561331) - (xy 94.851112 117.563216) - (xy 94.85111 117.563217) - (xy 94.839578 117.567994) - (xy 94.721165 117.617043) - (xy 94.717261 117.620039) - (xy 94.717257 117.620041) - (xy 94.648109 117.6731) - (xy 94.605667 117.705667) - (xy 94.602669 117.709574) - (xy 94.520041 117.817257) - (xy 94.520039 117.817261) - (xy 94.517043 117.821165) - (xy 94.501845 117.857855) - (xy 94.470387 117.933802) - (xy 94.461331 117.955664) - (xy 94.442329 118.1) - (xy 87.675756 118.1) - (xy 87.687957 118.076055) - (xy 87.707922 117.95) - (xy 87.687957 117.823945) - (xy 87.648974 117.747436) - (xy 87.632705 117.715506) - (xy 87.632704 117.715505) - (xy 87.630016 117.710229) - (xy 87.539771 117.619984) - (xy 87.530302 117.615159) - (xy 87.486656 117.592921) - (xy 87.426055 117.562043) - (xy 87.3 117.542078) - (xy 87.173945 117.562043) - (xy 87.113344 117.592921) - (xy 87.069699 117.615159) - (xy 87.060229 117.619984) - (xy 86.969984 117.710229) - (xy 86.967296 117.715505) - (xy 86.967295 117.715506) - (xy 86.951026 117.747436) - (xy 86.912043 117.823945) - (xy 86.892078 117.95) - (xy 86.336323 117.95) - (xy 86.329614 117.933802) - (xy 86.298155 117.857855) - (xy 86.282957 117.821165) - (xy 86.279961 117.817261) - (xy 86.279959 117.817257) - (xy 86.197331 117.709574) - (xy 86.194333 117.705667) - (xy 86.149109 117.670965) - (xy 86.082743 117.620041) - (xy 86.078836 117.617043) - (xy 86.018958 117.592241) - (xy 85.94889 117.563217) - (xy 85.948888 117.563216) - (xy 85.944336 117.561331) - (xy 85.8 117.542329) - (xy 85.655664 117.561331) - (xy 85.651112 117.563216) - (xy 85.65111 117.563217) - (xy 85.639578 117.567994) - (xy 85.521165 117.617043) - (xy 85.517261 117.620039) - (xy 85.517257 117.620041) - (xy 85.448109 117.6731) - (xy 85.405667 117.705667) - (xy 85.402669 117.709574) - (xy 85.320041 117.817257) - (xy 85.320039 117.817261) - (xy 85.317043 117.821165) - (xy 85.301845 117.857855) - (xy 85.270387 117.933802) - (xy 85.261331 117.955664) - (xy 85.242329 118.1) - (xy 78.475756 118.1) - (xy 78.487957 118.076055) - (xy 78.507922 117.95) - (xy 78.487957 117.823945) - (xy 78.448974 117.747436) - (xy 78.432705 117.715506) - (xy 78.432704 117.715505) - (xy 78.430016 117.710229) - (xy 78.339771 117.619984) - (xy 78.330302 117.615159) - (xy 78.286656 117.592921) - (xy 78.226055 117.562043) - (xy 78.1 117.542078) - (xy 77.973945 117.562043) - (xy 77.913344 117.592921) - (xy 77.869699 117.615159) - (xy 77.860229 117.619984) - (xy 77.769984 117.710229) - (xy 77.767296 117.715505) - (xy 77.767295 117.715506) - (xy 77.751026 117.747436) - (xy 77.712043 117.823945) - (xy 77.692078 117.95) - (xy 76.98247 117.95) - (xy 76.977969 117.944776) - (xy 76.904282 117.859258) - (xy 76.904281 117.859257) - (xy 76.900787 117.855202) - (xy 76.895157 117.851553) - (xy 76.796416 117.787552) - (xy 76.796415 117.787551) - (xy 76.791923 117.78464) - (xy 76.749367 117.771913) - (xy 76.672765 117.749004) - (xy 76.672762 117.749004) - (xy 76.667631 117.747469) - (xy 76.662276 117.747436) - (xy 76.662274 117.747436) - (xy 76.604164 117.747081) - (xy 76.537902 117.746676) - (xy 76.413166 117.782326) - (xy 76.303448 117.851553) - (xy 76.21757 117.948791) - (xy 76.215294 117.953638) - (xy 76.215293 117.95364) - (xy 76.183679 118.020977) - (xy 76.162436 118.066223) - (xy 76.142477 118.19441) - (xy 68.440437 118.19441) - (xy 68.423376 118.095116) - (xy 68.412067 118.0293) - (xy 68.412066 118.029296) - (xy 68.411327 118.024996) - (xy 68.394668 117.985844) - (xy 68.344946 117.868992) - (xy 68.343235 117.864971) - (xy 68.257893 117.749004) - (xy 68.242747 117.728422) - (xy 68.242745 117.72842) - (xy 68.240157 117.724903) - (xy 68.10762 117.612305) - (xy 68.020842 117.567994) - (xy 67.956623 117.535202) - (xy 67.956621 117.535201) - (xy 67.952735 117.533217) - (xy 67.78381 117.491881) - (xy 67.780469 117.491674) - (xy 67.780464 117.491673) - (xy 67.777183 117.49147) - (xy 67.772833 117.4912) - (xy 67.647453 117.4912) - (xy 67.645293 117.491452) - (xy 67.64529 117.491452) - (xy 67.602947 117.496389) - (xy 67.518261 117.506262) - (xy 67.514152 117.507754) - (xy 67.51415 117.507754) - (xy 67.358895 117.564109) - (xy 67.358893 117.56411) - (xy 67.354788 117.5656) - (xy 67.20935 117.660953) - (xy 67.089749 117.787207) - (xy 67.087555 117.790985) - (xy 67.087553 117.790987) - (xy 67.0046 117.933802) - (xy 67.002401 117.937588) - (xy 67.001133 117.941775) - (xy 67.001132 117.941777) - (xy 66.959602 118.078899) - (xy 66.95199 118.104031) - (xy 66.95172 118.108387) - (xy 66.951719 118.108391) - (xy 66.943589 118.239449) - (xy 66.941222 118.277607) - (xy 46.101 118.277607) - (xy 46.101 116.81365) - (xy 58.631637 116.81365) - (xy 58.632103 116.816693) - (xy 58.632103 116.816698) - (xy 58.64553 116.904445) - (xy 58.667403 117.047384) - (xy 58.668361 117.050316) - (xy 58.668362 117.050319) - (xy 58.703086 117.156555) - (xy 58.740864 117.272138) - (xy 58.850046 117.481875) - (xy 58.851899 117.484343) - (xy 58.986883 117.664124) - (xy 58.992019 117.670965) - (xy 58.994252 117.673099) - (xy 58.994253 117.6731) - (xy 59.110973 117.78464) - (xy 59.162967 117.834327) - (xy 59.165508 117.83606) - (xy 59.16551 117.836062) - (xy 59.213784 117.868992) - (xy 59.358302 117.967575) - (xy 59.572777 118.067131) - (xy 59.588589 118.071516) - (xy 59.797659 118.129497) - (xy 59.797665 118.129498) - (xy 59.800632 118.130321) - (xy 59.803698 118.130649) - (xy 59.8037 118.130649) - (xy 59.99168 118.150738) - (xy 59.991686 118.150738) - (xy 59.993667 118.15095) - (xy 60.130939 118.15095) - (xy 60.132481 118.150823) - (xy 60.132486 118.150823) - (xy 60.229664 118.142833) - (xy 60.306659 118.136503) - (xy 60.421325 118.107701) - (xy 60.532993 118.079652) - (xy 60.532995 118.079651) - (xy 60.53599 118.078899) - (xy 60.538818 118.077669) - (xy 60.538822 118.077668) - (xy 60.750002 117.985844) - (xy 60.752833 117.984613) - (xy 60.781858 117.965836) - (xy 60.948772 117.857855) - (xy 60.948776 117.857852) - (xy 60.951365 117.856177) - (xy 61.126254 117.69704) - (xy 61.272804 117.511476) - (xy 61.275683 117.506262) - (xy 61.385588 117.307168) - (xy 61.387078 117.304469) - (xy 61.466008 117.081577) - (xy 61.472099 117.047384) - (xy 61.475106 117.0305) - (xy 62.520578 117.0305) - (xy 62.540543 117.156555) - (xy 62.598484 117.270271) - (xy 62.688729 117.360516) - (xy 62.802445 117.418457) - (xy 62.9285 117.438422) - (xy 63.054555 117.418457) - (xy 63.168271 117.360516) - (xy 63.258516 117.270271) - (xy 63.316457 117.156555) - (xy 63.336422 117.0305) - (xy 63.316457 116.904445) - (xy 63.270195 116.81365) - (xy 64.346637 116.81365) - (xy 64.347103 116.816693) - (xy 64.347103 116.816698) - (xy 64.36053 116.904445) - (xy 64.382403 117.047384) - (xy 64.383361 117.050316) - (xy 64.383362 117.050319) - (xy 64.418086 117.156555) - (xy 64.455864 117.272138) - (xy 64.565046 117.481875) - (xy 64.566899 117.484343) - (xy 64.701883 117.664124) - (xy 64.707019 117.670965) - (xy 64.709252 117.673099) - (xy 64.709253 117.6731) - (xy 64.825973 117.78464) - (xy 64.877967 117.834327) - (xy 64.880508 117.83606) - (xy 64.88051 117.836062) - (xy 64.928784 117.868992) - (xy 65.073302 117.967575) - (xy 65.287777 118.067131) - (xy 65.303589 118.071516) - (xy 65.512659 118.129497) - (xy 65.512665 118.129498) - (xy 65.515632 118.130321) - (xy 65.518698 118.130649) - (xy 65.5187 118.130649) - (xy 65.70668 118.150738) - (xy 65.706686 118.150738) - (xy 65.708667 118.15095) - (xy 65.845939 118.15095) - (xy 65.847481 118.150823) - (xy 65.847486 118.150823) - (xy 65.944664 118.142833) - (xy 66.021659 118.136503) - (xy 66.136325 118.107701) - (xy 66.247993 118.079652) - (xy 66.247995 118.079651) - (xy 66.25099 118.078899) - (xy 66.253818 118.077669) - (xy 66.253822 118.077668) - (xy 66.465002 117.985844) - (xy 66.467833 117.984613) - (xy 66.496858 117.965836) - (xy 66.663772 117.857855) - (xy 66.663776 117.857852) - (xy 66.666365 117.856177) - (xy 66.841254 117.69704) - (xy 66.987804 117.511476) - (xy 66.990683 117.506262) - (xy 67.100588 117.307168) - (xy 67.102078 117.304469) - (xy 67.181008 117.081577) - (xy 67.187099 117.047384) - (xy 67.221933 116.851826) - (xy 67.221933 116.851822) - (xy 67.222474 116.848787) - (xy 67.223184 116.790729) - (xy 67.224235 116.704674) - (xy 67.225363 116.61235) - (xy 67.223179 116.598074) - (xy 67.190064 116.381668) - (xy 67.189597 116.378616) - (xy 67.187777 116.373046) - (xy 67.145732 116.24441) - (xy 113.792477 116.24441) - (xy 113.793171 116.249717) - (xy 113.793171 116.24972) - (xy 113.795045 116.264049) - (xy 113.809298 116.373046) - (xy 113.861547 116.491791) - (xy 113.945023 116.591098) - (xy 113.949483 116.594066) - (xy 113.949482 116.594066) - (xy 114.022309 116.642543) - (xy 114.053017 116.662984) - (xy 114.176845 116.701671) - (xy 114.243716 116.702896) - (xy 114.301193 116.70395) - (xy 114.301195 116.70395) - (xy 114.306555 116.704048) - (xy 114.311726 116.702638) - (xy 114.311728 116.702638) - (xy 114.379058 116.684282) - (xy 114.431718 116.669925) - (xy 114.542273 116.602044) - (xy 114.545865 116.598076) - (xy 114.545867 116.598074) - (xy 114.582481 116.557623) - (xy 114.629332 116.505863) - (xy 114.685897 116.389112) - (xy 114.70742 116.261179) - (xy 114.707557 116.25) - (xy 114.707145 116.247122) - (xy 114.706757 116.24441) - (xy 123.792477 116.24441) - (xy 123.793171 116.249717) - (xy 123.793171 116.24972) - (xy 123.795045 116.264049) - (xy 123.809298 116.373046) - (xy 123.861547 116.491791) - (xy 123.945023 116.591098) - (xy 123.949483 116.594066) - (xy 123.949482 116.594066) - (xy 124.022309 116.642543) - (xy 124.053017 116.662984) - (xy 124.176845 116.701671) - (xy 124.243716 116.702896) - (xy 124.301193 116.70395) - (xy 124.301195 116.70395) - (xy 124.306555 116.704048) - (xy 124.311726 116.702638) - (xy 124.311728 116.702638) - (xy 124.379058 116.684282) - (xy 124.431718 116.669925) - (xy 124.542273 116.602044) - (xy 124.545865 116.598076) - (xy 124.545867 116.598074) - (xy 124.582481 116.557623) - (xy 124.629332 116.505863) - (xy 124.685897 116.389112) - (xy 124.70742 116.261179) - (xy 124.707557 116.25) - (xy 124.707145 116.247122) - (xy 124.689925 116.126876) - (xy 124.689924 116.126873) - (xy 124.689166 116.121579) - (xy 124.63547 116.003482) - (xy 124.627969 115.994776) - (xy 124.554282 115.909258) - (xy 124.554281 115.909257) - (xy 124.550787 115.905202) - (xy 124.545157 115.901553) - (xy 124.446416 115.837552) - (xy 124.446415 115.837551) - (xy 124.441923 115.83464) - (xy 124.399367 115.821913) - (xy 124.322765 115.799004) - (xy 124.322762 115.799004) - (xy 124.317631 115.797469) - (xy 124.312276 115.797436) - (xy 124.312274 115.797436) - (xy 124.254164 115.797081) - (xy 124.187902 115.796676) - (xy 124.063166 115.832326) - (xy 123.953448 115.901553) - (xy 123.86757 115.998791) - (xy 123.812436 116.116223) - (xy 123.792477 116.24441) - (xy 114.706757 116.24441) - (xy 114.689925 116.126876) - (xy 114.689924 116.126873) - (xy 114.689166 116.121579) - (xy 114.63547 116.003482) - (xy 114.627969 115.994776) - (xy 114.554282 115.909258) - (xy 114.554281 115.909257) - (xy 114.550787 115.905202) - (xy 114.545157 115.901553) - (xy 114.446416 115.837552) - (xy 114.446415 115.837551) - (xy 114.441923 115.83464) - (xy 114.399367 115.821913) - (xy 114.322765 115.799004) - (xy 114.322762 115.799004) - (xy 114.317631 115.797469) - (xy 114.312276 115.797436) - (xy 114.312274 115.797436) - (xy 114.254164 115.797081) - (xy 114.187902 115.796676) - (xy 114.063166 115.832326) - (xy 113.953448 115.901553) - (xy 113.86757 115.998791) - (xy 113.812436 116.116223) - (xy 113.792477 116.24441) - (xy 67.145732 116.24441) - (xy 67.117096 116.1568) - (xy 67.116136 116.153862) - (xy 67.040394 116.008362) - (xy 67.00838 115.946864) - (xy 67.008379 115.946862) - (xy 67.006954 115.944125) - (xy 66.92475 115.83464) - (xy 66.866835 115.757504) - (xy 66.866834 115.757502) - (xy 66.864981 115.755035) - (xy 66.812463 115.704847) - (xy 66.696261 115.593802) - (xy 66.69626 115.593801) - (xy 66.694033 115.591673) - (xy 66.665049 115.571901) - (xy 66.543684 115.489112) - (xy 66.498698 115.458425) - (xy 66.374223 115.400646) - (xy 66.287024 115.360169) - (xy 66.287022 115.360168) - (xy 66.284223 115.358869) - (xy 66.232086 115.34441) - (xy 114.792477 115.34441) - (xy 114.793171 115.349717) - (xy 114.793171 115.34972) - (xy 114.795045 115.364049) - (xy 114.809298 115.473046) - (xy 114.811457 115.477952) - (xy 114.811457 115.477953) - (xy 114.851881 115.569823) - (xy 114.861547 115.591791) - (xy 114.945023 115.691098) - (xy 115.053017 115.762984) - (xy 115.176845 115.801671) - (xy 115.243716 115.802896) - (xy 115.301193 115.80395) - (xy 115.301195 115.80395) - (xy 115.306555 115.804048) - (xy 115.311726 115.802638) - (xy 115.311728 115.802638) - (xy 115.379058 115.784282) - (xy 115.431718 115.769925) - (xy 115.542273 115.702044) - (xy 115.545865 115.698076) - (xy 115.545867 115.698074) - (xy 115.582481 115.657623) - (xy 115.629332 115.605863) - (xy 115.685897 115.489112) - (xy 115.70742 115.361179) - (xy 115.707459 115.358043) - (xy 115.707521 115.352913) - (xy 115.707557 115.35) - (xy 115.707034 115.346348) - (xy 115.706756 115.34441) - (xy 124.792477 115.34441) - (xy 124.793171 115.349717) - (xy 124.793171 115.34972) - (xy 124.795045 115.364049) - (xy 124.809298 115.473046) - (xy 124.811457 115.477952) - (xy 124.811457 115.477953) - (xy 124.851881 115.569823) - (xy 124.861547 115.591791) - (xy 124.945023 115.691098) - (xy 125.053017 115.762984) - (xy 125.176845 115.801671) - (xy 125.243716 115.802896) - (xy 125.301193 115.80395) - (xy 125.301195 115.80395) - (xy 125.306555 115.804048) - (xy 125.311726 115.802638) - (xy 125.311728 115.802638) - (xy 125.379058 115.784282) - (xy 125.431718 115.769925) - (xy 125.542273 115.702044) - (xy 125.545865 115.698076) - (xy 125.545867 115.698074) - (xy 125.582481 115.657623) - (xy 125.629332 115.605863) - (xy 125.685897 115.489112) - (xy 125.70742 115.361179) - (xy 125.707459 115.358043) - (xy 125.707521 115.352913) - (xy 125.707557 115.35) - (xy 125.707034 115.346348) - (xy 125.689925 115.226876) - (xy 125.689924 115.226873) - (xy 125.689166 115.221579) - (xy 125.63547 115.103482) - (xy 125.627969 115.094776) - (xy 125.554282 115.009258) - (xy 125.554281 115.009257) - (xy 125.550787 115.005202) - (xy 125.545157 115.001553) - (xy 125.446416 114.937552) - (xy 125.446415 114.937551) - (xy 125.441923 114.93464) - (xy 125.399367 114.921913) - (xy 125.322765 114.899004) - (xy 125.322762 114.899004) - (xy 125.317631 114.897469) - (xy 125.312276 114.897436) - (xy 125.312274 114.897436) - (xy 125.254164 114.897081) - (xy 125.187902 114.896676) - (xy 125.063166 114.932326) - (xy 124.953448 115.001553) - (xy 124.86757 115.098791) - (xy 124.812436 115.216223) - (xy 124.792477 115.34441) - (xy 115.706756 115.34441) - (xy 115.689925 115.226876) - (xy 115.689924 115.226873) - (xy 115.689166 115.221579) - (xy 115.63547 115.103482) - (xy 115.627969 115.094776) - (xy 115.554282 115.009258) - (xy 115.554281 115.009257) - (xy 115.550787 115.005202) - (xy 115.545157 115.001553) - (xy 115.446416 114.937552) - (xy 115.446415 114.937551) - (xy 115.441923 114.93464) - (xy 115.399367 114.921913) - (xy 115.322765 114.899004) - (xy 115.322762 114.899004) - (xy 115.317631 114.897469) - (xy 115.312276 114.897436) - (xy 115.312274 114.897436) - (xy 115.254164 114.897081) - (xy 115.187902 114.896676) - (xy 115.063166 114.932326) - (xy 114.953448 115.001553) - (xy 114.86757 115.098791) - (xy 114.812436 115.216223) - (xy 114.792477 115.34441) - (xy 66.232086 115.34441) - (xy 66.213011 115.33912) - (xy 66.059341 115.296503) - (xy 66.059335 115.296502) - (xy 66.056368 115.295679) - (xy 66.053302 115.295351) - (xy 66.0533 115.295351) - (xy 65.86532 115.275262) - (xy 65.865314 115.275262) - (xy 65.863333 115.27505) - (xy 65.726061 115.27505) - (xy 65.724519 115.275177) - (xy 65.724514 115.275177) - (xy 65.627336 115.283167) - (xy 65.550341 115.289497) - (xy 65.435676 115.318299) - (xy 65.324007 115.346348) - (xy 65.324005 115.346349) - (xy 65.32101 115.347101) - (xy 65.318182 115.348331) - (xy 65.318178 115.348332) - (xy 65.282032 115.364049) - (xy 65.104167 115.441387) - (xy 65.101574 115.443064) - (xy 65.101573 115.443065) - (xy 64.908228 115.568145) - (xy 64.908224 115.568148) - (xy 64.905635 115.569823) - (xy 64.730746 115.72896) - (xy 64.584196 115.914524) - (xy 64.582705 115.917225) - (xy 64.582703 115.917228) - (xy 64.535001 116.00364) - (xy 64.469922 116.121531) - (xy 64.390992 116.344423) - (xy 64.390452 116.347457) - (xy 64.390451 116.347459) - (xy 64.363095 116.501039) - (xy 64.349526 116.577213) - (xy 64.349488 116.580301) - (xy 64.349488 116.580304) - (xy 64.349188 116.604847) - (xy 64.346637 116.81365) - (xy 63.270195 116.81365) - (xy 63.261205 116.796006) - (xy 63.261204 116.796005) - (xy 63.258516 116.790729) - (xy 63.168271 116.700484) - (xy 63.054555 116.642543) - (xy 62.9285 116.622578) - (xy 62.802445 116.642543) - (xy 62.688729 116.700484) - (xy 62.598484 116.790729) - (xy 62.595796 116.796005) - (xy 62.595795 116.796006) - (xy 62.586805 116.81365) - (xy 62.540543 116.904445) - (xy 62.520578 117.0305) - (xy 61.475106 117.0305) - (xy 61.506933 116.851826) - (xy 61.506933 116.851822) - (xy 61.507474 116.848787) - (xy 61.508184 116.790729) - (xy 61.509235 116.704674) - (xy 61.510363 116.61235) - (xy 61.508179 116.598074) - (xy 61.475064 116.381668) - (xy 61.474597 116.378616) - (xy 61.472777 116.373046) - (xy 61.402096 116.1568) - (xy 61.401136 116.153862) - (xy 61.325394 116.008362) - (xy 61.29338 115.946864) - (xy 61.293379 115.946862) - (xy 61.291954 115.944125) - (xy 61.20975 115.83464) - (xy 61.151835 115.757504) - (xy 61.151834 115.757502) - (xy 61.149981 115.755035) - (xy 61.097463 115.704847) - (xy 60.981261 115.593802) - (xy 60.98126 115.593801) - (xy 60.979033 115.591673) - (xy 60.950049 115.571901) - (xy 60.828684 115.489112) - (xy 60.783698 115.458425) - (xy 60.659223 115.400646) - (xy 60.572024 115.360169) - (xy 60.572022 115.360168) - (xy 60.569223 115.358869) - (xy 60.498011 115.33912) - (xy 60.344341 115.296503) - (xy 60.344335 115.296502) - (xy 60.341368 115.295679) - (xy 60.338302 115.295351) - (xy 60.3383 115.295351) - (xy 60.15032 115.275262) - (xy 60.150314 115.275262) - (xy 60.148333 115.27505) - (xy 60.011061 115.27505) - (xy 60.009519 115.275177) - (xy 60.009514 115.275177) - (xy 59.912336 115.283167) - (xy 59.835341 115.289497) - (xy 59.720676 115.318299) - (xy 59.609007 115.346348) - (xy 59.609005 115.346349) - (xy 59.60601 115.347101) - (xy 59.603182 115.348331) - (xy 59.603178 115.348332) - (xy 59.567032 115.364049) - (xy 59.389167 115.441387) - (xy 59.386574 115.443064) - (xy 59.386573 115.443065) - (xy 59.193228 115.568145) - (xy 59.193224 115.568148) - (xy 59.190635 115.569823) - (xy 59.015746 115.72896) - (xy 58.869196 115.914524) - (xy 58.867705 115.917225) - (xy 58.867703 115.917228) - (xy 58.820001 116.00364) - (xy 58.754922 116.121531) - (xy 58.675992 116.344423) - (xy 58.675452 116.347457) - (xy 58.675451 116.347459) - (xy 58.648095 116.501039) - (xy 58.634526 116.577213) - (xy 58.634488 116.580301) - (xy 58.634488 116.580304) - (xy 58.634188 116.604847) - (xy 58.631637 116.81365) - (xy 46.101 116.81365) - (xy 46.101 114.44441) - (xy 113.792477 114.44441) - (xy 113.793171 114.449717) - (xy 113.793171 114.44972) - (xy 113.795045 114.464049) - (xy 113.809298 114.573046) - (xy 113.861547 114.691791) - (xy 113.945023 114.791098) - (xy 114.053017 114.862984) - (xy 114.176845 114.901671) - (xy 114.243716 114.902896) - (xy 114.301193 114.90395) - (xy 114.301195 114.90395) - (xy 114.306555 114.904048) - (xy 114.311726 114.902638) - (xy 114.311728 114.902638) - (xy 114.379058 114.884282) - (xy 114.431718 114.869925) - (xy 114.542273 114.802044) - (xy 114.545865 114.798076) - (xy 114.545867 114.798074) - (xy 114.582481 114.757623) - (xy 114.629332 114.705863) - (xy 114.685897 114.589112) - (xy 114.70742 114.461179) - (xy 114.707557 114.45) - (xy 114.707145 114.447122) - (xy 114.689925 114.326876) - (xy 114.689924 114.326873) - (xy 114.689166 114.321579) - (xy 114.63547 114.203482) - (xy 114.627969 114.194776) - (xy 114.554282 114.109258) - (xy 114.554281 114.109257) - (xy 114.550787 114.105202) - (xy 114.545157 114.101553) - (xy 114.465621 114.05) - (xy 123.992078 114.05) - (xy 124.012043 114.176055) - (xy 124.069984 114.289771) - (xy 124.160229 114.380016) - (xy 124.273945 114.437957) - (xy 124.4 114.457922) - (xy 124.526055 114.437957) - (xy 124.639771 114.380016) - (xy 124.730016 114.289771) - (xy 124.787957 114.176055) - (xy 124.807922 114.05) - (xy 124.805951 114.037552) - (xy 124.788883 113.929792) - (xy 124.787957 113.923945) - (xy 124.730016 113.810229) - (xy 124.639771 113.719984) - (xy 124.526055 113.662043) - (xy 124.4 113.642078) - (xy 124.273945 113.662043) - (xy 124.160229 113.719984) - (xy 124.069984 113.810229) - (xy 124.012043 113.923945) - (xy 124.011117 113.929792) - (xy 123.99405 114.037552) - (xy 123.992078 114.05) - (xy 114.465621 114.05) - (xy 114.446416 114.037552) - (xy 114.446415 114.037551) - (xy 114.441923 114.03464) - (xy 114.399367 114.021913) - (xy 114.322765 113.999004) - (xy 114.322762 113.999004) - (xy 114.317631 113.997469) - (xy 114.312276 113.997436) - (xy 114.312274 113.997436) - (xy 114.254164 113.997081) - (xy 114.187902 113.996676) - (xy 114.063166 114.032326) - (xy 113.953448 114.101553) - (xy 113.86757 114.198791) - (xy 113.812436 114.316223) - (xy 113.792477 114.44441) - (xy 46.101 114.44441) - (xy 46.101 112.9) - (xy 99.642078 112.9) - (xy 99.662043 113.026055) - (xy 99.719984 113.139771) - (xy 99.810229 113.230016) - (xy 99.923945 113.287957) - (xy 100.05 113.307922) - (xy 100.176055 113.287957) - (xy 100.289771 113.230016) - (xy 100.380016 113.139771) - (xy 100.40028 113.1) - (xy 103.792078 113.1) - (xy 103.812043 113.226055) - (xy 103.869984 113.339771) - (xy 103.960229 113.430016) - (xy 103.965505 113.432704) - (xy 103.965506 113.432705) - (xy 103.99945 113.45) - (xy 104.073945 113.487957) - (xy 104.2 113.507922) - (xy 104.326055 113.487957) - (xy 104.40055 113.45) - (xy 122.838028 113.45) - (xy 122.858191 113.577306) - (xy 122.916708 113.692151) - (xy 123.007849 113.783292) - (xy 123.122694 113.841809) - (xy 123.25 113.861972) - (xy 123.377306 113.841809) - (xy 123.492151 113.783292) - (xy 123.583292 113.692151) - (xy 123.641809 113.577306) - (xy 123.661972 113.45) - (xy 123.641809 113.322694) - (xy 123.583292 113.207849) - (xy 123.492151 113.116708) - (xy 123.470836 113.105847) - (xy 123.439086 113.08967) - (xy 123.377306 113.058191) - (xy 123.273873 113.041809) - (xy 123.255847 113.038954) - (xy 123.25 113.038028) - (xy 123.244153 113.038954) - (xy 123.226127 113.041809) - (xy 123.122694 113.058191) - (xy 123.060914 113.08967) - (xy 123.029165 113.105847) - (xy 123.007849 113.116708) - (xy 122.916708 113.207849) - (xy 122.858191 113.322694) - (xy 122.838028 113.45) - (xy 104.40055 113.45) - (xy 104.434494 113.432705) - (xy 104.434495 113.432704) - (xy 104.439771 113.430016) - (xy 104.530016 113.339771) - (xy 104.587957 113.226055) - (xy 104.607922 113.1) - (xy 104.601154 113.057265) - (xy 104.59794 113.036973) - (xy 104.587957 112.973945) - (xy 104.530016 112.860229) - (xy 104.439771 112.769984) - (xy 104.326055 112.712043) - (xy 104.2 112.692078) - (xy 104.073945 112.712043) - (xy 103.960229 112.769984) - (xy 103.869984 112.860229) - (xy 103.812043 112.973945) - (xy 103.80206 113.036973) - (xy 103.798847 113.057265) - (xy 103.792078 113.1) - (xy 100.40028 113.1) - (xy 100.437957 113.026055) - (xy 100.457922 112.9) - (xy 100.437957 112.773945) - (xy 100.380016 112.660229) - (xy 100.289771 112.569984) - (xy 100.176055 112.512043) - (xy 100.05 112.492078) - (xy 99.923945 112.512043) - (xy 99.810229 112.569984) - (xy 99.719984 112.660229) - (xy 99.662043 112.773945) - (xy 99.642078 112.9) - (xy 46.101 112.9) - (xy 46.101 112.1) - (xy 71.692078 112.1) - (xy 71.712043 112.226055) - (xy 71.769984 112.339771) - (xy 71.860229 112.430016) - (xy 71.865505 112.432704) - (xy 71.865506 112.432705) - (xy 71.899589 112.450071) - (xy 71.973945 112.487957) - (xy 72.1 112.507922) - (xy 72.226055 112.487957) - (xy 72.300411 112.450071) - (xy 72.334494 112.432705) - (xy 72.334495 112.432704) - (xy 72.339771 112.430016) - (xy 72.430016 112.339771) - (xy 72.487957 112.226055) - (xy 72.507922 112.1) - (xy 72.491198 111.99441) - (xy 89.742477 111.99441) - (xy 89.743171 111.999717) - (xy 89.743171 111.99972) - (xy 89.749746 112.05) - (xy 89.759298 112.123046) - (xy 89.761457 112.127952) - (xy 89.761457 112.127953) - (xy 89.785807 112.183292) - (xy 89.811547 112.241791) - (xy 89.895023 112.341098) - (xy 90.003017 112.412984) - (xy 90.126845 112.451671) - (xy 90.193716 112.452896) - (xy 90.251193 112.45395) - (xy 90.251195 112.45395) - (xy 90.256555 112.454048) - (xy 90.261726 112.452638) - (xy 90.261728 112.452638) - (xy 90.360073 112.425826) - (xy 90.381718 112.419925) - (xy 90.492273 112.352044) - (xy 90.495865 112.348076) - (xy 90.495867 112.348074) - (xy 90.573802 112.261972) - (xy 90.579332 112.255863) - (xy 90.635897 112.139112) - (xy 90.65742 112.011179) - (xy 90.657557 112) - (xy 90.657145 111.997122) - (xy 90.656757 111.99441) - (xy 96.742477 111.99441) - (xy 96.743171 111.999717) - (xy 96.743171 111.99972) - (xy 96.749746 112.05) - (xy 96.759298 112.123046) - (xy 96.761457 112.127952) - (xy 96.761457 112.127953) - (xy 96.785807 112.183292) - (xy 96.811547 112.241791) - (xy 96.895023 112.341098) - (xy 97.003017 112.412984) - (xy 97.126845 112.451671) - (xy 97.193716 112.452896) - (xy 97.251193 112.45395) - (xy 97.251195 112.45395) - (xy 97.256555 112.454048) - (xy 97.261726 112.452638) - (xy 97.261728 112.452638) - (xy 97.360073 112.425826) - (xy 97.381718 112.419925) - (xy 97.492273 112.352044) - (xy 97.495865 112.348076) - (xy 97.495867 112.348074) - (xy 97.573802 112.261972) - (xy 97.579332 112.255863) - (xy 97.582173 112.25) - (xy 102.842078 112.25) - (xy 102.843004 112.255847) - (xy 102.843974 112.261972) - (xy 102.862043 112.376055) - (xy 102.919984 112.489771) - (xy 103.010229 112.580016) - (xy 103.123945 112.637957) - (xy 103.129792 112.638883) - (xy 103.236899 112.655847) - (xy 103.25 112.657922) - (xy 103.263102 112.655847) - (xy 103.300018 112.65) - (xy 114.338028 112.65) - (xy 114.358191 112.777306) - (xy 114.360878 112.782579) - (xy 114.403132 112.865506) - (xy 114.416708 112.892151) - (xy 114.507849 112.983292) - (xy 114.622694 113.041809) - (xy 114.628541 113.042735) - (xy 114.743093 113.060878) - (xy 114.75 113.061972) - (xy 114.756908 113.060878) - (xy 114.871459 113.042735) - (xy 114.877306 113.041809) - (xy 114.992151 112.983292) - (xy 115.083292 112.892151) - (xy 115.096869 112.865506) - (xy 115.139122 112.782579) - (xy 115.141809 112.777306) - (xy 115.161972 112.65) - (xy 122.138028 112.65) - (xy 122.158191 112.777306) - (xy 122.160878 112.782579) - (xy 122.203132 112.865506) - (xy 122.216708 112.892151) - (xy 122.307849 112.983292) - (xy 122.422694 113.041809) - (xy 122.428541 113.042735) - (xy 122.543093 113.060878) - (xy 122.55 113.061972) - (xy 122.556908 113.060878) - (xy 122.671459 113.042735) - (xy 122.677306 113.041809) - (xy 122.792151 112.983292) - (xy 122.883292 112.892151) - (xy 122.896869 112.865506) - (xy 122.939122 112.782579) - (xy 122.941809 112.777306) - (xy 122.961972 112.65) - (xy 122.941809 112.522694) - (xy 122.889445 112.419925) - (xy 122.885981 112.413126) - (xy 122.88598 112.413125) - (xy 122.883292 112.407849) - (xy 122.792151 112.316708) - (xy 122.677306 112.258191) - (xy 122.573759 112.241791) - (xy 122.555847 112.238954) - (xy 122.55 112.238028) - (xy 122.544153 112.238954) - (xy 122.526241 112.241791) - (xy 122.422694 112.258191) - (xy 122.307849 112.316708) - (xy 122.216708 112.407849) - (xy 122.21402 112.413125) - (xy 122.214019 112.413126) - (xy 122.210555 112.419925) - (xy 122.158191 112.522694) - (xy 122.138028 112.65) - (xy 115.161972 112.65) - (xy 115.141809 112.522694) - (xy 115.089445 112.419925) - (xy 115.085981 112.413126) - (xy 115.08598 112.413125) - (xy 115.083292 112.407849) - (xy 114.992151 112.316708) - (xy 114.877306 112.258191) - (xy 114.773759 112.241791) - (xy 114.755847 112.238954) - (xy 114.75 112.238028) - (xy 114.744153 112.238954) - (xy 114.726241 112.241791) - (xy 114.622694 112.258191) - (xy 114.507849 112.316708) - (xy 114.416708 112.407849) - (xy 114.41402 112.413125) - (xy 114.414019 112.413126) - (xy 114.410555 112.419925) - (xy 114.358191 112.522694) - (xy 114.338028 112.65) - (xy 103.300018 112.65) - (xy 103.370208 112.638883) - (xy 103.376055 112.637957) - (xy 103.489771 112.580016) - (xy 103.580016 112.489771) - (xy 103.637957 112.376055) - (xy 103.656026 112.261972) - (xy 103.656996 112.255847) - (xy 103.657922 112.25) - (xy 103.656173 112.238954) - (xy 103.647264 112.182705) - (xy 103.637957 112.123945) - (xy 103.60028 112.05) - (xy 108.542078 112.05) - (xy 108.562043 112.176055) - (xy 108.619984 112.289771) - (xy 108.710229 112.380016) - (xy 108.823945 112.437957) - (xy 108.829792 112.438883) - (xy 108.924922 112.45395) - (xy 108.95 112.457922) - (xy 108.975079 112.45395) - (xy 109.070208 112.438883) - (xy 109.076055 112.437957) - (xy 109.189771 112.380016) - (xy 109.280016 112.289771) - (xy 109.337957 112.176055) - (xy 109.357922 112.05) - (xy 109.349959 111.99972) - (xy 109.347244 111.982579) - (xy 109.337957 111.923945) - (xy 109.30028 111.85) - (xy 122.838028 111.85) - (xy 122.858191 111.977306) - (xy 122.860878 111.982579) - (xy 122.912807 112.084494) - (xy 122.916708 112.092151) - (xy 123.007849 112.183292) - (xy 123.122694 112.241809) - (xy 123.128541 112.242735) - (xy 123.243093 112.260878) - (xy 123.25 112.261972) - (xy 123.256908 112.260878) - (xy 123.371459 112.242735) - (xy 123.377306 112.241809) - (xy 123.492151 112.183292) - (xy 123.583292 112.092151) - (xy 123.587194 112.084494) - (xy 123.639122 111.982579) - (xy 123.641809 111.977306) - (xy 123.661972 111.85) - (xy 125.142078 111.85) - (xy 125.162043 111.976055) - (xy 125.219984 112.089771) - (xy 125.310229 112.180016) - (xy 125.315505 112.182704) - (xy 125.315506 112.182705) - (xy 125.321936 112.185981) - (xy 125.423945 112.237957) - (xy 125.463065 112.244153) - (xy 125.536899 112.255847) - (xy 125.55 112.257922) - (xy 125.563102 112.255847) - (xy 125.636935 112.244153) - (xy 125.676055 112.237957) - (xy 125.778064 112.185981) - (xy 125.784494 112.182705) - (xy 125.784495 112.182704) - (xy 125.789771 112.180016) - (xy 125.880016 112.089771) - (xy 125.928605 111.99441) - (xy 126.892477 111.99441) - (xy 126.893171 111.999717) - (xy 126.893171 111.99972) - (xy 126.899746 112.05) - (xy 126.909298 112.123046) - (xy 126.911457 112.127952) - (xy 126.911457 112.127953) - (xy 126.935807 112.183292) - (xy 126.961547 112.241791) - (xy 127.045023 112.341098) - (xy 127.153017 112.412984) - (xy 127.276845 112.451671) - (xy 127.343716 112.452896) - (xy 127.401193 112.45395) - (xy 127.401195 112.45395) - (xy 127.406555 112.454048) - (xy 127.411726 112.452638) - (xy 127.411728 112.452638) - (xy 127.510073 112.425826) - (xy 127.531718 112.419925) - (xy 127.642273 112.352044) - (xy 127.645865 112.348076) - (xy 127.645867 112.348074) - (xy 127.723802 112.261972) - (xy 127.729332 112.255863) - (xy 127.785897 112.139112) - (xy 127.80742 112.011179) - (xy 127.807557 112) - (xy 127.807145 111.997122) - (xy 127.789925 111.876876) - (xy 127.789924 111.876873) - (xy 127.789166 111.871579) - (xy 127.784409 111.861117) - (xy 127.737689 111.758362) - (xy 127.737688 111.758361) - (xy 127.73547 111.753482) - (xy 127.727969 111.744776) - (xy 127.654282 111.659258) - (xy 127.654281 111.659257) - (xy 127.650787 111.655202) - (xy 127.645157 111.651553) - (xy 127.546416 111.587552) - (xy 127.546415 111.587551) - (xy 127.541923 111.58464) - (xy 127.499367 111.571913) - (xy 127.422765 111.549004) - (xy 127.422762 111.549004) - (xy 127.417631 111.547469) - (xy 127.412276 111.547436) - (xy 127.412274 111.547436) - (xy 127.354164 111.547081) - (xy 127.287902 111.546676) - (xy 127.163166 111.582326) - (xy 127.053448 111.651553) - (xy 126.96757 111.748791) - (xy 126.965294 111.753638) - (xy 126.965293 111.75364) - (xy 126.917217 111.856039) - (xy 126.912436 111.866223) - (xy 126.892477 111.99441) - (xy 125.928605 111.99441) - (xy 125.937957 111.976055) - (xy 125.957922 111.85) - (xy 125.937957 111.723945) - (xy 125.880016 111.610229) - (xy 125.789771 111.519984) - (xy 125.784153 111.517121) - (xy 125.723036 111.485981) - (xy 125.676055 111.462043) - (xy 125.55 111.442078) - (xy 125.423945 111.462043) - (xy 125.376964 111.485981) - (xy 125.315848 111.517121) - (xy 125.310229 111.519984) - (xy 125.219984 111.610229) - (xy 125.162043 111.723945) - (xy 125.142078 111.85) - (xy 123.661972 111.85) - (xy 123.641809 111.722694) - (xy 123.599557 111.639771) - (xy 123.585981 111.613126) - (xy 123.58598 111.613125) - (xy 123.583292 111.607849) - (xy 123.492151 111.516708) - (xy 123.484843 111.512984) - (xy 123.425417 111.482705) - (xy 123.377306 111.458191) - (xy 123.275571 111.442078) - (xy 123.255847 111.438954) - (xy 123.25 111.438028) - (xy 123.244153 111.438954) - (xy 123.224429 111.442078) - (xy 123.122694 111.458191) - (xy 123.074583 111.482705) - (xy 123.015158 111.512984) - (xy 123.007849 111.516708) - (xy 122.916708 111.607849) - (xy 122.91402 111.613125) - (xy 122.914019 111.613126) - (xy 122.900443 111.639771) - (xy 122.858191 111.722694) - (xy 122.838028 111.85) - (xy 109.30028 111.85) - (xy 109.280016 111.810229) - (xy 109.189771 111.719984) - (xy 109.17946 111.71473) - (xy 109.135002 111.692078) - (xy 109.076055 111.662043) - (xy 108.95 111.642078) - (xy 108.823945 111.662043) - (xy 108.764998 111.692078) - (xy 108.720541 111.71473) - (xy 108.710229 111.719984) - (xy 108.619984 111.810229) - (xy 108.562043 111.923945) - (xy 108.552756 111.982579) - (xy 108.550042 111.99972) - (xy 108.542078 112.05) - (xy 103.60028 112.05) - (xy 103.580016 112.010229) - (xy 103.489771 111.919984) - (xy 103.376055 111.862043) - (xy 103.300018 111.85) - (xy 103.255847 111.843004) - (xy 103.25 111.842078) - (xy 103.244153 111.843004) - (xy 103.199982 111.85) - (xy 103.123945 111.862043) - (xy 103.010229 111.919984) - (xy 102.919984 112.010229) - (xy 102.862043 112.123945) - (xy 102.852736 112.182705) - (xy 102.843828 112.238954) - (xy 102.842078 112.25) - (xy 97.582173 112.25) - (xy 97.635897 112.139112) - (xy 97.65742 112.011179) - (xy 97.657557 112) - (xy 97.657145 111.997122) - (xy 97.639925 111.876876) - (xy 97.639924 111.876873) - (xy 97.639166 111.871579) - (xy 97.634409 111.861117) - (xy 97.587689 111.758362) - (xy 97.587688 111.758361) - (xy 97.58547 111.753482) - (xy 97.577969 111.744776) - (xy 97.504282 111.659258) - (xy 97.504281 111.659257) - (xy 97.500787 111.655202) - (xy 97.495157 111.651553) - (xy 97.396416 111.587552) - (xy 97.396415 111.587551) - (xy 97.391923 111.58464) - (xy 97.349367 111.571913) - (xy 97.272765 111.549004) - (xy 97.272762 111.549004) - (xy 97.267631 111.547469) - (xy 97.262276 111.547436) - (xy 97.262274 111.547436) - (xy 97.204164 111.547081) - (xy 97.137902 111.546676) - (xy 97.013166 111.582326) - (xy 96.903448 111.651553) - (xy 96.81757 111.748791) - (xy 96.815294 111.753638) - (xy 96.815293 111.75364) - (xy 96.767217 111.856039) - (xy 96.762436 111.866223) - (xy 96.742477 111.99441) - (xy 90.656757 111.99441) - (xy 90.639925 111.876876) - (xy 90.639924 111.876873) - (xy 90.639166 111.871579) - (xy 90.634409 111.861117) - (xy 90.587689 111.758362) - (xy 90.587688 111.758361) - (xy 90.58547 111.753482) - (xy 90.577969 111.744776) - (xy 90.504282 111.659258) - (xy 90.504281 111.659257) - (xy 90.500787 111.655202) - (xy 90.495157 111.651553) - (xy 90.396416 111.587552) - (xy 90.396415 111.587551) - (xy 90.391923 111.58464) - (xy 90.349367 111.571913) - (xy 90.272765 111.549004) - (xy 90.272762 111.549004) - (xy 90.267631 111.547469) - (xy 90.262276 111.547436) - (xy 90.262274 111.547436) - (xy 90.204164 111.547081) - (xy 90.137902 111.546676) - (xy 90.013166 111.582326) - (xy 89.903448 111.651553) - (xy 89.81757 111.748791) - (xy 89.815294 111.753638) - (xy 89.815293 111.75364) - (xy 89.767217 111.856039) - (xy 89.762436 111.866223) - (xy 89.742477 111.99441) - (xy 72.491198 111.99441) - (xy 72.487957 111.973945) - (xy 72.430016 111.860229) - (xy 72.339771 111.769984) - (xy 72.316962 111.758362) - (xy 72.286656 111.742921) - (xy 72.226055 111.712043) - (xy 72.1 111.692078) - (xy 71.973945 111.712043) - (xy 71.913344 111.742921) - (xy 71.883039 111.758362) - (xy 71.860229 111.769984) - (xy 71.769984 111.860229) - (xy 71.712043 111.973945) - (xy 71.692078 112.1) - (xy 46.101 112.1) - (xy 46.101 111.09441) - (xy 88.742477 111.09441) - (xy 88.743171 111.099717) - (xy 88.743171 111.09972) - (xy 88.749746 111.15) - (xy 88.759298 111.223046) - (xy 88.811547 111.341791) - (xy 88.895023 111.441098) - (xy 88.926489 111.462043) - (xy 88.962451 111.485981) - (xy 89.003017 111.512984) - (xy 89.126845 111.551671) - (xy 89.193716 111.552896) - (xy 89.251193 111.55395) - (xy 89.251195 111.55395) - (xy 89.256555 111.554048) - (xy 89.261726 111.552638) - (xy 89.261728 111.552638) - (xy 89.359233 111.526055) - (xy 89.381718 111.519925) - (xy 89.492273 111.452044) - (xy 89.495865 111.448076) - (xy 89.495867 111.448074) - (xy 89.542693 111.396341) - (xy 89.579332 111.355863) - (xy 89.627781 111.255863) - (xy 89.633559 111.243938) - (xy 89.633559 111.243937) - (xy 89.635897 111.239112) - (xy 89.65742 111.111179) - (xy 89.657557 111.1) - (xy 89.657145 111.097122) - (xy 89.656757 111.09441) - (xy 95.742477 111.09441) - (xy 95.743171 111.099717) - (xy 95.743171 111.09972) - (xy 95.749746 111.15) - (xy 95.759298 111.223046) - (xy 95.811547 111.341791) - (xy 95.895023 111.441098) - (xy 95.926489 111.462043) - (xy 95.962451 111.485981) - (xy 96.003017 111.512984) - (xy 96.126845 111.551671) - (xy 96.193716 111.552896) - (xy 96.251193 111.55395) - (xy 96.251195 111.55395) - (xy 96.256555 111.554048) - (xy 96.261726 111.552638) - (xy 96.261728 111.552638) - (xy 96.359233 111.526055) - (xy 96.381718 111.519925) - (xy 96.492273 111.452044) - (xy 96.495865 111.448076) - (xy 96.495867 111.448074) - (xy 96.539381 111.4) - (xy 103.792078 111.4) - (xy 103.812043 111.526055) - (xy 103.869984 111.639771) - (xy 103.960229 111.730016) - (xy 103.965505 111.732704) - (xy 103.965506 111.732705) - (xy 104.006284 111.753482) - (xy 104.073945 111.787957) - (xy 104.2 111.807922) - (xy 104.326055 111.787957) - (xy 104.393716 111.753482) - (xy 104.434494 111.732705) - (xy 104.434495 111.732704) - (xy 104.439771 111.730016) - (xy 104.530016 111.639771) - (xy 104.587957 111.526055) - (xy 104.607922 111.4) - (xy 104.587957 111.273945) - (xy 104.530016 111.160229) - (xy 104.519787 111.15) - (xy 109.292078 111.15) - (xy 109.312043 111.276055) - (xy 109.369984 111.389771) - (xy 109.460229 111.480016) - (xy 109.465505 111.482704) - (xy 109.465506 111.482705) - (xy 109.471936 111.485981) - (xy 109.573945 111.537957) - (xy 109.628995 111.546676) - (xy 109.674922 111.55395) - (xy 109.7 111.557922) - (xy 109.725079 111.55395) - (xy 109.771005 111.546676) - (xy 109.826055 111.537957) - (xy 109.928064 111.485981) - (xy 109.934494 111.482705) - (xy 109.934495 111.482704) - (xy 109.939771 111.480016) - (xy 110.030016 111.389771) - (xy 110.087957 111.276055) - (xy 110.107922 111.15) - (xy 114.338028 111.15) - (xy 114.358191 111.277306) - (xy 114.360878 111.282579) - (xy 114.412807 111.384494) - (xy 114.416708 111.392151) - (xy 114.507849 111.483292) - (xy 114.622694 111.541809) - (xy 114.75 111.561972) - (xy 114.877306 111.541809) - (xy 114.992151 111.483292) - (xy 115.083292 111.392151) - (xy 115.087194 111.384494) - (xy 115.139122 111.282579) - (xy 115.141809 111.277306) - (xy 115.161972 111.15) - (xy 122.138028 111.15) - (xy 122.158191 111.277306) - (xy 122.160878 111.282579) - (xy 122.212807 111.384494) - (xy 122.216708 111.392151) - (xy 122.307849 111.483292) - (xy 122.422694 111.541809) - (xy 122.55 111.561972) - (xy 122.677306 111.541809) - (xy 122.792151 111.483292) - (xy 122.883292 111.392151) - (xy 122.887194 111.384494) - (xy 122.939122 111.282579) - (xy 122.941809 111.277306) - (xy 122.961972 111.15) - (xy 122.941809 111.022694) - (xy 122.927398 110.99441) - (xy 125.992477 110.99441) - (xy 125.993171 110.999717) - (xy 125.993171 110.99972) - (xy 125.99782 111.03527) - (xy 126.009298 111.123046) - (xy 126.061547 111.241791) - (xy 126.145023 111.341098) - (xy 126.253017 111.412984) - (xy 126.376845 111.451671) - (xy 126.443716 111.452896) - (xy 126.501193 111.45395) - (xy 126.501195 111.45395) - (xy 126.506555 111.454048) - (xy 126.511726 111.452638) - (xy 126.511728 111.452638) - (xy 126.579058 111.434282) - (xy 126.631718 111.419925) - (xy 126.742273 111.352044) - (xy 126.745865 111.348076) - (xy 126.745867 111.348074) - (xy 126.782481 111.307623) - (xy 126.829332 111.255863) - (xy 126.885897 111.139112) - (xy 126.90742 111.011179) - (xy 126.907557 111) - (xy 126.907145 110.997122) - (xy 126.889925 110.876876) - (xy 126.889924 110.876873) - (xy 126.889166 110.871579) - (xy 126.876778 110.844333) - (xy 126.837689 110.758362) - (xy 126.837688 110.758361) - (xy 126.83547 110.753482) - (xy 126.827969 110.744776) - (xy 126.754282 110.659258) - (xy 126.754281 110.659257) - (xy 126.750787 110.655202) - (xy 126.741225 110.649004) - (xy 126.646416 110.587552) - (xy 126.646415 110.587551) - (xy 126.641923 110.58464) - (xy 126.552584 110.557922) - (xy 126.522765 110.549004) - (xy 126.522762 110.549004) - (xy 126.517631 110.547469) - (xy 126.512276 110.547436) - (xy 126.512274 110.547436) - (xy 126.454164 110.547081) - (xy 126.387902 110.546676) - (xy 126.263166 110.582326) - (xy 126.153448 110.651553) - (xy 126.06757 110.748791) - (xy 126.065294 110.753638) - (xy 126.065293 110.75364) - (xy 126.022713 110.844333) - (xy 126.012436 110.866223) - (xy 125.992477 110.99441) - (xy 122.927398 110.99441) - (xy 122.894558 110.929959) - (xy 122.885981 110.913126) - (xy 122.88598 110.913125) - (xy 122.883292 110.907849) - (xy 122.792151 110.816708) - (xy 122.677306 110.758191) - (xy 122.55 110.738028) - (xy 122.422694 110.758191) - (xy 122.307849 110.816708) - (xy 122.216708 110.907849) - (xy 122.21402 110.913125) - (xy 122.214019 110.913126) - (xy 122.205442 110.929959) - (xy 122.158191 111.022694) - (xy 122.138028 111.15) - (xy 115.161972 111.15) - (xy 115.141809 111.022694) - (xy 115.094558 110.929959) - (xy 115.085981 110.913126) - (xy 115.08598 110.913125) - (xy 115.083292 110.907849) - (xy 114.992151 110.816708) - (xy 114.877306 110.758191) - (xy 114.75 110.738028) - (xy 114.622694 110.758191) - (xy 114.507849 110.816708) - (xy 114.416708 110.907849) - (xy 114.41402 110.913125) - (xy 114.414019 110.913126) - (xy 114.405442 110.929959) - (xy 114.358191 111.022694) - (xy 114.338028 111.15) - (xy 110.107922 111.15) - (xy 110.099959 111.09972) - (xy 110.088883 111.029792) - (xy 110.087957 111.023945) - (xy 110.056075 110.961373) - (xy 110.032705 110.915506) - (xy 110.032704 110.915505) - (xy 110.030016 110.910229) - (xy 109.939771 110.819984) - (xy 109.933342 110.816708) - (xy 109.853555 110.776055) - (xy 109.826055 110.762043) - (xy 109.7 110.742078) - (xy 109.573945 110.762043) - (xy 109.546445 110.776055) - (xy 109.466659 110.816708) - (xy 109.460229 110.819984) - (xy 109.369984 110.910229) - (xy 109.367296 110.915505) - (xy 109.367295 110.915506) - (xy 109.343925 110.961373) - (xy 109.312043 111.023945) - (xy 109.311117 111.029792) - (xy 109.300042 111.09972) - (xy 109.292078 111.15) - (xy 104.519787 111.15) - (xy 104.439771 111.069984) - (xy 104.326055 111.012043) - (xy 104.2 110.992078) - (xy 104.073945 111.012043) - (xy 103.960229 111.069984) - (xy 103.869984 111.160229) - (xy 103.812043 111.273945) - (xy 103.792078 111.4) - (xy 96.539381 111.4) - (xy 96.542693 111.396341) - (xy 96.579332 111.355863) - (xy 96.627781 111.255863) - (xy 96.633559 111.243938) - (xy 96.633559 111.243937) - (xy 96.635897 111.239112) - (xy 96.65742 111.111179) - (xy 96.657557 111.1) - (xy 96.657145 111.097122) - (xy 96.639925 110.976876) - (xy 96.639924 110.976873) - (xy 96.639166 110.971579) - (xy 96.622462 110.934841) - (xy 96.587689 110.858362) - (xy 96.587688 110.858361) - (xy 96.58547 110.853482) - (xy 96.577969 110.844776) - (xy 96.504282 110.759258) - (xy 96.504281 110.759257) - (xy 96.500787 110.755202) - (xy 96.490896 110.748791) - (xy 96.396416 110.687552) - (xy 96.396415 110.687551) - (xy 96.391923 110.68464) - (xy 96.349367 110.671913) - (xy 96.272765 110.649004) - (xy 96.272762 110.649004) - (xy 96.267631 110.647469) - (xy 96.262276 110.647436) - (xy 96.262274 110.647436) - (xy 96.204164 110.647081) - (xy 96.137902 110.646676) - (xy 96.013166 110.682326) - (xy 95.903448 110.751553) - (xy 95.81757 110.848791) - (xy 95.815294 110.853638) - (xy 95.815293 110.85364) - (xy 95.790692 110.906039) - (xy 95.762436 110.966223) - (xy 95.742477 111.09441) - (xy 89.656757 111.09441) - (xy 89.639925 110.976876) - (xy 89.639924 110.976873) - (xy 89.639166 110.971579) - (xy 89.622462 110.934841) - (xy 89.587689 110.858362) - (xy 89.587688 110.858361) - (xy 89.58547 110.853482) - (xy 89.577969 110.844776) - (xy 89.504282 110.759258) - (xy 89.504281 110.759257) - (xy 89.500787 110.755202) - (xy 89.490896 110.748791) - (xy 89.396416 110.687552) - (xy 89.396415 110.687551) - (xy 89.391923 110.68464) - (xy 89.349367 110.671913) - (xy 89.272765 110.649004) - (xy 89.272762 110.649004) - (xy 89.267631 110.647469) - (xy 89.262276 110.647436) - (xy 89.262274 110.647436) - (xy 89.204164 110.647081) - (xy 89.137902 110.646676) - (xy 89.013166 110.682326) - (xy 88.903448 110.751553) - (xy 88.81757 110.848791) - (xy 88.815294 110.853638) - (xy 88.815293 110.85364) - (xy 88.790692 110.906039) - (xy 88.762436 110.966223) - (xy 88.742477 111.09441) - (xy 46.101 111.09441) - (xy 46.101 110.65) - (xy 71.642078 110.65) - (xy 71.662043 110.776055) - (xy 71.66473 110.781328) - (xy 71.713414 110.876876) - (xy 71.719984 110.889771) - (xy 71.810229 110.980016) - (xy 71.815505 110.982704) - (xy 71.815506 110.982705) - (xy 71.8489 110.99972) - (xy 71.923945 111.037957) - (xy 72.05 111.057922) - (xy 72.176055 111.037957) - (xy 72.2511 110.99972) - (xy 72.284494 110.982705) - (xy 72.284495 110.982704) - (xy 72.289771 110.980016) - (xy 72.380016 110.889771) - (xy 72.386587 110.876876) - (xy 72.43527 110.781328) - (xy 72.437957 110.776055) - (xy 72.457922 110.65) - (xy 72.437957 110.523945) - (xy 72.380016 110.410229) - (xy 72.289771 110.319984) - (xy 72.261667 110.305664) - (xy 72.203555 110.276055) - (xy 72.176055 110.262043) - (xy 72.05 110.242078) - (xy 71.923945 110.262043) - (xy 71.896445 110.276055) - (xy 71.838334 110.305664) - (xy 71.810229 110.319984) - (xy 71.719984 110.410229) - (xy 71.662043 110.523945) - (xy 71.642078 110.65) - (xy 46.101 110.65) - (xy 46.101 109.8) - (xy 69.342078 109.8) - (xy 69.362043 109.926055) - (xy 69.382144 109.965506) - (xy 69.409234 110.018672) - (xy 69.419984 110.039771) - (xy 69.510229 110.130016) - (xy 69.515505 110.132704) - (xy 69.515506 110.132705) - (xy 69.54945 110.15) - (xy 69.623945 110.187957) - (xy 69.629792 110.188883) - (xy 69.736899 110.205847) - (xy 69.75 110.207922) - (xy 69.763102 110.205847) - (xy 69.800018 110.2) - (xy 89.992078 110.2) - (xy 90.012043 110.326055) - (xy 90.069984 110.439771) - (xy 90.160229 110.530016) - (xy 90.165505 110.532704) - (xy 90.165506 110.532705) - (xy 90.195815 110.548148) - (xy 90.273945 110.587957) - (xy 90.4 110.607922) - (xy 90.526055 110.587957) - (xy 90.604185 110.548148) - (xy 90.634494 110.532705) - (xy 90.634495 110.532704) - (xy 90.639771 110.530016) - (xy 90.730016 110.439771) - (xy 90.787957 110.326055) - (xy 90.807922 110.2) - (xy 96.992078 110.2) - (xy 97.012043 110.326055) - (xy 97.069984 110.439771) - (xy 97.160229 110.530016) - (xy 97.165505 110.532704) - (xy 97.165506 110.532705) - (xy 97.195815 110.548148) - (xy 97.273945 110.587957) - (xy 97.4 110.607922) - (xy 97.526055 110.587957) - (xy 97.604185 110.548148) - (xy 97.634494 110.532705) - (xy 97.634495 110.532704) - (xy 97.639771 110.530016) - (xy 97.730016 110.439771) - (xy 97.787957 110.326055) - (xy 97.807922 110.2) - (xy 97.800003 110.15) - (xy 109.292078 110.15) - (xy 109.312043 110.276055) - (xy 109.369984 110.389771) - (xy 109.460229 110.480016) - (xy 109.573945 110.537957) - (xy 109.579792 110.538883) - (xy 109.643694 110.549004) - (xy 109.7 110.557922) - (xy 109.756307 110.549004) - (xy 109.820208 110.538883) - (xy 109.826055 110.537957) - (xy 109.939771 110.480016) - (xy 109.969787 110.45) - (xy 110.292329 110.45) - (xy 110.311331 110.594336) - (xy 110.313216 110.598888) - (xy 110.313217 110.59889) - (xy 110.334388 110.65) - (xy 110.367043 110.728835) - (xy 110.370039 110.732739) - (xy 110.370041 110.732743) - (xy 110.452669 110.840426) - (xy 110.455667 110.844333) - (xy 110.571164 110.932957) - (xy 110.631042 110.957759) - (xy 110.70111 110.986783) - (xy 110.701112 110.986784) - (xy 110.705664 110.988669) - (xy 110.85 111.007671) - (xy 110.994336 110.988669) - (xy 110.998888 110.986784) - (xy 110.99889 110.986783) - (xy 111.068958 110.957759) - (xy 111.128836 110.932957) - (xy 111.244333 110.844333) - (xy 111.247331 110.840426) - (xy 111.329959 110.732743) - (xy 111.329961 110.732739) - (xy 111.332957 110.728835) - (xy 111.365612 110.65) - (xy 111.386783 110.59889) - (xy 111.386784 110.598888) - (xy 111.388669 110.594336) - (xy 111.407671 110.45) - (xy 111.388669 110.305664) - (xy 111.376405 110.276055) - (xy 111.340296 110.188883) - (xy 111.332957 110.171165) - (xy 111.329961 110.167261) - (xy 111.329959 110.167257) - (xy 111.316717 110.15) - (xy 114.342078 110.15) - (xy 114.362043 110.276055) - (xy 114.419984 110.389771) - (xy 114.510229 110.480016) - (xy 114.623945 110.537957) - (xy 114.629792 110.538883) - (xy 114.693694 110.549004) - (xy 114.75 110.557922) - (xy 114.806307 110.549004) - (xy 114.870208 110.538883) - (xy 114.876055 110.537957) - (xy 114.989771 110.480016) - (xy 115.080016 110.389771) - (xy 115.137957 110.276055) - (xy 115.157922 110.15) - (xy 122.142078 110.15) - (xy 122.162043 110.276055) - (xy 122.219984 110.389771) - (xy 122.310229 110.480016) - (xy 122.423945 110.537957) - (xy 122.429792 110.538883) - (xy 122.493694 110.549004) - (xy 122.55 110.557922) - (xy 122.606307 110.549004) - (xy 122.670208 110.538883) - (xy 122.676055 110.537957) - (xy 122.789771 110.480016) - (xy 122.880016 110.389771) - (xy 122.937957 110.276055) - (xy 122.957922 110.15) - (xy 122.937957 110.023945) - (xy 122.903357 109.956039) - (xy 122.882705 109.915506) - (xy 122.882704 109.915505) - (xy 122.880016 109.910229) - (xy 122.789771 109.819984) - (xy 122.77946 109.81473) - (xy 122.735002 109.792078) - (xy 122.676055 109.762043) - (xy 122.55 109.742078) - (xy 122.423945 109.762043) - (xy 122.364998 109.792078) - (xy 122.320541 109.81473) - (xy 122.310229 109.819984) - (xy 122.219984 109.910229) - (xy 122.217296 109.915505) - (xy 122.217295 109.915506) - (xy 122.196643 109.956039) - (xy 122.162043 110.023945) - (xy 122.142078 110.15) - (xy 115.157922 110.15) - (xy 115.137957 110.023945) - (xy 115.103357 109.956039) - (xy 115.082705 109.915506) - (xy 115.082704 109.915505) - (xy 115.080016 109.910229) - (xy 114.989771 109.819984) - (xy 114.97946 109.81473) - (xy 114.935002 109.792078) - (xy 114.876055 109.762043) - (xy 114.75 109.742078) - (xy 114.623945 109.762043) - (xy 114.564998 109.792078) - (xy 114.520541 109.81473) - (xy 114.510229 109.819984) - (xy 114.419984 109.910229) - (xy 114.417296 109.915505) - (xy 114.417295 109.915506) - (xy 114.396643 109.956039) - (xy 114.362043 110.023945) - (xy 114.342078 110.15) - (xy 111.316717 110.15) - (xy 111.247331 110.059574) - (xy 111.244333 110.055667) - (xy 111.229078 110.043961) - (xy 111.132743 109.970041) - (xy 111.128836 109.967043) - (xy 111.015767 109.920208) - (xy 110.99889 109.913217) - (xy 110.998888 109.913216) - (xy 110.994336 109.911331) - (xy 110.85 109.892329) - (xy 110.705664 109.911331) - (xy 110.701112 109.913216) - (xy 110.70111 109.913217) - (xy 110.631368 109.942106) - (xy 110.571165 109.967043) - (xy 110.567261 109.970039) - (xy 110.567257 109.970041) - (xy 110.459574 110.052669) - (xy 110.455667 110.055667) - (xy 110.452669 110.059574) - (xy 110.370041 110.167257) - (xy 110.370039 110.167261) - (xy 110.367043 110.171165) - (xy 110.359704 110.188883) - (xy 110.323596 110.276055) - (xy 110.311331 110.305664) - (xy 110.292329 110.45) - (xy 109.969787 110.45) - (xy 110.030016 110.389771) - (xy 110.087957 110.276055) - (xy 110.107922 110.15) - (xy 110.087957 110.023945) - (xy 110.053357 109.956039) - (xy 110.032705 109.915506) - (xy 110.032704 109.915505) - (xy 110.030016 109.910229) - (xy 109.939771 109.819984) - (xy 109.92946 109.81473) - (xy 109.885002 109.792078) - (xy 109.826055 109.762043) - (xy 109.7 109.742078) - (xy 109.573945 109.762043) - (xy 109.514998 109.792078) - (xy 109.470541 109.81473) - (xy 109.460229 109.819984) - (xy 109.369984 109.910229) - (xy 109.367296 109.915505) - (xy 109.367295 109.915506) - (xy 109.346643 109.956039) - (xy 109.312043 110.023945) - (xy 109.292078 110.15) - (xy 97.800003 110.15) - (xy 97.787957 110.073945) - (xy 97.757079 110.013344) - (xy 97.732705 109.965506) - (xy 97.732704 109.965505) - (xy 97.730016 109.960229) - (xy 97.639771 109.869984) - (xy 97.526055 109.812043) - (xy 97.450018 109.8) - (xy 97.405847 109.793004) - (xy 97.4 109.792078) - (xy 97.394153 109.793004) - (xy 97.349982 109.8) - (xy 97.273945 109.812043) - (xy 97.160229 109.869984) - (xy 97.069984 109.960229) - (xy 97.067296 109.965505) - (xy 97.067295 109.965506) - (xy 97.042921 110.013344) - (xy 97.012043 110.073945) - (xy 96.992078 110.2) - (xy 90.807922 110.2) - (xy 90.787957 110.073945) - (xy 90.757079 110.013344) - (xy 90.732705 109.965506) - (xy 90.732704 109.965505) - (xy 90.730016 109.960229) - (xy 90.639771 109.869984) - (xy 90.526055 109.812043) - (xy 90.450018 109.8) - (xy 90.405847 109.793004) - (xy 90.4 109.792078) - (xy 90.394153 109.793004) - (xy 90.349982 109.8) - (xy 90.273945 109.812043) - (xy 90.160229 109.869984) - (xy 90.069984 109.960229) - (xy 90.067296 109.965505) - (xy 90.067295 109.965506) - (xy 90.042921 110.013344) - (xy 90.012043 110.073945) - (xy 89.992078 110.2) - (xy 69.800018 110.2) - (xy 69.870208 110.188883) - (xy 69.876055 110.187957) - (xy 69.95055 110.15) - (xy 69.984494 110.132705) - (xy 69.984495 110.132704) - (xy 69.989771 110.130016) - (xy 70.080016 110.039771) - (xy 70.090767 110.018672) - (xy 70.117856 109.965506) - (xy 70.137957 109.926055) - (xy 70.157922 109.8) - (xy 70.137957 109.673945) - (xy 70.091468 109.582705) - (xy 70.082705 109.565506) - (xy 70.082704 109.565505) - (xy 70.080016 109.560229) - (xy 69.989771 109.469984) - (xy 69.962026 109.455847) - (xy 69.920118 109.434494) - (xy 69.876055 109.412043) - (xy 69.75 109.392078) - (xy 69.623945 109.412043) - (xy 69.579882 109.434494) - (xy 69.537975 109.455847) - (xy 69.510229 109.469984) - (xy 69.419984 109.560229) - (xy 69.417296 109.565505) - (xy 69.417295 109.565506) - (xy 69.408532 109.582705) - (xy 69.362043 109.673945) - (xy 69.342078 109.8) - (xy 46.101 109.8) - (xy 46.101 109.013079) - (xy 61.003283 109.013079) - (xy 61.004557 109.017833) - (xy 61.017355 109.028725) - (xy 61.023353 109.032894) - (xy 61.188537 109.125211) - (xy 61.19525 109.128144) - (xy 61.37521 109.186616) - (xy 61.382358 109.188188) - (xy 61.570248 109.210593) - (xy 61.577581 109.210746) - (xy 61.766239 109.19623) - (xy 61.773447 109.194959) - (xy 61.955701 109.144073) - (xy 61.962534 109.141422) - (xy 62.131428 109.056108) - (xy 62.137611 109.052184) - (xy 62.17962 109.019363) - (xy 62.185348 109.010196) - (xy 62.18474 109.005872) - (xy 62.014576 108.835708) - (xy 63.1321 108.835708) - (xy 63.132562 108.838624) - (xy 63.132562 108.838626) - (xy 63.135472 108.856996) - (xy 63.147043 108.930055) - (xy 63.165106 108.965506) - (xy 63.202022 109.037957) - (xy 63.204984 109.043771) - (xy 63.295229 109.134016) - (xy 63.300505 109.136704) - (xy 63.300506 109.136705) - (xy 63.312341 109.142735) - (xy 63.408945 109.191957) - (xy 63.414792 109.192883) - (xy 63.500374 109.206438) - (xy 63.500376 109.206438) - (xy 63.503292 109.2069) - (xy 64.766708 109.2069) - (xy 64.769624 109.206438) - (xy 64.769626 109.206438) - (xy 64.810274 109.2) - (xy 81.792078 109.2) - (xy 81.812043 109.326055) - (xy 81.869984 109.439771) - (xy 81.960229 109.530016) - (xy 82.073945 109.587957) - (xy 82.2 109.607922) - (xy 82.326055 109.587957) - (xy 82.439771 109.530016) - (xy 82.530016 109.439771) - (xy 82.587957 109.326055) - (xy 82.607922 109.2) - (xy 82.792078 109.2) - (xy 82.812043 109.326055) - (xy 82.869984 109.439771) - (xy 82.960229 109.530016) - (xy 83.073945 109.587957) - (xy 83.2 109.607922) - (xy 83.326055 109.587957) - (xy 83.439771 109.530016) - (xy 83.530016 109.439771) - (xy 83.587957 109.326055) - (xy 83.600003 109.25) - (xy 108.542078 109.25) - (xy 108.562043 109.376055) - (xy 108.619984 109.489771) - (xy 108.710229 109.580016) - (xy 108.715505 109.582704) - (xy 108.715506 109.582705) - (xy 108.76318 109.606996) - (xy 108.823945 109.637957) - (xy 108.95 109.657922) - (xy 109.076055 109.637957) - (xy 109.13682 109.606996) - (xy 109.184494 109.582705) - (xy 109.184495 109.582704) - (xy 109.189771 109.580016) - (xy 109.280016 109.489771) - (xy 109.30028 109.45) - (xy 111.342078 109.45) - (xy 111.362043 109.576055) - (xy 111.368579 109.588883) - (xy 111.409234 109.668672) - (xy 111.419984 109.689771) - (xy 111.510229 109.780016) - (xy 111.515505 109.782704) - (xy 111.515506 109.782705) - (xy 111.54945 109.8) - (xy 111.623945 109.837957) - (xy 111.75 109.857922) - (xy 111.876055 109.837957) - (xy 111.95055 109.8) - (xy 111.984494 109.782705) - (xy 111.984495 109.782704) - (xy 111.989771 109.780016) - (xy 112.080016 109.689771) - (xy 112.090767 109.668672) - (xy 112.131421 109.588883) - (xy 112.137957 109.576055) - (xy 112.157922 109.45) - (xy 113.642078 109.45) - (xy 113.662043 109.576055) - (xy 113.668579 109.588883) - (xy 113.709234 109.668672) - (xy 113.719984 109.689771) - (xy 113.810229 109.780016) - (xy 113.815505 109.782704) - (xy 113.815506 109.782705) - (xy 113.84945 109.8) - (xy 113.923945 109.837957) - (xy 114.05 109.857922) - (xy 114.176055 109.837957) - (xy 114.25055 109.8) - (xy 114.284494 109.782705) - (xy 114.284495 109.782704) - (xy 114.289771 109.780016) - (xy 114.380016 109.689771) - (xy 114.390767 109.668672) - (xy 114.431421 109.588883) - (xy 114.437957 109.576055) - (xy 114.457922 109.45) - (xy 118.242078 109.45) - (xy 118.262043 109.576055) - (xy 118.268579 109.588883) - (xy 118.309234 109.668672) - (xy 118.319984 109.689771) - (xy 118.410229 109.780016) - (xy 118.415505 109.782704) - (xy 118.415506 109.782705) - (xy 118.44945 109.8) - (xy 118.523945 109.837957) - (xy 118.65 109.857922) - (xy 118.776055 109.837957) - (xy 118.85055 109.8) - (xy 118.884494 109.782705) - (xy 118.884495 109.782704) - (xy 118.889771 109.780016) - (xy 118.980016 109.689771) - (xy 118.990767 109.668672) - (xy 119.031421 109.588883) - (xy 119.037957 109.576055) - (xy 119.057922 109.45) - (xy 119.037957 109.323945) - (xy 118.980016 109.210229) - (xy 118.889771 109.119984) - (xy 118.776055 109.062043) - (xy 118.687144 109.047961) - (xy 118.655847 109.043004) - (xy 118.65 109.042078) - (xy 118.644153 109.043004) - (xy 118.612856 109.047961) - (xy 118.523945 109.062043) - (xy 118.410229 109.119984) - (xy 118.319984 109.210229) - (xy 118.262043 109.323945) - (xy 118.242078 109.45) - (xy 114.457922 109.45) - (xy 114.437957 109.323945) - (xy 114.380016 109.210229) - (xy 114.289771 109.119984) - (xy 114.176055 109.062043) - (xy 114.087144 109.047961) - (xy 114.055847 109.043004) - (xy 114.05 109.042078) - (xy 114.044153 109.043004) - (xy 114.012856 109.047961) - (xy 113.923945 109.062043) - (xy 113.810229 109.119984) - (xy 113.719984 109.210229) - (xy 113.662043 109.323945) - (xy 113.642078 109.45) - (xy 112.157922 109.45) - (xy 112.137957 109.323945) - (xy 112.080016 109.210229) - (xy 111.989771 109.119984) - (xy 111.876055 109.062043) - (xy 111.787144 109.047961) - (xy 111.755847 109.043004) - (xy 111.75 109.042078) - (xy 111.744153 109.043004) - (xy 111.712856 109.047961) - (xy 111.623945 109.062043) - (xy 111.510229 109.119984) - (xy 111.419984 109.210229) - (xy 111.362043 109.323945) - (xy 111.342078 109.45) - (xy 109.30028 109.45) - (xy 109.337957 109.376055) - (xy 109.357922 109.25) - (xy 109.337957 109.123945) - (xy 109.299241 109.047961) - (xy 109.282705 109.015506) - (xy 109.282704 109.015505) - (xy 109.280016 109.010229) - (xy 109.189771 108.919984) - (xy 109.076055 108.862043) - (xy 108.95 108.842078) - (xy 108.823945 108.862043) - (xy 108.710229 108.919984) - (xy 108.619984 109.010229) - (xy 108.617296 109.015505) - (xy 108.617295 109.015506) - (xy 108.600759 109.047961) - (xy 108.562043 109.123945) - (xy 108.542078 109.25) - (xy 83.600003 109.25) - (xy 83.607922 109.2) - (xy 83.587957 109.073945) - (xy 83.530016 108.960229) - (xy 83.439771 108.869984) - (xy 83.42946 108.86473) - (xy 83.366703 108.832754) - (xy 83.326055 108.812043) - (xy 83.225268 108.79608) - (xy 83.205847 108.793004) - (xy 83.2 108.792078) - (xy 83.194153 108.793004) - (xy 83.174732 108.79608) - (xy 83.073945 108.812043) - (xy 83.033297 108.832754) - (xy 82.970541 108.86473) - (xy 82.960229 108.869984) - (xy 82.869984 108.960229) - (xy 82.812043 109.073945) - (xy 82.792078 109.2) - (xy 82.607922 109.2) - (xy 82.587957 109.073945) - (xy 82.530016 108.960229) - (xy 82.439771 108.869984) - (xy 82.42946 108.86473) - (xy 82.366703 108.832754) - (xy 82.326055 108.812043) - (xy 82.225268 108.79608) - (xy 82.205847 108.793004) - (xy 82.2 108.792078) - (xy 82.194153 108.793004) - (xy 82.174732 108.79608) - (xy 82.073945 108.812043) - (xy 82.033297 108.832754) - (xy 81.970541 108.86473) - (xy 81.960229 108.869984) - (xy 81.869984 108.960229) - (xy 81.812043 109.073945) - (xy 81.792078 109.2) - (xy 64.810274 109.2) - (xy 64.855208 109.192883) - (xy 64.861055 109.191957) - (xy 64.957659 109.142735) - (xy 64.969494 109.136705) - (xy 64.969495 109.136704) - (xy 64.974771 109.134016) - (xy 65.065016 109.043771) - (xy 65.067979 109.037957) - (xy 65.104894 108.965506) - (xy 65.122957 108.930055) - (xy 65.134528 108.856996) - (xy 65.137438 108.838626) - (xy 65.137438 108.838624) - (xy 65.1379 108.835708) - (xy 65.1379 108.65) - (xy 79.392078 108.65) - (xy 79.412043 108.776055) - (xy 79.442214 108.83527) - (xy 79.46632 108.882579) - (xy 79.469984 108.889771) - (xy 79.560229 108.980016) - (xy 79.565505 108.982704) - (xy 79.565506 108.982705) - (xy 79.592269 108.996341) - (xy 79.673945 109.037957) - (xy 79.736973 109.04794) - (xy 79.788547 109.056108) - (xy 79.8 109.057922) - (xy 79.811454 109.056108) - (xy 79.863027 109.04794) - (xy 79.926055 109.037957) - (xy 80.007731 108.996341) - (xy 80.034494 108.982705) - (xy 80.034495 108.982704) - (xy 80.039771 108.980016) - (xy 80.130016 108.889771) - (xy 80.133681 108.882579) - (xy 80.157786 108.83527) - (xy 80.187957 108.776055) - (xy 80.207922 108.65) - (xy 80.187957 108.523945) - (xy 80.147301 108.444153) - (xy 80.132705 108.415506) - (xy 80.132704 108.415505) - (xy 80.130016 108.410229) - (xy 80.039771 108.319984) - (xy 80.012026 108.305847) - (xy 80.00055 108.3) - (xy 82.292078 108.3) - (xy 82.312043 108.426055) - (xy 82.324244 108.45) - (xy 82.359234 108.518672) - (xy 82.369984 108.539771) - (xy 82.460229 108.630016) - (xy 82.465505 108.632704) - (xy 82.465506 108.632705) - (xy 82.49945 108.65) - (xy 82.573945 108.687957) - (xy 82.7 108.707922) - (xy 82.826055 108.687957) - (xy 82.90055 108.65) - (xy 82.934494 108.632705) - (xy 82.934495 108.632704) - (xy 82.939771 108.630016) - (xy 83.030016 108.539771) - (xy 83.040767 108.518672) - (xy 83.075756 108.45) - (xy 83.087957 108.426055) - (xy 83.092084 108.4) - (xy 83.742078 108.4) - (xy 83.743004 108.405847) - (xy 83.745649 108.422545) - (xy 83.762043 108.526055) - (xy 83.76473 108.531328) - (xy 83.816384 108.632705) - (xy 83.819984 108.639771) - (xy 83.910229 108.730016) - (xy 83.915505 108.732704) - (xy 83.915506 108.732705) - (xy 83.942312 108.746363) - (xy 84.023945 108.787957) - (xy 84.15 108.807922) - (xy 84.276055 108.787957) - (xy 84.357688 108.746363) - (xy 84.384494 108.732705) - (xy 84.384495 108.732704) - (xy 84.389771 108.730016) - (xy 84.480016 108.639771) - (xy 84.483617 108.632705) - (xy 84.53527 108.531328) - (xy 84.537957 108.526055) - (xy 84.550003 108.45) - (xy 107.692078 108.45) - (xy 107.712043 108.576055) - (xy 107.769984 108.689771) - (xy 107.860229 108.780016) - (xy 107.865505 108.782704) - (xy 107.865506 108.782705) - (xy 107.889207 108.794781) - (xy 107.973945 108.837957) - (xy 108.1 108.857922) - (xy 108.226055 108.837957) - (xy 108.310793 108.794781) - (xy 108.334494 108.782705) - (xy 108.334495 108.782704) - (xy 108.339771 108.780016) - (xy 108.369787 108.75) - (xy 114.342078 108.75) - (xy 114.362043 108.876055) - (xy 114.36473 108.881328) - (xy 114.416384 108.982705) - (xy 114.419984 108.989771) - (xy 114.510229 109.080016) - (xy 114.515505 109.082704) - (xy 114.515506 109.082705) - (xy 114.521936 109.085981) - (xy 114.623945 109.137957) - (xy 114.75 109.157922) - (xy 114.876055 109.137957) - (xy 114.978064 109.085981) - (xy 114.984494 109.082705) - (xy 114.984495 109.082704) - (xy 114.989771 109.080016) - (xy 115.080016 108.989771) - (xy 115.083617 108.982705) - (xy 115.13527 108.881328) - (xy 115.137957 108.876055) - (xy 115.157922 108.75) - (xy 122.138028 108.75) - (xy 122.138954 108.755847) - (xy 122.145326 108.79608) - (xy 122.158191 108.877306) - (xy 122.166677 108.893961) - (xy 122.212807 108.984494) - (xy 122.216708 108.992151) - (xy 122.307849 109.083292) - (xy 122.422694 109.141809) - (xy 122.55 109.161972) - (xy 122.677306 109.141809) - (xy 122.792151 109.083292) - (xy 122.883292 108.992151) - (xy 122.887194 108.984494) - (xy 122.933323 108.893961) - (xy 122.941809 108.877306) - (xy 122.954674 108.79608) - (xy 122.961046 108.755847) - (xy 122.961972 108.75) - (xy 122.941809 108.622694) - (xy 122.899557 108.539771) - (xy 122.885981 108.513126) - (xy 122.88598 108.513125) - (xy 122.883292 108.507849) - (xy 122.792151 108.416708) - (xy 122.779436 108.410229) - (xy 122.690139 108.36473) - (xy 122.677306 108.358191) - (xy 122.55 108.338028) - (xy 122.422694 108.358191) - (xy 122.409861 108.36473) - (xy 122.320565 108.410229) - (xy 122.307849 108.416708) - (xy 122.216708 108.507849) - (xy 122.21402 108.513125) - (xy 122.214019 108.513126) - (xy 122.200443 108.539771) - (xy 122.158191 108.622694) - (xy 122.138028 108.75) - (xy 115.157922 108.75) - (xy 115.137957 108.623945) - (xy 115.09238 108.534494) - (xy 115.082705 108.515506) - (xy 115.082704 108.515505) - (xy 115.080016 108.510229) - (xy 114.989771 108.419984) - (xy 114.983342 108.416708) - (xy 114.903555 108.376055) - (xy 114.876055 108.362043) - (xy 114.75 108.342078) - (xy 114.623945 108.362043) - (xy 114.596445 108.376055) - (xy 114.516659 108.416708) - (xy 114.510229 108.419984) - (xy 114.419984 108.510229) - (xy 114.417296 108.515505) - (xy 114.417295 108.515506) - (xy 114.40762 108.534494) - (xy 114.362043 108.623945) - (xy 114.342078 108.75) - (xy 108.369787 108.75) - (xy 108.430016 108.689771) - (xy 108.487957 108.576055) - (xy 108.507922 108.45) - (xy 108.487957 108.323945) - (xy 108.45028 108.25) - (xy 118.242078 108.25) - (xy 118.262043 108.376055) - (xy 118.319984 108.489771) - (xy 118.410229 108.580016) - (xy 118.523945 108.637957) - (xy 118.561853 108.643961) - (xy 118.636899 108.655847) - (xy 118.65 108.657922) - (xy 118.663102 108.655847) - (xy 118.738147 108.643961) - (xy 118.776055 108.637957) - (xy 118.889771 108.580016) - (xy 118.980016 108.489771) - (xy 119.037957 108.376055) - (xy 119.057922 108.25) - (xy 119.037957 108.123945) - (xy 118.992775 108.03527) - (xy 118.982705 108.015506) - (xy 118.982704 108.015505) - (xy 118.980016 108.010229) - (xy 118.889771 107.919984) - (xy 118.87946 107.91473) - (xy 118.803555 107.876055) - (xy 118.776055 107.862043) - (xy 118.65 107.842078) - (xy 118.523945 107.862043) - (xy 118.496445 107.876055) - (xy 118.420541 107.91473) - (xy 118.410229 107.919984) - (xy 118.319984 108.010229) - (xy 118.317296 108.015505) - (xy 118.317295 108.015506) - (xy 118.307225 108.03527) - (xy 118.262043 108.123945) - (xy 118.242078 108.25) - (xy 108.45028 108.25) - (xy 108.446244 108.242078) - (xy 108.432705 108.215506) - (xy 108.432704 108.215505) - (xy 108.430016 108.210229) - (xy 108.339771 108.119984) - (xy 108.226055 108.062043) - (xy 108.1 108.042078) - (xy 107.973945 108.062043) - (xy 107.860229 108.119984) - (xy 107.769984 108.210229) - (xy 107.767296 108.215505) - (xy 107.767295 108.215506) - (xy 107.753756 108.242078) - (xy 107.712043 108.323945) - (xy 107.692078 108.45) - (xy 84.550003 108.45) - (xy 84.554351 108.422545) - (xy 84.556996 108.405847) - (xy 84.557922 108.4) - (xy 84.551154 108.357265) - (xy 84.538883 108.279792) - (xy 84.537957 108.273945) - (xy 84.480016 108.160229) - (xy 84.389771 108.069984) - (xy 84.380983 108.065506) - (xy 84.303555 108.026055) - (xy 84.276055 108.012043) - (xy 84.15 107.992078) - (xy 84.023945 108.012043) - (xy 83.996445 108.026055) - (xy 83.919018 108.065506) - (xy 83.910229 108.069984) - (xy 83.819984 108.160229) - (xy 83.762043 108.273945) - (xy 83.761117 108.279792) - (xy 83.748847 108.357265) - (xy 83.742078 108.4) - (xy 83.092084 108.4) - (xy 83.107922 108.3) - (xy 83.087957 108.173945) - (xy 83.037963 108.075826) - (xy 83.032705 108.065506) - (xy 83.032704 108.065505) - (xy 83.030016 108.060229) - (xy 82.939771 107.969984) - (xy 82.826055 107.912043) - (xy 82.750018 107.9) - (xy 90.042078 107.9) - (xy 90.062043 108.026055) - (xy 90.079908 108.061117) - (xy 90.109234 108.118672) - (xy 90.119984 108.139771) - (xy 90.210229 108.230016) - (xy 90.215505 108.232704) - (xy 90.215506 108.232705) - (xy 90.24945 108.25) - (xy 90.323945 108.287957) - (xy 90.329792 108.288883) - (xy 90.436899 108.305847) - (xy 90.45 108.307922) - (xy 90.463102 108.305847) - (xy 90.570208 108.288883) - (xy 90.576055 108.287957) - (xy 90.65055 108.25) - (xy 90.684494 108.232705) - (xy 90.684495 108.232704) - (xy 90.689771 108.230016) - (xy 90.780016 108.139771) - (xy 90.790767 108.118672) - (xy 90.820092 108.061117) - (xy 90.837957 108.026055) - (xy 90.857922 107.9) - (xy 97.042078 107.9) - (xy 97.062043 108.026055) - (xy 97.079908 108.061117) - (xy 97.109234 108.118672) - (xy 97.119984 108.139771) - (xy 97.210229 108.230016) - (xy 97.215505 108.232704) - (xy 97.215506 108.232705) - (xy 97.24945 108.25) - (xy 97.323945 108.287957) - (xy 97.329792 108.288883) - (xy 97.436899 108.305847) - (xy 97.45 108.307922) - (xy 97.463102 108.305847) - (xy 97.570208 108.288883) - (xy 97.576055 108.287957) - (xy 97.65055 108.25) - (xy 97.684494 108.232705) - (xy 97.684495 108.232704) - (xy 97.689771 108.230016) - (xy 97.780016 108.139771) - (xy 97.790767 108.118672) - (xy 97.820092 108.061117) - (xy 97.837957 108.026055) - (xy 97.857922 107.9) - (xy 97.837957 107.773945) - (xy 97.780016 107.660229) - (xy 97.769787 107.65) - (xy 108.542078 107.65) - (xy 108.562043 107.776055) - (xy 108.619984 107.889771) - (xy 108.710229 107.980016) - (xy 108.715505 107.982704) - (xy 108.715506 107.982705) - (xy 108.745994 107.998239) - (xy 108.823945 108.037957) - (xy 108.95 108.057922) - (xy 109.076055 108.037957) - (xy 109.154006 107.998239) - (xy 109.184494 107.982705) - (xy 109.184495 107.982704) - (xy 109.189771 107.980016) - (xy 109.280016 107.889771) - (xy 109.337957 107.776055) - (xy 109.342084 107.75) - (xy 114.342078 107.75) - (xy 114.362043 107.876055) - (xy 114.374244 107.9) - (xy 114.416384 107.982705) - (xy 114.419984 107.989771) - (xy 114.510229 108.080016) - (xy 114.623945 108.137957) - (xy 114.75 108.157922) - (xy 114.876055 108.137957) - (xy 114.989771 108.080016) - (xy 115.080016 107.989771) - (xy 115.083617 107.982705) - (xy 115.125756 107.9) - (xy 115.137957 107.876055) - (xy 115.157922 107.75) - (xy 122.142078 107.75) - (xy 122.162043 107.876055) - (xy 122.174244 107.9) - (xy 122.216384 107.982705) - (xy 122.219984 107.989771) - (xy 122.310229 108.080016) - (xy 122.423945 108.137957) - (xy 122.55 108.157922) - (xy 122.676055 108.137957) - (xy 122.789771 108.080016) - (xy 122.880016 107.989771) - (xy 122.883617 107.982705) - (xy 122.925756 107.9) - (xy 122.937957 107.876055) - (xy 122.957922 107.75) - (xy 122.937957 107.623945) - (xy 122.907079 107.563344) - (xy 122.882705 107.515506) - (xy 122.882704 107.515505) - (xy 122.880016 107.510229) - (xy 122.789771 107.419984) - (xy 122.780983 107.415506) - (xy 122.727279 107.388143) - (xy 122.676055 107.362043) - (xy 122.55 107.342078) - (xy 122.423945 107.362043) - (xy 122.372721 107.388143) - (xy 122.319018 107.415506) - (xy 122.310229 107.419984) - (xy 122.219984 107.510229) - (xy 122.217296 107.515505) - (xy 122.217295 107.515506) - (xy 122.192921 107.563344) - (xy 122.162043 107.623945) - (xy 122.142078 107.75) - (xy 115.157922 107.75) - (xy 115.137957 107.623945) - (xy 115.107079 107.563344) - (xy 115.082705 107.515506) - (xy 115.082704 107.515505) - (xy 115.080016 107.510229) - (xy 114.989771 107.419984) - (xy 114.980983 107.415506) - (xy 114.927279 107.388143) - (xy 114.876055 107.362043) - (xy 114.75 107.342078) - (xy 114.623945 107.362043) - (xy 114.572721 107.388143) - (xy 114.519018 107.415506) - (xy 114.510229 107.419984) - (xy 114.419984 107.510229) - (xy 114.417296 107.515505) - (xy 114.417295 107.515506) - (xy 114.392921 107.563344) - (xy 114.362043 107.623945) - (xy 114.342078 107.75) - (xy 109.342084 107.75) - (xy 109.357922 107.65) - (xy 109.352078 107.613099) - (xy 109.338883 107.529792) - (xy 109.337957 107.523945) - (xy 109.292775 107.43527) - (xy 109.282705 107.415506) - (xy 109.282704 107.415505) - (xy 109.280016 107.410229) - (xy 109.189771 107.319984) - (xy 109.160136 107.304884) - (xy 109.120118 107.284494) - (xy 109.076055 107.262043) - (xy 108.95 107.242078) - (xy 108.823945 107.262043) - (xy 108.779882 107.284494) - (xy 108.739865 107.304884) - (xy 108.710229 107.319984) - (xy 108.619984 107.410229) - (xy 108.617296 107.415505) - (xy 108.617295 107.415506) - (xy 108.607225 107.43527) - (xy 108.562043 107.523945) - (xy 108.561117 107.529792) - (xy 108.547923 107.613099) - (xy 108.542078 107.65) - (xy 97.769787 107.65) - (xy 97.689771 107.569984) - (xy 97.576055 107.512043) - (xy 97.45 107.492078) - (xy 97.323945 107.512043) - (xy 97.210229 107.569984) - (xy 97.119984 107.660229) - (xy 97.062043 107.773945) - (xy 97.042078 107.9) - (xy 90.857922 107.9) - (xy 90.837957 107.773945) - (xy 90.780016 107.660229) - (xy 90.689771 107.569984) - (xy 90.576055 107.512043) - (xy 90.45 107.492078) - (xy 90.323945 107.512043) - (xy 90.210229 107.569984) - (xy 90.119984 107.660229) - (xy 90.062043 107.773945) - (xy 90.042078 107.9) - (xy 82.750018 107.9) - (xy 82.705847 107.893004) - (xy 82.7 107.892078) - (xy 82.694153 107.893004) - (xy 82.649982 107.9) - (xy 82.573945 107.912043) - (xy 82.460229 107.969984) - (xy 82.369984 108.060229) - (xy 82.367296 108.065505) - (xy 82.367295 108.065506) - (xy 82.362037 108.075826) - (xy 82.312043 108.173945) - (xy 82.292078 108.3) - (xy 80.00055 108.3) - (xy 79.939065 108.268672) - (xy 79.926055 108.262043) - (xy 79.850018 108.25) - (xy 79.805847 108.243004) - (xy 79.8 108.242078) - (xy 79.794153 108.243004) - (xy 79.749982 108.25) - (xy 79.673945 108.262043) - (xy 79.660935 108.268672) - (xy 79.587975 108.305847) - (xy 79.560229 108.319984) - (xy 79.469984 108.410229) - (xy 79.467296 108.415505) - (xy 79.467295 108.415506) - (xy 79.452699 108.444153) - (xy 79.412043 108.523945) - (xy 79.392078 108.65) - (xy 65.1379 108.65) - (xy 65.1379 107.65) - (xy 79.642078 107.65) - (xy 79.662043 107.776055) - (xy 79.719984 107.889771) - (xy 79.810229 107.980016) - (xy 79.815505 107.982704) - (xy 79.815506 107.982705) - (xy 79.845994 107.998239) - (xy 79.923945 108.037957) - (xy 80.05 108.057922) - (xy 80.176055 108.037957) - (xy 80.254006 107.998239) - (xy 80.284494 107.982705) - (xy 80.284495 107.982704) - (xy 80.289771 107.980016) - (xy 80.380016 107.889771) - (xy 80.437957 107.776055) - (xy 80.457922 107.65) - (xy 80.452078 107.613099) - (xy 80.438883 107.529792) - (xy 80.437957 107.523945) - (xy 80.392775 107.43527) - (xy 80.382705 107.415506) - (xy 80.382704 107.415505) - (xy 80.380016 107.410229) - (xy 80.369787 107.4) - (xy 83.942078 107.4) - (xy 83.962043 107.526055) - (xy 83.96473 107.531328) - (xy 84.009234 107.618672) - (xy 84.019984 107.639771) - (xy 84.110229 107.730016) - (xy 84.115505 107.732704) - (xy 84.115506 107.732705) - (xy 84.14945 107.75) - (xy 84.223945 107.787957) - (xy 84.35 107.807922) - (xy 84.476055 107.787957) - (xy 84.55055 107.75) - (xy 84.584494 107.732705) - (xy 84.584495 107.732704) - (xy 84.589771 107.730016) - (xy 84.680016 107.639771) - (xy 84.690767 107.618672) - (xy 84.73527 107.531328) - (xy 84.737957 107.526055) - (xy 84.757922 107.4) - (xy 84.752257 107.364229) - (xy 84.739344 107.282705) - (xy 84.737957 107.273945) - (xy 84.69238 107.184494) - (xy 84.682705 107.165506) - (xy 84.682704 107.165505) - (xy 84.680016 107.160229) - (xy 84.589771 107.069984) - (xy 84.562026 107.055847) - (xy 84.493958 107.021165) - (xy 84.476055 107.012043) - (xy 84.35 106.992078) - (xy 84.223945 107.012043) - (xy 84.206042 107.021165) - (xy 84.137975 107.055847) - (xy 84.110229 107.069984) - (xy 84.019984 107.160229) - (xy 84.017296 107.165505) - (xy 84.017295 107.165506) - (xy 84.00762 107.184494) - (xy 83.962043 107.273945) - (xy 83.960656 107.282705) - (xy 83.947744 107.364229) - (xy 83.942078 107.4) - (xy 80.369787 107.4) - (xy 80.289771 107.319984) - (xy 80.260136 107.304884) - (xy 80.220118 107.284494) - (xy 80.176055 107.262043) - (xy 80.05 107.242078) - (xy 79.923945 107.262043) - (xy 79.879882 107.284494) - (xy 79.839865 107.304884) - (xy 79.810229 107.319984) - (xy 79.719984 107.410229) - (xy 79.717296 107.415505) - (xy 79.717295 107.415506) - (xy 79.707225 107.43527) - (xy 79.662043 107.523945) - (xy 79.661117 107.529792) - (xy 79.647923 107.613099) - (xy 79.642078 107.65) - (xy 65.1379 107.65) - (xy 65.1379 107.572292) - (xy 65.129408 107.518672) - (xy 65.123883 107.483792) - (xy 65.122957 107.477945) - (xy 65.065016 107.364229) - (xy 64.974771 107.273984) - (xy 64.965098 107.269055) - (xy 64.893756 107.232705) - (xy 64.861055 107.216043) - (xy 64.83004 107.211131) - (xy 64.769626 107.201562) - (xy 64.769624 107.201562) - (xy 64.766708 107.2011) - (xy 63.503292 107.2011) - (xy 63.500376 107.201562) - (xy 63.500374 107.201562) - (xy 63.43996 107.211131) - (xy 63.408945 107.216043) - (xy 63.376244 107.232705) - (xy 63.304903 107.269055) - (xy 63.295229 107.273984) - (xy 63.204984 107.364229) - (xy 63.147043 107.477945) - (xy 63.146117 107.483792) - (xy 63.140593 107.518672) - (xy 63.1321 107.572292) - (xy 63.1321 108.835708) - (xy 62.014576 108.835708) - (xy 61.604377 108.425509) - (xy 61.594578 108.42094) - (xy 61.588587 108.422545) - (xy 61.007852 109.00328) - (xy 61.003283 109.013079) - (xy 46.101 109.013079) - (xy 46.101 108.193608) - (xy 60.588156 108.193608) - (xy 60.60399 108.382161) - (xy 60.605312 108.389363) - (xy 60.65747 108.571261) - (xy 60.660164 108.578063) - (xy 60.746657 108.746363) - (xy 60.750623 108.752518) - (xy 60.779406 108.788832) - (xy 60.788614 108.794497) - (xy 60.793022 108.793846) - (xy 61.373491 108.213377) - (xy 61.377666 108.204422) - (xy 61.81194 108.204422) - (xy 61.813545 108.210413) - (xy 62.394643 108.791511) - (xy 62.404442 108.79608) - (xy 62.409291 108.794781) - (xy 62.415668 108.787393) - (xy 62.419884 108.781417) - (xy 62.513354 108.61688) - (xy 62.51633 108.610195) - (xy 62.576059 108.430641) - (xy 62.57768 108.423507) - (xy 62.601593 108.234215) - (xy 62.601886 108.23002) - (xy 62.602221 108.206099) - (xy 62.602045 108.201907) - (xy 62.583427 108.012024) - (xy 62.582001 108.004828) - (xy 62.527312 107.823688) - (xy 62.524524 107.816922) - (xy 62.435686 107.649843) - (xy 62.431635 107.643745) - (xy 62.411154 107.618633) - (xy 62.40187 107.613099) - (xy 62.397292 107.61384) - (xy 61.816509 108.194623) - (xy 61.81194 108.204422) - (xy 61.377666 108.204422) - (xy 61.37806 108.203578) - (xy 61.376455 108.197587) - (xy 60.796049 107.617181) - (xy 60.78625 107.612612) - (xy 60.78159 107.613861) - (xy 60.766269 107.632119) - (xy 60.76213 107.638163) - (xy 60.670975 107.803975) - (xy 60.668088 107.810711) - (xy 60.610874 107.991074) - (xy 60.609351 107.998239) - (xy 60.588259 108.186275) - (xy 60.588156 108.193608) - (xy 46.101 108.193608) - (xy 46.101 107.397389) - (xy 61.004319 107.397389) - (xy 61.005014 107.401882) - (xy 61.585623 107.982491) - (xy 61.595422 107.98706) - (xy 61.601413 107.985455) - (xy 62.181525 107.405343) - (xy 62.186094 107.395544) - (xy 62.18487 107.390976) - (xy 62.161078 107.371294) - (xy 62.155016 107.367205) - (xy 61.988557 107.277201) - (xy 61.981813 107.274366) - (xy 61.801047 107.21841) - (xy 61.79388 107.216939) - (xy 61.605687 107.197159) - (xy 61.598374 107.197108) - (xy 61.409918 107.214258) - (xy 61.402732 107.215629) - (xy 61.221208 107.269055) - (xy 61.214421 107.271797) - (xy 61.046721 107.359468) - (xy 61.040603 107.363471) - (xy 61.009918 107.388143) - (xy 61.004319 107.397389) - (xy 46.101 107.397389) - (xy 46.101 106.9) - (xy 84.842078 106.9) - (xy 84.862043 107.026055) - (xy 84.919984 107.139771) - (xy 85.010229 107.230016) - (xy 85.123945 107.287957) - (xy 85.186973 107.29794) - (xy 85.230819 107.304884) - (xy 85.25 107.307922) - (xy 85.269182 107.304884) - (xy 85.313027 107.29794) - (xy 85.376055 107.287957) - (xy 85.489771 107.230016) - (xy 85.580016 107.139771) - (xy 85.637957 107.026055) - (xy 85.657922 106.9) - (xy 89.642078 106.9) - (xy 89.662043 107.026055) - (xy 89.719984 107.139771) - (xy 89.810229 107.230016) - (xy 89.923945 107.287957) - (xy 89.986973 107.29794) - (xy 90.030819 107.304884) - (xy 90.05 107.307922) - (xy 90.069182 107.304884) - (xy 90.113027 107.29794) - (xy 90.176055 107.287957) - (xy 90.289771 107.230016) - (xy 90.380016 107.139771) - (xy 90.437957 107.026055) - (xy 90.450003 106.95) - (xy 97.392078 106.95) - (xy 97.412043 107.076055) - (xy 97.469984 107.189771) - (xy 97.560229 107.280016) - (xy 97.565505 107.282704) - (xy 97.565506 107.282705) - (xy 97.59945 107.3) - (xy 97.673945 107.337957) - (xy 97.8 107.357922) - (xy 97.926055 107.337957) - (xy 98.00055 107.3) - (xy 98.034494 107.282705) - (xy 98.034495 107.282704) - (xy 98.039771 107.280016) - (xy 98.130016 107.189771) - (xy 98.187957 107.076055) - (xy 98.207922 106.95) - (xy 98.187957 106.823945) - (xy 98.15028 106.75) - (xy 109.292078 106.75) - (xy 109.293004 106.755847) - (xy 109.293873 106.761331) - (xy 109.312043 106.876055) - (xy 109.369984 106.989771) - (xy 109.460229 107.080016) - (xy 109.573945 107.137957) - (xy 109.7 107.157922) - (xy 109.826055 107.137957) - (xy 109.939771 107.080016) - (xy 109.969787 107.05) - (xy 122.842078 107.05) - (xy 122.862043 107.176055) - (xy 122.881508 107.214258) - (xy 122.916384 107.282705) - (xy 122.919984 107.289771) - (xy 123.010229 107.380016) - (xy 123.015505 107.382704) - (xy 123.015506 107.382705) - (xy 123.059936 107.405343) - (xy 123.123945 107.437957) - (xy 123.25 107.457922) - (xy 123.376055 107.437957) - (xy 123.440064 107.405343) - (xy 123.484494 107.382705) - (xy 123.484495 107.382704) - (xy 123.489771 107.380016) - (xy 123.580016 107.289771) - (xy 123.583617 107.282705) - (xy 123.618492 107.214258) - (xy 123.637957 107.176055) - (xy 123.657922 107.05) - (xy 125.142078 107.05) - (xy 125.162043 107.176055) - (xy 125.181508 107.214258) - (xy 125.216384 107.282705) - (xy 125.219984 107.289771) - (xy 125.310229 107.380016) - (xy 125.315505 107.382704) - (xy 125.315506 107.382705) - (xy 125.359936 107.405343) - (xy 125.423945 107.437957) - (xy 125.55 107.457922) - (xy 125.676055 107.437957) - (xy 125.740064 107.405343) - (xy 125.784494 107.382705) - (xy 125.784495 107.382704) - (xy 125.789771 107.380016) - (xy 125.869787 107.3) - (xy 126.892329 107.3) - (xy 126.911331 107.444336) - (xy 126.913216 107.448888) - (xy 126.913217 107.44889) - (xy 126.940811 107.515506) - (xy 126.967043 107.578835) - (xy 126.970039 107.582739) - (xy 126.970041 107.582743) - (xy 127.017163 107.644153) - (xy 127.055667 107.694333) - (xy 127.171164 107.782957) - (xy 127.185471 107.788883) - (xy 127.30111 107.836783) - (xy 127.301112 107.836784) - (xy 127.305664 107.838669) - (xy 127.45 107.857671) - (xy 127.594336 107.838669) - (xy 127.598888 107.836784) - (xy 127.59889 107.836783) - (xy 127.714529 107.788883) - (xy 127.728836 107.782957) - (xy 127.844333 107.694333) - (xy 127.882837 107.644153) - (xy 127.929959 107.582743) - (xy 127.929961 107.582739) - (xy 127.932957 107.578835) - (xy 127.959189 107.515506) - (xy 127.986783 107.44889) - (xy 127.986784 107.448888) - (xy 127.988669 107.444336) - (xy 128.007671 107.3) - (xy 127.988669 107.155664) - (xy 127.983822 107.143961) - (xy 127.954914 107.074174) - (xy 127.932957 107.021165) - (xy 127.929961 107.017261) - (xy 127.929959 107.017257) - (xy 127.847331 106.909574) - (xy 127.844333 106.905667) - (xy 127.728836 106.817043) - (xy 127.612059 106.768672) - (xy 127.59889 106.763217) - (xy 127.598888 106.763216) - (xy 127.594336 106.761331) - (xy 127.45 106.742329) - (xy 127.305664 106.761331) - (xy 127.301112 106.763216) - (xy 127.30111 106.763217) - (xy 127.231368 106.792106) - (xy 127.171165 106.817043) - (xy 127.167261 106.820039) - (xy 127.167257 106.820041) - (xy 127.101878 106.870208) - (xy 127.055667 106.905667) - (xy 127.052669 106.909574) - (xy 126.970041 107.017257) - (xy 126.970039 107.017261) - (xy 126.967043 107.021165) - (xy 126.945086 107.074174) - (xy 126.916179 107.143961) - (xy 126.911331 107.155664) - (xy 126.892329 107.3) - (xy 125.869787 107.3) - (xy 125.880016 107.289771) - (xy 125.883617 107.282705) - (xy 125.918492 107.214258) - (xy 125.937957 107.176055) - (xy 125.957922 107.05) - (xy 125.937957 106.923945) - (xy 125.887005 106.823945) - (xy 125.882705 106.815506) - (xy 125.882704 106.815505) - (xy 125.880016 106.810229) - (xy 125.789771 106.719984) - (xy 125.780983 106.715506) - (xy 125.723036 106.685981) - (xy 125.676055 106.662043) - (xy 125.600466 106.650071) - (xy 125.555847 106.643004) - (xy 125.55 106.642078) - (xy 125.544153 106.643004) - (xy 125.499534 106.650071) - (xy 125.423945 106.662043) - (xy 125.376964 106.685981) - (xy 125.319018 106.715506) - (xy 125.310229 106.719984) - (xy 125.219984 106.810229) - (xy 125.217296 106.815505) - (xy 125.217295 106.815506) - (xy 125.212995 106.823945) - (xy 125.162043 106.923945) - (xy 125.142078 107.05) - (xy 123.657922 107.05) - (xy 123.637957 106.923945) - (xy 123.587005 106.823945) - (xy 123.582705 106.815506) - (xy 123.582704 106.815505) - (xy 123.580016 106.810229) - (xy 123.489771 106.719984) - (xy 123.480983 106.715506) - (xy 123.423036 106.685981) - (xy 123.376055 106.662043) - (xy 123.300466 106.650071) - (xy 123.255847 106.643004) - (xy 123.25 106.642078) - (xy 123.244153 106.643004) - (xy 123.199534 106.650071) - (xy 123.123945 106.662043) - (xy 123.076964 106.685981) - (xy 123.019018 106.715506) - (xy 123.010229 106.719984) - (xy 122.919984 106.810229) - (xy 122.917296 106.815505) - (xy 122.917295 106.815506) - (xy 122.912995 106.823945) - (xy 122.862043 106.923945) - (xy 122.842078 107.05) - (xy 109.969787 107.05) - (xy 110.030016 106.989771) - (xy 110.087957 106.876055) - (xy 110.106127 106.761331) - (xy 110.106996 106.755847) - (xy 110.107922 106.75) - (xy 110.087957 106.623945) - (xy 110.046244 106.542078) - (xy 110.032705 106.515506) - (xy 110.032704 106.515505) - (xy 110.030016 106.510229) - (xy 109.939771 106.419984) - (xy 109.826055 106.362043) - (xy 109.750018 106.35) - (xy 114.342078 106.35) - (xy 114.343004 106.355847) - (xy 114.343985 106.362043) - (xy 114.362043 106.476055) - (xy 114.419984 106.589771) - (xy 114.510229 106.680016) - (xy 114.515505 106.682704) - (xy 114.515506 106.682705) - (xy 114.521936 106.685981) - (xy 114.623945 106.737957) - (xy 114.655609 106.742972) - (xy 114.736899 106.755847) - (xy 114.75 106.757922) - (xy 114.763102 106.755847) - (xy 114.844391 106.742972) - (xy 114.876055 106.737957) - (xy 114.978064 106.685981) - (xy 114.984494 106.682705) - (xy 114.984495 106.682704) - (xy 114.989771 106.680016) - (xy 115.080016 106.589771) - (xy 115.137957 106.476055) - (xy 115.156015 106.362043) - (xy 115.156996 106.355847) - (xy 115.157922 106.35) - (xy 122.138028 106.35) - (xy 122.158191 106.477306) - (xy 122.165718 106.492078) - (xy 122.212807 106.584494) - (xy 122.216708 106.592151) - (xy 122.307849 106.683292) - (xy 122.422694 106.741809) - (xy 122.55 106.761972) - (xy 122.677306 106.741809) - (xy 122.792151 106.683292) - (xy 122.883292 106.592151) - (xy 122.887194 106.584494) - (xy 122.934282 106.492078) - (xy 122.941809 106.477306) - (xy 122.961972 106.35) - (xy 122.941809 106.222694) - (xy 122.927398 106.19441) - (xy 125.992477 106.19441) - (xy 125.993171 106.199717) - (xy 125.993171 106.19972) - (xy 125.998661 106.241699) - (xy 126.009298 106.323046) - (xy 126.011457 106.327952) - (xy 126.011457 106.327953) - (xy 126.051952 106.419984) - (xy 126.061547 106.441791) - (xy 126.145023 106.541098) - (xy 126.176489 106.562043) - (xy 126.240335 106.604542) - (xy 126.253017 106.612984) - (xy 126.376845 106.651671) - (xy 126.443716 106.652896) - (xy 126.501193 106.65395) - (xy 126.501195 106.65395) - (xy 126.506555 106.654048) - (xy 126.511726 106.652638) - (xy 126.511728 106.652638) - (xy 126.595526 106.629792) - (xy 126.631718 106.619925) - (xy 126.742273 106.552044) - (xy 126.745865 106.548076) - (xy 126.745867 106.548074) - (xy 126.795439 106.493307) - (xy 126.829332 106.455863) - (xy 126.885897 106.339112) - (xy 126.90742 106.211179) - (xy 126.907557 106.2) - (xy 126.907145 106.197122) - (xy 126.889925 106.076876) - (xy 126.889924 106.076873) - (xy 126.889166 106.071579) - (xy 126.864484 106.017295) - (xy 126.837689 105.958362) - (xy 126.837688 105.958361) - (xy 126.83547 105.953482) - (xy 126.827969 105.944776) - (xy 126.754282 105.859258) - (xy 126.754281 105.859257) - (xy 126.750787 105.855202) - (xy 126.741919 105.849454) - (xy 126.646416 105.787552) - (xy 126.646415 105.787551) - (xy 126.641923 105.78464) - (xy 126.599367 105.771913) - (xy 126.522765 105.749004) - (xy 126.522762 105.749004) - (xy 126.517631 105.747469) - (xy 126.512276 105.747436) - (xy 126.512274 105.747436) - (xy 126.454164 105.747081) - (xy 126.387902 105.746676) - (xy 126.263166 105.782326) - (xy 126.153448 105.851553) - (xy 126.06757 105.948791) - (xy 126.065294 105.953638) - (xy 126.065293 105.95364) - (xy 126.034145 106.019984) - (xy 126.012436 106.066223) - (xy 125.992477 106.19441) - (xy 122.927398 106.19441) - (xy 122.897264 106.13527) - (xy 122.885981 106.113126) - (xy 122.88598 106.113125) - (xy 122.883292 106.107849) - (xy 122.792151 106.016708) - (xy 122.677306 105.958191) - (xy 122.55 105.938028) - (xy 122.422694 105.958191) - (xy 122.307849 106.016708) - (xy 122.216708 106.107849) - (xy 122.21402 106.113125) - (xy 122.214019 106.113126) - (xy 122.202736 106.13527) - (xy 122.158191 106.222694) - (xy 122.138028 106.35) - (xy 115.157922 106.35) - (xy 115.137957 106.223945) - (xy 115.095068 106.139771) - (xy 115.082705 106.115506) - (xy 115.082704 106.115505) - (xy 115.080016 106.110229) - (xy 114.989771 106.019984) - (xy 114.983342 106.016708) - (xy 114.929713 105.989383) - (xy 114.876055 105.962043) - (xy 114.75 105.942078) - (xy 114.623945 105.962043) - (xy 114.570287 105.989383) - (xy 114.516659 106.016708) - (xy 114.510229 106.019984) - (xy 114.419984 106.110229) - (xy 114.417296 106.115505) - (xy 114.417295 106.115506) - (xy 114.404932 106.139771) - (xy 114.362043 106.223945) - (xy 114.342078 106.35) - (xy 109.750018 106.35) - (xy 109.705847 106.343004) - (xy 109.7 106.342078) - (xy 109.694153 106.343004) - (xy 109.649982 106.35) - (xy 109.573945 106.362043) - (xy 109.460229 106.419984) - (xy 109.369984 106.510229) - (xy 109.367296 106.515505) - (xy 109.367295 106.515506) - (xy 109.353756 106.542078) - (xy 109.312043 106.623945) - (xy 109.292078 106.75) - (xy 98.15028 106.75) - (xy 98.142775 106.73527) - (xy 98.132705 106.715506) - (xy 98.132704 106.715505) - (xy 98.130016 106.710229) - (xy 98.039771 106.619984) - (xy 98.034153 106.617121) - (xy 97.978186 106.588605) - (xy 97.926055 106.562043) - (xy 97.8 106.542078) - (xy 97.673945 106.562043) - (xy 97.621814 106.588605) - (xy 97.565848 106.617121) - (xy 97.560229 106.619984) - (xy 97.469984 106.710229) - (xy 97.467296 106.715505) - (xy 97.467295 106.715506) - (xy 97.457225 106.73527) - (xy 97.412043 106.823945) - (xy 97.392078 106.95) - (xy 90.450003 106.95) - (xy 90.457922 106.9) - (xy 90.437957 106.773945) - (xy 90.380016 106.660229) - (xy 90.289771 106.569984) - (xy 90.27946 106.56473) - (xy 90.184867 106.516533) - (xy 90.176055 106.512043) - (xy 90.05 106.492078) - (xy 89.923945 106.512043) - (xy 89.915133 106.516533) - (xy 89.820541 106.56473) - (xy 89.810229 106.569984) - (xy 89.719984 106.660229) - (xy 89.662043 106.773945) - (xy 89.642078 106.9) - (xy 85.657922 106.9) - (xy 85.637957 106.773945) - (xy 85.580016 106.660229) - (xy 85.489771 106.569984) - (xy 85.47946 106.56473) - (xy 85.384867 106.516533) - (xy 85.376055 106.512043) - (xy 85.25 106.492078) - (xy 85.123945 106.512043) - (xy 85.115133 106.516533) - (xy 85.020541 106.56473) - (xy 85.010229 106.569984) - (xy 84.919984 106.660229) - (xy 84.862043 106.773945) - (xy 84.842078 106.9) - (xy 46.101 106.9) - (xy 46.101 105.64993) - (xy 60.587345 105.64993) - (xy 60.587653 105.653598) - (xy 60.587653 105.653601) - (xy 60.597316 105.768672) - (xy 60.603803 105.845919) - (xy 60.658015 106.034979) - (xy 60.747916 106.209908) - (xy 60.870083 106.364044) - (xy 60.872877 106.366422) - (xy 60.872878 106.366423) - (xy 60.961435 106.441791) - (xy 61.019862 106.491516) - (xy 61.023063 106.493305) - (xy 61.023066 106.493307) - (xy 61.061656 106.514874) - (xy 61.191547 106.587467) - (xy 61.195044 106.588603) - (xy 61.195048 106.588605) - (xy 61.275002 106.614583) - (xy 61.3786 106.648244) - (xy 61.479107 106.660229) - (xy 61.570237 106.671096) - (xy 61.570239 106.671096) - (xy 61.573895 106.671532) - (xy 61.769994 106.656443) - (xy 61.900787 106.619925) - (xy 61.955883 106.604542) - (xy 61.955885 106.604541) - (xy 61.959428 106.603552) - (xy 62.134981 106.514874) - (xy 62.289966 106.393786) - (xy 62.41848 106.244901) - (xy 62.443987 106.2) - (xy 62.51381 106.077091) - (xy 62.513812 106.077088) - (xy 62.515628 106.07389) - (xy 62.563323 105.930516) - (xy 62.576548 105.89076) - (xy 62.576549 105.890757) - (xy 62.57771 105.887266) - (xy 62.60236 105.692138) - (xy 62.602753 105.664) - (xy 62.602383 105.660229) - (xy 62.601373 105.64993) - (xy 63.127345 105.64993) - (xy 63.127653 105.653598) - (xy 63.127653 105.653601) - (xy 63.137316 105.768672) - (xy 63.143803 105.845919) - (xy 63.198015 106.034979) - (xy 63.287916 106.209908) - (xy 63.410083 106.364044) - (xy 63.412877 106.366422) - (xy 63.412878 106.366423) - (xy 63.501435 106.441791) - (xy 63.559862 106.491516) - (xy 63.563063 106.493305) - (xy 63.563066 106.493307) - (xy 63.601656 106.514874) - (xy 63.731547 106.587467) - (xy 63.735044 106.588603) - (xy 63.735048 106.588605) - (xy 63.815002 106.614583) - (xy 63.9186 106.648244) - (xy 64.019107 106.660229) - (xy 64.110237 106.671096) - (xy 64.110239 106.671096) - (xy 64.113895 106.671532) - (xy 64.309994 106.656443) - (xy 64.440787 106.619925) - (xy 64.495883 106.604542) - (xy 64.495885 106.604541) - (xy 64.499428 106.603552) - (xy 64.674981 106.514874) - (xy 64.829966 106.393786) - (xy 64.95848 106.244901) - (xy 64.983987 106.2) - (xy 65.05381 106.077091) - (xy 65.053812 106.077088) - (xy 65.055628 106.07389) - (xy 65.103323 105.930516) - (xy 65.116548 105.89076) - (xy 65.116549 105.890757) - (xy 65.11771 105.887266) - (xy 65.14236 105.692138) - (xy 65.142753 105.664) - (xy 65.142383 105.660229) - (xy 65.136527 105.6005) - (xy 80.618078 105.6005) - (xy 80.638043 105.726555) - (xy 80.695984 105.840271) - (xy 80.786229 105.930516) - (xy 80.791505 105.933204) - (xy 80.791506 105.933205) - (xy 80.838727 105.957265) - (xy 80.899945 105.988457) - (xy 81.026 106.008422) - (xy 81.152055 105.988457) - (xy 81.213273 105.957265) - (xy 81.260494 105.933205) - (xy 81.260495 105.933204) - (xy 81.265771 105.930516) - (xy 81.296287 105.9) - (xy 84.842078 105.9) - (xy 84.862043 106.026055) - (xy 84.919984 106.139771) - (xy 85.010229 106.230016) - (xy 85.015505 106.232704) - (xy 85.015506 106.232705) - (xy 85.044912 106.247688) - (xy 85.123945 106.287957) - (xy 85.25 106.307922) - (xy 85.376055 106.287957) - (xy 85.455088 106.247688) - (xy 85.484494 106.232705) - (xy 85.484495 106.232704) - (xy 85.489771 106.230016) - (xy 85.580016 106.139771) - (xy 85.637957 106.026055) - (xy 85.657922 105.9) - (xy 85.637957 105.773945) - (xy 85.625756 105.75) - (xy 109.292078 105.75) - (xy 109.312043 105.876055) - (xy 109.369984 105.989771) - (xy 109.460229 106.080016) - (xy 109.465505 106.082704) - (xy 109.465506 106.082705) - (xy 109.506631 106.103659) - (xy 109.573945 106.137957) - (xy 109.7 106.157922) - (xy 109.826055 106.137957) - (xy 109.893369 106.103659) - (xy 109.934494 106.082705) - (xy 109.934495 106.082704) - (xy 109.939771 106.080016) - (xy 110.030016 105.989771) - (xy 110.087957 105.876055) - (xy 110.107922 105.75) - (xy 110.087957 105.623945) - (xy 110.075756 105.6) - (xy 110.292329 105.6) - (xy 110.311331 105.744336) - (xy 110.313216 105.748888) - (xy 110.313217 105.74889) - (xy 110.329232 105.787552) - (xy 110.367043 105.878835) - (xy 110.370039 105.882739) - (xy 110.370041 105.882743) - (xy 110.43089 105.962043) - (xy 110.455667 105.994333) - (xy 110.571164 106.082957) - (xy 110.621143 106.103659) - (xy 110.70111 106.136783) - (xy 110.701112 106.136784) - (xy 110.705664 106.138669) - (xy 110.85 106.157671) - (xy 110.994336 106.138669) - (xy 110.998888 106.136784) - (xy 110.99889 106.136783) - (xy 111.078857 106.103659) - (xy 111.128836 106.082957) - (xy 111.244333 105.994333) - (xy 111.26911 105.962043) - (xy 111.329959 105.882743) - (xy 111.329961 105.882739) - (xy 111.332957 105.878835) - (xy 111.370768 105.787552) - (xy 111.386783 105.74889) - (xy 111.386784 105.748888) - (xy 111.388669 105.744336) - (xy 111.407671 105.6) - (xy 111.388669 105.455664) - (xy 111.386725 105.450969) - (xy 111.349345 105.360729) - (xy 111.344901 105.35) - (xy 114.342078 105.35) - (xy 114.362043 105.476055) - (xy 114.419984 105.589771) - (xy 114.510229 105.680016) - (xy 114.515505 105.682704) - (xy 114.515506 105.682705) - (xy 114.563344 105.707079) - (xy 114.623945 105.737957) - (xy 114.663065 105.744153) - (xy 114.736899 105.755847) - (xy 114.75 105.757922) - (xy 114.763102 105.755847) - (xy 114.836935 105.744153) - (xy 114.876055 105.737957) - (xy 114.936656 105.707079) - (xy 114.984494 105.682705) - (xy 114.984495 105.682704) - (xy 114.989771 105.680016) - (xy 115.080016 105.589771) - (xy 115.137957 105.476055) - (xy 115.157922 105.35) - (xy 122.142078 105.35) - (xy 122.162043 105.476055) - (xy 122.219984 105.589771) - (xy 122.310229 105.680016) - (xy 122.315505 105.682704) - (xy 122.315506 105.682705) - (xy 122.363344 105.707079) - (xy 122.423945 105.737957) - (xy 122.463065 105.744153) - (xy 122.536899 105.755847) - (xy 122.55 105.757922) - (xy 122.563102 105.755847) - (xy 122.636935 105.744153) - (xy 122.676055 105.737957) - (xy 122.736656 105.707079) - (xy 122.784494 105.682705) - (xy 122.784495 105.682704) - (xy 122.789771 105.680016) - (xy 122.880016 105.589771) - (xy 122.937957 105.476055) - (xy 122.957922 105.35) - (xy 122.937957 105.223945) - (xy 122.907079 105.163344) - (xy 122.882705 105.115506) - (xy 122.882704 105.115505) - (xy 122.880016 105.110229) - (xy 122.789771 105.019984) - (xy 122.676055 104.962043) - (xy 122.609842 104.951556) - (xy 122.555847 104.943004) - (xy 122.55 104.942078) - (xy 122.544153 104.943004) - (xy 122.490158 104.951556) - (xy 122.423945 104.962043) - (xy 122.310229 105.019984) - (xy 122.219984 105.110229) - (xy 122.217296 105.115505) - (xy 122.217295 105.115506) - (xy 122.192921 105.163344) - (xy 122.162043 105.223945) - (xy 122.142078 105.35) - (xy 115.157922 105.35) - (xy 115.137957 105.223945) - (xy 115.107079 105.163344) - (xy 115.082705 105.115506) - (xy 115.082704 105.115505) - (xy 115.080016 105.110229) - (xy 114.989771 105.019984) - (xy 114.876055 104.962043) - (xy 114.809842 104.951556) - (xy 114.755847 104.943004) - (xy 114.75 104.942078) - (xy 114.744153 104.943004) - (xy 114.690158 104.951556) - (xy 114.623945 104.962043) - (xy 114.510229 105.019984) - (xy 114.419984 105.110229) - (xy 114.417296 105.115505) - (xy 114.417295 105.115506) - (xy 114.392921 105.163344) - (xy 114.362043 105.223945) - (xy 114.342078 105.35) - (xy 111.344901 105.35) - (xy 111.332957 105.321165) - (xy 111.329961 105.317261) - (xy 111.329959 105.317257) - (xy 111.247331 105.209574) - (xy 111.244333 105.205667) - (xy 111.128836 105.117043) - (xy 111.050256 105.084494) - (xy 110.99889 105.063217) - (xy 110.998888 105.063216) - (xy 110.994336 105.061331) - (xy 110.85 105.042329) - (xy 110.705664 105.061331) - (xy 110.701112 105.063216) - (xy 110.70111 105.063217) - (xy 110.649744 105.084494) - (xy 110.571165 105.117043) - (xy 110.567261 105.120039) - (xy 110.567257 105.120041) - (xy 110.494557 105.175826) - (xy 110.455667 105.205667) - (xy 110.452669 105.209574) - (xy 110.370041 105.317257) - (xy 110.370039 105.317261) - (xy 110.367043 105.321165) - (xy 110.350655 105.360729) - (xy 110.313276 105.450969) - (xy 110.311331 105.455664) - (xy 110.292329 105.6) - (xy 110.075756 105.6) - (xy 110.030016 105.510229) - (xy 109.939771 105.419984) - (xy 109.826055 105.362043) - (xy 109.750018 105.35) - (xy 109.705847 105.343004) - (xy 109.7 105.342078) - (xy 109.694153 105.343004) - (xy 109.649982 105.35) - (xy 109.573945 105.362043) - (xy 109.460229 105.419984) - (xy 109.369984 105.510229) - (xy 109.312043 105.623945) - (xy 109.292078 105.75) - (xy 85.625756 105.75) - (xy 85.597339 105.694228) - (xy 85.582705 105.665506) - (xy 85.582704 105.665505) - (xy 85.580016 105.660229) - (xy 85.489771 105.569984) - (xy 85.376055 105.512043) - (xy 85.25 105.492078) - (xy 85.123945 105.512043) - (xy 85.010229 105.569984) - (xy 84.919984 105.660229) - (xy 84.917296 105.665505) - (xy 84.917295 105.665506) - (xy 84.902661 105.694228) - (xy 84.862043 105.773945) - (xy 84.842078 105.9) - (xy 81.296287 105.9) - (xy 81.356016 105.840271) - (xy 81.413957 105.726555) - (xy 81.433922 105.6005) - (xy 81.413957 105.474445) - (xy 81.356016 105.360729) - (xy 81.265771 105.270484) - (xy 81.258942 105.267004) - (xy 81.178574 105.226055) - (xy 81.152055 105.212543) - (xy 81.026 105.192578) - (xy 80.899945 105.212543) - (xy 80.873426 105.226055) - (xy 80.793059 105.267004) - (xy 80.786229 105.270484) - (xy 80.695984 105.360729) - (xy 80.638043 105.474445) - (xy 80.618078 105.6005) - (xy 65.136527 105.6005) - (xy 65.123921 105.471927) - (xy 65.12392 105.471922) - (xy 65.123561 105.46826) - (xy 65.066714 105.279975) - (xy 64.974379 105.106318) - (xy 64.969226 105.1) - (xy 82.392078 105.1) - (xy 82.412043 105.226055) - (xy 82.433311 105.267795) - (xy 82.462822 105.325714) - (xy 82.469984 105.339771) - (xy 82.560229 105.430016) - (xy 82.673945 105.487957) - (xy 82.8 105.507922) - (xy 82.926055 105.487957) - (xy 83.039771 105.430016) - (xy 83.130016 105.339771) - (xy 83.137179 105.325714) - (xy 83.166689 105.267795) - (xy 83.187957 105.226055) - (xy 83.207922 105.1) - (xy 83.201798 105.061331) - (xy 83.189126 104.981328) - (xy 83.187957 104.973945) - (xy 83.130016 104.860229) - (xy 83.039771 104.769984) - (xy 82.926055 104.712043) - (xy 82.8 104.692078) - (xy 82.673945 104.712043) - (xy 82.560229 104.769984) - (xy 82.469984 104.860229) - (xy 82.412043 104.973945) - (xy 82.410874 104.981328) - (xy 82.398203 105.061331) - (xy 82.392078 105.1) - (xy 64.969226 105.1) - (xy 64.850072 104.953903) - (xy 64.794491 104.907922) - (xy 64.701359 104.830877) - (xy 64.698528 104.828535) - (xy 64.52552 104.73499) - (xy 64.337637 104.67683) - (xy 64.214766 104.663916) - (xy 64.14569 104.656656) - (xy 64.145689 104.656656) - (xy 64.142035 104.656272) - (xy 64.044101 104.665184) - (xy 63.949824 104.673764) - (xy 63.949823 104.673764) - (xy 63.946166 104.674097) - (xy 63.942641 104.675134) - (xy 63.942638 104.675135) - (xy 63.794714 104.718672) - (xy 63.757489 104.729628) - (xy 63.583192 104.820748) - (xy 63.527524 104.865506) - (xy 63.497345 104.889771) - (xy 63.429912 104.943988) - (xy 63.303489 105.094653) - (xy 63.301718 105.097875) - (xy 63.301717 105.097876) - (xy 63.214241 105.256996) - (xy 63.208739 105.267004) - (xy 63.149269 105.454476) - (xy 63.127345 105.64993) - (xy 62.601373 105.64993) - (xy 62.583921 105.471927) - (xy 62.58392 105.471922) - (xy 62.583561 105.46826) - (xy 62.526714 105.279975) - (xy 62.434379 105.106318) - (xy 62.310072 104.953903) - (xy 62.254491 104.907922) - (xy 62.161359 104.830877) - (xy 62.158528 104.828535) - (xy 61.98552 104.73499) - (xy 61.797637 104.67683) - (xy 61.674766 104.663916) - (xy 61.60569 104.656656) - (xy 61.605689 104.656656) - (xy 61.602035 104.656272) - (xy 61.504101 104.665184) - (xy 61.409824 104.673764) - (xy 61.409823 104.673764) - (xy 61.406166 104.674097) - (xy 61.402641 104.675134) - (xy 61.402638 104.675135) - (xy 61.254714 104.718672) - (xy 61.217489 104.729628) - (xy 61.043192 104.820748) - (xy 60.987524 104.865506) - (xy 60.957345 104.889771) - (xy 60.889912 104.943988) - (xy 60.763489 105.094653) - (xy 60.761718 105.097875) - (xy 60.761717 105.097876) - (xy 60.674241 105.256996) - (xy 60.668739 105.267004) - (xy 60.609269 105.454476) - (xy 60.587345 105.64993) - (xy 46.101 105.64993) - (xy 46.101 104.3) - (xy 81.542078 104.3) - (xy 81.562043 104.426055) - (xy 81.56473 104.431328) - (xy 81.609234 104.518672) - (xy 81.619984 104.539771) - (xy 81.710229 104.630016) - (xy 81.715505 104.632704) - (xy 81.715506 104.632705) - (xy 81.74945 104.65) - (xy 81.823945 104.687957) - (xy 81.95 104.707922) - (xy 82.076055 104.687957) - (xy 82.15055 104.65) - (xy 82.184494 104.632705) - (xy 82.184495 104.632704) - (xy 82.189771 104.630016) - (xy 82.280016 104.539771) - (xy 82.290767 104.518672) - (xy 82.300281 104.5) - (xy 100.092078 104.5) - (xy 100.112043 104.626055) - (xy 100.124244 104.65) - (xy 100.165684 104.731331) - (xy 100.169984 104.739771) - (xy 100.260229 104.830016) - (xy 100.265505 104.832704) - (xy 100.265506 104.832705) - (xy 100.29945 104.85) - (xy 100.373945 104.887957) - (xy 100.5 104.907922) - (xy 100.626055 104.887957) - (xy 100.70055 104.85) - (xy 108.542078 104.85) - (xy 108.562043 104.976055) - (xy 108.583056 105.017295) - (xy 108.605166 105.060688) - (xy 108.619984 105.089771) - (xy 108.710229 105.180016) - (xy 108.823945 105.237957) - (xy 108.95 105.257922) - (xy 109.076055 105.237957) - (xy 109.189771 105.180016) - (xy 109.280016 105.089771) - (xy 109.294835 105.060688) - (xy 109.316944 105.017295) - (xy 109.337957 104.976055) - (xy 109.357922 104.85) - (xy 109.337957 104.723945) - (xy 109.30028 104.65) - (xy 109.542078 104.65) - (xy 109.562043 104.776055) - (xy 109.619984 104.889771) - (xy 109.710229 104.980016) - (xy 109.823945 105.037957) - (xy 109.95 105.057922) - (xy 110.076055 105.037957) - (xy 110.189771 104.980016) - (xy 110.280016 104.889771) - (xy 110.337957 104.776055) - (xy 110.357922 104.65) - (xy 111.342078 104.65) - (xy 111.362043 104.776055) - (xy 111.419984 104.889771) - (xy 111.510229 104.980016) - (xy 111.623945 105.037957) - (xy 111.75 105.057922) - (xy 111.876055 105.037957) - (xy 111.989771 104.980016) - (xy 112.080016 104.889771) - (xy 112.137957 104.776055) - (xy 112.157922 104.65) - (xy 113.642078 104.65) - (xy 113.662043 104.776055) - (xy 113.719984 104.889771) - (xy 113.810229 104.980016) - (xy 113.923945 105.037957) - (xy 114.05 105.057922) - (xy 114.176055 105.037957) - (xy 114.289771 104.980016) - (xy 114.380016 104.889771) - (xy 114.437957 104.776055) - (xy 114.457922 104.65) - (xy 114.437957 104.523945) - (xy 114.396244 104.442078) - (xy 114.382705 104.415506) - (xy 114.382704 104.415505) - (xy 114.380016 104.410229) - (xy 114.289771 104.319984) - (xy 114.262026 104.305847) - (xy 114.236656 104.292921) - (xy 114.176055 104.262043) - (xy 114.05 104.242078) - (xy 113.923945 104.262043) - (xy 113.863344 104.292921) - (xy 113.837975 104.305847) - (xy 113.810229 104.319984) - (xy 113.719984 104.410229) - (xy 113.717296 104.415505) - (xy 113.717295 104.415506) - (xy 113.703756 104.442078) - (xy 113.662043 104.523945) - (xy 113.642078 104.65) - (xy 112.157922 104.65) - (xy 112.137957 104.523945) - (xy 112.096244 104.442078) - (xy 112.082705 104.415506) - (xy 112.082704 104.415505) - (xy 112.080016 104.410229) - (xy 111.989771 104.319984) - (xy 111.962026 104.305847) - (xy 111.936656 104.292921) - (xy 111.876055 104.262043) - (xy 111.75 104.242078) - (xy 111.623945 104.262043) - (xy 111.563344 104.292921) - (xy 111.537975 104.305847) - (xy 111.510229 104.319984) - (xy 111.419984 104.410229) - (xy 111.417296 104.415505) - (xy 111.417295 104.415506) - (xy 111.403756 104.442078) - (xy 111.362043 104.523945) - (xy 111.342078 104.65) - (xy 110.357922 104.65) - (xy 110.337957 104.523945) - (xy 110.296244 104.442078) - (xy 110.282705 104.415506) - (xy 110.282704 104.415505) - (xy 110.280016 104.410229) - (xy 110.189771 104.319984) - (xy 110.162026 104.305847) - (xy 110.136656 104.292921) - (xy 110.076055 104.262043) - (xy 109.95 104.242078) - (xy 109.823945 104.262043) - (xy 109.763344 104.292921) - (xy 109.737975 104.305847) - (xy 109.710229 104.319984) - (xy 109.619984 104.410229) - (xy 109.617296 104.415505) - (xy 109.617295 104.415506) - (xy 109.603756 104.442078) - (xy 109.562043 104.523945) - (xy 109.542078 104.65) - (xy 109.30028 104.65) - (xy 109.291468 104.632705) - (xy 109.282705 104.615506) - (xy 109.282704 104.615505) - (xy 109.280016 104.610229) - (xy 109.189771 104.519984) - (xy 109.162026 104.505847) - (xy 109.136656 104.492921) - (xy 109.076055 104.462043) - (xy 108.95 104.442078) - (xy 108.823945 104.462043) - (xy 108.763344 104.492921) - (xy 108.737975 104.505847) - (xy 108.710229 104.519984) - (xy 108.619984 104.610229) - (xy 108.617296 104.615505) - (xy 108.617295 104.615506) - (xy 108.608532 104.632705) - (xy 108.562043 104.723945) - (xy 108.542078 104.85) - (xy 100.70055 104.85) - (xy 100.734494 104.832705) - (xy 100.734495 104.832704) - (xy 100.739771 104.830016) - (xy 100.830016 104.739771) - (xy 100.834317 104.731331) - (xy 100.875756 104.65) - (xy 100.887957 104.626055) - (xy 100.907922 104.5) - (xy 100.887957 104.373945) - (xy 100.830016 104.260229) - (xy 100.739771 104.169984) - (xy 100.626055 104.112043) - (xy 100.5 104.092078) - (xy 100.373945 104.112043) - (xy 100.260229 104.169984) - (xy 100.169984 104.260229) - (xy 100.112043 104.373945) - (xy 100.092078 104.5) - (xy 82.300281 104.5) - (xy 82.33527 104.431328) - (xy 82.337957 104.426055) - (xy 82.357922 104.3) - (xy 82.337957 104.173945) - (xy 82.295068 104.089771) - (xy 82.282705 104.065506) - (xy 82.282704 104.065505) - (xy 82.280016 104.060229) - (xy 82.219787 104) - (xy 99.192078 104) - (xy 99.212043 104.126055) - (xy 99.269984 104.239771) - (xy 99.360229 104.330016) - (xy 99.473945 104.387957) - (xy 99.6 104.407922) - (xy 99.726055 104.387957) - (xy 99.839771 104.330016) - (xy 99.930016 104.239771) - (xy 99.987957 104.126055) - (xy 100.007922 104) - (xy 100.003943 103.974874) - (xy 99.989344 103.882705) - (xy 99.987957 103.873945) - (xy 99.94238 103.784494) - (xy 99.932705 103.765506) - (xy 99.932704 103.765505) - (xy 99.930016 103.760229) - (xy 99.839771 103.669984) - (xy 99.833201 103.666636) - (xy 99.753555 103.626055) - (xy 99.726055 103.612043) - (xy 99.6 103.592078) - (xy 99.473945 103.612043) - (xy 99.446445 103.626055) - (xy 99.3668 103.666636) - (xy 99.360229 103.669984) - (xy 99.269984 103.760229) - (xy 99.267296 103.765505) - (xy 99.267295 103.765506) - (xy 99.25762 103.784494) - (xy 99.212043 103.873945) - (xy 99.210656 103.882705) - (xy 99.196058 103.974874) - (xy 99.192078 104) - (xy 82.219787 104) - (xy 82.189771 103.969984) - (xy 82.157041 103.953307) - (xy 82.121641 103.93527) - (xy 82.076055 103.912043) - (xy 81.95 103.892078) - (xy 81.823945 103.912043) - (xy 81.778359 103.93527) - (xy 81.74296 103.953307) - (xy 81.710229 103.969984) - (xy 81.619984 104.060229) - (xy 81.617296 104.065505) - (xy 81.617295 104.065506) - (xy 81.604932 104.089771) - (xy 81.562043 104.173945) - (xy 81.542078 104.3) - (xy 46.101 104.3) - (xy 46.101 103.10993) - (xy 60.587345 103.10993) - (xy 60.587653 103.113598) - (xy 60.587653 103.113601) - (xy 60.601609 103.279792) - (xy 60.603803 103.305919) - (xy 60.658015 103.494979) - (xy 60.747916 103.669908) - (xy 60.870083 103.824044) - (xy 60.872877 103.826422) - (xy 60.872878 103.826423) - (xy 60.950023 103.892078) - (xy 61.019862 103.951516) - (xy 61.023063 103.953305) - (xy 61.023066 103.953307) - (xy 61.075443 103.982579) - (xy 61.191547 104.047467) - (xy 61.195044 104.048603) - (xy 61.195048 104.048605) - (xy 61.287615 104.078681) - (xy 61.3786 104.108244) - (xy 61.478931 104.120208) - (xy 61.570237 104.131096) - (xy 61.570239 104.131096) - (xy 61.573895 104.131532) - (xy 61.769994 104.116443) - (xy 61.95243 104.065506) - (xy 61.955883 104.064542) - (xy 61.955885 104.064541) - (xy 61.959428 104.063552) - (xy 62.122204 103.981328) - (xy 62.131697 103.976533) - (xy 62.131698 103.976532) - (xy 62.134981 103.974874) - (xy 62.289966 103.853786) - (xy 62.30371 103.837864) - (xy 62.330354 103.806996) - (xy 62.41848 103.704901) - (xy 62.436721 103.672791) - (xy 62.51381 103.537091) - (xy 62.513812 103.537088) - (xy 62.515628 103.53389) - (xy 62.558223 103.405847) - (xy 62.576548 103.35076) - (xy 62.576549 103.350757) - (xy 62.57771 103.347266) - (xy 62.60236 103.152138) - (xy 62.602574 103.136783) - (xy 62.602724 103.126099) - (xy 62.602724 103.126093) - (xy 62.602753 103.124) - (xy 62.601844 103.11473) - (xy 62.601373 103.10993) - (xy 63.127345 103.10993) - (xy 63.127653 103.113598) - (xy 63.127653 103.113601) - (xy 63.141609 103.279792) - (xy 63.143803 103.305919) - (xy 63.198015 103.494979) - (xy 63.287916 103.669908) - (xy 63.410083 103.824044) - (xy 63.412877 103.826422) - (xy 63.412878 103.826423) - (xy 63.490023 103.892078) - (xy 63.559862 103.951516) - (xy 63.563063 103.953305) - (xy 63.563066 103.953307) - (xy 63.615443 103.982579) - (xy 63.731547 104.047467) - (xy 63.735044 104.048603) - (xy 63.735048 104.048605) - (xy 63.827615 104.078681) - (xy 63.9186 104.108244) - (xy 64.018931 104.120208) - (xy 64.110237 104.131096) - (xy 64.110239 104.131096) - (xy 64.113895 104.131532) - (xy 64.309994 104.116443) - (xy 64.49243 104.065506) - (xy 64.495883 104.064542) - (xy 64.495885 104.064541) - (xy 64.499428 104.063552) - (xy 64.662204 103.981328) - (xy 64.671697 103.976533) - (xy 64.671698 103.976532) - (xy 64.674981 103.974874) - (xy 64.829966 103.853786) - (xy 64.84371 103.837864) - (xy 64.870354 103.806996) - (xy 64.95848 103.704901) - (xy 64.976721 103.672791) - (xy 65.05381 103.537091) - (xy 65.053812 103.537088) - (xy 65.055628 103.53389) - (xy 65.098223 103.405847) - (xy 65.116548 103.35076) - (xy 65.116549 103.350757) - (xy 65.11771 103.347266) - (xy 65.14236 103.152138) - (xy 65.142574 103.136783) - (xy 65.142724 103.126099) - (xy 65.142724 103.126093) - (xy 65.142753 103.124) - (xy 76.808078 103.124) - (xy 76.809004 103.129847) - (xy 76.813152 103.156039) - (xy 76.828043 103.250055) - (xy 76.846803 103.286874) - (xy 76.879355 103.35076) - (xy 76.885984 103.363771) - (xy 76.976229 103.454016) - (xy 76.981505 103.456704) - (xy 76.981506 103.456705) - (xy 76.991983 103.462043) - (xy 77.089945 103.511957) - (xy 77.216 103.531922) - (xy 77.342055 103.511957) - (xy 77.440017 103.462043) - (xy 77.450494 103.456705) - (xy 77.450495 103.456704) - (xy 77.455771 103.454016) - (xy 77.546016 103.363771) - (xy 77.552646 103.35076) - (xy 77.585197 103.286874) - (xy 77.603957 103.250055) - (xy 77.618848 103.156039) - (xy 77.622996 103.129847) - (xy 77.623922 103.124) - (xy 78.078078 103.124) - (xy 78.079004 103.129847) - (xy 78.083152 103.156039) - (xy 78.098043 103.250055) - (xy 78.116803 103.286874) - (xy 78.149355 103.35076) - (xy 78.155984 103.363771) - (xy 78.246229 103.454016) - (xy 78.251505 103.456704) - (xy 78.251506 103.456705) - (xy 78.261983 103.462043) - (xy 78.359945 103.511957) - (xy 78.486 103.531922) - (xy 78.612055 103.511957) - (xy 78.710017 103.462043) - (xy 78.720494 103.456705) - (xy 78.720495 103.456704) - (xy 78.725771 103.454016) - (xy 78.816016 103.363771) - (xy 78.822646 103.35076) - (xy 78.855197 103.286874) - (xy 78.873957 103.250055) - (xy 78.888848 103.156039) - (xy 78.892996 103.129847) - (xy 78.893922 103.124) - (xy 79.348078 103.124) - (xy 79.349004 103.129847) - (xy 79.353152 103.156039) - (xy 79.368043 103.250055) - (xy 79.386803 103.286874) - (xy 79.419355 103.35076) - (xy 79.425984 103.363771) - (xy 79.516229 103.454016) - (xy 79.521505 103.456704) - (xy 79.521506 103.456705) - (xy 79.531983 103.462043) - (xy 79.629945 103.511957) - (xy 79.756 103.531922) - (xy 79.882055 103.511957) - (xy 79.980017 103.462043) - (xy 79.990494 103.456705) - (xy 79.990495 103.456704) - (xy 79.995771 103.454016) - (xy 80.049787 103.4) - (xy 87.142078 103.4) - (xy 87.143004 103.405847) - (xy 87.148889 103.443004) - (xy 87.162043 103.526055) - (xy 87.219984 103.639771) - (xy 87.310229 103.730016) - (xy 87.315505 103.732704) - (xy 87.315506 103.732705) - (xy 87.361302 103.756039) - (xy 87.423945 103.787957) - (xy 87.55 103.807922) - (xy 87.676055 103.787957) - (xy 87.738698 103.756039) - (xy 87.784494 103.732705) - (xy 87.784495 103.732704) - (xy 87.789771 103.730016) - (xy 87.880016 103.639771) - (xy 87.937957 103.526055) - (xy 87.942084 103.5) - (xy 100.142078 103.5) - (xy 100.162043 103.626055) - (xy 100.16473 103.631328) - (xy 100.216384 103.732705) - (xy 100.219984 103.739771) - (xy 100.310229 103.830016) - (xy 100.315505 103.832704) - (xy 100.315506 103.832705) - (xy 100.35688 103.853786) - (xy 100.423945 103.887957) - (xy 100.55 103.907922) - (xy 100.676055 103.887957) - (xy 100.74312 103.853786) - (xy 100.784494 103.832705) - (xy 100.784495 103.832704) - (xy 100.789771 103.830016) - (xy 100.880016 103.739771) - (xy 100.883617 103.732705) - (xy 100.93527 103.631328) - (xy 100.937957 103.626055) - (xy 100.950003 103.55) - (xy 102.442078 103.55) - (xy 102.462043 103.676055) - (xy 102.519984 103.789771) - (xy 102.610229 103.880016) - (xy 102.615505 103.882704) - (xy 102.615506 103.882705) - (xy 102.633902 103.892078) - (xy 102.723945 103.937957) - (xy 102.85 103.957922) - (xy 102.976055 103.937957) - (xy 103.066098 103.892078) - (xy 103.084494 103.882705) - (xy 103.084495 103.882704) - (xy 103.089771 103.880016) - (xy 103.180016 103.789771) - (xy 103.237957 103.676055) - (xy 103.257922 103.55) - (xy 104.192329 103.55) - (xy 104.211331 103.694336) - (xy 104.213216 103.698888) - (xy 104.213217 103.69889) - (xy 104.238625 103.760229) - (xy 104.267043 103.828835) - (xy 104.270039 103.832739) - (xy 104.270041 103.832743) - (xy 104.351485 103.938883) - (xy 104.355667 103.944333) - (xy 104.359574 103.947331) - (xy 104.385592 103.967295) - (xy 104.471164 104.032957) - (xy 104.526889 104.056039) - (xy 104.60111 104.086783) - (xy 104.601112 104.086784) - (xy 104.605664 104.088669) - (xy 104.75 104.107671) - (xy 104.894336 104.088669) - (xy 104.898888 104.086784) - (xy 104.89889 104.086783) - (xy 104.973111 104.056039) - (xy 105.028836 104.032957) - (xy 105.114408 103.967295) - (xy 105.140426 103.947331) - (xy 105.144333 103.944333) - (xy 105.148515 103.938883) - (xy 105.216717 103.85) - (xy 114.342078 103.85) - (xy 114.362043 103.976055) - (xy 114.374244 104) - (xy 114.397515 104.045672) - (xy 114.419984 104.089771) - (xy 114.510229 104.180016) - (xy 114.515505 104.182704) - (xy 114.515506 104.182705) - (xy 114.521936 104.185981) - (xy 114.623945 104.237957) - (xy 114.75 104.257922) - (xy 114.876055 104.237957) - (xy 114.978064 104.185981) - (xy 114.984494 104.182705) - (xy 114.984495 104.182704) - (xy 114.989771 104.180016) - (xy 115.080016 104.089771) - (xy 115.102486 104.045672) - (xy 115.125756 104) - (xy 115.137957 103.976055) - (xy 115.157922 103.85) - (xy 122.138028 103.85) - (xy 122.138954 103.855847) - (xy 122.142782 103.880016) - (xy 122.158191 103.977306) - (xy 122.160878 103.982579) - (xy 122.212807 104.084494) - (xy 122.216708 104.092151) - (xy 122.307849 104.183292) - (xy 122.422694 104.241809) - (xy 122.55 104.261972) - (xy 122.677306 104.241809) - (xy 122.792151 104.183292) - (xy 122.883292 104.092151) - (xy 122.887194 104.084494) - (xy 122.939122 103.982579) - (xy 122.941809 103.977306) - (xy 122.957218 103.880016) - (xy 122.961046 103.855847) - (xy 122.961972 103.85) - (xy 122.941809 103.722694) - (xy 122.899557 103.639771) - (xy 122.885981 103.613126) - (xy 122.88598 103.613125) - (xy 122.883292 103.607849) - (xy 122.792151 103.516708) - (xy 122.777554 103.50927) - (xy 122.690139 103.46473) - (xy 122.677306 103.458191) - (xy 122.558511 103.439376) - (xy 122.555847 103.438954) - (xy 122.55 103.438028) - (xy 122.544153 103.438954) - (xy 122.541489 103.439376) - (xy 122.422694 103.458191) - (xy 122.409861 103.46473) - (xy 122.322447 103.50927) - (xy 122.307849 103.516708) - (xy 122.216708 103.607849) - (xy 122.21402 103.613125) - (xy 122.214019 103.613126) - (xy 122.200443 103.639771) - (xy 122.158191 103.722694) - (xy 122.138028 103.85) - (xy 115.157922 103.85) - (xy 115.137957 103.723945) - (xy 115.09238 103.634494) - (xy 115.082705 103.615506) - (xy 115.082704 103.615505) - (xy 115.080016 103.610229) - (xy 114.989771 103.519984) - (xy 114.983342 103.516708) - (xy 114.933756 103.491443) - (xy 114.876055 103.462043) - (xy 114.798919 103.449826) - (xy 114.755847 103.443004) - (xy 114.75 103.442078) - (xy 114.744153 103.443004) - (xy 114.701081 103.449826) - (xy 114.623945 103.462043) - (xy 114.566244 103.491443) - (xy 114.516659 103.516708) - (xy 114.510229 103.519984) - (xy 114.419984 103.610229) - (xy 114.417296 103.615505) - (xy 114.417295 103.615506) - (xy 114.40762 103.634494) - (xy 114.362043 103.723945) - (xy 114.342078 103.85) - (xy 105.216717 103.85) - (xy 105.229959 103.832743) - (xy 105.229961 103.832739) - (xy 105.232957 103.828835) - (xy 105.261375 103.760229) - (xy 105.286783 103.69889) - (xy 105.286784 103.698888) - (xy 105.288669 103.694336) - (xy 105.307671 103.55) - (xy 105.288669 103.405664) - (xy 105.277953 103.379792) - (xy 105.242878 103.295116) - (xy 105.232957 103.271165) - (xy 105.229961 103.267261) - (xy 105.229959 103.267257) - (xy 105.147331 103.159574) - (xy 105.144333 103.155667) - (xy 105.126624 103.142078) - (xy 105.052031 103.084841) - (xy 105.028836 103.067043) - (xy 104.98769 103.05) - (xy 122.838028 103.05) - (xy 122.858191 103.177306) - (xy 122.860878 103.182579) - (xy 122.912807 103.284494) - (xy 122.916708 103.292151) - (xy 123.007849 103.383292) - (xy 123.013125 103.38598) - (xy 123.013126 103.385981) - (xy 123.04064 103.4) - (xy 123.122694 103.441809) - (xy 123.128541 103.442735) - (xy 123.243093 103.460878) - (xy 123.25 103.461972) - (xy 123.256908 103.460878) - (xy 123.371459 103.442735) - (xy 123.377306 103.441809) - (xy 123.45936 103.4) - (xy 123.486874 103.385981) - (xy 123.486875 103.38598) - (xy 123.492151 103.383292) - (xy 123.583292 103.292151) - (xy 123.587194 103.284494) - (xy 123.639122 103.182579) - (xy 123.641809 103.177306) - (xy 123.661972 103.05) - (xy 125.142078 103.05) - (xy 125.162043 103.176055) - (xy 125.16473 103.181328) - (xy 125.212822 103.275714) - (xy 125.219984 103.289771) - (xy 125.310229 103.380016) - (xy 125.315505 103.382704) - (xy 125.315506 103.382705) - (xy 125.34945 103.4) - (xy 125.423945 103.437957) - (xy 125.55 103.457922) - (xy 125.676055 103.437957) - (xy 125.75055 103.4) - (xy 125.784494 103.382705) - (xy 125.784495 103.382704) - (xy 125.789771 103.380016) - (xy 125.869787 103.3) - (xy 126.911493 103.3) - (xy 126.929842 103.439376) - (xy 126.931727 103.443928) - (xy 126.931728 103.44393) - (xy 126.939231 103.462043) - (xy 126.983639 103.569253) - (xy 126.986637 103.57316) - (xy 127.064148 103.674174) - (xy 127.069218 103.680782) - (xy 127.180746 103.766361) - (xy 127.224523 103.784494) - (xy 127.30607 103.818272) - (xy 127.306072 103.818273) - (xy 127.310624 103.820158) - (xy 127.45 103.838507) - (xy 127.589376 103.820158) - (xy 127.593928 103.818273) - (xy 127.59393 103.818272) - (xy 127.675477 103.784494) - (xy 127.719254 103.766361) - (xy 127.830782 103.680782) - (xy 127.835853 103.674174) - (xy 127.913363 103.57316) - (xy 127.916361 103.569253) - (xy 127.960769 103.462043) - (xy 127.968272 103.44393) - (xy 127.968273 103.443928) - (xy 127.970158 103.439376) - (xy 127.988507 103.3) - (xy 127.970158 103.160624) - (xy 127.965772 103.150034) - (xy 127.932637 103.070041) - (xy 127.916361 103.030747) - (xy 127.830782 102.919218) - (xy 127.719254 102.833639) - (xy 127.656991 102.807849) - (xy 127.59393 102.781728) - (xy 127.593928 102.781727) - (xy 127.589376 102.779842) - (xy 127.45 102.761493) - (xy 127.310624 102.779842) - (xy 127.306072 102.781727) - (xy 127.30607 102.781728) - (xy 127.253125 102.803659) - (xy 127.180747 102.833639) - (xy 127.069218 102.919218) - (xy 126.983639 103.030747) - (xy 126.967363 103.070041) - (xy 126.934229 103.150034) - (xy 126.929842 103.160624) - (xy 126.911493 103.3) - (xy 125.869787 103.3) - (xy 125.880016 103.289771) - (xy 125.887179 103.275714) - (xy 125.93527 103.181328) - (xy 125.937957 103.176055) - (xy 125.957922 103.05) - (xy 125.951798 103.011331) - (xy 125.938883 102.929792) - (xy 125.937957 102.923945) - (xy 125.880016 102.810229) - (xy 125.789771 102.719984) - (xy 125.783923 102.717004) - (xy 125.720118 102.684494) - (xy 125.676055 102.662043) - (xy 125.55 102.642078) - (xy 125.423945 102.662043) - (xy 125.379882 102.684494) - (xy 125.316078 102.717004) - (xy 125.310229 102.719984) - (xy 125.219984 102.810229) - (xy 125.162043 102.923945) - (xy 125.161117 102.929792) - (xy 125.148203 103.011331) - (xy 125.142078 103.05) - (xy 123.661972 103.05) - (xy 123.641809 102.922694) - (xy 123.595473 102.831755) - (xy 123.585981 102.813126) - (xy 123.58598 102.813125) - (xy 123.583292 102.807849) - (xy 123.492151 102.716708) - (xy 123.377306 102.658191) - (xy 123.25 102.638028) - (xy 123.122694 102.658191) - (xy 123.007849 102.716708) - (xy 122.916708 102.807849) - (xy 122.91402 102.813125) - (xy 122.914019 102.813126) - (xy 122.904527 102.831755) - (xy 122.858191 102.922694) - (xy 122.838028 103.05) - (xy 104.98769 103.05) - (xy 104.968958 103.042241) - (xy 104.89889 103.013217) - (xy 104.898888 103.013216) - (xy 104.894336 103.011331) - (xy 104.75 102.992329) - (xy 104.605664 103.011331) - (xy 104.601112 103.013216) - (xy 104.60111 103.013217) - (xy 104.568222 103.02684) - (xy 104.471165 103.067043) - (xy 104.467261 103.070039) - (xy 104.467257 103.070041) - (xy 104.37217 103.143004) - (xy 104.355667 103.155667) - (xy 104.352669 103.159574) - (xy 104.270041 103.267257) - (xy 104.270039 103.267261) - (xy 104.267043 103.271165) - (xy 104.257122 103.295116) - (xy 104.222048 103.379792) - (xy 104.211331 103.405664) - (xy 104.192329 103.55) - (xy 103.257922 103.55) - (xy 103.255371 103.53389) - (xy 103.240323 103.438883) - (xy 103.237957 103.423945) - (xy 103.180016 103.310229) - (xy 103.089771 103.219984) - (xy 102.976055 103.162043) - (xy 102.85 103.142078) - (xy 102.723945 103.162043) - (xy 102.610229 103.219984) - (xy 102.519984 103.310229) - (xy 102.462043 103.423945) - (xy 102.459677 103.438883) - (xy 102.44463 103.53389) - (xy 102.442078 103.55) - (xy 100.950003 103.55) - (xy 100.957922 103.5) - (xy 100.951154 103.457265) - (xy 100.939344 103.382705) - (xy 100.937957 103.373945) - (xy 100.880016 103.260229) - (xy 100.789771 103.169984) - (xy 100.780993 103.165511) - (xy 100.710997 103.129847) - (xy 100.676055 103.112043) - (xy 100.55 103.092078) - (xy 100.423945 103.112043) - (xy 100.389003 103.129847) - (xy 100.319008 103.165511) - (xy 100.310229 103.169984) - (xy 100.219984 103.260229) - (xy 100.162043 103.373945) - (xy 100.160656 103.382705) - (xy 100.148847 103.457265) - (xy 100.142078 103.5) - (xy 87.942084 103.5) - (xy 87.951111 103.443004) - (xy 87.956996 103.405847) - (xy 87.957922 103.4) - (xy 87.937957 103.273945) - (xy 87.891404 103.182579) - (xy 87.882705 103.165506) - (xy 87.882704 103.165505) - (xy 87.880016 103.160229) - (xy 87.789771 103.069984) - (xy 87.780302 103.065159) - (xy 87.705096 103.02684) - (xy 87.676055 103.012043) - (xy 87.587043 102.997945) - (xy 87.555847 102.993004) - (xy 87.55 102.992078) - (xy 87.544153 102.993004) - (xy 87.512957 102.997945) - (xy 87.423945 103.012043) - (xy 87.394904 103.02684) - (xy 87.319699 103.065159) - (xy 87.310229 103.069984) - (xy 87.219984 103.160229) - (xy 87.217296 103.165505) - (xy 87.217295 103.165506) - (xy 87.208596 103.182579) - (xy 87.162043 103.273945) - (xy 87.142078 103.4) - (xy 80.049787 103.4) - (xy 80.086016 103.363771) - (xy 80.092646 103.35076) - (xy 80.125197 103.286874) - (xy 80.143957 103.250055) - (xy 80.158848 103.156039) - (xy 80.162996 103.129847) - (xy 80.163922 103.124) - (xy 80.157422 103.082957) - (xy 80.144883 103.003792) - (xy 80.143957 102.997945) - (xy 80.106252 102.923945) - (xy 80.088705 102.889506) - (xy 80.088704 102.889505) - (xy 80.086016 102.884229) - (xy 79.995771 102.793984) - (xy 79.882055 102.736043) - (xy 79.756 102.716078) - (xy 79.629945 102.736043) - (xy 79.516229 102.793984) - (xy 79.425984 102.884229) - (xy 79.423296 102.889505) - (xy 79.423295 102.889506) - (xy 79.405748 102.923945) - (xy 79.368043 102.997945) - (xy 79.367117 103.003792) - (xy 79.354579 103.082957) - (xy 79.348078 103.124) - (xy 78.893922 103.124) - (xy 78.887422 103.082957) - (xy 78.874883 103.003792) - (xy 78.873957 102.997945) - (xy 78.836252 102.923945) - (xy 78.818705 102.889506) - (xy 78.818704 102.889505) - (xy 78.816016 102.884229) - (xy 78.725771 102.793984) - (xy 78.612055 102.736043) - (xy 78.486 102.716078) - (xy 78.359945 102.736043) - (xy 78.246229 102.793984) - (xy 78.155984 102.884229) - (xy 78.153296 102.889505) - (xy 78.153295 102.889506) - (xy 78.135748 102.923945) - (xy 78.098043 102.997945) - (xy 78.097117 103.003792) - (xy 78.084579 103.082957) - (xy 78.078078 103.124) - (xy 77.623922 103.124) - (xy 77.617422 103.082957) - (xy 77.604883 103.003792) - (xy 77.603957 102.997945) - (xy 77.566252 102.923945) - (xy 77.548705 102.889506) - (xy 77.548704 102.889505) - (xy 77.546016 102.884229) - (xy 77.455771 102.793984) - (xy 77.342055 102.736043) - (xy 77.216 102.716078) - (xy 77.089945 102.736043) - (xy 76.976229 102.793984) - (xy 76.885984 102.884229) - (xy 76.883296 102.889505) - (xy 76.883295 102.889506) - (xy 76.865748 102.923945) - (xy 76.828043 102.997945) - (xy 76.827117 103.003792) - (xy 76.814579 103.082957) - (xy 76.808078 103.124) - (xy 65.142753 103.124) - (xy 65.141844 103.11473) - (xy 65.123921 102.931927) - (xy 65.12392 102.931922) - (xy 65.123561 102.92826) - (xy 65.066714 102.739975) - (xy 64.974379 102.566318) - (xy 64.879512 102.45) - (xy 83.842078 102.45) - (xy 83.862043 102.576055) - (xy 83.919984 102.689771) - (xy 84.010229 102.780016) - (xy 84.123945 102.837957) - (xy 84.25 102.857922) - (xy 84.376055 102.837957) - (xy 84.489771 102.780016) - (xy 84.580016 102.689771) - (xy 84.637957 102.576055) - (xy 84.657922 102.45) - (xy 99.192078 102.45) - (xy 99.212043 102.576055) - (xy 99.269984 102.689771) - (xy 99.360229 102.780016) - (xy 99.473945 102.837957) - (xy 99.6 102.857922) - (xy 99.726055 102.837957) - (xy 99.839771 102.780016) - (xy 99.930016 102.689771) - (xy 99.975756 102.6) - (xy 103.192329 102.6) - (xy 103.211331 102.744336) - (xy 103.213216 102.748888) - (xy 103.213217 102.74889) - (xy 103.237639 102.807849) - (xy 103.267043 102.878835) - (xy 103.270039 102.882739) - (xy 103.270041 102.882743) - (xy 103.352669 102.990426) - (xy 103.355667 102.994333) - (xy 103.471164 103.082957) - (xy 103.527441 103.106268) - (xy 103.60111 103.136783) - (xy 103.601112 103.136784) - (xy 103.605664 103.138669) - (xy 103.75 103.157671) - (xy 103.894336 103.138669) - (xy 103.898888 103.136784) - (xy 103.89889 103.136783) - (xy 103.972559 103.106268) - (xy 104.028836 103.082957) - (xy 104.144333 102.994333) - (xy 104.147331 102.990426) - (xy 104.229959 102.882743) - (xy 104.229961 102.882739) - (xy 104.232957 102.878835) - (xy 104.262361 102.807849) - (xy 104.286783 102.74889) - (xy 104.286784 102.748888) - (xy 104.288669 102.744336) - (xy 104.307671 102.6) - (xy 104.288669 102.455664) - (xy 104.26843 102.406802) - (xy 104.254961 102.374286) - (xy 104.232957 102.321165) - (xy 104.229961 102.317261) - (xy 104.229959 102.317257) - (xy 104.178351 102.25) - (xy 122.192078 102.25) - (xy 122.212043 102.376055) - (xy 122.269984 102.489771) - (xy 122.360229 102.580016) - (xy 122.365505 102.582704) - (xy 122.365506 102.582705) - (xy 122.39945 102.6) - (xy 122.473945 102.637957) - (xy 122.6 102.657922) - (xy 122.726055 102.637957) - (xy 122.80055 102.6) - (xy 122.834494 102.582705) - (xy 122.834495 102.582704) - (xy 122.839771 102.580016) - (xy 122.930016 102.489771) - (xy 122.987957 102.376055) - (xy 123.007922 102.25) - (xy 122.987957 102.123945) - (xy 122.975756 102.1) - (xy 125.892329 102.1) - (xy 125.911331 102.244336) - (xy 125.913216 102.248888) - (xy 125.913217 102.24889) - (xy 125.941536 102.317257) - (xy 125.967043 102.378835) - (xy 125.970039 102.382739) - (xy 125.970041 102.382743) - (xy 126.017163 102.444153) - (xy 126.055667 102.494333) - (xy 126.171164 102.582957) - (xy 126.212309 102.6) - (xy 126.30111 102.636783) - (xy 126.301112 102.636784) - (xy 126.305664 102.638669) - (xy 126.45 102.657671) - (xy 126.594336 102.638669) - (xy 126.598888 102.636784) - (xy 126.59889 102.636783) - (xy 126.687691 102.6) - (xy 126.728836 102.582957) - (xy 126.844333 102.494333) - (xy 126.882837 102.444153) - (xy 126.929959 102.382743) - (xy 126.929961 102.382739) - (xy 126.932957 102.378835) - (xy 126.958464 102.317257) - (xy 126.986783 102.24889) - (xy 126.986784 102.248888) - (xy 126.988669 102.244336) - (xy 127.007671 102.1) - (xy 126.988669 101.955664) - (xy 126.968894 101.907922) - (xy 126.942003 101.843004) - (xy 126.932957 101.821165) - (xy 126.929961 101.817261) - (xy 126.929959 101.817257) - (xy 126.847331 101.709574) - (xy 126.844333 101.705667) - (xy 126.829078 101.693961) - (xy 126.732743 101.620041) - (xy 126.728836 101.617043) - (xy 126.642613 101.581328) - (xy 126.59889 101.563217) - (xy 126.598888 101.563216) - (xy 126.594336 101.561331) - (xy 126.45 101.542329) - (xy 126.305664 101.561331) - (xy 126.301112 101.563216) - (xy 126.30111 101.563217) - (xy 126.233806 101.591096) - (xy 126.171165 101.617043) - (xy 126.167261 101.620039) - (xy 126.167257 101.620041) - (xy 126.059574 101.702669) - (xy 126.055667 101.705667) - (xy 126.052669 101.709574) - (xy 125.970041 101.817257) - (xy 125.970039 101.817261) - (xy 125.967043 101.821165) - (xy 125.957997 101.843004) - (xy 125.931107 101.907922) - (xy 125.911331 101.955664) - (xy 125.892329 102.1) - (xy 122.975756 102.1) - (xy 122.945402 102.040426) - (xy 122.932705 102.015506) - (xy 122.932704 102.015505) - (xy 122.930016 102.010229) - (xy 122.839771 101.919984) - (xy 122.812026 101.905847) - (xy 122.771641 101.88527) - (xy 122.726055 101.862043) - (xy 122.6 101.842078) - (xy 122.473945 101.862043) - (xy 122.428359 101.88527) - (xy 122.387975 101.905847) - (xy 122.360229 101.919984) - (xy 122.269984 102.010229) - (xy 122.267296 102.015505) - (xy 122.267295 102.015506) - (xy 122.254598 102.040426) - (xy 122.212043 102.123945) - (xy 122.192078 102.25) - (xy 104.178351 102.25) - (xy 104.147331 102.209574) - (xy 104.144333 102.205667) - (xy 104.130419 102.19499) - (xy 104.058456 102.139771) - (xy 104.028836 102.117043) - (xy 103.968958 102.092241) - (xy 103.89889 102.063217) - (xy 103.898888 102.063216) - (xy 103.894336 102.061331) - (xy 103.75 102.042329) - (xy 103.605664 102.061331) - (xy 103.601112 102.063216) - (xy 103.60111 102.063217) - (xy 103.531368 102.092106) - (xy 103.471165 102.117043) - (xy 103.467261 102.120039) - (xy 103.467257 102.120041) - (xy 103.359574 102.202669) - (xy 103.355667 102.205667) - (xy 103.352669 102.209574) - (xy 103.270041 102.317257) - (xy 103.270039 102.317261) - (xy 103.267043 102.321165) - (xy 103.245039 102.374286) - (xy 103.231571 102.406802) - (xy 103.211331 102.455664) - (xy 103.192329 102.6) - (xy 99.975756 102.6) - (xy 99.987957 102.576055) - (xy 100.007922 102.45) - (xy 99.987957 102.323945) - (xy 99.930016 102.210229) - (xy 99.839771 102.119984) - (xy 99.83324 102.116656) - (xy 99.786656 102.092921) - (xy 99.726055 102.062043) - (xy 99.663027 102.05206) - (xy 99.605847 102.043004) - (xy 99.6 102.042078) - (xy 99.594153 102.043004) - (xy 99.536973 102.05206) - (xy 99.473945 102.062043) - (xy 99.413344 102.092921) - (xy 99.366761 102.116656) - (xy 99.360229 102.119984) - (xy 99.269984 102.210229) - (xy 99.212043 102.323945) - (xy 99.192078 102.45) - (xy 84.657922 102.45) - (xy 84.637957 102.323945) - (xy 84.580016 102.210229) - (xy 84.489771 102.119984) - (xy 84.48324 102.116656) - (xy 84.436656 102.092921) - (xy 84.376055 102.062043) - (xy 84.313027 102.05206) - (xy 84.255847 102.043004) - (xy 84.25 102.042078) - (xy 84.244153 102.043004) - (xy 84.186973 102.05206) - (xy 84.123945 102.062043) - (xy 84.063344 102.092921) - (xy 84.016761 102.116656) - (xy 84.010229 102.119984) - (xy 83.919984 102.210229) - (xy 83.862043 102.323945) - (xy 83.842078 102.45) - (xy 64.879512 102.45) - (xy 64.850072 102.413903) - (xy 64.698528 102.288535) - (xy 64.52552 102.19499) - (xy 64.337637 102.13683) - (xy 64.177896 102.120041) - (xy 64.14569 102.116656) - (xy 64.145689 102.116656) - (xy 64.142035 102.116272) - (xy 64.10062 102.120041) - (xy 63.949824 102.133764) - (xy 63.949823 102.133764) - (xy 63.946166 102.134097) - (xy 63.942641 102.135134) - (xy 63.942638 102.135135) - (xy 63.767155 102.186783) - (xy 63.757489 102.189628) - (xy 63.583192 102.280748) - (xy 63.506552 102.342368) - (xy 63.456336 102.382743) - (xy 63.429912 102.403988) - (xy 63.303489 102.554653) - (xy 63.301718 102.557875) - (xy 63.301717 102.557876) - (xy 63.212598 102.719984) - (xy 63.208739 102.727004) - (xy 63.149269 102.914476) - (xy 63.127345 103.10993) - (xy 62.601373 103.10993) - (xy 62.583921 102.931927) - (xy 62.58392 102.931922) - (xy 62.583561 102.92826) - (xy 62.526714 102.739975) - (xy 62.434379 102.566318) - (xy 62.310072 102.413903) - (xy 62.158528 102.288535) - (xy 61.98552 102.19499) - (xy 61.797637 102.13683) - (xy 61.637896 102.120041) - (xy 61.60569 102.116656) - (xy 61.605689 102.116656) - (xy 61.602035 102.116272) - (xy 61.56062 102.120041) - (xy 61.409824 102.133764) - (xy 61.409823 102.133764) - (xy 61.406166 102.134097) - (xy 61.402641 102.135134) - (xy 61.402638 102.135135) - (xy 61.227155 102.186783) - (xy 61.217489 102.189628) - (xy 61.043192 102.280748) - (xy 60.966552 102.342368) - (xy 60.916336 102.382743) - (xy 60.889912 102.403988) - (xy 60.763489 102.554653) - (xy 60.761718 102.557875) - (xy 60.761717 102.557876) - (xy 60.672598 102.719984) - (xy 60.668739 102.727004) - (xy 60.609269 102.914476) - (xy 60.587345 103.10993) - (xy 46.101 103.10993) - (xy 46.101 100.56993) - (xy 60.587345 100.56993) - (xy 60.587653 100.573598) - (xy 60.587653 100.573601) - (xy 60.590889 100.612138) - (xy 60.603803 100.765919) - (xy 60.658015 100.954979) - (xy 60.747916 101.129908) - (xy 60.870083 101.284044) - (xy 60.872877 101.286422) - (xy 60.872878 101.286423) - (xy 60.97245 101.371165) - (xy 61.019862 101.411516) - (xy 61.023063 101.413305) - (xy 61.023066 101.413307) - (xy 61.061656 101.434874) - (xy 61.191547 101.507467) - (xy 61.195044 101.508603) - (xy 61.195048 101.508605) - (xy 61.248755 101.526055) - (xy 61.3786 101.568244) - (xy 61.485984 101.581049) - (xy 61.570237 101.591096) - (xy 61.570239 101.591096) - (xy 61.573895 101.591532) - (xy 61.769994 101.576443) - (xy 61.884061 101.544595) - (xy 61.955883 101.524542) - (xy 61.955885 101.524541) - (xy 61.959428 101.523552) - (xy 62.040305 101.482698) - (xy 62.131697 101.436533) - (xy 62.131698 101.436532) - (xy 62.134981 101.434874) - (xy 62.219715 101.368672) - (xy 62.287067 101.316051) - (xy 62.289966 101.313786) - (xy 62.41848 101.164901) - (xy 62.441616 101.124174) - (xy 62.51381 100.997091) - (xy 62.513812 100.997088) - (xy 62.515628 100.99389) - (xy 62.550264 100.889771) - (xy 62.576548 100.81076) - (xy 62.576549 100.810757) - (xy 62.57771 100.807266) - (xy 62.60236 100.612138) - (xy 62.602753 100.584) - (xy 62.60179 100.574174) - (xy 62.601374 100.56993) - (xy 63.127345 100.56993) - (xy 63.127653 100.573598) - (xy 63.127653 100.573601) - (xy 63.130889 100.612138) - (xy 63.143803 100.765919) - (xy 63.198015 100.954979) - (xy 63.287916 101.129908) - (xy 63.410083 101.284044) - (xy 63.412877 101.286422) - (xy 63.412878 101.286423) - (xy 63.51245 101.371165) - (xy 63.559862 101.411516) - (xy 63.563063 101.413305) - (xy 63.563066 101.413307) - (xy 63.601656 101.434874) - (xy 63.731547 101.507467) - (xy 63.735044 101.508603) - (xy 63.735048 101.508605) - (xy 63.788755 101.526055) - (xy 63.9186 101.568244) - (xy 64.025984 101.581049) - (xy 64.110237 101.591096) - (xy 64.110239 101.591096) - (xy 64.113895 101.591532) - (xy 64.309994 101.576443) - (xy 64.424061 101.544595) - (xy 64.495883 101.524542) - (xy 64.495885 101.524541) - (xy 64.499428 101.523552) - (xy 64.546053 101.5) - (xy 83.092078 101.5) - (xy 83.112043 101.626055) - (xy 83.132144 101.665506) - (xy 83.166384 101.732705) - (xy 83.169984 101.739771) - (xy 83.260229 101.830016) - (xy 83.265505 101.832704) - (xy 83.265506 101.832705) - (xy 83.283902 101.842078) - (xy 83.373945 101.887957) - (xy 83.379792 101.888883) - (xy 83.486899 101.905847) - (xy 83.5 101.907922) - (xy 83.513102 101.905847) - (xy 83.550018 101.9) - (xy 88.042078 101.9) - (xy 88.062043 102.026055) - (xy 88.070207 102.042078) - (xy 88.114985 102.129959) - (xy 88.119984 102.139771) - (xy 88.210229 102.230016) - (xy 88.215505 102.232704) - (xy 88.215506 102.232705) - (xy 88.247271 102.24889) - (xy 88.323945 102.287957) - (xy 88.45 102.307922) - (xy 88.576055 102.287957) - (xy 88.652729 102.24889) - (xy 88.684494 102.232705) - (xy 88.684495 102.232704) - (xy 88.689771 102.230016) - (xy 88.780016 102.139771) - (xy 88.785016 102.129959) - (xy 88.829793 102.042078) - (xy 88.837957 102.026055) - (xy 88.857922 101.9) - (xy 88.837957 101.773945) - (xy 88.780016 101.660229) - (xy 88.689771 101.569984) - (xy 88.672789 101.561331) - (xy 88.603555 101.526055) - (xy 88.576055 101.512043) - (xy 88.500018 101.5) - (xy 88.455847 101.493004) - (xy 88.45 101.492078) - (xy 88.444153 101.493004) - (xy 88.399982 101.5) - (xy 88.323945 101.512043) - (xy 88.296445 101.526055) - (xy 88.227212 101.561331) - (xy 88.210229 101.569984) - (xy 88.119984 101.660229) - (xy 88.062043 101.773945) - (xy 88.042078 101.9) - (xy 83.550018 101.9) - (xy 83.620208 101.888883) - (xy 83.626055 101.887957) - (xy 83.716098 101.842078) - (xy 83.734494 101.832705) - (xy 83.734495 101.832704) - (xy 83.739771 101.830016) - (xy 83.830016 101.739771) - (xy 83.833617 101.732705) - (xy 83.867856 101.665506) - (xy 83.887957 101.626055) - (xy 83.907922 101.5) - (xy 83.892084 101.4) - (xy 84.842078 101.4) - (xy 84.862043 101.526055) - (xy 84.86473 101.531328) - (xy 84.908404 101.617043) - (xy 84.919984 101.639771) - (xy 85.010229 101.730016) - (xy 85.015505 101.732704) - (xy 85.015506 101.732705) - (xy 85.063344 101.757079) - (xy 85.123945 101.787957) - (xy 85.25 101.807922) - (xy 85.376055 101.787957) - (xy 85.436656 101.757079) - (xy 85.484494 101.732705) - (xy 85.484495 101.732704) - (xy 85.489771 101.730016) - (xy 85.580016 101.639771) - (xy 85.591597 101.617043) - (xy 85.63527 101.531328) - (xy 85.637957 101.526055) - (xy 85.657922 101.4) - (xy 87.092078 101.4) - (xy 87.112043 101.526055) - (xy 87.11473 101.531328) - (xy 87.158404 101.617043) - (xy 87.169984 101.639771) - (xy 87.260229 101.730016) - (xy 87.265505 101.732704) - (xy 87.265506 101.732705) - (xy 87.313344 101.757079) - (xy 87.373945 101.787957) - (xy 87.5 101.807922) - (xy 87.626055 101.787957) - (xy 87.686656 101.757079) - (xy 87.734494 101.732705) - (xy 87.734495 101.732704) - (xy 87.739771 101.730016) - (xy 87.830016 101.639771) - (xy 87.841597 101.617043) - (xy 87.88527 101.531328) - (xy 87.887957 101.526055) - (xy 87.907922 101.4) - (xy 100.142078 101.4) - (xy 100.162043 101.526055) - (xy 100.16473 101.531328) - (xy 100.208404 101.617043) - (xy 100.219984 101.639771) - (xy 100.310229 101.730016) - (xy 100.315505 101.732704) - (xy 100.315506 101.732705) - (xy 100.363344 101.757079) - (xy 100.423945 101.787957) - (xy 100.55 101.807922) - (xy 100.676055 101.787957) - (xy 100.736656 101.757079) - (xy 100.784494 101.732705) - (xy 100.784495 101.732704) - (xy 100.789771 101.730016) - (xy 100.880016 101.639771) - (xy 100.891597 101.617043) - (xy 100.93527 101.531328) - (xy 100.937957 101.526055) - (xy 100.957922 101.4) - (xy 102.442078 101.4) - (xy 102.462043 101.526055) - (xy 102.46473 101.531328) - (xy 102.508404 101.617043) - (xy 102.519984 101.639771) - (xy 102.610229 101.730016) - (xy 102.615505 101.732704) - (xy 102.615506 101.732705) - (xy 102.663344 101.757079) - (xy 102.723945 101.787957) - (xy 102.85 101.807922) - (xy 102.976055 101.787957) - (xy 103.036656 101.757079) - (xy 103.084494 101.732705) - (xy 103.084495 101.732704) - (xy 103.089771 101.730016) - (xy 103.169787 101.65) - (xy 104.192329 101.65) - (xy 104.211331 101.794336) - (xy 104.213216 101.798888) - (xy 104.213217 101.79889) - (xy 104.242106 101.868632) - (xy 104.267043 101.928835) - (xy 104.270039 101.932739) - (xy 104.270041 101.932743) - (xy 104.287629 101.955664) - (xy 104.355667 102.044333) - (xy 104.471164 102.132957) - (xy 104.49773 102.143961) - (xy 104.60111 102.186783) - (xy 104.601112 102.186784) - (xy 104.605664 102.188669) - (xy 104.75 102.207671) - (xy 104.894336 102.188669) - (xy 104.898888 102.186784) - (xy 104.89889 102.186783) - (xy 105.00227 102.143961) - (xy 105.028836 102.132957) - (xy 105.144333 102.044333) - (xy 105.212371 101.955664) - (xy 105.229959 101.932743) - (xy 105.229961 101.932739) - (xy 105.232957 101.928835) - (xy 105.257894 101.868632) - (xy 105.286783 101.79889) - (xy 105.286784 101.798888) - (xy 105.288669 101.794336) - (xy 105.307671 101.65) - (xy 105.288669 101.505664) - (xy 105.283042 101.492078) - (xy 105.265612 101.45) - (xy 113.642078 101.45) - (xy 113.662043 101.576055) - (xy 113.719984 101.689771) - (xy 113.810229 101.780016) - (xy 113.815505 101.782704) - (xy 113.815506 101.782705) - (xy 113.847271 101.79889) - (xy 113.923945 101.837957) - (xy 114.05 101.857922) - (xy 114.176055 101.837957) - (xy 114.252729 101.79889) - (xy 114.284494 101.782705) - (xy 114.284495 101.782704) - (xy 114.289771 101.780016) - (xy 114.380016 101.689771) - (xy 114.437957 101.576055) - (xy 114.457922 101.45) - (xy 122.842078 101.45) - (xy 122.862043 101.576055) - (xy 122.919984 101.689771) - (xy 123.010229 101.780016) - (xy 123.015505 101.782704) - (xy 123.015506 101.782705) - (xy 123.047271 101.79889) - (xy 123.123945 101.837957) - (xy 123.25 101.857922) - (xy 123.376055 101.837957) - (xy 123.452729 101.79889) - (xy 123.484494 101.782705) - (xy 123.484495 101.782704) - (xy 123.489771 101.780016) - (xy 123.580016 101.689771) - (xy 123.637957 101.576055) - (xy 123.657922 101.45) - (xy 123.637957 101.323945) - (xy 123.580016 101.210229) - (xy 123.489771 101.119984) - (xy 123.47946 101.11473) - (xy 123.435002 101.092078) - (xy 123.376055 101.062043) - (xy 123.25 101.042078) - (xy 123.123945 101.062043) - (xy 123.064998 101.092078) - (xy 123.020541 101.11473) - (xy 123.010229 101.119984) - (xy 122.919984 101.210229) - (xy 122.862043 101.323945) - (xy 122.842078 101.45) - (xy 114.457922 101.45) - (xy 114.437957 101.323945) - (xy 114.380016 101.210229) - (xy 114.289771 101.119984) - (xy 114.27946 101.11473) - (xy 114.235002 101.092078) - (xy 114.176055 101.062043) - (xy 114.05 101.042078) - (xy 113.923945 101.062043) - (xy 113.864998 101.092078) - (xy 113.820541 101.11473) - (xy 113.810229 101.119984) - (xy 113.719984 101.210229) - (xy 113.662043 101.323945) - (xy 113.642078 101.45) - (xy 105.265612 101.45) - (xy 105.234841 101.375714) - (xy 105.232957 101.371165) - (xy 105.229961 101.367261) - (xy 105.229959 101.367257) - (xy 105.147331 101.259574) - (xy 105.144333 101.255667) - (xy 105.028836 101.167043) - (xy 104.939185 101.129908) - (xy 104.89889 101.113217) - (xy 104.898888 101.113216) - (xy 104.894336 101.111331) - (xy 104.75 101.092329) - (xy 104.605664 101.111331) - (xy 104.601112 101.113216) - (xy 104.60111 101.113217) - (xy 104.549744 101.134494) - (xy 104.471165 101.167043) - (xy 104.467261 101.170039) - (xy 104.467257 101.170041) - (xy 104.414883 101.210229) - (xy 104.355667 101.255667) - (xy 104.352669 101.259574) - (xy 104.270041 101.367257) - (xy 104.270039 101.367261) - (xy 104.267043 101.371165) - (xy 104.265159 101.375714) - (xy 104.216959 101.492078) - (xy 104.211331 101.505664) - (xy 104.192329 101.65) - (xy 103.169787 101.65) - (xy 103.180016 101.639771) - (xy 103.191597 101.617043) - (xy 103.23527 101.531328) - (xy 103.237957 101.526055) - (xy 103.257922 101.4) - (xy 103.237957 101.273945) - (xy 103.203357 101.206039) - (xy 103.182705 101.165506) - (xy 103.182704 101.165505) - (xy 103.180016 101.160229) - (xy 103.089771 101.069984) - (xy 103.07946 101.06473) - (xy 103.003555 101.026055) - (xy 102.976055 101.012043) - (xy 102.85 100.992078) - (xy 102.723945 101.012043) - (xy 102.696445 101.026055) - (xy 102.620541 101.06473) - (xy 102.610229 101.069984) - (xy 102.519984 101.160229) - (xy 102.517296 101.165505) - (xy 102.517295 101.165506) - (xy 102.496643 101.206039) - (xy 102.462043 101.273945) - (xy 102.442078 101.4) - (xy 100.957922 101.4) - (xy 100.937957 101.273945) - (xy 100.903357 101.206039) - (xy 100.882705 101.165506) - (xy 100.882704 101.165505) - (xy 100.880016 101.160229) - (xy 100.789771 101.069984) - (xy 100.77946 101.06473) - (xy 100.703555 101.026055) - (xy 100.676055 101.012043) - (xy 100.55 100.992078) - (xy 100.423945 101.012043) - (xy 100.396445 101.026055) - (xy 100.320541 101.06473) - (xy 100.310229 101.069984) - (xy 100.219984 101.160229) - (xy 100.217296 101.165505) - (xy 100.217295 101.165506) - (xy 100.196643 101.206039) - (xy 100.162043 101.273945) - (xy 100.142078 101.4) - (xy 87.907922 101.4) - (xy 87.887957 101.273945) - (xy 87.853357 101.206039) - (xy 87.832705 101.165506) - (xy 87.832704 101.165505) - (xy 87.830016 101.160229) - (xy 87.739771 101.069984) - (xy 87.72946 101.06473) - (xy 87.653555 101.026055) - (xy 87.626055 101.012043) - (xy 87.5 100.992078) - (xy 87.373945 101.012043) - (xy 87.346445 101.026055) - (xy 87.270541 101.06473) - (xy 87.260229 101.069984) - (xy 87.169984 101.160229) - (xy 87.167296 101.165505) - (xy 87.167295 101.165506) - (xy 87.146643 101.206039) - (xy 87.112043 101.273945) - (xy 87.092078 101.4) - (xy 85.657922 101.4) - (xy 85.637957 101.273945) - (xy 85.603357 101.206039) - (xy 85.582705 101.165506) - (xy 85.582704 101.165505) - (xy 85.580016 101.160229) - (xy 85.489771 101.069984) - (xy 85.47946 101.06473) - (xy 85.403555 101.026055) - (xy 85.376055 101.012043) - (xy 85.25 100.992078) - (xy 85.123945 101.012043) - (xy 85.096445 101.026055) - (xy 85.020541 101.06473) - (xy 85.010229 101.069984) - (xy 84.919984 101.160229) - (xy 84.917296 101.165505) - (xy 84.917295 101.165506) - (xy 84.896643 101.206039) - (xy 84.862043 101.273945) - (xy 84.842078 101.4) - (xy 83.892084 101.4) - (xy 83.887957 101.373945) - (xy 83.844144 101.287957) - (xy 83.832705 101.265506) - (xy 83.832704 101.265505) - (xy 83.830016 101.260229) - (xy 83.739771 101.169984) - (xy 83.730983 101.165506) - (xy 83.654695 101.126636) - (xy 83.626055 101.112043) - (xy 83.5 101.092078) - (xy 83.373945 101.112043) - (xy 83.345305 101.126636) - (xy 83.269018 101.165506) - (xy 83.260229 101.169984) - (xy 83.169984 101.260229) - (xy 83.167296 101.265505) - (xy 83.167295 101.265506) - (xy 83.155856 101.287957) - (xy 83.112043 101.373945) - (xy 83.092078 101.5) - (xy 64.546053 101.5) - (xy 64.580305 101.482698) - (xy 64.671697 101.436533) - (xy 64.671698 101.436532) - (xy 64.674981 101.434874) - (xy 64.759715 101.368672) - (xy 64.827067 101.316051) - (xy 64.829966 101.313786) - (xy 64.95848 101.164901) - (xy 64.981616 101.124174) - (xy 65.05381 100.997091) - (xy 65.053812 100.997088) - (xy 65.055628 100.99389) - (xy 65.086861 100.9) - (xy 99.242078 100.9) - (xy 99.262043 101.026055) - (xy 99.268579 101.038883) - (xy 99.316428 101.132791) - (xy 99.319984 101.139771) - (xy 99.410229 101.230016) - (xy 99.523945 101.287957) - (xy 99.65 101.307922) - (xy 99.776055 101.287957) - (xy 99.889771 101.230016) - (xy 99.980016 101.139771) - (xy 99.983573 101.132791) - (xy 100.031421 101.038883) - (xy 100.037957 101.026055) - (xy 100.057922 100.9) - (xy 100.037957 100.773945) - (xy 99.980016 100.660229) - (xy 99.969787 100.65) - (xy 122.192078 100.65) - (xy 122.212043 100.776055) - (xy 122.269984 100.889771) - (xy 122.360229 100.980016) - (xy 122.365505 100.982704) - (xy 122.365506 100.982705) - (xy 122.413344 101.007079) - (xy 122.473945 101.037957) - (xy 122.6 101.057922) - (xy 122.726055 101.037957) - (xy 122.786656 101.007079) - (xy 122.834494 100.982705) - (xy 122.834495 100.982704) - (xy 122.839771 100.980016) - (xy 122.930016 100.889771) - (xy 122.987957 100.776055) - (xy 123.007922 100.65) - (xy 122.987957 100.523945) - (xy 122.930016 100.410229) - (xy 122.839771 100.319984) - (xy 122.726055 100.262043) - (xy 122.6 100.242078) - (xy 122.473945 100.262043) - (xy 122.360229 100.319984) - (xy 122.269984 100.410229) - (xy 122.212043 100.523945) - (xy 122.192078 100.65) - (xy 99.969787 100.65) - (xy 99.889771 100.569984) - (xy 99.776055 100.512043) - (xy 99.65 100.492078) - (xy 99.523945 100.512043) - (xy 99.410229 100.569984) - (xy 99.319984 100.660229) - (xy 99.262043 100.773945) - (xy 99.242078 100.9) - (xy 65.086861 100.9) - (xy 65.090264 100.889771) - (xy 65.116548 100.81076) - (xy 65.116549 100.810757) - (xy 65.11771 100.807266) - (xy 65.14236 100.612138) - (xy 65.142753 100.584) - (xy 65.14179 100.574174) - (xy 65.123921 100.391927) - (xy 65.12392 100.391922) - (xy 65.123561 100.38826) - (xy 65.066714 100.199975) - (xy 64.986971 100.05) - (xy 67.742078 100.05) - (xy 67.762043 100.176055) - (xy 67.819984 100.289771) - (xy 67.910229 100.380016) - (xy 67.915505 100.382704) - (xy 67.915506 100.382705) - (xy 67.961302 100.406039) - (xy 68.023945 100.437957) - (xy 68.15 100.457922) - (xy 68.276055 100.437957) - (xy 68.338698 100.406039) - (xy 68.384494 100.382705) - (xy 68.384495 100.382704) - (xy 68.389771 100.380016) - (xy 68.480016 100.289771) - (xy 68.537957 100.176055) - (xy 68.557922 100.05) - (xy 69.442078 100.05) - (xy 69.462043 100.176055) - (xy 69.519984 100.289771) - (xy 69.610229 100.380016) - (xy 69.615505 100.382704) - (xy 69.615506 100.382705) - (xy 69.661302 100.406039) - (xy 69.723945 100.437957) - (xy 69.85 100.457922) - (xy 69.976055 100.437957) - (xy 70.038698 100.406039) - (xy 70.084494 100.382705) - (xy 70.084495 100.382704) - (xy 70.089771 100.380016) - (xy 70.180016 100.289771) - (xy 70.237957 100.176055) - (xy 70.257922 100.05) - (xy 70.237957 99.923945) - (xy 70.180016 99.810229) - (xy 70.089771 99.719984) - (xy 69.976055 99.662043) - (xy 69.891109 99.648589) - (xy 69.855847 99.643004) - (xy 69.85 99.642078) - (xy 69.844153 99.643004) - (xy 69.808891 99.648589) - (xy 69.723945 99.662043) - (xy 69.610229 99.719984) - (xy 69.519984 99.810229) - (xy 69.462043 99.923945) - (xy 69.442078 100.05) - (xy 68.557922 100.05) - (xy 68.537957 99.923945) - (xy 68.480016 99.810229) - (xy 68.389771 99.719984) - (xy 68.276055 99.662043) - (xy 68.191109 99.648589) - (xy 68.155847 99.643004) - (xy 68.15 99.642078) - (xy 68.144153 99.643004) - (xy 68.108891 99.648589) - (xy 68.023945 99.662043) - (xy 67.910229 99.719984) - (xy 67.819984 99.810229) - (xy 67.762043 99.923945) - (xy 67.742078 100.05) - (xy 64.986971 100.05) - (xy 64.974379 100.026318) - (xy 64.850072 99.873903) - (xy 64.698528 99.748535) - (xy 64.52552 99.65499) - (xy 64.337637 99.59683) - (xy 64.214766 99.583916) - (xy 64.14569 99.576656) - (xy 64.145689 99.576656) - (xy 64.142035 99.576272) - (xy 64.051688 99.584494) - (xy 63.949824 99.593764) - (xy 63.949823 99.593764) - (xy 63.946166 99.594097) - (xy 63.942641 99.595134) - (xy 63.942638 99.595135) - (xy 63.776091 99.644153) - (xy 63.757489 99.649628) - (xy 63.583192 99.740748) - (xy 63.546551 99.770208) - (xy 63.437457 99.857922) - (xy 63.429912 99.863988) - (xy 63.303489 100.014653) - (xy 63.301718 100.017875) - (xy 63.301717 100.017876) - (xy 63.280211 100.056996) - (xy 63.208739 100.187004) - (xy 63.149269 100.374476) - (xy 63.127345 100.56993) - (xy 62.601374 100.56993) - (xy 62.583921 100.391927) - (xy 62.58392 100.391922) - (xy 62.583561 100.38826) - (xy 62.526714 100.199975) - (xy 62.434379 100.026318) - (xy 62.310072 99.873903) - (xy 62.158528 99.748535) - (xy 61.98552 99.65499) - (xy 61.797637 99.59683) - (xy 61.674766 99.583916) - (xy 61.60569 99.576656) - (xy 61.605689 99.576656) - (xy 61.602035 99.576272) - (xy 61.511688 99.584494) - (xy 61.409824 99.593764) - (xy 61.409823 99.593764) - (xy 61.406166 99.594097) - (xy 61.402641 99.595134) - (xy 61.402638 99.595135) - (xy 61.236091 99.644153) - (xy 61.217489 99.649628) - (xy 61.043192 99.740748) - (xy 61.006551 99.770208) - (xy 60.897457 99.857922) - (xy 60.889912 99.863988) - (xy 60.763489 100.014653) - (xy 60.761718 100.017875) - (xy 60.761717 100.017876) - (xy 60.740211 100.056996) - (xy 60.668739 100.187004) - (xy 60.609269 100.374476) - (xy 60.587345 100.56993) - (xy 46.101 100.56993) - (xy 46.101 99.1) - (xy 68.592078 99.1) - (xy 68.612043 99.226055) - (xy 68.620207 99.242078) - (xy 68.659234 99.318672) - (xy 68.669984 99.339771) - (xy 68.760229 99.430016) - (xy 68.765505 99.432704) - (xy 68.765506 99.432705) - (xy 68.79945 99.45) - (xy 68.873945 99.487957) - (xy 69 99.507922) - (xy 69.126055 99.487957) - (xy 69.20055 99.45) - (xy 82.542078 99.45) - (xy 82.562043 99.576055) - (xy 82.582144 99.615506) - (xy 82.616384 99.682705) - (xy 82.619984 99.689771) - (xy 82.710229 99.780016) - (xy 82.715505 99.782704) - (xy 82.715506 99.782705) - (xy 82.761302 99.806039) - (xy 82.823945 99.837957) - (xy 82.829792 99.838883) - (xy 82.936899 99.855847) - (xy 82.95 99.857922) - (xy 82.963102 99.855847) - (xy 83.070208 99.838883) - (xy 83.076055 99.837957) - (xy 83.138698 99.806039) - (xy 83.184494 99.782705) - (xy 83.184495 99.782704) - (xy 83.189771 99.780016) - (xy 83.280016 99.689771) - (xy 83.283617 99.682705) - (xy 83.317856 99.615506) - (xy 83.337957 99.576055) - (xy 83.357922 99.45) - (xy 83.342084 99.35) - (xy 84.842078 99.35) - (xy 84.862043 99.476055) - (xy 84.868579 99.488883) - (xy 84.913302 99.576656) - (xy 84.919984 99.589771) - (xy 85.010229 99.680016) - (xy 85.015505 99.682704) - (xy 85.015506 99.682705) - (xy 85.063344 99.707079) - (xy 85.123945 99.737957) - (xy 85.25 99.757922) - (xy 85.376055 99.737957) - (xy 85.436656 99.707079) - (xy 85.484494 99.682705) - (xy 85.484495 99.682704) - (xy 85.489771 99.680016) - (xy 85.580016 99.589771) - (xy 85.586699 99.576656) - (xy 85.631421 99.488883) - (xy 85.637957 99.476055) - (xy 85.657922 99.35) - (xy 87.092078 99.35) - (xy 87.112043 99.476055) - (xy 87.118579 99.488883) - (xy 87.163302 99.576656) - (xy 87.169984 99.589771) - (xy 87.260229 99.680016) - (xy 87.265505 99.682704) - (xy 87.265506 99.682705) - (xy 87.313344 99.707079) - (xy 87.373945 99.737957) - (xy 87.5 99.757922) - (xy 87.626055 99.737957) - (xy 87.686656 99.707079) - (xy 87.734494 99.682705) - (xy 87.734495 99.682704) - (xy 87.739771 99.680016) - (xy 87.769787 99.65) - (xy 88.092078 99.65) - (xy 88.112043 99.776055) - (xy 88.132144 99.815506) - (xy 88.155674 99.861685) - (xy 88.169984 99.889771) - (xy 88.260229 99.980016) - (xy 88.373945 100.037957) - (xy 88.379792 100.038883) - (xy 88.486899 100.055847) - (xy 88.5 100.057922) - (xy 88.513102 100.055847) - (xy 88.620208 100.038883) - (xy 88.626055 100.037957) - (xy 88.739771 99.980016) - (xy 88.819787 99.9) - (xy 99.242078 99.9) - (xy 99.262043 100.026055) - (xy 99.319984 100.139771) - (xy 99.410229 100.230016) - (xy 99.415505 100.232704) - (xy 99.415506 100.232705) - (xy 99.433902 100.242078) - (xy 99.523945 100.287957) - (xy 99.65 100.307922) - (xy 99.776055 100.287957) - (xy 99.866098 100.242078) - (xy 99.884494 100.232705) - (xy 99.884495 100.232704) - (xy 99.889771 100.230016) - (xy 99.980016 100.139771) - (xy 100.037957 100.026055) - (xy 100.057922 99.9) - (xy 100.050003 99.85) - (xy 114.292078 99.85) - (xy 114.312043 99.976055) - (xy 114.369984 100.089771) - (xy 114.460229 100.180016) - (xy 114.465505 100.182704) - (xy 114.465506 100.182705) - (xy 114.493034 100.196731) - (xy 114.573945 100.237957) - (xy 114.7 100.257922) - (xy 114.826055 100.237957) - (xy 114.906966 100.196731) - (xy 114.934494 100.182705) - (xy 114.934495 100.182704) - (xy 114.939771 100.180016) - (xy 115.030016 100.089771) - (xy 115.087957 99.976055) - (xy 115.107922 99.85) - (xy 122.842078 99.85) - (xy 122.862043 99.976055) - (xy 122.919984 100.089771) - (xy 123.010229 100.180016) - (xy 123.015505 100.182704) - (xy 123.015506 100.182705) - (xy 123.043034 100.196731) - (xy 123.123945 100.237957) - (xy 123.25 100.257922) - (xy 123.376055 100.237957) - (xy 123.456966 100.196731) - (xy 123.484494 100.182705) - (xy 123.484495 100.182704) - (xy 123.489771 100.180016) - (xy 123.580016 100.089771) - (xy 123.637957 99.976055) - (xy 123.657922 99.85) - (xy 123.637957 99.723945) - (xy 123.602269 99.653903) - (xy 123.582705 99.615506) - (xy 123.582704 99.615505) - (xy 123.580016 99.610229) - (xy 123.489771 99.519984) - (xy 123.47946 99.51473) - (xy 123.403555 99.476055) - (xy 123.376055 99.462043) - (xy 123.300018 99.45) - (xy 123.255847 99.443004) - (xy 123.25 99.442078) - (xy 123.244153 99.443004) - (xy 123.199982 99.45) - (xy 123.123945 99.462043) - (xy 123.096445 99.476055) - (xy 123.020541 99.51473) - (xy 123.010229 99.519984) - (xy 122.919984 99.610229) - (xy 122.917296 99.615505) - (xy 122.917295 99.615506) - (xy 122.897731 99.653903) - (xy 122.862043 99.723945) - (xy 122.842078 99.85) - (xy 115.107922 99.85) - (xy 115.087957 99.723945) - (xy 115.052269 99.653903) - (xy 115.032705 99.615506) - (xy 115.032704 99.615505) - (xy 115.030016 99.610229) - (xy 114.939771 99.519984) - (xy 114.92946 99.51473) - (xy 114.853555 99.476055) - (xy 114.826055 99.462043) - (xy 114.750018 99.45) - (xy 114.705847 99.443004) - (xy 114.7 99.442078) - (xy 114.694153 99.443004) - (xy 114.649982 99.45) - (xy 114.573945 99.462043) - (xy 114.546445 99.476055) - (xy 114.470541 99.51473) - (xy 114.460229 99.519984) - (xy 114.369984 99.610229) - (xy 114.367296 99.615505) - (xy 114.367295 99.615506) - (xy 114.347731 99.653903) - (xy 114.312043 99.723945) - (xy 114.292078 99.85) - (xy 100.050003 99.85) - (xy 100.037957 99.773945) - (xy 99.980016 99.660229) - (xy 99.889771 99.569984) - (xy 99.776055 99.512043) - (xy 99.65 99.492078) - (xy 99.523945 99.512043) - (xy 99.410229 99.569984) - (xy 99.319984 99.660229) - (xy 99.262043 99.773945) - (xy 99.242078 99.9) - (xy 88.819787 99.9) - (xy 88.830016 99.889771) - (xy 88.844327 99.861685) - (xy 88.867856 99.815506) - (xy 88.887957 99.776055) - (xy 88.907922 99.65) - (xy 88.887957 99.523945) - (xy 88.830016 99.410229) - (xy 88.819787 99.4) - (xy 102.442078 99.4) - (xy 102.462043 99.526055) - (xy 102.46473 99.531328) - (xy 102.498105 99.59683) - (xy 102.519984 99.639771) - (xy 102.610229 99.730016) - (xy 102.615505 99.732704) - (xy 102.615506 99.732705) - (xy 102.643146 99.746788) - (xy 102.723945 99.787957) - (xy 102.85 99.807922) - (xy 102.976055 99.787957) - (xy 103.056854 99.746788) - (xy 103.084494 99.732705) - (xy 103.084495 99.732704) - (xy 103.089771 99.730016) - (xy 103.180016 99.639771) - (xy 103.201896 99.59683) - (xy 103.23527 99.531328) - (xy 103.237957 99.526055) - (xy 103.257922 99.4) - (xy 103.237957 99.273945) - (xy 103.203357 99.206039) - (xy 103.182705 99.165506) - (xy 103.182704 99.165505) - (xy 103.180016 99.160229) - (xy 103.089771 99.069984) - (xy 103.07946 99.06473) - (xy 103.05055 99.05) - (xy 113.642078 99.05) - (xy 113.662043 99.176055) - (xy 113.682144 99.215506) - (xy 113.709234 99.268672) - (xy 113.719984 99.289771) - (xy 113.810229 99.380016) - (xy 113.815505 99.382704) - (xy 113.815506 99.382705) - (xy 113.84945 99.4) - (xy 113.923945 99.437957) - (xy 113.929792 99.438883) - (xy 114.036899 99.455847) - (xy 114.05 99.457922) - (xy 114.063102 99.455847) - (xy 114.170208 99.438883) - (xy 114.176055 99.437957) - (xy 114.25055 99.4) - (xy 114.284494 99.382705) - (xy 114.284495 99.382704) - (xy 114.289771 99.380016) - (xy 114.380016 99.289771) - (xy 114.390767 99.268672) - (xy 114.417856 99.215506) - (xy 114.437957 99.176055) - (xy 114.457922 99.05) - (xy 122.192078 99.05) - (xy 122.212043 99.176055) - (xy 122.232144 99.215506) - (xy 122.259234 99.268672) - (xy 122.269984 99.289771) - (xy 122.360229 99.380016) - (xy 122.365505 99.382704) - (xy 122.365506 99.382705) - (xy 122.39945 99.4) - (xy 122.473945 99.437957) - (xy 122.479792 99.438883) - (xy 122.586899 99.455847) - (xy 122.6 99.457922) - (xy 122.613102 99.455847) - (xy 122.720208 99.438883) - (xy 122.726055 99.437957) - (xy 122.80055 99.4) - (xy 122.834494 99.382705) - (xy 122.834495 99.382704) - (xy 122.839771 99.380016) - (xy 122.930016 99.289771) - (xy 122.940767 99.268672) - (xy 122.967856 99.215506) - (xy 122.987957 99.176055) - (xy 123.007922 99.05) - (xy 123.00582 99.036725) - (xy 122.989344 98.932705) - (xy 122.987957 98.923945) - (xy 122.930016 98.810229) - (xy 122.839771 98.719984) - (xy 122.82946 98.71473) - (xy 122.785002 98.692078) - (xy 122.726055 98.662043) - (xy 122.6 98.642078) - (xy 122.473945 98.662043) - (xy 122.414998 98.692078) - (xy 122.370541 98.71473) - (xy 122.360229 98.719984) - (xy 122.269984 98.810229) - (xy 122.212043 98.923945) - (xy 122.210656 98.932705) - (xy 122.194181 99.036725) - (xy 122.192078 99.05) - (xy 114.457922 99.05) - (xy 114.45582 99.036725) - (xy 114.439344 98.932705) - (xy 114.437957 98.923945) - (xy 114.380016 98.810229) - (xy 114.289771 98.719984) - (xy 114.27946 98.71473) - (xy 114.235002 98.692078) - (xy 114.176055 98.662043) - (xy 114.05 98.642078) - (xy 113.923945 98.662043) - (xy 113.864998 98.692078) - (xy 113.820541 98.71473) - (xy 113.810229 98.719984) - (xy 113.719984 98.810229) - (xy 113.662043 98.923945) - (xy 113.660656 98.932705) - (xy 113.644181 99.036725) - (xy 113.642078 99.05) - (xy 103.05055 99.05) - (xy 103.003555 99.026055) - (xy 102.976055 99.012043) - (xy 102.85 98.992078) - (xy 102.723945 99.012043) - (xy 102.696445 99.026055) - (xy 102.620541 99.06473) - (xy 102.610229 99.069984) - (xy 102.519984 99.160229) - (xy 102.517296 99.165505) - (xy 102.517295 99.165506) - (xy 102.496643 99.206039) - (xy 102.462043 99.273945) - (xy 102.442078 99.4) - (xy 88.819787 99.4) - (xy 88.739771 99.319984) - (xy 88.626055 99.262043) - (xy 88.5 99.242078) - (xy 88.373945 99.262043) - (xy 88.260229 99.319984) - (xy 88.169984 99.410229) - (xy 88.112043 99.523945) - (xy 88.092078 99.65) - (xy 87.769787 99.65) - (xy 87.830016 99.589771) - (xy 87.836699 99.576656) - (xy 87.881421 99.488883) - (xy 87.887957 99.476055) - (xy 87.907922 99.35) - (xy 87.887957 99.223945) - (xy 87.84238 99.134494) - (xy 87.832705 99.115506) - (xy 87.832704 99.115505) - (xy 87.830016 99.110229) - (xy 87.739771 99.019984) - (xy 87.72946 99.01473) - (xy 87.665009 98.981891) - (xy 87.626055 98.962043) - (xy 87.5 98.942078) - (xy 87.373945 98.962043) - (xy 87.334991 98.981891) - (xy 87.270541 99.01473) - (xy 87.260229 99.019984) - (xy 87.169984 99.110229) - (xy 87.167296 99.115505) - (xy 87.167295 99.115506) - (xy 87.15762 99.134494) - (xy 87.112043 99.223945) - (xy 87.092078 99.35) - (xy 85.657922 99.35) - (xy 85.637957 99.223945) - (xy 85.59238 99.134494) - (xy 85.582705 99.115506) - (xy 85.582704 99.115505) - (xy 85.580016 99.110229) - (xy 85.489771 99.019984) - (xy 85.47946 99.01473) - (xy 85.415009 98.981891) - (xy 85.376055 98.962043) - (xy 85.25 98.942078) - (xy 85.123945 98.962043) - (xy 85.084991 98.981891) - (xy 85.020541 99.01473) - (xy 85.010229 99.019984) - (xy 84.919984 99.110229) - (xy 84.917296 99.115505) - (xy 84.917295 99.115506) - (xy 84.90762 99.134494) - (xy 84.862043 99.223945) - (xy 84.842078 99.35) - (xy 83.342084 99.35) - (xy 83.337957 99.323945) - (xy 83.291468 99.232705) - (xy 83.282705 99.215506) - (xy 83.282704 99.215505) - (xy 83.280016 99.210229) - (xy 83.189771 99.119984) - (xy 83.180983 99.115506) - (xy 83.136656 99.092921) - (xy 83.076055 99.062043) - (xy 83.000018 99.05) - (xy 82.955847 99.043004) - (xy 82.95 99.042078) - (xy 82.944153 99.043004) - (xy 82.899982 99.05) - (xy 82.823945 99.062043) - (xy 82.763344 99.092921) - (xy 82.719018 99.115506) - (xy 82.710229 99.119984) - (xy 82.619984 99.210229) - (xy 82.617296 99.215505) - (xy 82.617295 99.215506) - (xy 82.608532 99.232705) - (xy 82.562043 99.323945) - (xy 82.542078 99.45) - (xy 69.20055 99.45) - (xy 69.234494 99.432705) - (xy 69.234495 99.432704) - (xy 69.239771 99.430016) - (xy 69.330016 99.339771) - (xy 69.340767 99.318672) - (xy 69.379793 99.242078) - (xy 69.387957 99.226055) - (xy 69.407922 99.1) - (xy 69.387957 98.973945) - (xy 69.348297 98.896108) - (xy 69.332705 98.865506) - (xy 69.332704 98.865505) - (xy 69.330016 98.860229) - (xy 69.239771 98.769984) - (xy 69.126055 98.712043) - (xy 69 98.692078) - (xy 68.873945 98.712043) - (xy 68.760229 98.769984) - (xy 68.669984 98.860229) - (xy 68.667296 98.865505) - (xy 68.667295 98.865506) - (xy 68.651703 98.896108) - (xy 68.612043 98.973945) - (xy 68.592078 99.1) - (xy 46.101 99.1) - (xy 46.101 98.853079) - (xy 61.003283 98.853079) - (xy 61.004557 98.857833) - (xy 61.017355 98.868725) - (xy 61.023353 98.872894) - (xy 61.188537 98.965211) - (xy 61.19525 98.968144) - (xy 61.37521 99.026616) - (xy 61.382358 99.028188) - (xy 61.570248 99.050593) - (xy 61.577581 99.050746) - (xy 61.766239 99.03623) - (xy 61.773447 99.034959) - (xy 61.955701 98.984073) - (xy 61.962534 98.981422) - (xy 62.131428 98.896108) - (xy 62.137611 98.892184) - (xy 62.17962 98.859363) - (xy 62.185348 98.850196) - (xy 62.18474 98.845872) - (xy 61.604377 98.265509) - (xy 61.594578 98.26094) - (xy 61.588587 98.262545) - (xy 61.007852 98.84328) - (xy 61.003283 98.853079) - (xy 46.101 98.853079) - (xy 46.101 98.033608) - (xy 60.588156 98.033608) - (xy 60.60399 98.222161) - (xy 60.605312 98.229363) - (xy 60.65747 98.411261) - (xy 60.660164 98.418063) - (xy 60.746657 98.586363) - (xy 60.750623 98.592518) - (xy 60.779406 98.628832) - (xy 60.788614 98.634497) - (xy 60.793022 98.633846) - (xy 61.373491 98.053377) - (xy 61.377666 98.044422) - (xy 61.81194 98.044422) - (xy 61.813545 98.050413) - (xy 62.394643 98.631511) - (xy 62.404442 98.63608) - (xy 62.409291 98.634781) - (xy 62.415668 98.627393) - (xy 62.419884 98.621417) - (xy 62.513354 98.45688) - (xy 62.516328 98.4502) - (xy 62.576059 98.270641) - (xy 62.57768 98.263507) - (xy 62.601593 98.074215) - (xy 62.601886 98.07002) - (xy 62.602221 98.046099) - (xy 62.602045 98.041907) - (xy 62.600871 98.02993) - (xy 63.127345 98.02993) - (xy 63.127653 98.033598) - (xy 63.127653 98.033601) - (xy 63.137935 98.156039) - (xy 63.143803 98.225919) - (xy 63.198015 98.414979) - (xy 63.287916 98.589908) - (xy 63.410083 98.744044) - (xy 63.412877 98.746422) - (xy 63.412878 98.746423) - (xy 63.512848 98.831504) - (xy 63.559862 98.871516) - (xy 63.563063 98.873305) - (xy 63.563066 98.873307) - (xy 63.600366 98.894153) - (xy 63.731547 98.967467) - (xy 63.735044 98.968603) - (xy 63.735048 98.968605) - (xy 63.807292 98.992078) - (xy 63.9186 99.028244) - (xy 64.025984 99.041049) - (xy 64.110237 99.051096) - (xy 64.110239 99.051096) - (xy 64.113895 99.051532) - (xy 64.309994 99.036443) - (xy 64.465575 98.993004) - (xy 64.495883 98.984542) - (xy 64.495885 98.984541) - (xy 64.499428 98.983552) - (xy 64.674981 98.894874) - (xy 64.829966 98.773786) - (xy 64.95848 98.624901) - (xy 64.972626 98.6) - (xy 83.092078 98.6) - (xy 83.093004 98.605847) - (xy 83.097069 98.631511) - (xy 83.112043 98.726055) - (xy 83.169984 98.839771) - (xy 83.260229 98.930016) - (xy 83.373945 98.987957) - (xy 83.5 99.007922) - (xy 83.626055 98.987957) - (xy 83.739771 98.930016) - (xy 83.769787 98.9) - (xy 100.142078 98.9) - (xy 100.162043 99.026055) - (xy 100.219984 99.139771) - (xy 100.310229 99.230016) - (xy 100.423945 99.287957) - (xy 100.55 99.307922) - (xy 100.676055 99.287957) - (xy 100.789771 99.230016) - (xy 100.880016 99.139771) - (xy 100.937957 99.026055) - (xy 100.957922 98.9) - (xy 100.950491 98.853079) - (xy 100.938883 98.779792) - (xy 100.937957 98.773945) - (xy 100.880016 98.660229) - (xy 100.789771 98.569984) - (xy 100.676055 98.512043) - (xy 100.55 98.492078) - (xy 100.423945 98.512043) - (xy 100.310229 98.569984) - (xy 100.219984 98.660229) - (xy 100.162043 98.773945) - (xy 100.161117 98.779792) - (xy 100.14951 98.853079) - (xy 100.142078 98.9) - (xy 83.769787 98.9) - (xy 83.830016 98.839771) - (xy 83.887957 98.726055) - (xy 83.902931 98.631511) - (xy 83.906996 98.605847) - (xy 83.907922 98.6) - (xy 83.887957 98.473945) - (xy 83.85028 98.4) - (xy 88.042078 98.4) - (xy 88.043004 98.405847) - (xy 88.04389 98.411443) - (xy 88.062043 98.526055) - (xy 88.119984 98.639771) - (xy 88.210229 98.730016) - (xy 88.215505 98.732704) - (xy 88.215506 98.732705) - (xy 88.263344 98.757079) - (xy 88.323945 98.787957) - (xy 88.45 98.807922) - (xy 88.576055 98.787957) - (xy 88.636656 98.757079) - (xy 88.684494 98.732705) - (xy 88.684495 98.732704) - (xy 88.689771 98.730016) - (xy 88.780016 98.639771) - (xy 88.837957 98.526055) - (xy 88.85611 98.411443) - (xy 88.856996 98.405847) - (xy 88.857922 98.4) - (xy 102.442078 98.4) - (xy 102.443004 98.405847) - (xy 102.44389 98.411443) - (xy 102.462043 98.526055) - (xy 102.519984 98.639771) - (xy 102.610229 98.730016) - (xy 102.615505 98.732704) - (xy 102.615506 98.732705) - (xy 102.663344 98.757079) - (xy 102.723945 98.787957) - (xy 102.85 98.807922) - (xy 102.976055 98.787957) - (xy 103.036656 98.757079) - (xy 103.084494 98.732705) - (xy 103.084495 98.732704) - (xy 103.089771 98.730016) - (xy 103.180016 98.639771) - (xy 103.237957 98.526055) - (xy 103.25611 98.411443) - (xy 103.256996 98.405847) - (xy 103.257922 98.4) - (xy 103.237957 98.273945) - (xy 103.180016 98.160229) - (xy 103.089771 98.069984) - (xy 102.976055 98.012043) - (xy 102.900018 98) - (xy 106.542078 98) - (xy 106.543004 98.005847) - (xy 106.546818 98.02993) - (xy 106.562043 98.126055) - (xy 106.582144 98.165506) - (xy 106.616384 98.232705) - (xy 106.619984 98.239771) - (xy 106.710229 98.330016) - (xy 106.823945 98.387957) - (xy 106.829792 98.388883) - (xy 106.936899 98.405847) - (xy 106.95 98.407922) - (xy 106.963102 98.405847) - (xy 107.070208 98.388883) - (xy 107.076055 98.387957) - (xy 107.189771 98.330016) - (xy 107.269787 98.25) - (xy 114.292078 98.25) - (xy 114.312043 98.376055) - (xy 114.324244 98.4) - (xy 114.359234 98.468672) - (xy 114.369984 98.489771) - (xy 114.460229 98.580016) - (xy 114.465505 98.582704) - (xy 114.465506 98.582705) - (xy 114.49945 98.6) - (xy 114.573945 98.637957) - (xy 114.7 98.657922) - (xy 114.826055 98.637957) - (xy 114.90055 98.6) - (xy 114.934494 98.582705) - (xy 114.934495 98.582704) - (xy 114.939771 98.580016) - (xy 115.030016 98.489771) - (xy 115.040767 98.468672) - (xy 115.075756 98.4) - (xy 115.087957 98.376055) - (xy 115.107922 98.25) - (xy 122.842078 98.25) - (xy 122.862043 98.376055) - (xy 122.874244 98.4) - (xy 122.909234 98.468672) - (xy 122.919984 98.489771) - (xy 123.010229 98.580016) - (xy 123.015505 98.582704) - (xy 123.015506 98.582705) - (xy 123.04945 98.6) - (xy 123.123945 98.637957) - (xy 123.25 98.657922) - (xy 123.376055 98.637957) - (xy 123.45055 98.6) - (xy 123.484494 98.582705) - (xy 123.484495 98.582704) - (xy 123.489771 98.580016) - (xy 123.580016 98.489771) - (xy 123.590767 98.468672) - (xy 123.625756 98.4) - (xy 123.637957 98.376055) - (xy 123.657922 98.25) - (xy 123.637957 98.123945) - (xy 123.591925 98.033601) - (xy 123.582705 98.015506) - (xy 123.582704 98.015505) - (xy 123.580016 98.010229) - (xy 123.489771 97.919984) - (xy 123.462026 97.905847) - (xy 123.389065 97.868672) - (xy 123.376055 97.862043) - (xy 123.266751 97.844731) - (xy 123.255847 97.843004) - (xy 123.25 97.842078) - (xy 123.244153 97.843004) - (xy 123.233249 97.844731) - (xy 123.123945 97.862043) - (xy 123.110935 97.868672) - (xy 123.037975 97.905847) - (xy 123.010229 97.919984) - (xy 122.919984 98.010229) - (xy 122.917296 98.015505) - (xy 122.917295 98.015506) - (xy 122.908075 98.033601) - (xy 122.862043 98.123945) - (xy 122.842078 98.25) - (xy 115.107922 98.25) - (xy 115.087957 98.123945) - (xy 115.041925 98.033601) - (xy 115.032705 98.015506) - (xy 115.032704 98.015505) - (xy 115.030016 98.010229) - (xy 114.939771 97.919984) - (xy 114.912026 97.905847) - (xy 114.839065 97.868672) - (xy 114.826055 97.862043) - (xy 114.716751 97.844731) - (xy 114.705847 97.843004) - (xy 114.7 97.842078) - (xy 114.694153 97.843004) - (xy 114.683249 97.844731) - (xy 114.573945 97.862043) - (xy 114.560935 97.868672) - (xy 114.487975 97.905847) - (xy 114.460229 97.919984) - (xy 114.369984 98.010229) - (xy 114.367296 98.015505) - (xy 114.367295 98.015506) - (xy 114.358075 98.033601) - (xy 114.312043 98.123945) - (xy 114.292078 98.25) - (xy 107.269787 98.25) - (xy 107.280016 98.239771) - (xy 107.283617 98.232705) - (xy 107.317856 98.165506) - (xy 107.337957 98.126055) - (xy 107.353182 98.02993) - (xy 107.356996 98.005847) - (xy 107.357922 98) - (xy 107.337957 97.873945) - (xy 107.280016 97.760229) - (xy 107.189771 97.669984) - (xy 107.180983 97.665506) - (xy 107.120118 97.634494) - (xy 107.076055 97.612043) - (xy 106.95 97.592078) - (xy 106.823945 97.612043) - (xy 106.779882 97.634494) - (xy 106.719018 97.665506) - (xy 106.710229 97.669984) - (xy 106.619984 97.760229) - (xy 106.562043 97.873945) - (xy 106.542078 98) - (xy 102.900018 98) - (xy 102.855847 97.993004) - (xy 102.85 97.992078) - (xy 102.844153 97.993004) - (xy 102.799982 98) - (xy 102.723945 98.012043) - (xy 102.610229 98.069984) - (xy 102.519984 98.160229) - (xy 102.462043 98.273945) - (xy 102.442078 98.4) - (xy 88.857922 98.4) - (xy 88.837957 98.273945) - (xy 88.780016 98.160229) - (xy 88.689771 98.069984) - (xy 88.576055 98.012043) - (xy 88.500018 98) - (xy 88.455847 97.993004) - (xy 88.45 97.992078) - (xy 88.444153 97.993004) - (xy 88.399982 98) - (xy 88.323945 98.012043) - (xy 88.210229 98.069984) - (xy 88.119984 98.160229) - (xy 88.062043 98.273945) - (xy 88.042078 98.4) - (xy 83.85028 98.4) - (xy 83.842775 98.38527) - (xy 83.832705 98.365506) - (xy 83.832704 98.365505) - (xy 83.830016 98.360229) - (xy 83.739771 98.269984) - (xy 83.730989 98.265509) - (xy 83.670118 98.234494) - (xy 83.626055 98.212043) - (xy 83.5 98.192078) - (xy 83.373945 98.212043) - (xy 83.329882 98.234494) - (xy 83.269012 98.265509) - (xy 83.260229 98.269984) - (xy 83.169984 98.360229) - (xy 83.167296 98.365505) - (xy 83.167295 98.365506) - (xy 83.157225 98.38527) - (xy 83.112043 98.473945) - (xy 83.092078 98.6) - (xy 64.972626 98.6) - (xy 65.011637 98.531328) - (xy 65.05381 98.457091) - (xy 65.053812 98.457088) - (xy 65.055628 98.45389) - (xy 65.09823 98.325826) - (xy 65.116548 98.27076) - (xy 65.116549 98.270757) - (xy 65.11771 98.267266) - (xy 65.14236 98.072138) - (xy 65.142622 98.053377) - (xy 65.142724 98.046099) - (xy 65.142724 98.046093) - (xy 65.142753 98.044) - (xy 65.141734 98.033608) - (xy 65.123921 97.851927) - (xy 65.12392 97.851922) - (xy 65.123732 97.85) - (xy 69.192078 97.85) - (xy 69.212043 97.976055) - (xy 69.229908 98.011117) - (xy 69.261 98.072138) - (xy 69.269984 98.089771) - (xy 69.360229 98.180016) - (xy 69.473945 98.237957) - (xy 69.511853 98.243961) - (xy 69.586899 98.255847) - (xy 69.6 98.257922) - (xy 69.613102 98.255847) - (xy 69.688147 98.243961) - (xy 69.726055 98.237957) - (xy 69.839771 98.180016) - (xy 69.930016 98.089771) - (xy 69.939001 98.072138) - (xy 69.970092 98.011117) - (xy 69.987957 97.976055) - (xy 70.000003 97.9) - (xy 100.142078 97.9) - (xy 100.162043 98.026055) - (xy 100.172256 98.046099) - (xy 100.209234 98.118672) - (xy 100.219984 98.139771) - (xy 100.310229 98.230016) - (xy 100.315505 98.232704) - (xy 100.315506 98.232705) - (xy 100.34945 98.25) - (xy 100.423945 98.287957) - (xy 100.55 98.307922) - (xy 100.676055 98.287957) - (xy 100.75055 98.25) - (xy 100.784494 98.232705) - (xy 100.784495 98.232704) - (xy 100.789771 98.230016) - (xy 100.880016 98.139771) - (xy 100.890767 98.118672) - (xy 100.927744 98.046099) - (xy 100.937957 98.026055) - (xy 100.957922 97.9) - (xy 100.937957 97.773945) - (xy 100.89238 97.684494) - (xy 100.882705 97.665506) - (xy 100.882704 97.665505) - (xy 100.880016 97.660229) - (xy 100.789771 97.569984) - (xy 100.676055 97.512043) - (xy 100.55 97.492078) - (xy 100.423945 97.512043) - (xy 100.310229 97.569984) - (xy 100.219984 97.660229) - (xy 100.217296 97.665505) - (xy 100.217295 97.665506) - (xy 100.20762 97.684494) - (xy 100.162043 97.773945) - (xy 100.142078 97.9) - (xy 70.000003 97.9) - (xy 70.007922 97.85) - (xy 70.004035 97.825455) - (xy 69.989344 97.732705) - (xy 69.987957 97.723945) - (xy 69.930016 97.610229) - (xy 69.839771 97.519984) - (xy 69.82946 97.51473) - (xy 69.776914 97.487957) - (xy 69.726055 97.462043) - (xy 69.650018 97.45) - (xy 69.605847 97.443004) - (xy 69.6 97.442078) - (xy 69.594153 97.443004) - (xy 69.549982 97.45) - (xy 69.473945 97.462043) - (xy 69.423086 97.487957) - (xy 69.370541 97.51473) - (xy 69.360229 97.519984) - (xy 69.269984 97.610229) - (xy 69.212043 97.723945) - (xy 69.210656 97.732705) - (xy 69.195966 97.825455) - (xy 69.192078 97.85) - (xy 65.123732 97.85) - (xy 65.123561 97.84826) - (xy 65.066714 97.659975) - (xy 64.974379 97.486318) - (xy 64.90398 97.4) - (xy 88.042078 97.4) - (xy 88.062043 97.526055) - (xy 88.119984 97.639771) - (xy 88.210229 97.730016) - (xy 88.323945 97.787957) - (xy 88.45 97.807922) - (xy 88.576055 97.787957) - (xy 88.689771 97.730016) - (xy 88.780016 97.639771) - (xy 88.837957 97.526055) - (xy 88.857922 97.4) - (xy 102.442078 97.4) - (xy 102.462043 97.526055) - (xy 102.519984 97.639771) - (xy 102.610229 97.730016) - (xy 102.723945 97.787957) - (xy 102.85 97.807922) - (xy 102.976055 97.787957) - (xy 103.089771 97.730016) - (xy 103.180016 97.639771) - (xy 103.237957 97.526055) - (xy 103.257922 97.4) - (xy 103.237957 97.273945) - (xy 103.180016 97.160229) - (xy 103.089771 97.069984) - (xy 103.07946 97.06473) - (xy 103.003555 97.026055) - (xy 102.976055 97.012043) - (xy 102.900018 97) - (xy 105.542078 97) - (xy 105.562043 97.126055) - (xy 105.582144 97.165506) - (xy 105.616384 97.232705) - (xy 105.619984 97.239771) - (xy 105.710229 97.330016) - (xy 105.715505 97.332704) - (xy 105.715506 97.332705) - (xy 105.763344 97.357079) - (xy 105.823945 97.387957) - (xy 105.829792 97.388883) - (xy 105.936899 97.405847) - (xy 105.95 97.407922) - (xy 105.963102 97.405847) - (xy 106.070208 97.388883) - (xy 106.076055 97.387957) - (xy 106.136656 97.357079) - (xy 106.184494 97.332705) - (xy 106.184495 97.332704) - (xy 106.189771 97.330016) - (xy 106.280016 97.239771) - (xy 106.283617 97.232705) - (xy 106.317856 97.165506) - (xy 106.337957 97.126055) - (xy 106.342084 97.1) - (xy 107.142078 97.1) - (xy 107.162043 97.226055) - (xy 107.166343 97.234494) - (xy 107.216384 97.332705) - (xy 107.219984 97.339771) - (xy 107.310229 97.430016) - (xy 107.315505 97.432704) - (xy 107.315506 97.432705) - (xy 107.34945 97.45) - (xy 107.423945 97.487957) - (xy 107.55 97.507922) - (xy 107.676055 97.487957) - (xy 107.75055 97.45) - (xy 113.642078 97.45) - (xy 113.662043 97.576055) - (xy 113.719984 97.689771) - (xy 113.810229 97.780016) - (xy 113.815505 97.782704) - (xy 113.815506 97.782705) - (xy 113.86318 97.806996) - (xy 113.923945 97.837957) - (xy 113.929792 97.838883) - (xy 114.036899 97.855847) - (xy 114.05 97.857922) - (xy 114.063102 97.855847) - (xy 114.170208 97.838883) - (xy 114.176055 97.837957) - (xy 114.23682 97.806996) - (xy 114.284494 97.782705) - (xy 114.284495 97.782704) - (xy 114.289771 97.780016) - (xy 114.380016 97.689771) - (xy 114.437957 97.576055) - (xy 114.457922 97.45) - (xy 122.192078 97.45) - (xy 122.212043 97.576055) - (xy 122.269984 97.689771) - (xy 122.360229 97.780016) - (xy 122.365505 97.782704) - (xy 122.365506 97.782705) - (xy 122.41318 97.806996) - (xy 122.473945 97.837957) - (xy 122.479792 97.838883) - (xy 122.586899 97.855847) - (xy 122.6 97.857922) - (xy 122.613102 97.855847) - (xy 122.720208 97.838883) - (xy 122.726055 97.837957) - (xy 122.78682 97.806996) - (xy 122.834494 97.782705) - (xy 122.834495 97.782704) - (xy 122.839771 97.780016) - (xy 122.930016 97.689771) - (xy 122.987957 97.576055) - (xy 123.007922 97.45) - (xy 122.987957 97.323945) - (xy 122.957079 97.263344) - (xy 122.932705 97.215506) - (xy 122.932704 97.215505) - (xy 122.930016 97.210229) - (xy 122.839771 97.119984) - (xy 122.83431 97.117201) - (xy 122.786656 97.092921) - (xy 122.726055 97.062043) - (xy 122.6 97.042078) - (xy 122.473945 97.062043) - (xy 122.413344 97.092921) - (xy 122.365691 97.117201) - (xy 122.360229 97.119984) - (xy 122.269984 97.210229) - (xy 122.267296 97.215505) - (xy 122.267295 97.215506) - (xy 122.242921 97.263344) - (xy 122.212043 97.323945) - (xy 122.192078 97.45) - (xy 114.457922 97.45) - (xy 114.437957 97.323945) - (xy 114.407079 97.263344) - (xy 114.382705 97.215506) - (xy 114.382704 97.215505) - (xy 114.380016 97.210229) - (xy 114.289771 97.119984) - (xy 114.28431 97.117201) - (xy 114.236656 97.092921) - (xy 114.176055 97.062043) - (xy 114.05 97.042078) - (xy 113.923945 97.062043) - (xy 113.863344 97.092921) - (xy 113.815691 97.117201) - (xy 113.810229 97.119984) - (xy 113.719984 97.210229) - (xy 113.717296 97.215505) - (xy 113.717295 97.215506) - (xy 113.692921 97.263344) - (xy 113.662043 97.323945) - (xy 113.642078 97.45) - (xy 107.75055 97.45) - (xy 107.784494 97.432705) - (xy 107.784495 97.432704) - (xy 107.789771 97.430016) - (xy 107.880016 97.339771) - (xy 107.883617 97.332705) - (xy 107.933657 97.234494) - (xy 107.937957 97.226055) - (xy 107.957922 97.1) - (xy 107.937957 96.973945) - (xy 107.880016 96.860229) - (xy 107.789771 96.769984) - (xy 107.780983 96.765506) - (xy 107.733 96.741058) - (xy 107.676055 96.712043) - (xy 107.55 96.692078) - (xy 107.423945 96.712043) - (xy 107.367 96.741058) - (xy 107.319018 96.765506) - (xy 107.310229 96.769984) - (xy 107.219984 96.860229) - (xy 107.162043 96.973945) - (xy 107.142078 97.1) - (xy 106.342084 97.1) - (xy 106.357922 97) - (xy 106.337957 96.873945) - (xy 106.291468 96.782705) - (xy 106.282705 96.765506) - (xy 106.282704 96.765505) - (xy 106.280016 96.760229) - (xy 106.189771 96.669984) - (xy 106.180983 96.665506) - (xy 106.15055 96.65) - (xy 114.292078 96.65) - (xy 114.312043 96.776055) - (xy 114.318579 96.788883) - (xy 114.359234 96.868672) - (xy 114.369984 96.889771) - (xy 114.460229 96.980016) - (xy 114.465505 96.982704) - (xy 114.465506 96.982705) - (xy 114.49945 97) - (xy 114.573945 97.037957) - (xy 114.579792 97.038883) - (xy 114.693794 97.056939) - (xy 114.7 97.057922) - (xy 114.706207 97.056939) - (xy 114.820208 97.038883) - (xy 114.826055 97.037957) - (xy 114.90055 97) - (xy 114.934494 96.982705) - (xy 114.934495 96.982704) - (xy 114.939771 96.980016) - (xy 115.030016 96.889771) - (xy 115.040767 96.868672) - (xy 115.081421 96.788883) - (xy 115.087957 96.776055) - (xy 115.107922 96.65) - (xy 122.842078 96.65) - (xy 122.862043 96.776055) - (xy 122.868579 96.788883) - (xy 122.909234 96.868672) - (xy 122.919984 96.889771) - (xy 123.010229 96.980016) - (xy 123.015505 96.982704) - (xy 123.015506 96.982705) - (xy 123.04945 97) - (xy 123.123945 97.037957) - (xy 123.129792 97.038883) - (xy 123.243794 97.056939) - (xy 123.25 97.057922) - (xy 123.256207 97.056939) - (xy 123.370208 97.038883) - (xy 123.376055 97.037957) - (xy 123.45055 97) - (xy 123.484494 96.982705) - (xy 123.484495 96.982704) - (xy 123.489771 96.980016) - (xy 123.580016 96.889771) - (xy 123.590767 96.868672) - (xy 123.631421 96.788883) - (xy 123.637957 96.776055) - (xy 123.657922 96.65) - (xy 123.637957 96.523945) - (xy 123.595757 96.441122) - (xy 123.582705 96.415506) - (xy 123.582704 96.415505) - (xy 123.580016 96.410229) - (xy 123.489771 96.319984) - (xy 123.462026 96.305847) - (xy 123.421641 96.28527) - (xy 123.376055 96.262043) - (xy 123.25 96.242078) - (xy 123.123945 96.262043) - (xy 123.078359 96.28527) - (xy 123.037975 96.305847) - (xy 123.010229 96.319984) - (xy 122.919984 96.410229) - (xy 122.917296 96.415505) - (xy 122.917295 96.415506) - (xy 122.904243 96.441122) - (xy 122.862043 96.523945) - (xy 122.842078 96.65) - (xy 115.107922 96.65) - (xy 115.087957 96.523945) - (xy 115.045757 96.441122) - (xy 115.032705 96.415506) - (xy 115.032704 96.415505) - (xy 115.030016 96.410229) - (xy 114.939771 96.319984) - (xy 114.912026 96.305847) - (xy 114.871641 96.28527) - (xy 114.826055 96.262043) - (xy 114.7 96.242078) - (xy 114.573945 96.262043) - (xy 114.528359 96.28527) - (xy 114.487975 96.305847) - (xy 114.460229 96.319984) - (xy 114.369984 96.410229) - (xy 114.367296 96.415505) - (xy 114.367295 96.415506) - (xy 114.354243 96.441122) - (xy 114.312043 96.523945) - (xy 114.292078 96.65) - (xy 106.15055 96.65) - (xy 106.120118 96.634494) - (xy 106.076055 96.612043) - (xy 105.95 96.592078) - (xy 105.823945 96.612043) - (xy 105.779882 96.634494) - (xy 105.719018 96.665506) - (xy 105.710229 96.669984) - (xy 105.619984 96.760229) - (xy 105.617296 96.765505) - (xy 105.617295 96.765506) - (xy 105.608532 96.782705) - (xy 105.562043 96.873945) - (xy 105.542078 97) - (xy 102.900018 97) - (xy 102.855847 96.993004) - (xy 102.85 96.992078) - (xy 102.844153 96.993004) - (xy 102.799982 97) - (xy 102.723945 97.012043) - (xy 102.696445 97.026055) - (xy 102.620541 97.06473) - (xy 102.610229 97.069984) - (xy 102.519984 97.160229) - (xy 102.462043 97.273945) - (xy 102.442078 97.4) - (xy 88.857922 97.4) - (xy 88.837957 97.273945) - (xy 88.780016 97.160229) - (xy 88.689771 97.069984) - (xy 88.67946 97.06473) - (xy 88.603555 97.026055) - (xy 88.576055 97.012043) - (xy 88.500018 97) - (xy 88.455847 96.993004) - (xy 88.45 96.992078) - (xy 88.444153 96.993004) - (xy 88.399982 97) - (xy 88.323945 97.012043) - (xy 88.296445 97.026055) - (xy 88.220541 97.06473) - (xy 88.210229 97.069984) - (xy 88.119984 97.160229) - (xy 88.062043 97.273945) - (xy 88.042078 97.4) - (xy 64.90398 97.4) - (xy 64.850072 97.333903) - (xy 64.706955 97.215506) - (xy 64.701359 97.210877) - (xy 64.698528 97.208535) - (xy 64.52552 97.11499) - (xy 64.337637 97.05683) - (xy 64.197277 97.042078) - (xy 64.14569 97.036656) - (xy 64.145689 97.036656) - (xy 64.142035 97.036272) - (xy 64.068061 97.043004) - (xy 63.949824 97.053764) - (xy 63.949823 97.053764) - (xy 63.946166 97.054097) - (xy 63.942641 97.055134) - (xy 63.942638 97.055135) - (xy 63.761019 97.108589) - (xy 63.757489 97.109628) - (xy 63.583192 97.200748) - (xy 63.53762 97.237389) - (xy 63.436524 97.318672) - (xy 63.429912 97.323988) - (xy 63.303489 97.474653) - (xy 63.301718 97.477875) - (xy 63.301717 97.477876) - (xy 63.228956 97.610229) - (xy 63.208739 97.647004) - (xy 63.149269 97.834476) - (xy 63.127345 98.02993) - (xy 62.600871 98.02993) - (xy 62.583427 97.852024) - (xy 62.582001 97.844828) - (xy 62.527312 97.663688) - (xy 62.524524 97.656922) - (xy 62.435686 97.489843) - (xy 62.431635 97.483745) - (xy 62.411154 97.458633) - (xy 62.40187 97.453099) - (xy 62.397292 97.45384) - (xy 61.816509 98.034623) - (xy 61.81194 98.044422) - (xy 61.377666 98.044422) - (xy 61.37806 98.043578) - (xy 61.376455 98.037587) - (xy 60.796049 97.457181) - (xy 60.78625 97.452612) - (xy 60.78159 97.453861) - (xy 60.766269 97.472119) - (xy 60.76213 97.478163) - (xy 60.670975 97.643975) - (xy 60.668088 97.650711) - (xy 60.610874 97.831074) - (xy 60.609351 97.838236) - (xy 60.588259 98.026275) - (xy 60.588156 98.033608) - (xy 46.101 98.033608) - (xy 46.101 97.237389) - (xy 61.004319 97.237389) - (xy 61.005014 97.241882) - (xy 61.585623 97.822491) - (xy 61.595422 97.82706) - (xy 61.601413 97.825455) - (xy 62.181525 97.245343) - (xy 62.186094 97.235544) - (xy 62.18487 97.230976) - (xy 62.161078 97.211294) - (xy 62.155016 97.207205) - (xy 61.988557 97.117201) - (xy 61.981813 97.114366) - (xy 61.801047 97.05841) - (xy 61.79388 97.056939) - (xy 61.605687 97.037159) - (xy 61.598374 97.037108) - (xy 61.409918 97.054258) - (xy 61.402732 97.055629) - (xy 61.221208 97.109055) - (xy 61.214421 97.111797) - (xy 61.046721 97.199468) - (xy 61.040603 97.203471) - (xy 61.009918 97.228143) - (xy 61.004319 97.237389) - (xy 46.101 97.237389) - (xy 46.101 96.092591) - (xy 47.302922 96.092591) - (xy 47.303135 96.096658) - (xy 47.303135 96.096661) - (xy 47.307186 96.173945) - (xy 47.312321 96.271921) - (xy 47.313403 96.275848) - (xy 47.313403 96.27585) - (xy 47.356229 96.431328) - (xy 47.360008 96.445049) - (xy 47.361908 96.448653) - (xy 47.361909 96.448655) - (xy 47.424461 96.567295) - (xy 47.44376 96.603898) - (xy 47.446386 96.607005) - (xy 47.446389 96.60701) - (xy 47.518277 96.692078) - (xy 47.559668 96.741058) - (xy 47.5629 96.743529) - (xy 47.562901 96.74353) - (xy 47.647123 96.807922) - (xy 47.702326 96.850128) - (xy 47.865077 96.92602) - (xy 48.040328 96.965193) - (xy 48.045819 96.9655) - (xy 48.177866 96.9655) - (xy 48.179889 96.96528) - (xy 48.179893 96.96528) - (xy 48.246105 96.958087) - (xy 48.311525 96.95098) - (xy 48.463011 96.9) - (xy 96.792078 96.9) - (xy 96.812043 97.026055) - (xy 96.82828 97.057922) - (xy 96.85704 97.114366) - (xy 96.869984 97.139771) - (xy 96.960229 97.230016) - (xy 96.965505 97.232704) - (xy 96.965506 97.232705) - (xy 96.99031 97.245343) - (xy 97.073945 97.287957) - (xy 97.2 97.307922) - (xy 97.326055 97.287957) - (xy 97.40969 97.245343) - (xy 97.434494 97.232705) - (xy 97.434495 97.232704) - (xy 97.439771 97.230016) - (xy 97.530016 97.139771) - (xy 97.542961 97.114366) - (xy 97.57172 97.057922) - (xy 97.587957 97.026055) - (xy 97.607922 96.9) - (xy 100.142078 96.9) - (xy 100.162043 97.026055) - (xy 100.17828 97.057922) - (xy 100.20704 97.114366) - (xy 100.219984 97.139771) - (xy 100.310229 97.230016) - (xy 100.315505 97.232704) - (xy 100.315506 97.232705) - (xy 100.34031 97.245343) - (xy 100.423945 97.287957) - (xy 100.55 97.307922) - (xy 100.676055 97.287957) - (xy 100.75969 97.245343) - (xy 100.784494 97.232705) - (xy 100.784495 97.232704) - (xy 100.789771 97.230016) - (xy 100.880016 97.139771) - (xy 100.892961 97.114366) - (xy 100.92172 97.057922) - (xy 100.937957 97.026055) - (xy 100.957922 96.9) - (xy 100.937957 96.773945) - (xy 100.887268 96.674462) - (xy 100.882705 96.665506) - (xy 100.882704 96.665505) - (xy 100.880016 96.660229) - (xy 100.789771 96.569984) - (xy 100.676055 96.512043) - (xy 100.55 96.492078) - (xy 100.423945 96.512043) - (xy 100.310229 96.569984) - (xy 100.219984 96.660229) - (xy 100.217296 96.665505) - (xy 100.217295 96.665506) - (xy 100.212732 96.674462) - (xy 100.162043 96.773945) - (xy 100.142078 96.9) - (xy 97.607922 96.9) - (xy 97.587957 96.773945) - (xy 97.537268 96.674462) - (xy 97.532705 96.665506) - (xy 97.532704 96.665505) - (xy 97.530016 96.660229) - (xy 97.439771 96.569984) - (xy 97.326055 96.512043) - (xy 97.2 96.492078) - (xy 97.073945 96.512043) - (xy 96.960229 96.569984) - (xy 96.869984 96.660229) - (xy 96.867296 96.665505) - (xy 96.867295 96.665506) - (xy 96.862732 96.674462) - (xy 96.812043 96.773945) - (xy 96.792078 96.9) - (xy 48.463011 96.9) - (xy 48.481722 96.893703) - (xy 48.485208 96.891608) - (xy 48.485211 96.891607) - (xy 48.632158 96.803311) - (xy 48.635648 96.801214) - (xy 48.766123 96.67783) - (xy 48.86706 96.529306) - (xy 48.89878 96.45) - (xy 67.492078 96.45) - (xy 67.512043 96.576055) - (xy 67.569984 96.689771) - (xy 67.660229 96.780016) - (xy 67.665505 96.782704) - (xy 67.665506 96.782705) - (xy 67.705948 96.803311) - (xy 67.773945 96.837957) - (xy 67.9 96.857922) - (xy 68.026055 96.837957) - (xy 68.094052 96.803311) - (xy 68.134494 96.782705) - (xy 68.134495 96.782704) - (xy 68.139771 96.780016) - (xy 68.230016 96.689771) - (xy 68.287957 96.576055) - (xy 68.307922 96.45) - (xy 68.300003 96.4) - (xy 97.692078 96.4) - (xy 97.712043 96.526055) - (xy 97.71473 96.531328) - (xy 97.766384 96.632705) - (xy 97.769984 96.639771) - (xy 97.860229 96.730016) - (xy 97.973945 96.787957) - (xy 98.1 96.807922) - (xy 98.226055 96.787957) - (xy 98.339771 96.730016) - (xy 98.430016 96.639771) - (xy 98.433617 96.632705) - (xy 98.48527 96.531328) - (xy 98.487957 96.526055) - (xy 98.507922 96.4) - (xy 98.501358 96.358553) - (xy 98.492083 96.3) - (xy 98.742078 96.3) - (xy 98.762043 96.426055) - (xy 98.771721 96.445049) - (xy 98.809234 96.518672) - (xy 98.819984 96.539771) - (xy 98.910229 96.630016) - (xy 98.915505 96.632704) - (xy 98.915506 96.632705) - (xy 98.94945 96.65) - (xy 99.023945 96.687957) - (xy 99.15 96.707922) - (xy 99.276055 96.687957) - (xy 99.35055 96.65) - (xy 99.384494 96.632705) - (xy 99.384495 96.632704) - (xy 99.389771 96.630016) - (xy 99.480016 96.539771) - (xy 99.490767 96.518672) - (xy 99.528279 96.445049) - (xy 99.537957 96.426055) - (xy 99.542084 96.4) - (xy 102.442078 96.4) - (xy 102.462043 96.526055) - (xy 102.46473 96.531328) - (xy 102.516384 96.632705) - (xy 102.519984 96.639771) - (xy 102.610229 96.730016) - (xy 102.723945 96.787957) - (xy 102.85 96.807922) - (xy 102.976055 96.787957) - (xy 103.089771 96.730016) - (xy 103.180016 96.639771) - (xy 103.183617 96.632705) - (xy 103.23527 96.531328) - (xy 103.237957 96.526055) - (xy 103.257922 96.4) - (xy 103.251358 96.358553) - (xy 103.239344 96.282705) - (xy 103.237957 96.273945) - (xy 103.180016 96.160229) - (xy 103.089771 96.069984) - (xy 103.080983 96.065506) - (xy 103.003555 96.026055) - (xy 102.976055 96.012043) - (xy 102.912399 96.001961) - (xy 102.855847 95.993004) - (xy 102.85 95.992078) - (xy 102.844153 95.993004) - (xy 102.787601 96.001961) - (xy 102.723945 96.012043) - (xy 102.696445 96.026055) - (xy 102.619018 96.065506) - (xy 102.610229 96.069984) - (xy 102.519984 96.160229) - (xy 102.462043 96.273945) - (xy 102.460656 96.282705) - (xy 102.448643 96.358553) - (xy 102.442078 96.4) - (xy 99.542084 96.4) - (xy 99.557922 96.3) - (xy 99.537957 96.173945) - (xy 99.492039 96.083826) - (xy 99.482705 96.065506) - (xy 99.482704 96.065505) - (xy 99.480016 96.060229) - (xy 99.389771 95.969984) - (xy 99.362026 95.955847) - (xy 99.336656 95.942921) - (xy 99.276055 95.912043) - (xy 99.200018 95.9) - (xy 100.142078 95.9) - (xy 100.162043 96.026055) - (xy 100.219984 96.139771) - (xy 100.310229 96.230016) - (xy 100.315505 96.232704) - (xy 100.315506 96.232705) - (xy 100.333902 96.242078) - (xy 100.423945 96.287957) - (xy 100.429792 96.288883) - (xy 100.536899 96.305847) - (xy 100.55 96.307922) - (xy 100.563102 96.305847) - (xy 100.670208 96.288883) - (xy 100.676055 96.287957) - (xy 100.766098 96.242078) - (xy 100.784494 96.232705) - (xy 100.784495 96.232704) - (xy 100.789771 96.230016) - (xy 100.880016 96.139771) - (xy 100.937957 96.026055) - (xy 100.957922 95.9) - (xy 100.950003 95.85) - (xy 113.642078 95.85) - (xy 113.662043 95.976055) - (xy 113.719984 96.089771) - (xy 113.810229 96.180016) - (xy 113.815505 96.182704) - (xy 113.815506 96.182705) - (xy 113.861302 96.206039) - (xy 113.923945 96.237957) - (xy 114.05 96.257922) - (xy 114.176055 96.237957) - (xy 114.238698 96.206039) - (xy 114.284494 96.182705) - (xy 114.284495 96.182704) - (xy 114.289771 96.180016) - (xy 114.380016 96.089771) - (xy 114.437957 95.976055) - (xy 114.457922 95.85) - (xy 122.192078 95.85) - (xy 122.212043 95.976055) - (xy 122.269984 96.089771) - (xy 122.360229 96.180016) - (xy 122.365505 96.182704) - (xy 122.365506 96.182705) - (xy 122.411302 96.206039) - (xy 122.473945 96.237957) - (xy 122.6 96.257922) - (xy 122.726055 96.237957) - (xy 122.788698 96.206039) - (xy 122.834494 96.182705) - (xy 122.834495 96.182704) - (xy 122.839771 96.180016) - (xy 122.930016 96.089771) - (xy 122.987957 95.976055) - (xy 123.007922 95.85) - (xy 122.987957 95.723945) - (xy 122.938394 95.626672) - (xy 122.932705 95.615506) - (xy 122.932704 95.615505) - (xy 122.930016 95.610229) - (xy 122.839771 95.519984) - (xy 122.82946 95.51473) - (xy 122.749414 95.473945) - (xy 122.726055 95.462043) - (xy 122.6 95.442078) - (xy 122.473945 95.462043) - (xy 122.450586 95.473945) - (xy 122.370541 95.51473) - (xy 122.360229 95.519984) - (xy 122.269984 95.610229) - (xy 122.267296 95.615505) - (xy 122.267295 95.615506) - (xy 122.261606 95.626672) - (xy 122.212043 95.723945) - (xy 122.192078 95.85) - (xy 114.457922 95.85) - (xy 114.437957 95.723945) - (xy 114.388394 95.626672) - (xy 114.382705 95.615506) - (xy 114.382704 95.615505) - (xy 114.380016 95.610229) - (xy 114.289771 95.519984) - (xy 114.27946 95.51473) - (xy 114.199414 95.473945) - (xy 114.176055 95.462043) - (xy 114.05 95.442078) - (xy 113.923945 95.462043) - (xy 113.900586 95.473945) - (xy 113.820541 95.51473) - (xy 113.810229 95.519984) - (xy 113.719984 95.610229) - (xy 113.717296 95.615505) - (xy 113.717295 95.615506) - (xy 113.711606 95.626672) - (xy 113.662043 95.723945) - (xy 113.642078 95.85) - (xy 100.950003 95.85) - (xy 100.937957 95.773945) - (xy 100.890098 95.680016) - (xy 100.882705 95.665506) - (xy 100.882704 95.665505) - (xy 100.880016 95.660229) - (xy 100.789771 95.569984) - (xy 100.77946 95.56473) - (xy 100.724922 95.536942) - (xy 100.676055 95.512043) - (xy 100.55 95.492078) - (xy 100.423945 95.512043) - (xy 100.375078 95.536942) - (xy 100.320541 95.56473) - (xy 100.310229 95.569984) - (xy 100.219984 95.660229) - (xy 100.217296 95.665505) - (xy 100.217295 95.665506) - (xy 100.209902 95.680016) - (xy 100.162043 95.773945) - (xy 100.142078 95.9) - (xy 99.200018 95.9) - (xy 99.155847 95.893004) - (xy 99.15 95.892078) - (xy 99.144153 95.893004) - (xy 99.099982 95.9) - (xy 99.023945 95.912043) - (xy 98.963344 95.942921) - (xy 98.937975 95.955847) - (xy 98.910229 95.969984) - (xy 98.819984 96.060229) - (xy 98.817296 96.065505) - (xy 98.817295 96.065506) - (xy 98.807961 96.083826) - (xy 98.762043 96.173945) - (xy 98.742078 96.3) - (xy 98.492083 96.3) - (xy 98.489344 96.282705) - (xy 98.487957 96.273945) - (xy 98.430016 96.160229) - (xy 98.339771 96.069984) - (xy 98.330983 96.065506) - (xy 98.253555 96.026055) - (xy 98.226055 96.012043) - (xy 98.162399 96.001961) - (xy 98.105847 95.993004) - (xy 98.1 95.992078) - (xy 98.094153 95.993004) - (xy 98.037601 96.001961) - (xy 97.973945 96.012043) - (xy 97.946445 96.026055) - (xy 97.869018 96.065506) - (xy 97.860229 96.069984) - (xy 97.769984 96.160229) - (xy 97.712043 96.273945) - (xy 97.710656 96.282705) - (xy 97.698643 96.358553) - (xy 97.692078 96.4) - (xy 68.300003 96.4) - (xy 68.287957 96.323945) - (xy 68.230016 96.210229) - (xy 68.139771 96.119984) - (xy 68.026055 96.062043) - (xy 67.9 96.042078) - (xy 67.773945 96.062043) - (xy 67.660229 96.119984) - (xy 67.569984 96.210229) - (xy 67.512043 96.323945) - (xy 67.492078 96.45) - (xy 48.89878 96.45) - (xy 48.933748 96.362573) - (xy 48.963078 96.185409) - (xy 48.962796 96.180016) - (xy 48.954726 96.026055) - (xy 48.953679 96.006079) - (xy 48.949823 95.992078) - (xy 48.907074 95.836878) - (xy 48.907073 95.836875) - (xy 48.905992 95.832951) - (xy 48.898464 95.818672) - (xy 48.866475 95.758) - (xy 77.887578 95.758) - (xy 77.907543 95.884055) - (xy 77.918647 95.905847) - (xy 77.960484 95.987957) - (xy 77.965484 95.997771) - (xy 78.055729 96.088016) - (xy 78.061005 96.090704) - (xy 78.061006 96.090705) - (xy 78.108844 96.115079) - (xy 78.169445 96.145957) - (xy 78.175292 96.146883) - (xy 78.259556 96.160229) - (xy 78.2955 96.165922) - (xy 78.331445 96.160229) - (xy 78.415708 96.146883) - (xy 78.421555 96.145957) - (xy 78.482156 96.115079) - (xy 78.529994 96.090705) - (xy 78.529995 96.090704) - (xy 78.535271 96.088016) - (xy 78.625516 95.997771) - (xy 78.630517 95.987957) - (xy 78.672353 95.905847) - (xy 78.683457 95.884055) - (xy 78.703422 95.758) - (xy 79.538578 95.758) - (xy 79.558543 95.884055) - (xy 79.569647 95.905847) - (xy 79.611484 95.987957) - (xy 79.616484 95.997771) - (xy 79.706729 96.088016) - (xy 79.712005 96.090704) - (xy 79.712006 96.090705) - (xy 79.759844 96.115079) - (xy 79.820445 96.145957) - (xy 79.826292 96.146883) - (xy 79.910556 96.160229) - (xy 79.9465 96.165922) - (xy 79.982445 96.160229) - (xy 80.066708 96.146883) - (xy 80.072555 96.145957) - (xy 80.133156 96.115079) - (xy 80.180994 96.090705) - (xy 80.180995 96.090704) - (xy 80.186271 96.088016) - (xy 80.276516 95.997771) - (xy 80.281517 95.987957) - (xy 80.323353 95.905847) - (xy 80.334457 95.884055) - (xy 80.354422 95.758) - (xy 80.618078 95.758) - (xy 80.638043 95.884055) - (xy 80.649147 95.905847) - (xy 80.690984 95.987957) - (xy 80.695984 95.997771) - (xy 80.786229 96.088016) - (xy 80.791505 96.090704) - (xy 80.791506 96.090705) - (xy 80.839344 96.115079) - (xy 80.899945 96.145957) - (xy 80.905792 96.146883) - (xy 80.990056 96.160229) - (xy 81.026 96.165922) - (xy 81.061945 96.160229) - (xy 81.146208 96.146883) - (xy 81.152055 96.145957) - (xy 81.212656 96.115079) - (xy 81.260494 96.090705) - (xy 81.260495 96.090704) - (xy 81.265771 96.088016) - (xy 81.356016 95.997771) - (xy 81.361017 95.987957) - (xy 81.402853 95.905847) - (xy 81.413957 95.884055) - (xy 81.433922 95.758) - (xy 81.413957 95.631945) - (xy 81.39768 95.6) - (xy 88.592078 95.6) - (xy 88.612043 95.726055) - (xy 88.618579 95.738883) - (xy 88.664672 95.829345) - (xy 88.669984 95.839771) - (xy 88.760229 95.930016) - (xy 88.765505 95.932704) - (xy 88.765506 95.932705) - (xy 88.79945 95.95) - (xy 88.873945 95.987957) - (xy 88.879792 95.988883) - (xy 88.988364 96.006079) - (xy 89 96.007922) - (xy 89.011637 96.006079) - (xy 89.120208 95.988883) - (xy 89.126055 95.987957) - (xy 89.20055 95.95) - (xy 96.292078 95.95) - (xy 96.312043 96.076055) - (xy 96.321167 96.093961) - (xy 96.366384 96.182705) - (xy 96.369984 96.189771) - (xy 96.460229 96.280016) - (xy 96.465505 96.282704) - (xy 96.465506 96.282705) - (xy 96.49945 96.3) - (xy 96.573945 96.337957) - (xy 96.7 96.357922) - (xy 96.826055 96.337957) - (xy 96.90055 96.3) - (xy 96.934494 96.282705) - (xy 96.934495 96.282704) - (xy 96.939771 96.280016) - (xy 97.030016 96.189771) - (xy 97.033617 96.182705) - (xy 97.078833 96.093961) - (xy 97.087957 96.076055) - (xy 97.107922 95.95) - (xy 97.087957 95.823945) - (xy 97.041468 95.732705) - (xy 97.032705 95.715506) - (xy 97.032704 95.715505) - (xy 97.030016 95.710229) - (xy 96.939771 95.619984) - (xy 96.930983 95.615506) - (xy 96.870118 95.584494) - (xy 96.826055 95.562043) - (xy 96.7 95.542078) - (xy 96.573945 95.562043) - (xy 96.529882 95.584494) - (xy 96.469018 95.615506) - (xy 96.460229 95.619984) - (xy 96.369984 95.710229) - (xy 96.367296 95.715505) - (xy 96.367295 95.715506) - (xy 96.358532 95.732705) - (xy 96.312043 95.823945) - (xy 96.292078 95.95) - (xy 89.20055 95.95) - (xy 89.234494 95.932705) - (xy 89.234495 95.932704) - (xy 89.239771 95.930016) - (xy 89.330016 95.839771) - (xy 89.335329 95.829345) - (xy 89.381421 95.738883) - (xy 89.387957 95.726055) - (xy 89.407922 95.6) - (xy 89.387957 95.473945) - (xy 89.341468 95.382705) - (xy 89.332705 95.365506) - (xy 89.332704 95.365505) - (xy 89.330016 95.360229) - (xy 89.319787 95.35) - (xy 89.642078 95.35) - (xy 89.662043 95.476055) - (xy 89.66473 95.481328) - (xy 89.716384 95.582705) - (xy 89.719984 95.589771) - (xy 89.810229 95.680016) - (xy 89.923945 95.737957) - (xy 89.986973 95.74794) - (xy 90.013576 95.752153) - (xy 90.05 95.757922) - (xy 90.086425 95.752153) - (xy 90.113027 95.74794) - (xy 90.176055 95.737957) - (xy 90.289771 95.680016) - (xy 90.380016 95.589771) - (xy 90.383617 95.582705) - (xy 90.43527 95.481328) - (xy 90.437957 95.476055) - (xy 90.457922 95.35) - (xy 90.452018 95.31272) - (xy 90.439344 95.232705) - (xy 90.437957 95.223945) - (xy 90.39238 95.134494) - (xy 90.382705 95.115506) - (xy 90.382704 95.115505) - (xy 90.380016 95.110229) - (xy 90.289771 95.019984) - (xy 90.280983 95.015506) - (xy 90.203555 94.976055) - (xy 90.176055 94.962043) - (xy 90.05 94.942078) - (xy 89.923945 94.962043) - (xy 89.896445 94.976055) - (xy 89.819018 95.015506) - (xy 89.810229 95.019984) - (xy 89.719984 95.110229) - (xy 89.717296 95.115505) - (xy 89.717295 95.115506) - (xy 89.70762 95.134494) - (xy 89.662043 95.223945) - (xy 89.660656 95.232705) - (xy 89.647983 95.31272) - (xy 89.642078 95.35) - (xy 89.319787 95.35) - (xy 89.239771 95.269984) - (xy 89.212026 95.255847) - (xy 89.170118 95.234494) - (xy 89.126055 95.212043) - (xy 89 95.192078) - (xy 88.873945 95.212043) - (xy 88.829882 95.234494) - (xy 88.787975 95.255847) - (xy 88.760229 95.269984) - (xy 88.669984 95.360229) - (xy 88.667296 95.365505) - (xy 88.667295 95.365506) - (xy 88.658532 95.382705) - (xy 88.612043 95.473945) - (xy 88.592078 95.6) - (xy 81.39768 95.6) - (xy 81.37834 95.562043) - (xy 81.358705 95.523506) - (xy 81.358704 95.523505) - (xy 81.356016 95.518229) - (xy 81.265771 95.427984) - (xy 81.152055 95.370043) - (xy 81.063637 95.356039) - (xy 81.031847 95.351004) - (xy 81.026 95.350078) - (xy 81.020153 95.351004) - (xy 80.988363 95.356039) - (xy 80.899945 95.370043) - (xy 80.786229 95.427984) - (xy 80.695984 95.518229) - (xy 80.693296 95.523505) - (xy 80.693295 95.523506) - (xy 80.67366 95.562043) - (xy 80.638043 95.631945) - (xy 80.618078 95.758) - (xy 80.354422 95.758) - (xy 80.334457 95.631945) - (xy 80.29884 95.562043) - (xy 80.279205 95.523506) - (xy 80.279204 95.523505) - (xy 80.276516 95.518229) - (xy 80.186271 95.427984) - (xy 80.072555 95.370043) - (xy 79.984137 95.356039) - (xy 79.952347 95.351004) - (xy 79.9465 95.350078) - (xy 79.940653 95.351004) - (xy 79.908863 95.356039) - (xy 79.820445 95.370043) - (xy 79.706729 95.427984) - (xy 79.616484 95.518229) - (xy 79.613796 95.523505) - (xy 79.613795 95.523506) - (xy 79.59416 95.562043) - (xy 79.558543 95.631945) - (xy 79.538578 95.758) - (xy 78.703422 95.758) - (xy 78.683457 95.631945) - (xy 78.64784 95.562043) - (xy 78.628205 95.523506) - (xy 78.628204 95.523505) - (xy 78.625516 95.518229) - (xy 78.535271 95.427984) - (xy 78.421555 95.370043) - (xy 78.333137 95.356039) - (xy 78.301347 95.351004) - (xy 78.2955 95.350078) - (xy 78.289653 95.351004) - (xy 78.257863 95.356039) - (xy 78.169445 95.370043) - (xy 78.055729 95.427984) - (xy 77.965484 95.518229) - (xy 77.962796 95.523505) - (xy 77.962795 95.523506) - (xy 77.94316 95.562043) - (xy 77.907543 95.631945) - (xy 77.887578 95.758) - (xy 48.866475 95.758) - (xy 48.824142 95.677709) - (xy 48.824141 95.677707) - (xy 48.82224 95.674102) - (xy 48.819614 95.670995) - (xy 48.819611 95.67099) - (xy 48.726761 95.561117) - (xy 48.706332 95.536942) - (xy 48.692093 95.526055) - (xy 48.566906 95.430343) - (xy 48.563674 95.427872) - (xy 48.400923 95.35198) - (xy 48.225672 95.312807) - (xy 48.220181 95.3125) - (xy 48.088134 95.3125) - (xy 48.086111 95.31272) - (xy 48.086107 95.31272) - (xy 48.019895 95.319913) - (xy 47.954475 95.32702) - (xy 47.784278 95.384297) - (xy 47.780792 95.386392) - (xy 47.780789 95.386393) - (xy 47.688115 95.442078) - (xy 47.630352 95.476786) - (xy 47.499877 95.60017) - (xy 47.39894 95.748694) - (xy 47.332252 95.915427) - (xy 47.302922 96.092591) - (xy 46.101 96.092591) - (xy 46.101 95.05) - (xy 69.192078 95.05) - (xy 69.212043 95.176055) - (xy 69.220207 95.192078) - (xy 69.259234 95.268672) - (xy 69.269984 95.289771) - (xy 69.360229 95.380016) - (xy 69.365505 95.382704) - (xy 69.365506 95.382705) - (xy 69.39945 95.4) - (xy 69.473945 95.437957) - (xy 69.6 95.457922) - (xy 69.726055 95.437957) - (xy 69.80055 95.4) - (xy 69.834494 95.382705) - (xy 69.834495 95.382704) - (xy 69.839771 95.380016) - (xy 69.930016 95.289771) - (xy 69.940767 95.268672) - (xy 69.979793 95.192078) - (xy 69.987957 95.176055) - (xy 70.007922 95.05) - (xy 69.987957 94.923945) - (xy 69.95028 94.85) - (xy 90.592078 94.85) - (xy 90.612043 94.976055) - (xy 90.669984 95.089771) - (xy 90.760229 95.180016) - (xy 90.873945 95.237957) - (xy 90.911853 95.243961) - (xy 90.986899 95.255847) - (xy 91 95.257922) - (xy 91.013102 95.255847) - (xy 91.050018 95.25) - (xy 95.542078 95.25) - (xy 95.562043 95.376055) - (xy 95.574244 95.4) - (xy 95.609234 95.468672) - (xy 95.619984 95.489771) - (xy 95.710229 95.580016) - (xy 95.715505 95.582704) - (xy 95.715506 95.582705) - (xy 95.756394 95.603538) - (xy 95.823945 95.637957) - (xy 95.95 95.657922) - (xy 96.076055 95.637957) - (xy 96.143606 95.603538) - (xy 96.184494 95.582705) - (xy 96.184495 95.582704) - (xy 96.189771 95.580016) - (xy 96.280016 95.489771) - (xy 96.290767 95.468672) - (xy 96.325756 95.4) - (xy 96.337957 95.376055) - (xy 96.357922 95.25) - (xy 96.337957 95.123945) - (xy 96.297301 95.044153) - (xy 96.282705 95.015506) - (xy 96.282704 95.015505) - (xy 96.280016 95.010229) - (xy 96.269787 95) - (xy 96.542078 95) - (xy 96.562043 95.126055) - (xy 96.582144 95.165506) - (xy 96.616384 95.232705) - (xy 96.619984 95.239771) - (xy 96.710229 95.330016) - (xy 96.715505 95.332704) - (xy 96.715506 95.332705) - (xy 96.760925 95.355847) - (xy 96.823945 95.387957) - (xy 96.829792 95.388883) - (xy 96.936899 95.405847) - (xy 96.95 95.407922) - (xy 96.963102 95.405847) - (xy 97.000018 95.4) - (xy 102.442078 95.4) - (xy 102.462043 95.526055) - (xy 102.519984 95.639771) - (xy 102.610229 95.730016) - (xy 102.615505 95.732704) - (xy 102.615506 95.732705) - (xy 102.646887 95.748694) - (xy 102.723945 95.787957) - (xy 102.85 95.807922) - (xy 102.976055 95.787957) - (xy 103.053113 95.748694) - (xy 103.084494 95.732705) - (xy 103.084495 95.732704) - (xy 103.089771 95.730016) - (xy 103.180016 95.639771) - (xy 103.237957 95.526055) - (xy 103.257922 95.4) - (xy 103.237957 95.273945) - (xy 103.191468 95.182705) - (xy 103.182705 95.165506) - (xy 103.182704 95.165505) - (xy 103.180016 95.160229) - (xy 103.089771 95.069984) - (xy 103.062026 95.055847) - (xy 103.05055 95.05) - (xy 114.292078 95.05) - (xy 114.312043 95.176055) - (xy 114.320207 95.192078) - (xy 114.359234 95.268672) - (xy 114.369984 95.289771) - (xy 114.460229 95.380016) - (xy 114.465505 95.382704) - (xy 114.465506 95.382705) - (xy 114.49945 95.4) - (xy 114.573945 95.437957) - (xy 114.7 95.457922) - (xy 114.826055 95.437957) - (xy 114.90055 95.4) - (xy 114.934494 95.382705) - (xy 114.934495 95.382704) - (xy 114.939771 95.380016) - (xy 115.030016 95.289771) - (xy 115.040767 95.268672) - (xy 115.079793 95.192078) - (xy 115.087957 95.176055) - (xy 115.107922 95.05) - (xy 122.842078 95.05) - (xy 122.862043 95.176055) - (xy 122.870207 95.192078) - (xy 122.909234 95.268672) - (xy 122.919984 95.289771) - (xy 123.010229 95.380016) - (xy 123.015505 95.382704) - (xy 123.015506 95.382705) - (xy 123.04945 95.4) - (xy 123.123945 95.437957) - (xy 123.25 95.457922) - (xy 123.376055 95.437957) - (xy 123.45055 95.4) - (xy 123.484494 95.382705) - (xy 123.484495 95.382704) - (xy 123.489771 95.380016) - (xy 123.580016 95.289771) - (xy 123.590767 95.268672) - (xy 123.629793 95.192078) - (xy 123.637957 95.176055) - (xy 123.657922 95.05) - (xy 123.637957 94.923945) - (xy 123.580016 94.810229) - (xy 123.489771 94.719984) - (xy 123.376055 94.662043) - (xy 123.25 94.642078) - (xy 123.123945 94.662043) - (xy 123.010229 94.719984) - (xy 122.919984 94.810229) - (xy 122.862043 94.923945) - (xy 122.842078 95.05) - (xy 115.107922 95.05) - (xy 115.087957 94.923945) - (xy 115.030016 94.810229) - (xy 114.939771 94.719984) - (xy 114.826055 94.662043) - (xy 114.7 94.642078) - (xy 114.573945 94.662043) - (xy 114.460229 94.719984) - (xy 114.369984 94.810229) - (xy 114.312043 94.923945) - (xy 114.292078 95.05) - (xy 103.05055 95.05) - (xy 103.003555 95.026055) - (xy 102.976055 95.012043) - (xy 102.900018 95) - (xy 102.855847 94.993004) - (xy 102.85 94.992078) - (xy 102.844153 94.993004) - (xy 102.799982 95) - (xy 102.723945 95.012043) - (xy 102.696445 95.026055) - (xy 102.637975 95.055847) - (xy 102.610229 95.069984) - (xy 102.519984 95.160229) - (xy 102.517296 95.165505) - (xy 102.517295 95.165506) - (xy 102.508532 95.182705) - (xy 102.462043 95.273945) - (xy 102.442078 95.4) - (xy 97.000018 95.4) - (xy 97.070208 95.388883) - (xy 97.076055 95.387957) - (xy 97.139075 95.355847) - (xy 97.184494 95.332705) - (xy 97.184495 95.332704) - (xy 97.189771 95.330016) - (xy 97.280016 95.239771) - (xy 97.283617 95.232705) - (xy 97.317856 95.165506) - (xy 97.337957 95.126055) - (xy 97.357922 95) - (xy 97.342084 94.9) - (xy 97.542078 94.9) - (xy 97.562043 95.026055) - (xy 97.574244 95.05) - (xy 97.609234 95.118672) - (xy 97.619984 95.139771) - (xy 97.710229 95.230016) - (xy 97.715505 95.232704) - (xy 97.715506 95.232705) - (xy 97.74945 95.25) - (xy 97.823945 95.287957) - (xy 97.95 95.307922) - (xy 98.076055 95.287957) - (xy 98.15055 95.25) - (xy 98.184494 95.232705) - (xy 98.184495 95.232704) - (xy 98.189771 95.230016) - (xy 98.280016 95.139771) - (xy 98.290767 95.118672) - (xy 98.325756 95.05) - (xy 98.337957 95.026055) - (xy 98.357922 94.9) - (xy 99.242078 94.9) - (xy 99.262043 95.026055) - (xy 99.274244 95.05) - (xy 99.309234 95.118672) - (xy 99.319984 95.139771) - (xy 99.410229 95.230016) - (xy 99.415505 95.232704) - (xy 99.415506 95.232705) - (xy 99.44945 95.25) - (xy 99.523945 95.287957) - (xy 99.65 95.307922) - (xy 99.776055 95.287957) - (xy 99.85055 95.25) - (xy 99.884494 95.232705) - (xy 99.884495 95.232704) - (xy 99.889771 95.230016) - (xy 99.980016 95.139771) - (xy 99.990767 95.118672) - (xy 100.025756 95.05) - (xy 100.037957 95.026055) - (xy 100.057922 94.9) - (xy 100.037957 94.773945) - (xy 100.007079 94.713344) - (xy 99.982705 94.665506) - (xy 99.982704 94.665505) - (xy 99.980016 94.660229) - (xy 99.889771 94.569984) - (xy 99.776055 94.512043) - (xy 99.65 94.492078) - (xy 99.523945 94.512043) - (xy 99.410229 94.569984) - (xy 99.319984 94.660229) - (xy 99.317296 94.665505) - (xy 99.317295 94.665506) - (xy 99.292921 94.713344) - (xy 99.262043 94.773945) - (xy 99.242078 94.9) - (xy 98.357922 94.9) - (xy 98.337957 94.773945) - (xy 98.307079 94.713344) - (xy 98.282705 94.665506) - (xy 98.282704 94.665505) - (xy 98.280016 94.660229) - (xy 98.189771 94.569984) - (xy 98.076055 94.512043) - (xy 97.95 94.492078) - (xy 97.823945 94.512043) - (xy 97.710229 94.569984) - (xy 97.619984 94.660229) - (xy 97.617296 94.665505) - (xy 97.617295 94.665506) - (xy 97.592921 94.713344) - (xy 97.562043 94.773945) - (xy 97.542078 94.9) - (xy 97.342084 94.9) - (xy 97.337957 94.873945) - (xy 97.280016 94.760229) - (xy 97.189771 94.669984) - (xy 97.180983 94.665506) - (xy 97.121641 94.63527) - (xy 97.076055 94.612043) - (xy 96.95 94.592078) - (xy 96.823945 94.612043) - (xy 96.778359 94.63527) - (xy 96.719018 94.665506) - (xy 96.710229 94.669984) - (xy 96.619984 94.760229) - (xy 96.562043 94.873945) - (xy 96.542078 95) - (xy 96.269787 95) - (xy 96.189771 94.919984) - (xy 96.162026 94.905847) - (xy 96.089065 94.868672) - (xy 96.076055 94.862043) - (xy 96.000018 94.85) - (xy 95.955847 94.843004) - (xy 95.95 94.842078) - (xy 95.944153 94.843004) - (xy 95.899982 94.85) - (xy 95.823945 94.862043) - (xy 95.810935 94.868672) - (xy 95.737975 94.905847) - (xy 95.710229 94.919984) - (xy 95.619984 95.010229) - (xy 95.617296 95.015505) - (xy 95.617295 95.015506) - (xy 95.602699 95.044153) - (xy 95.562043 95.123945) - (xy 95.542078 95.25) - (xy 91.050018 95.25) - (xy 91.088147 95.243961) - (xy 91.126055 95.237957) - (xy 91.239771 95.180016) - (xy 91.330016 95.089771) - (xy 91.387957 94.976055) - (xy 91.407922 94.85) - (xy 91.387957 94.723945) - (xy 91.330016 94.610229) - (xy 91.239771 94.519984) - (xy 91.22946 94.51473) - (xy 91.170118 94.484494) - (xy 91.126055 94.462043) - (xy 91 94.442078) - (xy 90.873945 94.462043) - (xy 90.829882 94.484494) - (xy 90.770541 94.51473) - (xy 90.760229 94.519984) - (xy 90.669984 94.610229) - (xy 90.612043 94.723945) - (xy 90.592078 94.85) - (xy 69.95028 94.85) - (xy 69.930016 94.810229) - (xy 69.839771 94.719984) - (xy 69.726055 94.662043) - (xy 69.6 94.642078) - (xy 69.473945 94.662043) - (xy 69.360229 94.719984) - (xy 69.269984 94.810229) - (xy 69.212043 94.923945) - (xy 69.192078 95.05) - (xy 46.101 95.05) - (xy 46.101 94.25) - (xy 113.642078 94.25) - (xy 113.662043 94.376055) - (xy 113.719984 94.489771) - (xy 113.810229 94.580016) - (xy 113.923945 94.637957) - (xy 114.05 94.657922) - (xy 114.176055 94.637957) - (xy 114.289771 94.580016) - (xy 114.380016 94.489771) - (xy 114.437957 94.376055) - (xy 114.457922 94.25) - (xy 122.192078 94.25) - (xy 122.212043 94.376055) - (xy 122.269984 94.489771) - (xy 122.360229 94.580016) - (xy 122.473945 94.637957) - (xy 122.6 94.657922) - (xy 122.726055 94.637957) - (xy 122.839771 94.580016) - (xy 122.930016 94.489771) - (xy 122.987957 94.376055) - (xy 123.007922 94.25) - (xy 122.987957 94.123945) - (xy 122.930016 94.010229) - (xy 122.839771 93.919984) - (xy 122.726055 93.862043) - (xy 122.6 93.842078) - (xy 122.473945 93.862043) - (xy 122.360229 93.919984) - (xy 122.269984 94.010229) - (xy 122.212043 94.123945) - (xy 122.192078 94.25) - (xy 114.457922 94.25) - (xy 114.437957 94.123945) - (xy 114.380016 94.010229) - (xy 114.289771 93.919984) - (xy 114.176055 93.862043) - (xy 114.05 93.842078) - (xy 113.923945 93.862043) - (xy 113.810229 93.919984) - (xy 113.719984 94.010229) - (xy 113.662043 94.123945) - (xy 113.642078 94.25) - (xy 46.101 94.25) - (xy 46.101 93.606448) - (xy 46.10246 93.5917) - (xy 46.1308 93.45) - (xy 114.292078 93.45) - (xy 114.312043 93.576055) - (xy 114.369984 93.689771) - (xy 114.460229 93.780016) - (xy 114.573945 93.837957) - (xy 114.7 93.857922) - (xy 114.826055 93.837957) - (xy 114.939771 93.780016) - (xy 115.030016 93.689771) - (xy 115.087957 93.576055) - (xy 115.107922 93.45) - (xy 121.542078 93.45) - (xy 121.562043 93.576055) - (xy 121.619984 93.689771) - (xy 121.710229 93.780016) - (xy 121.823945 93.837957) - (xy 121.95 93.857922) - (xy 122.076055 93.837957) - (xy 122.189771 93.780016) - (xy 122.280016 93.689771) - (xy 122.337957 93.576055) - (xy 122.357922 93.45) - (xy 122.337957 93.323945) - (xy 122.280016 93.210229) - (xy 122.189771 93.119984) - (xy 122.076055 93.062043) - (xy 121.95 93.042078) - (xy 121.823945 93.062043) - (xy 121.710229 93.119984) - (xy 121.619984 93.210229) - (xy 121.562043 93.323945) - (xy 121.542078 93.45) - (xy 115.107922 93.45) - (xy 115.087957 93.323945) - (xy 115.030016 93.210229) - (xy 114.939771 93.119984) - (xy 114.826055 93.062043) - (xy 114.7 93.042078) - (xy 114.573945 93.062043) - (xy 114.460229 93.119984) - (xy 114.369984 93.210229) - (xy 114.312043 93.323945) - (xy 114.292078 93.45) - (xy 46.1308 93.45) - (xy 46.144808 93.379959) - (xy 46.226026 92.97387) - (xy 46.232502 92.954996) - (xy 46.41 92.6) - (xy 90.492078 92.6) - (xy 90.512043 92.726055) - (xy 90.569984 92.839771) - (xy 90.660229 92.930016) - (xy 90.773945 92.987957) - (xy 90.9 93.007922) - (xy 91.026055 92.987957) - (xy 91.139771 92.930016) - (xy 91.230016 92.839771) - (xy 91.287957 92.726055) - (xy 91.307922 92.6) - (xy 97.492078 92.6) - (xy 97.512043 92.726055) - (xy 97.569984 92.839771) - (xy 97.660229 92.930016) - (xy 97.773945 92.987957) - (xy 97.9 93.007922) - (xy 98.026055 92.987957) - (xy 98.139771 92.930016) - (xy 98.230016 92.839771) - (xy 98.287957 92.726055) - (xy 98.307922 92.6) - (xy 99.642078 92.6) - (xy 99.662043 92.726055) - (xy 99.719984 92.839771) - (xy 99.810229 92.930016) - (xy 99.923945 92.987957) - (xy 100.05 93.007922) - (xy 100.176055 92.987957) - (xy 100.289771 92.930016) - (xy 100.380016 92.839771) - (xy 100.437957 92.726055) - (xy 100.450003 92.65) - (xy 122.842078 92.65) - (xy 122.862043 92.776055) - (xy 122.919984 92.889771) - (xy 123.010229 92.980016) - (xy 123.015505 92.982704) - (xy 123.015506 92.982705) - (xy 123.06318 93.006996) - (xy 123.123945 93.037957) - (xy 123.25 93.057922) - (xy 123.376055 93.037957) - (xy 123.43682 93.006996) - (xy 123.484494 92.982705) - (xy 123.484495 92.982704) - (xy 123.489771 92.980016) - (xy 123.580016 92.889771) - (xy 123.637957 92.776055) - (xy 123.657922 92.65) - (xy 125.142078 92.65) - (xy 125.162043 92.776055) - (xy 125.219984 92.889771) - (xy 125.310229 92.980016) - (xy 125.315505 92.982704) - (xy 125.315506 92.982705) - (xy 125.36318 93.006996) - (xy 125.423945 93.037957) - (xy 125.55 93.057922) - (xy 125.676055 93.037957) - (xy 125.73682 93.006996) - (xy 125.784494 92.982705) - (xy 125.784495 92.982704) - (xy 125.789771 92.980016) - (xy 125.869787 92.9) - (xy 126.892329 92.9) - (xy 126.911331 93.044336) - (xy 126.913216 93.048888) - (xy 126.913217 93.04889) - (xy 126.930568 93.090777) - (xy 126.967043 93.178835) - (xy 126.970039 93.182739) - (xy 126.970041 93.182743) - (xy 126.987917 93.206039) - (xy 127.055667 93.294333) - (xy 127.171164 93.382957) - (xy 127.231042 93.407759) - (xy 127.30111 93.436783) - (xy 127.301112 93.436784) - (xy 127.305664 93.438669) - (xy 127.45 93.457671) - (xy 127.594336 93.438669) - (xy 127.598888 93.436784) - (xy 127.59889 93.436783) - (xy 127.668958 93.407759) - (xy 127.728836 93.382957) - (xy 127.844333 93.294333) - (xy 127.912083 93.206039) - (xy 127.929959 93.182743) - (xy 127.929961 93.182739) - (xy 127.932957 93.178835) - (xy 127.969432 93.090777) - (xy 127.986783 93.04889) - (xy 127.986784 93.048888) - (xy 127.988669 93.044336) - (xy 128.007671 92.9) - (xy 127.988669 92.755664) - (xy 127.976405 92.726055) - (xy 127.934841 92.625714) - (xy 127.932957 92.621165) - (xy 127.929961 92.617261) - (xy 127.929959 92.617257) - (xy 127.847331 92.509574) - (xy 127.844333 92.505667) - (xy 127.728836 92.417043) - (xy 127.637316 92.379134) - (xy 127.59889 92.363217) - (xy 127.598888 92.363216) - (xy 127.594336 92.361331) - (xy 127.45 92.342329) - (xy 127.305664 92.361331) - (xy 127.301112 92.363216) - (xy 127.30111 92.363217) - (xy 127.262684 92.379134) - (xy 127.171165 92.417043) - (xy 127.167261 92.420039) - (xy 127.167257 92.420041) - (xy 127.106175 92.466911) - (xy 127.055667 92.505667) - (xy 127.052669 92.509574) - (xy 126.970041 92.617257) - (xy 126.970039 92.617261) - (xy 126.967043 92.621165) - (xy 126.965159 92.625714) - (xy 126.923596 92.726055) - (xy 126.911331 92.755664) - (xy 126.892329 92.9) - (xy 125.869787 92.9) - (xy 125.880016 92.889771) - (xy 125.937957 92.776055) - (xy 125.957922 92.65) - (xy 125.937957 92.523945) - (xy 125.89894 92.44737) - (xy 125.882705 92.415506) - (xy 125.882704 92.415505) - (xy 125.880016 92.410229) - (xy 125.789771 92.319984) - (xy 125.676055 92.262043) - (xy 125.55 92.242078) - (xy 125.423945 92.262043) - (xy 125.310229 92.319984) - (xy 125.219984 92.410229) - (xy 125.217296 92.415505) - (xy 125.217295 92.415506) - (xy 125.20106 92.44737) - (xy 125.162043 92.523945) - (xy 125.142078 92.65) - (xy 123.657922 92.65) - (xy 123.637957 92.523945) - (xy 123.59894 92.44737) - (xy 123.582705 92.415506) - (xy 123.582704 92.415505) - (xy 123.580016 92.410229) - (xy 123.489771 92.319984) - (xy 123.376055 92.262043) - (xy 123.25 92.242078) - (xy 123.123945 92.262043) - (xy 123.010229 92.319984) - (xy 122.919984 92.410229) - (xy 122.917296 92.415505) - (xy 122.917295 92.415506) - (xy 122.90106 92.44737) - (xy 122.862043 92.523945) - (xy 122.842078 92.65) - (xy 100.450003 92.65) - (xy 100.457922 92.6) - (xy 100.437957 92.473945) - (xy 100.380016 92.360229) - (xy 100.289771 92.269984) - (xy 100.27946 92.26473) - (xy 100.194527 92.221455) - (xy 100.176055 92.212043) - (xy 100.05 92.192078) - (xy 99.923945 92.212043) - (xy 99.905473 92.221455) - (xy 99.820541 92.26473) - (xy 99.810229 92.269984) - (xy 99.719984 92.360229) - (xy 99.662043 92.473945) - (xy 99.642078 92.6) - (xy 98.307922 92.6) - (xy 98.287957 92.473945) - (xy 98.230016 92.360229) - (xy 98.139771 92.269984) - (xy 98.12946 92.26473) - (xy 98.044527 92.221455) - (xy 98.026055 92.212043) - (xy 97.9 92.192078) - (xy 97.773945 92.212043) - (xy 97.755473 92.221455) - (xy 97.670541 92.26473) - (xy 97.660229 92.269984) - (xy 97.569984 92.360229) - (xy 97.512043 92.473945) - (xy 97.492078 92.6) - (xy 91.307922 92.6) - (xy 91.287957 92.473945) - (xy 91.230016 92.360229) - (xy 91.139771 92.269984) - (xy 91.12946 92.26473) - (xy 91.044527 92.221455) - (xy 91.026055 92.212043) - (xy 90.9 92.192078) - (xy 90.773945 92.212043) - (xy 90.755473 92.221455) - (xy 90.670541 92.26473) - (xy 90.660229 92.269984) - (xy 90.569984 92.360229) - (xy 90.512043 92.473945) - (xy 90.492078 92.6) - (xy 46.41 92.6) - (xy 46.476545 92.466911) - (xy 46.49063 92.44737) - (xy 47.24359 91.69441) - (xy 98.292477 91.69441) - (xy 98.293171 91.699717) - (xy 98.293171 91.69972) - (xy 98.302065 91.76773) - (xy 98.309298 91.823046) - (xy 98.311457 91.827952) - (xy 98.311457 91.827953) - (xy 98.343616 91.901039) - (xy 98.361547 91.941791) - (xy 98.445023 92.041098) - (xy 98.477902 92.062984) - (xy 98.536022 92.101671) - (xy 98.553017 92.112984) - (xy 98.676845 92.151671) - (xy 98.743716 92.152896) - (xy 98.801193 92.15395) - (xy 98.801195 92.15395) - (xy 98.806555 92.154048) - (xy 98.811726 92.152638) - (xy 98.811728 92.152638) - (xy 98.879058 92.134282) - (xy 98.931718 92.119925) - (xy 99.042273 92.052044) - (xy 99.045865 92.048076) - (xy 99.045867 92.048074) - (xy 99.101151 91.986997) - (xy 99.129332 91.955863) - (xy 99.185897 91.839112) - (xy 99.20742 91.711179) - (xy 99.207557 91.7) - (xy 99.207145 91.697122) - (xy 99.199596 91.64441) - (xy 113.792477 91.64441) - (xy 113.793171 91.649717) - (xy 113.793171 91.64972) - (xy 113.799746 91.7) - (xy 113.809298 91.773046) - (xy 113.811457 91.777952) - (xy 113.811457 91.777953) - (xy 113.831694 91.823945) - (xy 113.861547 91.891791) - (xy 113.945023 91.991098) - (xy 113.949483 91.994066) - (xy 113.949482 91.994066) - (xy 114.024597 92.044066) - (xy 114.053017 92.062984) - (xy 114.176845 92.101671) - (xy 114.243716 92.102896) - (xy 114.301193 92.10395) - (xy 114.301195 92.10395) - (xy 114.306555 92.104048) - (xy 114.311726 92.102638) - (xy 114.311728 92.102638) - (xy 114.409233 92.076055) - (xy 114.431718 92.069925) - (xy 114.542273 92.002044) - (xy 114.545865 91.998076) - (xy 114.545867 91.998074) - (xy 114.584089 91.955847) - (xy 114.589381 91.95) - (xy 123.992078 91.95) - (xy 123.993004 91.955847) - (xy 123.993783 91.960766) - (xy 124.012043 92.076055) - (xy 124.01473 92.081328) - (xy 124.05974 92.169665) - (xy 124.069984 92.189771) - (xy 124.160229 92.280016) - (xy 124.273945 92.337957) - (xy 124.4 92.357922) - (xy 124.526055 92.337957) - (xy 124.639771 92.280016) - (xy 124.730016 92.189771) - (xy 124.740261 92.169665) - (xy 124.78527 92.081328) - (xy 124.787957 92.076055) - (xy 124.806217 91.960766) - (xy 124.806996 91.955847) - (xy 124.807922 91.95) - (xy 124.787957 91.823945) - (xy 124.772908 91.79441) - (xy 125.992477 91.79441) - (xy 125.993171 91.799717) - (xy 125.993171 91.79972) - (xy 125.997631 91.833826) - (xy 126.009298 91.923046) - (xy 126.011457 91.927952) - (xy 126.011457 91.927953) - (xy 126.042311 91.998074) - (xy 126.061547 92.041791) - (xy 126.145023 92.141098) - (xy 126.253017 92.212984) - (xy 126.376845 92.251671) - (xy 126.443716 92.252896) - (xy 126.501193 92.25395) - (xy 126.501195 92.25395) - (xy 126.506555 92.254048) - (xy 126.511726 92.252638) - (xy 126.511728 92.252638) - (xy 126.579058 92.234282) - (xy 126.631718 92.219925) - (xy 126.742273 92.152044) - (xy 126.745865 92.148076) - (xy 126.745867 92.148074) - (xy 126.78778 92.101769) - (xy 126.829332 92.055863) - (xy 126.877789 91.955847) - (xy 126.883559 91.943938) - (xy 126.883559 91.943937) - (xy 126.885897 91.939112) - (xy 126.90742 91.811179) - (xy 126.907557 91.8) - (xy 126.907145 91.797122) - (xy 126.889925 91.676876) - (xy 126.889924 91.676873) - (xy 126.889166 91.671579) - (xy 126.840584 91.56473) - (xy 126.837689 91.558362) - (xy 126.837688 91.558361) - (xy 126.83547 91.553482) - (xy 126.827969 91.544776) - (xy 126.754282 91.459258) - (xy 126.754281 91.459257) - (xy 126.750787 91.455202) - (xy 126.740896 91.448791) - (xy 126.646416 91.387552) - (xy 126.646415 91.387551) - (xy 126.641923 91.38464) - (xy 126.599367 91.371913) - (xy 126.522765 91.349004) - (xy 126.522762 91.349004) - (xy 126.517631 91.347469) - (xy 126.512276 91.347436) - (xy 126.512274 91.347436) - (xy 126.454164 91.347081) - (xy 126.387902 91.346676) - (xy 126.263166 91.382326) - (xy 126.153448 91.451553) - (xy 126.06757 91.548791) - (xy 126.065294 91.553638) - (xy 126.065293 91.55364) - (xy 126.034145 91.619984) - (xy 126.012436 91.666223) - (xy 125.992477 91.79441) - (xy 124.772908 91.79441) - (xy 124.730016 91.710229) - (xy 124.639771 91.619984) - (xy 124.526055 91.562043) - (xy 124.4 91.542078) - (xy 124.273945 91.562043) - (xy 124.160229 91.619984) - (xy 124.069984 91.710229) - (xy 124.012043 91.823945) - (xy 123.992078 91.95) - (xy 114.589381 91.95) - (xy 114.629332 91.905863) - (xy 114.685897 91.789112) - (xy 114.70742 91.661179) - (xy 114.707557 91.65) - (xy 114.707145 91.647122) - (xy 114.689925 91.526876) - (xy 114.689924 91.526873) - (xy 114.689166 91.521579) - (xy 114.660423 91.458362) - (xy 114.637689 91.408362) - (xy 114.637688 91.408361) - (xy 114.63547 91.403482) - (xy 114.627969 91.394776) - (xy 114.554282 91.309258) - (xy 114.554281 91.309257) - (xy 114.550787 91.305202) - (xy 114.545157 91.301553) - (xy 114.446416 91.237552) - (xy 114.446415 91.237551) - (xy 114.441923 91.23464) - (xy 114.36951 91.212984) - (xy 114.322765 91.199004) - (xy 114.322762 91.199004) - (xy 114.317631 91.197469) - (xy 114.312276 91.197436) - (xy 114.312274 91.197436) - (xy 114.254164 91.197081) - (xy 114.187902 91.196676) - (xy 114.063166 91.232326) - (xy 113.953448 91.301553) - (xy 113.86757 91.398791) - (xy 113.865294 91.403638) - (xy 113.865293 91.40364) - (xy 113.839181 91.459258) - (xy 113.812436 91.516223) - (xy 113.792477 91.64441) - (xy 99.199596 91.64441) - (xy 99.189925 91.576876) - (xy 99.189924 91.576873) - (xy 99.189166 91.571579) - (xy 99.13547 91.453482) - (xy 99.127969 91.444776) - (xy 99.054282 91.359258) - (xy 99.054281 91.359257) - (xy 99.050787 91.355202) - (xy 99.041225 91.349004) - (xy 98.946416 91.287552) - (xy 98.946415 91.287551) - (xy 98.941923 91.28464) - (xy 98.875265 91.264705) - (xy 98.822765 91.249004) - (xy 98.822762 91.249004) - (xy 98.817631 91.247469) - (xy 98.812276 91.247436) - (xy 98.812274 91.247436) - (xy 98.754164 91.247081) - (xy 98.687902 91.246676) - (xy 98.563166 91.282326) - (xy 98.453448 91.351553) - (xy 98.36757 91.448791) - (xy 98.365294 91.453638) - (xy 98.365293 91.45364) - (xy 98.333426 91.521516) - (xy 98.312436 91.566223) - (xy 98.292477 91.69441) - (xy 47.24359 91.69441) - (xy 48.14359 90.79441) - (xy 90.292477 90.79441) - (xy 90.293171 90.799717) - (xy 90.293171 90.79972) - (xy 90.29475 90.811792) - (xy 90.309298 90.923046) - (xy 90.311457 90.927952) - (xy 90.311457 90.927953) - (xy 90.343616 91.001039) - (xy 90.361547 91.041791) - (xy 90.445023 91.141098) - (xy 90.477902 91.162984) - (xy 90.536022 91.201671) - (xy 90.553017 91.212984) - (xy 90.676845 91.251671) - (xy 90.743716 91.252896) - (xy 90.801193 91.25395) - (xy 90.801195 91.25395) - (xy 90.806555 91.254048) - (xy 90.811726 91.252638) - (xy 90.811728 91.252638) - (xy 90.891627 91.230855) - (xy 90.931718 91.219925) - (xy 91.042273 91.152044) - (xy 91.045865 91.148076) - (xy 91.045867 91.148074) - (xy 91.101151 91.086997) - (xy 91.129332 91.055863) - (xy 91.185897 90.939112) - (xy 91.20742 90.811179) - (xy 91.207557 90.8) - (xy 91.207145 90.797122) - (xy 91.206757 90.79441) - (xy 97.292477 90.79441) - (xy 97.293171 90.799717) - (xy 97.293171 90.79972) - (xy 97.29475 90.811792) - (xy 97.309298 90.923046) - (xy 97.311457 90.927952) - (xy 97.311457 90.927953) - (xy 97.343616 91.001039) - (xy 97.361547 91.041791) - (xy 97.445023 91.141098) - (xy 97.477902 91.162984) - (xy 97.536022 91.201671) - (xy 97.553017 91.212984) - (xy 97.676845 91.251671) - (xy 97.743716 91.252896) - (xy 97.801193 91.25395) - (xy 97.801195 91.25395) - (xy 97.806555 91.254048) - (xy 97.811726 91.252638) - (xy 97.811728 91.252638) - (xy 97.891627 91.230855) - (xy 97.931718 91.219925) - (xy 98.042273 91.152044) - (xy 98.045865 91.148076) - (xy 98.045867 91.148074) - (xy 98.101151 91.086997) - (xy 98.129332 91.055863) - (xy 98.185897 90.939112) - (xy 98.20742 90.811179) - (xy 98.207557 90.8) - (xy 98.207145 90.797122) - (xy 98.199596 90.74441) - (xy 114.792477 90.74441) - (xy 114.793171 90.749717) - (xy 114.793171 90.74972) - (xy 114.800827 90.808266) - (xy 114.809298 90.873046) - (xy 114.811457 90.877952) - (xy 114.811457 90.877953) - (xy 114.832666 90.926153) - (xy 114.861547 90.991791) - (xy 114.945023 91.091098) - (xy 114.949483 91.094066) - (xy 114.949482 91.094066) - (xy 115.024597 91.144066) - (xy 115.053017 91.162984) - (xy 115.176845 91.201671) - (xy 115.243716 91.202896) - (xy 115.301193 91.20395) - (xy 115.301195 91.20395) - (xy 115.306555 91.204048) - (xy 115.311726 91.202638) - (xy 115.311728 91.202638) - (xy 115.379058 91.184282) - (xy 115.431718 91.169925) - (xy 115.542273 91.102044) - (xy 115.545865 91.098076) - (xy 115.545867 91.098074) - (xy 115.587382 91.052208) - (xy 115.629332 91.005863) - (xy 115.685897 90.889112) - (xy 115.70742 90.761179) - (xy 115.707557 90.75) - (xy 115.707145 90.747122) - (xy 115.706757 90.74441) - (xy 124.792477 90.74441) - (xy 124.793171 90.749717) - (xy 124.793171 90.74972) - (xy 124.800827 90.808266) - (xy 124.809298 90.873046) - (xy 124.811457 90.877952) - (xy 124.811457 90.877953) - (xy 124.832666 90.926153) - (xy 124.861547 90.991791) - (xy 124.945023 91.091098) - (xy 124.949483 91.094066) - (xy 124.949482 91.094066) - (xy 125.024597 91.144066) - (xy 125.053017 91.162984) - (xy 125.176845 91.201671) - (xy 125.243716 91.202896) - (xy 125.301193 91.20395) - (xy 125.301195 91.20395) - (xy 125.306555 91.204048) - (xy 125.311726 91.202638) - (xy 125.311728 91.202638) - (xy 125.379058 91.184282) - (xy 125.431718 91.169925) - (xy 125.542273 91.102044) - (xy 125.545865 91.098076) - (xy 125.545867 91.098074) - (xy 125.587382 91.052208) - (xy 125.629332 91.005863) - (xy 125.665118 90.932) - (xy 134.720078 90.932) - (xy 134.740043 91.058055) - (xy 134.763885 91.104847) - (xy 134.794322 91.164583) - (xy 134.797984 91.171771) - (xy 134.888229 91.262016) - (xy 134.893505 91.264704) - (xy 134.893506 91.264705) - (xy 134.932631 91.28464) - (xy 135.001945 91.319957) - (xy 135.128 91.339922) - (xy 135.254055 91.319957) - (xy 135.323369 91.28464) - (xy 135.362494 91.264705) - (xy 135.362495 91.264704) - (xy 135.367771 91.262016) - (xy 135.458016 91.171771) - (xy 135.461679 91.164583) - (xy 135.492115 91.104847) - (xy 135.515957 91.058055) - (xy 135.535922 90.932) - (xy 135.990078 90.932) - (xy 136.010043 91.058055) - (xy 136.033885 91.104847) - (xy 136.064322 91.164583) - (xy 136.067984 91.171771) - (xy 136.158229 91.262016) - (xy 136.163505 91.264704) - (xy 136.163506 91.264705) - (xy 136.202631 91.28464) - (xy 136.271945 91.319957) - (xy 136.398 91.339922) - (xy 136.524055 91.319957) - (xy 136.593369 91.28464) - (xy 136.632494 91.264705) - (xy 136.632495 91.264704) - (xy 136.637771 91.262016) - (xy 136.728016 91.171771) - (xy 136.731679 91.164583) - (xy 136.762115 91.104847) - (xy 136.785957 91.058055) - (xy 136.805922 90.932) - (xy 136.785957 90.805945) - (xy 136.728016 90.692229) - (xy 136.637771 90.601984) - (xy 136.524055 90.544043) - (xy 136.398 90.524078) - (xy 136.271945 90.544043) - (xy 136.158229 90.601984) - (xy 136.067984 90.692229) - (xy 136.010043 90.805945) - (xy 135.990078 90.932) - (xy 135.535922 90.932) - (xy 135.515957 90.805945) - (xy 135.458016 90.692229) - (xy 135.367771 90.601984) - (xy 135.254055 90.544043) - (xy 135.128 90.524078) - (xy 135.001945 90.544043) - (xy 134.888229 90.601984) - (xy 134.797984 90.692229) - (xy 134.740043 90.805945) - (xy 134.720078 90.932) - (xy 125.665118 90.932) - (xy 125.685897 90.889112) - (xy 125.70742 90.761179) - (xy 125.707557 90.75) - (xy 125.707145 90.747122) - (xy 125.689925 90.626876) - (xy 125.689924 90.626873) - (xy 125.689166 90.621579) - (xy 125.658204 90.553482) - (xy 125.637689 90.508362) - (xy 125.637688 90.508361) - (xy 125.63547 90.503482) - (xy 125.627969 90.494776) - (xy 125.554282 90.409258) - (xy 125.554281 90.409257) - (xy 125.550787 90.405202) - (xy 125.545157 90.401553) - (xy 125.446416 90.337552) - (xy 125.446415 90.337551) - (xy 125.441923 90.33464) - (xy 125.399367 90.321913) - (xy 125.322765 90.299004) - (xy 125.322762 90.299004) - (xy 125.317631 90.297469) - (xy 125.312276 90.297436) - (xy 125.312274 90.297436) - (xy 125.254164 90.297081) - (xy 125.187902 90.296676) - (xy 125.063166 90.332326) - (xy 124.953448 90.401553) - (xy 124.86757 90.498791) - (xy 124.865294 90.503638) - (xy 124.865293 90.50364) - (xy 124.820384 90.599295) - (xy 124.812436 90.616223) - (xy 124.792477 90.74441) - (xy 115.706757 90.74441) - (xy 115.689925 90.626876) - (xy 115.689924 90.626873) - (xy 115.689166 90.621579) - (xy 115.658204 90.553482) - (xy 115.637689 90.508362) - (xy 115.637688 90.508361) - (xy 115.63547 90.503482) - (xy 115.627969 90.494776) - (xy 115.554282 90.409258) - (xy 115.554281 90.409257) - (xy 115.550787 90.405202) - (xy 115.545157 90.401553) - (xy 115.446416 90.337552) - (xy 115.446415 90.337551) - (xy 115.441923 90.33464) - (xy 115.399367 90.321913) - (xy 115.322765 90.299004) - (xy 115.322762 90.299004) - (xy 115.317631 90.297469) - (xy 115.312276 90.297436) - (xy 115.312274 90.297436) - (xy 115.254164 90.297081) - (xy 115.187902 90.296676) - (xy 115.063166 90.332326) - (xy 114.953448 90.401553) - (xy 114.86757 90.498791) - (xy 114.865294 90.503638) - (xy 114.865293 90.50364) - (xy 114.820384 90.599295) - (xy 114.812436 90.616223) - (xy 114.792477 90.74441) - (xy 98.199596 90.74441) - (xy 98.189925 90.676876) - (xy 98.189924 90.676873) - (xy 98.189166 90.671579) - (xy 98.13547 90.553482) - (xy 98.127969 90.544776) - (xy 98.054282 90.459258) - (xy 98.054281 90.459257) - (xy 98.050787 90.455202) - (xy 98.045157 90.451553) - (xy 97.946416 90.387552) - (xy 97.946415 90.387551) - (xy 97.941923 90.38464) - (xy 97.899367 90.371913) - (xy 97.822765 90.349004) - (xy 97.822762 90.349004) - (xy 97.817631 90.347469) - (xy 97.812276 90.347436) - (xy 97.812274 90.347436) - (xy 97.754164 90.347081) - (xy 97.687902 90.346676) - (xy 97.563166 90.382326) - (xy 97.453448 90.451553) - (xy 97.36757 90.548791) - (xy 97.365294 90.553638) - (xy 97.365293 90.55364) - (xy 97.333426 90.621516) - (xy 97.312436 90.666223) - (xy 97.292477 90.79441) - (xy 91.206757 90.79441) - (xy 91.189925 90.676876) - (xy 91.189924 90.676873) - (xy 91.189166 90.671579) - (xy 91.13547 90.553482) - (xy 91.127969 90.544776) - (xy 91.054282 90.459258) - (xy 91.054281 90.459257) - (xy 91.050787 90.455202) - (xy 91.045157 90.451553) - (xy 90.946416 90.387552) - (xy 90.946415 90.387551) - (xy 90.941923 90.38464) - (xy 90.899367 90.371913) - (xy 90.822765 90.349004) - (xy 90.822762 90.349004) - (xy 90.817631 90.347469) - (xy 90.812276 90.347436) - (xy 90.812274 90.347436) - (xy 90.754164 90.347081) - (xy 90.687902 90.346676) - (xy 90.563166 90.382326) - (xy 90.453448 90.451553) - (xy 90.36757 90.548791) - (xy 90.365294 90.553638) - (xy 90.365293 90.55364) - (xy 90.333426 90.621516) - (xy 90.312436 90.666223) - (xy 90.292477 90.79441) - (xy 48.14359 90.79441) - (xy 49.09359 89.84441) - (xy 113.792477 89.84441) - (xy 113.793171 89.849717) - (xy 113.793171 89.84972) - (xy 113.795045 89.864049) - (xy 113.809298 89.973046) - (xy 113.861547 90.091791) - (xy 113.945023 90.191098) - (xy 114.053017 90.262984) - (xy 114.176845 90.301671) - (xy 114.243716 90.302896) - (xy 114.301193 90.30395) - (xy 114.301195 90.30395) - (xy 114.306555 90.304048) - (xy 114.311726 90.302638) - (xy 114.311728 90.302638) - (xy 114.379058 90.284282) - (xy 114.431718 90.269925) - (xy 114.542273 90.202044) - (xy 114.545865 90.198076) - (xy 114.545867 90.198074) - (xy 114.582481 90.157623) - (xy 114.629332 90.105863) - (xy 114.685897 89.989112) - (xy 114.70742 89.861179) - (xy 114.707557 89.85) - (xy 114.707145 89.847122) - (xy 114.706757 89.84441) - (xy 123.792477 89.84441) - (xy 123.793171 89.849717) - (xy 123.793171 89.84972) - (xy 123.795045 89.864049) - (xy 123.809298 89.973046) - (xy 123.861547 90.091791) - (xy 123.945023 90.191098) - (xy 124.053017 90.262984) - (xy 124.176845 90.301671) - (xy 124.243716 90.302896) - (xy 124.301193 90.30395) - (xy 124.301195 90.30395) - (xy 124.306555 90.304048) - (xy 124.311726 90.302638) - (xy 124.311728 90.302638) - (xy 124.379058 90.284282) - (xy 124.431718 90.269925) - (xy 124.542273 90.202044) - (xy 124.545865 90.198076) - (xy 124.545867 90.198074) - (xy 124.582481 90.157623) - (xy 124.629332 90.105863) - (xy 124.685897 89.989112) - (xy 124.70742 89.861179) - (xy 124.707557 89.85) - (xy 124.707145 89.847122) - (xy 124.689925 89.726876) - (xy 124.689924 89.726873) - (xy 124.689166 89.721579) - (xy 124.63547 89.603482) - (xy 124.627969 89.594776) - (xy 124.554282 89.509258) - (xy 124.554281 89.509257) - (xy 124.550787 89.505202) - (xy 124.545157 89.501553) - (xy 124.446416 89.437552) - (xy 124.446415 89.437551) - (xy 124.441923 89.43464) - (xy 124.399367 89.421913) - (xy 124.322765 89.399004) - (xy 124.322762 89.399004) - (xy 124.317631 89.397469) - (xy 124.312276 89.397436) - (xy 124.312274 89.397436) - (xy 124.254164 89.397081) - (xy 124.187902 89.396676) - (xy 124.063166 89.432326) - (xy 123.953448 89.501553) - (xy 123.86757 89.598791) - (xy 123.812436 89.716223) - (xy 123.792477 89.84441) - (xy 114.706757 89.84441) - (xy 114.689925 89.726876) - (xy 114.689924 89.726873) - (xy 114.689166 89.721579) - (xy 114.63547 89.603482) - (xy 114.627969 89.594776) - (xy 114.554282 89.509258) - (xy 114.554281 89.509257) - (xy 114.550787 89.505202) - (xy 114.545157 89.501553) - (xy 114.446416 89.437552) - (xy 114.446415 89.437551) - (xy 114.441923 89.43464) - (xy 114.399367 89.421913) - (xy 114.322765 89.399004) - (xy 114.322762 89.399004) - (xy 114.317631 89.397469) - (xy 114.312276 89.397436) - (xy 114.312274 89.397436) - (xy 114.254164 89.397081) - (xy 114.187902 89.396676) - (xy 114.063166 89.432326) - (xy 113.953448 89.501553) - (xy 113.86757 89.598791) - (xy 113.812436 89.716223) - (xy 113.792477 89.84441) - (xy 49.09359 89.84441) - (xy 54.275409 84.662591) - (xy 56.192922 84.662591) - (xy 56.202321 84.841921) - (xy 56.203403 84.845848) - (xy 56.203403 84.84585) - (xy 56.227291 84.932573) - (xy 56.250008 85.015049) - (xy 56.251908 85.018653) - (xy 56.251909 85.018655) - (xy 56.331858 85.170291) - (xy 56.33376 85.173898) - (xy 56.336386 85.177005) - (xy 56.336389 85.17701) - (xy 56.396237 85.24783) - (xy 56.449668 85.311058) - (xy 56.592326 85.420128) - (xy 56.755077 85.49602) - (xy 56.930328 85.535193) - (xy 56.935819 85.5355) - (xy 57.067866 85.5355) - (xy 57.069889 85.53528) - (xy 57.069893 85.53528) - (xy 57.136105 85.528087) - (xy 57.201525 85.52098) - (xy 57.371722 85.463703) - (xy 57.375208 85.461608) - (xy 57.375211 85.461607) - (xy 57.522158 85.373311) - (xy 57.525648 85.371214) - (xy 57.656123 85.24783) - (xy 57.75706 85.099306) - (xy 57.823748 84.932573) - (xy 57.853078 84.755409) - (xy 57.843679 84.576079) - (xy 57.819817 84.489447) - (xy 57.797074 84.406878) - (xy 57.797073 84.406875) - (xy 57.795992 84.402951) - (xy 57.749793 84.315326) - (xy 57.714142 84.247709) - (xy 57.714141 84.247707) - (xy 57.71224 84.244102) - (xy 57.709614 84.240995) - (xy 57.709611 84.24099) - (xy 57.598959 84.110051) - (xy 57.596332 84.106942) - (xy 57.453674 83.997872) - (xy 57.290923 83.92198) - (xy 57.115672 83.882807) - (xy 57.110181 83.8825) - (xy 56.978134 83.8825) - (xy 56.976111 83.88272) - (xy 56.976107 83.88272) - (xy 56.909895 83.889913) - (xy 56.844475 83.89702) - (xy 56.674278 83.954297) - (xy 56.670792 83.956392) - (xy 56.670789 83.956393) - (xy 56.597645 84.000343) - (xy 56.520352 84.046786) - (xy 56.389877 84.17017) - (xy 56.28894 84.318694) - (xy 56.222252 84.485427) - (xy 56.192922 84.662591) - (xy 54.275409 84.662591) - (xy 56.561409 82.376591) - (xy 139.631922 82.376591) - (xy 139.632135 82.380658) - (xy 139.632135 82.380661) - (xy 139.633972 82.4157) - (xy 139.641321 82.555921) - (xy 139.642403 82.559848) - (xy 139.642403 82.55985) - (xy 139.666291 82.646573) - (xy 139.689008 82.729049) - (xy 139.690908 82.732653) - (xy 139.690909 82.732655) - (xy 139.770858 82.884291) - (xy 139.77276 82.887898) - (xy 139.775386 82.891005) - (xy 139.775389 82.89101) - (xy 139.835237 82.96183) - (xy 139.888668 83.025058) - (xy 140.031326 83.134128) - (xy 140.194077 83.21002) - (xy 140.369328 83.249193) - (xy 140.374819 83.2495) - (xy 140.506866 83.2495) - (xy 140.508889 83.24928) - (xy 140.508893 83.24928) - (xy 140.575105 83.242087) - (xy 140.640525 83.23498) - (xy 140.810722 83.177703) - (xy 140.814208 83.175608) - (xy 140.814211 83.175607) - (xy 140.961158 83.087311) - (xy 140.964648 83.085214) - (xy 141.095123 82.96183) - (xy 141.19606 82.813306) - (xy 141.262748 82.646573) - (xy 141.292078 82.469409) - (xy 141.282679 82.290079) - (xy 141.258817 82.203447) - (xy 141.236074 82.120878) - (xy 141.236073 82.120875) - (xy 141.234992 82.116951) - (xy 141.188793 82.029326) - (xy 141.153142 81.961709) - (xy 141.153141 81.961707) - (xy 141.15124 81.958102) - (xy 141.148614 81.954995) - (xy 141.148611 81.95499) - (xy 141.037959 81.824051) - (xy 141.035332 81.820942) - (xy 140.892674 81.711872) - (xy 140.729923 81.63598) - (xy 140.554672 81.596807) - (xy 140.549181 81.5965) - (xy 140.417134 81.5965) - (xy 140.415111 81.59672) - (xy 140.415107 81.59672) - (xy 140.348895 81.603913) - (xy 140.283475 81.61102) - (xy 140.113278 81.668297) - (xy 140.109792 81.670392) - (xy 140.109789 81.670393) - (xy 140.036645 81.714343) - (xy 139.959352 81.760786) - (xy 139.828877 81.88417) - (xy 139.72794 82.032694) - (xy 139.661252 82.199427) - (xy 139.631922 82.376591) - (xy 56.561409 82.376591) - (xy 58.15737 80.78063) - (xy 58.176911 80.766545) - (xy 58.664996 80.522502) - (xy 58.68387 80.516026) - (xy 59.3017 80.39246) - (xy 59.316448 80.391) - (xy 142.994552 80.391) - ) - ) - ) - (zone (net 73) (net_name "+3V3") (layer "In2.Cu") (tstamp 00000000-0000-0000-0000-00005ff6ab96) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) (filled_areas_thickness no) - (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 143.637 80.518) - (xy 144.272 80.899) - (xy 144.653 81.28) - (xy 144.907 81.788) - (xy 145.034 82.423) - (xy 145.034 129.54) - (xy 144.907 130.175) - (xy 144.653 130.683) - (xy 144.145 131.191) - (xy 143.637 131.445) - (xy 143.002 131.572) - (xy 138.938 131.572) - (xy 138.938 139.192) - (xy 74.422 139.192) - (xy 74.422 131.572) - (xy 48.133 131.572) - (xy 47.498 131.445) - (xy 46.99 131.191) - (xy 46.482 130.683) - (xy 46.228 130.175) - (xy 46.101 129.54) - (xy 46.101 93.599) - (xy 46.228 92.964) - (xy 46.482 92.456) - (xy 58.166 80.772) - (xy 58.674 80.518) - (xy 59.309 80.391) - (xy 143.002 80.391) - ) - ) - (filled_polygon - (layer "In2.Cu") - (pts - (xy 61.705857 80.408593) - (xy 61.731577 80.453142) - (xy 61.724522 80.500341) - (xy 61.722858 80.503608) - (xy 61.715043 80.518945) - (xy 61.695078 80.645) - (xy 61.715043 80.771055) - (xy 61.772984 80.884771) - (xy 61.863229 80.975016) - (xy 61.976945 81.032957) - (xy 62.103 81.052922) - (xy 62.229055 81.032957) - (xy 62.342771 80.975016) - (xy 62.433016 80.884771) - (xy 62.490957 80.771055) - (xy 62.510922 80.645) - (xy 62.490957 80.518945) - (xy 62.483143 80.503608) - (xy 62.481478 80.500341) - (xy 62.475208 80.449285) - (xy 62.503224 80.406143) - (xy 62.548481 80.391) - (xy 66.737519 80.391) - (xy 66.785857 80.408593) - (xy 66.811577 80.453142) - (xy 66.804522 80.500341) - (xy 66.802858 80.503608) - (xy 66.795043 80.518945) - (xy 66.775078 80.645) - (xy 66.795043 80.771055) - (xy 66.852984 80.884771) - (xy 66.943229 80.975016) - (xy 67.056945 81.032957) - (xy 67.183 81.052922) - (xy 67.309055 81.032957) - (xy 67.422771 80.975016) - (xy 67.513016 80.884771) - (xy 67.570957 80.771055) - (xy 67.590922 80.645) - (xy 67.570957 80.518945) - (xy 67.563143 80.503608) - (xy 67.561478 80.500341) - (xy 67.555208 80.449285) - (xy 67.583224 80.406143) - (xy 67.628481 80.391) - (xy 71.817519 80.391) - (xy 71.865857 80.408593) - (xy 71.891577 80.453142) - (xy 71.884522 80.500341) - (xy 71.882858 80.503608) - (xy 71.875043 80.518945) - (xy 71.855078 80.645) - (xy 71.875043 80.771055) - (xy 71.932984 80.884771) - (xy 72.023229 80.975016) - (xy 72.136945 81.032957) - (xy 72.263 81.052922) - (xy 72.389055 81.032957) - (xy 72.502771 80.975016) - (xy 72.593016 80.884771) - (xy 72.650957 80.771055) - (xy 72.670922 80.645) - (xy 72.650957 80.518945) - (xy 72.643143 80.503608) - (xy 72.641478 80.500341) - (xy 72.635208 80.449285) - (xy 72.663224 80.406143) - (xy 72.708481 80.391) - (xy 76.897519 80.391) - (xy 76.945857 80.408593) - (xy 76.971577 80.453142) - (xy 76.964522 80.500341) - (xy 76.962858 80.503608) - (xy 76.955043 80.518945) - (xy 76.935078 80.645) - (xy 76.955043 80.771055) - (xy 77.012984 80.884771) - (xy 77.103229 80.975016) - (xy 77.216945 81.032957) - (xy 77.343 81.052922) - (xy 77.469055 81.032957) - (xy 77.582771 80.975016) - (xy 77.673016 80.884771) - (xy 77.730957 80.771055) - (xy 77.750922 80.645) - (xy 77.730957 80.518945) - (xy 77.723143 80.503608) - (xy 77.721478 80.500341) - (xy 77.715208 80.449285) - (xy 77.743224 80.406143) - (xy 77.788481 80.391) - (xy 81.977519 80.391) - (xy 82.025857 80.408593) - (xy 82.051577 80.453142) - (xy 82.044522 80.500341) - (xy 82.042858 80.503608) - (xy 82.035043 80.518945) - (xy 82.015078 80.645) - (xy 82.035043 80.771055) - (xy 82.092984 80.884771) - (xy 82.183229 80.975016) - (xy 82.296945 81.032957) - (xy 82.423 81.052922) - (xy 82.549055 81.032957) - (xy 82.662771 80.975016) - (xy 82.753016 80.884771) - (xy 82.810957 80.771055) - (xy 82.830922 80.645) - (xy 82.810957 80.518945) - (xy 82.803143 80.503608) - (xy 82.801478 80.500341) - (xy 82.795208 80.449285) - (xy 82.823224 80.406143) - (xy 82.868481 80.391) - (xy 87.057519 80.391) - (xy 87.105857 80.408593) - (xy 87.131577 80.453142) - (xy 87.124522 80.500341) - (xy 87.122858 80.503608) - (xy 87.115043 80.518945) - (xy 87.095078 80.645) - (xy 87.115043 80.771055) - (xy 87.172984 80.884771) - (xy 87.263229 80.975016) - (xy 87.376945 81.032957) - (xy 87.503 81.052922) - (xy 87.629055 81.032957) - (xy 87.742771 80.975016) - (xy 87.833016 80.884771) - (xy 87.890957 80.771055) - (xy 87.910922 80.645) - (xy 87.890957 80.518945) - (xy 87.883143 80.503608) - (xy 87.881478 80.500341) - (xy 87.875208 80.449285) - (xy 87.903224 80.406143) - (xy 87.948481 80.391) - (xy 92.137519 80.391) - (xy 92.185857 80.408593) - (xy 92.211577 80.453142) - (xy 92.204522 80.500341) - (xy 92.202858 80.503608) - (xy 92.195043 80.518945) - (xy 92.175078 80.645) - (xy 92.195043 80.771055) - (xy 92.252984 80.884771) - (xy 92.343229 80.975016) - (xy 92.456945 81.032957) - (xy 92.583 81.052922) - (xy 92.709055 81.032957) - (xy 92.822771 80.975016) - (xy 92.913016 80.884771) - (xy 92.970957 80.771055) - (xy 92.990922 80.645) - (xy 92.970957 80.518945) - (xy 92.963143 80.503608) - (xy 92.961478 80.500341) - (xy 92.955208 80.449285) - (xy 92.983224 80.406143) - (xy 93.028481 80.391) - (xy 97.217519 80.391) - (xy 97.265857 80.408593) - (xy 97.291577 80.453142) - (xy 97.284522 80.500341) - (xy 97.282858 80.503608) - (xy 97.275043 80.518945) - (xy 97.255078 80.645) - (xy 97.275043 80.771055) - (xy 97.332984 80.884771) - (xy 97.423229 80.975016) - (xy 97.536945 81.032957) - (xy 97.663 81.052922) - (xy 97.789055 81.032957) - (xy 97.902771 80.975016) - (xy 97.993016 80.884771) - (xy 98.050957 80.771055) - (xy 98.070922 80.645) - (xy 98.050957 80.518945) - (xy 98.043143 80.503608) - (xy 98.041478 80.500341) - (xy 98.035208 80.449285) - (xy 98.063224 80.406143) - (xy 98.108481 80.391) - (xy 102.297519 80.391) - (xy 102.345857 80.408593) - (xy 102.371577 80.453142) - (xy 102.364522 80.500341) - (xy 102.362858 80.503608) - (xy 102.355043 80.518945) - (xy 102.335078 80.645) - (xy 102.355043 80.771055) - (xy 102.412984 80.884771) - (xy 102.503229 80.975016) - (xy 102.616945 81.032957) - (xy 102.743 81.052922) - (xy 102.869055 81.032957) - (xy 102.982771 80.975016) - (xy 103.073016 80.884771) - (xy 103.130957 80.771055) - (xy 103.150922 80.645) - (xy 103.130957 80.518945) - (xy 103.123143 80.503608) - (xy 103.121478 80.500341) - (xy 103.115208 80.449285) - (xy 103.143224 80.406143) - (xy 103.188481 80.391) - (xy 107.377519 80.391) - (xy 107.425857 80.408593) - (xy 107.451577 80.453142) - (xy 107.444522 80.500341) - (xy 107.442858 80.503608) - (xy 107.435043 80.518945) - (xy 107.415078 80.645) - (xy 107.435043 80.771055) - (xy 107.492984 80.884771) - (xy 107.583229 80.975016) - (xy 107.696945 81.032957) - (xy 107.823 81.052922) - (xy 107.949055 81.032957) - (xy 108.062771 80.975016) - (xy 108.153016 80.884771) - (xy 108.210957 80.771055) - (xy 108.230922 80.645) - (xy 108.210957 80.518945) - (xy 108.203143 80.503608) - (xy 108.201478 80.500341) - (xy 108.195208 80.449285) - (xy 108.223224 80.406143) - (xy 108.268481 80.391) - (xy 112.457519 80.391) - (xy 112.505857 80.408593) - (xy 112.531577 80.453142) - (xy 112.524522 80.500341) - (xy 112.522858 80.503608) - (xy 112.515043 80.518945) - (xy 112.495078 80.645) - (xy 112.515043 80.771055) - (xy 112.572984 80.884771) - (xy 112.663229 80.975016) - (xy 112.776945 81.032957) - (xy 112.903 81.052922) - (xy 113.029055 81.032957) - (xy 113.142771 80.975016) - (xy 113.233016 80.884771) - (xy 113.290957 80.771055) - (xy 113.310922 80.645) - (xy 113.290957 80.518945) - (xy 113.283143 80.503608) - (xy 113.281478 80.500341) - (xy 113.275208 80.449285) - (xy 113.303224 80.406143) - (xy 113.348481 80.391) - (xy 117.537519 80.391) - (xy 117.585857 80.408593) - (xy 117.611577 80.453142) - (xy 117.604522 80.500341) - (xy 117.602858 80.503608) - (xy 117.595043 80.518945) - (xy 117.575078 80.645) - (xy 117.595043 80.771055) - (xy 117.652984 80.884771) - (xy 117.743229 80.975016) - (xy 117.856945 81.032957) - (xy 117.983 81.052922) - (xy 118.109055 81.032957) - (xy 118.222771 80.975016) - (xy 118.313016 80.884771) - (xy 118.370957 80.771055) - (xy 118.390922 80.645) - (xy 118.370957 80.518945) - (xy 118.363143 80.503608) - (xy 118.361478 80.500341) - (xy 118.355208 80.449285) - (xy 118.383224 80.406143) - (xy 118.428481 80.391) - (xy 122.617519 80.391) - (xy 122.665857 80.408593) - (xy 122.691577 80.453142) - (xy 122.684522 80.500341) - (xy 122.682858 80.503608) - (xy 122.675043 80.518945) - (xy 122.655078 80.645) - (xy 122.675043 80.771055) - (xy 122.732984 80.884771) - (xy 122.823229 80.975016) - (xy 122.936945 81.032957) - (xy 123.063 81.052922) - (xy 123.189055 81.032957) - (xy 123.302771 80.975016) - (xy 123.393016 80.884771) - (xy 123.450957 80.771055) - (xy 123.470922 80.645) - (xy 123.450957 80.518945) - (xy 123.443143 80.503608) - (xy 123.441478 80.500341) - (xy 123.435208 80.449285) - (xy 123.463224 80.406143) - (xy 123.508481 80.391) - (xy 127.697519 80.391) - (xy 127.745857 80.408593) - (xy 127.771577 80.453142) - (xy 127.764522 80.500341) - (xy 127.762858 80.503608) - (xy 127.755043 80.518945) - (xy 127.735078 80.645) - (xy 127.755043 80.771055) - (xy 127.812984 80.884771) - (xy 127.903229 80.975016) - (xy 128.016945 81.032957) - (xy 128.143 81.052922) - (xy 128.269055 81.032957) - (xy 128.382771 80.975016) - (xy 128.473016 80.884771) - (xy 128.530957 80.771055) - (xy 128.550922 80.645) - (xy 128.530957 80.518945) - (xy 128.523143 80.503608) - (xy 128.521478 80.500341) - (xy 128.515208 80.449285) - (xy 128.543224 80.406143) - (xy 128.588481 80.391) - (xy 132.777519 80.391) - (xy 132.825857 80.408593) - (xy 132.851577 80.453142) - (xy 132.844522 80.500341) - (xy 132.842858 80.503608) - (xy 132.835043 80.518945) - (xy 132.815078 80.645) - (xy 132.835043 80.771055) - (xy 132.892984 80.884771) - (xy 132.983229 80.975016) - (xy 133.096945 81.032957) - (xy 133.223 81.052922) - (xy 133.349055 81.032957) - (xy 133.462771 80.975016) - (xy 133.553016 80.884771) - (xy 133.610957 80.771055) - (xy 133.630922 80.645) - (xy 133.610957 80.518945) - (xy 133.603143 80.503608) - (xy 133.601478 80.500341) - (xy 133.595208 80.449285) - (xy 133.623224 80.406143) - (xy 133.668481 80.391) - (xy 137.857519 80.391) - (xy 137.905857 80.408593) - (xy 137.931577 80.453142) - (xy 137.924522 80.500341) - (xy 137.922858 80.503608) - (xy 137.915043 80.518945) - (xy 137.895078 80.645) - (xy 137.915043 80.771055) - (xy 137.972984 80.884771) - (xy 138.063229 80.975016) - (xy 138.176945 81.032957) - (xy 138.303 81.052922) - (xy 138.429055 81.032957) - (xy 138.542771 80.975016) - (xy 138.633016 80.884771) - (xy 138.690957 80.771055) - (xy 138.710922 80.645) - (xy 138.690957 80.518945) - (xy 138.683143 80.503608) - (xy 138.681478 80.500341) - (xy 138.675208 80.449285) - (xy 138.703224 80.406143) - (xy 138.748481 80.391) - (xy 142.556519 80.391) - (xy 142.604857 80.408593) - (xy 142.630577 80.453142) - (xy 142.623522 80.500341) - (xy 142.621858 80.503608) - (xy 142.614043 80.518945) - (xy 142.594078 80.645) - (xy 142.614043 80.771055) - (xy 142.671984 80.884771) - (xy 142.762229 80.975016) - (xy 142.875945 81.032957) - (xy 143.002 81.052922) - (xy 143.128055 81.032957) - (xy 143.241771 80.975016) - (xy 143.332016 80.884771) - (xy 143.389957 80.771055) - (xy 143.409922 80.645) - (xy 143.408474 80.635856) - (xy 143.398619 80.573631) - (xy 143.408434 80.523137) - (xy 143.448411 80.490765) - (xy 143.48764 80.488128) - (xy 143.62423 80.515446) - (xy 143.648171 80.524703) - (xy 143.83892 80.639152) - (xy 144.264063 80.894238) - (xy 144.278547 80.905547) - (xy 144.64437 81.27137) - (xy 144.658455 81.290911) - (xy 144.902498 81.778996) - (xy 144.908974 81.79787) - (xy 144.926908 81.887538) - (xy 144.936872 81.937359) - (xy 144.9291 81.988208) - (xy 144.890461 82.022165) - (xy 144.851369 82.026381) - (xy 144.785848 82.016004) - (xy 144.785847 82.016004) - (xy 144.78 82.015078) - (xy 144.653945 82.035043) - (xy 144.540229 82.092984) - (xy 144.449984 82.183229) - (xy 144.392043 82.296945) - (xy 144.372078 82.423) - (xy 144.392043 82.549055) - (xy 144.449984 82.662771) - (xy 144.540229 82.753016) - (xy 144.653945 82.810957) - (xy 144.78 82.830922) - (xy 144.906055 82.810957) - (xy 144.924659 82.801478) - (xy 144.975715 82.795208) - (xy 145.018857 82.823224) - (xy 145.034 82.868481) - (xy 145.034 87.819519) - (xy 145.016407 87.867857) - (xy 144.971858 87.893577) - (xy 144.924659 87.886522) - (xy 144.911331 87.879731) - (xy 144.91133 87.879731) - (xy 144.906055 87.877043) - (xy 144.78 87.857078) - (xy 144.653945 87.877043) - (xy 144.621495 87.893577) - (xy 144.57138 87.919112) - (xy 144.540229 87.934984) - (xy 144.449984 88.025229) - (xy 144.447296 88.030505) - (xy 144.447295 88.030506) - (xy 144.422921 88.078344) - (xy 144.392043 88.138945) - (xy 144.372078 88.265) - (xy 144.392043 88.391055) - (xy 144.449984 88.504771) - (xy 144.540229 88.595016) - (xy 144.653945 88.652957) - (xy 144.78 88.672922) - (xy 144.906055 88.652957) - (xy 144.924659 88.643478) - (xy 144.975715 88.637208) - (xy 145.018857 88.665224) - (xy 145.034 88.710481) - (xy 145.034 92.899519) - (xy 145.016407 92.947857) - (xy 144.971858 92.973577) - (xy 144.924659 92.966522) - (xy 144.911331 92.959731) - (xy 144.91133 92.959731) - (xy 144.906055 92.957043) - (xy 144.843027 92.94706) - (xy 144.785847 92.938004) - (xy 144.78 92.937078) - (xy 144.774153 92.938004) - (xy 144.716973 92.94706) - (xy 144.653945 92.957043) - (xy 144.617081 92.975826) - (xy 144.554109 93.007912) - (xy 144.540229 93.014984) - (xy 144.449984 93.105229) - (xy 144.447296 93.110505) - (xy 144.447295 93.110506) - (xy 144.422921 93.158344) - (xy 144.392043 93.218945) - (xy 144.372078 93.345) - (xy 144.392043 93.471055) - (xy 144.449984 93.584771) - (xy 144.540229 93.675016) - (xy 144.545505 93.677704) - (xy 144.545506 93.677705) - (xy 144.569187 93.689771) - (xy 144.653945 93.732957) - (xy 144.78 93.752922) - (xy 144.906055 93.732957) - (xy 144.924659 93.723478) - (xy 144.975715 93.717208) - (xy 145.018857 93.745224) - (xy 145.034 93.790481) - (xy 145.034 97.979519) - (xy 145.016407 98.027857) - (xy 144.971858 98.053577) - (xy 144.924659 98.046522) - (xy 144.911331 98.039731) - (xy 144.91133 98.039731) - (xy 144.906055 98.037043) - (xy 144.836679 98.026055) - (xy 144.785847 98.018004) - (xy 144.78 98.017078) - (xy 144.774153 98.018004) - (xy 144.723321 98.026055) - (xy 144.653945 98.037043) - (xy 144.540229 98.094984) - (xy 144.449984 98.185229) - (xy 144.392043 98.298945) - (xy 144.391117 98.304792) - (xy 144.375112 98.405847) - (xy 144.372078 98.425) - (xy 144.392043 98.551055) - (xy 144.422921 98.611656) - (xy 144.436322 98.637957) - (xy 144.449984 98.664771) - (xy 144.540229 98.755016) - (xy 144.653945 98.812957) - (xy 144.716972 98.822939) - (xy 144.760238 98.829792) - (xy 144.78 98.832922) - (xy 144.799763 98.829792) - (xy 144.843028 98.822939) - (xy 144.906055 98.812957) - (xy 144.91141 98.810229) - (xy 144.924659 98.803478) - (xy 144.975715 98.797208) - (xy 145.018857 98.825224) - (xy 145.034 98.870481) - (xy 145.034 103.059519) - (xy 145.016407 103.107857) - (xy 144.971858 103.133577) - (xy 144.924659 103.126522) - (xy 144.911331 103.119731) - (xy 144.91133 103.119731) - (xy 144.906055 103.117043) - (xy 144.78 103.097078) - (xy 144.653945 103.117043) - (xy 144.593344 103.147921) - (xy 144.558831 103.165506) - (xy 144.540229 103.174984) - (xy 144.449984 103.265229) - (xy 144.392043 103.378945) - (xy 144.372078 103.505) - (xy 144.373004 103.510847) - (xy 144.373506 103.514019) - (xy 144.392043 103.631055) - (xy 144.414013 103.674174) - (xy 144.439373 103.723945) - (xy 144.449984 103.744771) - (xy 144.540229 103.835016) - (xy 144.653945 103.892957) - (xy 144.78 103.912922) - (xy 144.906055 103.892957) - (xy 144.918173 103.886783) - (xy 144.924659 103.883478) - (xy 144.975715 103.877208) - (xy 145.018857 103.905224) - (xy 145.034 103.950481) - (xy 145.034 108.139519) - (xy 145.016407 108.187857) - (xy 144.971858 108.213577) - (xy 144.924659 108.206522) - (xy 144.911331 108.199731) - (xy 144.91133 108.199731) - (xy 144.906055 108.197043) - (xy 144.78 108.177078) - (xy 144.653945 108.197043) - (xy 144.621495 108.213577) - (xy 144.565559 108.242078) - (xy 144.540229 108.254984) - (xy 144.449984 108.345229) - (xy 144.447296 108.350505) - (xy 144.447295 108.350506) - (xy 144.441417 108.362043) - (xy 144.392043 108.458945) - (xy 144.391117 108.464792) - (xy 144.379242 108.539771) - (xy 144.372078 108.585) - (xy 144.392043 108.711055) - (xy 144.414866 108.755847) - (xy 144.434286 108.793961) - (xy 144.449984 108.824771) - (xy 144.540229 108.915016) - (xy 144.545505 108.917704) - (xy 144.545506 108.917705) - (xy 144.558269 108.924208) - (xy 144.653945 108.972957) - (xy 144.78 108.992922) - (xy 144.906055 108.972957) - (xy 144.920679 108.965506) - (xy 144.924659 108.963478) - (xy 144.975715 108.957208) - (xy 145.018857 108.985224) - (xy 145.034 109.030481) - (xy 145.034 113.219519) - (xy 145.016407 113.267857) - (xy 144.971858 113.293577) - (xy 144.924659 113.286522) - (xy 144.911331 113.279731) - (xy 144.91133 113.279731) - (xy 144.906055 113.277043) - (xy 144.78 113.257078) - (xy 144.653945 113.277043) - (xy 144.595159 113.306996) - (xy 144.550419 113.329792) - (xy 144.540229 113.334984) - (xy 144.449984 113.425229) - (xy 144.447296 113.430505) - (xy 144.447295 113.430506) - (xy 144.436833 113.451039) - (xy 144.392043 113.538945) - (xy 144.386165 113.576055) - (xy 144.374443 113.650071) - (xy 144.372078 113.665) - (xy 144.373004 113.670847) - (xy 144.376001 113.689771) - (xy 144.392043 113.791055) - (xy 144.405285 113.817043) - (xy 144.435353 113.876055) - (xy 144.449984 113.904771) - (xy 144.540229 113.995016) - (xy 144.545505 113.997704) - (xy 144.545506 113.997705) - (xy 144.591549 114.021165) - (xy 144.653945 114.052957) - (xy 144.78 114.072922) - (xy 144.906055 114.052957) - (xy 144.911859 114.05) - (xy 144.924659 114.043478) - (xy 144.975715 114.037208) - (xy 145.018857 114.065224) - (xy 145.034 114.110481) - (xy 145.034 118.299519) - (xy 145.016407 118.347857) - (xy 144.971858 118.373577) - (xy 144.924659 118.366522) - (xy 144.911331 118.359731) - (xy 144.91133 118.359731) - (xy 144.906055 118.357043) - (xy 144.843027 118.34706) - (xy 144.785847 118.338004) - (xy 144.78 118.337078) - (xy 144.774153 118.338004) - (xy 144.716973 118.34706) - (xy 144.653945 118.357043) - (xy 144.540229 118.414984) - (xy 144.449984 118.505229) - (xy 144.447296 118.510505) - (xy 144.447295 118.510506) - (xy 144.432166 118.540198) - (xy 144.392043 118.618945) - (xy 144.372078 118.745) - (xy 144.392043 118.871055) - (xy 144.399144 118.884992) - (xy 144.434096 118.953588) - (xy 144.449984 118.984771) - (xy 144.540229 119.075016) - (xy 144.653945 119.132957) - (xy 144.78 119.152922) - (xy 144.906055 119.132957) - (xy 144.924659 119.123478) - (xy 144.975715 119.117208) - (xy 145.018857 119.145224) - (xy 145.034 119.190481) - (xy 145.034 123.379519) - (xy 145.016407 123.427857) - (xy 144.971858 123.453577) - (xy 144.924659 123.446522) - (xy 144.911331 123.439731) - (xy 144.91133 123.439731) - (xy 144.906055 123.437043) - (xy 144.78 123.417078) - (xy 144.653945 123.437043) - (xy 144.540229 123.494984) - (xy 144.449984 123.585229) - (xy 144.447296 123.590505) - (xy 144.447295 123.590506) - (xy 144.427766 123.628835) - (xy 144.392043 123.698945) - (xy 144.391117 123.704792) - (xy 144.375112 123.805847) - (xy 144.372078 123.825) - (xy 144.373004 123.830847) - (xy 144.37514 123.844333) - (xy 144.392043 123.951055) - (xy 144.449984 124.064771) - (xy 144.540229 124.155016) - (xy 144.653945 124.212957) - (xy 144.78 124.232922) - (xy 144.906055 124.212957) - (xy 144.917755 124.206996) - (xy 144.924659 124.203478) - (xy 144.975715 124.197208) - (xy 145.018857 124.225224) - (xy 145.034 124.270481) - (xy 145.034 129.094519) - (xy 145.016407 129.142857) - (xy 144.971858 129.168577) - (xy 144.924659 129.161522) - (xy 144.911331 129.154731) - (xy 144.91133 129.154731) - (xy 144.906055 129.152043) - (xy 144.78 129.132078) - (xy 144.653945 129.152043) - (xy 144.540229 129.209984) - (xy 144.449984 129.300229) - (xy 144.447296 129.305505) - (xy 144.447295 129.305506) - (xy 144.427604 129.344153) - (xy 144.392043 129.413945) - (xy 144.372078 129.54) - (xy 144.392043 129.666055) - (xy 144.418351 129.717687) - (xy 144.447016 129.773945) - (xy 144.449984 129.779771) - (xy 144.540229 129.870016) - (xy 144.545505 129.872704) - (xy 144.545506 129.872705) - (xy 144.587224 129.893961) - (xy 144.653945 129.927957) - (xy 144.78 129.947922) - (xy 144.785847 129.946996) - (xy 144.785848 129.946996) - (xy 144.851369 129.936619) - (xy 144.901863 129.946434) - (xy 144.934235 129.986411) - (xy 144.936872 130.02564) - (xy 144.909116 130.164424) - (xy 144.908975 130.165127) - (xy 144.902498 130.184004) - (xy 144.658457 130.672087) - (xy 144.64437 130.69163) - (xy 144.15363 131.18237) - (xy 144.134089 131.196455) - (xy 143.646004 131.440498) - (xy 143.62713 131.446974) - (xy 143.48764 131.474872) - (xy 143.436792 131.4671) - (xy 143.402835 131.428461) - (xy 143.398619 131.389369) - (xy 143.408996 131.323848) - (xy 143.408996 131.323847) - (xy 143.409922 131.318) - (xy 143.389957 131.191945) - (xy 143.332016 131.078229) - (xy 143.241771 130.987984) - (xy 143.128055 130.930043) - (xy 143.002 130.910078) - (xy 142.875945 130.930043) - (xy 142.762229 130.987984) - (xy 142.671984 131.078229) - (xy 142.614043 131.191945) - (xy 142.594078 131.318) - (xy 142.614043 131.444055) - (xy 142.61673 131.449328) - (xy 142.616731 131.449331) - (xy 142.623522 131.462659) - (xy 142.629792 131.513715) - (xy 142.601776 131.556857) - (xy 142.556519 131.572) - (xy 138.938 131.572) - (xy 138.938 139.1168) - (xy 138.920407 139.165138) - (xy 138.875858 139.190858) - (xy 138.8628 139.192) - (xy 74.4972 139.192) - (xy 74.448862 139.174407) - (xy 74.423142 139.129858) - (xy 74.422 139.1168) - (xy 74.422 138.684) - (xy 78.232 138.684) - (xy 78.613 138.684) - (xy 78.867 138.684) - (xy 79.248 138.684) - (xy 80.772 138.684) - (xy 81.153 138.684) - (xy 81.407 138.684) - (xy 81.788 138.684) - (xy 83.312 138.684) - (xy 83.693 138.684) - (xy 83.947 138.684) - (xy 84.328 138.684) - (xy 85.852 138.684) - (xy 86.233 138.684) - (xy 86.487 138.684) - (xy 86.868 138.684) - (xy 88.392 138.684) - (xy 88.773 138.684) - (xy 89.027 138.684) - (xy 89.408 138.684) - (xy 90.932 138.684) - (xy 91.313 138.684) - (xy 91.567 138.684) - (xy 91.948 138.684) - (xy 93.472 138.684) - (xy 93.853 138.684) - (xy 94.107 138.684) - (xy 94.488 138.684) - (xy 96.012 138.684) - (xy 96.393 138.684) - (xy 96.647 138.684) - (xy 97.028 138.684) - (xy 98.552 138.684) - (xy 98.933 138.684) - (xy 99.187 138.684) - (xy 99.568 138.684) - (xy 101.092 138.684) - (xy 101.473 138.684) - (xy 101.727 138.684) - (xy 102.108 138.684) - (xy 103.632 138.684) - (xy 104.013 138.684) - (xy 104.267 138.684) - (xy 104.648 138.684) - (xy 106.172 138.684) - (xy 106.553 138.684) - (xy 106.807 138.684) - (xy 107.188 138.684) - (xy 108.712 138.684) - (xy 109.093 138.684) - (xy 109.347 138.684) - (xy 109.728 138.684) - (xy 111.252 138.684) - (xy 111.633 138.684) - (xy 111.887 138.684) - (xy 112.268 138.684) - (xy 113.792 138.684) - (xy 114.173 138.684) - (xy 114.427 138.684) - (xy 114.808 138.684) - (xy 121.412 138.684) - (xy 121.793 138.684) - (xy 122.047 138.684) - (xy 122.428 138.684) - (xy 123.952 138.684) - (xy 124.333 138.684) - (xy 124.587 138.684) - (xy 124.968 138.684) - (xy 126.492 138.684) - (xy 126.873 138.684) - (xy 127.127 138.684) - (xy 127.508 138.684) - (xy 129.032 138.684) - (xy 129.413 138.684) - (xy 129.667 138.684) - (xy 130.048 138.684) - (xy 131.572 138.684) - (xy 131.953 138.684) - (xy 132.207 138.684) - (xy 132.588 138.684) - (xy 134.112 138.684) - (xy 134.493 138.684) - (xy 134.747 138.684) - (xy 135.128 138.684) - (xy 135.128 138.303) - (xy 134.747 138.303) - (xy 134.747 138.684) - (xy 134.493 138.684) - (xy 134.493 138.303) - (xy 134.112 138.303) - (xy 134.112 138.684) - (xy 132.588 138.684) - (xy 132.588 138.303) - (xy 132.207 138.303) - (xy 132.207 138.684) - (xy 131.953 138.684) - (xy 131.953 138.303) - (xy 131.572 138.303) - (xy 131.572 138.684) - (xy 130.048 138.684) - (xy 130.048 138.303) - (xy 129.667 138.303) - (xy 129.667 138.684) - (xy 129.413 138.684) - (xy 129.413 138.303) - (xy 129.032 138.303) - (xy 129.032 138.684) - (xy 127.508 138.684) - (xy 127.508 138.303) - (xy 127.127 138.303) - (xy 127.127 138.684) - (xy 126.873 138.684) - (xy 126.873 138.303) - (xy 126.492 138.303) - (xy 126.492 138.684) - (xy 124.968 138.684) - (xy 124.968 138.303) - (xy 124.587 138.303) - (xy 124.587 138.684) - (xy 124.333 138.684) - (xy 124.333 138.303) - (xy 123.952 138.303) - (xy 123.952 138.684) - (xy 122.428 138.684) - (xy 122.428 138.303) - (xy 122.047 138.303) - (xy 122.047 138.684) - (xy 121.793 138.684) - (xy 121.793 138.303) - (xy 121.412 138.303) - (xy 121.412 138.684) - (xy 114.808 138.684) - (xy 114.808 138.303) - (xy 114.427 138.303) - (xy 114.427 138.684) - (xy 114.173 138.684) - (xy 114.173 138.303) - (xy 113.792 138.303) - (xy 113.792 138.684) - (xy 112.268 138.684) - (xy 112.268 138.303) - (xy 111.887 138.303) - (xy 111.887 138.684) - (xy 111.633 138.684) - (xy 111.633 138.303) - (xy 111.252 138.303) - (xy 111.252 138.684) - (xy 109.728 138.684) - (xy 109.728 138.303) - (xy 109.347 138.303) - (xy 109.347 138.684) - (xy 109.093 138.684) - (xy 109.093 138.303) - (xy 108.712 138.303) - (xy 108.712 138.684) - (xy 107.188 138.684) - (xy 107.188 138.303) - (xy 106.807 138.303) - (xy 106.807 138.684) - (xy 106.553 138.684) - (xy 106.553 138.303) - (xy 106.172 138.303) - (xy 106.172 138.684) - (xy 104.648 138.684) - (xy 104.648 138.303) - (xy 104.267 138.303) - (xy 104.267 138.684) - (xy 104.013 138.684) - (xy 104.013 138.303) - (xy 103.632 138.303) - (xy 103.632 138.684) - (xy 102.108 138.684) - (xy 102.108 138.303) - (xy 101.727 138.303) - (xy 101.727 138.684) - (xy 101.473 138.684) - (xy 101.473 138.303) - (xy 101.092 138.303) - (xy 101.092 138.684) - (xy 99.568 138.684) - (xy 99.568 138.303) - (xy 99.187 138.303) - (xy 99.187 138.684) - (xy 98.933 138.684) - (xy 98.933 138.303) - (xy 98.552 138.303) - (xy 98.552 138.684) - (xy 97.028 138.684) - (xy 97.028 138.303) - (xy 96.647 138.303) - (xy 96.647 138.684) - (xy 96.393 138.684) - (xy 96.393 138.303) - (xy 96.012 138.303) - (xy 96.012 138.684) - (xy 94.488 138.684) - (xy 94.488 138.303) - (xy 94.107 138.303) - (xy 94.107 138.684) - (xy 93.853 138.684) - (xy 93.853 138.303) - (xy 93.472 138.303) - (xy 93.472 138.684) - (xy 91.948 138.684) - (xy 91.948 138.303) - (xy 91.567 138.303) - (xy 91.567 138.684) - (xy 91.313 138.684) - (xy 91.313 138.303) - (xy 90.932 138.303) - (xy 90.932 138.684) - (xy 89.408 138.684) - (xy 89.408 138.303) - (xy 89.027 138.303) - (xy 89.027 138.684) - (xy 88.773 138.684) - (xy 88.773 138.303) - (xy 88.392 138.303) - (xy 88.392 138.684) - (xy 86.868 138.684) - (xy 86.868 138.303) - (xy 86.487 138.303) - (xy 86.487 138.684) - (xy 86.233 138.684) - (xy 86.233 138.303) - (xy 85.852 138.303) - (xy 85.852 138.684) - (xy 84.328 138.684) - (xy 84.328 138.303) - (xy 83.947 138.303) - (xy 83.947 138.684) - (xy 83.693 138.684) - (xy 83.693 138.303) - (xy 83.312 138.303) - (xy 83.312 138.684) - (xy 81.788 138.684) - (xy 81.788 138.303) - (xy 81.407 138.303) - (xy 81.407 138.684) - (xy 81.153 138.684) - (xy 81.153 138.303) - (xy 80.772 138.303) - (xy 80.772 138.684) - (xy 79.248 138.684) - (xy 79.248 138.303) - (xy 78.867 138.303) - (xy 78.867 138.684) - (xy 78.613 138.684) - (xy 78.613 138.303) - (xy 78.232 138.303) - (xy 78.232 138.684) - (xy 74.422 138.684) - (xy 74.422 138.049) - (xy 78.232 138.049) - (xy 78.613 138.049) - (xy 78.867 138.049) - (xy 79.248 138.049) - (xy 80.772 138.049) - (xy 81.153 138.049) - (xy 81.407 138.049) - (xy 81.788 138.049) - (xy 83.312 138.049) - (xy 83.693 138.049) - (xy 83.947 138.049) - (xy 84.328 138.049) - (xy 85.852 138.049) - (xy 86.233 138.049) - (xy 86.487 138.049) - (xy 86.868 138.049) - (xy 88.392 138.049) - (xy 88.773 138.049) - (xy 89.027 138.049) - (xy 89.408 138.049) - (xy 90.932 138.049) - (xy 91.313 138.049) - (xy 91.567 138.049) - (xy 91.948 138.049) - (xy 93.472 138.049) - (xy 93.853 138.049) - (xy 94.107 138.049) - (xy 94.488 138.049) - (xy 96.012 138.049) - (xy 96.393 138.049) - (xy 96.647 138.049) - (xy 97.028 138.049) - (xy 98.552 138.049) - (xy 98.933 138.049) - (xy 99.187 138.049) - (xy 99.568 138.049) - (xy 101.092 138.049) - (xy 101.473 138.049) - (xy 101.727 138.049) - (xy 102.108 138.049) - (xy 103.632 138.049) - (xy 104.013 138.049) - (xy 104.267 138.049) - (xy 104.648 138.049) - (xy 106.172 138.049) - (xy 106.553 138.049) - (xy 106.807 138.049) - (xy 107.188 138.049) - (xy 108.712 138.049) - (xy 109.093 138.049) - (xy 109.347 138.049) - (xy 109.728 138.049) - (xy 111.252 138.049) - (xy 111.633 138.049) - (xy 111.887 138.049) - (xy 112.268 138.049) - (xy 113.792 138.049) - (xy 114.173 138.049) - (xy 114.427 138.049) - (xy 114.808 138.049) - (xy 121.412 138.049) - (xy 121.793 138.049) - (xy 122.047 138.049) - (xy 122.428 138.049) - (xy 123.952 138.049) - (xy 124.333 138.049) - (xy 124.587 138.049) - (xy 124.968 138.049) - (xy 126.492 138.049) - (xy 126.873 138.049) - (xy 127.127 138.049) - (xy 127.508 138.049) - (xy 129.032 138.049) - (xy 129.413 138.049) - (xy 129.667 138.049) - (xy 130.048 138.049) - (xy 131.572 138.049) - (xy 131.953 138.049) - (xy 132.207 138.049) - (xy 132.588 138.049) - (xy 134.112 138.049) - (xy 134.493 138.049) - (xy 134.747 138.049) - (xy 135.128 138.049) - (xy 135.128 137.668) - (xy 134.747 137.668) - (xy 134.747 138.049) - (xy 134.493 138.049) - (xy 134.493 137.668) - (xy 134.112 137.668) - (xy 134.112 138.049) - (xy 132.588 138.049) - (xy 132.588 137.668) - (xy 132.207 137.668) - (xy 132.207 138.049) - (xy 131.953 138.049) - (xy 131.953 137.668) - (xy 131.572 137.668) - (xy 131.572 138.049) - (xy 130.048 138.049) - (xy 130.048 137.668) - (xy 129.667 137.668) - (xy 129.667 138.049) - (xy 129.413 138.049) - (xy 129.413 137.668) - (xy 129.032 137.668) - (xy 129.032 138.049) - (xy 127.508 138.049) - (xy 127.508 137.668) - (xy 127.127 137.668) - (xy 127.127 138.049) - (xy 126.873 138.049) - (xy 126.873 137.668) - (xy 126.492 137.668) - (xy 126.492 138.049) - (xy 124.968 138.049) - (xy 124.968 137.668) - (xy 124.587 137.668) - (xy 124.587 138.049) - (xy 124.333 138.049) - (xy 124.333 137.668) - (xy 123.952 137.668) - (xy 123.952 138.049) - (xy 122.428 138.049) - (xy 122.428 137.668) - (xy 122.047 137.668) - (xy 122.047 138.049) - (xy 121.793 138.049) - (xy 121.793 137.668) - (xy 121.412 137.668) - (xy 121.412 138.049) - (xy 114.808 138.049) - (xy 114.808 137.668) - (xy 114.427 137.668) - (xy 114.427 138.049) - (xy 114.173 138.049) - (xy 114.173 137.668) - (xy 113.792 137.668) - (xy 113.792 138.049) - (xy 112.268 138.049) - (xy 112.268 137.668) - (xy 111.887 137.668) - (xy 111.887 138.049) - (xy 111.633 138.049) - (xy 111.633 137.668) - (xy 111.252 137.668) - (xy 111.252 138.049) - (xy 109.728 138.049) - (xy 109.728 137.668) - (xy 109.347 137.668) - (xy 109.347 138.049) - (xy 109.093 138.049) - (xy 109.093 137.668) - (xy 108.712 137.668) - (xy 108.712 138.049) - (xy 107.188 138.049) - (xy 107.188 137.668) - (xy 106.807 137.668) - (xy 106.807 138.049) - (xy 106.553 138.049) - (xy 106.553 137.668) - (xy 106.172 137.668) - (xy 106.172 138.049) - (xy 104.648 138.049) - (xy 104.648 137.668) - (xy 104.267 137.668) - (xy 104.267 138.049) - (xy 104.013 138.049) - (xy 104.013 137.668) - (xy 103.632 137.668) - (xy 103.632 138.049) - (xy 102.108 138.049) - (xy 102.108 137.668) - (xy 101.727 137.668) - (xy 101.727 138.049) - (xy 101.473 138.049) - (xy 101.473 137.668) - (xy 101.092 137.668) - (xy 101.092 138.049) - (xy 99.568 138.049) - (xy 99.568 137.668) - (xy 99.187 137.668) - (xy 99.187 138.049) - (xy 98.933 138.049) - (xy 98.933 137.668) - (xy 98.552 137.668) - (xy 98.552 138.049) - (xy 97.028 138.049) - (xy 97.028 137.668) - (xy 96.647 137.668) - (xy 96.647 138.049) - (xy 96.393 138.049) - (xy 96.393 137.668) - (xy 96.012 137.668) - (xy 96.012 138.049) - (xy 94.488 138.049) - (xy 94.488 137.668) - (xy 94.107 137.668) - (xy 94.107 138.049) - (xy 93.853 138.049) - (xy 93.853 137.668) - (xy 93.472 137.668) - (xy 93.472 138.049) - (xy 91.948 138.049) - (xy 91.948 137.668) - (xy 91.567 137.668) - (xy 91.567 138.049) - (xy 91.313 138.049) - (xy 91.313 137.668) - (xy 90.932 137.668) - (xy 90.932 138.049) - (xy 89.408 138.049) - (xy 89.408 137.668) - (xy 89.027 137.668) - (xy 89.027 138.049) - (xy 88.773 138.049) - (xy 88.773 137.668) - (xy 88.392 137.668) - (xy 88.392 138.049) - (xy 86.868 138.049) - (xy 86.868 137.668) - (xy 86.487 137.668) - (xy 86.487 138.049) - (xy 86.233 138.049) - (xy 86.233 137.668) - (xy 85.852 137.668) - (xy 85.852 138.049) - (xy 84.328 138.049) - (xy 84.328 137.668) - (xy 83.947 137.668) - (xy 83.947 138.049) - (xy 83.693 138.049) - (xy 83.693 137.668) - (xy 83.312 137.668) - (xy 83.312 138.049) - (xy 81.788 138.049) - (xy 81.788 137.668) - (xy 81.407 137.668) - (xy 81.407 138.049) - (xy 81.153 138.049) - (xy 81.153 137.668) - (xy 80.772 137.668) - (xy 80.772 138.049) - (xy 79.248 138.049) - (xy 79.248 137.668) - (xy 78.867 137.668) - (xy 78.867 138.049) - (xy 78.613 138.049) - (xy 78.613 137.668) - (xy 78.232 137.668) - (xy 78.232 138.049) - (xy 74.422 138.049) - (xy 74.422 137.414) - (xy 78.232 137.414) - (xy 78.613 137.414) - (xy 78.867 137.414) - (xy 79.248 137.414) - (xy 80.772 137.414) - (xy 81.153 137.414) - (xy 81.407 137.414) - (xy 81.788 137.414) - (xy 83.312 137.414) - (xy 83.693 137.414) - (xy 83.947 137.414) - (xy 84.328 137.414) - (xy 85.852 137.414) - (xy 86.233 137.414) - (xy 86.487 137.414) - (xy 86.868 137.414) - (xy 88.392 137.414) - (xy 88.773 137.414) - (xy 89.027 137.414) - (xy 89.408 137.414) - (xy 90.932 137.414) - (xy 91.313 137.414) - (xy 91.567 137.414) - (xy 91.948 137.414) - (xy 93.472 137.414) - (xy 93.853 137.414) - (xy 94.107 137.414) - (xy 94.488 137.414) - (xy 96.012 137.414) - (xy 96.393 137.414) - (xy 96.647 137.414) - (xy 97.028 137.414) - (xy 98.552 137.414) - (xy 98.933 137.414) - (xy 99.187 137.414) - (xy 99.568 137.414) - (xy 101.092 137.414) - (xy 101.473 137.414) - (xy 101.727 137.414) - (xy 102.108 137.414) - (xy 103.632 137.414) - (xy 104.013 137.414) - (xy 104.267 137.414) - (xy 104.648 137.414) - (xy 106.172 137.414) - (xy 106.553 137.414) - (xy 106.807 137.414) - (xy 107.188 137.414) - (xy 108.712 137.414) - (xy 109.093 137.414) - (xy 109.347 137.414) - (xy 109.728 137.414) - (xy 111.252 137.414) - (xy 111.633 137.414) - (xy 111.887 137.414) - (xy 112.268 137.414) - (xy 113.792 137.414) - (xy 114.173 137.414) - (xy 114.427 137.414) - (xy 114.808 137.414) - (xy 121.412 137.414) - (xy 121.793 137.414) - (xy 122.047 137.414) - (xy 122.428 137.414) - (xy 123.952 137.414) - (xy 124.333 137.414) - (xy 124.587 137.414) - (xy 124.968 137.414) - (xy 126.492 137.414) - (xy 126.873 137.414) - (xy 127.127 137.414) - (xy 127.508 137.414) - (xy 129.032 137.414) - (xy 129.413 137.414) - (xy 129.667 137.414) - (xy 130.048 137.414) - (xy 131.572 137.414) - (xy 131.953 137.414) - (xy 132.207 137.414) - (xy 132.588 137.414) - (xy 134.112 137.414) - (xy 134.493 137.414) - (xy 134.747 137.414) - (xy 135.128 137.414) - (xy 135.128 137.033) - (xy 134.747 137.033) - (xy 134.747 137.414) - (xy 134.493 137.414) - (xy 134.493 137.033) - (xy 134.112 137.033) - (xy 134.112 137.414) - (xy 132.588 137.414) - (xy 132.588 137.033) - (xy 132.207 137.033) - (xy 132.207 137.414) - (xy 131.953 137.414) - (xy 131.953 137.033) - (xy 131.572 137.033) - (xy 131.572 137.414) - (xy 130.048 137.414) - (xy 130.048 137.033) - (xy 129.667 137.033) - (xy 129.667 137.414) - (xy 129.413 137.414) - (xy 129.413 137.033) - (xy 129.032 137.033) - (xy 129.032 137.414) - (xy 127.508 137.414) - (xy 127.508 137.033) - (xy 127.127 137.033) - (xy 127.127 137.414) - (xy 126.873 137.414) - (xy 126.873 137.033) - (xy 126.492 137.033) - (xy 126.492 137.414) - (xy 124.968 137.414) - (xy 124.968 137.033) - (xy 124.587 137.033) - (xy 124.587 137.414) - (xy 124.333 137.414) - (xy 124.333 137.033) - (xy 123.952 137.033) - (xy 123.952 137.414) - (xy 122.428 137.414) - (xy 122.428 137.033) - (xy 122.047 137.033) - (xy 122.047 137.414) - (xy 121.793 137.414) - (xy 121.793 137.033) - (xy 121.412 137.033) - (xy 121.412 137.414) - (xy 114.808 137.414) - (xy 114.808 137.033) - (xy 114.427 137.033) - (xy 114.427 137.414) - (xy 114.173 137.414) - (xy 114.173 137.033) - (xy 113.792 137.033) - (xy 113.792 137.414) - (xy 112.268 137.414) - (xy 112.268 137.033) - (xy 111.887 137.033) - (xy 111.887 137.414) - (xy 111.633 137.414) - (xy 111.633 137.033) - (xy 111.252 137.033) - (xy 111.252 137.414) - (xy 109.728 137.414) - (xy 109.728 137.033) - (xy 109.347 137.033) - (xy 109.347 137.414) - (xy 109.093 137.414) - (xy 109.093 137.033) - (xy 108.712 137.033) - (xy 108.712 137.414) - (xy 107.188 137.414) - (xy 107.188 137.033) - (xy 106.807 137.033) - (xy 106.807 137.414) - (xy 106.553 137.414) - (xy 106.553 137.033) - (xy 106.172 137.033) - (xy 106.172 137.414) - (xy 104.648 137.414) - (xy 104.648 137.033) - (xy 104.267 137.033) - (xy 104.267 137.414) - (xy 104.013 137.414) - (xy 104.013 137.033) - (xy 103.632 137.033) - (xy 103.632 137.414) - (xy 102.108 137.414) - (xy 102.108 137.033) - (xy 101.727 137.033) - (xy 101.727 137.414) - (xy 101.473 137.414) - (xy 101.473 137.033) - (xy 101.092 137.033) - (xy 101.092 137.414) - (xy 99.568 137.414) - (xy 99.568 137.033) - (xy 99.187 137.033) - (xy 99.187 137.414) - (xy 98.933 137.414) - (xy 98.933 137.033) - (xy 98.552 137.033) - (xy 98.552 137.414) - (xy 97.028 137.414) - (xy 97.028 137.033) - (xy 96.647 137.033) - (xy 96.647 137.414) - (xy 96.393 137.414) - (xy 96.393 137.033) - (xy 96.012 137.033) - (xy 96.012 137.414) - (xy 94.488 137.414) - (xy 94.488 137.033) - (xy 94.107 137.033) - (xy 94.107 137.414) - (xy 93.853 137.414) - (xy 93.853 137.033) - (xy 93.472 137.033) - (xy 93.472 137.414) - (xy 91.948 137.414) - (xy 91.948 137.033) - (xy 91.567 137.033) - (xy 91.567 137.414) - (xy 91.313 137.414) - (xy 91.313 137.033) - (xy 90.932 137.033) - (xy 90.932 137.414) - (xy 89.408 137.414) - (xy 89.408 137.033) - (xy 89.027 137.033) - (xy 89.027 137.414) - (xy 88.773 137.414) - (xy 88.773 137.033) - (xy 88.392 137.033) - (xy 88.392 137.414) - (xy 86.868 137.414) - (xy 86.868 137.033) - (xy 86.487 137.033) - (xy 86.487 137.414) - (xy 86.233 137.414) - (xy 86.233 137.033) - (xy 85.852 137.033) - (xy 85.852 137.414) - (xy 84.328 137.414) - (xy 84.328 137.033) - (xy 83.947 137.033) - (xy 83.947 137.414) - (xy 83.693 137.414) - (xy 83.693 137.033) - (xy 83.312 137.033) - (xy 83.312 137.414) - (xy 81.788 137.414) - (xy 81.788 137.033) - (xy 81.407 137.033) - (xy 81.407 137.414) - (xy 81.153 137.414) - (xy 81.153 137.033) - (xy 80.772 137.033) - (xy 80.772 137.414) - (xy 79.248 137.414) - (xy 79.248 137.033) - (xy 78.867 137.033) - (xy 78.867 137.414) - (xy 78.613 137.414) - (xy 78.613 137.033) - (xy 78.232 137.033) - (xy 78.232 137.414) - (xy 74.422 137.414) - (xy 74.422 136.779) - (xy 78.232 136.779) - (xy 78.613 136.779) - (xy 78.867 136.779) - (xy 79.248 136.779) - (xy 80.772 136.779) - (xy 81.153 136.779) - (xy 81.407 136.779) - (xy 81.788 136.779) - (xy 83.312 136.779) - (xy 83.693 136.779) - (xy 83.947 136.779) - (xy 84.328 136.779) - (xy 85.852 136.779) - (xy 86.233 136.779) - (xy 86.487 136.779) - (xy 86.868 136.779) - (xy 88.392 136.779) - (xy 88.773 136.779) - (xy 89.027 136.779) - (xy 89.408 136.779) - (xy 90.932 136.779) - (xy 91.313 136.779) - (xy 91.567 136.779) - (xy 91.948 136.779) - (xy 93.472 136.779) - (xy 93.853 136.779) - (xy 94.107 136.779) - (xy 94.488 136.779) - (xy 96.012 136.779) - (xy 96.393 136.779) - (xy 96.647 136.779) - (xy 97.028 136.779) - (xy 98.552 136.779) - (xy 98.933 136.779) - (xy 99.187 136.779) - (xy 99.568 136.779) - (xy 101.092 136.779) - (xy 101.473 136.779) - (xy 101.727 136.779) - (xy 102.108 136.779) - (xy 103.632 136.779) - (xy 104.013 136.779) - (xy 104.267 136.779) - (xy 104.648 136.779) - (xy 106.172 136.779) - (xy 106.553 136.779) - (xy 106.807 136.779) - (xy 107.188 136.779) - (xy 108.712 136.779) - (xy 109.093 136.779) - (xy 109.347 136.779) - (xy 109.728 136.779) - (xy 111.252 136.779) - (xy 111.633 136.779) - (xy 111.887 136.779) - (xy 112.268 136.779) - (xy 113.792 136.779) - (xy 114.173 136.779) - (xy 114.427 136.779) - (xy 114.808 136.779) - (xy 121.412 136.779) - (xy 121.793 136.779) - (xy 122.047 136.779) - (xy 122.428 136.779) - (xy 123.952 136.779) - (xy 124.333 136.779) - (xy 124.587 136.779) - (xy 124.968 136.779) - (xy 126.492 136.779) - (xy 126.873 136.779) - (xy 127.127 136.779) - (xy 127.508 136.779) - (xy 129.032 136.779) - (xy 129.413 136.779) - (xy 129.667 136.779) - (xy 130.048 136.779) - (xy 131.572 136.779) - (xy 131.953 136.779) - (xy 132.207 136.779) - (xy 132.588 136.779) - (xy 134.112 136.779) - (xy 134.493 136.779) - (xy 134.747 136.779) - (xy 135.128 136.779) - (xy 135.128 136.398) - (xy 134.747 136.398) - (xy 134.747 136.779) - (xy 134.493 136.779) - (xy 134.493 136.398) - (xy 134.112 136.398) - (xy 134.112 136.779) - (xy 132.588 136.779) - (xy 132.588 136.398) - (xy 132.207 136.398) - (xy 132.207 136.779) - (xy 131.953 136.779) - (xy 131.953 136.398) - (xy 131.572 136.398) - (xy 131.572 136.779) - (xy 130.048 136.779) - (xy 130.048 136.398) - (xy 129.667 136.398) - (xy 129.667 136.779) - (xy 129.413 136.779) - (xy 129.413 136.398) - (xy 129.032 136.398) - (xy 129.032 136.779) - (xy 127.508 136.779) - (xy 127.508 136.398) - (xy 127.127 136.398) - (xy 127.127 136.779) - (xy 126.873 136.779) - (xy 126.873 136.398) - (xy 126.492 136.398) - (xy 126.492 136.779) - (xy 124.968 136.779) - (xy 124.968 136.398) - (xy 124.587 136.398) - (xy 124.587 136.779) - (xy 124.333 136.779) - (xy 124.333 136.398) - (xy 123.952 136.398) - (xy 123.952 136.779) - (xy 122.428 136.779) - (xy 122.428 136.398) - (xy 122.047 136.398) - (xy 122.047 136.779) - (xy 121.793 136.779) - (xy 121.793 136.398) - (xy 121.412 136.398) - (xy 121.412 136.779) - (xy 114.808 136.779) - (xy 114.808 136.398) - (xy 114.427 136.398) - (xy 114.427 136.779) - (xy 114.173 136.779) - (xy 114.173 136.398) - (xy 113.792 136.398) - (xy 113.792 136.779) - (xy 112.268 136.779) - (xy 112.268 136.398) - (xy 111.887 136.398) - (xy 111.887 136.779) - (xy 111.633 136.779) - (xy 111.633 136.398) - (xy 111.252 136.398) - (xy 111.252 136.779) - (xy 109.728 136.779) - (xy 109.728 136.398) - (xy 109.347 136.398) - (xy 109.347 136.779) - (xy 109.093 136.779) - (xy 109.093 136.398) - (xy 108.712 136.398) - (xy 108.712 136.779) - (xy 107.188 136.779) - (xy 107.188 136.398) - (xy 106.807 136.398) - (xy 106.807 136.779) - (xy 106.553 136.779) - (xy 106.553 136.398) - (xy 106.172 136.398) - (xy 106.172 136.779) - (xy 104.648 136.779) - (xy 104.648 136.398) - (xy 104.267 136.398) - (xy 104.267 136.779) - (xy 104.013 136.779) - (xy 104.013 136.398) - (xy 103.632 136.398) - (xy 103.632 136.779) - (xy 102.108 136.779) - (xy 102.108 136.398) - (xy 101.727 136.398) - (xy 101.727 136.779) - (xy 101.473 136.779) - (xy 101.473 136.398) - (xy 101.092 136.398) - (xy 101.092 136.779) - (xy 99.568 136.779) - (xy 99.568 136.398) - (xy 99.187 136.398) - (xy 99.187 136.779) - (xy 98.933 136.779) - (xy 98.933 136.398) - (xy 98.552 136.398) - (xy 98.552 136.779) - (xy 97.028 136.779) - (xy 97.028 136.398) - (xy 96.647 136.398) - (xy 96.647 136.779) - (xy 96.393 136.779) - (xy 96.393 136.398) - (xy 96.012 136.398) - (xy 96.012 136.779) - (xy 94.488 136.779) - (xy 94.488 136.398) - (xy 94.107 136.398) - (xy 94.107 136.779) - (xy 93.853 136.779) - (xy 93.853 136.398) - (xy 93.472 136.398) - (xy 93.472 136.779) - (xy 91.948 136.779) - (xy 91.948 136.398) - (xy 91.567 136.398) - (xy 91.567 136.779) - (xy 91.313 136.779) - (xy 91.313 136.398) - (xy 90.932 136.398) - (xy 90.932 136.779) - (xy 89.408 136.779) - (xy 89.408 136.398) - (xy 89.027 136.398) - (xy 89.027 136.779) - (xy 88.773 136.779) - (xy 88.773 136.398) - (xy 88.392 136.398) - (xy 88.392 136.779) - (xy 86.868 136.779) - (xy 86.868 136.398) - (xy 86.487 136.398) - (xy 86.487 136.779) - (xy 86.233 136.779) - (xy 86.233 136.398) - (xy 85.852 136.398) - (xy 85.852 136.779) - (xy 84.328 136.779) - (xy 84.328 136.398) - (xy 83.947 136.398) - (xy 83.947 136.779) - (xy 83.693 136.779) - (xy 83.693 136.398) - (xy 83.312 136.398) - (xy 83.312 136.779) - (xy 81.788 136.779) - (xy 81.788 136.398) - (xy 81.407 136.398) - (xy 81.407 136.779) - (xy 81.153 136.779) - (xy 81.153 136.398) - (xy 80.772 136.398) - (xy 80.772 136.779) - (xy 79.248 136.779) - (xy 79.248 136.398) - (xy 78.867 136.398) - (xy 78.867 136.779) - (xy 78.613 136.779) - (xy 78.613 136.398) - (xy 78.232 136.398) - (xy 78.232 136.779) - (xy 74.422 136.779) - (xy 74.422 136.144) - (xy 78.232 136.144) - (xy 78.613 136.144) - (xy 78.867 136.144) - (xy 79.248 136.144) - (xy 80.772 136.144) - (xy 81.153 136.144) - (xy 81.407 136.144) - (xy 81.788 136.144) - (xy 83.312 136.144) - (xy 83.693 136.144) - (xy 83.947 136.144) - (xy 84.328 136.144) - (xy 85.852 136.144) - (xy 86.233 136.144) - (xy 86.487 136.144) - (xy 86.868 136.144) - (xy 88.392 136.144) - (xy 88.773 136.144) - (xy 89.027 136.144) - (xy 89.408 136.144) - (xy 90.932 136.144) - (xy 91.313 136.144) - (xy 91.567 136.144) - (xy 91.948 136.144) - (xy 93.472 136.144) - (xy 93.853 136.144) - (xy 94.107 136.144) - (xy 94.488 136.144) - (xy 96.012 136.144) - (xy 96.393 136.144) - (xy 96.647 136.144) - (xy 97.028 136.144) - (xy 98.552 136.144) - (xy 98.933 136.144) - (xy 99.187 136.144) - (xy 99.568 136.144) - (xy 101.092 136.144) - (xy 101.473 136.144) - (xy 101.727 136.144) - (xy 102.108 136.144) - (xy 103.632 136.144) - (xy 104.013 136.144) - (xy 104.267 136.144) - (xy 104.648 136.144) - (xy 106.172 136.144) - (xy 106.553 136.144) - (xy 106.807 136.144) - (xy 107.188 136.144) - (xy 108.712 136.144) - (xy 109.093 136.144) - (xy 109.347 136.144) - (xy 109.728 136.144) - (xy 111.252 136.144) - (xy 111.633 136.144) - (xy 111.887 136.144) - (xy 112.268 136.144) - (xy 113.792 136.144) - (xy 114.173 136.144) - (xy 114.427 136.144) - (xy 114.808 136.144) - (xy 121.412 136.144) - (xy 121.793 136.144) - (xy 122.047 136.144) - (xy 122.428 136.144) - (xy 123.952 136.144) - (xy 124.333 136.144) - (xy 124.587 136.144) - (xy 124.968 136.144) - (xy 126.492 136.144) - (xy 126.873 136.144) - (xy 127.127 136.144) - (xy 127.508 136.144) - (xy 129.032 136.144) - (xy 129.413 136.144) - (xy 129.667 136.144) - (xy 130.048 136.144) - (xy 131.572 136.144) - (xy 131.953 136.144) - (xy 132.207 136.144) - (xy 132.588 136.144) - (xy 134.112 136.144) - (xy 134.493 136.144) - (xy 134.747 136.144) - (xy 135.128 136.144) - (xy 135.128 135.763) - (xy 134.747 135.763) - (xy 134.747 136.144) - (xy 134.493 136.144) - (xy 134.493 135.763) - (xy 134.112 135.763) - (xy 134.112 136.144) - (xy 132.588 136.144) - (xy 132.588 135.763) - (xy 132.207 135.763) - (xy 132.207 136.144) - (xy 131.953 136.144) - (xy 131.953 135.763) - (xy 131.572 135.763) - (xy 131.572 136.144) - (xy 130.048 136.144) - (xy 130.048 135.763) - (xy 129.667 135.763) - (xy 129.667 136.144) - (xy 129.413 136.144) - (xy 129.413 135.763) - (xy 129.032 135.763) - (xy 129.032 136.144) - (xy 127.508 136.144) - (xy 127.508 135.763) - (xy 127.127 135.763) - (xy 127.127 136.144) - (xy 126.873 136.144) - (xy 126.873 135.763) - (xy 126.492 135.763) - (xy 126.492 136.144) - (xy 124.968 136.144) - (xy 124.968 135.763) - (xy 124.587 135.763) - (xy 124.587 136.144) - (xy 124.333 136.144) - (xy 124.333 135.763) - (xy 123.952 135.763) - (xy 123.952 136.144) - (xy 122.428 136.144) - (xy 122.428 135.763) - (xy 122.047 135.763) - (xy 122.047 136.144) - (xy 121.793 136.144) - (xy 121.793 135.763) - (xy 121.412 135.763) - (xy 121.412 136.144) - (xy 114.808 136.144) - (xy 114.808 135.763) - (xy 114.427 135.763) - (xy 114.427 136.144) - (xy 114.173 136.144) - (xy 114.173 135.763) - (xy 113.792 135.763) - (xy 113.792 136.144) - (xy 112.268 136.144) - (xy 112.268 135.763) - (xy 111.887 135.763) - (xy 111.887 136.144) - (xy 111.633 136.144) - (xy 111.633 135.763) - (xy 111.252 135.763) - (xy 111.252 136.144) - (xy 109.728 136.144) - (xy 109.728 135.763) - (xy 109.347 135.763) - (xy 109.347 136.144) - (xy 109.093 136.144) - (xy 109.093 135.763) - (xy 108.712 135.763) - (xy 108.712 136.144) - (xy 107.188 136.144) - (xy 107.188 135.763) - (xy 106.807 135.763) - (xy 106.807 136.144) - (xy 106.553 136.144) - (xy 106.553 135.763) - (xy 106.172 135.763) - (xy 106.172 136.144) - (xy 104.648 136.144) - (xy 104.648 135.763) - (xy 104.267 135.763) - (xy 104.267 136.144) - (xy 104.013 136.144) - (xy 104.013 135.763) - (xy 103.632 135.763) - (xy 103.632 136.144) - (xy 102.108 136.144) - (xy 102.108 135.763) - (xy 101.727 135.763) - (xy 101.727 136.144) - (xy 101.473 136.144) - (xy 101.473 135.763) - (xy 101.092 135.763) - (xy 101.092 136.144) - (xy 99.568 136.144) - (xy 99.568 135.763) - (xy 99.187 135.763) - (xy 99.187 136.144) - (xy 98.933 136.144) - (xy 98.933 135.763) - (xy 98.552 135.763) - (xy 98.552 136.144) - (xy 97.028 136.144) - (xy 97.028 135.763) - (xy 96.647 135.763) - (xy 96.647 136.144) - (xy 96.393 136.144) - (xy 96.393 135.763) - (xy 96.012 135.763) - (xy 96.012 136.144) - (xy 94.488 136.144) - (xy 94.488 135.763) - (xy 94.107 135.763) - (xy 94.107 136.144) - (xy 93.853 136.144) - (xy 93.853 135.763) - (xy 93.472 135.763) - (xy 93.472 136.144) - (xy 91.948 136.144) - (xy 91.948 135.763) - (xy 91.567 135.763) - (xy 91.567 136.144) - (xy 91.313 136.144) - (xy 91.313 135.763) - (xy 90.932 135.763) - (xy 90.932 136.144) - (xy 89.408 136.144) - (xy 89.408 135.763) - (xy 89.027 135.763) - (xy 89.027 136.144) - (xy 88.773 136.144) - (xy 88.773 135.763) - (xy 88.392 135.763) - (xy 88.392 136.144) - (xy 86.868 136.144) - (xy 86.868 135.763) - (xy 86.487 135.763) - (xy 86.487 136.144) - (xy 86.233 136.144) - (xy 86.233 135.763) - (xy 85.852 135.763) - (xy 85.852 136.144) - (xy 84.328 136.144) - (xy 84.328 135.763) - (xy 83.947 135.763) - (xy 83.947 136.144) - (xy 83.693 136.144) - (xy 83.693 135.763) - (xy 83.312 135.763) - (xy 83.312 136.144) - (xy 81.788 136.144) - (xy 81.788 135.763) - (xy 81.407 135.763) - (xy 81.407 136.144) - (xy 81.153 136.144) - (xy 81.153 135.763) - (xy 80.772 135.763) - (xy 80.772 136.144) - (xy 79.248 136.144) - (xy 79.248 135.763) - (xy 78.867 135.763) - (xy 78.867 136.144) - (xy 78.613 136.144) - (xy 78.613 135.763) - (xy 78.232 135.763) - (xy 78.232 136.144) - (xy 74.422 136.144) - (xy 74.422 135.509) - (xy 78.232 135.509) - (xy 78.613 135.509) - (xy 78.867 135.509) - (xy 79.248 135.509) - (xy 80.772 135.509) - (xy 81.153 135.509) - (xy 81.407 135.509) - (xy 81.788 135.509) - (xy 83.312 135.509) - (xy 83.693 135.509) - (xy 83.947 135.509) - (xy 84.328 135.509) - (xy 85.852 135.509) - (xy 86.233 135.509) - (xy 86.487 135.509) - (xy 86.868 135.509) - (xy 88.392 135.509) - (xy 88.773 135.509) - (xy 89.027 135.509) - (xy 89.408 135.509) - (xy 90.932 135.509) - (xy 91.313 135.509) - (xy 91.567 135.509) - (xy 91.948 135.509) - (xy 93.472 135.509) - (xy 93.853 135.509) - (xy 94.107 135.509) - (xy 94.488 135.509) - (xy 96.012 135.509) - (xy 96.393 135.509) - (xy 96.647 135.509) - (xy 97.028 135.509) - (xy 98.552 135.509) - (xy 98.933 135.509) - (xy 99.187 135.509) - (xy 99.568 135.509) - (xy 101.092 135.509) - (xy 101.473 135.509) - (xy 101.727 135.509) - (xy 102.108 135.509) - (xy 103.632 135.509) - (xy 104.013 135.509) - (xy 104.267 135.509) - (xy 104.648 135.509) - (xy 106.172 135.509) - (xy 106.553 135.509) - (xy 106.807 135.509) - (xy 107.188 135.509) - (xy 108.712 135.509) - (xy 109.093 135.509) - (xy 109.347 135.509) - (xy 109.728 135.509) - (xy 111.252 135.509) - (xy 111.633 135.509) - (xy 111.887 135.509) - (xy 112.268 135.509) - (xy 113.792 135.509) - (xy 114.173 135.509) - (xy 114.427 135.509) - (xy 114.808 135.509) - (xy 121.412 135.509) - (xy 121.793 135.509) - (xy 122.047 135.509) - (xy 122.428 135.509) - (xy 123.952 135.509) - (xy 124.333 135.509) - (xy 124.587 135.509) - (xy 124.968 135.509) - (xy 126.492 135.509) - (xy 126.873 135.509) - (xy 127.127 135.509) - (xy 127.508 135.509) - (xy 129.032 135.509) - (xy 129.413 135.509) - (xy 129.667 135.509) - (xy 130.048 135.509) - (xy 131.572 135.509) - (xy 131.953 135.509) - (xy 132.207 135.509) - (xy 132.588 135.509) - (xy 134.112 135.509) - (xy 134.493 135.509) - (xy 134.747 135.509) - (xy 135.128 135.509) - (xy 135.128 135.128) - (xy 134.747 135.128) - (xy 134.747 135.509) - (xy 134.493 135.509) - (xy 134.493 135.128) - (xy 134.112 135.128) - (xy 134.112 135.509) - (xy 132.588 135.509) - (xy 132.588 135.128) - (xy 132.207 135.128) - (xy 132.207 135.509) - (xy 131.953 135.509) - (xy 131.953 135.128) - (xy 131.572 135.128) - (xy 131.572 135.509) - (xy 130.048 135.509) - (xy 130.048 135.128) - (xy 129.667 135.128) - (xy 129.667 135.509) - (xy 129.413 135.509) - (xy 129.413 135.128) - (xy 129.032 135.128) - (xy 129.032 135.509) - (xy 127.508 135.509) - (xy 127.508 135.128) - (xy 127.127 135.128) - (xy 127.127 135.509) - (xy 126.873 135.509) - (xy 126.873 135.128) - (xy 126.492 135.128) - (xy 126.492 135.509) - (xy 124.968 135.509) - (xy 124.968 135.128) - (xy 124.587 135.128) - (xy 124.587 135.509) - (xy 124.333 135.509) - (xy 124.333 135.128) - (xy 123.952 135.128) - (xy 123.952 135.509) - (xy 122.428 135.509) - (xy 122.428 135.128) - (xy 122.047 135.128) - (xy 122.047 135.509) - (xy 121.793 135.509) - (xy 121.793 135.128) - (xy 121.412 135.128) - (xy 121.412 135.509) - (xy 114.808 135.509) - (xy 114.808 135.128) - (xy 114.427 135.128) - (xy 114.427 135.509) - (xy 114.173 135.509) - (xy 114.173 135.128) - (xy 113.792 135.128) - (xy 113.792 135.509) - (xy 112.268 135.509) - (xy 112.268 135.128) - (xy 111.887 135.128) - (xy 111.887 135.509) - (xy 111.633 135.509) - (xy 111.633 135.128) - (xy 111.252 135.128) - (xy 111.252 135.509) - (xy 109.728 135.509) - (xy 109.728 135.128) - (xy 109.347 135.128) - (xy 109.347 135.509) - (xy 109.093 135.509) - (xy 109.093 135.128) - (xy 108.712 135.128) - (xy 108.712 135.509) - (xy 107.188 135.509) - (xy 107.188 135.128) - (xy 106.807 135.128) - (xy 106.807 135.509) - (xy 106.553 135.509) - (xy 106.553 135.128) - (xy 106.172 135.128) - (xy 106.172 135.509) - (xy 104.648 135.509) - (xy 104.648 135.128) - (xy 104.267 135.128) - (xy 104.267 135.509) - (xy 104.013 135.509) - (xy 104.013 135.128) - (xy 103.632 135.128) - (xy 103.632 135.509) - (xy 102.108 135.509) - (xy 102.108 135.128) - (xy 101.727 135.128) - (xy 101.727 135.509) - (xy 101.473 135.509) - (xy 101.473 135.128) - (xy 101.092 135.128) - (xy 101.092 135.509) - (xy 99.568 135.509) - (xy 99.568 135.128) - (xy 99.187 135.128) - (xy 99.187 135.509) - (xy 98.933 135.509) - (xy 98.933 135.128) - (xy 98.552 135.128) - (xy 98.552 135.509) - (xy 97.028 135.509) - (xy 97.028 135.128) - (xy 96.647 135.128) - (xy 96.647 135.509) - (xy 96.393 135.509) - (xy 96.393 135.128) - (xy 96.012 135.128) - (xy 96.012 135.509) - (xy 94.488 135.509) - (xy 94.488 135.128) - (xy 94.107 135.128) - (xy 94.107 135.509) - (xy 93.853 135.509) - (xy 93.853 135.128) - (xy 93.472 135.128) - (xy 93.472 135.509) - (xy 91.948 135.509) - (xy 91.948 135.128) - (xy 91.567 135.128) - (xy 91.567 135.509) - (xy 91.313 135.509) - (xy 91.313 135.128) - (xy 90.932 135.128) - (xy 90.932 135.509) - (xy 89.408 135.509) - (xy 89.408 135.128) - (xy 89.027 135.128) - (xy 89.027 135.509) - (xy 88.773 135.509) - (xy 88.773 135.128) - (xy 88.392 135.128) - (xy 88.392 135.509) - (xy 86.868 135.509) - (xy 86.868 135.128) - (xy 86.487 135.128) - (xy 86.487 135.509) - (xy 86.233 135.509) - (xy 86.233 135.128) - (xy 85.852 135.128) - (xy 85.852 135.509) - (xy 84.328 135.509) - (xy 84.328 135.128) - (xy 83.947 135.128) - (xy 83.947 135.509) - (xy 83.693 135.509) - (xy 83.693 135.128) - (xy 83.312 135.128) - (xy 83.312 135.509) - (xy 81.788 135.509) - (xy 81.788 135.128) - (xy 81.407 135.128) - (xy 81.407 135.509) - (xy 81.153 135.509) - (xy 81.153 135.128) - (xy 80.772 135.128) - (xy 80.772 135.509) - (xy 79.248 135.509) - (xy 79.248 135.128) - (xy 78.867 135.128) - (xy 78.867 135.509) - (xy 78.613 135.509) - (xy 78.613 135.128) - (xy 78.232 135.128) - (xy 78.232 135.509) - (xy 74.422 135.509) - (xy 74.422 134.874) - (xy 78.232 134.874) - (xy 78.613 134.874) - (xy 78.867 134.874) - (xy 79.248 134.874) - (xy 80.772 134.874) - (xy 81.153 134.874) - (xy 81.407 134.874) - (xy 81.788 134.874) - (xy 83.312 134.874) - (xy 83.693 134.874) - (xy 83.947 134.874) - (xy 84.328 134.874) - (xy 85.852 134.874) - (xy 86.233 134.874) - (xy 86.487 134.874) - (xy 86.868 134.874) - (xy 88.392 134.874) - (xy 88.773 134.874) - (xy 89.027 134.874) - (xy 89.408 134.874) - (xy 90.932 134.874) - (xy 91.313 134.874) - (xy 91.567 134.874) - (xy 91.948 134.874) - (xy 93.472 134.874) - (xy 93.853 134.874) - (xy 94.107 134.874) - (xy 94.488 134.874) - (xy 96.012 134.874) - (xy 96.393 134.874) - (xy 96.647 134.874) - (xy 97.028 134.874) - (xy 98.552 134.874) - (xy 98.933 134.874) - (xy 99.187 134.874) - (xy 99.568 134.874) - (xy 101.092 134.874) - (xy 101.473 134.874) - (xy 101.727 134.874) - (xy 102.108 134.874) - (xy 103.632 134.874) - (xy 104.013 134.874) - (xy 104.267 134.874) - (xy 104.648 134.874) - (xy 106.172 134.874) - (xy 106.553 134.874) - (xy 106.807 134.874) - (xy 107.188 134.874) - (xy 108.712 134.874) - (xy 109.093 134.874) - (xy 109.347 134.874) - (xy 109.728 134.874) - (xy 111.252 134.874) - (xy 111.633 134.874) - (xy 111.887 134.874) - (xy 112.268 134.874) - (xy 113.792 134.874) - (xy 114.173 134.874) - (xy 114.427 134.874) - (xy 114.808 134.874) - (xy 121.412 134.874) - (xy 121.793 134.874) - (xy 122.047 134.874) - (xy 122.428 134.874) - (xy 123.952 134.874) - (xy 124.333 134.874) - (xy 124.587 134.874) - (xy 124.968 134.874) - (xy 126.492 134.874) - (xy 126.873 134.874) - (xy 127.127 134.874) - (xy 127.508 134.874) - (xy 129.032 134.874) - (xy 129.413 134.874) - (xy 129.667 134.874) - (xy 130.048 134.874) - (xy 131.572 134.874) - (xy 131.953 134.874) - (xy 132.207 134.874) - (xy 132.588 134.874) - (xy 134.112 134.874) - (xy 134.493 134.874) - (xy 134.747 134.874) - (xy 135.128 134.874) - (xy 135.128 134.493) - (xy 134.747 134.493) - (xy 134.747 134.874) - (xy 134.493 134.874) - (xy 134.493 134.493) - (xy 134.112 134.493) - (xy 134.112 134.874) - (xy 132.588 134.874) - (xy 132.588 134.493) - (xy 132.207 134.493) - (xy 132.207 134.874) - (xy 131.953 134.874) - (xy 131.953 134.493) - (xy 131.572 134.493) - (xy 131.572 134.874) - (xy 130.048 134.874) - (xy 130.048 134.493) - (xy 129.667 134.493) - (xy 129.667 134.874) - (xy 129.413 134.874) - (xy 129.413 134.493) - (xy 129.032 134.493) - (xy 129.032 134.874) - (xy 127.508 134.874) - (xy 127.508 134.493) - (xy 127.127 134.493) - (xy 127.127 134.874) - (xy 126.873 134.874) - (xy 126.873 134.493) - (xy 126.492 134.493) - (xy 126.492 134.874) - (xy 124.968 134.874) - (xy 124.968 134.493) - (xy 124.587 134.493) - (xy 124.587 134.874) - (xy 124.333 134.874) - (xy 124.333 134.493) - (xy 123.952 134.493) - (xy 123.952 134.874) - (xy 122.428 134.874) - (xy 122.428 134.493) - (xy 122.047 134.493) - (xy 122.047 134.874) - (xy 121.793 134.874) - (xy 121.793 134.493) - (xy 121.412 134.493) - (xy 121.412 134.874) - (xy 114.808 134.874) - (xy 114.808 134.493) - (xy 114.427 134.493) - (xy 114.427 134.874) - (xy 114.173 134.874) - (xy 114.173 134.493) - (xy 113.792 134.493) - (xy 113.792 134.874) - (xy 112.268 134.874) - (xy 112.268 134.493) - (xy 111.887 134.493) - (xy 111.887 134.874) - (xy 111.633 134.874) - (xy 111.633 134.493) - (xy 111.252 134.493) - (xy 111.252 134.874) - (xy 109.728 134.874) - (xy 109.728 134.493) - (xy 109.347 134.493) - (xy 109.347 134.874) - (xy 109.093 134.874) - (xy 109.093 134.493) - (xy 108.712 134.493) - (xy 108.712 134.874) - (xy 107.188 134.874) - (xy 107.188 134.493) - (xy 106.807 134.493) - (xy 106.807 134.874) - (xy 106.553 134.874) - (xy 106.553 134.493) - (xy 106.172 134.493) - (xy 106.172 134.874) - (xy 104.648 134.874) - (xy 104.648 134.493) - (xy 104.267 134.493) - (xy 104.267 134.874) - (xy 104.013 134.874) - (xy 104.013 134.493) - (xy 103.632 134.493) - (xy 103.632 134.874) - (xy 102.108 134.874) - (xy 102.108 134.493) - (xy 101.727 134.493) - (xy 101.727 134.874) - (xy 101.473 134.874) - (xy 101.473 134.493) - (xy 101.092 134.493) - (xy 101.092 134.874) - (xy 99.568 134.874) - (xy 99.568 134.493) - (xy 99.187 134.493) - (xy 99.187 134.874) - (xy 98.933 134.874) - (xy 98.933 134.493) - (xy 98.552 134.493) - (xy 98.552 134.874) - (xy 97.028 134.874) - (xy 97.028 134.493) - (xy 96.647 134.493) - (xy 96.647 134.874) - (xy 96.393 134.874) - (xy 96.393 134.493) - (xy 96.012 134.493) - (xy 96.012 134.874) - (xy 94.488 134.874) - (xy 94.488 134.493) - (xy 94.107 134.493) - (xy 94.107 134.874) - (xy 93.853 134.874) - (xy 93.853 134.493) - (xy 93.472 134.493) - (xy 93.472 134.874) - (xy 91.948 134.874) - (xy 91.948 134.493) - (xy 91.567 134.493) - (xy 91.567 134.874) - (xy 91.313 134.874) - (xy 91.313 134.493) - (xy 90.932 134.493) - (xy 90.932 134.874) - (xy 89.408 134.874) - (xy 89.408 134.493) - (xy 89.027 134.493) - (xy 89.027 134.874) - (xy 88.773 134.874) - (xy 88.773 134.493) - (xy 88.392 134.493) - (xy 88.392 134.874) - (xy 86.868 134.874) - (xy 86.868 134.493) - (xy 86.487 134.493) - (xy 86.487 134.874) - (xy 86.233 134.874) - (xy 86.233 134.493) - (xy 85.852 134.493) - (xy 85.852 134.874) - (xy 84.328 134.874) - (xy 84.328 134.493) - (xy 83.947 134.493) - (xy 83.947 134.874) - (xy 83.693 134.874) - (xy 83.693 134.493) - (xy 83.312 134.493) - (xy 83.312 134.874) - (xy 81.788 134.874) - (xy 81.788 134.493) - (xy 81.407 134.493) - (xy 81.407 134.874) - (xy 81.153 134.874) - (xy 81.153 134.493) - (xy 80.772 134.493) - (xy 80.772 134.874) - (xy 79.248 134.874) - (xy 79.248 134.493) - (xy 78.867 134.493) - (xy 78.867 134.874) - (xy 78.613 134.874) - (xy 78.613 134.493) - (xy 78.232 134.493) - (xy 78.232 134.874) - (xy 74.422 134.874) - (xy 74.422 134.239) - (xy 78.232 134.239) - (xy 78.613 134.239) - (xy 78.867 134.239) - (xy 79.248 134.239) - (xy 80.772 134.239) - (xy 81.153 134.239) - (xy 81.407 134.239) - (xy 81.788 134.239) - (xy 83.312 134.239) - (xy 83.693 134.239) - (xy 83.947 134.239) - (xy 84.328 134.239) - (xy 85.852 134.239) - (xy 86.233 134.239) - (xy 86.487 134.239) - (xy 86.868 134.239) - (xy 88.392 134.239) - (xy 88.773 134.239) - (xy 89.027 134.239) - (xy 89.408 134.239) - (xy 90.932 134.239) - (xy 91.313 134.239) - (xy 91.567 134.239) - (xy 91.948 134.239) - (xy 93.472 134.239) - (xy 93.853 134.239) - (xy 94.107 134.239) - (xy 94.488 134.239) - (xy 96.012 134.239) - (xy 96.393 134.239) - (xy 96.647 134.239) - (xy 97.028 134.239) - (xy 98.552 134.239) - (xy 98.933 134.239) - (xy 99.187 134.239) - (xy 99.568 134.239) - (xy 101.092 134.239) - (xy 101.473 134.239) - (xy 101.727 134.239) - (xy 102.108 134.239) - (xy 103.632 134.239) - (xy 104.013 134.239) - (xy 104.267 134.239) - (xy 104.648 134.239) - (xy 106.172 134.239) - (xy 106.553 134.239) - (xy 106.807 134.239) - (xy 107.188 134.239) - (xy 108.712 134.239) - (xy 109.093 134.239) - (xy 109.347 134.239) - (xy 109.728 134.239) - (xy 111.252 134.239) - (xy 111.633 134.239) - (xy 111.887 134.239) - (xy 112.268 134.239) - (xy 113.792 134.239) - (xy 114.173 134.239) - (xy 114.427 134.239) - (xy 114.808 134.239) - (xy 121.412 134.239) - (xy 121.793 134.239) - (xy 122.047 134.239) - (xy 122.428 134.239) - (xy 123.952 134.239) - (xy 124.333 134.239) - (xy 124.587 134.239) - (xy 124.968 134.239) - (xy 126.492 134.239) - (xy 126.873 134.239) - (xy 127.127 134.239) - (xy 127.508 134.239) - (xy 129.032 134.239) - (xy 129.413 134.239) - (xy 129.667 134.239) - (xy 130.048 134.239) - (xy 131.572 134.239) - (xy 131.953 134.239) - (xy 132.207 134.239) - (xy 132.588 134.239) - (xy 134.112 134.239) - (xy 134.493 134.239) - (xy 134.747 134.239) - (xy 135.128 134.239) - (xy 135.128 133.858) - (xy 134.747 133.858) - (xy 134.747 134.239) - (xy 134.493 134.239) - (xy 134.493 133.858) - (xy 134.112 133.858) - (xy 134.112 134.239) - (xy 132.588 134.239) - (xy 132.588 133.858) - (xy 132.207 133.858) - (xy 132.207 134.239) - (xy 131.953 134.239) - (xy 131.953 133.858) - (xy 131.572 133.858) - (xy 131.572 134.239) - (xy 130.048 134.239) - (xy 130.048 133.858) - (xy 129.667 133.858) - (xy 129.667 134.239) - (xy 129.413 134.239) - (xy 129.413 133.858) - (xy 129.032 133.858) - (xy 129.032 134.239) - (xy 127.508 134.239) - (xy 127.508 133.858) - (xy 127.127 133.858) - (xy 127.127 134.239) - (xy 126.873 134.239) - (xy 126.873 133.858) - (xy 126.492 133.858) - (xy 126.492 134.239) - (xy 124.968 134.239) - (xy 124.968 133.858) - (xy 124.587 133.858) - (xy 124.587 134.239) - (xy 124.333 134.239) - (xy 124.333 133.858) - (xy 123.952 133.858) - (xy 123.952 134.239) - (xy 122.428 134.239) - (xy 122.428 133.858) - (xy 122.047 133.858) - (xy 122.047 134.239) - (xy 121.793 134.239) - (xy 121.793 133.858) - (xy 121.412 133.858) - (xy 121.412 134.239) - (xy 114.808 134.239) - (xy 114.808 133.858) - (xy 114.427 133.858) - (xy 114.427 134.239) - (xy 114.173 134.239) - (xy 114.173 133.858) - (xy 113.792 133.858) - (xy 113.792 134.239) - (xy 112.268 134.239) - (xy 112.268 133.858) - (xy 111.887 133.858) - (xy 111.887 134.239) - (xy 111.633 134.239) - (xy 111.633 133.858) - (xy 111.252 133.858) - (xy 111.252 134.239) - (xy 109.728 134.239) - (xy 109.728 133.858) - (xy 109.347 133.858) - (xy 109.347 134.239) - (xy 109.093 134.239) - (xy 109.093 133.858) - (xy 108.712 133.858) - (xy 108.712 134.239) - (xy 107.188 134.239) - (xy 107.188 133.858) - (xy 106.807 133.858) - (xy 106.807 134.239) - (xy 106.553 134.239) - (xy 106.553 133.858) - (xy 106.172 133.858) - (xy 106.172 134.239) - (xy 104.648 134.239) - (xy 104.648 133.858) - (xy 104.267 133.858) - (xy 104.267 134.239) - (xy 104.013 134.239) - (xy 104.013 133.858) - (xy 103.632 133.858) - (xy 103.632 134.239) - (xy 102.108 134.239) - (xy 102.108 133.858) - (xy 101.727 133.858) - (xy 101.727 134.239) - (xy 101.473 134.239) - (xy 101.473 133.858) - (xy 101.092 133.858) - (xy 101.092 134.239) - (xy 99.568 134.239) - (xy 99.568 133.858) - (xy 99.187 133.858) - (xy 99.187 134.239) - (xy 98.933 134.239) - (xy 98.933 133.858) - (xy 98.552 133.858) - (xy 98.552 134.239) - (xy 97.028 134.239) - (xy 97.028 133.858) - (xy 96.647 133.858) - (xy 96.647 134.239) - (xy 96.393 134.239) - (xy 96.393 133.858) - (xy 96.012 133.858) - (xy 96.012 134.239) - (xy 94.488 134.239) - (xy 94.488 133.858) - (xy 94.107 133.858) - (xy 94.107 134.239) - (xy 93.853 134.239) - (xy 93.853 133.858) - (xy 93.472 133.858) - (xy 93.472 134.239) - (xy 91.948 134.239) - (xy 91.948 133.858) - (xy 91.567 133.858) - (xy 91.567 134.239) - (xy 91.313 134.239) - (xy 91.313 133.858) - (xy 90.932 133.858) - (xy 90.932 134.239) - (xy 89.408 134.239) - (xy 89.408 133.858) - (xy 89.027 133.858) - (xy 89.027 134.239) - (xy 88.773 134.239) - (xy 88.773 133.858) - (xy 88.392 133.858) - (xy 88.392 134.239) - (xy 86.868 134.239) - (xy 86.868 133.858) - (xy 86.487 133.858) - (xy 86.487 134.239) - (xy 86.233 134.239) - (xy 86.233 133.858) - (xy 85.852 133.858) - (xy 85.852 134.239) - (xy 84.328 134.239) - (xy 84.328 133.858) - (xy 83.947 133.858) - (xy 83.947 134.239) - (xy 83.693 134.239) - (xy 83.693 133.858) - (xy 83.312 133.858) - (xy 83.312 134.239) - (xy 81.788 134.239) - (xy 81.788 133.858) - (xy 81.407 133.858) - (xy 81.407 134.239) - (xy 81.153 134.239) - (xy 81.153 133.858) - (xy 80.772 133.858) - (xy 80.772 134.239) - (xy 79.248 134.239) - (xy 79.248 133.858) - (xy 78.867 133.858) - (xy 78.867 134.239) - (xy 78.613 134.239) - (xy 78.613 133.858) - (xy 78.232 133.858) - (xy 78.232 134.239) - (xy 74.422 134.239) - (xy 74.422 133.604) - (xy 78.232 133.604) - (xy 78.613 133.604) - (xy 78.867 133.604) - (xy 79.248 133.604) - (xy 80.772 133.604) - (xy 81.153 133.604) - (xy 81.407 133.604) - (xy 81.788 133.604) - (xy 83.312 133.604) - (xy 83.693 133.604) - (xy 83.947 133.604) - (xy 84.328 133.604) - (xy 85.852 133.604) - (xy 86.233 133.604) - (xy 86.487 133.604) - (xy 86.868 133.604) - (xy 88.392 133.604) - (xy 88.773 133.604) - (xy 89.027 133.604) - (xy 89.408 133.604) - (xy 90.932 133.604) - (xy 91.313 133.604) - (xy 91.567 133.604) - (xy 91.948 133.604) - (xy 93.472 133.604) - (xy 93.853 133.604) - (xy 94.107 133.604) - (xy 94.488 133.604) - (xy 96.012 133.604) - (xy 96.393 133.604) - (xy 96.647 133.604) - (xy 97.028 133.604) - (xy 98.552 133.604) - (xy 98.933 133.604) - (xy 99.187 133.604) - (xy 99.568 133.604) - (xy 101.092 133.604) - (xy 101.473 133.604) - (xy 101.727 133.604) - (xy 102.108 133.604) - (xy 103.632 133.604) - (xy 104.013 133.604) - (xy 104.267 133.604) - (xy 104.648 133.604) - (xy 106.172 133.604) - (xy 106.553 133.604) - (xy 106.807 133.604) - (xy 107.188 133.604) - (xy 108.712 133.604) - (xy 109.093 133.604) - (xy 109.347 133.604) - (xy 109.728 133.604) - (xy 111.252 133.604) - (xy 111.633 133.604) - (xy 111.887 133.604) - (xy 112.268 133.604) - (xy 113.792 133.604) - (xy 114.173 133.604) - (xy 114.427 133.604) - (xy 114.808 133.604) - (xy 121.412 133.604) - (xy 121.793 133.604) - (xy 122.047 133.604) - (xy 122.428 133.604) - (xy 123.952 133.604) - (xy 124.333 133.604) - (xy 124.587 133.604) - (xy 124.968 133.604) - (xy 126.492 133.604) - (xy 126.873 133.604) - (xy 127.127 133.604) - (xy 127.508 133.604) - (xy 129.032 133.604) - (xy 129.413 133.604) - (xy 129.667 133.604) - (xy 130.048 133.604) - (xy 131.572 133.604) - (xy 131.953 133.604) - (xy 132.207 133.604) - (xy 132.588 133.604) - (xy 134.112 133.604) - (xy 134.493 133.604) - (xy 134.747 133.604) - (xy 135.128 133.604) - (xy 135.128 133.223) - (xy 134.747 133.223) - (xy 134.747 133.604) - (xy 134.493 133.604) - (xy 134.493 133.223) - (xy 134.112 133.223) - (xy 134.112 133.604) - (xy 132.588 133.604) - (xy 132.588 133.223) - (xy 132.207 133.223) - (xy 132.207 133.604) - (xy 131.953 133.604) - (xy 131.953 133.223) - (xy 131.572 133.223) - (xy 131.572 133.604) - (xy 130.048 133.604) - (xy 130.048 133.223) - (xy 129.667 133.223) - (xy 129.667 133.604) - (xy 129.413 133.604) - (xy 129.413 133.223) - (xy 129.032 133.223) - (xy 129.032 133.604) - (xy 127.508 133.604) - (xy 127.508 133.223) - (xy 127.127 133.223) - (xy 127.127 133.604) - (xy 126.873 133.604) - (xy 126.873 133.223) - (xy 126.492 133.223) - (xy 126.492 133.604) - (xy 124.968 133.604) - (xy 124.968 133.223) - (xy 124.587 133.223) - (xy 124.587 133.604) - (xy 124.333 133.604) - (xy 124.333 133.223) - (xy 123.952 133.223) - (xy 123.952 133.604) - (xy 122.428 133.604) - (xy 122.428 133.223) - (xy 122.047 133.223) - (xy 122.047 133.604) - (xy 121.793 133.604) - (xy 121.793 133.223) - (xy 121.412 133.223) - (xy 121.412 133.604) - (xy 114.808 133.604) - (xy 114.808 133.223) - (xy 114.427 133.223) - (xy 114.427 133.604) - (xy 114.173 133.604) - (xy 114.173 133.223) - (xy 113.792 133.223) - (xy 113.792 133.604) - (xy 112.268 133.604) - (xy 112.268 133.223) - (xy 111.887 133.223) - (xy 111.887 133.604) - (xy 111.633 133.604) - (xy 111.633 133.223) - (xy 111.252 133.223) - (xy 111.252 133.604) - (xy 109.728 133.604) - (xy 109.728 133.223) - (xy 109.347 133.223) - (xy 109.347 133.604) - (xy 109.093 133.604) - (xy 109.093 133.223) - (xy 108.712 133.223) - (xy 108.712 133.604) - (xy 107.188 133.604) - (xy 107.188 133.223) - (xy 106.807 133.223) - (xy 106.807 133.604) - (xy 106.553 133.604) - (xy 106.553 133.223) - (xy 106.172 133.223) - (xy 106.172 133.604) - (xy 104.648 133.604) - (xy 104.648 133.223) - (xy 104.267 133.223) - (xy 104.267 133.604) - (xy 104.013 133.604) - (xy 104.013 133.223) - (xy 103.632 133.223) - (xy 103.632 133.604) - (xy 102.108 133.604) - (xy 102.108 133.223) - (xy 101.727 133.223) - (xy 101.727 133.604) - (xy 101.473 133.604) - (xy 101.473 133.223) - (xy 101.092 133.223) - (xy 101.092 133.604) - (xy 99.568 133.604) - (xy 99.568 133.223) - (xy 99.187 133.223) - (xy 99.187 133.604) - (xy 98.933 133.604) - (xy 98.933 133.223) - (xy 98.552 133.223) - (xy 98.552 133.604) - (xy 97.028 133.604) - (xy 97.028 133.223) - (xy 96.647 133.223) - (xy 96.647 133.604) - (xy 96.393 133.604) - (xy 96.393 133.223) - (xy 96.012 133.223) - (xy 96.012 133.604) - (xy 94.488 133.604) - (xy 94.488 133.223) - (xy 94.107 133.223) - (xy 94.107 133.604) - (xy 93.853 133.604) - (xy 93.853 133.223) - (xy 93.472 133.223) - (xy 93.472 133.604) - (xy 91.948 133.604) - (xy 91.948 133.223) - (xy 91.567 133.223) - (xy 91.567 133.604) - (xy 91.313 133.604) - (xy 91.313 133.223) - (xy 90.932 133.223) - (xy 90.932 133.604) - (xy 89.408 133.604) - (xy 89.408 133.223) - (xy 89.027 133.223) - (xy 89.027 133.604) - (xy 88.773 133.604) - (xy 88.773 133.223) - (xy 88.392 133.223) - (xy 88.392 133.604) - (xy 86.868 133.604) - (xy 86.868 133.223) - (xy 86.487 133.223) - (xy 86.487 133.604) - (xy 86.233 133.604) - (xy 86.233 133.223) - (xy 85.852 133.223) - (xy 85.852 133.604) - (xy 84.328 133.604) - (xy 84.328 133.223) - (xy 83.947 133.223) - (xy 83.947 133.604) - (xy 83.693 133.604) - (xy 83.693 133.223) - (xy 83.312 133.223) - (xy 83.312 133.604) - (xy 81.788 133.604) - (xy 81.788 133.223) - (xy 81.407 133.223) - (xy 81.407 133.604) - (xy 81.153 133.604) - (xy 81.153 133.223) - (xy 80.772 133.223) - (xy 80.772 133.604) - (xy 79.248 133.604) - (xy 79.248 133.223) - (xy 78.867 133.223) - (xy 78.867 133.604) - (xy 78.613 133.604) - (xy 78.613 133.223) - (xy 78.232 133.223) - (xy 78.232 133.604) - (xy 74.422 133.604) - (xy 74.422 132.969) - (xy 78.232 132.969) - (xy 78.613 132.969) - (xy 78.867 132.969) - (xy 79.248 132.969) - (xy 80.772 132.969) - (xy 81.153 132.969) - (xy 81.407 132.969) - (xy 81.788 132.969) - (xy 83.312 132.969) - (xy 83.693 132.969) - (xy 83.947 132.969) - (xy 84.328 132.969) - (xy 85.852 132.969) - (xy 86.233 132.969) - (xy 86.487 132.969) - (xy 86.868 132.969) - (xy 88.392 132.969) - (xy 88.773 132.969) - (xy 89.027 132.969) - (xy 89.408 132.969) - (xy 90.932 132.969) - (xy 91.313 132.969) - (xy 91.567 132.969) - (xy 91.948 132.969) - (xy 93.472 132.969) - (xy 93.853 132.969) - (xy 94.107 132.969) - (xy 94.488 132.969) - (xy 96.012 132.969) - (xy 96.393 132.969) - (xy 96.647 132.969) - (xy 97.028 132.969) - (xy 98.552 132.969) - (xy 98.933 132.969) - (xy 99.187 132.969) - (xy 99.568 132.969) - (xy 101.092 132.969) - (xy 101.473 132.969) - (xy 101.727 132.969) - (xy 102.108 132.969) - (xy 103.632 132.969) - (xy 104.013 132.969) - (xy 104.267 132.969) - (xy 104.648 132.969) - (xy 106.172 132.969) - (xy 106.553 132.969) - (xy 106.807 132.969) - (xy 107.188 132.969) - (xy 108.712 132.969) - (xy 109.093 132.969) - (xy 109.347 132.969) - (xy 109.728 132.969) - (xy 111.252 132.969) - (xy 111.633 132.969) - (xy 111.887 132.969) - (xy 112.268 132.969) - (xy 113.792 132.969) - (xy 114.173 132.969) - (xy 114.427 132.969) - (xy 114.808 132.969) - (xy 121.412 132.969) - (xy 121.793 132.969) - (xy 122.047 132.969) - (xy 122.428 132.969) - (xy 123.952 132.969) - (xy 124.333 132.969) - (xy 124.587 132.969) - (xy 124.968 132.969) - (xy 126.492 132.969) - (xy 126.873 132.969) - (xy 127.127 132.969) - (xy 127.508 132.969) - (xy 129.032 132.969) - (xy 129.413 132.969) - (xy 129.667 132.969) - (xy 130.048 132.969) - (xy 131.572 132.969) - (xy 131.953 132.969) - (xy 132.207 132.969) - (xy 132.588 132.969) - (xy 134.112 132.969) - (xy 134.493 132.969) - (xy 134.747 132.969) - (xy 135.128 132.969) - (xy 135.128 132.588) - (xy 134.747 132.588) - (xy 134.747 132.969) - (xy 134.493 132.969) - (xy 134.493 132.588) - (xy 134.112 132.588) - (xy 134.112 132.969) - (xy 132.588 132.969) - (xy 132.588 132.588) - (xy 132.207 132.588) - (xy 132.207 132.969) - (xy 131.953 132.969) - (xy 131.953 132.588) - (xy 131.572 132.588) - (xy 131.572 132.969) - (xy 130.048 132.969) - (xy 130.048 132.588) - (xy 129.667 132.588) - (xy 129.667 132.969) - (xy 129.413 132.969) - (xy 129.413 132.588) - (xy 129.032 132.588) - (xy 129.032 132.969) - (xy 127.508 132.969) - (xy 127.508 132.588) - (xy 127.127 132.588) - (xy 127.127 132.969) - (xy 126.873 132.969) - (xy 126.873 132.588) - (xy 126.492 132.588) - (xy 126.492 132.969) - (xy 124.968 132.969) - (xy 124.968 132.588) - (xy 124.587 132.588) - (xy 124.587 132.969) - (xy 124.333 132.969) - (xy 124.333 132.588) - (xy 123.952 132.588) - (xy 123.952 132.969) - (xy 122.428 132.969) - (xy 122.428 132.588) - (xy 122.047 132.588) - (xy 122.047 132.969) - (xy 121.793 132.969) - (xy 121.793 132.588) - (xy 121.412 132.588) - (xy 121.412 132.969) - (xy 114.808 132.969) - (xy 114.808 132.588) - (xy 114.427 132.588) - (xy 114.427 132.969) - (xy 114.173 132.969) - (xy 114.173 132.588) - (xy 113.792 132.588) - (xy 113.792 132.969) - (xy 112.268 132.969) - (xy 112.268 132.588) - (xy 111.887 132.588) - (xy 111.887 132.969) - (xy 111.633 132.969) - (xy 111.633 132.588) - (xy 111.252 132.588) - (xy 111.252 132.969) - (xy 109.728 132.969) - (xy 109.728 132.588) - (xy 109.347 132.588) - (xy 109.347 132.969) - (xy 109.093 132.969) - (xy 109.093 132.588) - (xy 108.712 132.588) - (xy 108.712 132.969) - (xy 107.188 132.969) - (xy 107.188 132.588) - (xy 106.807 132.588) - (xy 106.807 132.969) - (xy 106.553 132.969) - (xy 106.553 132.588) - (xy 106.172 132.588) - (xy 106.172 132.969) - (xy 104.648 132.969) - (xy 104.648 132.588) - (xy 104.267 132.588) - (xy 104.267 132.969) - (xy 104.013 132.969) - (xy 104.013 132.588) - (xy 103.632 132.588) - (xy 103.632 132.969) - (xy 102.108 132.969) - (xy 102.108 132.588) - (xy 101.727 132.588) - (xy 101.727 132.969) - (xy 101.473 132.969) - (xy 101.473 132.588) - (xy 101.092 132.588) - (xy 101.092 132.969) - (xy 99.568 132.969) - (xy 99.568 132.588) - (xy 99.187 132.588) - (xy 99.187 132.969) - (xy 98.933 132.969) - (xy 98.933 132.588) - (xy 98.552 132.588) - (xy 98.552 132.969) - (xy 97.028 132.969) - (xy 97.028 132.588) - (xy 96.647 132.588) - (xy 96.647 132.969) - (xy 96.393 132.969) - (xy 96.393 132.588) - (xy 96.012 132.588) - (xy 96.012 132.969) - (xy 94.488 132.969) - (xy 94.488 132.588) - (xy 94.107 132.588) - (xy 94.107 132.969) - (xy 93.853 132.969) - (xy 93.853 132.588) - (xy 93.472 132.588) - (xy 93.472 132.969) - (xy 91.948 132.969) - (xy 91.948 132.588) - (xy 91.567 132.588) - (xy 91.567 132.969) - (xy 91.313 132.969) - (xy 91.313 132.588) - (xy 90.932 132.588) - (xy 90.932 132.969) - (xy 89.408 132.969) - (xy 89.408 132.588) - (xy 89.027 132.588) - (xy 89.027 132.969) - (xy 88.773 132.969) - (xy 88.773 132.588) - (xy 88.392 132.588) - (xy 88.392 132.969) - (xy 86.868 132.969) - (xy 86.868 132.588) - (xy 86.487 132.588) - (xy 86.487 132.969) - (xy 86.233 132.969) - (xy 86.233 132.588) - (xy 85.852 132.588) - (xy 85.852 132.969) - (xy 84.328 132.969) - (xy 84.328 132.588) - (xy 83.947 132.588) - (xy 83.947 132.969) - (xy 83.693 132.969) - (xy 83.693 132.588) - (xy 83.312 132.588) - (xy 83.312 132.969) - (xy 81.788 132.969) - (xy 81.788 132.588) - (xy 81.407 132.588) - (xy 81.407 132.969) - (xy 81.153 132.969) - (xy 81.153 132.588) - (xy 80.772 132.588) - (xy 80.772 132.969) - (xy 79.248 132.969) - (xy 79.248 132.588) - (xy 78.867 132.588) - (xy 78.867 132.969) - (xy 78.613 132.969) - (xy 78.613 132.588) - (xy 78.232 132.588) - (xy 78.232 132.969) - (xy 74.422 132.969) - (xy 74.422 132.334) - (xy 78.232 132.334) - (xy 78.613 132.334) - (xy 78.867 132.334) - (xy 79.248 132.334) - (xy 80.772 132.334) - (xy 81.153 132.334) - (xy 81.407 132.334) - (xy 81.788 132.334) - (xy 83.312 132.334) - (xy 83.693 132.334) - (xy 83.947 132.334) - (xy 84.328 132.334) - (xy 85.852 132.334) - (xy 86.233 132.334) - (xy 86.487 132.334) - (xy 86.868 132.334) - (xy 88.392 132.334) - (xy 88.773 132.334) - (xy 89.027 132.334) - (xy 89.408 132.334) - (xy 90.932 132.334) - (xy 91.313 132.334) - (xy 91.567 132.334) - (xy 91.948 132.334) - (xy 93.472 132.334) - (xy 93.853 132.334) - (xy 94.107 132.334) - (xy 94.488 132.334) - (xy 96.012 132.334) - (xy 96.393 132.334) - (xy 96.647 132.334) - (xy 97.028 132.334) - (xy 98.552 132.334) - (xy 98.933 132.334) - (xy 99.187 132.334) - (xy 99.568 132.334) - (xy 101.092 132.334) - (xy 101.473 132.334) - (xy 101.727 132.334) - (xy 102.108 132.334) - (xy 103.632 132.334) - (xy 104.013 132.334) - (xy 104.267 132.334) - (xy 104.648 132.334) - (xy 106.172 132.334) - (xy 106.553 132.334) - (xy 106.807 132.334) - (xy 107.188 132.334) - (xy 108.712 132.334) - (xy 109.093 132.334) - (xy 109.347 132.334) - (xy 109.728 132.334) - (xy 111.252 132.334) - (xy 111.633 132.334) - (xy 111.887 132.334) - (xy 112.268 132.334) - (xy 113.792 132.334) - (xy 114.173 132.334) - (xy 114.427 132.334) - (xy 114.808 132.334) - (xy 121.412 132.334) - (xy 121.793 132.334) - (xy 122.047 132.334) - (xy 122.428 132.334) - (xy 123.952 132.334) - (xy 124.333 132.334) - (xy 124.587 132.334) - (xy 124.968 132.334) - (xy 126.492 132.334) - (xy 126.873 132.334) - (xy 127.127 132.334) - (xy 127.508 132.334) - (xy 129.032 132.334) - (xy 129.413 132.334) - (xy 129.667 132.334) - (xy 130.048 132.334) - (xy 131.572 132.334) - (xy 131.953 132.334) - (xy 132.207 132.334) - (xy 132.588 132.334) - (xy 134.112 132.334) - (xy 134.493 132.334) - (xy 134.747 132.334) - (xy 135.128 132.334) - (xy 135.128 131.953) - (xy 134.747 131.953) - (xy 134.747 132.334) - (xy 134.493 132.334) - (xy 134.493 131.953) - (xy 134.112 131.953) - (xy 134.112 132.334) - (xy 132.588 132.334) - (xy 132.588 131.953) - (xy 132.207 131.953) - (xy 132.207 132.334) - (xy 131.953 132.334) - (xy 131.953 131.953) - (xy 131.572 131.953) - (xy 131.572 132.334) - (xy 130.048 132.334) - (xy 130.048 131.953) - (xy 129.667 131.953) - (xy 129.667 132.334) - (xy 129.413 132.334) - (xy 129.413 131.953) - (xy 129.032 131.953) - (xy 129.032 132.334) - (xy 127.508 132.334) - (xy 127.508 131.953) - (xy 127.127 131.953) - (xy 127.127 132.334) - (xy 126.873 132.334) - (xy 126.873 131.953) - (xy 126.492 131.953) - (xy 126.492 132.334) - (xy 124.968 132.334) - (xy 124.968 131.953) - (xy 124.587 131.953) - (xy 124.587 132.334) - (xy 124.333 132.334) - (xy 124.333 131.953) - (xy 123.952 131.953) - (xy 123.952 132.334) - (xy 122.428 132.334) - (xy 122.428 131.953) - (xy 122.047 131.953) - (xy 122.047 132.334) - (xy 121.793 132.334) - (xy 121.793 131.953) - (xy 121.412 131.953) - (xy 121.412 132.334) - (xy 114.808 132.334) - (xy 114.808 131.953) - (xy 114.427 131.953) - (xy 114.427 132.334) - (xy 114.173 132.334) - (xy 114.173 131.953) - (xy 113.792 131.953) - (xy 113.792 132.334) - (xy 112.268 132.334) - (xy 112.268 131.953) - (xy 111.887 131.953) - (xy 111.887 132.334) - (xy 111.633 132.334) - (xy 111.633 131.953) - (xy 111.252 131.953) - (xy 111.252 132.334) - (xy 109.728 132.334) - (xy 109.728 131.953) - (xy 109.347 131.953) - (xy 109.347 132.334) - (xy 109.093 132.334) - (xy 109.093 131.953) - (xy 108.712 131.953) - (xy 108.712 132.334) - (xy 107.188 132.334) - (xy 107.188 131.953) - (xy 106.807 131.953) - (xy 106.807 132.334) - (xy 106.553 132.334) - (xy 106.553 131.953) - (xy 106.172 131.953) - (xy 106.172 132.334) - (xy 104.648 132.334) - (xy 104.648 131.953) - (xy 104.267 131.953) - (xy 104.267 132.334) - (xy 104.013 132.334) - (xy 104.013 131.953) - (xy 103.632 131.953) - (xy 103.632 132.334) - (xy 102.108 132.334) - (xy 102.108 131.953) - (xy 101.727 131.953) - (xy 101.727 132.334) - (xy 101.473 132.334) - (xy 101.473 131.953) - (xy 101.092 131.953) - (xy 101.092 132.334) - (xy 99.568 132.334) - (xy 99.568 131.953) - (xy 99.187 131.953) - (xy 99.187 132.334) - (xy 98.933 132.334) - (xy 98.933 131.953) - (xy 98.552 131.953) - (xy 98.552 132.334) - (xy 97.028 132.334) - (xy 97.028 131.953) - (xy 96.647 131.953) - (xy 96.647 132.334) - (xy 96.393 132.334) - (xy 96.393 131.953) - (xy 96.012 131.953) - (xy 96.012 132.334) - (xy 94.488 132.334) - (xy 94.488 131.953) - (xy 94.107 131.953) - (xy 94.107 132.334) - (xy 93.853 132.334) - (xy 93.853 131.953) - (xy 93.472 131.953) - (xy 93.472 132.334) - (xy 91.948 132.334) - (xy 91.948 131.953) - (xy 91.567 131.953) - (xy 91.567 132.334) - (xy 91.313 132.334) - (xy 91.313 131.953) - (xy 90.932 131.953) - (xy 90.932 132.334) - (xy 89.408 132.334) - (xy 89.408 131.953) - (xy 89.027 131.953) - (xy 89.027 132.334) - (xy 88.773 132.334) - (xy 88.773 131.953) - (xy 88.392 131.953) - (xy 88.392 132.334) - (xy 86.868 132.334) - (xy 86.868 131.953) - (xy 86.487 131.953) - (xy 86.487 132.334) - (xy 86.233 132.334) - (xy 86.233 131.953) - (xy 85.852 131.953) - (xy 85.852 132.334) - (xy 84.328 132.334) - (xy 84.328 131.953) - (xy 83.947 131.953) - (xy 83.947 132.334) - (xy 83.693 132.334) - (xy 83.693 131.953) - (xy 83.312 131.953) - (xy 83.312 132.334) - (xy 81.788 132.334) - (xy 81.788 131.953) - (xy 81.407 131.953) - (xy 81.407 132.334) - (xy 81.153 132.334) - (xy 81.153 131.953) - (xy 80.772 131.953) - (xy 80.772 132.334) - (xy 79.248 132.334) - (xy 79.248 131.953) - (xy 78.867 131.953) - (xy 78.867 132.334) - (xy 78.613 132.334) - (xy 78.613 131.953) - (xy 78.232 131.953) - (xy 78.232 132.334) - (xy 74.422 132.334) - (xy 74.422 131.572) - (xy 72.200481 131.572) - (xy 72.152143 131.554407) - (xy 72.126423 131.509858) - (xy 72.133478 131.462659) - (xy 72.140269 131.449331) - (xy 72.14027 131.449328) - (xy 72.142957 131.444055) - (xy 72.162922 131.318) - (xy 77.062078 131.318) - (xy 77.082043 131.444055) - (xy 77.097745 131.474872) - (xy 77.122627 131.523705) - (xy 77.139984 131.557771) - (xy 77.230229 131.648016) - (xy 77.343945 131.705957) - (xy 77.47 131.725922) - (xy 77.596055 131.705957) - (xy 77.709771 131.648016) - (xy 77.800016 131.557771) - (xy 77.817374 131.523705) - (xy 77.842255 131.474872) - (xy 77.857957 131.444055) - (xy 77.877922 131.318) - (xy 79.602078 131.318) - (xy 79.622043 131.444055) - (xy 79.637745 131.474872) - (xy 79.662627 131.523705) - (xy 79.679984 131.557771) - (xy 79.770229 131.648016) - (xy 79.883945 131.705957) - (xy 80.01 131.725922) - (xy 80.136055 131.705957) - (xy 80.249771 131.648016) - (xy 80.340016 131.557771) - (xy 80.357374 131.523705) - (xy 80.382255 131.474872) - (xy 80.397957 131.444055) - (xy 80.417922 131.318) - (xy 82.142078 131.318) - (xy 82.162043 131.444055) - (xy 82.177745 131.474872) - (xy 82.202627 131.523705) - (xy 82.219984 131.557771) - (xy 82.310229 131.648016) - (xy 82.423945 131.705957) - (xy 82.55 131.725922) - (xy 82.676055 131.705957) - (xy 82.789771 131.648016) - (xy 82.880016 131.557771) - (xy 82.897374 131.523705) - (xy 82.922255 131.474872) - (xy 82.937957 131.444055) - (xy 82.957922 131.318) - (xy 84.682078 131.318) - (xy 84.702043 131.444055) - (xy 84.717745 131.474872) - (xy 84.742627 131.523705) - (xy 84.759984 131.557771) - (xy 84.850229 131.648016) - (xy 84.963945 131.705957) - (xy 85.09 131.725922) - (xy 85.216055 131.705957) - (xy 85.329771 131.648016) - (xy 85.420016 131.557771) - (xy 85.437374 131.523705) - (xy 85.462255 131.474872) - (xy 85.477957 131.444055) - (xy 85.497922 131.318) - (xy 87.222078 131.318) - (xy 87.242043 131.444055) - (xy 87.257745 131.474872) - (xy 87.282627 131.523705) - (xy 87.299984 131.557771) - (xy 87.390229 131.648016) - (xy 87.503945 131.705957) - (xy 87.63 131.725922) - (xy 87.756055 131.705957) - (xy 87.869771 131.648016) - (xy 87.960016 131.557771) - (xy 87.977374 131.523705) - (xy 88.002255 131.474872) - (xy 88.017957 131.444055) - (xy 88.037922 131.318) - (xy 89.762078 131.318) - (xy 89.782043 131.444055) - (xy 89.797745 131.474872) - (xy 89.822627 131.523705) - (xy 89.839984 131.557771) - (xy 89.930229 131.648016) - (xy 90.043945 131.705957) - (xy 90.17 131.725922) - (xy 90.296055 131.705957) - (xy 90.409771 131.648016) - (xy 90.500016 131.557771) - (xy 90.517374 131.523705) - (xy 90.542255 131.474872) - (xy 90.557957 131.444055) - (xy 90.577922 131.318) - (xy 92.302078 131.318) - (xy 92.322043 131.444055) - (xy 92.337745 131.474872) - (xy 92.362627 131.523705) - (xy 92.379984 131.557771) - (xy 92.470229 131.648016) - (xy 92.583945 131.705957) - (xy 92.71 131.725922) - (xy 92.836055 131.705957) - (xy 92.949771 131.648016) - (xy 93.040016 131.557771) - (xy 93.057374 131.523705) - (xy 93.082255 131.474872) - (xy 93.097957 131.444055) - (xy 93.117922 131.318) - (xy 94.842078 131.318) - (xy 94.862043 131.444055) - (xy 94.877745 131.474872) - (xy 94.902627 131.523705) - (xy 94.919984 131.557771) - (xy 95.010229 131.648016) - (xy 95.123945 131.705957) - (xy 95.25 131.725922) - (xy 95.376055 131.705957) - (xy 95.489771 131.648016) - (xy 95.580016 131.557771) - (xy 95.597374 131.523705) - (xy 95.622255 131.474872) - (xy 95.637957 131.444055) - (xy 95.657922 131.318) - (xy 97.382078 131.318) - (xy 97.402043 131.444055) - (xy 97.417745 131.474872) - (xy 97.442627 131.523705) - (xy 97.459984 131.557771) - (xy 97.550229 131.648016) - (xy 97.663945 131.705957) - (xy 97.79 131.725922) - (xy 97.916055 131.705957) - (xy 98.029771 131.648016) - (xy 98.120016 131.557771) - (xy 98.137374 131.523705) - (xy 98.162255 131.474872) - (xy 98.177957 131.444055) - (xy 98.197922 131.318) - (xy 99.922078 131.318) - (xy 99.942043 131.444055) - (xy 99.957745 131.474872) - (xy 99.982627 131.523705) - (xy 99.999984 131.557771) - (xy 100.090229 131.648016) - (xy 100.203945 131.705957) - (xy 100.33 131.725922) - (xy 100.456055 131.705957) - (xy 100.569771 131.648016) - (xy 100.660016 131.557771) - (xy 100.677374 131.523705) - (xy 100.702255 131.474872) - (xy 100.717957 131.444055) - (xy 100.737922 131.318) - (xy 102.462078 131.318) - (xy 102.482043 131.444055) - (xy 102.497745 131.474872) - (xy 102.522627 131.523705) - (xy 102.539984 131.557771) - (xy 102.630229 131.648016) - (xy 102.743945 131.705957) - (xy 102.87 131.725922) - (xy 102.996055 131.705957) - (xy 103.109771 131.648016) - (xy 103.200016 131.557771) - (xy 103.217374 131.523705) - (xy 103.242255 131.474872) - (xy 103.257957 131.444055) - (xy 103.277922 131.318) - (xy 105.002078 131.318) - (xy 105.022043 131.444055) - (xy 105.037745 131.474872) - (xy 105.062627 131.523705) - (xy 105.079984 131.557771) - (xy 105.170229 131.648016) - (xy 105.283945 131.705957) - (xy 105.41 131.725922) - (xy 105.536055 131.705957) - (xy 105.649771 131.648016) - (xy 105.740016 131.557771) - (xy 105.757374 131.523705) - (xy 105.782255 131.474872) - (xy 105.797957 131.444055) - (xy 105.817922 131.318) - (xy 107.542078 131.318) - (xy 107.562043 131.444055) - (xy 107.577745 131.474872) - (xy 107.602627 131.523705) - (xy 107.619984 131.557771) - (xy 107.710229 131.648016) - (xy 107.823945 131.705957) - (xy 107.95 131.725922) - (xy 108.076055 131.705957) - (xy 108.189771 131.648016) - (xy 108.280016 131.557771) - (xy 108.297374 131.523705) - (xy 108.322255 131.474872) - (xy 108.337957 131.444055) - (xy 108.357922 131.318) - (xy 110.082078 131.318) - (xy 110.102043 131.444055) - (xy 110.117745 131.474872) - (xy 110.142627 131.523705) - (xy 110.159984 131.557771) - (xy 110.250229 131.648016) - (xy 110.363945 131.705957) - (xy 110.49 131.725922) - (xy 110.616055 131.705957) - (xy 110.729771 131.648016) - (xy 110.820016 131.557771) - (xy 110.837374 131.523705) - (xy 110.862255 131.474872) - (xy 110.877957 131.444055) - (xy 110.897922 131.318) - (xy 112.622078 131.318) - (xy 112.642043 131.444055) - (xy 112.657745 131.474872) - (xy 112.682627 131.523705) - (xy 112.699984 131.557771) - (xy 112.790229 131.648016) - (xy 112.903945 131.705957) - (xy 113.03 131.725922) - (xy 113.156055 131.705957) - (xy 113.269771 131.648016) - (xy 113.360016 131.557771) - (xy 113.377374 131.523705) - (xy 113.402255 131.474872) - (xy 113.417957 131.444055) - (xy 113.437922 131.318) - (xy 115.162078 131.318) - (xy 115.182043 131.444055) - (xy 115.197745 131.474872) - (xy 115.222627 131.523705) - (xy 115.239984 131.557771) - (xy 115.330229 131.648016) - (xy 115.443945 131.705957) - (xy 115.57 131.725922) - (xy 115.696055 131.705957) - (xy 115.809771 131.648016) - (xy 115.900016 131.557771) - (xy 115.917374 131.523705) - (xy 115.942255 131.474872) - (xy 115.957957 131.444055) - (xy 115.977922 131.318) - (xy 117.702078 131.318) - (xy 117.722043 131.444055) - (xy 117.737745 131.474872) - (xy 117.762627 131.523705) - (xy 117.779984 131.557771) - (xy 117.870229 131.648016) - (xy 117.983945 131.705957) - (xy 118.11 131.725922) - (xy 118.236055 131.705957) - (xy 118.349771 131.648016) - (xy 118.440016 131.557771) - (xy 118.457374 131.523705) - (xy 118.482255 131.474872) - (xy 118.497957 131.444055) - (xy 118.517922 131.318) - (xy 120.242078 131.318) - (xy 120.262043 131.444055) - (xy 120.277745 131.474872) - (xy 120.302627 131.523705) - (xy 120.319984 131.557771) - (xy 120.410229 131.648016) - (xy 120.523945 131.705957) - (xy 120.65 131.725922) - (xy 120.776055 131.705957) - (xy 120.889771 131.648016) - (xy 120.980016 131.557771) - (xy 120.997374 131.523705) - (xy 121.022255 131.474872) - (xy 121.037957 131.444055) - (xy 121.057922 131.318) - (xy 122.782078 131.318) - (xy 122.802043 131.444055) - (xy 122.817745 131.474872) - (xy 122.842627 131.523705) - (xy 122.859984 131.557771) - (xy 122.950229 131.648016) - (xy 123.063945 131.705957) - (xy 123.19 131.725922) - (xy 123.316055 131.705957) - (xy 123.429771 131.648016) - (xy 123.520016 131.557771) - (xy 123.537374 131.523705) - (xy 123.562255 131.474872) - (xy 123.577957 131.444055) - (xy 123.597922 131.318) - (xy 125.322078 131.318) - (xy 125.342043 131.444055) - (xy 125.357745 131.474872) - (xy 125.382627 131.523705) - (xy 125.399984 131.557771) - (xy 125.490229 131.648016) - (xy 125.603945 131.705957) - (xy 125.73 131.725922) - (xy 125.856055 131.705957) - (xy 125.969771 131.648016) - (xy 126.060016 131.557771) - (xy 126.077374 131.523705) - (xy 126.102255 131.474872) - (xy 126.117957 131.444055) - (xy 126.137922 131.318) - (xy 127.862078 131.318) - (xy 127.882043 131.444055) - (xy 127.897745 131.474872) - (xy 127.922627 131.523705) - (xy 127.939984 131.557771) - (xy 128.030229 131.648016) - (xy 128.143945 131.705957) - (xy 128.27 131.725922) - (xy 128.396055 131.705957) - (xy 128.509771 131.648016) - (xy 128.600016 131.557771) - (xy 128.617374 131.523705) - (xy 128.642255 131.474872) - (xy 128.657957 131.444055) - (xy 128.677922 131.318) - (xy 130.402078 131.318) - (xy 130.422043 131.444055) - (xy 130.437745 131.474872) - (xy 130.462627 131.523705) - (xy 130.479984 131.557771) - (xy 130.570229 131.648016) - (xy 130.683945 131.705957) - (xy 130.81 131.725922) - (xy 130.936055 131.705957) - (xy 131.049771 131.648016) - (xy 131.140016 131.557771) - (xy 131.157374 131.523705) - (xy 131.182255 131.474872) - (xy 131.197957 131.444055) - (xy 131.217922 131.318) - (xy 131.197957 131.191945) - (xy 131.197476 131.191) - (xy 131.672078 131.191) - (xy 131.692043 131.317055) - (xy 131.749984 131.430771) - (xy 131.840229 131.521016) - (xy 131.953945 131.578957) - (xy 132.08 131.598922) - (xy 132.206055 131.578957) - (xy 132.319771 131.521016) - (xy 132.410016 131.430771) - (xy 132.467476 131.318) - (xy 132.942078 131.318) - (xy 132.962043 131.444055) - (xy 132.977745 131.474872) - (xy 133.002627 131.523705) - (xy 133.019984 131.557771) - (xy 133.110229 131.648016) - (xy 133.223945 131.705957) - (xy 133.35 131.725922) - (xy 133.476055 131.705957) - (xy 133.589771 131.648016) - (xy 133.680016 131.557771) - (xy 133.697374 131.523705) - (xy 133.722255 131.474872) - (xy 133.737957 131.444055) - (xy 133.757922 131.318) - (xy 133.737957 131.191945) - (xy 133.737476 131.191) - (xy 134.212078 131.191) - (xy 134.232043 131.317055) - (xy 134.289984 131.430771) - (xy 134.380229 131.521016) - (xy 134.493945 131.578957) - (xy 134.62 131.598922) - (xy 134.746055 131.578957) - (xy 134.859771 131.521016) - (xy 134.950016 131.430771) - (xy 135.007476 131.318) - (xy 135.482078 131.318) - (xy 135.502043 131.444055) - (xy 135.517745 131.474872) - (xy 135.542627 131.523705) - (xy 135.559984 131.557771) - (xy 135.650229 131.648016) - (xy 135.763945 131.705957) - (xy 135.89 131.725922) - (xy 136.016055 131.705957) - (xy 136.129771 131.648016) - (xy 136.220016 131.557771) - (xy 136.237374 131.523705) - (xy 136.262255 131.474872) - (xy 136.277957 131.444055) - (xy 136.297922 131.318) - (xy 138.022078 131.318) - (xy 138.042043 131.444055) - (xy 138.057745 131.474872) - (xy 138.082627 131.523705) - (xy 138.099984 131.557771) - (xy 138.190229 131.648016) - (xy 138.303945 131.705957) - (xy 138.43 131.725922) - (xy 138.556055 131.705957) - (xy 138.669771 131.648016) - (xy 138.760016 131.557771) - (xy 138.777374 131.523705) - (xy 138.802255 131.474872) - (xy 138.817957 131.444055) - (xy 138.837922 131.318) - (xy 138.817957 131.191945) - (xy 138.760016 131.078229) - (xy 138.669771 130.987984) - (xy 138.556055 130.930043) - (xy 138.43 130.910078) - (xy 138.303945 130.930043) - (xy 138.190229 130.987984) - (xy 138.099984 131.078229) - (xy 138.042043 131.191945) - (xy 138.022078 131.318) - (xy 136.297922 131.318) - (xy 136.277957 131.191945) - (xy 136.220016 131.078229) - (xy 136.129771 130.987984) - (xy 136.016055 130.930043) - (xy 135.89 130.910078) - (xy 135.763945 130.930043) - (xy 135.650229 130.987984) - (xy 135.559984 131.078229) - (xy 135.502043 131.191945) - (xy 135.482078 131.318) - (xy 135.007476 131.318) - (xy 135.007957 131.317055) - (xy 135.027922 131.191) - (xy 135.007957 131.064945) - (xy 134.977079 131.004344) - (xy 134.952705 130.956506) - (xy 134.952704 130.956505) - (xy 134.950016 130.951229) - (xy 134.859771 130.860984) - (xy 134.746055 130.803043) - (xy 134.62 130.783078) - (xy 134.493945 130.803043) - (xy 134.380229 130.860984) - (xy 134.289984 130.951229) - (xy 134.287296 130.956505) - (xy 134.287295 130.956506) - (xy 134.262921 131.004344) - (xy 134.232043 131.064945) - (xy 134.212078 131.191) - (xy 133.737476 131.191) - (xy 133.680016 131.078229) - (xy 133.589771 130.987984) - (xy 133.476055 130.930043) - (xy 133.35 130.910078) - (xy 133.223945 130.930043) - (xy 133.110229 130.987984) - (xy 133.019984 131.078229) - (xy 132.962043 131.191945) - (xy 132.942078 131.318) - (xy 132.467476 131.318) - (xy 132.467957 131.317055) - (xy 132.487922 131.191) - (xy 132.467957 131.064945) - (xy 132.437079 131.004344) - (xy 132.412705 130.956506) - (xy 132.412704 130.956505) - (xy 132.410016 130.951229) - (xy 132.319771 130.860984) - (xy 132.206055 130.803043) - (xy 132.08 130.783078) - (xy 131.953945 130.803043) - (xy 131.840229 130.860984) - (xy 131.749984 130.951229) - (xy 131.747296 130.956505) - (xy 131.747295 130.956506) - (xy 131.722921 131.004344) - (xy 131.692043 131.064945) - (xy 131.672078 131.191) - (xy 131.197476 131.191) - (xy 131.140016 131.078229) - (xy 131.049771 130.987984) - (xy 130.936055 130.930043) - (xy 130.81 130.910078) - (xy 130.683945 130.930043) - (xy 130.570229 130.987984) - (xy 130.479984 131.078229) - (xy 130.422043 131.191945) - (xy 130.402078 131.318) - (xy 128.677922 131.318) - (xy 128.657957 131.191945) - (xy 128.600016 131.078229) - (xy 128.509771 130.987984) - (xy 128.396055 130.930043) - (xy 128.27 130.910078) - (xy 128.143945 130.930043) - (xy 128.030229 130.987984) - (xy 127.939984 131.078229) - (xy 127.882043 131.191945) - (xy 127.862078 131.318) - (xy 126.137922 131.318) - (xy 126.117957 131.191945) - (xy 126.060016 131.078229) - (xy 125.969771 130.987984) - (xy 125.856055 130.930043) - (xy 125.73 130.910078) - (xy 125.603945 130.930043) - (xy 125.490229 130.987984) - (xy 125.399984 131.078229) - (xy 125.342043 131.191945) - (xy 125.322078 131.318) - (xy 123.597922 131.318) - (xy 123.577957 131.191945) - (xy 123.520016 131.078229) - (xy 123.429771 130.987984) - (xy 123.316055 130.930043) - (xy 123.19 130.910078) - (xy 123.063945 130.930043) - (xy 122.950229 130.987984) - (xy 122.859984 131.078229) - (xy 122.802043 131.191945) - (xy 122.782078 131.318) - (xy 121.057922 131.318) - (xy 121.037957 131.191945) - (xy 120.980016 131.078229) - (xy 120.889771 130.987984) - (xy 120.776055 130.930043) - (xy 120.65 130.910078) - (xy 120.523945 130.930043) - (xy 120.410229 130.987984) - (xy 120.319984 131.078229) - (xy 120.262043 131.191945) - (xy 120.242078 131.318) - (xy 118.517922 131.318) - (xy 118.497957 131.191945) - (xy 118.440016 131.078229) - (xy 118.349771 130.987984) - (xy 118.236055 130.930043) - (xy 118.11 130.910078) - (xy 117.983945 130.930043) - (xy 117.870229 130.987984) - (xy 117.779984 131.078229) - (xy 117.722043 131.191945) - (xy 117.702078 131.318) - (xy 115.977922 131.318) - (xy 115.957957 131.191945) - (xy 115.900016 131.078229) - (xy 115.809771 130.987984) - (xy 115.696055 130.930043) - (xy 115.57 130.910078) - (xy 115.443945 130.930043) - (xy 115.330229 130.987984) - (xy 115.239984 131.078229) - (xy 115.182043 131.191945) - (xy 115.162078 131.318) - (xy 113.437922 131.318) - (xy 113.417957 131.191945) - (xy 113.360016 131.078229) - (xy 113.269771 130.987984) - (xy 113.156055 130.930043) - (xy 113.03 130.910078) - (xy 112.903945 130.930043) - (xy 112.790229 130.987984) - (xy 112.699984 131.078229) - (xy 112.642043 131.191945) - (xy 112.622078 131.318) - (xy 110.897922 131.318) - (xy 110.877957 131.191945) - (xy 110.820016 131.078229) - (xy 110.729771 130.987984) - (xy 110.616055 130.930043) - (xy 110.49 130.910078) - (xy 110.363945 130.930043) - (xy 110.250229 130.987984) - (xy 110.159984 131.078229) - (xy 110.102043 131.191945) - (xy 110.082078 131.318) - (xy 108.357922 131.318) - (xy 108.337957 131.191945) - (xy 108.280016 131.078229) - (xy 108.189771 130.987984) - (xy 108.076055 130.930043) - (xy 107.95 130.910078) - (xy 107.823945 130.930043) - (xy 107.710229 130.987984) - (xy 107.619984 131.078229) - (xy 107.562043 131.191945) - (xy 107.542078 131.318) - (xy 105.817922 131.318) - (xy 105.797957 131.191945) - (xy 105.740016 131.078229) - (xy 105.649771 130.987984) - (xy 105.536055 130.930043) - (xy 105.41 130.910078) - (xy 105.283945 130.930043) - (xy 105.170229 130.987984) - (xy 105.079984 131.078229) - (xy 105.022043 131.191945) - (xy 105.002078 131.318) - (xy 103.277922 131.318) - (xy 103.257957 131.191945) - (xy 103.200016 131.078229) - (xy 103.109771 130.987984) - (xy 102.996055 130.930043) - (xy 102.87 130.910078) - (xy 102.743945 130.930043) - (xy 102.630229 130.987984) - (xy 102.539984 131.078229) - (xy 102.482043 131.191945) - (xy 102.462078 131.318) - (xy 100.737922 131.318) - (xy 100.717957 131.191945) - (xy 100.660016 131.078229) - (xy 100.569771 130.987984) - (xy 100.456055 130.930043) - (xy 100.33 130.910078) - (xy 100.203945 130.930043) - (xy 100.090229 130.987984) - (xy 99.999984 131.078229) - (xy 99.942043 131.191945) - (xy 99.922078 131.318) - (xy 98.197922 131.318) - (xy 98.177957 131.191945) - (xy 98.120016 131.078229) - (xy 98.029771 130.987984) - (xy 97.916055 130.930043) - (xy 97.79 130.910078) - (xy 97.663945 130.930043) - (xy 97.550229 130.987984) - (xy 97.459984 131.078229) - (xy 97.402043 131.191945) - (xy 97.382078 131.318) - (xy 95.657922 131.318) - (xy 95.637957 131.191945) - (xy 95.580016 131.078229) - (xy 95.489771 130.987984) - (xy 95.376055 130.930043) - (xy 95.25 130.910078) - (xy 95.123945 130.930043) - (xy 95.010229 130.987984) - (xy 94.919984 131.078229) - (xy 94.862043 131.191945) - (xy 94.842078 131.318) - (xy 93.117922 131.318) - (xy 93.097957 131.191945) - (xy 93.040016 131.078229) - (xy 92.949771 130.987984) - (xy 92.836055 130.930043) - (xy 92.71 130.910078) - (xy 92.583945 130.930043) - (xy 92.470229 130.987984) - (xy 92.379984 131.078229) - (xy 92.322043 131.191945) - (xy 92.302078 131.318) - (xy 90.577922 131.318) - (xy 90.557957 131.191945) - (xy 90.500016 131.078229) - (xy 90.409771 130.987984) - (xy 90.296055 130.930043) - (xy 90.17 130.910078) - (xy 90.043945 130.930043) - (xy 89.930229 130.987984) - (xy 89.839984 131.078229) - (xy 89.782043 131.191945) - (xy 89.762078 131.318) - (xy 88.037922 131.318) - (xy 88.017957 131.191945) - (xy 87.960016 131.078229) - (xy 87.869771 130.987984) - (xy 87.756055 130.930043) - (xy 87.63 130.910078) - (xy 87.503945 130.930043) - (xy 87.390229 130.987984) - (xy 87.299984 131.078229) - (xy 87.242043 131.191945) - (xy 87.222078 131.318) - (xy 85.497922 131.318) - (xy 85.477957 131.191945) - (xy 85.420016 131.078229) - (xy 85.329771 130.987984) - (xy 85.216055 130.930043) - (xy 85.09 130.910078) - (xy 84.963945 130.930043) - (xy 84.850229 130.987984) - (xy 84.759984 131.078229) - (xy 84.702043 131.191945) - (xy 84.682078 131.318) - (xy 82.957922 131.318) - (xy 82.937957 131.191945) - (xy 82.880016 131.078229) - (xy 82.789771 130.987984) - (xy 82.676055 130.930043) - (xy 82.55 130.910078) - (xy 82.423945 130.930043) - (xy 82.310229 130.987984) - (xy 82.219984 131.078229) - (xy 82.162043 131.191945) - (xy 82.142078 131.318) - (xy 80.417922 131.318) - (xy 80.397957 131.191945) - (xy 80.340016 131.078229) - (xy 80.249771 130.987984) - (xy 80.136055 130.930043) - (xy 80.01 130.910078) - (xy 79.883945 130.930043) - (xy 79.770229 130.987984) - (xy 79.679984 131.078229) - (xy 79.622043 131.191945) - (xy 79.602078 131.318) - (xy 77.877922 131.318) - (xy 77.857957 131.191945) - (xy 77.800016 131.078229) - (xy 77.709771 130.987984) - (xy 77.596055 130.930043) - (xy 77.47 130.910078) - (xy 77.343945 130.930043) - (xy 77.230229 130.987984) - (xy 77.139984 131.078229) - (xy 77.082043 131.191945) - (xy 77.062078 131.318) - (xy 72.162922 131.318) - (xy 72.142957 131.191945) - (xy 72.085016 131.078229) - (xy 71.994771 130.987984) - (xy 71.881055 130.930043) - (xy 71.755 130.910078) - (xy 71.628945 130.930043) - (xy 71.515229 130.987984) - (xy 71.424984 131.078229) - (xy 71.367043 131.191945) - (xy 71.347078 131.318) - (xy 71.367043 131.444055) - (xy 71.36973 131.449328) - (xy 71.369731 131.449331) - (xy 71.376522 131.462659) - (xy 71.382792 131.513715) - (xy 71.354776 131.556857) - (xy 71.309519 131.572) - (xy 67.120481 131.572) - (xy 67.072143 131.554407) - (xy 67.046423 131.509858) - (xy 67.053478 131.462659) - (xy 67.060269 131.449331) - (xy 67.06027 131.449328) - (xy 67.062957 131.444055) - (xy 67.082922 131.318) - (xy 67.062957 131.191945) - (xy 67.005016 131.078229) - (xy 66.914771 130.987984) - (xy 66.801055 130.930043) - (xy 66.675 130.910078) - (xy 66.548945 130.930043) - (xy 66.435229 130.987984) - (xy 66.344984 131.078229) - (xy 66.287043 131.191945) - (xy 66.267078 131.318) - (xy 66.287043 131.444055) - (xy 66.28973 131.449328) - (xy 66.289731 131.449331) - (xy 66.296522 131.462659) - (xy 66.302792 131.513715) - (xy 66.274776 131.556857) - (xy 66.229519 131.572) - (xy 62.040481 131.572) - (xy 61.992143 131.554407) - (xy 61.966423 131.509858) - (xy 61.973478 131.462659) - (xy 61.980269 131.449331) - (xy 61.98027 131.449328) - (xy 61.982957 131.444055) - (xy 62.002922 131.318) - (xy 61.982957 131.191945) - (xy 61.925016 131.078229) - (xy 61.834771 130.987984) - (xy 61.721055 130.930043) - (xy 61.595 130.910078) - (xy 61.468945 130.930043) - (xy 61.355229 130.987984) - (xy 61.264984 131.078229) - (xy 61.207043 131.191945) - (xy 61.187078 131.318) - (xy 61.207043 131.444055) - (xy 61.20973 131.449328) - (xy 61.209731 131.449331) - (xy 61.216522 131.462659) - (xy 61.222792 131.513715) - (xy 61.194776 131.556857) - (xy 61.149519 131.572) - (xy 56.960481 131.572) - (xy 56.912143 131.554407) - (xy 56.886423 131.509858) - (xy 56.893478 131.462659) - (xy 56.900269 131.449331) - (xy 56.90027 131.449328) - (xy 56.902957 131.444055) - (xy 56.922922 131.318) - (xy 56.902957 131.191945) - (xy 56.845016 131.078229) - (xy 56.754771 130.987984) - (xy 56.641055 130.930043) - (xy 56.515 130.910078) - (xy 56.388945 130.930043) - (xy 56.275229 130.987984) - (xy 56.184984 131.078229) - (xy 56.127043 131.191945) - (xy 56.107078 131.318) - (xy 56.127043 131.444055) - (xy 56.12973 131.449328) - (xy 56.129731 131.449331) - (xy 56.136522 131.462659) - (xy 56.142792 131.513715) - (xy 56.114776 131.556857) - (xy 56.069519 131.572) - (xy 51.880481 131.572) - (xy 51.832143 131.554407) - (xy 51.806423 131.509858) - (xy 51.813478 131.462659) - (xy 51.820269 131.449331) - (xy 51.82027 131.449328) - (xy 51.822957 131.444055) - (xy 51.842922 131.318) - (xy 51.822957 131.191945) - (xy 51.765016 131.078229) - (xy 51.674771 130.987984) - (xy 51.561055 130.930043) - (xy 51.435 130.910078) - (xy 51.308945 130.930043) - (xy 51.195229 130.987984) - (xy 51.104984 131.078229) - (xy 51.047043 131.191945) - (xy 51.027078 131.318) - (xy 51.047043 131.444055) - (xy 51.04973 131.449328) - (xy 51.049731 131.449331) - (xy 51.056522 131.462659) - (xy 51.062792 131.513715) - (xy 51.034776 131.556857) - (xy 50.989519 131.572) - (xy 48.578481 131.572) - (xy 48.530143 131.554407) - (xy 48.504423 131.509858) - (xy 48.511478 131.462659) - (xy 48.518269 131.449331) - (xy 48.51827 131.449328) - (xy 48.520957 131.444055) - (xy 48.540922 131.318) - (xy 48.520957 131.191945) - (xy 48.463016 131.078229) - (xy 48.372771 130.987984) - (xy 48.259055 130.930043) - (xy 48.133 130.910078) - (xy 48.006945 130.930043) - (xy 47.893229 130.987984) - (xy 47.802984 131.078229) - (xy 47.745043 131.191945) - (xy 47.725078 131.318) - (xy 47.726004 131.323847) - (xy 47.726004 131.323848) - (xy 47.736381 131.389369) - (xy 47.726566 131.439863) - (xy 47.686589 131.472235) - (xy 47.64736 131.474872) - (xy 47.50787 131.446974) - (xy 47.488996 131.440498) - (xy 47.000911 131.196455) - (xy 46.98137 131.18237) - (xy 46.49063 130.69163) - (xy 46.476543 130.672087) - (xy 46.232502 130.184004) - (xy 46.226025 130.165127) - (xy 46.225885 130.164424) - (xy 46.198128 130.02564) - (xy 46.2059 129.974792) - (xy 46.244539 129.940835) - (xy 46.283631 129.936619) - (xy 46.349152 129.946996) - (xy 46.349153 129.946996) - (xy 46.355 129.947922) - (xy 46.481055 129.927957) - (xy 46.547776 129.893961) - (xy 46.589494 129.872705) - (xy 46.589495 129.872704) - (xy 46.594771 129.870016) - (xy 46.685016 129.779771) - (xy 46.687985 129.773945) - (xy 46.716649 129.717687) - (xy 46.742957 129.666055) - (xy 46.762922 129.54) - (xy 73.991329 129.54) - (xy 74.010331 129.684336) - (xy 74.012216 129.688888) - (xy 74.012217 129.68889) - (xy 74.02557 129.721126) - (xy 74.066043 129.818835) - (xy 74.069039 129.822739) - (xy 74.069041 129.822743) - (xy 74.151669 129.930426) - (xy 74.154667 129.934333) - (xy 74.158574 129.937331) - (xy 74.175085 129.95) - (xy 74.270164 130.022957) - (xy 74.293698 130.032705) - (xy 74.40011 130.076783) - (xy 74.400112 130.076784) - (xy 74.404664 130.078669) - (xy 74.549 130.097671) - (xy 74.693336 130.078669) - (xy 74.697888 130.076784) - (xy 74.69789 130.076783) - (xy 74.804302 130.032705) - (xy 74.827836 130.022957) - (xy 74.922915 129.95) - (xy 74.939426 129.937331) - (xy 74.943333 129.934333) - (xy 74.946331 129.930426) - (xy 75.028959 129.822743) - (xy 75.028961 129.822739) - (xy 75.031957 129.818835) - (xy 75.07243 129.721126) - (xy 75.085783 129.68889) - (xy 75.085784 129.688888) - (xy 75.087669 129.684336) - (xy 75.106671 129.54) - (xy 75.642329 129.54) - (xy 75.661331 129.684336) - (xy 75.663216 129.688888) - (xy 75.663217 129.68889) - (xy 75.67657 129.721126) - (xy 75.717043 129.818835) - (xy 75.720039 129.822739) - (xy 75.720041 129.822743) - (xy 75.802669 129.930426) - (xy 75.805667 129.934333) - (xy 75.809574 129.937331) - (xy 75.826085 129.95) - (xy 75.921164 130.022957) - (xy 75.944698 130.032705) - (xy 76.05111 130.076783) - (xy 76.051112 130.076784) - (xy 76.055664 130.078669) - (xy 76.2 130.097671) - (xy 76.344336 130.078669) - (xy 76.348888 130.076784) - (xy 76.34889 130.076783) - (xy 76.455302 130.032705) - (xy 76.478836 130.022957) - (xy 76.573915 129.95) - (xy 76.590426 129.937331) - (xy 76.594333 129.934333) - (xy 76.597331 129.930426) - (xy 76.620678 129.9) - (xy 80.342078 129.9) - (xy 80.362043 130.026055) - (xy 80.368579 130.038883) - (xy 80.407765 130.115789) - (xy 80.419984 130.139771) - (xy 80.510229 130.230016) - (xy 80.515505 130.232704) - (xy 80.515506 130.232705) - (xy 80.56318 130.256996) - (xy 80.623945 130.287957) - (xy 80.75 130.307922) - (xy 80.876055 130.287957) - (xy 80.93682 130.256996) - (xy 80.984494 130.232705) - (xy 80.984495 130.232704) - (xy 80.989771 130.230016) - (xy 81.080016 130.139771) - (xy 81.092236 130.115789) - (xy 81.131421 130.038883) - (xy 81.137957 130.026055) - (xy 81.150003 129.95) - (xy 86.442078 129.95) - (xy 86.462043 130.076055) - (xy 86.491819 130.134494) - (xy 86.517046 130.184004) - (xy 86.519984 130.189771) - (xy 86.610229 130.280016) - (xy 86.615505 130.282704) - (xy 86.615506 130.282705) - (xy 86.638381 130.29436) - (xy 86.723945 130.337957) - (xy 86.85 130.357922) - (xy 86.976055 130.337957) - (xy 87.061619 130.29436) - (xy 87.084494 130.282705) - (xy 87.084495 130.282704) - (xy 87.089771 130.280016) - (xy 87.180016 130.189771) - (xy 87.182955 130.184004) - (xy 87.208181 130.134494) - (xy 87.237957 130.076055) - (xy 87.257922 129.95) - (xy 87.255916 129.937331) - (xy 87.238883 129.829792) - (xy 87.237957 129.823945) - (xy 87.180016 129.710229) - (xy 87.119787 129.65) - (xy 87.642078 129.65) - (xy 87.643004 129.655847) - (xy 87.649071 129.694151) - (xy 87.662043 129.776055) - (xy 87.719984 129.889771) - (xy 87.810229 129.980016) - (xy 87.815505 129.982704) - (xy 87.815506 129.982705) - (xy 87.863344 130.007079) - (xy 87.923945 130.037957) - (xy 88.05 130.057922) - (xy 88.176055 130.037957) - (xy 88.236656 130.007079) - (xy 88.284494 129.982705) - (xy 88.284495 129.982704) - (xy 88.289771 129.980016) - (xy 88.380016 129.889771) - (xy 88.40028 129.85) - (xy 88.992078 129.85) - (xy 89.012043 129.976055) - (xy 89.069984 130.089771) - (xy 89.160229 130.180016) - (xy 89.165505 130.182704) - (xy 89.165506 130.182705) - (xy 89.213344 130.207079) - (xy 89.273945 130.237957) - (xy 89.4 130.257922) - (xy 89.526055 130.237957) - (xy 89.586656 130.207079) - (xy 89.634494 130.182705) - (xy 89.634495 130.182704) - (xy 89.639771 130.180016) - (xy 89.730016 130.089771) - (xy 89.787957 129.976055) - (xy 89.807922 129.85) - (xy 91.542078 129.85) - (xy 91.562043 129.976055) - (xy 91.619984 130.089771) - (xy 91.710229 130.180016) - (xy 91.715505 130.182704) - (xy 91.715506 130.182705) - (xy 91.763344 130.207079) - (xy 91.823945 130.237957) - (xy 91.95 130.257922) - (xy 92.076055 130.237957) - (xy 92.136656 130.207079) - (xy 92.184494 130.182705) - (xy 92.184495 130.182704) - (xy 92.189771 130.180016) - (xy 92.280016 130.089771) - (xy 92.337957 129.976055) - (xy 92.350003 129.9) - (xy 94.092078 129.9) - (xy 94.112043 130.026055) - (xy 94.118579 130.038883) - (xy 94.157765 130.115789) - (xy 94.169984 130.139771) - (xy 94.260229 130.230016) - (xy 94.265505 130.232704) - (xy 94.265506 130.232705) - (xy 94.31318 130.256996) - (xy 94.373945 130.287957) - (xy 94.5 130.307922) - (xy 94.626055 130.287957) - (xy 94.68682 130.256996) - (xy 94.734494 130.232705) - (xy 94.734495 130.232704) - (xy 94.739771 130.230016) - (xy 94.830016 130.139771) - (xy 94.842236 130.115789) - (xy 94.881421 130.038883) - (xy 94.887957 130.026055) - (xy 94.907922 129.9) - (xy 94.887957 129.773945) - (xy 94.85028 129.7) - (xy 101.692078 129.7) - (xy 101.712043 129.826055) - (xy 101.724244 129.85) - (xy 101.765223 129.930426) - (xy 101.769984 129.939771) - (xy 101.860229 130.030016) - (xy 101.865505 130.032704) - (xy 101.865506 130.032705) - (xy 101.91318 130.056996) - (xy 101.973945 130.087957) - (xy 102.1 130.107922) - (xy 102.226055 130.087957) - (xy 102.28682 130.056996) - (xy 102.334494 130.032705) - (xy 102.334495 130.032704) - (xy 102.339771 130.030016) - (xy 102.430016 129.939771) - (xy 102.434778 129.930426) - (xy 102.475756 129.85) - (xy 102.487957 129.826055) - (xy 102.507922 129.7) - (xy 102.506163 129.68889) - (xy 102.488883 129.579792) - (xy 102.487957 129.573945) - (xy 102.470661 129.54) - (xy 114.885329 129.54) - (xy 114.904331 129.684336) - (xy 114.906216 129.688888) - (xy 114.906217 129.68889) - (xy 114.91957 129.721126) - (xy 114.960043 129.818835) - (xy 114.963039 129.822739) - (xy 114.963041 129.822743) - (xy 115.045669 129.930426) - (xy 115.048667 129.934333) - (xy 115.052574 129.937331) - (xy 115.069085 129.95) - (xy 115.164164 130.022957) - (xy 115.187698 130.032705) - (xy 115.29411 130.076783) - (xy 115.294112 130.076784) - (xy 115.298664 130.078669) - (xy 115.443 130.097671) - (xy 115.587336 130.078669) - (xy 115.591888 130.076784) - (xy 115.59189 130.076783) - (xy 115.698302 130.032705) - (xy 115.721836 130.022957) - (xy 115.816915 129.95) - (xy 115.833426 129.937331) - (xy 115.837333 129.934333) - (xy 115.840331 129.930426) - (xy 115.922959 129.822743) - (xy 115.922961 129.822739) - (xy 115.925957 129.818835) - (xy 115.96643 129.721126) - (xy 115.979783 129.68889) - (xy 115.979784 129.688888) - (xy 115.981669 129.684336) - (xy 116.000671 129.54) - (xy 116.536329 129.54) - (xy 116.555331 129.684336) - (xy 116.557216 129.688888) - (xy 116.557217 129.68889) - (xy 116.57057 129.721126) - (xy 116.611043 129.818835) - (xy 116.614039 129.822739) - (xy 116.614041 129.822743) - (xy 116.696669 129.930426) - (xy 116.699667 129.934333) - (xy 116.703574 129.937331) - (xy 116.720085 129.95) - (xy 116.815164 130.022957) - (xy 116.838698 130.032705) - (xy 116.94511 130.076783) - (xy 116.945112 130.076784) - (xy 116.949664 130.078669) - (xy 117.094 130.097671) - (xy 117.238336 130.078669) - (xy 117.242888 130.076784) - (xy 117.24289 130.076783) - (xy 117.349302 130.032705) - (xy 117.372836 130.022957) - (xy 117.467915 129.95) - (xy 117.484426 129.937331) - (xy 117.488333 129.934333) - (xy 117.491331 129.930426) - (xy 117.573959 129.822743) - (xy 117.573961 129.822739) - (xy 117.576957 129.818835) - (xy 117.61743 129.721126) - (xy 117.630783 129.68889) - (xy 117.630784 129.688888) - (xy 117.632669 129.684336) - (xy 117.651671 129.54) - (xy 118.568329 129.54) - (xy 118.587331 129.684336) - (xy 118.589216 129.688888) - (xy 118.589217 129.68889) - (xy 118.60257 129.721126) - (xy 118.643043 129.818835) - (xy 118.646039 129.822739) - (xy 118.646041 129.822743) - (xy 118.728669 129.930426) - (xy 118.731667 129.934333) - (xy 118.735574 129.937331) - (xy 118.752085 129.95) - (xy 118.847164 130.022957) - (xy 118.870698 130.032705) - (xy 118.97711 130.076783) - (xy 118.977112 130.076784) - (xy 118.981664 130.078669) - (xy 119.126 130.097671) - (xy 119.270336 130.078669) - (xy 119.274888 130.076784) - (xy 119.27489 130.076783) - (xy 119.381302 130.032705) - (xy 119.404836 130.022957) - (xy 119.499915 129.95) - (xy 119.516426 129.937331) - (xy 119.520333 129.934333) - (xy 119.523331 129.930426) - (xy 119.605959 129.822743) - (xy 119.605961 129.822739) - (xy 119.608957 129.818835) - (xy 119.64943 129.721126) - (xy 119.662783 129.68889) - (xy 119.662784 129.688888) - (xy 119.664669 129.684336) - (xy 119.683671 129.54) - (xy 120.219329 129.54) - (xy 120.238331 129.684336) - (xy 120.240216 129.688888) - (xy 120.240217 129.68889) - (xy 120.25357 129.721126) - (xy 120.294043 129.818835) - (xy 120.297039 129.822739) - (xy 120.297041 129.822743) - (xy 120.379669 129.930426) - (xy 120.382667 129.934333) - (xy 120.386574 129.937331) - (xy 120.403085 129.95) - (xy 120.498164 130.022957) - (xy 120.521698 130.032705) - (xy 120.62811 130.076783) - (xy 120.628112 130.076784) - (xy 120.632664 130.078669) - (xy 120.777 130.097671) - (xy 120.921336 130.078669) - (xy 120.925888 130.076784) - (xy 120.92589 130.076783) - (xy 121.032302 130.032705) - (xy 121.055836 130.022957) - (xy 121.150915 129.95) - (xy 121.167426 129.937331) - (xy 121.171333 129.934333) - (xy 121.174331 129.930426) - (xy 121.256959 129.822743) - (xy 121.256961 129.822739) - (xy 121.259957 129.818835) - (xy 121.30043 129.721126) - (xy 121.313783 129.68889) - (xy 121.313784 129.688888) - (xy 121.315669 129.684336) - (xy 121.334671 129.54) - (xy 134.951329 129.54) - (xy 134.970331 129.684336) - (xy 134.972216 129.688888) - (xy 134.972217 129.68889) - (xy 134.98557 129.721126) - (xy 135.026043 129.818835) - (xy 135.029039 129.822739) - (xy 135.029041 129.822743) - (xy 135.111669 129.930426) - (xy 135.114667 129.934333) - (xy 135.118574 129.937331) - (xy 135.135085 129.95) - (xy 135.230164 130.022957) - (xy 135.253698 130.032705) - (xy 135.36011 130.076783) - (xy 135.360112 130.076784) - (xy 135.364664 130.078669) - (xy 135.509 130.097671) - (xy 135.653336 130.078669) - (xy 135.657888 130.076784) - (xy 135.65789 130.076783) - (xy 135.764302 130.032705) - (xy 135.787836 130.022957) - (xy 135.882915 129.95) - (xy 135.899426 129.937331) - (xy 135.903333 129.934333) - (xy 135.906331 129.930426) - (xy 135.988959 129.822743) - (xy 135.988961 129.822739) - (xy 135.991957 129.818835) - (xy 136.002244 129.794) - (xy 136.24006 129.794) - (xy 136.240472 129.79792) - (xy 136.256141 129.946996) - (xy 136.260163 129.985266) - (xy 136.261381 129.989014) - (xy 136.261381 129.989015) - (xy 136.273022 130.024841) - (xy 136.319593 130.168173) - (xy 136.326431 130.180016) - (xy 136.413782 130.331314) - (xy 136.413784 130.331317) - (xy 136.415753 130.334727) - (xy 136.419495 130.338883) - (xy 136.541804 130.474722) - (xy 136.541807 130.474725) - (xy 136.54444 130.477649) - (xy 136.564194 130.492001) - (xy 136.696843 130.588376) - (xy 136.696846 130.588378) - (xy 136.70003 130.590691) - (xy 136.875723 130.668915) - (xy 137.06384 130.7089) - (xy 137.25616 130.7089) - (xy 137.444277 130.668915) - (xy 137.61997 130.590691) - (xy 137.623154 130.588378) - (xy 137.623157 130.588376) - (xy 137.755806 130.492001) - (xy 137.77556 130.477649) - (xy 137.778193 130.474725) - (xy 137.778196 130.474722) - (xy 137.900505 130.338883) - (xy 137.904247 130.334727) - (xy 137.906216 130.331317) - (xy 137.906218 130.331314) - (xy 137.993569 130.180016) - (xy 138.000407 130.168173) - (xy 138.046978 130.024841) - (xy 138.058619 129.989015) - (xy 138.058619 129.989014) - (xy 138.059837 129.985266) - (xy 138.06386 129.946996) - (xy 138.079528 129.79792) - (xy 138.07994 129.794) - (xy 138.076148 129.757922) - (xy 138.060249 129.606652) - (xy 138.060249 129.60665) - (xy 138.059837 129.602734) - (xy 138.056987 129.593961) - (xy 138.029606 129.509691) - (xy 139.304558 129.509691) - (xy 139.304783 129.513125) - (xy 139.304783 129.513128) - (xy 139.310081 129.593961) - (xy 139.318416 129.721126) - (xy 139.370573 129.926495) - (xy 139.415043 130.022957) - (xy 139.449487 130.097671) - (xy 139.459282 130.118919) - (xy 139.581572 130.291956) - (xy 139.733348 130.43981) - (xy 139.736208 130.441721) - (xy 139.906666 130.555618) - (xy 139.906671 130.555621) - (xy 139.909527 130.557529) - (xy 139.912689 130.558888) - (xy 139.91269 130.558888) - (xy 140.101039 130.639809) - (xy 140.101044 130.639811) - (xy 140.104208 130.64117) - (xy 140.107564 130.641929) - (xy 140.107569 130.641931) - (xy 140.307512 130.687174) - (xy 140.307515 130.687174) - (xy 140.310872 130.687934) - (xy 140.422956 130.692337) - (xy 140.519153 130.696117) - (xy 140.519156 130.696117) - (xy 140.522597 130.696252) - (xy 140.526002 130.695758) - (xy 140.526007 130.695758) - (xy 140.70549 130.669734) - (xy 140.732292 130.665848) - (xy 140.809001 130.639809) - (xy 140.929673 130.598847) - (xy 140.929676 130.598845) - (xy 140.932936 130.597739) - (xy 141.117807 130.494205) - (xy 141.280716 130.358716) - (xy 141.416205 130.195807) - (xy 141.425049 130.180016) - (xy 141.506111 130.03527) - (xy 141.519739 130.010936) - (xy 141.52979 129.981328) - (xy 141.586738 129.813562) - (xy 141.587848 129.810292) - (xy 141.618252 129.600597) - (xy 141.619839 129.54) - (xy 141.619391 129.535116) - (xy 141.606158 129.39111) - (xy 141.600451 129.329001) - (xy 141.542936 129.125068) - (xy 141.535679 129.110351) - (xy 141.48469 129.006957) - (xy 141.44922 128.935031) - (xy 141.322442 128.765254) - (xy 141.166848 128.621425) - (xy 141.141709 128.605563) - (xy 141.04459 128.544286) - (xy 140.987648 128.508358) - (xy 140.841373 128.45) - (xy 140.794053 128.431121) - (xy 140.79405 128.43112) - (xy 140.790844 128.429841) - (xy 140.787463 128.429168) - (xy 140.787458 128.429167) - (xy 140.58641 128.389177) - (xy 140.586411 128.389177) - (xy 140.583027 128.388504) - (xy 140.447939 128.386735) - (xy 140.374599 128.385775) - (xy 140.374598 128.385775) - (xy 140.371157 128.38573) - (xy 140.367765 128.386313) - (xy 140.367763 128.386313) - (xy 140.165721 128.42103) - (xy 140.165719 128.42103) - (xy 140.162329 128.421613) - (xy 139.963537 128.494952) - (xy 139.781439 128.603289) - (xy 139.778846 128.605563) - (xy 139.633868 128.732705) - (xy 139.622133 128.742996) - (xy 139.619999 128.745702) - (xy 139.619997 128.745705) - (xy 139.49903 128.899153) - (xy 139.490955 128.909396) - (xy 139.489352 128.912444) - (xy 139.48935 128.912446) - (xy 139.396521 129.088883) - (xy 139.392296 129.096914) - (xy 139.391276 129.100199) - (xy 139.334052 129.284494) - (xy 139.329463 129.299272) - (xy 139.329058 129.302692) - (xy 139.329058 129.302693) - (xy 139.326516 129.324174) - (xy 139.304558 129.509691) - (xy 138.029606 129.509691) - (xy 138.004591 129.432705) - (xy 138.000407 129.419827) - (xy 137.963468 129.355847) - (xy 137.906218 129.256686) - (xy 137.906216 129.256683) - (xy 137.904247 129.253273) - (xy 137.82728 129.167792) - (xy 137.778196 129.113278) - (xy 137.778193 129.113275) - (xy 137.77556 129.110351) - (xy 137.699594 129.055159) - (xy 137.623157 128.999624) - (xy 137.623154 128.999622) - (xy 137.61997 128.997309) - (xy 137.444277 128.919085) - (xy 137.25616 128.8791) - (xy 137.06384 128.8791) - (xy 136.875723 128.919085) - (xy 136.70003 128.997309) - (xy 136.696846 128.999622) - (xy 136.696843 128.999624) - (xy 136.620406 129.055159) - (xy 136.54444 129.110351) - (xy 136.541807 129.113275) - (xy 136.541804 129.113278) - (xy 136.49272 129.167792) - (xy 136.415753 129.253273) - (xy 136.413784 129.256683) - (xy 136.413782 129.256686) - (xy 136.356532 129.355847) - (xy 136.319593 129.419827) - (xy 136.315409 129.432705) - (xy 136.263014 129.593961) - (xy 136.260163 129.602734) - (xy 136.259751 129.60665) - (xy 136.259751 129.606652) - (xy 136.243852 129.757922) - (xy 136.24006 129.794) - (xy 136.002244 129.794) - (xy 136.03243 129.721126) - (xy 136.045783 129.68889) - (xy 136.045784 129.688888) - (xy 136.047669 129.684336) - (xy 136.066671 129.54) - (xy 136.047669 129.395664) - (xy 136.041188 129.380016) - (xy 135.993841 129.265714) - (xy 135.991957 129.261165) - (xy 135.988961 129.257261) - (xy 135.988959 129.257257) - (xy 135.906331 129.149574) - (xy 135.903333 129.145667) - (xy 135.885624 129.132078) - (xy 135.791743 129.060041) - (xy 135.787836 129.057043) - (xy 135.697161 129.019484) - (xy 135.65789 129.003217) - (xy 135.657888 129.003216) - (xy 135.653336 129.001331) - (xy 135.509 128.982329) - (xy 135.364664 129.001331) - (xy 135.360112 129.003216) - (xy 135.36011 129.003217) - (xy 135.295413 129.030016) - (xy 135.230165 129.057043) - (xy 135.226261 129.060039) - (xy 135.226257 129.060041) - (xy 135.13117 129.133004) - (xy 135.114667 129.145667) - (xy 135.111669 129.149574) - (xy 135.029041 129.257257) - (xy 135.029039 129.257261) - (xy 135.026043 129.261165) - (xy 135.024159 129.265714) - (xy 134.976813 129.380016) - (xy 134.970331 129.395664) - (xy 134.951329 129.54) - (xy 121.334671 129.54) - (xy 121.315669 129.395664) - (xy 121.309188 129.380016) - (xy 121.261841 129.265714) - (xy 121.259957 129.261165) - (xy 121.256961 129.257261) - (xy 121.256959 129.257257) - (xy 121.174331 129.149574) - (xy 121.171333 129.145667) - (xy 121.153624 129.132078) - (xy 121.059743 129.060041) - (xy 121.055836 129.057043) - (xy 120.965161 129.019484) - (xy 120.92589 129.003217) - (xy 120.925888 129.003216) - (xy 120.921336 129.001331) - (xy 120.777 128.982329) - (xy 120.632664 129.001331) - (xy 120.628112 129.003216) - (xy 120.62811 129.003217) - (xy 120.563413 129.030016) - (xy 120.498165 129.057043) - (xy 120.494261 129.060039) - (xy 120.494257 129.060041) - (xy 120.39917 129.133004) - (xy 120.382667 129.145667) - (xy 120.379669 129.149574) - (xy 120.297041 129.257257) - (xy 120.297039 129.257261) - (xy 120.294043 129.261165) - (xy 120.292159 129.265714) - (xy 120.244813 129.380016) - (xy 120.238331 129.395664) - (xy 120.219329 129.54) - (xy 119.683671 129.54) - (xy 119.664669 129.395664) - (xy 119.658188 129.380016) - (xy 119.610841 129.265714) - (xy 119.608957 129.261165) - (xy 119.605961 129.257261) - (xy 119.605959 129.257257) - (xy 119.523331 129.149574) - (xy 119.520333 129.145667) - (xy 119.502624 129.132078) - (xy 119.408743 129.060041) - (xy 119.404836 129.057043) - (xy 119.314161 129.019484) - (xy 119.27489 129.003217) - (xy 119.274888 129.003216) - (xy 119.270336 129.001331) - (xy 119.126 128.982329) - (xy 118.981664 129.001331) - (xy 118.977112 129.003216) - (xy 118.97711 129.003217) - (xy 118.912413 129.030016) - (xy 118.847165 129.057043) - (xy 118.843261 129.060039) - (xy 118.843257 129.060041) - (xy 118.74817 129.133004) - (xy 118.731667 129.145667) - (xy 118.728669 129.149574) - (xy 118.646041 129.257257) - (xy 118.646039 129.257261) - (xy 118.643043 129.261165) - (xy 118.641159 129.265714) - (xy 118.593813 129.380016) - (xy 118.587331 129.395664) - (xy 118.568329 129.54) - (xy 117.651671 129.54) - (xy 117.632669 129.395664) - (xy 117.626188 129.380016) - (xy 117.578841 129.265714) - (xy 117.576957 129.261165) - (xy 117.573961 129.257261) - (xy 117.573959 129.257257) - (xy 117.491331 129.149574) - (xy 117.488333 129.145667) - (xy 117.470624 129.132078) - (xy 117.376743 129.060041) - (xy 117.372836 129.057043) - (xy 117.282161 129.019484) - (xy 117.24289 129.003217) - (xy 117.242888 129.003216) - (xy 117.238336 129.001331) - (xy 117.094 128.982329) - (xy 116.949664 129.001331) - (xy 116.945112 129.003216) - (xy 116.94511 129.003217) - (xy 116.880413 129.030016) - (xy 116.815165 129.057043) - (xy 116.811261 129.060039) - (xy 116.811257 129.060041) - (xy 116.71617 129.133004) - (xy 116.699667 129.145667) - (xy 116.696669 129.149574) - (xy 116.614041 129.257257) - (xy 116.614039 129.257261) - (xy 116.611043 129.261165) - (xy 116.609159 129.265714) - (xy 116.561813 129.380016) - (xy 116.555331 129.395664) - (xy 116.536329 129.54) - (xy 116.000671 129.54) - (xy 115.981669 129.395664) - (xy 115.975188 129.380016) - (xy 115.927841 129.265714) - (xy 115.925957 129.261165) - (xy 115.922961 129.257261) - (xy 115.922959 129.257257) - (xy 115.840331 129.149574) - (xy 115.837333 129.145667) - (xy 115.819624 129.132078) - (xy 115.725743 129.060041) - (xy 115.721836 129.057043) - (xy 115.631161 129.019484) - (xy 115.59189 129.003217) - (xy 115.591888 129.003216) - (xy 115.587336 129.001331) - (xy 115.443 128.982329) - (xy 115.298664 129.001331) - (xy 115.294112 129.003216) - (xy 115.29411 129.003217) - (xy 115.229413 129.030016) - (xy 115.164165 129.057043) - (xy 115.160261 129.060039) - (xy 115.160257 129.060041) - (xy 115.06517 129.133004) - (xy 115.048667 129.145667) - (xy 115.045669 129.149574) - (xy 114.963041 129.257257) - (xy 114.963039 129.257261) - (xy 114.960043 129.261165) - (xy 114.958159 129.265714) - (xy 114.910813 129.380016) - (xy 114.904331 129.395664) - (xy 114.885329 129.54) - (xy 102.470661 129.54) - (xy 102.442775 129.48527) - (xy 102.432705 129.465506) - (xy 102.432704 129.465505) - (xy 102.430016 129.460229) - (xy 102.339771 129.369984) - (xy 102.312026 129.355847) - (xy 102.252823 129.325682) - (xy 102.226055 129.312043) - (xy 102.151464 129.300229) - (xy 102.105847 129.293004) - (xy 102.1 129.292078) - (xy 102.094153 129.293004) - (xy 102.048536 129.300229) - (xy 101.973945 129.312043) - (xy 101.947177 129.325682) - (xy 101.887975 129.355847) - (xy 101.860229 129.369984) - (xy 101.769984 129.460229) - (xy 101.767296 129.465505) - (xy 101.767295 129.465506) - (xy 101.757225 129.48527) - (xy 101.712043 129.573945) - (xy 101.711117 129.579792) - (xy 101.693838 129.68889) - (xy 101.692078 129.7) - (xy 94.85028 129.7) - (xy 94.8473 129.694151) - (xy 94.832705 129.665506) - (xy 94.832704 129.665505) - (xy 94.830016 129.660229) - (xy 94.739771 129.569984) - (xy 94.72946 129.56473) - (xy 94.660889 129.529792) - (xy 94.626055 129.512043) - (xy 94.5 129.492078) - (xy 94.373945 129.512043) - (xy 94.339111 129.529792) - (xy 94.270541 129.56473) - (xy 94.260229 129.569984) - (xy 94.169984 129.660229) - (xy 94.167296 129.665505) - (xy 94.167295 129.665506) - (xy 94.1527 129.694151) - (xy 94.112043 129.773945) - (xy 94.092078 129.9) - (xy 92.350003 129.9) - (xy 92.357922 129.85) - (xy 92.337957 129.723945) - (xy 92.305492 129.660229) - (xy 92.282705 129.615506) - (xy 92.282704 129.615505) - (xy 92.280016 129.610229) - (xy 92.189771 129.519984) - (xy 92.17946 129.51473) - (xy 92.102574 129.475555) - (xy 92.076055 129.462043) - (xy 91.95 129.442078) - (xy 91.823945 129.462043) - (xy 91.797426 129.475555) - (xy 91.720541 129.51473) - (xy 91.710229 129.519984) - (xy 91.619984 129.610229) - (xy 91.617296 129.615505) - (xy 91.617295 129.615506) - (xy 91.594508 129.660229) - (xy 91.562043 129.723945) - (xy 91.542078 129.85) - (xy 89.807922 129.85) - (xy 89.787957 129.723945) - (xy 89.755492 129.660229) - (xy 89.732705 129.615506) - (xy 89.732704 129.615505) - (xy 89.730016 129.610229) - (xy 89.639771 129.519984) - (xy 89.62946 129.51473) - (xy 89.552574 129.475555) - (xy 89.526055 129.462043) - (xy 89.4 129.442078) - (xy 89.273945 129.462043) - (xy 89.247426 129.475555) - (xy 89.170541 129.51473) - (xy 89.160229 129.519984) - (xy 89.069984 129.610229) - (xy 89.067296 129.615505) - (xy 89.067295 129.615506) - (xy 89.044508 129.660229) - (xy 89.012043 129.723945) - (xy 88.992078 129.85) - (xy 88.40028 129.85) - (xy 88.437957 129.776055) - (xy 88.450929 129.694151) - (xy 88.456996 129.655847) - (xy 88.457922 129.65) - (xy 88.437957 129.523945) - (xy 88.386817 129.423576) - (xy 88.382705 129.415506) - (xy 88.382704 129.415505) - (xy 88.380016 129.410229) - (xy 88.319787 129.35) - (xy 89.892078 129.35) - (xy 89.912043 129.476055) - (xy 89.918579 129.488883) - (xy 89.959234 129.568672) - (xy 89.969984 129.589771) - (xy 90.060229 129.680016) - (xy 90.065505 129.682704) - (xy 90.065506 129.682705) - (xy 90.09945 129.7) - (xy 90.173945 129.737957) - (xy 90.179792 129.738883) - (xy 90.290997 129.756496) - (xy 90.3 129.757922) - (xy 90.309004 129.756496) - (xy 90.420208 129.738883) - (xy 90.426055 129.737957) - (xy 90.50055 129.7) - (xy 90.534494 129.682705) - (xy 90.534495 129.682704) - (xy 90.539771 129.680016) - (xy 90.630016 129.589771) - (xy 90.640767 129.568672) - (xy 90.681421 129.488883) - (xy 90.687957 129.476055) - (xy 90.707922 129.35) - (xy 90.687957 129.223945) - (xy 90.644927 129.139494) - (xy 90.632705 129.115506) - (xy 90.632704 129.115505) - (xy 90.630016 129.110229) - (xy 90.569787 129.05) - (xy 92.142078 129.05) - (xy 92.143004 129.055847) - (xy 92.144186 129.063312) - (xy 92.162043 129.176055) - (xy 92.16473 129.181328) - (xy 92.205409 129.261165) - (xy 92.219984 129.289771) - (xy 92.310229 129.380016) - (xy 92.423945 129.437957) - (xy 92.55 129.457922) - (xy 92.676055 129.437957) - (xy 92.789771 129.380016) - (xy 92.880016 129.289771) - (xy 92.894592 129.261165) - (xy 92.93527 129.181328) - (xy 92.937957 129.176055) - (xy 92.950003 129.1) - (xy 102.742078 129.1) - (xy 102.762043 129.226055) - (xy 102.78038 129.262043) - (xy 102.816246 129.332434) - (xy 102.819984 129.339771) - (xy 102.910229 129.430016) - (xy 102.915505 129.432704) - (xy 102.915506 129.432705) - (xy 102.933902 129.442078) - (xy 103.023945 129.487957) - (xy 103.15 129.507922) - (xy 103.276055 129.487957) - (xy 103.366098 129.442078) - (xy 103.384494 129.432705) - (xy 103.384495 129.432704) - (xy 103.389771 129.430016) - (xy 103.480016 129.339771) - (xy 103.483755 129.332434) - (xy 103.51962 129.262043) - (xy 103.537957 129.226055) - (xy 103.557922 129.1) - (xy 103.537957 128.973945) - (xy 103.505068 128.909396) - (xy 103.482705 128.865506) - (xy 103.482704 128.865505) - (xy 103.480016 128.860229) - (xy 103.389771 128.769984) - (xy 103.375896 128.762914) - (xy 103.321641 128.73527) - (xy 103.276055 128.712043) - (xy 103.200018 128.7) - (xy 104.792078 128.7) - (xy 104.793004 128.705847) - (xy 104.800764 128.754841) - (xy 104.812043 128.826055) - (xy 104.832144 128.865506) - (xy 104.859234 128.918672) - (xy 104.869984 128.939771) - (xy 104.960229 129.030016) - (xy 104.965505 129.032704) - (xy 104.965506 129.032705) - (xy 104.99945 129.05) - (xy 105.073945 129.087957) - (xy 105.079792 129.088883) - (xy 105.186899 129.105847) - (xy 105.2 129.107922) - (xy 105.213102 129.105847) - (xy 105.320208 129.088883) - (xy 105.326055 129.087957) - (xy 105.40055 129.05) - (xy 105.434494 129.032705) - (xy 105.434495 129.032704) - (xy 105.439771 129.030016) - (xy 105.530016 128.939771) - (xy 105.540767 128.918672) - (xy 105.547733 128.905) - (xy 125.195078 128.905) - (xy 125.215043 129.031055) - (xy 125.21773 129.036328) - (xy 125.266517 129.132078) - (xy 125.272984 129.144771) - (xy 125.363229 129.235016) - (xy 125.368505 129.237704) - (xy 125.368506 129.237705) - (xy 125.414455 129.261117) - (xy 125.476945 129.292957) - (xy 125.482792 129.293883) - (xy 125.591604 129.311117) - (xy 125.603 129.312922) - (xy 125.614397 129.311117) - (xy 125.723208 129.293883) - (xy 125.729055 129.292957) - (xy 125.791545 129.261117) - (xy 125.837494 129.237705) - (xy 125.837495 129.237704) - (xy 125.842771 129.235016) - (xy 125.933016 129.144771) - (xy 125.939484 129.132078) - (xy 125.98827 129.036328) - (xy 125.990957 129.031055) - (xy 126.010922 128.905) - (xy 130.275078 128.905) - (xy 130.295043 129.031055) - (xy 130.29773 129.036328) - (xy 130.346517 129.132078) - (xy 130.352984 129.144771) - (xy 130.443229 129.235016) - (xy 130.448505 129.237704) - (xy 130.448506 129.237705) - (xy 130.494455 129.261117) - (xy 130.556945 129.292957) - (xy 130.562792 129.293883) - (xy 130.671604 129.311117) - (xy 130.683 129.312922) - (xy 130.694397 129.311117) - (xy 130.803208 129.293883) - (xy 130.809055 129.292957) - (xy 130.871545 129.261117) - (xy 130.917494 129.237705) - (xy 130.917495 129.237704) - (xy 130.922771 129.235016) - (xy 131.013016 129.144771) - (xy 131.019484 129.132078) - (xy 131.06827 129.036328) - (xy 131.070957 129.031055) - (xy 131.090922 128.905) - (xy 131.070957 128.778945) - (xy 131.030733 128.7) - (xy 131.015705 128.670506) - (xy 131.015704 128.670505) - (xy 131.013016 128.665229) - (xy 130.922771 128.574984) - (xy 130.885213 128.555847) - (xy 130.862523 128.544286) - (xy 130.809055 128.517043) - (xy 130.683 128.497078) - (xy 130.556945 128.517043) - (xy 130.503477 128.544286) - (xy 130.480788 128.555847) - (xy 130.443229 128.574984) - (xy 130.352984 128.665229) - (xy 130.350296 128.670505) - (xy 130.350295 128.670506) - (xy 130.335267 128.7) - (xy 130.295043 128.778945) - (xy 130.275078 128.905) - (xy 126.010922 128.905) - (xy 125.990957 128.778945) - (xy 125.950733 128.7) - (xy 125.935705 128.670506) - (xy 125.935704 128.670505) - (xy 125.933016 128.665229) - (xy 125.842771 128.574984) - (xy 125.805213 128.555847) - (xy 125.782523 128.544286) - (xy 125.729055 128.517043) - (xy 125.603 128.497078) - (xy 125.476945 128.517043) - (xy 125.423477 128.544286) - (xy 125.400788 128.555847) - (xy 125.363229 128.574984) - (xy 125.272984 128.665229) - (xy 125.270296 128.670505) - (xy 125.270295 128.670506) - (xy 125.255267 128.7) - (xy 125.215043 128.778945) - (xy 125.195078 128.905) - (xy 105.547733 128.905) - (xy 105.567856 128.865506) - (xy 105.587957 128.826055) - (xy 105.599236 128.754841) - (xy 105.606996 128.705847) - (xy 105.607922 128.7) - (xy 105.587957 128.573945) - (xy 105.549263 128.498004) - (xy 105.532705 128.465506) - (xy 105.532704 128.465505) - (xy 105.530016 128.460229) - (xy 105.469787 128.4) - (xy 106.792078 128.4) - (xy 106.812043 128.526055) - (xy 106.837519 128.576055) - (xy 106.861828 128.623763) - (xy 106.869984 128.639771) - (xy 106.960229 128.730016) - (xy 106.965505 128.732704) - (xy 106.965506 128.732705) - (xy 106.999369 128.749959) - (xy 107.073945 128.787957) - (xy 107.111853 128.793961) - (xy 107.192809 128.806783) - (xy 107.2 128.807922) - (xy 107.207192 128.806783) - (xy 107.288147 128.793961) - (xy 107.326055 128.787957) - (xy 107.400631 128.749959) - (xy 107.434494 128.732705) - (xy 107.434495 128.732704) - (xy 107.439771 128.730016) - (xy 107.530016 128.639771) - (xy 107.538173 128.623763) - (xy 107.562481 128.576055) - (xy 107.587957 128.526055) - (xy 107.600003 128.45) - (xy 109.692078 128.45) - (xy 109.712043 128.576055) - (xy 109.727078 128.605563) - (xy 109.766384 128.682705) - (xy 109.769984 128.689771) - (xy 109.860229 128.780016) - (xy 109.865505 128.782704) - (xy 109.865506 128.782705) - (xy 109.896086 128.798286) - (xy 109.973945 128.837957) - (xy 110.1 128.857922) - (xy 110.226055 128.837957) - (xy 110.303914 128.798286) - (xy 110.334494 128.782705) - (xy 110.334495 128.782704) - (xy 110.339771 128.780016) - (xy 110.430016 128.689771) - (xy 110.433617 128.682705) - (xy 110.472922 128.605563) - (xy 110.487957 128.576055) - (xy 110.507922 128.45) - (xy 110.487957 128.323945) - (xy 110.437963 128.225826) - (xy 110.432705 128.215506) - (xy 110.432704 128.215505) - (xy 110.430016 128.210229) - (xy 110.339771 128.119984) - (xy 110.330983 128.115506) - (xy 110.253555 128.076055) - (xy 110.226055 128.062043) - (xy 110.150018 128.05) - (xy 110.105847 128.043004) - (xy 110.1 128.042078) - (xy 110.094153 128.043004) - (xy 110.049982 128.05) - (xy 109.973945 128.062043) - (xy 109.946445 128.076055) - (xy 109.869018 128.115506) - (xy 109.860229 128.119984) - (xy 109.769984 128.210229) - (xy 109.767296 128.215505) - (xy 109.767295 128.215506) - (xy 109.762037 128.225826) - (xy 109.712043 128.323945) - (xy 109.692078 128.45) - (xy 107.600003 128.45) - (xy 107.607922 128.4) - (xy 107.587957 128.273945) - (xy 107.54238 128.184494) - (xy 107.532705 128.165506) - (xy 107.532704 128.165505) - (xy 107.530016 128.160229) - (xy 107.439771 128.069984) - (xy 107.42946 128.06473) - (xy 107.353555 128.026055) - (xy 107.326055 128.012043) - (xy 107.2 127.992078) - (xy 107.073945 128.012043) - (xy 107.046445 128.026055) - (xy 106.970541 128.06473) - (xy 106.960229 128.069984) - (xy 106.869984 128.160229) - (xy 106.867296 128.165505) - (xy 106.867295 128.165506) - (xy 106.85762 128.184494) - (xy 106.812043 128.273945) - (xy 106.792078 128.4) - (xy 105.469787 128.4) - (xy 105.439771 128.369984) - (xy 105.412026 128.355847) - (xy 105.339065 128.318672) - (xy 105.326055 128.312043) - (xy 105.2 128.292078) - (xy 105.073945 128.312043) - (xy 105.060935 128.318672) - (xy 104.987975 128.355847) - (xy 104.960229 128.369984) - (xy 104.869984 128.460229) - (xy 104.867296 128.465505) - (xy 104.867295 128.465506) - (xy 104.850737 128.498004) - (xy 104.812043 128.573945) - (xy 104.792078 128.7) - (xy 103.200018 128.7) - (xy 103.155847 128.693004) - (xy 103.15 128.692078) - (xy 103.144153 128.693004) - (xy 103.099982 128.7) - (xy 103.023945 128.712043) - (xy 102.978359 128.73527) - (xy 102.924105 128.762914) - (xy 102.910229 128.769984) - (xy 102.819984 128.860229) - (xy 102.817296 128.865505) - (xy 102.817295 128.865506) - (xy 102.794932 128.909396) - (xy 102.762043 128.973945) - (xy 102.742078 129.1) - (xy 92.950003 129.1) - (xy 92.955814 129.063312) - (xy 92.956996 129.055847) - (xy 92.957922 129.05) - (xy 92.937957 128.923945) - (xy 92.892775 128.83527) - (xy 92.882705 128.815506) - (xy 92.882704 128.815505) - (xy 92.880016 128.810229) - (xy 92.789771 128.719984) - (xy 92.77946 128.71473) - (xy 92.720118 128.684494) - (xy 92.676055 128.662043) - (xy 92.55 128.642078) - (xy 92.423945 128.662043) - (xy 92.379882 128.684494) - (xy 92.320541 128.71473) - (xy 92.310229 128.719984) - (xy 92.219984 128.810229) - (xy 92.217296 128.815505) - (xy 92.217295 128.815506) - (xy 92.207225 128.83527) - (xy 92.162043 128.923945) - (xy 92.142078 129.05) - (xy 90.569787 129.05) - (xy 90.539771 129.019984) - (xy 90.533513 129.016795) - (xy 90.486656 128.992921) - (xy 90.426055 128.962043) - (xy 90.3 128.942078) - (xy 90.173945 128.962043) - (xy 90.113344 128.992921) - (xy 90.066488 129.016795) - (xy 90.060229 129.019984) - (xy 89.969984 129.110229) - (xy 89.967296 129.115505) - (xy 89.967295 129.115506) - (xy 89.955073 129.139494) - (xy 89.912043 129.223945) - (xy 89.892078 129.35) - (xy 88.319787 129.35) - (xy 88.289771 129.319984) - (xy 88.27946 129.31473) - (xy 88.220118 129.284494) - (xy 88.176055 129.262043) - (xy 88.05 129.242078) - (xy 87.923945 129.262043) - (xy 87.879882 129.284494) - (xy 87.820541 129.31473) - (xy 87.810229 129.319984) - (xy 87.719984 129.410229) - (xy 87.717296 129.415505) - (xy 87.717295 129.415506) - (xy 87.713183 129.423576) - (xy 87.662043 129.523945) - (xy 87.642078 129.65) - (xy 87.119787 129.65) - (xy 87.089771 129.619984) - (xy 87.080983 129.615506) - (xy 87.010889 129.579792) - (xy 86.976055 129.562043) - (xy 86.85 129.542078) - (xy 86.723945 129.562043) - (xy 86.689111 129.579792) - (xy 86.619018 129.615506) - (xy 86.610229 129.619984) - (xy 86.519984 129.710229) - (xy 86.462043 129.823945) - (xy 86.461117 129.829792) - (xy 86.444085 129.937331) - (xy 86.442078 129.95) - (xy 81.150003 129.95) - (xy 81.157922 129.9) - (xy 81.137957 129.773945) - (xy 81.0973 129.694151) - (xy 81.082705 129.665506) - (xy 81.082704 129.665505) - (xy 81.080016 129.660229) - (xy 80.989771 129.569984) - (xy 80.97946 129.56473) - (xy 80.910889 129.529792) - (xy 80.876055 129.512043) - (xy 80.75 129.492078) - (xy 80.623945 129.512043) - (xy 80.589111 129.529792) - (xy 80.520541 129.56473) - (xy 80.510229 129.569984) - (xy 80.419984 129.660229) - (xy 80.417296 129.665505) - (xy 80.417295 129.665506) - (xy 80.4027 129.694151) - (xy 80.362043 129.773945) - (xy 80.342078 129.9) - (xy 76.620678 129.9) - (xy 76.679959 129.822743) - (xy 76.679961 129.822739) - (xy 76.682957 129.818835) - (xy 76.72343 129.721126) - (xy 76.736783 129.68889) - (xy 76.736784 129.688888) - (xy 76.738669 129.684336) - (xy 76.757671 129.54) - (xy 76.738669 129.395664) - (xy 76.732188 129.380016) - (xy 76.719547 129.3495) - (xy 81.380078 129.3495) - (xy 81.400043 129.475555) - (xy 81.457984 129.589271) - (xy 81.548229 129.679516) - (xy 81.553505 129.682204) - (xy 81.553506 129.682205) - (xy 81.576952 129.694151) - (xy 81.661945 129.737457) - (xy 81.788 129.757422) - (xy 81.914055 129.737457) - (xy 81.999048 129.694151) - (xy 82.022494 129.682205) - (xy 82.022495 129.682204) - (xy 82.027771 129.679516) - (xy 82.118016 129.589271) - (xy 82.175957 129.475555) - (xy 82.195922 129.3495) - (xy 82.175957 129.223445) - (xy 82.129875 129.133004) - (xy 82.120705 129.115006) - (xy 82.120704 129.115005) - (xy 82.118016 129.109729) - (xy 82.027771 129.019484) - (xy 82.003186 129.006957) - (xy 81.928046 128.968672) - (xy 81.914055 128.961543) - (xy 81.803046 128.943961) - (xy 81.793847 128.942504) - (xy 81.788 128.941578) - (xy 81.782153 128.942504) - (xy 81.772954 128.943961) - (xy 81.661945 128.961543) - (xy 81.647954 128.968672) - (xy 81.572815 129.006957) - (xy 81.548229 129.019484) - (xy 81.457984 129.109729) - (xy 81.455296 129.115005) - (xy 81.455295 129.115006) - (xy 81.446125 129.133004) - (xy 81.400043 129.223445) - (xy 81.380078 129.3495) - (xy 76.719547 129.3495) - (xy 76.684841 129.265714) - (xy 76.682957 129.261165) - (xy 76.679961 129.257261) - (xy 76.679959 129.257257) - (xy 76.597331 129.149574) - (xy 76.594333 129.145667) - (xy 76.576624 129.132078) - (xy 76.482743 129.060041) - (xy 76.478836 129.057043) - (xy 76.388161 129.019484) - (xy 76.34889 129.003217) - (xy 76.348888 129.003216) - (xy 76.344336 129.001331) - (xy 76.2 128.982329) - (xy 76.055664 129.001331) - (xy 76.051112 129.003216) - (xy 76.05111 129.003217) - (xy 75.986413 129.030016) - (xy 75.921165 129.057043) - (xy 75.917261 129.060039) - (xy 75.917257 129.060041) - (xy 75.82217 129.133004) - (xy 75.805667 129.145667) - (xy 75.802669 129.149574) - (xy 75.720041 129.257257) - (xy 75.720039 129.257261) - (xy 75.717043 129.261165) - (xy 75.715159 129.265714) - (xy 75.667813 129.380016) - (xy 75.661331 129.395664) - (xy 75.642329 129.54) - (xy 75.106671 129.54) - (xy 75.087669 129.395664) - (xy 75.081188 129.380016) - (xy 75.033841 129.265714) - (xy 75.031957 129.261165) - (xy 75.028961 129.257261) - (xy 75.028959 129.257257) - (xy 74.946331 129.149574) - (xy 74.943333 129.145667) - (xy 74.925624 129.132078) - (xy 74.831743 129.060041) - (xy 74.827836 129.057043) - (xy 74.737161 129.019484) - (xy 74.69789 129.003217) - (xy 74.697888 129.003216) - (xy 74.693336 129.001331) - (xy 74.549 128.982329) - (xy 74.404664 129.001331) - (xy 74.400112 129.003216) - (xy 74.40011 129.003217) - (xy 74.335413 129.030016) - (xy 74.270165 129.057043) - (xy 74.266261 129.060039) - (xy 74.266257 129.060041) - (xy 74.17117 129.133004) - (xy 74.154667 129.145667) - (xy 74.151669 129.149574) - (xy 74.069041 129.257257) - (xy 74.069039 129.257261) - (xy 74.066043 129.261165) - (xy 74.064159 129.265714) - (xy 74.016813 129.380016) - (xy 74.010331 129.395664) - (xy 73.991329 129.54) - (xy 46.762922 129.54) - (xy 46.742957 129.413945) - (xy 46.707396 129.344153) - (xy 46.687705 129.305506) - (xy 46.687704 129.305505) - (xy 46.685016 129.300229) - (xy 46.594771 129.209984) - (xy 46.481055 129.152043) - (xy 46.355 129.132078) - (xy 46.228945 129.152043) - (xy 46.22367 129.154731) - (xy 46.223669 129.154731) - (xy 46.210341 129.161522) - (xy 46.159285 129.167792) - (xy 46.116143 129.139776) - (xy 46.101 129.094519) - (xy 46.101 128.524) - (xy 72.848329 128.524) - (xy 72.867331 128.668336) - (xy 72.869216 128.672888) - (xy 72.869217 128.67289) - (xy 72.89046 128.724174) - (xy 72.923043 128.802835) - (xy 72.926039 128.806739) - (xy 72.926041 128.806743) - (xy 72.984329 128.882705) - (xy 73.011667 128.918333) - (xy 73.015574 128.921331) - (xy 73.04196 128.941578) - (xy 73.127164 129.006957) - (xy 73.171226 129.025208) - (xy 73.25711 129.060783) - (xy 73.257112 129.060784) - (xy 73.261664 129.062669) - (xy 73.406 129.081671) - (xy 73.550336 129.062669) - (xy 73.554888 129.060784) - (xy 73.55489 129.060783) - (xy 73.640774 129.025208) - (xy 73.684836 129.006957) - (xy 73.77004 128.941578) - (xy 73.796426 128.921331) - (xy 73.800333 128.918333) - (xy 73.827671 128.882705) - (xy 73.885959 128.806743) - (xy 73.885961 128.806739) - (xy 73.888957 128.802835) - (xy 73.92154 128.724174) - (xy 73.942783 128.67289) - (xy 73.942784 128.672888) - (xy 73.944669 128.668336) - (xy 73.963671 128.524) - (xy 73.944669 128.379664) - (xy 73.94066 128.369984) - (xy 73.899244 128.27) - (xy 76.785329 128.27) - (xy 76.804331 128.414336) - (xy 76.806216 128.418888) - (xy 76.806217 128.41889) - (xy 76.827474 128.470208) - (xy 76.860043 128.548835) - (xy 76.863039 128.552739) - (xy 76.863041 128.552743) - (xy 76.914333 128.619588) - (xy 76.948667 128.664333) - (xy 77.064164 128.752957) - (xy 77.105271 128.769984) - (xy 77.19411 128.806783) - (xy 77.194112 128.806784) - (xy 77.198664 128.808669) - (xy 77.343 128.827671) - (xy 77.487336 128.808669) - (xy 77.491888 128.806784) - (xy 77.49189 128.806783) - (xy 77.580729 128.769984) - (xy 77.621836 128.752957) - (xy 77.737333 128.664333) - (xy 77.771667 128.619588) - (xy 77.822959 128.552743) - (xy 77.822961 128.552739) - (xy 77.825957 128.548835) - (xy 77.858526 128.470208) - (xy 77.879783 128.41889) - (xy 77.879784 128.418888) - (xy 77.881669 128.414336) - (xy 77.890139 128.35) - (xy 79.542078 128.35) - (xy 79.562043 128.476055) - (xy 79.58454 128.520208) - (xy 79.614585 128.579174) - (xy 79.619984 128.589771) - (xy 79.710229 128.680016) - (xy 79.715505 128.682704) - (xy 79.715506 128.682705) - (xy 79.74945 128.7) - (xy 79.823945 128.737957) - (xy 79.95 128.757922) - (xy 80.076055 128.737957) - (xy 80.15055 128.7) - (xy 80.184494 128.682705) - (xy 80.184495 128.682704) - (xy 80.189771 128.680016) - (xy 80.280016 128.589771) - (xy 80.285416 128.579174) - (xy 80.300281 128.55) - (xy 99.142078 128.55) - (xy 99.162043 128.676055) - (xy 99.174244 128.7) - (xy 99.216384 128.782705) - (xy 99.219984 128.789771) - (xy 99.310229 128.880016) - (xy 99.315505 128.882704) - (xy 99.315506 128.882705) - (xy 99.359263 128.905) - (xy 99.423945 128.937957) - (xy 99.55 128.957922) - (xy 99.676055 128.937957) - (xy 99.740737 128.905) - (xy 99.784494 128.882705) - (xy 99.784495 128.882704) - (xy 99.789771 128.880016) - (xy 99.880016 128.789771) - (xy 99.883617 128.782705) - (xy 99.925756 128.7) - (xy 99.937957 128.676055) - (xy 99.957922 128.55) - (xy 99.953031 128.519116) - (xy 99.94794 128.486973) - (xy 99.937957 128.423945) - (xy 99.90028 128.35) - (xy 99.882705 128.315506) - (xy 99.882704 128.315505) - (xy 99.880016 128.310229) - (xy 99.789771 128.219984) - (xy 99.780983 128.215506) - (xy 99.703555 128.176055) - (xy 99.676055 128.162043) - (xy 99.613028 128.152061) - (xy 99.555847 128.143004) - (xy 99.55 128.142078) - (xy 99.544153 128.143004) - (xy 99.486972 128.152061) - (xy 99.423945 128.162043) - (xy 99.396445 128.176055) - (xy 99.319018 128.215506) - (xy 99.310229 128.219984) - (xy 99.219984 128.310229) - (xy 99.217296 128.315505) - (xy 99.217295 128.315506) - (xy 99.19972 128.35) - (xy 99.162043 128.423945) - (xy 99.15206 128.486973) - (xy 99.14697 128.519116) - (xy 99.142078 128.55) - (xy 80.300281 128.55) - (xy 80.31546 128.520208) - (xy 80.337957 128.476055) - (xy 80.357922 128.35) - (xy 80.337957 128.223945) - (xy 80.288393 128.126669) - (xy 80.282705 128.115506) - (xy 80.282704 128.115505) - (xy 80.280016 128.110229) - (xy 80.219787 128.05) - (xy 87.992078 128.05) - (xy 88.012043 128.176055) - (xy 88.032144 128.215506) - (xy 88.066384 128.282705) - (xy 88.069984 128.289771) - (xy 88.160229 128.380016) - (xy 88.165505 128.382704) - (xy 88.165506 128.382705) - (xy 88.19945 128.4) - (xy 88.273945 128.437957) - (xy 88.279792 128.438883) - (xy 88.386899 128.455847) - (xy 88.4 128.457922) - (xy 88.413102 128.455847) - (xy 88.520208 128.438883) - (xy 88.526055 128.437957) - (xy 88.60055 128.4) - (xy 88.634494 128.382705) - (xy 88.634495 128.382704) - (xy 88.639771 128.380016) - (xy 88.730016 128.289771) - (xy 88.733617 128.282705) - (xy 88.767856 128.215506) - (xy 88.787957 128.176055) - (xy 88.807922 128.05) - (xy 88.792084 127.95) - (xy 92.142078 127.95) - (xy 92.162043 128.076055) - (xy 92.219984 128.189771) - (xy 92.310229 128.280016) - (xy 92.315505 128.282704) - (xy 92.315506 128.282705) - (xy 92.361302 128.306039) - (xy 92.423945 128.337957) - (xy 92.429792 128.338883) - (xy 92.536899 128.355847) - (xy 92.55 128.357922) - (xy 92.563102 128.355847) - (xy 92.670208 128.338883) - (xy 92.676055 128.337957) - (xy 92.738698 128.306039) - (xy 92.784494 128.282705) - (xy 92.784495 128.282704) - (xy 92.789771 128.280016) - (xy 92.880016 128.189771) - (xy 92.937957 128.076055) - (xy 92.957922 127.95) - (xy 92.950003 127.9) - (xy 94.592078 127.9) - (xy 94.612043 128.026055) - (xy 94.669984 128.139771) - (xy 94.760229 128.230016) - (xy 94.765505 128.232704) - (xy 94.765506 128.232705) - (xy 94.800419 128.250494) - (xy 94.873945 128.287957) - (xy 95 128.307922) - (xy 95.126055 128.287957) - (xy 95.199581 128.250494) - (xy 95.234494 128.232705) - (xy 95.234495 128.232704) - (xy 95.239771 128.230016) - (xy 95.330016 128.139771) - (xy 95.375756 128.05) - (xy 98.142078 128.05) - (xy 98.162043 128.176055) - (xy 98.182144 128.215506) - (xy 98.216384 128.282705) - (xy 98.219984 128.289771) - (xy 98.310229 128.380016) - (xy 98.315505 128.382704) - (xy 98.315506 128.382705) - (xy 98.34945 128.4) - (xy 98.423945 128.437957) - (xy 98.429792 128.438883) - (xy 98.536899 128.455847) - (xy 98.55 128.457922) - (xy 98.563102 128.455847) - (xy 98.670208 128.438883) - (xy 98.676055 128.437957) - (xy 98.75055 128.4) - (xy 98.784494 128.382705) - (xy 98.784495 128.382704) - (xy 98.789771 128.380016) - (xy 98.880016 128.289771) - (xy 98.883617 128.282705) - (xy 98.917856 128.215506) - (xy 98.937957 128.176055) - (xy 98.957922 128.05) - (xy 98.942084 127.95) - (xy 112.742078 127.95) - (xy 112.762043 128.076055) - (xy 112.819984 128.189771) - (xy 112.910229 128.280016) - (xy 112.915505 128.282704) - (xy 112.915506 128.282705) - (xy 112.961302 128.306039) - (xy 113.023945 128.337957) - (xy 113.029792 128.338883) - (xy 113.136899 128.355847) - (xy 113.15 128.357922) - (xy 113.163102 128.355847) - (xy 113.270208 128.338883) - (xy 113.276055 128.337957) - (xy 113.338698 128.306039) - (xy 113.384494 128.282705) - (xy 113.384495 128.282704) - (xy 113.389771 128.280016) - (xy 113.399787 128.27) - (xy 113.742329 128.27) - (xy 113.761331 128.414336) - (xy 113.763216 128.418888) - (xy 113.763217 128.41889) - (xy 113.784474 128.470208) - (xy 113.817043 128.548835) - (xy 113.820039 128.552739) - (xy 113.820041 128.552743) - (xy 113.871333 128.619588) - (xy 113.905667 128.664333) - (xy 114.021164 128.752957) - (xy 114.062271 128.769984) - (xy 114.15111 128.806783) - (xy 114.151112 128.806784) - (xy 114.155664 128.808669) - (xy 114.3 128.827671) - (xy 114.444336 128.808669) - (xy 114.448888 128.806784) - (xy 114.44889 128.806783) - (xy 114.537729 128.769984) - (xy 114.578836 128.752957) - (xy 114.694333 128.664333) - (xy 114.728667 128.619588) - (xy 114.779959 128.552743) - (xy 114.779961 128.552739) - (xy 114.782957 128.548835) - (xy 114.815526 128.470208) - (xy 114.836783 128.41889) - (xy 114.836784 128.418888) - (xy 114.838669 128.414336) - (xy 114.857671 128.27) - (xy 121.298829 128.27) - (xy 121.317831 128.414336) - (xy 121.319716 128.418888) - (xy 121.319717 128.41889) - (xy 121.340974 128.470208) - (xy 121.373543 128.548835) - (xy 121.376539 128.552739) - (xy 121.376541 128.552743) - (xy 121.427833 128.619588) - (xy 121.462167 128.664333) - (xy 121.577664 128.752957) - (xy 121.618771 128.769984) - (xy 121.70761 128.806783) - (xy 121.707612 128.806784) - (xy 121.712164 128.808669) - (xy 121.8565 128.827671) - (xy 122.000836 128.808669) - (xy 122.005388 128.806784) - (xy 122.00539 128.806783) - (xy 122.094229 128.769984) - (xy 122.135336 128.752957) - (xy 122.250833 128.664333) - (xy 122.285167 128.619588) - (xy 122.336459 128.552743) - (xy 122.336461 128.552739) - (xy 122.339457 128.548835) - (xy 122.372026 128.470208) - (xy 122.393283 128.41889) - (xy 122.393284 128.418888) - (xy 122.395169 128.414336) - (xy 122.414171 128.27) - (xy 133.808329 128.27) - (xy 133.827331 128.414336) - (xy 133.829216 128.418888) - (xy 133.829217 128.41889) - (xy 133.850474 128.470208) - (xy 133.883043 128.548835) - (xy 133.886039 128.552739) - (xy 133.886041 128.552743) - (xy 133.937333 128.619588) - (xy 133.971667 128.664333) - (xy 134.087164 128.752957) - (xy 134.128271 128.769984) - (xy 134.21711 128.806783) - (xy 134.217112 128.806784) - (xy 134.221664 128.808669) - (xy 134.366 128.827671) - (xy 134.510336 128.808669) - (xy 134.514888 128.806784) - (xy 134.51489 128.806783) - (xy 134.603729 128.769984) - (xy 134.644836 128.752957) - (xy 134.760333 128.664333) - (xy 134.794667 128.619588) - (xy 134.845959 128.552743) - (xy 134.845961 128.552739) - (xy 134.848957 128.548835) - (xy 134.881526 128.470208) - (xy 134.902783 128.41889) - (xy 134.902784 128.418888) - (xy 134.904669 128.414336) - (xy 134.923671 128.27) - (xy 137.745329 128.27) - (xy 137.764331 128.414336) - (xy 137.766216 128.418888) - (xy 137.766217 128.41889) - (xy 137.787474 128.470208) - (xy 137.820043 128.548835) - (xy 137.823039 128.552739) - (xy 137.823041 128.552743) - (xy 137.874333 128.619588) - (xy 137.908667 128.664333) - (xy 138.024164 128.752957) - (xy 138.065271 128.769984) - (xy 138.15411 128.806783) - (xy 138.154112 128.806784) - (xy 138.158664 128.808669) - (xy 138.303 128.827671) - (xy 138.447336 128.808669) - (xy 138.451888 128.806784) - (xy 138.45189 128.806783) - (xy 138.540729 128.769984) - (xy 138.581836 128.752957) - (xy 138.697333 128.664333) - (xy 138.731667 128.619588) - (xy 138.782959 128.552743) - (xy 138.782961 128.552739) - (xy 138.785957 128.548835) - (xy 138.818526 128.470208) - (xy 138.839783 128.41889) - (xy 138.839784 128.418888) - (xy 138.841669 128.414336) - (xy 138.860671 128.27) - (xy 138.841669 128.125664) - (xy 138.839317 128.119984) - (xy 138.797894 128.019984) - (xy 138.785957 127.991165) - (xy 138.782961 127.987261) - (xy 138.782959 127.987257) - (xy 138.700331 127.879574) - (xy 138.697333 127.875667) - (xy 138.581836 127.787043) - (xy 138.521958 127.762241) - (xy 138.45189 127.733217) - (xy 138.451888 127.733216) - (xy 138.447336 127.731331) - (xy 138.303 127.712329) - (xy 138.158664 127.731331) - (xy 138.154112 127.733216) - (xy 138.15411 127.733217) - (xy 138.099106 127.756001) - (xy 138.024165 127.787043) - (xy 138.020261 127.790039) - (xy 138.020257 127.790041) - (xy 137.912574 127.872669) - (xy 137.908667 127.875667) - (xy 137.905669 127.879574) - (xy 137.823041 127.987257) - (xy 137.823039 127.987261) - (xy 137.820043 127.991165) - (xy 137.808106 128.019984) - (xy 137.766684 128.119984) - (xy 137.764331 128.125664) - (xy 137.745329 128.27) - (xy 134.923671 128.27) - (xy 134.904669 128.125664) - (xy 134.902317 128.119984) - (xy 134.860894 128.019984) - (xy 134.848957 127.991165) - (xy 134.845961 127.987261) - (xy 134.845959 127.987257) - (xy 134.763331 127.879574) - (xy 134.760333 127.875667) - (xy 134.644836 127.787043) - (xy 134.584958 127.762241) - (xy 134.51489 127.733217) - (xy 134.514888 127.733216) - (xy 134.510336 127.731331) - (xy 134.366 127.712329) - (xy 134.221664 127.731331) - (xy 134.217112 127.733216) - (xy 134.21711 127.733217) - (xy 134.162106 127.756001) - (xy 134.087165 127.787043) - (xy 134.083261 127.790039) - (xy 134.083257 127.790041) - (xy 133.975574 127.872669) - (xy 133.971667 127.875667) - (xy 133.968669 127.879574) - (xy 133.886041 127.987257) - (xy 133.886039 127.987261) - (xy 133.883043 127.991165) - (xy 133.871106 128.019984) - (xy 133.829684 128.119984) - (xy 133.827331 128.125664) - (xy 133.808329 128.27) - (xy 122.414171 128.27) - (xy 122.395169 128.125664) - (xy 122.392817 128.119984) - (xy 122.351394 128.019984) - (xy 122.339457 127.991165) - (xy 122.336461 127.987261) - (xy 122.336459 127.987257) - (xy 122.253831 127.879574) - (xy 122.250833 127.875667) - (xy 122.135336 127.787043) - (xy 122.075458 127.762241) - (xy 122.00539 127.733217) - (xy 122.005388 127.733216) - (xy 122.000836 127.731331) - (xy 121.8565 127.712329) - (xy 121.712164 127.731331) - (xy 121.707612 127.733216) - (xy 121.70761 127.733217) - (xy 121.652606 127.756001) - (xy 121.577665 127.787043) - (xy 121.573761 127.790039) - (xy 121.573757 127.790041) - (xy 121.466074 127.872669) - (xy 121.462167 127.875667) - (xy 121.459169 127.879574) - (xy 121.376541 127.987257) - (xy 121.376539 127.987261) - (xy 121.373543 127.991165) - (xy 121.361606 128.019984) - (xy 121.320184 128.119984) - (xy 121.317831 128.125664) - (xy 121.298829 128.27) - (xy 114.857671 128.27) - (xy 114.838669 128.125664) - (xy 114.836317 128.119984) - (xy 114.794894 128.019984) - (xy 114.782957 127.991165) - (xy 114.779961 127.987261) - (xy 114.779959 127.987257) - (xy 114.697331 127.879574) - (xy 114.694333 127.875667) - (xy 114.578836 127.787043) - (xy 114.518958 127.762241) - (xy 114.44889 127.733217) - (xy 114.448888 127.733216) - (xy 114.444336 127.731331) - (xy 114.3 127.712329) - (xy 114.155664 127.731331) - (xy 114.151112 127.733216) - (xy 114.15111 127.733217) - (xy 114.096106 127.756001) - (xy 114.021165 127.787043) - (xy 114.017261 127.790039) - (xy 114.017257 127.790041) - (xy 113.909574 127.872669) - (xy 113.905667 127.875667) - (xy 113.902669 127.879574) - (xy 113.820041 127.987257) - (xy 113.820039 127.987261) - (xy 113.817043 127.991165) - (xy 113.805106 128.019984) - (xy 113.763684 128.119984) - (xy 113.761331 128.125664) - (xy 113.742329 128.27) - (xy 113.399787 128.27) - (xy 113.480016 128.189771) - (xy 113.537957 128.076055) - (xy 113.557922 127.95) - (xy 113.537957 127.823945) - (xy 113.490768 127.731331) - (xy 113.482705 127.715506) - (xy 113.482704 127.715505) - (xy 113.480016 127.710229) - (xy 113.389771 127.619984) - (xy 113.368222 127.609004) - (xy 113.321641 127.58527) - (xy 113.276055 127.562043) - (xy 113.15 127.542078) - (xy 113.023945 127.562043) - (xy 112.978359 127.58527) - (xy 112.931779 127.609004) - (xy 112.910229 127.619984) - (xy 112.819984 127.710229) - (xy 112.817296 127.715505) - (xy 112.817295 127.715506) - (xy 112.809232 127.731331) - (xy 112.762043 127.823945) - (xy 112.742078 127.95) - (xy 98.942084 127.95) - (xy 98.937957 127.923945) - (xy 98.889984 127.829792) - (xy 98.882705 127.815506) - (xy 98.882704 127.815505) - (xy 98.880016 127.810229) - (xy 98.789771 127.719984) - (xy 98.780983 127.715506) - (xy 98.723042 127.685984) - (xy 98.676055 127.662043) - (xy 98.55 127.642078) - (xy 98.423945 127.662043) - (xy 98.376958 127.685984) - (xy 98.319018 127.715506) - (xy 98.310229 127.719984) - (xy 98.219984 127.810229) - (xy 98.217296 127.815505) - (xy 98.217295 127.815506) - (xy 98.210016 127.829792) - (xy 98.162043 127.923945) - (xy 98.142078 128.05) - (xy 95.375756 128.05) - (xy 95.387957 128.026055) - (xy 95.407922 127.9) - (xy 95.40633 127.889945) - (xy 95.390169 127.787909) - (xy 95.387957 127.773945) - (xy 95.330016 127.660229) - (xy 95.239771 127.569984) - (xy 95.22946 127.56473) - (xy 95.17461 127.536783) - (xy 95.126055 127.512043) - (xy 95 127.492078) - (xy 94.873945 127.512043) - (xy 94.82539 127.536783) - (xy 94.770541 127.56473) - (xy 94.760229 127.569984) - (xy 94.669984 127.660229) - (xy 94.612043 127.773945) - (xy 94.609831 127.787909) - (xy 94.593671 127.889945) - (xy 94.592078 127.9) - (xy 92.950003 127.9) - (xy 92.937957 127.823945) - (xy 92.890768 127.731331) - (xy 92.882705 127.715506) - (xy 92.882704 127.715505) - (xy 92.880016 127.710229) - (xy 92.789771 127.619984) - (xy 92.768222 127.609004) - (xy 92.721641 127.58527) - (xy 92.676055 127.562043) - (xy 92.55 127.542078) - (xy 92.423945 127.562043) - (xy 92.378359 127.58527) - (xy 92.331779 127.609004) - (xy 92.310229 127.619984) - (xy 92.219984 127.710229) - (xy 92.217296 127.715505) - (xy 92.217295 127.715506) - (xy 92.209232 127.731331) - (xy 92.162043 127.823945) - (xy 92.142078 127.95) - (xy 88.792084 127.95) - (xy 88.787957 127.923945) - (xy 88.739984 127.829792) - (xy 88.732705 127.815506) - (xy 88.732704 127.815505) - (xy 88.730016 127.810229) - (xy 88.639771 127.719984) - (xy 88.630983 127.715506) - (xy 88.573042 127.685984) - (xy 88.526055 127.662043) - (xy 88.4 127.642078) - (xy 88.273945 127.662043) - (xy 88.226958 127.685984) - (xy 88.169018 127.715506) - (xy 88.160229 127.719984) - (xy 88.069984 127.810229) - (xy 88.067296 127.815505) - (xy 88.067295 127.815506) - (xy 88.060016 127.829792) - (xy 88.012043 127.923945) - (xy 87.992078 128.05) - (xy 80.219787 128.05) - (xy 80.189771 128.019984) - (xy 80.17946 128.01473) - (xy 80.120498 127.984688) - (xy 80.076055 127.962043) - (xy 80.000018 127.95) - (xy 79.955847 127.943004) - (xy 79.95 127.942078) - (xy 79.944153 127.943004) - (xy 79.899982 127.95) - (xy 79.823945 127.962043) - (xy 79.779502 127.984688) - (xy 79.720541 128.01473) - (xy 79.710229 128.019984) - (xy 79.619984 128.110229) - (xy 79.617296 128.115505) - (xy 79.617295 128.115506) - (xy 79.611607 128.126669) - (xy 79.562043 128.223945) - (xy 79.542078 128.35) - (xy 77.890139 128.35) - (xy 77.900671 128.27) - (xy 77.881669 128.125664) - (xy 77.879317 128.119984) - (xy 77.837894 128.019984) - (xy 77.825957 127.991165) - (xy 77.822961 127.987261) - (xy 77.822959 127.987257) - (xy 77.740331 127.879574) - (xy 77.737333 127.875667) - (xy 77.621836 127.787043) - (xy 77.561958 127.762241) - (xy 77.49189 127.733217) - (xy 77.491888 127.733216) - (xy 77.487336 127.731331) - (xy 77.343 127.712329) - (xy 77.198664 127.731331) - (xy 77.194112 127.733216) - (xy 77.19411 127.733217) - (xy 77.139106 127.756001) - (xy 77.064165 127.787043) - (xy 77.060261 127.790039) - (xy 77.060257 127.790041) - (xy 76.952574 127.872669) - (xy 76.948667 127.875667) - (xy 76.945669 127.879574) - (xy 76.863041 127.987257) - (xy 76.863039 127.987261) - (xy 76.860043 127.991165) - (xy 76.848106 128.019984) - (xy 76.806684 128.119984) - (xy 76.804331 128.125664) - (xy 76.785329 128.27) - (xy 73.899244 128.27) - (xy 73.890841 128.249714) - (xy 73.888957 128.245165) - (xy 73.885961 128.241261) - (xy 73.885959 128.241257) - (xy 73.803331 128.133574) - (xy 73.800333 128.129667) - (xy 73.684836 128.041043) - (xy 73.575403 127.995714) - (xy 73.55489 127.987217) - (xy 73.554888 127.987216) - (xy 73.550336 127.985331) - (xy 73.406 127.966329) - (xy 73.261664 127.985331) - (xy 73.257112 127.987216) - (xy 73.25711 127.987217) - (xy 73.201739 128.010153) - (xy 73.127165 128.041043) - (xy 73.123261 128.044039) - (xy 73.123257 128.044041) - (xy 73.024286 128.119984) - (xy 73.011667 128.129667) - (xy 73.008669 128.133574) - (xy 72.926041 128.241257) - (xy 72.926039 128.241261) - (xy 72.923043 128.245165) - (xy 72.921159 128.249714) - (xy 72.871341 128.369984) - (xy 72.867331 128.379664) - (xy 72.848329 128.524) - (xy 46.101 128.524) - (xy 46.101 128.016) - (xy 53.440078 128.016) - (xy 53.441004 128.021847) - (xy 53.442506 128.031328) - (xy 53.460043 128.142055) - (xy 53.471992 128.165506) - (xy 53.501885 128.224174) - (xy 53.517984 128.255771) - (xy 53.608229 128.346016) - (xy 53.613505 128.348704) - (xy 53.613506 128.348705) - (xy 53.655269 128.369984) - (xy 53.721945 128.403957) - (xy 53.784973 128.41394) - (xy 53.814853 128.418672) - (xy 53.848 128.423922) - (xy 53.881148 128.418672) - (xy 53.911027 128.41394) - (xy 53.974055 128.403957) - (xy 54.040731 128.369984) - (xy 54.082494 128.348705) - (xy 54.082495 128.348704) - (xy 54.087771 128.346016) - (xy 54.178016 128.255771) - (xy 54.194116 128.224174) - (xy 54.224008 128.165506) - (xy 54.235957 128.142055) - (xy 54.253494 128.031328) - (xy 54.254996 128.021847) - (xy 54.255922 128.016) - (xy 58.520078 128.016) - (xy 58.521004 128.021847) - (xy 58.522506 128.031328) - (xy 58.540043 128.142055) - (xy 58.551992 128.165506) - (xy 58.581885 128.224174) - (xy 58.597984 128.255771) - (xy 58.688229 128.346016) - (xy 58.693505 128.348704) - (xy 58.693506 128.348705) - (xy 58.735269 128.369984) - (xy 58.801945 128.403957) - (xy 58.864973 128.41394) - (xy 58.894853 128.418672) - (xy 58.928 128.423922) - (xy 58.961148 128.418672) - (xy 58.991027 128.41394) - (xy 59.054055 128.403957) - (xy 59.120731 128.369984) - (xy 59.162494 128.348705) - (xy 59.162495 128.348704) - (xy 59.167771 128.346016) - (xy 59.258016 128.255771) - (xy 59.274116 128.224174) - (xy 59.304008 128.165506) - (xy 59.315957 128.142055) - (xy 59.333494 128.031328) - (xy 59.334996 128.021847) - (xy 59.335922 128.016) - (xy 63.600078 128.016) - (xy 63.601004 128.021847) - (xy 63.602506 128.031328) - (xy 63.620043 128.142055) - (xy 63.631992 128.165506) - (xy 63.661885 128.224174) - (xy 63.677984 128.255771) - (xy 63.768229 128.346016) - (xy 63.773505 128.348704) - (xy 63.773506 128.348705) - (xy 63.815269 128.369984) - (xy 63.881945 128.403957) - (xy 63.944973 128.41394) - (xy 63.974853 128.418672) - (xy 64.008 128.423922) - (xy 64.041148 128.418672) - (xy 64.071027 128.41394) - (xy 64.134055 128.403957) - (xy 64.200731 128.369984) - (xy 64.242494 128.348705) - (xy 64.242495 128.348704) - (xy 64.247771 128.346016) - (xy 64.338016 128.255771) - (xy 64.354116 128.224174) - (xy 64.384008 128.165506) - (xy 64.395957 128.142055) - (xy 64.413494 128.031328) - (xy 64.414996 128.021847) - (xy 64.415922 128.016) - (xy 68.680078 128.016) - (xy 68.681004 128.021847) - (xy 68.682506 128.031328) - (xy 68.700043 128.142055) - (xy 68.711992 128.165506) - (xy 68.741885 128.224174) - (xy 68.757984 128.255771) - (xy 68.848229 128.346016) - (xy 68.853505 128.348704) - (xy 68.853506 128.348705) - (xy 68.895269 128.369984) - (xy 68.961945 128.403957) - (xy 69.024973 128.41394) - (xy 69.054853 128.418672) - (xy 69.088 128.423922) - (xy 69.121148 128.418672) - (xy 69.151027 128.41394) - (xy 69.214055 128.403957) - (xy 69.280731 128.369984) - (xy 69.322494 128.348705) - (xy 69.322495 128.348704) - (xy 69.327771 128.346016) - (xy 69.418016 128.255771) - (xy 69.434116 128.224174) - (xy 69.464008 128.165506) - (xy 69.475957 128.142055) - (xy 69.493494 128.031328) - (xy 69.494996 128.021847) - (xy 69.495922 128.016) - (xy 69.475957 127.889945) - (xy 69.425054 127.790041) - (xy 69.420705 127.781506) - (xy 69.420704 127.781505) - (xy 69.418016 127.776229) - (xy 69.327771 127.685984) - (xy 69.214055 127.628043) - (xy 69.088 127.608078) - (xy 68.961945 127.628043) - (xy 68.848229 127.685984) - (xy 68.757984 127.776229) - (xy 68.755296 127.781505) - (xy 68.755295 127.781506) - (xy 68.750946 127.790041) - (xy 68.700043 127.889945) - (xy 68.680078 128.016) - (xy 64.415922 128.016) - (xy 64.395957 127.889945) - (xy 64.345054 127.790041) - (xy 64.340705 127.781506) - (xy 64.340704 127.781505) - (xy 64.338016 127.776229) - (xy 64.247771 127.685984) - (xy 64.134055 127.628043) - (xy 64.008 127.608078) - (xy 63.881945 127.628043) - (xy 63.768229 127.685984) - (xy 63.677984 127.776229) - (xy 63.675296 127.781505) - (xy 63.675295 127.781506) - (xy 63.670946 127.790041) - (xy 63.620043 127.889945) - (xy 63.600078 128.016) - (xy 59.335922 128.016) - (xy 59.315957 127.889945) - (xy 59.265054 127.790041) - (xy 59.260705 127.781506) - (xy 59.260704 127.781505) - (xy 59.258016 127.776229) - (xy 59.167771 127.685984) - (xy 59.054055 127.628043) - (xy 58.928 127.608078) - (xy 58.801945 127.628043) - (xy 58.688229 127.685984) - (xy 58.597984 127.776229) - (xy 58.595296 127.781505) - (xy 58.595295 127.781506) - (xy 58.590946 127.790041) - (xy 58.540043 127.889945) - (xy 58.520078 128.016) - (xy 54.255922 128.016) - (xy 54.235957 127.889945) - (xy 54.185054 127.790041) - (xy 54.180705 127.781506) - (xy 54.180704 127.781505) - (xy 54.178016 127.776229) - (xy 54.087771 127.685984) - (xy 53.974055 127.628043) - (xy 53.848 127.608078) - (xy 53.721945 127.628043) - (xy 53.608229 127.685984) - (xy 53.517984 127.776229) - (xy 53.515296 127.781505) - (xy 53.515295 127.781506) - (xy 53.510946 127.790041) - (xy 53.460043 127.889945) - (xy 53.440078 128.016) - (xy 46.101 128.016) - (xy 46.101 126.953591) - (xy 47.302922 126.953591) - (xy 47.303135 126.957658) - (xy 47.303135 126.957661) - (xy 47.30672 127.026055) - (xy 47.312321 127.132921) - (xy 47.313403 127.136848) - (xy 47.313403 127.13685) - (xy 47.35528 127.288883) - (xy 47.360008 127.306049) - (xy 47.361908 127.309653) - (xy 47.361909 127.309655) - (xy 47.430512 127.439771) - (xy 47.44376 127.464898) - (xy 47.446386 127.468005) - (xy 47.446389 127.46801) - (xy 47.525853 127.562043) - (xy 47.559668 127.602058) - (xy 47.5629 127.604529) - (xy 47.562901 127.60453) - (xy 47.612012 127.642078) - (xy 47.702326 127.711128) - (xy 47.865077 127.78702) - (xy 48.040328 127.826193) - (xy 48.045819 127.8265) - (xy 48.177866 127.8265) - (xy 48.179889 127.82628) - (xy 48.179893 127.82628) - (xy 48.249924 127.818672) - (xy 48.311525 127.81198) - (xy 48.481722 127.754703) - (xy 48.485208 127.752608) - (xy 48.485211 127.752607) - (xy 48.632158 127.664311) - (xy 48.635648 127.662214) - (xy 48.766123 127.53883) - (xy 48.784328 127.512043) - (xy 48.864771 127.393674) - (xy 48.86706 127.390306) - (xy 48.933748 127.223573) - (xy 48.937651 127.2) - (xy 77.442078 127.2) - (xy 77.462043 127.326055) - (xy 77.519984 127.439771) - (xy 77.610229 127.530016) - (xy 77.615505 127.532704) - (xy 77.615506 127.532705) - (xy 77.628473 127.539312) - (xy 77.723945 127.587957) - (xy 77.729792 127.588883) - (xy 77.828584 127.60453) - (xy 77.85 127.607922) - (xy 77.871417 127.60453) - (xy 77.970208 127.588883) - (xy 77.976055 127.587957) - (xy 78.071527 127.539312) - (xy 78.084494 127.532705) - (xy 78.084495 127.532704) - (xy 78.089771 127.530016) - (xy 78.180016 127.439771) - (xy 78.209961 127.381) - (xy 84.792078 127.381) - (xy 84.812043 127.507055) - (xy 84.81473 127.512328) - (xy 84.863517 127.608078) - (xy 84.869984 127.620771) - (xy 84.960229 127.711016) - (xy 84.965505 127.713704) - (xy 84.965506 127.713705) - (xy 85.003801 127.733217) - (xy 85.073945 127.768957) - (xy 85.2 127.788922) - (xy 85.326055 127.768957) - (xy 85.396199 127.733217) - (xy 85.434494 127.713705) - (xy 85.434495 127.713704) - (xy 85.439771 127.711016) - (xy 85.530016 127.620771) - (xy 85.536484 127.608078) - (xy 85.58527 127.512328) - (xy 85.587957 127.507055) - (xy 85.607922 127.381) - (xy 85.587957 127.254945) - (xy 85.547644 127.175826) - (xy 85.532705 127.146506) - (xy 85.532704 127.146505) - (xy 85.530016 127.141229) - (xy 85.439771 127.050984) - (xy 85.422805 127.042339) - (xy 85.349294 127.004884) - (xy 85.326055 126.993043) - (xy 85.252089 126.981328) - (xy 85.205847 126.974004) - (xy 85.2 126.973078) - (xy 85.194153 126.974004) - (xy 85.147911 126.981328) - (xy 85.073945 126.993043) - (xy 85.050706 127.004884) - (xy 84.977196 127.042339) - (xy 84.960229 127.050984) - (xy 84.869984 127.141229) - (xy 84.867296 127.146505) - (xy 84.867295 127.146506) - (xy 84.852356 127.175826) - (xy 84.812043 127.254945) - (xy 84.792078 127.381) - (xy 78.209961 127.381) - (xy 78.237957 127.326055) - (xy 78.257922 127.2) - (xy 78.237957 127.073945) - (xy 78.19238 126.984494) - (xy 78.182705 126.965506) - (xy 78.182704 126.965505) - (xy 78.180016 126.960229) - (xy 78.089771 126.869984) - (xy 78.076359 126.86315) - (xy 78.05055 126.85) - (xy 78.992078 126.85) - (xy 79.012043 126.976055) - (xy 79.01473 126.981328) - (xy 79.066384 127.082705) - (xy 79.069984 127.089771) - (xy 79.160229 127.180016) - (xy 79.165505 127.182704) - (xy 79.165506 127.182705) - (xy 79.19945 127.2) - (xy 79.273945 127.237957) - (xy 79.279792 127.238883) - (xy 79.381204 127.254945) - (xy 79.4 127.257922) - (xy 79.418797 127.254945) - (xy 79.520208 127.238883) - (xy 79.526055 127.237957) - (xy 79.60055 127.2) - (xy 79.634494 127.182705) - (xy 79.634495 127.182704) - (xy 79.639771 127.180016) - (xy 79.730016 127.089771) - (xy 79.733617 127.082705) - (xy 79.78527 126.981328) - (xy 79.787957 126.976055) - (xy 79.807922 126.85) - (xy 80.292078 126.85) - (xy 80.312043 126.976055) - (xy 80.31473 126.981328) - (xy 80.366384 127.082705) - (xy 80.369984 127.089771) - (xy 80.460229 127.180016) - (xy 80.465505 127.182704) - (xy 80.465506 127.182705) - (xy 80.49945 127.2) - (xy 80.573945 127.237957) - (xy 80.579792 127.238883) - (xy 80.681204 127.254945) - (xy 80.7 127.257922) - (xy 80.718797 127.254945) - (xy 80.820208 127.238883) - (xy 80.826055 127.237957) - (xy 80.90055 127.2) - (xy 80.934494 127.182705) - (xy 80.934495 127.182704) - (xy 80.939771 127.180016) - (xy 81.030016 127.089771) - (xy 81.033617 127.082705) - (xy 81.08527 126.981328) - (xy 81.087957 126.976055) - (xy 81.107922 126.85) - (xy 81.592078 126.85) - (xy 81.612043 126.976055) - (xy 81.61473 126.981328) - (xy 81.666384 127.082705) - (xy 81.669984 127.089771) - (xy 81.760229 127.180016) - (xy 81.765505 127.182704) - (xy 81.765506 127.182705) - (xy 81.79945 127.2) - (xy 81.873945 127.237957) - (xy 81.879792 127.238883) - (xy 81.981204 127.254945) - (xy 82 127.257922) - (xy 82.018797 127.254945) - (xy 82.120208 127.238883) - (xy 82.126055 127.237957) - (xy 82.20055 127.2) - (xy 82.234494 127.182705) - (xy 82.234495 127.182704) - (xy 82.239771 127.180016) - (xy 82.330016 127.089771) - (xy 82.333617 127.082705) - (xy 82.38527 126.981328) - (xy 82.387957 126.976055) - (xy 82.407922 126.85) - (xy 82.892078 126.85) - (xy 82.912043 126.976055) - (xy 82.91473 126.981328) - (xy 82.966384 127.082705) - (xy 82.969984 127.089771) - (xy 83.060229 127.180016) - (xy 83.065505 127.182704) - (xy 83.065506 127.182705) - (xy 83.09945 127.2) - (xy 83.173945 127.237957) - (xy 83.179792 127.238883) - (xy 83.281204 127.254945) - (xy 83.3 127.257922) - (xy 83.318797 127.254945) - (xy 83.420208 127.238883) - (xy 83.426055 127.237957) - (xy 83.50055 127.2) - (xy 83.534494 127.182705) - (xy 83.534495 127.182704) - (xy 83.539771 127.180016) - (xy 83.630016 127.089771) - (xy 83.633617 127.082705) - (xy 83.68527 126.981328) - (xy 83.687957 126.976055) - (xy 83.707922 126.85) - (xy 83.692084 126.75) - (xy 91.542078 126.75) - (xy 91.562043 126.876055) - (xy 91.574244 126.9) - (xy 91.611479 126.973078) - (xy 91.619984 126.989771) - (xy 91.710229 127.080016) - (xy 91.715505 127.082704) - (xy 91.715506 127.082705) - (xy 91.763344 127.107079) - (xy 91.823945 127.137957) - (xy 91.95 127.157922) - (xy 92.076055 127.137957) - (xy 92.136656 127.107079) - (xy 92.184494 127.082705) - (xy 92.184495 127.082704) - (xy 92.189771 127.080016) - (xy 92.280016 126.989771) - (xy 92.288522 126.973078) - (xy 92.325756 126.9) - (xy 97.042078 126.9) - (xy 97.062043 127.026055) - (xy 97.06473 127.031328) - (xy 97.116384 127.132705) - (xy 97.119984 127.139771) - (xy 97.210229 127.230016) - (xy 97.215505 127.232704) - (xy 97.215506 127.232705) - (xy 97.248806 127.249672) - (xy 97.323945 127.287957) - (xy 97.45 127.307922) - (xy 97.576055 127.287957) - (xy 97.651194 127.249672) - (xy 97.684494 127.232705) - (xy 97.684495 127.232704) - (xy 97.689771 127.230016) - (xy 97.780016 127.139771) - (xy 97.783617 127.132705) - (xy 97.83527 127.031328) - (xy 97.837957 127.026055) - (xy 97.857922 126.9) - (xy 97.842084 126.8) - (xy 106.492078 126.8) - (xy 106.512043 126.926055) - (xy 106.569984 127.039771) - (xy 106.660229 127.130016) - (xy 106.665505 127.132704) - (xy 106.665506 127.132705) - (xy 106.697271 127.14889) - (xy 106.773945 127.187957) - (xy 106.779792 127.188883) - (xy 106.886899 127.205847) - (xy 106.9 127.207922) - (xy 106.913102 127.205847) - (xy 107.020208 127.188883) - (xy 107.026055 127.187957) - (xy 107.102729 127.14889) - (xy 107.134494 127.132705) - (xy 107.134495 127.132704) - (xy 107.139771 127.130016) - (xy 107.230016 127.039771) - (xy 107.287957 126.926055) - (xy 107.307922 126.8) - (xy 108.492078 126.8) - (xy 108.512043 126.926055) - (xy 108.569984 127.039771) - (xy 108.660229 127.130016) - (xy 108.665505 127.132704) - (xy 108.665506 127.132705) - (xy 108.697271 127.14889) - (xy 108.773945 127.187957) - (xy 108.779792 127.188883) - (xy 108.886899 127.205847) - (xy 108.9 127.207922) - (xy 108.913102 127.205847) - (xy 109.020208 127.188883) - (xy 109.026055 127.187957) - (xy 109.102729 127.14889) - (xy 109.134494 127.132705) - (xy 109.134495 127.132704) - (xy 109.139771 127.130016) - (xy 109.230016 127.039771) - (xy 109.25028 127) - (xy 134.951329 127) - (xy 134.970331 127.144336) - (xy 134.972216 127.148888) - (xy 134.972217 127.14889) - (xy 135.001106 127.218632) - (xy 135.026043 127.278835) - (xy 135.029039 127.282739) - (xy 135.029041 127.282743) - (xy 135.108923 127.386847) - (xy 135.114667 127.394333) - (xy 135.230164 127.482957) - (xy 135.274226 127.501208) - (xy 135.36011 127.536783) - (xy 135.360112 127.536784) - (xy 135.364664 127.538669) - (xy 135.509 127.557671) - (xy 135.653336 127.538669) - (xy 135.657888 127.536784) - (xy 135.65789 127.536783) - (xy 135.743774 127.501208) - (xy 135.787836 127.482957) - (xy 135.903333 127.394333) - (xy 135.909077 127.386847) - (xy 135.988959 127.282743) - (xy 135.988961 127.282739) - (xy 135.991957 127.278835) - (xy 136.016894 127.218632) - (xy 136.045783 127.14889) - (xy 136.045784 127.148888) - (xy 136.047669 127.144336) - (xy 136.066671 127) - (xy 136.602329 127) - (xy 136.621331 127.144336) - (xy 136.623216 127.148888) - (xy 136.623217 127.14889) - (xy 136.652106 127.218632) - (xy 136.677043 127.278835) - (xy 136.680039 127.282739) - (xy 136.680041 127.282743) - (xy 136.759923 127.386847) - (xy 136.765667 127.394333) - (xy 136.881164 127.482957) - (xy 136.925226 127.501208) - (xy 137.01111 127.536783) - (xy 137.011112 127.536784) - (xy 137.015664 127.538669) - (xy 137.16 127.557671) - (xy 137.304336 127.538669) - (xy 137.308888 127.536784) - (xy 137.30889 127.536783) - (xy 137.394774 127.501208) - (xy 137.438836 127.482957) - (xy 137.554333 127.394333) - (xy 137.560077 127.386847) - (xy 137.639959 127.282743) - (xy 137.639961 127.282739) - (xy 137.642957 127.278835) - (xy 137.667894 127.218632) - (xy 137.696783 127.14889) - (xy 137.696784 127.148888) - (xy 137.698669 127.144336) - (xy 137.717671 127) - (xy 137.711561 126.953591) - (xy 142.171922 126.953591) - (xy 142.172135 126.957658) - (xy 142.172135 126.957661) - (xy 142.17572 127.026055) - (xy 142.181321 127.132921) - (xy 142.182403 127.136848) - (xy 142.182403 127.13685) - (xy 142.22428 127.288883) - (xy 142.229008 127.306049) - (xy 142.230908 127.309653) - (xy 142.230909 127.309655) - (xy 142.299512 127.439771) - (xy 142.31276 127.464898) - (xy 142.315386 127.468005) - (xy 142.315389 127.46801) - (xy 142.394853 127.562043) - (xy 142.428668 127.602058) - (xy 142.4319 127.604529) - (xy 142.431901 127.60453) - (xy 142.481012 127.642078) - (xy 142.571326 127.711128) - (xy 142.734077 127.78702) - (xy 142.909328 127.826193) - (xy 142.914819 127.8265) - (xy 143.046866 127.8265) - (xy 143.048889 127.82628) - (xy 143.048893 127.82628) - (xy 143.118924 127.818672) - (xy 143.180525 127.81198) - (xy 143.350722 127.754703) - (xy 143.354208 127.752608) - (xy 143.354211 127.752607) - (xy 143.501158 127.664311) - (xy 143.504648 127.662214) - (xy 143.635123 127.53883) - (xy 143.653328 127.512043) - (xy 143.733771 127.393674) - (xy 143.73606 127.390306) - (xy 143.802748 127.223573) - (xy 143.832078 127.046409) - (xy 143.829233 126.992117) - (xy 143.82577 126.926055) - (xy 143.822679 126.867079) - (xy 143.819535 126.855664) - (xy 143.776074 126.697878) - (xy 143.776073 126.697875) - (xy 143.774992 126.693951) - (xy 143.757213 126.660229) - (xy 143.693142 126.538709) - (xy 143.693141 126.538707) - (xy 143.69124 126.535102) - (xy 143.688614 126.531995) - (xy 143.688611 126.53199) - (xy 143.599089 126.426055) - (xy 143.575332 126.397942) - (xy 143.568874 126.393004) - (xy 143.435906 126.291343) - (xy 143.432674 126.288872) - (xy 143.269923 126.21298) - (xy 143.094672 126.173807) - (xy 143.089181 126.1735) - (xy 142.957134 126.1735) - (xy 142.955111 126.17372) - (xy 142.955107 126.17372) - (xy 142.899215 126.179792) - (xy 142.823475 126.18802) - (xy 142.653278 126.245297) - (xy 142.649792 126.247392) - (xy 142.649789 126.247393) - (xy 142.562238 126.3) - (xy 142.499352 126.337786) - (xy 142.496393 126.340584) - (xy 142.496392 126.340585) - (xy 142.470574 126.365) - (xy 142.368877 126.46117) - (xy 142.366589 126.464537) - (xy 142.366588 126.464538) - (xy 142.350043 126.488883) - (xy 142.26794 126.609694) - (xy 142.201252 126.776427) - (xy 142.171922 126.953591) - (xy 137.711561 126.953591) - (xy 137.698669 126.855664) - (xy 137.681714 126.81473) - (xy 137.644841 126.725714) - (xy 137.642957 126.721165) - (xy 137.639961 126.717261) - (xy 137.639959 126.717257) - (xy 137.557331 126.609574) - (xy 137.554333 126.605667) - (xy 137.438836 126.517043) - (xy 137.335342 126.474174) - (xy 137.30889 126.463217) - (xy 137.308888 126.463216) - (xy 137.304336 126.461331) - (xy 137.16 126.442329) - (xy 137.015664 126.461331) - (xy 137.011112 126.463216) - (xy 137.01111 126.463217) - (xy 136.957871 126.48527) - (xy 136.881165 126.517043) - (xy 136.877261 126.520039) - (xy 136.877257 126.520041) - (xy 136.773712 126.599494) - (xy 136.765667 126.605667) - (xy 136.762669 126.609574) - (xy 136.680041 126.717257) - (xy 136.680039 126.717261) - (xy 136.677043 126.721165) - (xy 136.675159 126.725714) - (xy 136.638287 126.81473) - (xy 136.621331 126.855664) - (xy 136.602329 127) - (xy 136.066671 127) - (xy 136.047669 126.855664) - (xy 136.030714 126.81473) - (xy 135.993841 126.725714) - (xy 135.991957 126.721165) - (xy 135.988961 126.717261) - (xy 135.988959 126.717257) - (xy 135.906331 126.609574) - (xy 135.903333 126.605667) - (xy 135.787836 126.517043) - (xy 135.684342 126.474174) - (xy 135.65789 126.463217) - (xy 135.657888 126.463216) - (xy 135.653336 126.461331) - (xy 135.509 126.442329) - (xy 135.364664 126.461331) - (xy 135.360112 126.463216) - (xy 135.36011 126.463217) - (xy 135.306871 126.48527) - (xy 135.230165 126.517043) - (xy 135.226261 126.520039) - (xy 135.226257 126.520041) - (xy 135.122712 126.599494) - (xy 135.114667 126.605667) - (xy 135.111669 126.609574) - (xy 135.029041 126.717257) - (xy 135.029039 126.717261) - (xy 135.026043 126.721165) - (xy 135.024159 126.725714) - (xy 134.987287 126.81473) - (xy 134.970331 126.855664) - (xy 134.951329 127) - (xy 109.25028 127) - (xy 109.287957 126.926055) - (xy 109.307922 126.8) - (xy 109.287957 126.673945) - (xy 109.25164 126.602669) - (xy 109.232705 126.565506) - (xy 109.232704 126.565505) - (xy 109.230016 126.560229) - (xy 109.139771 126.469984) - (xy 109.12946 126.46473) - (xy 109.070118 126.434494) - (xy 109.026055 126.412043) - (xy 108.937024 126.397942) - (xy 108.905847 126.393004) - (xy 108.9 126.392078) - (xy 108.894153 126.393004) - (xy 108.862976 126.397942) - (xy 108.773945 126.412043) - (xy 108.729882 126.434494) - (xy 108.670541 126.46473) - (xy 108.660229 126.469984) - (xy 108.569984 126.560229) - (xy 108.567296 126.565505) - (xy 108.567295 126.565506) - (xy 108.54836 126.602669) - (xy 108.512043 126.673945) - (xy 108.492078 126.8) - (xy 107.307922 126.8) - (xy 107.287957 126.673945) - (xy 107.25164 126.602669) - (xy 107.232705 126.565506) - (xy 107.232704 126.565505) - (xy 107.230016 126.560229) - (xy 107.139771 126.469984) - (xy 107.12946 126.46473) - (xy 107.070118 126.434494) - (xy 107.026055 126.412043) - (xy 106.937024 126.397942) - (xy 106.905847 126.393004) - (xy 106.9 126.392078) - (xy 106.894153 126.393004) - (xy 106.862976 126.397942) - (xy 106.773945 126.412043) - (xy 106.729882 126.434494) - (xy 106.670541 126.46473) - (xy 106.660229 126.469984) - (xy 106.569984 126.560229) - (xy 106.567296 126.565505) - (xy 106.567295 126.565506) - (xy 106.54836 126.602669) - (xy 106.512043 126.673945) - (xy 106.492078 126.8) - (xy 97.842084 126.8) - (xy 97.837957 126.773945) - (xy 97.780016 126.660229) - (xy 97.689771 126.569984) - (xy 97.680983 126.565506) - (xy 97.620118 126.534494) - (xy 97.576055 126.512043) - (xy 97.45 126.492078) - (xy 97.323945 126.512043) - (xy 97.279882 126.534494) - (xy 97.219018 126.565506) - (xy 97.210229 126.569984) - (xy 97.119984 126.660229) - (xy 97.062043 126.773945) - (xy 97.042078 126.9) - (xy 92.325756 126.9) - (xy 92.337957 126.876055) - (xy 92.357922 126.75) - (xy 92.337957 126.623945) - (xy 92.294527 126.538709) - (xy 92.282705 126.515506) - (xy 92.282704 126.515505) - (xy 92.280016 126.510229) - (xy 92.189771 126.419984) - (xy 92.17946 126.41473) - (xy 92.135002 126.392078) - (xy 92.076055 126.362043) - (xy 91.95 126.342078) - (xy 91.823945 126.362043) - (xy 91.764998 126.392078) - (xy 91.720541 126.41473) - (xy 91.710229 126.419984) - (xy 91.619984 126.510229) - (xy 91.617296 126.515505) - (xy 91.617295 126.515506) - (xy 91.605473 126.538709) - (xy 91.562043 126.623945) - (xy 91.542078 126.75) - (xy 83.692084 126.75) - (xy 83.687957 126.723945) - (xy 83.630016 126.610229) - (xy 83.539771 126.519984) - (xy 83.530983 126.515506) - (xy 83.471519 126.485208) - (xy 83.426055 126.462043) - (xy 83.3 126.442078) - (xy 83.173945 126.462043) - (xy 83.128481 126.485208) - (xy 83.069018 126.515506) - (xy 83.060229 126.519984) - (xy 82.969984 126.610229) - (xy 82.912043 126.723945) - (xy 82.892078 126.85) - (xy 82.407922 126.85) - (xy 82.387957 126.723945) - (xy 82.330016 126.610229) - (xy 82.239771 126.519984) - (xy 82.230983 126.515506) - (xy 82.171519 126.485208) - (xy 82.126055 126.462043) - (xy 82 126.442078) - (xy 81.873945 126.462043) - (xy 81.828481 126.485208) - (xy 81.769018 126.515506) - (xy 81.760229 126.519984) - (xy 81.669984 126.610229) - (xy 81.612043 126.723945) - (xy 81.592078 126.85) - (xy 81.107922 126.85) - (xy 81.087957 126.723945) - (xy 81.030016 126.610229) - (xy 80.939771 126.519984) - (xy 80.930983 126.515506) - (xy 80.871519 126.485208) - (xy 80.826055 126.462043) - (xy 80.7 126.442078) - (xy 80.573945 126.462043) - (xy 80.528481 126.485208) - (xy 80.469018 126.515506) - (xy 80.460229 126.519984) - (xy 80.369984 126.610229) - (xy 80.312043 126.723945) - (xy 80.292078 126.85) - (xy 79.807922 126.85) - (xy 79.787957 126.723945) - (xy 79.730016 126.610229) - (xy 79.639771 126.519984) - (xy 79.630983 126.515506) - (xy 79.571519 126.485208) - (xy 79.526055 126.462043) - (xy 79.4 126.442078) - (xy 79.273945 126.462043) - (xy 79.228481 126.485208) - (xy 79.169018 126.515506) - (xy 79.160229 126.519984) - (xy 79.069984 126.610229) - (xy 79.012043 126.723945) - (xy 78.992078 126.85) - (xy 78.05055 126.85) - (xy 78.036656 126.842921) - (xy 77.976055 126.812043) - (xy 77.900018 126.8) - (xy 77.855847 126.793004) - (xy 77.85 126.792078) - (xy 77.844153 126.793004) - (xy 77.799982 126.8) - (xy 77.723945 126.812043) - (xy 77.663344 126.842921) - (xy 77.623642 126.86315) - (xy 77.610229 126.869984) - (xy 77.519984 126.960229) - (xy 77.517296 126.965505) - (xy 77.517295 126.965506) - (xy 77.50762 126.984494) - (xy 77.462043 127.073945) - (xy 77.442078 127.2) - (xy 48.937651 127.2) - (xy 48.963078 127.046409) - (xy 48.960233 126.992117) - (xy 48.95677 126.926055) - (xy 48.953679 126.867079) - (xy 48.950535 126.855664) - (xy 48.907074 126.697878) - (xy 48.907073 126.697875) - (xy 48.905992 126.693951) - (xy 48.888213 126.660229) - (xy 48.824142 126.538709) - (xy 48.824141 126.538707) - (xy 48.82224 126.535102) - (xy 48.819614 126.531995) - (xy 48.819611 126.53199) - (xy 48.730089 126.426055) - (xy 48.706332 126.397942) - (xy 48.699874 126.393004) - (xy 48.566906 126.291343) - (xy 48.563674 126.288872) - (xy 48.400923 126.21298) - (xy 48.225672 126.173807) - (xy 48.220181 126.1735) - (xy 48.088134 126.1735) - (xy 48.086111 126.17372) - (xy 48.086107 126.17372) - (xy 48.030215 126.179792) - (xy 47.954475 126.18802) - (xy 47.784278 126.245297) - (xy 47.780792 126.247392) - (xy 47.780789 126.247393) - (xy 47.693238 126.3) - (xy 47.630352 126.337786) - (xy 47.627393 126.340584) - (xy 47.627392 126.340585) - (xy 47.601574 126.365) - (xy 47.499877 126.46117) - (xy 47.497589 126.464537) - (xy 47.497588 126.464538) - (xy 47.481043 126.488883) - (xy 47.39894 126.609694) - (xy 47.332252 126.776427) - (xy 47.302922 126.953591) - (xy 46.101 126.953591) - (xy 46.101 126.1) - (xy 78.342078 126.1) - (xy 78.362043 126.226055) - (xy 78.419984 126.339771) - (xy 78.510229 126.430016) - (xy 78.515505 126.432704) - (xy 78.515506 126.432705) - (xy 78.563344 126.457079) - (xy 78.623945 126.487957) - (xy 78.75 126.507922) - (xy 78.876055 126.487957) - (xy 78.936656 126.457079) - (xy 78.984494 126.432705) - (xy 78.984495 126.432704) - (xy 78.989771 126.430016) - (xy 79.080016 126.339771) - (xy 79.137957 126.226055) - (xy 79.157922 126.1) - (xy 79.642078 126.1) - (xy 79.662043 126.226055) - (xy 79.719984 126.339771) - (xy 79.810229 126.430016) - (xy 79.815505 126.432704) - (xy 79.815506 126.432705) - (xy 79.863344 126.457079) - (xy 79.923945 126.487957) - (xy 80.05 126.507922) - (xy 80.176055 126.487957) - (xy 80.236656 126.457079) - (xy 80.284494 126.432705) - (xy 80.284495 126.432704) - (xy 80.289771 126.430016) - (xy 80.380016 126.339771) - (xy 80.437957 126.226055) - (xy 80.457922 126.1) - (xy 80.942078 126.1) - (xy 80.962043 126.226055) - (xy 81.019984 126.339771) - (xy 81.110229 126.430016) - (xy 81.115505 126.432704) - (xy 81.115506 126.432705) - (xy 81.163344 126.457079) - (xy 81.223945 126.487957) - (xy 81.35 126.507922) - (xy 81.476055 126.487957) - (xy 81.536656 126.457079) - (xy 81.584494 126.432705) - (xy 81.584495 126.432704) - (xy 81.589771 126.430016) - (xy 81.680016 126.339771) - (xy 81.737957 126.226055) - (xy 81.757922 126.1) - (xy 82.242078 126.1) - (xy 82.262043 126.226055) - (xy 82.319984 126.339771) - (xy 82.410229 126.430016) - (xy 82.415505 126.432704) - (xy 82.415506 126.432705) - (xy 82.463344 126.457079) - (xy 82.523945 126.487957) - (xy 82.65 126.507922) - (xy 82.776055 126.487957) - (xy 82.836656 126.457079) - (xy 82.884494 126.432705) - (xy 82.884495 126.432704) - (xy 82.889771 126.430016) - (xy 82.980016 126.339771) - (xy 83.037957 126.226055) - (xy 83.057922 126.1) - (xy 83.542078 126.1) - (xy 83.562043 126.226055) - (xy 83.619984 126.339771) - (xy 83.710229 126.430016) - (xy 83.715505 126.432704) - (xy 83.715506 126.432705) - (xy 83.763344 126.457079) - (xy 83.823945 126.487957) - (xy 83.95 126.507922) - (xy 84.076055 126.487957) - (xy 84.136656 126.457079) - (xy 84.184494 126.432705) - (xy 84.184495 126.432704) - (xy 84.189771 126.430016) - (xy 84.280016 126.339771) - (xy 84.337957 126.226055) - (xy 84.342084 126.2) - (xy 86.492078 126.2) - (xy 86.512043 126.326055) - (xy 86.534866 126.370847) - (xy 86.566384 126.432705) - (xy 86.569984 126.439771) - (xy 86.660229 126.530016) - (xy 86.665505 126.532704) - (xy 86.665506 126.532705) - (xy 86.711302 126.556039) - (xy 86.773945 126.587957) - (xy 86.779792 126.588883) - (xy 86.866834 126.602669) - (xy 86.9 126.607922) - (xy 86.933167 126.602669) - (xy 87.020208 126.588883) - (xy 87.026055 126.587957) - (xy 87.088698 126.556039) - (xy 87.134494 126.532705) - (xy 87.134495 126.532704) - (xy 87.139771 126.530016) - (xy 87.230016 126.439771) - (xy 87.233617 126.432705) - (xy 87.265134 126.370847) - (xy 87.287957 126.326055) - (xy 87.292084 126.3) - (xy 90.492078 126.3) - (xy 90.512043 126.426055) - (xy 90.516343 126.434494) - (xy 90.566384 126.532705) - (xy 90.569984 126.539771) - (xy 90.660229 126.630016) - (xy 90.773945 126.687957) - (xy 90.9 126.707922) - (xy 91.026055 126.687957) - (xy 91.139771 126.630016) - (xy 91.230016 126.539771) - (xy 91.233617 126.532705) - (xy 91.283657 126.434494) - (xy 91.287957 126.426055) - (xy 91.307922 126.3) - (xy 91.287957 126.173945) - (xy 91.25028 126.1) - (xy 92.742078 126.1) - (xy 92.762043 126.226055) - (xy 92.819984 126.339771) - (xy 92.910229 126.430016) - (xy 92.915505 126.432704) - (xy 92.915506 126.432705) - (xy 92.963344 126.457079) - (xy 93.023945 126.487957) - (xy 93.15 126.507922) - (xy 93.276055 126.487957) - (xy 93.336656 126.457079) - (xy 93.384494 126.432705) - (xy 93.384495 126.432704) - (xy 93.389771 126.430016) - (xy 93.480016 126.339771) - (xy 93.537957 126.226055) - (xy 93.557922 126.1) - (xy 101.942078 126.1) - (xy 101.962043 126.226055) - (xy 102.019984 126.339771) - (xy 102.110229 126.430016) - (xy 102.115505 126.432704) - (xy 102.115506 126.432705) - (xy 102.163344 126.457079) - (xy 102.223945 126.487957) - (xy 102.35 126.507922) - (xy 102.476055 126.487957) - (xy 102.536656 126.457079) - (xy 102.584494 126.432705) - (xy 102.584495 126.432704) - (xy 102.589771 126.430016) - (xy 102.680016 126.339771) - (xy 102.737957 126.226055) - (xy 102.757922 126.1) - (xy 109.842078 126.1) - (xy 109.862043 126.226055) - (xy 109.919984 126.339771) - (xy 110.010229 126.430016) - (xy 110.015505 126.432704) - (xy 110.015506 126.432705) - (xy 110.063344 126.457079) - (xy 110.123945 126.487957) - (xy 110.25 126.507922) - (xy 110.376055 126.487957) - (xy 110.436656 126.457079) - (xy 110.484494 126.432705) - (xy 110.484495 126.432704) - (xy 110.489771 126.430016) - (xy 110.580016 126.339771) - (xy 110.637957 126.226055) - (xy 110.657922 126.1) - (xy 111.142078 126.1) - (xy 111.162043 126.226055) - (xy 111.219984 126.339771) - (xy 111.310229 126.430016) - (xy 111.315505 126.432704) - (xy 111.315506 126.432705) - (xy 111.363344 126.457079) - (xy 111.423945 126.487957) - (xy 111.55 126.507922) - (xy 111.676055 126.487957) - (xy 111.736656 126.457079) - (xy 111.784494 126.432705) - (xy 111.784495 126.432704) - (xy 111.789771 126.430016) - (xy 111.854787 126.365) - (xy 122.655078 126.365) - (xy 122.675043 126.491055) - (xy 122.688285 126.517043) - (xy 122.724889 126.588883) - (xy 122.732984 126.604771) - (xy 122.823229 126.695016) - (xy 122.828505 126.697704) - (xy 122.828506 126.697705) - (xy 122.869657 126.718672) - (xy 122.936945 126.752957) - (xy 123.063 126.772922) - (xy 123.189055 126.752957) - (xy 123.256343 126.718672) - (xy 123.297494 126.697705) - (xy 123.297495 126.697704) - (xy 123.302771 126.695016) - (xy 123.393016 126.604771) - (xy 123.401112 126.588883) - (xy 123.437715 126.517043) - (xy 123.450957 126.491055) - (xy 123.470922 126.365) - (xy 127.735078 126.365) - (xy 127.755043 126.491055) - (xy 127.768285 126.517043) - (xy 127.804889 126.588883) - (xy 127.812984 126.604771) - (xy 127.903229 126.695016) - (xy 127.908505 126.697704) - (xy 127.908506 126.697705) - (xy 127.949657 126.718672) - (xy 128.016945 126.752957) - (xy 128.143 126.772922) - (xy 128.269055 126.752957) - (xy 128.336343 126.718672) - (xy 128.377494 126.697705) - (xy 128.377495 126.697704) - (xy 128.382771 126.695016) - (xy 128.473016 126.604771) - (xy 128.481112 126.588883) - (xy 128.517715 126.517043) - (xy 128.530957 126.491055) - (xy 128.550922 126.365) - (xy 128.530957 126.238945) - (xy 128.473016 126.125229) - (xy 128.382771 126.034984) - (xy 128.269055 125.977043) - (xy 128.143 125.957078) - (xy 128.016945 125.977043) - (xy 127.903229 126.034984) - (xy 127.812984 126.125229) - (xy 127.755043 126.238945) - (xy 127.735078 126.365) - (xy 123.470922 126.365) - (xy 123.450957 126.238945) - (xy 123.393016 126.125229) - (xy 123.302771 126.034984) - (xy 123.189055 125.977043) - (xy 123.063 125.957078) - (xy 122.936945 125.977043) - (xy 122.823229 126.034984) - (xy 122.732984 126.125229) - (xy 122.675043 126.238945) - (xy 122.655078 126.365) - (xy 111.854787 126.365) - (xy 111.880016 126.339771) - (xy 111.937957 126.226055) - (xy 111.957922 126.1) - (xy 111.937957 125.973945) - (xy 111.896244 125.892078) - (xy 111.882705 125.865506) - (xy 111.882704 125.865505) - (xy 111.880016 125.860229) - (xy 111.789771 125.769984) - (xy 111.676055 125.712043) - (xy 111.55 125.692078) - (xy 111.423945 125.712043) - (xy 111.310229 125.769984) - (xy 111.219984 125.860229) - (xy 111.217296 125.865505) - (xy 111.217295 125.865506) - (xy 111.203756 125.892078) - (xy 111.162043 125.973945) - (xy 111.142078 126.1) - (xy 110.657922 126.1) - (xy 110.637957 125.973945) - (xy 110.596244 125.892078) - (xy 110.582705 125.865506) - (xy 110.582704 125.865505) - (xy 110.580016 125.860229) - (xy 110.489771 125.769984) - (xy 110.376055 125.712043) - (xy 110.25 125.692078) - (xy 110.123945 125.712043) - (xy 110.010229 125.769984) - (xy 109.919984 125.860229) - (xy 109.917296 125.865505) - (xy 109.917295 125.865506) - (xy 109.903756 125.892078) - (xy 109.862043 125.973945) - (xy 109.842078 126.1) - (xy 102.757922 126.1) - (xy 102.737957 125.973945) - (xy 102.696244 125.892078) - (xy 102.682705 125.865506) - (xy 102.682704 125.865505) - (xy 102.680016 125.860229) - (xy 102.589771 125.769984) - (xy 102.476055 125.712043) - (xy 102.35 125.692078) - (xy 102.223945 125.712043) - (xy 102.110229 125.769984) - (xy 102.019984 125.860229) - (xy 102.017296 125.865505) - (xy 102.017295 125.865506) - (xy 102.003756 125.892078) - (xy 101.962043 125.973945) - (xy 101.942078 126.1) - (xy 93.557922 126.1) - (xy 93.537957 125.973945) - (xy 93.496244 125.892078) - (xy 93.482705 125.865506) - (xy 93.482704 125.865505) - (xy 93.480016 125.860229) - (xy 93.389771 125.769984) - (xy 93.276055 125.712043) - (xy 93.15 125.692078) - (xy 93.023945 125.712043) - (xy 92.910229 125.769984) - (xy 92.819984 125.860229) - (xy 92.817296 125.865505) - (xy 92.817295 125.865506) - (xy 92.803756 125.892078) - (xy 92.762043 125.973945) - (xy 92.742078 126.1) - (xy 91.25028 126.1) - (xy 91.230016 126.060229) - (xy 91.139771 125.969984) - (xy 91.130983 125.965506) - (xy 91.086656 125.942921) - (xy 91.026055 125.912043) - (xy 90.9 125.892078) - (xy 90.773945 125.912043) - (xy 90.713344 125.942921) - (xy 90.669018 125.965506) - (xy 90.660229 125.969984) - (xy 90.569984 126.060229) - (xy 90.512043 126.173945) - (xy 90.492078 126.3) - (xy 87.292084 126.3) - (xy 87.307922 126.2) - (xy 87.306231 126.18932) - (xy 87.288883 126.079792) - (xy 87.287957 126.073945) - (xy 87.238583 125.977043) - (xy 87.232705 125.965506) - (xy 87.232704 125.965505) - (xy 87.230016 125.960229) - (xy 87.139771 125.869984) - (xy 87.130983 125.865506) - (xy 87.086656 125.842921) - (xy 87.026055 125.812043) - (xy 86.9 125.792078) - (xy 86.773945 125.812043) - (xy 86.713344 125.842921) - (xy 86.669018 125.865506) - (xy 86.660229 125.869984) - (xy 86.569984 125.960229) - (xy 86.567296 125.965505) - (xy 86.567295 125.965506) - (xy 86.561417 125.977043) - (xy 86.512043 126.073945) - (xy 86.511117 126.079792) - (xy 86.49377 126.18932) - (xy 86.492078 126.2) - (xy 84.342084 126.2) - (xy 84.357922 126.1) - (xy 84.337957 125.973945) - (xy 84.296244 125.892078) - (xy 84.282705 125.865506) - (xy 84.282704 125.865505) - (xy 84.280016 125.860229) - (xy 84.189771 125.769984) - (xy 84.076055 125.712043) - (xy 83.95 125.692078) - (xy 83.823945 125.712043) - (xy 83.710229 125.769984) - (xy 83.619984 125.860229) - (xy 83.617296 125.865505) - (xy 83.617295 125.865506) - (xy 83.603756 125.892078) - (xy 83.562043 125.973945) - (xy 83.542078 126.1) - (xy 83.057922 126.1) - (xy 83.037957 125.973945) - (xy 82.996244 125.892078) - (xy 82.982705 125.865506) - (xy 82.982704 125.865505) - (xy 82.980016 125.860229) - (xy 82.889771 125.769984) - (xy 82.776055 125.712043) - (xy 82.65 125.692078) - (xy 82.523945 125.712043) - (xy 82.410229 125.769984) - (xy 82.319984 125.860229) - (xy 82.317296 125.865505) - (xy 82.317295 125.865506) - (xy 82.303756 125.892078) - (xy 82.262043 125.973945) - (xy 82.242078 126.1) - (xy 81.757922 126.1) - (xy 81.737957 125.973945) - (xy 81.696244 125.892078) - (xy 81.682705 125.865506) - (xy 81.682704 125.865505) - (xy 81.680016 125.860229) - (xy 81.589771 125.769984) - (xy 81.476055 125.712043) - (xy 81.35 125.692078) - (xy 81.223945 125.712043) - (xy 81.110229 125.769984) - (xy 81.019984 125.860229) - (xy 81.017296 125.865505) - (xy 81.017295 125.865506) - (xy 81.003756 125.892078) - (xy 80.962043 125.973945) - (xy 80.942078 126.1) - (xy 80.457922 126.1) - (xy 80.437957 125.973945) - (xy 80.396244 125.892078) - (xy 80.382705 125.865506) - (xy 80.382704 125.865505) - (xy 80.380016 125.860229) - (xy 80.289771 125.769984) - (xy 80.176055 125.712043) - (xy 80.05 125.692078) - (xy 79.923945 125.712043) - (xy 79.810229 125.769984) - (xy 79.719984 125.860229) - (xy 79.717296 125.865505) - (xy 79.717295 125.865506) - (xy 79.703756 125.892078) - (xy 79.662043 125.973945) - (xy 79.642078 126.1) - (xy 79.157922 126.1) - (xy 79.137957 125.973945) - (xy 79.096244 125.892078) - (xy 79.082705 125.865506) - (xy 79.082704 125.865505) - (xy 79.080016 125.860229) - (xy 78.989771 125.769984) - (xy 78.876055 125.712043) - (xy 78.75 125.692078) - (xy 78.623945 125.712043) - (xy 78.510229 125.769984) - (xy 78.419984 125.860229) - (xy 78.417296 125.865505) - (xy 78.417295 125.865506) - (xy 78.403756 125.892078) - (xy 78.362043 125.973945) - (xy 78.342078 126.1) - (xy 46.101 126.1) - (xy 46.101 125.4) - (xy 116.192078 125.4) - (xy 116.212043 125.526055) - (xy 116.269984 125.639771) - (xy 116.360229 125.730016) - (xy 116.473945 125.787957) - (xy 116.6 125.807922) - (xy 116.726055 125.787957) - (xy 116.839771 125.730016) - (xy 116.930016 125.639771) - (xy 116.987957 125.526055) - (xy 117.007922 125.4) - (xy 116.987957 125.273945) - (xy 116.94238 125.184494) - (xy 116.932705 125.165506) - (xy 116.932704 125.165505) - (xy 116.930016 125.160229) - (xy 116.839771 125.069984) - (xy 116.726055 125.012043) - (xy 116.6 124.992078) - (xy 116.473945 125.012043) - (xy 116.360229 125.069984) - (xy 116.269984 125.160229) - (xy 116.267296 125.165505) - (xy 116.267295 125.165506) - (xy 116.25762 125.184494) - (xy 116.212043 125.273945) - (xy 116.192078 125.4) - (xy 46.101 125.4) - (xy 46.101 125.286481) - (xy 46.118593 125.238143) - (xy 46.163142 125.212423) - (xy 46.210341 125.219478) - (xy 46.228945 125.228957) - (xy 46.355 125.248922) - (xy 46.481055 125.228957) - (xy 46.594771 125.171016) - (xy 46.685016 125.080771) - (xy 46.688378 125.074174) - (xy 46.718665 125.01473) - (xy 46.742957 124.967055) - (xy 46.762922 124.841) - (xy 51.027078 124.841) - (xy 51.047043 124.967055) - (xy 51.071335 125.01473) - (xy 51.101623 125.074174) - (xy 51.104984 125.080771) - (xy 51.195229 125.171016) - (xy 51.308945 125.228957) - (xy 51.435 125.248922) - (xy 51.561055 125.228957) - (xy 51.674771 125.171016) - (xy 51.765016 125.080771) - (xy 51.768378 125.074174) - (xy 51.798665 125.01473) - (xy 51.822957 124.967055) - (xy 51.842922 124.841) - (xy 55.980078 124.841) - (xy 56.000043 124.967055) - (xy 56.024335 125.01473) - (xy 56.054623 125.074174) - (xy 56.057984 125.080771) - (xy 56.148229 125.171016) - (xy 56.261945 125.228957) - (xy 56.388 125.248922) - (xy 56.514055 125.228957) - (xy 56.627771 125.171016) - (xy 56.718016 125.080771) - (xy 56.721378 125.074174) - (xy 56.751665 125.01473) - (xy 56.775957 124.967055) - (xy 56.795922 124.841) - (xy 56.775957 124.714945) - (xy 56.775476 124.714) - (xy 61.123578 124.714) - (xy 61.143543 124.840055) - (xy 61.201484 124.953771) - (xy 61.291729 125.044016) - (xy 61.405445 125.101957) - (xy 61.5315 125.121922) - (xy 61.657555 125.101957) - (xy 61.771271 125.044016) - (xy 61.861516 124.953771) - (xy 61.919457 124.840055) - (xy 61.939422 124.714) - (xy 65.695578 124.714) - (xy 65.715543 124.840055) - (xy 65.773484 124.953771) - (xy 65.863729 125.044016) - (xy 65.977445 125.101957) - (xy 66.1035 125.121922) - (xy 66.229555 125.101957) - (xy 66.343271 125.044016) - (xy 66.433516 124.953771) - (xy 66.490976 124.841) - (xy 71.347078 124.841) - (xy 71.367043 124.967055) - (xy 71.391335 125.01473) - (xy 71.421623 125.074174) - (xy 71.424984 125.080771) - (xy 71.515229 125.171016) - (xy 71.628945 125.228957) - (xy 71.755 125.248922) - (xy 71.881055 125.228957) - (xy 71.994771 125.171016) - (xy 72.085016 125.080771) - (xy 72.088378 125.074174) - (xy 72.118665 125.01473) - (xy 72.142957 124.967055) - (xy 72.145658 124.95) - (xy 84.192078 124.95) - (xy 84.212043 125.076055) - (xy 84.269984 125.189771) - (xy 84.360229 125.280016) - (xy 84.473945 125.337957) - (xy 84.6 125.357922) - (xy 84.726055 125.337957) - (xy 84.839771 125.280016) - (xy 84.930016 125.189771) - (xy 84.987957 125.076055) - (xy 85.007922 124.95) - (xy 93.392078 124.95) - (xy 93.412043 125.076055) - (xy 93.469984 125.189771) - (xy 93.560229 125.280016) - (xy 93.673945 125.337957) - (xy 93.8 125.357922) - (xy 93.926055 125.337957) - (xy 94.039771 125.280016) - (xy 94.130016 125.189771) - (xy 94.187957 125.076055) - (xy 94.207922 124.95) - (xy 102.592078 124.95) - (xy 102.612043 125.076055) - (xy 102.669984 125.189771) - (xy 102.760229 125.280016) - (xy 102.873945 125.337957) - (xy 103 125.357922) - (xy 103.126055 125.337957) - (xy 103.239771 125.280016) - (xy 103.330016 125.189771) - (xy 103.387957 125.076055) - (xy 103.407922 124.95) - (xy 111.792078 124.95) - (xy 111.812043 125.076055) - (xy 111.869984 125.189771) - (xy 111.960229 125.280016) - (xy 112.073945 125.337957) - (xy 112.2 125.357922) - (xy 112.326055 125.337957) - (xy 112.439771 125.280016) - (xy 112.530016 125.189771) - (xy 112.587957 125.076055) - (xy 112.607922 124.95) - (xy 112.587957 124.823945) - (xy 112.530016 124.710229) - (xy 112.439771 124.619984) - (xy 112.326055 124.562043) - (xy 112.2 124.542078) - (xy 112.073945 124.562043) - (xy 111.960229 124.619984) - (xy 111.869984 124.710229) - (xy 111.812043 124.823945) - (xy 111.792078 124.95) - (xy 103.407922 124.95) - (xy 103.387957 124.823945) - (xy 103.330016 124.710229) - (xy 103.239771 124.619984) - (xy 103.126055 124.562043) - (xy 103 124.542078) - (xy 102.873945 124.562043) - (xy 102.760229 124.619984) - (xy 102.669984 124.710229) - (xy 102.612043 124.823945) - (xy 102.592078 124.95) - (xy 94.207922 124.95) - (xy 94.187957 124.823945) - (xy 94.130016 124.710229) - (xy 94.039771 124.619984) - (xy 93.926055 124.562043) - (xy 93.8 124.542078) - (xy 93.673945 124.562043) - (xy 93.560229 124.619984) - (xy 93.469984 124.710229) - (xy 93.412043 124.823945) - (xy 93.392078 124.95) - (xy 85.007922 124.95) - (xy 84.987957 124.823945) - (xy 84.930016 124.710229) - (xy 84.839771 124.619984) - (xy 84.726055 124.562043) - (xy 84.6 124.542078) - (xy 84.473945 124.562043) - (xy 84.360229 124.619984) - (xy 84.269984 124.710229) - (xy 84.212043 124.823945) - (xy 84.192078 124.95) - (xy 72.145658 124.95) - (xy 72.162922 124.841) - (xy 72.142957 124.714945) - (xy 72.085016 124.601229) - (xy 71.994771 124.510984) - (xy 71.881055 124.453043) - (xy 71.755 124.433078) - (xy 71.628945 124.453043) - (xy 71.515229 124.510984) - (xy 71.424984 124.601229) - (xy 71.367043 124.714945) - (xy 71.347078 124.841) - (xy 66.490976 124.841) - (xy 66.491457 124.840055) - (xy 66.511422 124.714) - (xy 66.491457 124.587945) - (xy 66.460579 124.527344) - (xy 66.436205 124.479506) - (xy 66.436204 124.479505) - (xy 66.433516 124.474229) - (xy 66.343271 124.383984) - (xy 66.229555 124.326043) - (xy 66.1035 124.306078) - (xy 65.977445 124.326043) - (xy 65.863729 124.383984) - (xy 65.773484 124.474229) - (xy 65.770796 124.479505) - (xy 65.770795 124.479506) - (xy 65.746421 124.527344) - (xy 65.715543 124.587945) - (xy 65.695578 124.714) - (xy 61.939422 124.714) - (xy 61.919457 124.587945) - (xy 61.888579 124.527344) - (xy 61.864205 124.479506) - (xy 61.864204 124.479505) - (xy 61.861516 124.474229) - (xy 61.771271 124.383984) - (xy 61.657555 124.326043) - (xy 61.5315 124.306078) - (xy 61.405445 124.326043) - (xy 61.291729 124.383984) - (xy 61.201484 124.474229) - (xy 61.198796 124.479505) - (xy 61.198795 124.479506) - (xy 61.174421 124.527344) - (xy 61.143543 124.587945) - (xy 61.123578 124.714) - (xy 56.775476 124.714) - (xy 56.718016 124.601229) - (xy 56.627771 124.510984) - (xy 56.514055 124.453043) - (xy 56.388 124.433078) - (xy 56.261945 124.453043) - (xy 56.148229 124.510984) - (xy 56.057984 124.601229) - (xy 56.000043 124.714945) - (xy 55.980078 124.841) - (xy 51.842922 124.841) - (xy 51.822957 124.714945) - (xy 51.765016 124.601229) - (xy 51.674771 124.510984) - (xy 51.561055 124.453043) - (xy 51.435 124.433078) - (xy 51.308945 124.453043) - (xy 51.195229 124.510984) - (xy 51.104984 124.601229) - (xy 51.047043 124.714945) - (xy 51.027078 124.841) - (xy 46.762922 124.841) - (xy 46.742957 124.714945) - (xy 46.685016 124.601229) - (xy 46.594771 124.510984) - (xy 46.481055 124.453043) - (xy 46.355 124.433078) - (xy 46.228945 124.453043) - (xy 46.22367 124.455731) - (xy 46.223669 124.455731) - (xy 46.210341 124.462522) - (xy 46.159285 124.468792) - (xy 46.116143 124.440776) - (xy 46.101 124.395519) - (xy 46.101 123.9) - (xy 56.992078 123.9) - (xy 57.012043 124.026055) - (xy 57.028152 124.057671) - (xy 57.066384 124.132705) - (xy 57.069984 124.139771) - (xy 57.160229 124.230016) - (xy 57.165505 124.232704) - (xy 57.165506 124.232705) - (xy 57.21318 124.256996) - (xy 57.273945 124.287957) - (xy 57.4 124.307922) - (xy 57.526055 124.287957) - (xy 57.58682 124.256996) - (xy 57.634494 124.232705) - (xy 57.634495 124.232704) - (xy 57.639771 124.230016) - (xy 57.730016 124.139771) - (xy 57.733617 124.132705) - (xy 57.771848 124.057671) - (xy 57.787957 124.026055) - (xy 57.807922 123.9) - (xy 57.800003 123.85) - (xy 58.342078 123.85) - (xy 58.343004 123.855847) - (xy 58.348304 123.889312) - (xy 58.362043 123.976055) - (xy 58.419984 124.089771) - (xy 58.510229 124.180016) - (xy 58.515505 124.182704) - (xy 58.515506 124.182705) - (xy 58.54397 124.197208) - (xy 58.623945 124.237957) - (xy 58.75 124.257922) - (xy 58.876055 124.237957) - (xy 58.95603 124.197208) - (xy 58.984494 124.182705) - (xy 58.984495 124.182704) - (xy 58.989771 124.180016) - (xy 59.080016 124.089771) - (xy 59.137957 123.976055) - (xy 59.151696 123.889312) - (xy 59.156996 123.855847) - (xy 59.157922 123.85) - (xy 59.154889 123.830847) - (xy 59.150003 123.8) - (xy 77.692078 123.8) - (xy 77.693004 123.805847) - (xy 77.695924 123.824286) - (xy 77.712043 123.926055) - (xy 77.721356 123.944333) - (xy 77.764985 124.029959) - (xy 77.769984 124.039771) - (xy 77.860229 124.130016) - (xy 77.865505 124.132704) - (xy 77.865506 124.132705) - (xy 77.901071 124.150826) - (xy 77.973945 124.187957) - (xy 78.1 124.207922) - (xy 78.226055 124.187957) - (xy 78.298929 124.150826) - (xy 78.334494 124.132705) - (xy 78.334495 124.132704) - (xy 78.339771 124.130016) - (xy 78.430016 124.039771) - (xy 78.435016 124.029959) - (xy 78.478644 123.944333) - (xy 78.487957 123.926055) - (xy 78.504076 123.824286) - (xy 78.506996 123.805847) - (xy 78.507922 123.8) - (xy 83.542078 123.8) - (xy 83.543004 123.805847) - (xy 83.545924 123.824286) - (xy 83.562043 123.926055) - (xy 83.571356 123.944333) - (xy 83.614985 124.029959) - (xy 83.619984 124.039771) - (xy 83.710229 124.130016) - (xy 83.715505 124.132704) - (xy 83.715506 124.132705) - (xy 83.751071 124.150826) - (xy 83.823945 124.187957) - (xy 83.95 124.207922) - (xy 84.076055 124.187957) - (xy 84.148929 124.150826) - (xy 84.184494 124.132705) - (xy 84.184495 124.132704) - (xy 84.189771 124.130016) - (xy 84.280016 124.039771) - (xy 84.285016 124.029959) - (xy 84.328644 123.944333) - (xy 84.337957 123.926055) - (xy 84.354076 123.824286) - (xy 84.356996 123.805847) - (xy 84.357922 123.8) - (xy 92.742078 123.8) - (xy 92.743004 123.805847) - (xy 92.745924 123.824286) - (xy 92.762043 123.926055) - (xy 92.771356 123.944333) - (xy 92.814985 124.029959) - (xy 92.819984 124.039771) - (xy 92.910229 124.130016) - (xy 92.915505 124.132704) - (xy 92.915506 124.132705) - (xy 92.951071 124.150826) - (xy 93.023945 124.187957) - (xy 93.15 124.207922) - (xy 93.276055 124.187957) - (xy 93.348929 124.150826) - (xy 93.384494 124.132705) - (xy 93.384495 124.132704) - (xy 93.389771 124.130016) - (xy 93.480016 124.039771) - (xy 93.485016 124.029959) - (xy 93.528644 123.944333) - (xy 93.537957 123.926055) - (xy 93.554076 123.824286) - (xy 93.556996 123.805847) - (xy 93.557922 123.8) - (xy 101.942078 123.8) - (xy 101.943004 123.805847) - (xy 101.945924 123.824286) - (xy 101.962043 123.926055) - (xy 101.971356 123.944333) - (xy 102.014985 124.029959) - (xy 102.019984 124.039771) - (xy 102.110229 124.130016) - (xy 102.115505 124.132704) - (xy 102.115506 124.132705) - (xy 102.151071 124.150826) - (xy 102.223945 124.187957) - (xy 102.35 124.207922) - (xy 102.476055 124.187957) - (xy 102.548929 124.150826) - (xy 102.584494 124.132705) - (xy 102.584495 124.132704) - (xy 102.589771 124.130016) - (xy 102.680016 124.039771) - (xy 102.685016 124.029959) - (xy 102.728644 123.944333) - (xy 102.737957 123.926055) - (xy 102.754076 123.824286) - (xy 102.756996 123.805847) - (xy 102.757922 123.8) - (xy 106.592078 123.8) - (xy 106.593004 123.805847) - (xy 106.595924 123.824286) - (xy 106.612043 123.926055) - (xy 106.621356 123.944333) - (xy 106.664985 124.029959) - (xy 106.669984 124.039771) - (xy 106.760229 124.130016) - (xy 106.765505 124.132704) - (xy 106.765506 124.132705) - (xy 106.801071 124.150826) - (xy 106.873945 124.187957) - (xy 107 124.207922) - (xy 107.126055 124.187957) - (xy 107.198929 124.150826) - (xy 107.234494 124.132705) - (xy 107.234495 124.132704) - (xy 107.239771 124.130016) - (xy 107.330016 124.039771) - (xy 107.335016 124.029959) - (xy 107.378644 123.944333) - (xy 107.387957 123.926055) - (xy 107.404076 123.824286) - (xy 107.406996 123.805847) - (xy 107.407922 123.8) - (xy 107.892078 123.8) - (xy 107.893004 123.805847) - (xy 107.895924 123.824286) - (xy 107.912043 123.926055) - (xy 107.921356 123.944333) - (xy 107.964985 124.029959) - (xy 107.969984 124.039771) - (xy 108.060229 124.130016) - (xy 108.065505 124.132704) - (xy 108.065506 124.132705) - (xy 108.101071 124.150826) - (xy 108.173945 124.187957) - (xy 108.3 124.207922) - (xy 108.426055 124.187957) - (xy 108.498929 124.150826) - (xy 108.534494 124.132705) - (xy 108.534495 124.132704) - (xy 108.539771 124.130016) - (xy 108.630016 124.039771) - (xy 108.635016 124.029959) - (xy 108.678644 123.944333) - (xy 108.687957 123.926055) - (xy 108.704076 123.824286) - (xy 108.706996 123.805847) - (xy 108.707922 123.8) - (xy 111.142078 123.8) - (xy 111.143004 123.805847) - (xy 111.145924 123.824286) - (xy 111.162043 123.926055) - (xy 111.171356 123.944333) - (xy 111.214985 124.029959) - (xy 111.219984 124.039771) - (xy 111.310229 124.130016) - (xy 111.315505 124.132704) - (xy 111.315506 124.132705) - (xy 111.351071 124.150826) - (xy 111.423945 124.187957) - (xy 111.55 124.207922) - (xy 111.676055 124.187957) - (xy 111.748929 124.150826) - (xy 111.784494 124.132705) - (xy 111.784495 124.132704) - (xy 111.789771 124.130016) - (xy 111.880016 124.039771) - (xy 111.885016 124.029959) - (xy 111.928644 123.944333) - (xy 111.937957 123.926055) - (xy 111.953963 123.825) - (xy 112.749078 123.825) - (xy 112.750004 123.830847) - (xy 112.75214 123.844333) - (xy 112.769043 123.951055) - (xy 112.826984 124.064771) - (xy 112.917229 124.155016) - (xy 113.030945 124.212957) - (xy 113.157 124.232922) - (xy 113.283055 124.212957) - (xy 113.396771 124.155016) - (xy 113.487016 124.064771) - (xy 113.544957 123.951055) - (xy 113.56186 123.844333) - (xy 113.563996 123.830847) - (xy 113.564922 123.825) - (xy 120.115078 123.825) - (xy 120.116004 123.830847) - (xy 120.11814 123.844333) - (xy 120.135043 123.951055) - (xy 120.192984 124.064771) - (xy 120.283229 124.155016) - (xy 120.396945 124.212957) - (xy 120.523 124.232922) - (xy 120.649055 124.212957) - (xy 120.762771 124.155016) - (xy 120.853016 124.064771) - (xy 120.910957 123.951055) - (xy 120.92786 123.844333) - (xy 120.929996 123.830847) - (xy 120.930922 123.825) - (xy 125.195078 123.825) - (xy 125.196004 123.830847) - (xy 125.19814 123.844333) - (xy 125.215043 123.951055) - (xy 125.272984 124.064771) - (xy 125.363229 124.155016) - (xy 125.476945 124.212957) - (xy 125.603 124.232922) - (xy 125.729055 124.212957) - (xy 125.842771 124.155016) - (xy 125.933016 124.064771) - (xy 125.990957 123.951055) - (xy 126.00786 123.844333) - (xy 126.009996 123.830847) - (xy 126.010922 123.825) - (xy 126.007889 123.805847) - (xy 125.991883 123.704792) - (xy 125.990957 123.698945) - (xy 125.955234 123.628835) - (xy 125.935705 123.590506) - (xy 125.935704 123.590505) - (xy 125.933016 123.585229) - (xy 125.842771 123.494984) - (xy 125.729055 123.437043) - (xy 125.603 123.417078) - (xy 125.476945 123.437043) - (xy 125.363229 123.494984) - (xy 125.272984 123.585229) - (xy 125.270296 123.590505) - (xy 125.270295 123.590506) - (xy 125.250766 123.628835) - (xy 125.215043 123.698945) - (xy 125.214117 123.704792) - (xy 125.198112 123.805847) - (xy 125.195078 123.825) - (xy 120.930922 123.825) - (xy 120.927889 123.805847) - (xy 120.911883 123.704792) - (xy 120.910957 123.698945) - (xy 120.875234 123.628835) - (xy 120.855705 123.590506) - (xy 120.855704 123.590505) - (xy 120.853016 123.585229) - (xy 120.762771 123.494984) - (xy 120.649055 123.437043) - (xy 120.523 123.417078) - (xy 120.396945 123.437043) - (xy 120.283229 123.494984) - (xy 120.192984 123.585229) - (xy 120.190296 123.590505) - (xy 120.190295 123.590506) - (xy 120.170766 123.628835) - (xy 120.135043 123.698945) - (xy 120.134117 123.704792) - (xy 120.118112 123.805847) - (xy 120.115078 123.825) - (xy 113.564922 123.825) - (xy 113.561889 123.805847) - (xy 113.545883 123.704792) - (xy 113.544957 123.698945) - (xy 113.509234 123.628835) - (xy 113.489705 123.590506) - (xy 113.489704 123.590505) - (xy 113.487016 123.585229) - (xy 113.396771 123.494984) - (xy 113.283055 123.437043) - (xy 113.157 123.417078) - (xy 113.030945 123.437043) - (xy 112.917229 123.494984) - (xy 112.826984 123.585229) - (xy 112.824296 123.590505) - (xy 112.824295 123.590506) - (xy 112.804766 123.628835) - (xy 112.769043 123.698945) - (xy 112.768117 123.704792) - (xy 112.752112 123.805847) - (xy 112.749078 123.825) - (xy 111.953963 123.825) - (xy 111.954076 123.824286) - (xy 111.956996 123.805847) - (xy 111.957922 123.8) - (xy 111.937957 123.673945) - (xy 111.890619 123.581039) - (xy 111.882705 123.565506) - (xy 111.882704 123.565505) - (xy 111.880016 123.560229) - (xy 111.789771 123.469984) - (xy 111.77946 123.46473) - (xy 111.687754 123.418004) - (xy 111.676055 123.412043) - (xy 111.55 123.392078) - (xy 111.423945 123.412043) - (xy 111.412246 123.418004) - (xy 111.320541 123.46473) - (xy 111.310229 123.469984) - (xy 111.219984 123.560229) - (xy 111.217296 123.565505) - (xy 111.217295 123.565506) - (xy 111.209381 123.581039) - (xy 111.162043 123.673945) - (xy 111.142078 123.8) - (xy 108.707922 123.8) - (xy 108.687957 123.673945) - (xy 108.640619 123.581039) - (xy 108.632705 123.565506) - (xy 108.632704 123.565505) - (xy 108.630016 123.560229) - (xy 108.539771 123.469984) - (xy 108.52946 123.46473) - (xy 108.437754 123.418004) - (xy 108.426055 123.412043) - (xy 108.3 123.392078) - (xy 108.173945 123.412043) - (xy 108.162246 123.418004) - (xy 108.070541 123.46473) - (xy 108.060229 123.469984) - (xy 107.969984 123.560229) - (xy 107.967296 123.565505) - (xy 107.967295 123.565506) - (xy 107.959381 123.581039) - (xy 107.912043 123.673945) - (xy 107.892078 123.8) - (xy 107.407922 123.8) - (xy 107.387957 123.673945) - (xy 107.340619 123.581039) - (xy 107.332705 123.565506) - (xy 107.332704 123.565505) - (xy 107.330016 123.560229) - (xy 107.239771 123.469984) - (xy 107.22946 123.46473) - (xy 107.137754 123.418004) - (xy 107.126055 123.412043) - (xy 107 123.392078) - (xy 106.873945 123.412043) - (xy 106.862246 123.418004) - (xy 106.770541 123.46473) - (xy 106.760229 123.469984) - (xy 106.669984 123.560229) - (xy 106.667296 123.565505) - (xy 106.667295 123.565506) - (xy 106.659381 123.581039) - (xy 106.612043 123.673945) - (xy 106.592078 123.8) - (xy 102.757922 123.8) - (xy 102.737957 123.673945) - (xy 102.690619 123.581039) - (xy 102.682705 123.565506) - (xy 102.682704 123.565505) - (xy 102.680016 123.560229) - (xy 102.589771 123.469984) - (xy 102.57946 123.46473) - (xy 102.487754 123.418004) - (xy 102.476055 123.412043) - (xy 102.35 123.392078) - (xy 102.223945 123.412043) - (xy 102.212246 123.418004) - (xy 102.120541 123.46473) - (xy 102.110229 123.469984) - (xy 102.019984 123.560229) - (xy 102.017296 123.565505) - (xy 102.017295 123.565506) - (xy 102.009381 123.581039) - (xy 101.962043 123.673945) - (xy 101.942078 123.8) - (xy 93.557922 123.8) - (xy 93.537957 123.673945) - (xy 93.490619 123.581039) - (xy 93.482705 123.565506) - (xy 93.482704 123.565505) - (xy 93.480016 123.560229) - (xy 93.389771 123.469984) - (xy 93.37946 123.46473) - (xy 93.287754 123.418004) - (xy 93.276055 123.412043) - (xy 93.15 123.392078) - (xy 93.023945 123.412043) - (xy 93.012246 123.418004) - (xy 92.920541 123.46473) - (xy 92.910229 123.469984) - (xy 92.819984 123.560229) - (xy 92.817296 123.565505) - (xy 92.817295 123.565506) - (xy 92.809381 123.581039) - (xy 92.762043 123.673945) - (xy 92.742078 123.8) - (xy 84.357922 123.8) - (xy 84.337957 123.673945) - (xy 84.290619 123.581039) - (xy 84.282705 123.565506) - (xy 84.282704 123.565505) - (xy 84.280016 123.560229) - (xy 84.189771 123.469984) - (xy 84.17946 123.46473) - (xy 84.087754 123.418004) - (xy 84.076055 123.412043) - (xy 83.95 123.392078) - (xy 83.823945 123.412043) - (xy 83.812246 123.418004) - (xy 83.720541 123.46473) - (xy 83.710229 123.469984) - (xy 83.619984 123.560229) - (xy 83.617296 123.565505) - (xy 83.617295 123.565506) - (xy 83.609381 123.581039) - (xy 83.562043 123.673945) - (xy 83.542078 123.8) - (xy 78.507922 123.8) - (xy 78.487957 123.673945) - (xy 78.440619 123.581039) - (xy 78.432705 123.565506) - (xy 78.432704 123.565505) - (xy 78.430016 123.560229) - (xy 78.339771 123.469984) - (xy 78.32946 123.46473) - (xy 78.237754 123.418004) - (xy 78.226055 123.412043) - (xy 78.1 123.392078) - (xy 77.973945 123.412043) - (xy 77.962246 123.418004) - (xy 77.870541 123.46473) - (xy 77.860229 123.469984) - (xy 77.769984 123.560229) - (xy 77.767296 123.565505) - (xy 77.767295 123.565506) - (xy 77.759381 123.581039) - (xy 77.712043 123.673945) - (xy 77.692078 123.8) - (xy 59.150003 123.8) - (xy 59.138883 123.729792) - (xy 59.137957 123.723945) - (xy 59.094904 123.639449) - (xy 59.082705 123.615506) - (xy 59.082704 123.615505) - (xy 59.080016 123.610229) - (xy 58.989771 123.519984) - (xy 58.97946 123.51473) - (xy 58.929842 123.489449) - (xy 58.876055 123.462043) - (xy 58.778059 123.446522) - (xy 58.755847 123.443004) - (xy 58.75 123.442078) - (xy 58.744153 123.443004) - (xy 58.721941 123.446522) - (xy 58.623945 123.462043) - (xy 58.570158 123.489449) - (xy 58.520541 123.51473) - (xy 58.510229 123.519984) - (xy 58.419984 123.610229) - (xy 58.417296 123.615505) - (xy 58.417295 123.615506) - (xy 58.405096 123.639449) - (xy 58.362043 123.723945) - (xy 58.361117 123.729792) - (xy 58.345112 123.830847) - (xy 58.342078 123.85) - (xy 57.800003 123.85) - (xy 57.787957 123.773945) - (xy 57.730016 123.660229) - (xy 57.639771 123.569984) - (xy 57.630983 123.565506) - (xy 57.586656 123.542921) - (xy 57.526055 123.512043) - (xy 57.44301 123.49889) - (xy 57.405847 123.493004) - (xy 57.4 123.492078) - (xy 57.394153 123.493004) - (xy 57.35699 123.49889) - (xy 57.273945 123.512043) - (xy 57.213344 123.542921) - (xy 57.169018 123.565506) - (xy 57.160229 123.569984) - (xy 57.069984 123.660229) - (xy 57.012043 123.773945) - (xy 56.992078 123.9) - (xy 46.101 123.9) - (xy 46.101 122.301) - (xy 48.487078 122.301) - (xy 48.507043 122.427055) - (xy 48.564984 122.540771) - (xy 48.655229 122.631016) - (xy 48.768945 122.688957) - (xy 48.895 122.708922) - (xy 49.021055 122.688957) - (xy 49.134771 122.631016) - (xy 49.225016 122.540771) - (xy 49.282957 122.427055) - (xy 49.302922 122.301) - (xy 53.567078 122.301) - (xy 53.587043 122.427055) - (xy 53.644984 122.540771) - (xy 53.735229 122.631016) - (xy 53.848945 122.688957) - (xy 53.975 122.708922) - (xy 54.101055 122.688957) - (xy 54.214771 122.631016) - (xy 54.305016 122.540771) - (xy 54.362957 122.427055) - (xy 54.382922 122.301) - (xy 54.362957 122.174945) - (xy 54.332079 122.114344) - (xy 54.307705 122.066506) - (xy 54.307704 122.066505) - (xy 54.305016 122.061229) - (xy 54.214771 121.970984) - (xy 54.101055 121.913043) - (xy 53.978611 121.89365) - (xy 58.631637 121.89365) - (xy 58.632103 121.896693) - (xy 58.632103 121.896698) - (xy 58.644112 121.975174) - (xy 58.667403 122.127384) - (xy 58.668361 122.130316) - (xy 58.668362 122.130319) - (xy 58.678579 122.161577) - (xy 58.740864 122.352138) - (xy 58.742293 122.354883) - (xy 58.816826 122.498059) - (xy 58.850046 122.561875) - (xy 58.851899 122.564343) - (xy 58.943445 122.68627) - (xy 58.992019 122.750965) - (xy 58.994252 122.753099) - (xy 58.994253 122.7531) - (xy 59.139947 122.892329) - (xy 59.162967 122.914327) - (xy 59.165508 122.91606) - (xy 59.16551 122.916062) - (xy 59.234637 122.963217) - (xy 59.358302 123.047575) - (xy 59.572777 123.147131) - (xy 59.603557 123.155667) - (xy 59.797659 123.209497) - (xy 59.797665 123.209498) - (xy 59.800632 123.210321) - (xy 59.803698 123.210649) - (xy 59.8037 123.210649) - (xy 59.99168 123.230738) - (xy 59.991686 123.230738) - (xy 59.993667 123.23095) - (xy 60.130939 123.23095) - (xy 60.132481 123.230823) - (xy 60.132486 123.230823) - (xy 60.229664 123.222833) - (xy 60.306659 123.216503) - (xy 60.421324 123.187701) - (xy 60.532993 123.159652) - (xy 60.532995 123.159651) - (xy 60.53599 123.158899) - (xy 60.538818 123.157669) - (xy 60.538822 123.157668) - (xy 60.750002 123.065844) - (xy 60.752833 123.064613) - (xy 60.766383 123.055847) - (xy 60.948772 122.937855) - (xy 60.948776 122.937852) - (xy 60.951365 122.936177) - (xy 61.126254 122.77704) - (xy 61.272804 122.591476) - (xy 61.282294 122.574286) - (xy 61.363048 122.428) - (xy 63.727078 122.428) - (xy 63.747043 122.554055) - (xy 63.752285 122.564343) - (xy 63.801594 122.661117) - (xy 63.804984 122.667771) - (xy 63.895229 122.758016) - (xy 64.008945 122.815957) - (xy 64.135 122.835922) - (xy 64.261055 122.815957) - (xy 64.374771 122.758016) - (xy 64.465016 122.667771) - (xy 64.468407 122.661117) - (xy 64.482857 122.632756) - (xy 64.520478 122.597674) - (xy 64.571847 122.594982) - (xy 64.609997 122.621744) - (xy 64.658445 122.68627) - (xy 64.707019 122.750965) - (xy 64.709252 122.753099) - (xy 64.709253 122.7531) - (xy 64.854947 122.892329) - (xy 64.877967 122.914327) - (xy 64.880508 122.91606) - (xy 64.88051 122.916062) - (xy 64.949637 122.963217) - (xy 65.073302 123.047575) - (xy 65.287777 123.147131) - (xy 65.318557 123.155667) - (xy 65.512659 123.209497) - (xy 65.512665 123.209498) - (xy 65.515632 123.210321) - (xy 65.518698 123.210649) - (xy 65.5187 123.210649) - (xy 65.70668 123.230738) - (xy 65.706686 123.230738) - (xy 65.708667 123.23095) - (xy 65.845939 123.23095) - (xy 65.847481 123.230823) - (xy 65.847486 123.230823) - (xy 65.944664 123.222833) - (xy 66.021659 123.216503) - (xy 66.136324 123.187701) - (xy 66.247993 123.159652) - (xy 66.247995 123.159651) - (xy 66.25099 123.158899) - (xy 66.253818 123.157669) - (xy 66.253822 123.157668) - (xy 66.465002 123.065844) - (xy 66.467833 123.064613) - (xy 66.481383 123.055847) - (xy 66.490421 123.05) - (xy 105.942078 123.05) - (xy 105.962043 123.176055) - (xy 105.982269 123.21575) - (xy 106.012822 123.275714) - (xy 106.019984 123.289771) - (xy 106.110229 123.380016) - (xy 106.223945 123.437957) - (xy 106.278023 123.446522) - (xy 106.330819 123.454884) - (xy 106.35 123.457922) - (xy 106.369182 123.454884) - (xy 106.421977 123.446522) - (xy 106.476055 123.437957) - (xy 106.589771 123.380016) - (xy 106.680016 123.289771) - (xy 106.687179 123.275714) - (xy 106.717731 123.21575) - (xy 106.737957 123.176055) - (xy 106.757922 123.05) - (xy 107.242078 123.05) - (xy 107.262043 123.176055) - (xy 107.282269 123.21575) - (xy 107.312822 123.275714) - (xy 107.319984 123.289771) - (xy 107.410229 123.380016) - (xy 107.523945 123.437957) - (xy 107.578023 123.446522) - (xy 107.630819 123.454884) - (xy 107.65 123.457922) - (xy 107.669182 123.454884) - (xy 107.721977 123.446522) - (xy 107.776055 123.437957) - (xy 107.889771 123.380016) - (xy 107.980016 123.289771) - (xy 107.987179 123.275714) - (xy 108.017731 123.21575) - (xy 108.037957 123.176055) - (xy 108.057922 123.05) - (xy 108.542078 123.05) - (xy 108.562043 123.176055) - (xy 108.582269 123.21575) - (xy 108.612822 123.275714) - (xy 108.619984 123.289771) - (xy 108.710229 123.380016) - (xy 108.823945 123.437957) - (xy 108.878023 123.446522) - (xy 108.930819 123.454884) - (xy 108.95 123.457922) - (xy 108.969182 123.454884) - (xy 109.021977 123.446522) - (xy 109.076055 123.437957) - (xy 109.189771 123.380016) - (xy 109.219787 123.35) - (xy 128.592329 123.35) - (xy 128.611331 123.494336) - (xy 128.613216 123.498888) - (xy 128.613217 123.49889) - (xy 128.638625 123.560229) - (xy 128.667043 123.628835) - (xy 128.670039 123.632739) - (xy 128.670041 123.632743) - (xy 128.743775 123.728835) - (xy 128.755667 123.744333) - (xy 128.871164 123.832957) - (xy 128.898628 123.844333) - (xy 129.00111 123.886783) - (xy 129.001112 123.886784) - (xy 129.005664 123.888669) - (xy 129.15 123.907671) - (xy 129.294336 123.888669) - (xy 129.298888 123.886784) - (xy 129.29889 123.886783) - (xy 129.401372 123.844333) - (xy 129.428836 123.832957) - (xy 129.544333 123.744333) - (xy 129.556225 123.728835) - (xy 129.629959 123.632743) - (xy 129.629961 123.632739) - (xy 129.632957 123.628835) - (xy 129.661375 123.560229) - (xy 129.665612 123.55) - (xy 130.992329 123.55) - (xy 131.011331 123.694336) - (xy 131.013216 123.698888) - (xy 131.013217 123.69889) - (xy 131.042106 123.768632) - (xy 131.067043 123.828835) - (xy 131.070039 123.832739) - (xy 131.070041 123.832743) - (xy 131.152669 123.940426) - (xy 131.155667 123.944333) - (xy 131.271164 124.032957) - (xy 131.29773 124.043961) - (xy 131.40111 124.086783) - (xy 131.401112 124.086784) - (xy 131.405664 124.088669) - (xy 131.55 124.107671) - (xy 131.694336 124.088669) - (xy 131.698888 124.086784) - (xy 131.69889 124.086783) - (xy 131.80227 124.043961) - (xy 131.828836 124.032957) - (xy 131.944333 123.944333) - (xy 131.947331 123.940426) - (xy 132.029959 123.832743) - (xy 132.029961 123.832739) - (xy 132.032957 123.828835) - (xy 132.057894 123.768632) - (xy 132.086783 123.69889) - (xy 132.086784 123.698888) - (xy 132.088669 123.694336) - (xy 132.107671 123.55) - (xy 132.101088 123.5) - (xy 133.442329 123.5) - (xy 133.461331 123.644336) - (xy 133.463216 123.648888) - (xy 133.463217 123.64889) - (xy 133.480018 123.689449) - (xy 133.517043 123.778835) - (xy 133.520039 123.782739) - (xy 133.520041 123.782743) - (xy 133.564303 123.840426) - (xy 133.605667 123.894333) - (xy 133.721164 123.982957) - (xy 133.779276 124.007028) - (xy 133.85111 124.036783) - (xy 133.851112 124.036784) - (xy 133.855664 124.038669) - (xy 134 124.057671) - (xy 134.144336 124.038669) - (xy 134.148888 124.036784) - (xy 134.14889 124.036783) - (xy 134.220724 124.007028) - (xy 134.278836 123.982957) - (xy 134.394333 123.894333) - (xy 134.435697 123.840426) - (xy 134.479959 123.782743) - (xy 134.479961 123.782739) - (xy 134.482957 123.778835) - (xy 134.519982 123.689449) - (xy 134.536783 123.64889) - (xy 134.536784 123.648888) - (xy 134.538669 123.644336) - (xy 134.557671 123.5) - (xy 134.551088 123.45) - (xy 138.342329 123.45) - (xy 138.361331 123.594336) - (xy 138.363216 123.598888) - (xy 138.363217 123.59889) - (xy 138.388625 123.660229) - (xy 138.417043 123.728835) - (xy 138.420039 123.732739) - (xy 138.420041 123.732743) - (xy 138.502669 123.840426) - (xy 138.505667 123.844333) - (xy 138.621164 123.932957) - (xy 138.655866 123.947331) - (xy 138.75111 123.986783) - (xy 138.751112 123.986784) - (xy 138.755664 123.988669) - (xy 138.9 124.007671) - (xy 139.044336 123.988669) - (xy 139.048888 123.986784) - (xy 139.04889 123.986783) - (xy 139.144134 123.947331) - (xy 139.178836 123.932957) - (xy 139.294333 123.844333) - (xy 139.297331 123.840426) - (xy 139.379959 123.732743) - (xy 139.379961 123.732739) - (xy 139.382957 123.728835) - (xy 139.411375 123.660229) - (xy 139.436783 123.59889) - (xy 139.436784 123.598888) - (xy 139.438669 123.594336) - (xy 139.444506 123.55) - (xy 140.742329 123.55) - (xy 140.761331 123.694336) - (xy 140.763216 123.698888) - (xy 140.763217 123.69889) - (xy 140.792106 123.768632) - (xy 140.817043 123.828835) - (xy 140.820039 123.832739) - (xy 140.820041 123.832743) - (xy 140.902669 123.940426) - (xy 140.905667 123.944333) - (xy 141.021164 124.032957) - (xy 141.04773 124.043961) - (xy 141.15111 124.086783) - (xy 141.151112 124.086784) - (xy 141.155664 124.088669) - (xy 141.3 124.107671) - (xy 141.444336 124.088669) - (xy 141.448888 124.086784) - (xy 141.44889 124.086783) - (xy 141.55227 124.043961) - (xy 141.578836 124.032957) - (xy 141.694333 123.944333) - (xy 141.697331 123.940426) - (xy 141.779959 123.832743) - (xy 141.779961 123.832739) - (xy 141.782957 123.828835) - (xy 141.807894 123.768632) - (xy 141.836783 123.69889) - (xy 141.836784 123.698888) - (xy 141.838669 123.694336) - (xy 141.857671 123.55) - (xy 141.838669 123.405664) - (xy 141.833042 123.392078) - (xy 141.795361 123.30111) - (xy 141.782957 123.271165) - (xy 141.779961 123.267261) - (xy 141.779959 123.267257) - (xy 141.697331 123.159574) - (xy 141.694333 123.155667) - (xy 141.681515 123.145831) - (xy 141.582743 123.070041) - (xy 141.578836 123.067043) - (xy 141.465364 123.020041) - (xy 141.44889 123.013217) - (xy 141.448888 123.013216) - (xy 141.444336 123.011331) - (xy 141.3 122.992329) - (xy 141.155664 123.011331) - (xy 141.151112 123.013216) - (xy 141.15111 123.013217) - (xy 141.134636 123.020041) - (xy 141.021165 123.067043) - (xy 141.017261 123.070039) - (xy 141.017257 123.070041) - (xy 140.916791 123.147131) - (xy 140.905667 123.155667) - (xy 140.902669 123.159574) - (xy 140.820041 123.267257) - (xy 140.820039 123.267261) - (xy 140.817043 123.271165) - (xy 140.804639 123.30111) - (xy 140.766959 123.392078) - (xy 140.761331 123.405664) - (xy 140.742329 123.55) - (xy 139.444506 123.55) - (xy 139.457671 123.45) - (xy 139.438669 123.305664) - (xy 139.433822 123.293961) - (xy 139.395361 123.20111) - (xy 139.382957 123.171165) - (xy 139.379961 123.167261) - (xy 139.379959 123.167257) - (xy 139.297331 123.059574) - (xy 139.294333 123.055667) - (xy 139.178836 122.967043) - (xy 139.055758 122.916062) - (xy 139.04889 122.913217) - (xy 139.048888 122.913216) - (xy 139.044336 122.911331) - (xy 138.9 122.892329) - (xy 138.755664 122.911331) - (xy 138.751112 122.913216) - (xy 138.75111 122.913217) - (xy 138.700698 122.934099) - (xy 138.621165 122.967043) - (xy 138.617261 122.970039) - (xy 138.617257 122.970041) - (xy 138.588211 122.992329) - (xy 138.505667 123.055667) - (xy 138.502669 123.059574) - (xy 138.420041 123.167257) - (xy 138.420039 123.167261) - (xy 138.417043 123.171165) - (xy 138.404639 123.20111) - (xy 138.366179 123.293961) - (xy 138.361331 123.305664) - (xy 138.342329 123.45) - (xy 134.551088 123.45) - (xy 134.538669 123.355664) - (xy 134.513111 123.293961) - (xy 134.48701 123.23095) - (xy 134.482957 123.221165) - (xy 134.479961 123.217261) - (xy 134.479959 123.217257) - (xy 134.397331 123.109574) - (xy 134.394333 123.105667) - (xy 134.278836 123.017043) - (xy 134.165364 122.970041) - (xy 134.14889 122.963217) - (xy 134.148888 122.963216) - (xy 134.144336 122.961331) - (xy 134 122.942329) - (xy 133.855664 122.961331) - (xy 133.851112 122.963216) - (xy 133.85111 122.963217) - (xy 133.834636 122.970041) - (xy 133.721165 123.017043) - (xy 133.717261 123.020039) - (xy 133.717257 123.020041) - (xy 133.609574 123.102669) - (xy 133.605667 123.105667) - (xy 133.602669 123.109574) - (xy 133.520041 123.217257) - (xy 133.520039 123.217261) - (xy 133.517043 123.221165) - (xy 133.51299 123.23095) - (xy 133.48689 123.293961) - (xy 133.461331 123.355664) - (xy 133.442329 123.5) - (xy 132.101088 123.5) - (xy 132.088669 123.405664) - (xy 132.083042 123.392078) - (xy 132.045361 123.30111) - (xy 132.032957 123.271165) - (xy 132.029961 123.267261) - (xy 132.029959 123.267257) - (xy 131.947331 123.159574) - (xy 131.944333 123.155667) - (xy 131.931515 123.145831) - (xy 131.832743 123.070041) - (xy 131.828836 123.067043) - (xy 131.715364 123.020041) - (xy 131.69889 123.013217) - (xy 131.698888 123.013216) - (xy 131.694336 123.011331) - (xy 131.55 122.992329) - (xy 131.405664 123.011331) - (xy 131.401112 123.013216) - (xy 131.40111 123.013217) - (xy 131.384636 123.020041) - (xy 131.271165 123.067043) - (xy 131.267261 123.070039) - (xy 131.267257 123.070041) - (xy 131.166791 123.147131) - (xy 131.155667 123.155667) - (xy 131.152669 123.159574) - (xy 131.070041 123.267257) - (xy 131.070039 123.267261) - (xy 131.067043 123.271165) - (xy 131.054639 123.30111) - (xy 131.016959 123.392078) - (xy 131.011331 123.405664) - (xy 130.992329 123.55) - (xy 129.665612 123.55) - (xy 129.686783 123.49889) - (xy 129.686784 123.498888) - (xy 129.688669 123.494336) - (xy 129.707671 123.35) - (xy 129.688669 123.205664) - (xy 129.676405 123.176055) - (xy 129.647248 123.105667) - (xy 129.632957 123.071165) - (xy 129.629961 123.067261) - (xy 129.629959 123.067257) - (xy 129.547331 122.959574) - (xy 129.544333 122.955667) - (xy 129.428836 122.867043) - (xy 129.361888 122.839312) - (xy 129.29889 122.813217) - (xy 129.298888 122.813216) - (xy 129.294336 122.811331) - (xy 129.15 122.792329) - (xy 129.005664 122.811331) - (xy 129.001112 122.813216) - (xy 129.00111 122.813217) - (xy 128.99226 122.816883) - (xy 128.871165 122.867043) - (xy 128.867261 122.870039) - (xy 128.867257 122.870041) - (xy 128.759574 122.952669) - (xy 128.755667 122.955667) - (xy 128.752669 122.959574) - (xy 128.670041 123.067257) - (xy 128.670039 123.067261) - (xy 128.667043 123.071165) - (xy 128.652752 123.105667) - (xy 128.623596 123.176055) - (xy 128.611331 123.205664) - (xy 128.592329 123.35) - (xy 109.219787 123.35) - (xy 109.280016 123.289771) - (xy 109.287179 123.275714) - (xy 109.317731 123.21575) - (xy 109.337957 123.176055) - (xy 109.357922 123.05) - (xy 109.351798 123.011331) - (xy 109.338883 122.929792) - (xy 109.337957 122.923945) - (xy 109.303861 122.857028) - (xy 109.282705 122.815506) - (xy 109.282704 122.815505) - (xy 109.280016 122.810229) - (xy 109.189771 122.719984) - (xy 109.076055 122.662043) - (xy 108.95 122.642078) - (xy 108.823945 122.662043) - (xy 108.710229 122.719984) - (xy 108.619984 122.810229) - (xy 108.617296 122.815505) - (xy 108.617295 122.815506) - (xy 108.596139 122.857028) - (xy 108.562043 122.923945) - (xy 108.561117 122.929792) - (xy 108.548203 123.011331) - (xy 108.542078 123.05) - (xy 108.057922 123.05) - (xy 108.051798 123.011331) - (xy 108.038883 122.929792) - (xy 108.037957 122.923945) - (xy 108.003861 122.857028) - (xy 107.982705 122.815506) - (xy 107.982704 122.815505) - (xy 107.980016 122.810229) - (xy 107.889771 122.719984) - (xy 107.776055 122.662043) - (xy 107.65 122.642078) - (xy 107.523945 122.662043) - (xy 107.410229 122.719984) - (xy 107.319984 122.810229) - (xy 107.317296 122.815505) - (xy 107.317295 122.815506) - (xy 107.296139 122.857028) - (xy 107.262043 122.923945) - (xy 107.261117 122.929792) - (xy 107.248203 123.011331) - (xy 107.242078 123.05) - (xy 106.757922 123.05) - (xy 106.751798 123.011331) - (xy 106.738883 122.929792) - (xy 106.737957 122.923945) - (xy 106.703861 122.857028) - (xy 106.682705 122.815506) - (xy 106.682704 122.815505) - (xy 106.680016 122.810229) - (xy 106.589771 122.719984) - (xy 106.476055 122.662043) - (xy 106.35 122.642078) - (xy 106.223945 122.662043) - (xy 106.110229 122.719984) - (xy 106.019984 122.810229) - (xy 106.017296 122.815505) - (xy 106.017295 122.815506) - (xy 105.996139 122.857028) - (xy 105.962043 122.923945) - (xy 105.961117 122.929792) - (xy 105.948203 123.011331) - (xy 105.942078 123.05) - (xy 66.490421 123.05) - (xy 66.663772 122.937855) - (xy 66.663776 122.937852) - (xy 66.666365 122.936177) - (xy 66.841254 122.77704) - (xy 66.987804 122.591476) - (xy 66.997294 122.574286) - (xy 67.100588 122.387168) - (xy 67.102078 122.384469) - (xy 67.131636 122.301) - (xy 68.807078 122.301) - (xy 68.827043 122.427055) - (xy 68.884984 122.540771) - (xy 68.975229 122.631016) - (xy 69.088945 122.688957) - (xy 69.215 122.708922) - (xy 69.341055 122.688957) - (xy 69.454771 122.631016) - (xy 69.545016 122.540771) - (xy 69.602957 122.427055) - (xy 69.622922 122.301) - (xy 73.887078 122.301) - (xy 73.907043 122.427055) - (xy 73.964984 122.540771) - (xy 74.055229 122.631016) - (xy 74.168945 122.688957) - (xy 74.295 122.708922) - (xy 74.421055 122.688957) - (xy 74.534771 122.631016) - (xy 74.625016 122.540771) - (xy 74.682957 122.427055) - (xy 74.702922 122.301) - (xy 74.702764 122.3) - (xy 129.792329 122.3) - (xy 129.811331 122.444336) - (xy 129.867043 122.578835) - (xy 129.870039 122.582739) - (xy 129.870041 122.582743) - (xy 129.94948 122.68627) - (xy 129.955667 122.694333) - (xy 130.071164 122.782957) - (xy 130.126889 122.806039) - (xy 130.20111 122.836783) - (xy 130.201112 122.836784) - (xy 130.205664 122.838669) - (xy 130.35 122.857671) - (xy 130.494336 122.838669) - (xy 130.498888 122.836784) - (xy 130.49889 122.836783) - (xy 130.573111 122.806039) - (xy 130.628836 122.782957) - (xy 130.744333 122.694333) - (xy 130.75052 122.68627) - (xy 130.829959 122.582743) - (xy 130.829961 122.582739) - (xy 130.832957 122.578835) - (xy 130.888669 122.444336) - (xy 130.907671 122.3) - (xy 132.192329 122.3) - (xy 132.211331 122.444336) - (xy 132.267043 122.578835) - (xy 132.270039 122.582739) - (xy 132.270041 122.582743) - (xy 132.34948 122.68627) - (xy 132.355667 122.694333) - (xy 132.471164 122.782957) - (xy 132.526889 122.806039) - (xy 132.60111 122.836783) - (xy 132.601112 122.836784) - (xy 132.605664 122.838669) - (xy 132.75 122.857671) - (xy 132.894336 122.838669) - (xy 132.898888 122.836784) - (xy 132.89889 122.836783) - (xy 132.973111 122.806039) - (xy 133.028836 122.782957) - (xy 133.144333 122.694333) - (xy 133.15052 122.68627) - (xy 133.229959 122.582743) - (xy 133.229961 122.582739) - (xy 133.232957 122.578835) - (xy 133.288669 122.444336) - (xy 133.307671 122.3) - (xy 134.642329 122.3) - (xy 134.661331 122.444336) - (xy 134.717043 122.578835) - (xy 134.720039 122.582739) - (xy 134.720041 122.582743) - (xy 134.79948 122.68627) - (xy 134.805667 122.694333) - (xy 134.921164 122.782957) - (xy 134.976889 122.806039) - (xy 135.05111 122.836783) - (xy 135.051112 122.836784) - (xy 135.055664 122.838669) - (xy 135.2 122.857671) - (xy 135.344336 122.838669) - (xy 135.348888 122.836784) - (xy 135.34889 122.836783) - (xy 135.423111 122.806039) - (xy 135.478836 122.782957) - (xy 135.594333 122.694333) - (xy 135.60052 122.68627) - (xy 135.679959 122.582743) - (xy 135.679961 122.582739) - (xy 135.682957 122.578835) - (xy 135.738669 122.444336) - (xy 135.757671 122.3) - (xy 139.542329 122.3) - (xy 139.561331 122.444336) - (xy 139.617043 122.578835) - (xy 139.620039 122.582739) - (xy 139.620041 122.582743) - (xy 139.69948 122.68627) - (xy 139.705667 122.694333) - (xy 139.821164 122.782957) - (xy 139.876889 122.806039) - (xy 139.95111 122.836783) - (xy 139.951112 122.836784) - (xy 139.955664 122.838669) - (xy 140.1 122.857671) - (xy 140.244336 122.838669) - (xy 140.248888 122.836784) - (xy 140.24889 122.836783) - (xy 140.323111 122.806039) - (xy 140.378836 122.782957) - (xy 140.494333 122.694333) - (xy 140.50052 122.68627) - (xy 140.579959 122.582743) - (xy 140.579961 122.582739) - (xy 140.582957 122.578835) - (xy 140.638669 122.444336) - (xy 140.657671 122.3) - (xy 140.638669 122.155664) - (xy 140.616513 122.102174) - (xy 140.597817 122.057039) - (xy 140.582957 122.021165) - (xy 140.579961 122.017261) - (xy 140.579959 122.017257) - (xy 140.497331 121.909574) - (xy 140.494333 121.905667) - (xy 140.479134 121.894004) - (xy 140.382743 121.820041) - (xy 140.378836 121.817043) - (xy 140.318958 121.792241) - (xy 140.24889 121.763217) - (xy 140.248888 121.763216) - (xy 140.244336 121.761331) - (xy 140.1 121.742329) - (xy 139.955664 121.761331) - (xy 139.951112 121.763216) - (xy 139.95111 121.763217) - (xy 139.881368 121.792106) - (xy 139.821165 121.817043) - (xy 139.817261 121.820039) - (xy 139.817257 121.820041) - (xy 139.717356 121.896698) - (xy 139.705667 121.905667) - (xy 139.702669 121.909574) - (xy 139.620041 122.017257) - (xy 139.620039 122.017261) - (xy 139.617043 122.021165) - (xy 139.602183 122.057039) - (xy 139.583488 122.102174) - (xy 139.561331 122.155664) - (xy 139.542329 122.3) - (xy 135.757671 122.3) - (xy 135.738669 122.155664) - (xy 135.716513 122.102174) - (xy 135.697817 122.057039) - (xy 135.682957 122.021165) - (xy 135.679961 122.017261) - (xy 135.679959 122.017257) - (xy 135.597331 121.909574) - (xy 135.594333 121.905667) - (xy 135.579134 121.894004) - (xy 135.482743 121.820041) - (xy 135.478836 121.817043) - (xy 135.418958 121.792241) - (xy 135.34889 121.763217) - (xy 135.348888 121.763216) - (xy 135.344336 121.761331) - (xy 135.2 121.742329) - (xy 135.055664 121.761331) - (xy 135.051112 121.763216) - (xy 135.05111 121.763217) - (xy 134.981368 121.792106) - (xy 134.921165 121.817043) - (xy 134.917261 121.820039) - (xy 134.917257 121.820041) - (xy 134.817356 121.896698) - (xy 134.805667 121.905667) - (xy 134.802669 121.909574) - (xy 134.720041 122.017257) - (xy 134.720039 122.017261) - (xy 134.717043 122.021165) - (xy 134.702183 122.057039) - (xy 134.683488 122.102174) - (xy 134.661331 122.155664) - (xy 134.642329 122.3) - (xy 133.307671 122.3) - (xy 133.288669 122.155664) - (xy 133.266513 122.102174) - (xy 133.247817 122.057039) - (xy 133.232957 122.021165) - (xy 133.229961 122.017261) - (xy 133.229959 122.017257) - (xy 133.147331 121.909574) - (xy 133.144333 121.905667) - (xy 133.129134 121.894004) - (xy 133.032743 121.820041) - (xy 133.028836 121.817043) - (xy 132.968958 121.792241) - (xy 132.89889 121.763217) - (xy 132.898888 121.763216) - (xy 132.894336 121.761331) - (xy 132.75 121.742329) - (xy 132.605664 121.761331) - (xy 132.601112 121.763216) - (xy 132.60111 121.763217) - (xy 132.531368 121.792106) - (xy 132.471165 121.817043) - (xy 132.467261 121.820039) - (xy 132.467257 121.820041) - (xy 132.367356 121.896698) - (xy 132.355667 121.905667) - (xy 132.352669 121.909574) - (xy 132.270041 122.017257) - (xy 132.270039 122.017261) - (xy 132.267043 122.021165) - (xy 132.252183 122.057039) - (xy 132.233488 122.102174) - (xy 132.211331 122.155664) - (xy 132.192329 122.3) - (xy 130.907671 122.3) - (xy 130.888669 122.155664) - (xy 130.866513 122.102174) - (xy 130.847817 122.057039) - (xy 130.832957 122.021165) - (xy 130.829961 122.017261) - (xy 130.829959 122.017257) - (xy 130.747331 121.909574) - (xy 130.744333 121.905667) - (xy 130.729134 121.894004) - (xy 130.632743 121.820041) - (xy 130.628836 121.817043) - (xy 130.568958 121.792241) - (xy 130.49889 121.763217) - (xy 130.498888 121.763216) - (xy 130.494336 121.761331) - (xy 130.35 121.742329) - (xy 130.205664 121.761331) - (xy 130.201112 121.763216) - (xy 130.20111 121.763217) - (xy 130.131368 121.792106) - (xy 130.071165 121.817043) - (xy 130.067261 121.820039) - (xy 130.067257 121.820041) - (xy 129.967356 121.896698) - (xy 129.955667 121.905667) - (xy 129.952669 121.909574) - (xy 129.870041 122.017257) - (xy 129.870039 122.017261) - (xy 129.867043 122.021165) - (xy 129.852183 122.057039) - (xy 129.833488 122.102174) - (xy 129.811331 122.155664) - (xy 129.792329 122.3) - (xy 74.702764 122.3) - (xy 74.682957 122.174945) - (xy 74.652079 122.114344) - (xy 74.627705 122.066506) - (xy 74.627704 122.066505) - (xy 74.625016 122.061229) - (xy 74.534771 121.970984) - (xy 74.421055 121.913043) - (xy 74.295 121.893078) - (xy 74.168945 121.913043) - (xy 74.055229 121.970984) - (xy 73.964984 122.061229) - (xy 73.962296 122.066505) - (xy 73.962295 122.066506) - (xy 73.937921 122.114344) - (xy 73.907043 122.174945) - (xy 73.887078 122.301) - (xy 69.622922 122.301) - (xy 69.602957 122.174945) - (xy 69.572079 122.114344) - (xy 69.547705 122.066506) - (xy 69.547704 122.066505) - (xy 69.545016 122.061229) - (xy 69.454771 121.970984) - (xy 69.341055 121.913043) - (xy 69.215 121.893078) - (xy 69.088945 121.913043) - (xy 68.975229 121.970984) - (xy 68.884984 122.061229) - (xy 68.882296 122.066505) - (xy 68.882295 122.066506) - (xy 68.857921 122.114344) - (xy 68.827043 122.174945) - (xy 68.807078 122.301) - (xy 67.131636 122.301) - (xy 67.181008 122.161577) - (xy 67.182061 122.155664) - (xy 67.221933 121.931826) - (xy 67.221933 121.931822) - (xy 67.222474 121.928787) - (xy 67.222667 121.913043) - (xy 67.224599 121.754847) - (xy 67.225363 121.69235) - (xy 67.222538 121.673883) - (xy 67.190064 121.461668) - (xy 67.189597 121.458616) - (xy 67.179414 121.427459) - (xy 67.168612 121.39441) - (xy 76.142477 121.39441) - (xy 76.143171 121.399717) - (xy 76.143171 121.39972) - (xy 76.150489 121.455681) - (xy 76.159298 121.523046) - (xy 76.211547 121.641791) - (xy 76.295023 121.741098) - (xy 76.403017 121.812984) - (xy 76.526845 121.851671) - (xy 76.593716 121.852896) - (xy 76.651193 121.85395) - (xy 76.651195 121.85395) - (xy 76.656555 121.854048) - (xy 76.661726 121.852638) - (xy 76.661728 121.852638) - (xy 76.760073 121.825826) - (xy 76.781718 121.819925) - (xy 76.892273 121.752044) - (xy 76.895865 121.748076) - (xy 76.895867 121.748074) - (xy 76.945788 121.692922) - (xy 76.979332 121.655863) - (xy 77.035897 121.539112) - (xy 77.05742 121.411179) - (xy 77.057557 121.4) - (xy 77.057145 121.397122) - (xy 77.056757 121.39441) - (xy 85.342477 121.39441) - (xy 85.343171 121.399717) - (xy 85.343171 121.39972) - (xy 85.350489 121.455681) - (xy 85.359298 121.523046) - (xy 85.411547 121.641791) - (xy 85.495023 121.741098) - (xy 85.603017 121.812984) - (xy 85.726845 121.851671) - (xy 85.793716 121.852896) - (xy 85.851193 121.85395) - (xy 85.851195 121.85395) - (xy 85.856555 121.854048) - (xy 85.861726 121.852638) - (xy 85.861728 121.852638) - (xy 85.960073 121.825826) - (xy 85.981718 121.819925) - (xy 86.092273 121.752044) - (xy 86.095865 121.748076) - (xy 86.095867 121.748074) - (xy 86.145788 121.692922) - (xy 86.179332 121.655863) - (xy 86.235897 121.539112) - (xy 86.25742 121.411179) - (xy 86.257557 121.4) - (xy 86.257145 121.397122) - (xy 86.256757 121.39441) - (xy 94.542477 121.39441) - (xy 94.543171 121.399717) - (xy 94.543171 121.39972) - (xy 94.550489 121.455681) - (xy 94.559298 121.523046) - (xy 94.611547 121.641791) - (xy 94.695023 121.741098) - (xy 94.803017 121.812984) - (xy 94.926845 121.851671) - (xy 94.993716 121.852896) - (xy 95.051193 121.85395) - (xy 95.051195 121.85395) - (xy 95.056555 121.854048) - (xy 95.061726 121.852638) - (xy 95.061728 121.852638) - (xy 95.160073 121.825826) - (xy 95.181718 121.819925) - (xy 95.292273 121.752044) - (xy 95.295865 121.748076) - (xy 95.295867 121.748074) - (xy 95.345788 121.692922) - (xy 95.379332 121.655863) - (xy 95.435897 121.539112) - (xy 95.45742 121.411179) - (xy 95.457557 121.4) - (xy 95.457145 121.397122) - (xy 95.456757 121.39441) - (xy 103.742477 121.39441) - (xy 103.743171 121.399717) - (xy 103.743171 121.39972) - (xy 103.750489 121.455681) - (xy 103.759298 121.523046) - (xy 103.811547 121.641791) - (xy 103.895023 121.741098) - (xy 104.003017 121.812984) - (xy 104.126845 121.851671) - (xy 104.193716 121.852896) - (xy 104.251193 121.85395) - (xy 104.251195 121.85395) - (xy 104.256555 121.854048) - (xy 104.261726 121.852638) - (xy 104.261728 121.852638) - (xy 104.360073 121.825826) - (xy 104.381718 121.819925) - (xy 104.492273 121.752044) - (xy 104.495865 121.748076) - (xy 104.495867 121.748074) - (xy 104.545788 121.692922) - (xy 104.579332 121.655863) - (xy 104.635897 121.539112) - (xy 104.642477 121.5) - (xy 116.542078 121.5) - (xy 116.543004 121.505847) - (xy 116.545728 121.523046) - (xy 116.562043 121.626055) - (xy 116.56473 121.631328) - (xy 116.595642 121.691996) - (xy 116.619984 121.739771) - (xy 116.710229 121.830016) - (xy 116.823945 121.887957) - (xy 116.862125 121.894004) - (xy 116.916834 121.902669) - (xy 116.95 121.907922) - (xy 116.983167 121.902669) - (xy 117.037875 121.894004) - (xy 117.076055 121.887957) - (xy 117.189771 121.830016) - (xy 117.280016 121.739771) - (xy 117.304359 121.691996) - (xy 117.33527 121.631328) - (xy 117.337957 121.626055) - (xy 117.354272 121.523046) - (xy 117.356996 121.505847) - (xy 117.357922 121.5) - (xy 118.242078 121.5) - (xy 118.243004 121.505847) - (xy 118.245728 121.523046) - (xy 118.262043 121.626055) - (xy 118.26473 121.631328) - (xy 118.295642 121.691996) - (xy 118.319984 121.739771) - (xy 118.410229 121.830016) - (xy 118.523945 121.887957) - (xy 118.562125 121.894004) - (xy 118.616834 121.902669) - (xy 118.65 121.907922) - (xy 118.683167 121.902669) - (xy 118.737875 121.894004) - (xy 118.776055 121.887957) - (xy 118.889771 121.830016) - (xy 118.980016 121.739771) - (xy 119.004359 121.691996) - (xy 119.03527 121.631328) - (xy 119.037957 121.626055) - (xy 119.054272 121.523046) - (xy 119.056996 121.505847) - (xy 119.057922 121.5) - (xy 119.037957 121.373945) - (xy 118.995617 121.290847) - (xy 118.992638 121.285) - (xy 122.655078 121.285) - (xy 122.675043 121.411055) - (xy 122.700832 121.461668) - (xy 122.720363 121.5) - (xy 122.732984 121.524771) - (xy 122.823229 121.615016) - (xy 122.828505 121.617704) - (xy 122.828506 121.617705) - (xy 122.844894 121.626055) - (xy 122.936945 121.672957) - (xy 123.063 121.692922) - (xy 123.189055 121.672957) - (xy 123.281106 121.626055) - (xy 123.297494 121.617705) - (xy 123.297495 121.617704) - (xy 123.302771 121.615016) - (xy 123.393016 121.524771) - (xy 123.405638 121.5) - (xy 123.425168 121.461668) - (xy 123.450957 121.411055) - (xy 123.470922 121.285) - (xy 127.735078 121.285) - (xy 127.755043 121.411055) - (xy 127.780832 121.461668) - (xy 127.800363 121.5) - (xy 127.812984 121.524771) - (xy 127.903229 121.615016) - (xy 127.908505 121.617704) - (xy 127.908506 121.617705) - (xy 127.924894 121.626055) - (xy 128.016945 121.672957) - (xy 128.143 121.692922) - (xy 128.269055 121.672957) - (xy 128.361106 121.626055) - (xy 128.377494 121.617705) - (xy 128.377495 121.617704) - (xy 128.382771 121.615016) - (xy 128.473016 121.524771) - (xy 128.485638 121.5) - (xy 128.505168 121.461668) - (xy 128.530957 121.411055) - (xy 128.550922 121.285) - (xy 137.895078 121.285) - (xy 137.915043 121.411055) - (xy 137.940832 121.461668) - (xy 137.960363 121.5) - (xy 137.972984 121.524771) - (xy 138.063229 121.615016) - (xy 138.068505 121.617704) - (xy 138.068506 121.617705) - (xy 138.084894 121.626055) - (xy 138.176945 121.672957) - (xy 138.303 121.692922) - (xy 138.429055 121.672957) - (xy 138.521106 121.626055) - (xy 138.537494 121.617705) - (xy 138.537495 121.617704) - (xy 138.542771 121.615016) - (xy 138.633016 121.524771) - (xy 138.645638 121.5) - (xy 138.665168 121.461668) - (xy 138.690957 121.411055) - (xy 138.710922 121.285) - (xy 142.975078 121.285) - (xy 142.995043 121.411055) - (xy 143.020832 121.461668) - (xy 143.040363 121.5) - (xy 143.052984 121.524771) - (xy 143.143229 121.615016) - (xy 143.148505 121.617704) - (xy 143.148506 121.617705) - (xy 143.164894 121.626055) - (xy 143.256945 121.672957) - (xy 143.383 121.692922) - (xy 143.509055 121.672957) - (xy 143.601106 121.626055) - (xy 143.617494 121.617705) - (xy 143.617495 121.617704) - (xy 143.622771 121.615016) - (xy 143.713016 121.524771) - (xy 143.725638 121.5) - (xy 143.745168 121.461668) - (xy 143.770957 121.411055) - (xy 143.790922 121.285) - (xy 143.787835 121.265506) - (xy 143.772705 121.169984) - (xy 143.770957 121.158945) - (xy 143.740079 121.098344) - (xy 143.715705 121.050506) - (xy 143.715704 121.050505) - (xy 143.713016 121.045229) - (xy 143.622771 120.954984) - (xy 143.609053 120.947994) - (xy 143.569656 120.927921) - (xy 143.509055 120.897043) - (xy 143.383 120.877078) - (xy 143.256945 120.897043) - (xy 143.196344 120.927921) - (xy 143.156948 120.947994) - (xy 143.143229 120.954984) - (xy 143.052984 121.045229) - (xy 143.050296 121.050505) - (xy 143.050295 121.050506) - (xy 143.025921 121.098344) - (xy 142.995043 121.158945) - (xy 142.993295 121.169984) - (xy 142.978166 121.265506) - (xy 142.975078 121.285) - (xy 138.710922 121.285) - (xy 138.707835 121.265506) - (xy 138.692705 121.169984) - (xy 138.690957 121.158945) - (xy 138.660079 121.098344) - (xy 138.635705 121.050506) - (xy 138.635704 121.050505) - (xy 138.633016 121.045229) - (xy 138.542771 120.954984) - (xy 138.529053 120.947994) - (xy 138.489656 120.927921) - (xy 138.429055 120.897043) - (xy 138.303 120.877078) - (xy 138.176945 120.897043) - (xy 138.116344 120.927921) - (xy 138.076948 120.947994) - (xy 138.063229 120.954984) - (xy 137.972984 121.045229) - (xy 137.970296 121.050505) - (xy 137.970295 121.050506) - (xy 137.945921 121.098344) - (xy 137.915043 121.158945) - (xy 137.913295 121.169984) - (xy 137.898166 121.265506) - (xy 137.895078 121.285) - (xy 128.550922 121.285) - (xy 128.547835 121.265506) - (xy 128.532705 121.169984) - (xy 128.530957 121.158945) - (xy 128.500079 121.098344) - (xy 128.475705 121.050506) - (xy 128.475704 121.050505) - (xy 128.473016 121.045229) - (xy 128.382771 120.954984) - (xy 128.369053 120.947994) - (xy 128.329656 120.927921) - (xy 128.269055 120.897043) - (xy 128.143 120.877078) - (xy 128.016945 120.897043) - (xy 127.956344 120.927921) - (xy 127.916948 120.947994) - (xy 127.903229 120.954984) - (xy 127.812984 121.045229) - (xy 127.810296 121.050505) - (xy 127.810295 121.050506) - (xy 127.785921 121.098344) - (xy 127.755043 121.158945) - (xy 127.753295 121.169984) - (xy 127.738166 121.265506) - (xy 127.735078 121.285) - (xy 123.470922 121.285) - (xy 123.467835 121.265506) - (xy 123.452705 121.169984) - (xy 123.450957 121.158945) - (xy 123.420079 121.098344) - (xy 123.395705 121.050506) - (xy 123.395704 121.050505) - (xy 123.393016 121.045229) - (xy 123.302771 120.954984) - (xy 123.289053 120.947994) - (xy 123.249656 120.927921) - (xy 123.189055 120.897043) - (xy 123.063 120.877078) - (xy 122.936945 120.897043) - (xy 122.876344 120.927921) - (xy 122.836948 120.947994) - (xy 122.823229 120.954984) - (xy 122.732984 121.045229) - (xy 122.730296 121.050505) - (xy 122.730295 121.050506) - (xy 122.705921 121.098344) - (xy 122.675043 121.158945) - (xy 122.673295 121.169984) - (xy 122.658166 121.265506) - (xy 122.655078 121.285) - (xy 118.992638 121.285) - (xy 118.982705 121.265506) - (xy 118.982704 121.265505) - (xy 118.980016 121.260229) - (xy 118.889771 121.169984) - (xy 118.879582 121.164792) - (xy 118.792645 121.120496) - (xy 118.776055 121.112043) - (xy 118.65 121.092078) - (xy 118.523945 121.112043) - (xy 118.507355 121.120496) - (xy 118.420419 121.164792) - (xy 118.410229 121.169984) - (xy 118.319984 121.260229) - (xy 118.317296 121.265505) - (xy 118.317295 121.265506) - (xy 118.304383 121.290847) - (xy 118.262043 121.373945) - (xy 118.242078 121.5) - (xy 117.357922 121.5) - (xy 117.337957 121.373945) - (xy 117.295617 121.290847) - (xy 117.282705 121.265506) - (xy 117.282704 121.265505) - (xy 117.280016 121.260229) - (xy 117.189771 121.169984) - (xy 117.179582 121.164792) - (xy 117.092645 121.120496) - (xy 117.076055 121.112043) - (xy 116.95 121.092078) - (xy 116.823945 121.112043) - (xy 116.807355 121.120496) - (xy 116.720419 121.164792) - (xy 116.710229 121.169984) - (xy 116.619984 121.260229) - (xy 116.617296 121.265505) - (xy 116.617295 121.265506) - (xy 116.604383 121.290847) - (xy 116.562043 121.373945) - (xy 116.542078 121.5) - (xy 104.642477 121.5) - (xy 104.65742 121.411179) - (xy 104.657557 121.4) - (xy 104.657145 121.397122) - (xy 104.639925 121.276876) - (xy 104.639924 121.276873) - (xy 104.639166 121.271579) - (xy 104.634526 121.261373) - (xy 104.587689 121.158362) - (xy 104.587688 121.158361) - (xy 104.58547 121.153482) - (xy 104.577969 121.144776) - (xy 104.504282 121.059258) - (xy 104.504281 121.059257) - (xy 104.500787 121.055202) - (xy 104.493542 121.050506) - (xy 104.415621 121) - (xy 113.992078 121) - (xy 113.993004 121.005847) - (xy 113.999396 121.046205) - (xy 114.012043 121.126055) - (xy 114.033056 121.167295) - (xy 114.065575 121.231117) - (xy 114.069984 121.239771) - (xy 114.160229 121.330016) - (xy 114.273945 121.387957) - (xy 114.4 121.407922) - (xy 114.526055 121.387957) - (xy 114.639771 121.330016) - (xy 114.730016 121.239771) - (xy 114.734426 121.231117) - (xy 114.766944 121.167295) - (xy 114.787957 121.126055) - (xy 114.800604 121.046205) - (xy 114.806996 121.005847) - (xy 114.807922 121) - (xy 114.806671 120.992098) - (xy 114.789344 120.882705) - (xy 114.787957 120.873945) - (xy 114.730016 120.760229) - (xy 114.639771 120.669984) - (xy 114.619851 120.659834) - (xy 114.574818 120.636889) - (xy 114.526055 120.612043) - (xy 114.4 120.592078) - (xy 114.273945 120.612043) - (xy 114.225182 120.636889) - (xy 114.18015 120.659834) - (xy 114.160229 120.669984) - (xy 114.069984 120.760229) - (xy 114.012043 120.873945) - (xy 114.010656 120.882705) - (xy 113.99333 120.992098) - (xy 113.992078 121) - (xy 104.415621 121) - (xy 104.396416 120.987552) - (xy 104.396415 120.987551) - (xy 104.391923 120.98464) - (xy 104.345638 120.970798) - (xy 104.272765 120.949004) - (xy 104.272762 120.949004) - (xy 104.267631 120.947469) - (xy 104.262276 120.947436) - (xy 104.262274 120.947436) - (xy 104.204164 120.947081) - (xy 104.137902 120.946676) - (xy 104.013166 120.982326) - (xy 103.903448 121.051553) - (xy 103.81757 121.148791) - (xy 103.815294 121.153638) - (xy 103.815293 121.15364) - (xy 103.791444 121.204437) - (xy 103.762436 121.266223) - (xy 103.742477 121.39441) - (xy 95.456757 121.39441) - (xy 95.439925 121.276876) - (xy 95.439924 121.276873) - (xy 95.439166 121.271579) - (xy 95.434526 121.261373) - (xy 95.387689 121.158362) - (xy 95.387688 121.158361) - (xy 95.38547 121.153482) - (xy 95.377969 121.144776) - (xy 95.304282 121.059258) - (xy 95.304281 121.059257) - (xy 95.300787 121.055202) - (xy 95.293542 121.050506) - (xy 95.196416 120.987552) - (xy 95.196415 120.987551) - (xy 95.191923 120.98464) - (xy 95.145638 120.970798) - (xy 95.072765 120.949004) - (xy 95.072762 120.949004) - (xy 95.067631 120.947469) - (xy 95.062276 120.947436) - (xy 95.062274 120.947436) - (xy 95.004164 120.947081) - (xy 94.937902 120.946676) - (xy 94.813166 120.982326) - (xy 94.703448 121.051553) - (xy 94.61757 121.148791) - (xy 94.615294 121.153638) - (xy 94.615293 121.15364) - (xy 94.591444 121.204437) - (xy 94.562436 121.266223) - (xy 94.542477 121.39441) - (xy 86.256757 121.39441) - (xy 86.239925 121.276876) - (xy 86.239924 121.276873) - (xy 86.239166 121.271579) - (xy 86.234526 121.261373) - (xy 86.187689 121.158362) - (xy 86.187688 121.158361) - (xy 86.18547 121.153482) - (xy 86.177969 121.144776) - (xy 86.104282 121.059258) - (xy 86.104281 121.059257) - (xy 86.100787 121.055202) - (xy 86.093542 121.050506) - (xy 85.996416 120.987552) - (xy 85.996415 120.987551) - (xy 85.991923 120.98464) - (xy 85.945638 120.970798) - (xy 85.872765 120.949004) - (xy 85.872762 120.949004) - (xy 85.867631 120.947469) - (xy 85.862276 120.947436) - (xy 85.862274 120.947436) - (xy 85.804164 120.947081) - (xy 85.737902 120.946676) - (xy 85.613166 120.982326) - (xy 85.503448 121.051553) - (xy 85.41757 121.148791) - (xy 85.415294 121.153638) - (xy 85.415293 121.15364) - (xy 85.391444 121.204437) - (xy 85.362436 121.266223) - (xy 85.342477 121.39441) - (xy 77.056757 121.39441) - (xy 77.039925 121.276876) - (xy 77.039924 121.276873) - (xy 77.039166 121.271579) - (xy 77.034526 121.261373) - (xy 76.987689 121.158362) - (xy 76.987688 121.158361) - (xy 76.98547 121.153482) - (xy 76.977969 121.144776) - (xy 76.904282 121.059258) - (xy 76.904281 121.059257) - (xy 76.900787 121.055202) - (xy 76.893542 121.050506) - (xy 76.796416 120.987552) - (xy 76.796415 120.987551) - (xy 76.791923 120.98464) - (xy 76.745638 120.970798) - (xy 76.672765 120.949004) - (xy 76.672762 120.949004) - (xy 76.667631 120.947469) - (xy 76.662276 120.947436) - (xy 76.662274 120.947436) - (xy 76.604164 120.947081) - (xy 76.537902 120.946676) - (xy 76.413166 120.982326) - (xy 76.303448 121.051553) - (xy 76.21757 121.148791) - (xy 76.215294 121.153638) - (xy 76.215293 121.15364) - (xy 76.191444 121.204437) - (xy 76.162436 121.266223) - (xy 76.142477 121.39441) - (xy 67.168612 121.39441) - (xy 67.146194 121.325826) - (xy 67.116136 121.233862) - (xy 67.081483 121.167295) - (xy 67.00838 121.026864) - (xy 67.008379 121.026862) - (xy 67.006954 121.024125) - (xy 66.998843 121.013322) - (xy 66.866835 120.837504) - (xy 66.866834 120.837502) - (xy 66.864981 120.835035) - (xy 66.762391 120.736997) - (xy 66.696261 120.673802) - (xy 66.69626 120.673801) - (xy 66.694033 120.671673) - (xy 66.670857 120.655863) - (xy 66.562829 120.582172) - (xy 66.498698 120.538425) - (xy 66.284223 120.438869) - (xy 66.211395 120.418672) - (xy 66.059341 120.376503) - (xy 66.059335 120.376502) - (xy 66.056368 120.375679) - (xy 66.053302 120.375351) - (xy 66.0533 120.375351) - (xy 65.86532 120.355262) - (xy 65.865314 120.355262) - (xy 65.863333 120.35505) - (xy 65.726061 120.35505) - (xy 65.724519 120.355177) - (xy 65.724514 120.355177) - (xy 65.627336 120.363167) - (xy 65.550341 120.369497) - (xy 65.472219 120.38912) - (xy 65.324007 120.426348) - (xy 65.324005 120.426349) - (xy 65.32101 120.427101) - (xy 65.318182 120.428331) - (xy 65.318178 120.428332) - (xy 65.106998 120.520156) - (xy 65.104167 120.521387) - (xy 65.101574 120.523064) - (xy 65.101573 120.523065) - (xy 64.908228 120.648145) - (xy 64.908224 120.648148) - (xy 64.905635 120.649823) - (xy 64.730746 120.80896) - (xy 64.584196 120.994524) - (xy 64.582705 120.997225) - (xy 64.582703 120.997228) - (xy 64.511587 121.126055) - (xy 64.469922 121.201531) - (xy 64.390992 121.424423) - (xy 64.390452 121.427457) - (xy 64.390451 121.427459) - (xy 64.350626 121.651039) - (xy 64.349526 121.657213) - (xy 64.349488 121.660301) - (xy 64.349488 121.660304) - (xy 64.349322 121.673883) - (xy 64.346637 121.89365) - (xy 64.350016 121.91573) - (xy 64.356391 121.957396) - (xy 64.346311 122.007838) - (xy 64.306166 122.04) - (xy 64.261507 122.040274) - (xy 64.261055 122.040043) - (xy 64.255213 122.039118) - (xy 64.255211 122.039117) - (xy 64.140847 122.021004) - (xy 64.135 122.020078) - (xy 64.129153 122.021004) - (xy 64.099415 122.025714) - (xy 64.008945 122.040043) - (xy 63.895229 122.097984) - (xy 63.804984 122.188229) - (xy 63.747043 122.301945) - (xy 63.727078 122.428) - (xy 61.363048 122.428) - (xy 61.385588 122.387168) - (xy 61.387078 122.384469) - (xy 61.466008 122.161577) - (xy 61.467061 122.155664) - (xy 61.506933 121.931826) - (xy 61.506933 121.931822) - (xy 61.507474 121.928787) - (xy 61.507667 121.913043) - (xy 61.509599 121.754847) - (xy 61.510363 121.69235) - (xy 61.507538 121.673883) - (xy 61.475064 121.461668) - (xy 61.474597 121.458616) - (xy 61.464414 121.427459) - (xy 61.431194 121.325826) - (xy 61.401136 121.233862) - (xy 61.366483 121.167295) - (xy 61.29338 121.026864) - (xy 61.293379 121.026862) - (xy 61.291954 121.024125) - (xy 61.283843 121.013322) - (xy 61.151835 120.837504) - (xy 61.151834 120.837502) - (xy 61.149981 120.835035) - (xy 61.047391 120.736997) - (xy 61.022803 120.7135) - (xy 62.203078 120.7135) - (xy 62.204004 120.719347) - (xy 62.207449 120.741098) - (xy 62.223043 120.839555) - (xy 62.253704 120.89973) - (xy 62.277641 120.946709) - (xy 62.280984 120.953271) - (xy 62.371229 121.043516) - (xy 62.376505 121.046204) - (xy 62.376506 121.046205) - (xy 62.424344 121.070579) - (xy 62.484945 121.101457) - (xy 62.611 121.121422) - (xy 62.737055 121.101457) - (xy 62.797656 121.070579) - (xy 62.845494 121.046205) - (xy 62.845495 121.046204) - (xy 62.850771 121.043516) - (xy 62.941016 120.953271) - (xy 62.94436 120.946709) - (xy 62.968296 120.89973) - (xy 62.998957 120.839555) - (xy 63.014551 120.741098) - (xy 63.017996 120.719347) - (xy 63.018922 120.7135) - (xy 63.013827 120.681328) - (xy 62.999883 120.593292) - (xy 62.998957 120.587445) - (xy 62.957966 120.506996) - (xy 62.943705 120.479006) - (xy 62.943704 120.479005) - (xy 62.941016 120.473729) - (xy 62.850771 120.383484) - (xy 62.822824 120.369244) - (xy 62.754622 120.334494) - (xy 62.737055 120.325543) - (xy 62.663619 120.313912) - (xy 62.636438 120.309607) - (xy 66.941222 120.309607) - (xy 66.949052 120.355177) - (xy 66.961282 120.426348) - (xy 66.970673 120.481004) - (xy 66.972383 120.485022) - (xy 66.972383 120.485023) - (xy 67.026037 120.611117) - (xy 67.038765 120.641029) - (xy 67.141843 120.781097) - (xy 67.27438 120.893695) - (xy 67.280937 120.897043) - (xy 67.425377 120.970798) - (xy 67.425379 120.970799) - (xy 67.429265 120.972783) - (xy 67.59819 121.014119) - (xy 67.601531 121.014326) - (xy 67.601536 121.014327) - (xy 67.604817 121.01453) - (xy 67.609167 121.0148) - (xy 67.734547 121.0148) - (xy 67.736707 121.014548) - (xy 67.73671 121.014548) - (xy 67.779053 121.009611) - (xy 67.863739 120.999738) - (xy 67.867848 120.998246) - (xy 67.86785 120.998246) - (xy 68.023105 120.941891) - (xy 68.023107 120.94189) - (xy 68.027212 120.9404) - (xy 68.122382 120.878004) - (xy 68.168999 120.847441) - (xy 68.169001 120.84744) - (xy 68.17265 120.845047) - (xy 68.183392 120.833708) - (xy 68.236564 120.777578) - (xy 68.292251 120.718793) - (xy 68.295326 120.7135) - (xy 68.3774 120.572198) - (xy 68.3774 120.572197) - (xy 68.379599 120.568412) - (xy 68.385176 120.55) - (xy 68.428743 120.406153) - (xy 68.428743 120.406151) - (xy 68.43001 120.401969) - (xy 68.43028 120.397613) - (xy 68.430281 120.397609) - (xy 68.430479 120.39441) - (xy 75.242477 120.39441) - (xy 75.243171 120.399717) - (xy 75.243171 120.39972) - (xy 75.252301 120.469539) - (xy 75.259298 120.523046) - (xy 75.261457 120.527952) - (xy 75.261457 120.527953) - (xy 75.29964 120.61473) - (xy 75.311547 120.641791) - (xy 75.395023 120.741098) - (xy 75.503017 120.812984) - (xy 75.626845 120.851671) - (xy 75.693716 120.852896) - (xy 75.751193 120.85395) - (xy 75.751195 120.85395) - (xy 75.756555 120.854048) - (xy 75.761726 120.852638) - (xy 75.761728 120.852638) - (xy 75.834126 120.8329) - (xy 75.881718 120.819925) - (xy 75.992273 120.752044) - (xy 75.995865 120.748076) - (xy 75.995867 120.748074) - (xy 76.061055 120.676055) - (xy 76.079332 120.655863) - (xy 76.130622 120.55) - (xy 77.067078 120.55) - (xy 77.068004 120.555847) - (xy 77.073009 120.587445) - (xy 77.087043 120.676055) - (xy 77.144984 120.789771) - (xy 77.235229 120.880016) - (xy 77.240505 120.882704) - (xy 77.240506 120.882705) - (xy 77.265973 120.895681) - (xy 77.348945 120.937957) - (xy 77.403995 120.946676) - (xy 77.445635 120.953271) - (xy 77.475 120.957922) - (xy 77.504366 120.953271) - (xy 77.546005 120.946676) - (xy 77.601055 120.937957) - (xy 77.684027 120.895681) - (xy 77.709494 120.882705) - (xy 77.709495 120.882704) - (xy 77.714771 120.880016) - (xy 77.805016 120.789771) - (xy 77.862957 120.676055) - (xy 77.876991 120.587445) - (xy 77.881996 120.555847) - (xy 77.882922 120.55) - (xy 77.862957 120.423945) - (xy 77.847908 120.39441) - (xy 84.442477 120.39441) - (xy 84.443171 120.399717) - (xy 84.443171 120.39972) - (xy 84.452301 120.469539) - (xy 84.459298 120.523046) - (xy 84.461457 120.527952) - (xy 84.461457 120.527953) - (xy 84.49964 120.61473) - (xy 84.511547 120.641791) - (xy 84.595023 120.741098) - (xy 84.703017 120.812984) - (xy 84.826845 120.851671) - (xy 84.893716 120.852896) - (xy 84.951193 120.85395) - (xy 84.951195 120.85395) - (xy 84.956555 120.854048) - (xy 84.961726 120.852638) - (xy 84.961728 120.852638) - (xy 85.034126 120.8329) - (xy 85.081718 120.819925) - (xy 85.192273 120.752044) - (xy 85.195865 120.748076) - (xy 85.195867 120.748074) - (xy 85.261055 120.676055) - (xy 85.279332 120.655863) - (xy 85.330622 120.55) - (xy 86.242078 120.55) - (xy 86.243004 120.555847) - (xy 86.248009 120.587445) - (xy 86.262043 120.676055) - (xy 86.319984 120.789771) - (xy 86.410229 120.880016) - (xy 86.415505 120.882704) - (xy 86.415506 120.882705) - (xy 86.440973 120.895681) - (xy 86.523945 120.937957) - (xy 86.578995 120.946676) - (xy 86.620635 120.953271) - (xy 86.65 120.957922) - (xy 86.679366 120.953271) - (xy 86.721005 120.946676) - (xy 86.776055 120.937957) - (xy 86.859027 120.895681) - (xy 86.884494 120.882705) - (xy 86.884495 120.882704) - (xy 86.889771 120.880016) - (xy 86.980016 120.789771) - (xy 87.037957 120.676055) - (xy 87.051991 120.587445) - (xy 87.056996 120.555847) - (xy 87.057922 120.55) - (xy 87.037957 120.423945) - (xy 87.022908 120.39441) - (xy 93.642477 120.39441) - (xy 93.643171 120.399717) - (xy 93.643171 120.39972) - (xy 93.652301 120.469539) - (xy 93.659298 120.523046) - (xy 93.661457 120.527952) - (xy 93.661457 120.527953) - (xy 93.69964 120.61473) - (xy 93.711547 120.641791) - (xy 93.795023 120.741098) - (xy 93.903017 120.812984) - (xy 94.026845 120.851671) - (xy 94.093716 120.852896) - (xy 94.151193 120.85395) - (xy 94.151195 120.85395) - (xy 94.156555 120.854048) - (xy 94.161726 120.852638) - (xy 94.161728 120.852638) - (xy 94.234126 120.8329) - (xy 94.281718 120.819925) - (xy 94.392273 120.752044) - (xy 94.395865 120.748076) - (xy 94.395867 120.748074) - (xy 94.461055 120.676055) - (xy 94.479332 120.655863) - (xy 94.530622 120.55) - (xy 95.467078 120.55) - (xy 95.468004 120.555847) - (xy 95.473009 120.587445) - (xy 95.487043 120.676055) - (xy 95.544984 120.789771) - (xy 95.635229 120.880016) - (xy 95.640505 120.882704) - (xy 95.640506 120.882705) - (xy 95.665973 120.895681) - (xy 95.748945 120.937957) - (xy 95.803995 120.946676) - (xy 95.845635 120.953271) - (xy 95.875 120.957922) - (xy 95.904366 120.953271) - (xy 95.946005 120.946676) - (xy 96.001055 120.937957) - (xy 96.084027 120.895681) - (xy 96.109494 120.882705) - (xy 96.109495 120.882704) - (xy 96.114771 120.880016) - (xy 96.205016 120.789771) - (xy 96.262957 120.676055) - (xy 96.276991 120.587445) - (xy 96.281996 120.555847) - (xy 96.282922 120.55) - (xy 96.262957 120.423945) - (xy 96.247908 120.39441) - (xy 102.842477 120.39441) - (xy 102.843171 120.399717) - (xy 102.843171 120.39972) - (xy 102.852301 120.469539) - (xy 102.859298 120.523046) - (xy 102.861457 120.527952) - (xy 102.861457 120.527953) - (xy 102.89964 120.61473) - (xy 102.911547 120.641791) - (xy 102.995023 120.741098) - (xy 103.103017 120.812984) - (xy 103.226845 120.851671) - (xy 103.293716 120.852896) - (xy 103.351193 120.85395) - (xy 103.351195 120.85395) - (xy 103.356555 120.854048) - (xy 103.361726 120.852638) - (xy 103.361728 120.852638) - (xy 103.434126 120.8329) - (xy 103.481718 120.819925) - (xy 103.592273 120.752044) - (xy 103.595865 120.748076) - (xy 103.595867 120.748074) - (xy 103.661055 120.676055) - (xy 103.679332 120.655863) - (xy 103.730622 120.55) - (xy 104.642078 120.55) - (xy 104.643004 120.555847) - (xy 104.648009 120.587445) - (xy 104.662043 120.676055) - (xy 104.719984 120.789771) - (xy 104.810229 120.880016) - (xy 104.815505 120.882704) - (xy 104.815506 120.882705) - (xy 104.840973 120.895681) - (xy 104.923945 120.937957) - (xy 104.978995 120.946676) - (xy 105.020635 120.953271) - (xy 105.05 120.957922) - (xy 105.079366 120.953271) - (xy 105.121005 120.946676) - (xy 105.176055 120.937957) - (xy 105.259027 120.895681) - (xy 105.284494 120.882705) - (xy 105.284495 120.882704) - (xy 105.289771 120.880016) - (xy 105.380016 120.789771) - (xy 105.437957 120.676055) - (xy 105.451991 120.587445) - (xy 105.456996 120.555847) - (xy 105.457922 120.55) - (xy 105.437957 120.423945) - (xy 105.380016 120.310229) - (xy 105.289771 120.219984) - (xy 105.176055 120.162043) - (xy 105.100018 120.15) - (xy 105.942078 120.15) - (xy 105.962043 120.276055) - (xy 105.987258 120.325543) - (xy 106.016781 120.383484) - (xy 106.019984 120.389771) - (xy 106.110229 120.480016) - (xy 106.115505 120.482704) - (xy 106.115506 120.482705) - (xy 106.16318 120.506996) - (xy 106.223945 120.537957) - (xy 106.23788 120.540164) - (xy 106.336899 120.555847) - (xy 106.35 120.557922) - (xy 106.363102 120.555847) - (xy 106.400018 120.55) - (xy 117.392078 120.55) - (xy 117.393004 120.555847) - (xy 117.398009 120.587445) - (xy 117.412043 120.676055) - (xy 117.469984 120.789771) - (xy 117.560229 120.880016) - (xy 117.565505 120.882704) - (xy 117.565506 120.882705) - (xy 117.590973 120.895681) - (xy 117.673945 120.937957) - (xy 117.728995 120.946676) - (xy 117.770635 120.953271) - (xy 117.8 120.957922) - (xy 117.829366 120.953271) - (xy 117.871005 120.946676) - (xy 117.926055 120.937957) - (xy 118.009027 120.895681) - (xy 118.034494 120.882705) - (xy 118.034495 120.882704) - (xy 118.039771 120.880016) - (xy 118.130016 120.789771) - (xy 118.187957 120.676055) - (xy 118.201991 120.587445) - (xy 118.206996 120.555847) - (xy 118.207922 120.55) - (xy 118.187957 120.423945) - (xy 118.130016 120.310229) - (xy 118.039771 120.219984) - (xy 117.926055 120.162043) - (xy 117.842385 120.148791) - (xy 117.805847 120.143004) - (xy 117.8 120.142078) - (xy 117.794153 120.143004) - (xy 117.757615 120.148791) - (xy 117.673945 120.162043) - (xy 117.560229 120.219984) - (xy 117.469984 120.310229) - (xy 117.412043 120.423945) - (xy 117.392078 120.55) - (xy 106.400018 120.55) - (xy 106.46212 120.540164) - (xy 106.476055 120.537957) - (xy 106.53682 120.506996) - (xy 106.584494 120.482705) - (xy 106.584495 120.482704) - (xy 106.589771 120.480016) - (xy 106.680016 120.389771) - (xy 106.68322 120.383484) - (xy 106.712742 120.325543) - (xy 106.737957 120.276055) - (xy 106.757922 120.15) - (xy 106.756256 120.139478) - (xy 106.750003 120.1) - (xy 114.592078 120.1) - (xy 114.612043 120.226055) - (xy 114.635239 120.271579) - (xy 114.664104 120.32823) - (xy 114.669984 120.339771) - (xy 114.760229 120.430016) - (xy 114.873945 120.487957) - (xy 115 120.507922) - (xy 115.126055 120.487957) - (xy 115.239771 120.430016) - (xy 115.330016 120.339771) - (xy 115.335897 120.32823) - (xy 115.364761 120.271579) - (xy 115.387957 120.226055) - (xy 115.407922 120.1) - (xy 115.892078 120.1) - (xy 115.912043 120.226055) - (xy 115.935239 120.271579) - (xy 115.964104 120.32823) - (xy 115.969984 120.339771) - (xy 116.060229 120.430016) - (xy 116.173945 120.487957) - (xy 116.3 120.507922) - (xy 116.426055 120.487957) - (xy 116.539771 120.430016) - (xy 116.630016 120.339771) - (xy 116.635897 120.32823) - (xy 116.664761 120.271579) - (xy 116.687957 120.226055) - (xy 116.707922 120.1) - (xy 116.705836 120.086826) - (xy 116.691786 119.998119) - (xy 116.687957 119.973945) - (xy 116.646371 119.892328) - (xy 116.632705 119.865506) - (xy 116.632704 119.865505) - (xy 116.630016 119.860229) - (xy 116.539771 119.769984) - (xy 116.533615 119.766847) - (xy 116.485002 119.742078) - (xy 116.426055 119.712043) - (xy 116.3 119.692078) - (xy 116.173945 119.712043) - (xy 116.114998 119.742078) - (xy 116.066386 119.766847) - (xy 116.060229 119.769984) - (xy 115.969984 119.860229) - (xy 115.967296 119.865505) - (xy 115.967295 119.865506) - (xy 115.953629 119.892328) - (xy 115.912043 119.973945) - (xy 115.908214 119.998119) - (xy 115.894165 120.086826) - (xy 115.892078 120.1) - (xy 115.407922 120.1) - (xy 115.405836 120.086826) - (xy 115.391786 119.998119) - (xy 115.387957 119.973945) - (xy 115.346371 119.892328) - (xy 115.332705 119.865506) - (xy 115.332704 119.865505) - (xy 115.330016 119.860229) - (xy 115.239771 119.769984) - (xy 115.233615 119.766847) - (xy 115.185002 119.742078) - (xy 115.126055 119.712043) - (xy 115 119.692078) - (xy 114.873945 119.712043) - (xy 114.814998 119.742078) - (xy 114.766386 119.766847) - (xy 114.760229 119.769984) - (xy 114.669984 119.860229) - (xy 114.667296 119.865505) - (xy 114.667295 119.865506) - (xy 114.653629 119.892328) - (xy 114.612043 119.973945) - (xy 114.608214 119.998119) - (xy 114.594165 120.086826) - (xy 114.592078 120.1) - (xy 106.750003 120.1) - (xy 106.742555 120.052977) - (xy 106.737957 120.023945) - (xy 106.698974 119.947436) - (xy 106.682705 119.915506) - (xy 106.682704 119.915505) - (xy 106.680016 119.910229) - (xy 106.589771 119.819984) - (xy 106.476055 119.762043) - (xy 106.35 119.742078) - (xy 106.223945 119.762043) - (xy 106.110229 119.819984) - (xy 106.019984 119.910229) - (xy 106.017296 119.915505) - (xy 106.017295 119.915506) - (xy 106.001026 119.947436) - (xy 105.962043 120.023945) - (xy 105.957445 120.052977) - (xy 105.943745 120.139478) - (xy 105.942078 120.15) - (xy 105.100018 120.15) - (xy 105.092385 120.148791) - (xy 105.055847 120.143004) - (xy 105.05 120.142078) - (xy 105.044153 120.143004) - (xy 105.007615 120.148791) - (xy 104.923945 120.162043) - (xy 104.810229 120.219984) - (xy 104.719984 120.310229) - (xy 104.662043 120.423945) - (xy 104.642078 120.55) - (xy 103.730622 120.55) - (xy 103.735897 120.539112) - (xy 103.75742 120.411179) - (xy 103.757557 120.4) - (xy 103.757145 120.397122) - (xy 103.739925 120.276876) - (xy 103.739924 120.276873) - (xy 103.739166 120.271579) - (xy 103.714484 120.217295) - (xy 103.687689 120.158362) - (xy 103.687688 120.158361) - (xy 103.68547 120.153482) - (xy 103.677969 120.144776) - (xy 103.604282 120.059258) - (xy 103.604281 120.059257) - (xy 103.600787 120.055202) - (xy 103.595157 120.051553) - (xy 103.496416 119.987552) - (xy 103.496415 119.987551) - (xy 103.491923 119.98464) - (xy 103.441592 119.969588) - (xy 103.372765 119.949004) - (xy 103.372762 119.949004) - (xy 103.367631 119.947469) - (xy 103.362276 119.947436) - (xy 103.362274 119.947436) - (xy 103.304164 119.947081) - (xy 103.237902 119.946676) - (xy 103.113166 119.982326) - (xy 103.003448 120.051553) - (xy 102.91757 120.148791) - (xy 102.915294 120.153638) - (xy 102.915293 120.15364) - (xy 102.864713 120.261373) - (xy 102.862436 120.266223) - (xy 102.842477 120.39441) - (xy 96.247908 120.39441) - (xy 96.205016 120.310229) - (xy 96.114771 120.219984) - (xy 96.001055 120.162043) - (xy 95.917385 120.148791) - (xy 95.880847 120.143004) - (xy 95.875 120.142078) - (xy 95.869153 120.143004) - (xy 95.832615 120.148791) - (xy 95.748945 120.162043) - (xy 95.635229 120.219984) - (xy 95.544984 120.310229) - (xy 95.487043 120.423945) - (xy 95.467078 120.55) - (xy 94.530622 120.55) - (xy 94.535897 120.539112) - (xy 94.55742 120.411179) - (xy 94.557557 120.4) - (xy 94.557145 120.397122) - (xy 94.539925 120.276876) - (xy 94.539924 120.276873) - (xy 94.539166 120.271579) - (xy 94.514484 120.217295) - (xy 94.487689 120.158362) - (xy 94.487688 120.158361) - (xy 94.48547 120.153482) - (xy 94.477969 120.144776) - (xy 94.404282 120.059258) - (xy 94.404281 120.059257) - (xy 94.400787 120.055202) - (xy 94.395157 120.051553) - (xy 94.296416 119.987552) - (xy 94.296415 119.987551) - (xy 94.291923 119.98464) - (xy 94.241592 119.969588) - (xy 94.172765 119.949004) - (xy 94.172762 119.949004) - (xy 94.167631 119.947469) - (xy 94.162276 119.947436) - (xy 94.162274 119.947436) - (xy 94.104164 119.947081) - (xy 94.037902 119.946676) - (xy 93.913166 119.982326) - (xy 93.803448 120.051553) - (xy 93.71757 120.148791) - (xy 93.715294 120.153638) - (xy 93.715293 120.15364) - (xy 93.664713 120.261373) - (xy 93.662436 120.266223) - (xy 93.642477 120.39441) - (xy 87.022908 120.39441) - (xy 86.980016 120.310229) - (xy 86.889771 120.219984) - (xy 86.776055 120.162043) - (xy 86.692385 120.148791) - (xy 86.655847 120.143004) - (xy 86.65 120.142078) - (xy 86.644153 120.143004) - (xy 86.607615 120.148791) - (xy 86.523945 120.162043) - (xy 86.410229 120.219984) - (xy 86.319984 120.310229) - (xy 86.262043 120.423945) - (xy 86.242078 120.55) - (xy 85.330622 120.55) - (xy 85.335897 120.539112) - (xy 85.35742 120.411179) - (xy 85.357557 120.4) - (xy 85.357145 120.397122) - (xy 85.339925 120.276876) - (xy 85.339924 120.276873) - (xy 85.339166 120.271579) - (xy 85.314484 120.217295) - (xy 85.287689 120.158362) - (xy 85.287688 120.158361) - (xy 85.28547 120.153482) - (xy 85.277969 120.144776) - (xy 85.204282 120.059258) - (xy 85.204281 120.059257) - (xy 85.200787 120.055202) - (xy 85.195157 120.051553) - (xy 85.096416 119.987552) - (xy 85.096415 119.987551) - (xy 85.091923 119.98464) - (xy 85.041592 119.969588) - (xy 84.972765 119.949004) - (xy 84.972762 119.949004) - (xy 84.967631 119.947469) - (xy 84.962276 119.947436) - (xy 84.962274 119.947436) - (xy 84.904164 119.947081) - (xy 84.837902 119.946676) - (xy 84.713166 119.982326) - (xy 84.603448 120.051553) - (xy 84.51757 120.148791) - (xy 84.515294 120.153638) - (xy 84.515293 120.15364) - (xy 84.464713 120.261373) - (xy 84.462436 120.266223) - (xy 84.442477 120.39441) - (xy 77.847908 120.39441) - (xy 77.805016 120.310229) - (xy 77.714771 120.219984) - (xy 77.601055 120.162043) - (xy 77.517385 120.148791) - (xy 77.480847 120.143004) - (xy 77.475 120.142078) - (xy 77.469153 120.143004) - (xy 77.432615 120.148791) - (xy 77.348945 120.162043) - (xy 77.235229 120.219984) - (xy 77.144984 120.310229) - (xy 77.087043 120.423945) - (xy 77.067078 120.55) - (xy 76.130622 120.55) - (xy 76.135897 120.539112) - (xy 76.15742 120.411179) - (xy 76.157557 120.4) - (xy 76.157145 120.397122) - (xy 76.139925 120.276876) - (xy 76.139924 120.276873) - (xy 76.139166 120.271579) - (xy 76.114484 120.217295) - (xy 76.087689 120.158362) - (xy 76.087688 120.158361) - (xy 76.08547 120.153482) - (xy 76.077969 120.144776) - (xy 76.004282 120.059258) - (xy 76.004281 120.059257) - (xy 76.000787 120.055202) - (xy 75.995157 120.051553) - (xy 75.896416 119.987552) - (xy 75.896415 119.987551) - (xy 75.891923 119.98464) - (xy 75.841592 119.969588) - (xy 75.772765 119.949004) - (xy 75.772762 119.949004) - (xy 75.767631 119.947469) - (xy 75.762276 119.947436) - (xy 75.762274 119.947436) - (xy 75.704164 119.947081) - (xy 75.637902 119.946676) - (xy 75.513166 119.982326) - (xy 75.403448 120.051553) - (xy 75.31757 120.148791) - (xy 75.315294 120.153638) - (xy 75.315293 120.15364) - (xy 75.264713 120.261373) - (xy 75.262436 120.266223) - (xy 75.242477 120.39441) - (xy 68.430479 120.39441) - (xy 68.440508 120.232752) - (xy 68.440508 120.232748) - (xy 68.440778 120.228393) - (xy 68.428707 120.158143) - (xy 68.412067 120.0613) - (xy 68.412066 120.061296) - (xy 68.411327 120.056996) - (xy 68.399752 120.029792) - (xy 68.344946 119.900992) - (xy 68.343235 119.896971) - (xy 68.252867 119.774174) - (xy 68.243172 119.761) - (xy 71.347078 119.761) - (xy 71.348004 119.766847) - (xy 71.355795 119.816035) - (xy 71.367043 119.887055) - (xy 71.384851 119.922006) - (xy 71.418249 119.987552) - (xy 71.424984 120.000771) - (xy 71.515229 120.091016) - (xy 71.520505 120.093704) - (xy 71.520506 120.093705) - (xy 71.568344 120.118079) - (xy 71.628945 120.148957) - (xy 71.657515 120.153482) - (xy 71.728533 120.16473) - (xy 71.755 120.168922) - (xy 71.781468 120.16473) - (xy 71.852485 120.153482) - (xy 71.881055 120.148957) - (xy 71.941656 120.118079) - (xy 71.989494 120.093705) - (xy 71.989495 120.093704) - (xy 71.994771 120.091016) - (xy 72.085016 120.000771) - (xy 72.091752 119.987552) - (xy 72.125149 119.922006) - (xy 72.142957 119.887055) - (xy 72.154205 119.816035) - (xy 72.161996 119.766847) - (xy 72.162922 119.761) - (xy 72.142957 119.634945) - (xy 72.102834 119.556198) - (xy 72.087705 119.526506) - (xy 72.087704 119.526505) - (xy 72.085016 119.521229) - (xy 71.994771 119.430984) - (xy 71.881055 119.373043) - (xy 71.755 119.353078) - (xy 71.628945 119.373043) - (xy 71.515229 119.430984) - (xy 71.424984 119.521229) - (xy 71.422296 119.526505) - (xy 71.422295 119.526506) - (xy 71.407166 119.556198) - (xy 71.367043 119.634945) - (xy 71.347078 119.761) - (xy 68.243172 119.761) - (xy 68.242747 119.760422) - (xy 68.242745 119.76042) - (xy 68.240157 119.756903) - (xy 68.10762 119.644305) - (xy 68.020842 119.599994) - (xy 67.956623 119.567202) - (xy 67.956621 119.567201) - (xy 67.952735 119.565217) - (xy 67.78381 119.523881) - (xy 67.780469 119.523674) - (xy 67.780464 119.523673) - (xy 67.777183 119.52347) - (xy 67.772833 119.5232) - (xy 67.647453 119.5232) - (xy 67.645293 119.523452) - (xy 67.64529 119.523452) - (xy 67.619096 119.526506) - (xy 67.518261 119.538262) - (xy 67.514152 119.539754) - (xy 67.51415 119.539754) - (xy 67.358895 119.596109) - (xy 67.358893 119.59611) - (xy 67.354788 119.5976) - (xy 67.20935 119.692953) - (xy 67.206346 119.696124) - (xy 67.162814 119.742078) - (xy 67.089749 119.819207) - (xy 67.087555 119.822985) - (xy 67.087553 119.822987) - (xy 67.039313 119.906039) - (xy 67.002401 119.969588) - (xy 67.001133 119.973775) - (xy 67.001132 119.973777) - (xy 66.962903 120.1) - (xy 66.95199 120.136031) - (xy 66.95172 120.140387) - (xy 66.951719 120.140391) - (xy 66.942976 120.281328) - (xy 66.941222 120.309607) - (xy 62.636438 120.309607) - (xy 62.616847 120.306504) - (xy 62.611 120.305578) - (xy 62.605153 120.306504) - (xy 62.558381 120.313912) - (xy 62.484945 120.325543) - (xy 62.467378 120.334494) - (xy 62.399177 120.369244) - (xy 62.371229 120.383484) - (xy 62.280984 120.473729) - (xy 62.278296 120.479005) - (xy 62.278295 120.479006) - (xy 62.264034 120.506996) - (xy 62.223043 120.587445) - (xy 62.222117 120.593292) - (xy 62.208174 120.681328) - (xy 62.203078 120.7135) - (xy 61.022803 120.7135) - (xy 60.981261 120.673802) - (xy 60.98126 120.673801) - (xy 60.979033 120.671673) - (xy 60.955857 120.655863) - (xy 60.847829 120.582172) - (xy 60.783698 120.538425) - (xy 60.569223 120.438869) - (xy 60.496395 120.418672) - (xy 60.344341 120.376503) - (xy 60.344335 120.376502) - (xy 60.341368 120.375679) - (xy 60.338302 120.375351) - (xy 60.3383 120.375351) - (xy 60.15032 120.355262) - (xy 60.150314 120.355262) - (xy 60.148333 120.35505) - (xy 60.011061 120.35505) - (xy 60.009519 120.355177) - (xy 60.009514 120.355177) - (xy 59.912336 120.363167) - (xy 59.835341 120.369497) - (xy 59.757219 120.38912) - (xy 59.609007 120.426348) - (xy 59.609005 120.426349) - (xy 59.60601 120.427101) - (xy 59.603182 120.428331) - (xy 59.603178 120.428332) - (xy 59.391998 120.520156) - (xy 59.389167 120.521387) - (xy 59.386574 120.523064) - (xy 59.386573 120.523065) - (xy 59.193228 120.648145) - (xy 59.193224 120.648148) - (xy 59.190635 120.649823) - (xy 59.015746 120.80896) - (xy 58.869196 120.994524) - (xy 58.867705 120.997225) - (xy 58.867703 120.997228) - (xy 58.796587 121.126055) - (xy 58.754922 121.201531) - (xy 58.675992 121.424423) - (xy 58.675452 121.427457) - (xy 58.675451 121.427459) - (xy 58.635626 121.651039) - (xy 58.634526 121.657213) - (xy 58.634488 121.660301) - (xy 58.634488 121.660304) - (xy 58.634322 121.673883) - (xy 58.631637 121.89365) - (xy 53.978611 121.89365) - (xy 53.975 121.893078) - (xy 53.848945 121.913043) - (xy 53.735229 121.970984) - (xy 53.644984 122.061229) - (xy 53.642296 122.066505) - (xy 53.642295 122.066506) - (xy 53.617921 122.114344) - (xy 53.587043 122.174945) - (xy 53.567078 122.301) - (xy 49.302922 122.301) - (xy 49.282957 122.174945) - (xy 49.252079 122.114344) - (xy 49.227705 122.066506) - (xy 49.227704 122.066505) - (xy 49.225016 122.061229) - (xy 49.134771 121.970984) - (xy 49.021055 121.913043) - (xy 48.895 121.893078) - (xy 48.768945 121.913043) - (xy 48.655229 121.970984) - (xy 48.564984 122.061229) - (xy 48.562296 122.066505) - (xy 48.562295 122.066506) - (xy 48.537921 122.114344) - (xy 48.507043 122.174945) - (xy 48.487078 122.301) - (xy 46.101 122.301) - (xy 46.101 120.206481) - (xy 46.118593 120.158143) - (xy 46.163142 120.132423) - (xy 46.210341 120.139478) - (xy 46.219517 120.144153) - (xy 46.228945 120.148957) - (xy 46.257515 120.153482) - (xy 46.328533 120.16473) - (xy 46.355 120.168922) - (xy 46.381468 120.16473) - (xy 46.452485 120.153482) - (xy 46.481055 120.148957) - (xy 46.541656 120.118079) - (xy 46.589494 120.093705) - (xy 46.589495 120.093704) - (xy 46.594771 120.091016) - (xy 46.685016 120.000771) - (xy 46.691752 119.987552) - (xy 46.725149 119.922006) - (xy 46.742957 119.887055) - (xy 46.754205 119.816035) - (xy 46.761996 119.766847) - (xy 46.762922 119.761) - (xy 51.027078 119.761) - (xy 51.028004 119.766847) - (xy 51.035795 119.816035) - (xy 51.047043 119.887055) - (xy 51.064851 119.922006) - (xy 51.098249 119.987552) - (xy 51.104984 120.000771) - (xy 51.195229 120.091016) - (xy 51.200505 120.093704) - (xy 51.200506 120.093705) - (xy 51.248344 120.118079) - (xy 51.308945 120.148957) - (xy 51.337515 120.153482) - (xy 51.408533 120.16473) - (xy 51.435 120.168922) - (xy 51.461468 120.16473) - (xy 51.532485 120.153482) - (xy 51.561055 120.148957) - (xy 51.621656 120.118079) - (xy 51.669494 120.093705) - (xy 51.669495 120.093704) - (xy 51.674771 120.091016) - (xy 51.765016 120.000771) - (xy 51.771752 119.987552) - (xy 51.805149 119.922006) - (xy 51.822957 119.887055) - (xy 51.834205 119.816035) - (xy 51.841996 119.766847) - (xy 51.842922 119.761) - (xy 55.980078 119.761) - (xy 55.981004 119.766847) - (xy 55.988795 119.816035) - (xy 56.000043 119.887055) - (xy 56.017851 119.922006) - (xy 56.051249 119.987552) - (xy 56.057984 120.000771) - (xy 56.148229 120.091016) - (xy 56.153505 120.093704) - (xy 56.153506 120.093705) - (xy 56.201344 120.118079) - (xy 56.261945 120.148957) - (xy 56.290515 120.153482) - (xy 56.361533 120.16473) - (xy 56.388 120.168922) - (xy 56.414468 120.16473) - (xy 56.485485 120.153482) - (xy 56.514055 120.148957) - (xy 56.574656 120.118079) - (xy 56.622494 120.093705) - (xy 56.622495 120.093704) - (xy 56.627771 120.091016) - (xy 56.718016 120.000771) - (xy 56.724752 119.987552) - (xy 56.758149 119.922006) - (xy 56.775957 119.887055) - (xy 56.787205 119.816035) - (xy 56.794996 119.766847) - (xy 56.795922 119.761) - (xy 56.775957 119.634945) - (xy 56.735834 119.556198) - (xy 56.720705 119.526506) - (xy 56.720704 119.526505) - (xy 56.718016 119.521229) - (xy 56.627771 119.430984) - (xy 56.514055 119.373043) - (xy 56.388 119.353078) - (xy 56.261945 119.373043) - (xy 56.148229 119.430984) - (xy 56.057984 119.521229) - (xy 56.055296 119.526505) - (xy 56.055295 119.526506) - (xy 56.040166 119.556198) - (xy 56.000043 119.634945) - (xy 55.980078 119.761) - (xy 51.842922 119.761) - (xy 51.822957 119.634945) - (xy 51.782834 119.556198) - (xy 51.767705 119.526506) - (xy 51.767704 119.526505) - (xy 51.765016 119.521229) - (xy 51.674771 119.430984) - (xy 51.561055 119.373043) - (xy 51.435 119.353078) - (xy 51.308945 119.373043) - (xy 51.195229 119.430984) - (xy 51.104984 119.521229) - (xy 51.102296 119.526505) - (xy 51.102295 119.526506) - (xy 51.087166 119.556198) - (xy 51.047043 119.634945) - (xy 51.027078 119.761) - (xy 46.762922 119.761) - (xy 46.742957 119.634945) - (xy 46.702834 119.556198) - (xy 46.687705 119.526506) - (xy 46.687704 119.526505) - (xy 46.685016 119.521229) - (xy 46.594771 119.430984) - (xy 46.481055 119.373043) - (xy 46.355 119.353078) - (xy 46.228945 119.373043) - (xy 46.22367 119.375731) - (xy 46.223669 119.375731) - (xy 46.210341 119.382522) - (xy 46.159285 119.388792) - (xy 46.116143 119.360776) - (xy 46.101 119.315519) - (xy 46.101 119.293607) - (xy 59.321222 119.293607) - (xy 59.321962 119.297912) - (xy 59.337578 119.388792) - (xy 59.350673 119.465004) - (xy 59.352383 119.469022) - (xy 59.352383 119.469023) - (xy 59.375543 119.523452) - (xy 59.418765 119.625029) - (xy 59.468107 119.692078) - (xy 59.518403 119.760422) - (xy 59.521843 119.765097) - (xy 59.65438 119.877695) - (xy 59.69213 119.896971) - (xy 59.805377 119.954798) - (xy 59.805379 119.954799) - (xy 59.809265 119.956783) - (xy 59.97819 119.998119) - (xy 59.981531 119.998326) - (xy 59.981536 119.998327) - (xy 59.984817 119.99853) - (xy 59.989167 119.9988) - (xy 60.114547 119.9988) - (xy 60.116707 119.998548) - (xy 60.11671 119.998548) - (xy 60.159053 119.993611) - (xy 60.243739 119.983738) - (xy 60.247848 119.982246) - (xy 60.24785 119.982246) - (xy 60.403105 119.925891) - (xy 60.403107 119.92589) - (xy 60.407212 119.9244) - (xy 60.497041 119.865506) - (xy 60.548999 119.831441) - (xy 60.549001 119.83144) - (xy 60.55265 119.829047) - (xy 60.561236 119.819984) - (xy 60.663488 119.712043) - (xy 60.672251 119.702793) - (xy 60.704581 119.647134) - (xy 60.7574 119.556198) - (xy 60.7574 119.556197) - (xy 60.759599 119.552412) - (xy 60.768241 119.523881) - (xy 60.808743 119.390153) - (xy 60.808743 119.390151) - (xy 60.81001 119.385969) - (xy 60.81028 119.381613) - (xy 60.810281 119.381609) - (xy 60.820508 119.216752) - (xy 60.820508 119.216748) - (xy 60.820778 119.212393) - (xy 60.804908 119.120031) - (xy 60.792067 119.0453) - (xy 60.792066 119.045296) - (xy 60.791327 119.040996) - (xy 60.766534 118.982728) - (xy 60.724946 118.884992) - (xy 60.723235 118.880971) - (xy 60.626187 118.749097) - (xy 60.622747 118.744422) - (xy 60.622745 118.74442) - (xy 60.620157 118.740903) - (xy 60.48762 118.628305) - (xy 60.400842 118.583994) - (xy 60.336623 118.551202) - (xy 60.336621 118.551201) - (xy 60.332735 118.549217) - (xy 60.16381 118.507881) - (xy 60.160469 118.507674) - (xy 60.160464 118.507673) - (xy 60.157183 118.50747) - (xy 60.152833 118.5072) - (xy 60.027453 118.5072) - (xy 60.025293 118.507452) - (xy 60.02529 118.507452) - (xy 59.999096 118.510506) - (xy 59.898261 118.522262) - (xy 59.894152 118.523754) - (xy 59.89415 118.523754) - (xy 59.738895 118.580109) - (xy 59.738893 118.58011) - (xy 59.734788 118.5816) - (xy 59.58935 118.676953) - (xy 59.586346 118.680124) - (xy 59.577024 118.689965) - (xy 59.469749 118.803207) - (xy 59.467555 118.806985) - (xy 59.467553 118.806987) - (xy 59.410039 118.906006) - (xy 59.382401 118.953588) - (xy 59.381133 118.957775) - (xy 59.381132 118.957777) - (xy 59.354624 119.0453) - (xy 59.33199 119.120031) - (xy 59.33172 119.124387) - (xy 59.331719 119.124391) - (xy 59.321492 119.289248) - (xy 59.321222 119.293607) - (xy 46.101 119.293607) - (xy 46.101 118.277607) - (xy 66.941222 118.277607) - (xy 66.970673 118.449004) - (xy 66.972383 118.453022) - (xy 66.972383 118.453023) - (xy 66.995543 118.507452) - (xy 67.038765 118.609029) - (xy 67.088751 118.676953) - (xy 67.138403 118.744422) - (xy 67.141843 118.749097) - (xy 67.27438 118.861695) - (xy 67.31213 118.880971) - (xy 67.425377 118.938798) - (xy 67.425379 118.938799) - (xy 67.429265 118.940783) - (xy 67.59819 118.982119) - (xy 67.601531 118.982326) - (xy 67.601536 118.982327) - (xy 67.604817 118.98253) - (xy 67.609167 118.9828) - (xy 67.734547 118.9828) - (xy 67.736707 118.982548) - (xy 67.73671 118.982548) - (xy 67.779053 118.977611) - (xy 67.863739 118.967738) - (xy 67.867848 118.966246) - (xy 67.86785 118.966246) - (xy 68.023105 118.909891) - (xy 68.023107 118.90989) - (xy 68.027212 118.9084) - (xy 68.17265 118.813047) - (xy 68.181972 118.803207) - (xy 68.237111 118.745) - (xy 115.289078 118.745) - (xy 115.309043 118.871055) - (xy 115.316144 118.884992) - (xy 115.351096 118.953588) - (xy 115.366984 118.984771) - (xy 115.457229 119.075016) - (xy 115.570945 119.132957) - (xy 115.697 119.152922) - (xy 115.823055 119.132957) - (xy 115.936771 119.075016) - (xy 116.027016 118.984771) - (xy 116.042905 118.953588) - (xy 116.077856 118.884992) - (xy 116.084957 118.871055) - (xy 116.104922 118.745) - (xy 120.115078 118.745) - (xy 120.135043 118.871055) - (xy 120.142144 118.884992) - (xy 120.177096 118.953588) - (xy 120.192984 118.984771) - (xy 120.283229 119.075016) - (xy 120.396945 119.132957) - (xy 120.523 119.152922) - (xy 120.649055 119.132957) - (xy 120.762771 119.075016) - (xy 120.853016 118.984771) - (xy 120.868905 118.953588) - (xy 120.903856 118.884992) - (xy 120.910957 118.871055) - (xy 120.930922 118.745) - (xy 125.195078 118.745) - (xy 125.215043 118.871055) - (xy 125.222144 118.884992) - (xy 125.257096 118.953588) - (xy 125.272984 118.984771) - (xy 125.363229 119.075016) - (xy 125.476945 119.132957) - (xy 125.603 119.152922) - (xy 125.729055 119.132957) - (xy 125.842771 119.075016) - (xy 125.933016 118.984771) - (xy 125.948905 118.953588) - (xy 125.983856 118.884992) - (xy 125.990957 118.871055) - (xy 126.010922 118.745) - (xy 130.275078 118.745) - (xy 130.295043 118.871055) - (xy 130.302144 118.884992) - (xy 130.337096 118.953588) - (xy 130.352984 118.984771) - (xy 130.443229 119.075016) - (xy 130.556945 119.132957) - (xy 130.683 119.152922) - (xy 130.809055 119.132957) - (xy 130.922771 119.075016) - (xy 131.013016 118.984771) - (xy 131.028905 118.953588) - (xy 131.063856 118.884992) - (xy 131.070957 118.871055) - (xy 131.090922 118.745) - (xy 135.355078 118.745) - (xy 135.375043 118.871055) - (xy 135.382144 118.884992) - (xy 135.417096 118.953588) - (xy 135.432984 118.984771) - (xy 135.523229 119.075016) - (xy 135.636945 119.132957) - (xy 135.763 119.152922) - (xy 135.889055 119.132957) - (xy 136.002771 119.075016) - (xy 136.093016 118.984771) - (xy 136.108905 118.953588) - (xy 136.143856 118.884992) - (xy 136.150957 118.871055) - (xy 136.170922 118.745) - (xy 140.435078 118.745) - (xy 140.455043 118.871055) - (xy 140.462144 118.884992) - (xy 140.497096 118.953588) - (xy 140.512984 118.984771) - (xy 140.603229 119.075016) - (xy 140.716945 119.132957) - (xy 140.843 119.152922) - (xy 140.969055 119.132957) - (xy 141.082771 119.075016) - (xy 141.173016 118.984771) - (xy 141.188905 118.953588) - (xy 141.223856 118.884992) - (xy 141.230957 118.871055) - (xy 141.250922 118.745) - (xy 141.230957 118.618945) - (xy 141.190834 118.540198) - (xy 141.175705 118.510506) - (xy 141.175704 118.510505) - (xy 141.173016 118.505229) - (xy 141.082771 118.414984) - (xy 140.969055 118.357043) - (xy 140.906027 118.34706) - (xy 140.848847 118.338004) - (xy 140.843 118.337078) - (xy 140.837153 118.338004) - (xy 140.779973 118.34706) - (xy 140.716945 118.357043) - (xy 140.603229 118.414984) - (xy 140.512984 118.505229) - (xy 140.510296 118.510505) - (xy 140.510295 118.510506) - (xy 140.495166 118.540198) - (xy 140.455043 118.618945) - (xy 140.435078 118.745) - (xy 136.170922 118.745) - (xy 136.150957 118.618945) - (xy 136.110834 118.540198) - (xy 136.095705 118.510506) - (xy 136.095704 118.510505) - (xy 136.093016 118.505229) - (xy 136.002771 118.414984) - (xy 135.889055 118.357043) - (xy 135.826027 118.34706) - (xy 135.768847 118.338004) - (xy 135.763 118.337078) - (xy 135.757153 118.338004) - (xy 135.699973 118.34706) - (xy 135.636945 118.357043) - (xy 135.523229 118.414984) - (xy 135.432984 118.505229) - (xy 135.430296 118.510505) - (xy 135.430295 118.510506) - (xy 135.415166 118.540198) - (xy 135.375043 118.618945) - (xy 135.355078 118.745) - (xy 131.090922 118.745) - (xy 131.070957 118.618945) - (xy 131.030834 118.540198) - (xy 131.015705 118.510506) - (xy 131.015704 118.510505) - (xy 131.013016 118.505229) - (xy 130.922771 118.414984) - (xy 130.809055 118.357043) - (xy 130.746027 118.34706) - (xy 130.688847 118.338004) - (xy 130.683 118.337078) - (xy 130.677153 118.338004) - (xy 130.619973 118.34706) - (xy 130.556945 118.357043) - (xy 130.443229 118.414984) - (xy 130.352984 118.505229) - (xy 130.350296 118.510505) - (xy 130.350295 118.510506) - (xy 130.335166 118.540198) - (xy 130.295043 118.618945) - (xy 130.275078 118.745) - (xy 126.010922 118.745) - (xy 125.990957 118.618945) - (xy 125.950834 118.540198) - (xy 125.935705 118.510506) - (xy 125.935704 118.510505) - (xy 125.933016 118.505229) - (xy 125.842771 118.414984) - (xy 125.729055 118.357043) - (xy 125.666027 118.34706) - (xy 125.608847 118.338004) - (xy 125.603 118.337078) - (xy 125.597153 118.338004) - (xy 125.539973 118.34706) - (xy 125.476945 118.357043) - (xy 125.363229 118.414984) - (xy 125.272984 118.505229) - (xy 125.270296 118.510505) - (xy 125.270295 118.510506) - (xy 125.255166 118.540198) - (xy 125.215043 118.618945) - (xy 125.195078 118.745) - (xy 120.930922 118.745) - (xy 120.910957 118.618945) - (xy 120.870834 118.540198) - (xy 120.855705 118.510506) - (xy 120.855704 118.510505) - (xy 120.853016 118.505229) - (xy 120.762771 118.414984) - (xy 120.649055 118.357043) - (xy 120.586027 118.34706) - (xy 120.528847 118.338004) - (xy 120.523 118.337078) - (xy 120.517153 118.338004) - (xy 120.459973 118.34706) - (xy 120.396945 118.357043) - (xy 120.283229 118.414984) - (xy 120.192984 118.505229) - (xy 120.190296 118.510505) - (xy 120.190295 118.510506) - (xy 120.175166 118.540198) - (xy 120.135043 118.618945) - (xy 120.115078 118.745) - (xy 116.104922 118.745) - (xy 116.084957 118.618945) - (xy 116.044834 118.540198) - (xy 116.029705 118.510506) - (xy 116.029704 118.510505) - (xy 116.027016 118.505229) - (xy 115.936771 118.414984) - (xy 115.823055 118.357043) - (xy 115.760027 118.34706) - (xy 115.702847 118.338004) - (xy 115.697 118.337078) - (xy 115.691153 118.338004) - (xy 115.633973 118.34706) - (xy 115.570945 118.357043) - (xy 115.457229 118.414984) - (xy 115.366984 118.505229) - (xy 115.364296 118.510505) - (xy 115.364295 118.510506) - (xy 115.349166 118.540198) - (xy 115.309043 118.618945) - (xy 115.289078 118.745) - (xy 68.237111 118.745) - (xy 68.289246 118.689965) - (xy 68.292251 118.686793) - (xy 68.324581 118.631134) - (xy 68.3774 118.540198) - (xy 68.3774 118.540197) - (xy 68.379599 118.536412) - (xy 68.388241 118.507881) - (xy 68.428743 118.374153) - (xy 68.428743 118.374151) - (xy 68.43001 118.369969) - (xy 68.43028 118.365613) - (xy 68.430281 118.365609) - (xy 68.440508 118.200752) - (xy 68.440508 118.200748) - (xy 68.440778 118.196393) - (xy 68.424189 118.099847) - (xy 68.412067 118.0293) - (xy 68.412066 118.029296) - (xy 68.411327 118.024996) - (xy 68.394668 117.985844) - (xy 68.379416 117.95) - (xy 78.392078 117.95) - (xy 78.412043 118.076055) - (xy 78.469984 118.189771) - (xy 78.560229 118.280016) - (xy 78.673945 118.337957) - (xy 78.679792 118.338883) - (xy 78.788604 118.356117) - (xy 78.8 118.357922) - (xy 78.811397 118.356117) - (xy 78.920208 118.338883) - (xy 78.926055 118.337957) - (xy 79.039771 118.280016) - (xy 79.130016 118.189771) - (xy 79.187957 118.076055) - (xy 79.207922 117.95) - (xy 87.592078 117.95) - (xy 87.612043 118.076055) - (xy 87.669984 118.189771) - (xy 87.760229 118.280016) - (xy 87.873945 118.337957) - (xy 87.879792 118.338883) - (xy 87.988604 118.356117) - (xy 88 118.357922) - (xy 88.011397 118.356117) - (xy 88.120208 118.338883) - (xy 88.126055 118.337957) - (xy 88.239771 118.280016) - (xy 88.330016 118.189771) - (xy 88.387957 118.076055) - (xy 88.407922 117.95) - (xy 96.792078 117.95) - (xy 96.812043 118.076055) - (xy 96.869984 118.189771) - (xy 96.960229 118.280016) - (xy 97.073945 118.337957) - (xy 97.079792 118.338883) - (xy 97.188604 118.356117) - (xy 97.2 118.357922) - (xy 97.211397 118.356117) - (xy 97.320208 118.338883) - (xy 97.326055 118.337957) - (xy 97.439771 118.280016) - (xy 97.530016 118.189771) - (xy 97.587957 118.076055) - (xy 97.607922 117.95) - (xy 97.587957 117.823945) - (xy 97.557079 117.763344) - (xy 97.532705 117.715506) - (xy 97.532704 117.715505) - (xy 97.530016 117.710229) - (xy 97.439771 117.619984) - (xy 97.430253 117.615134) - (xy 97.40055 117.6) - (xy 102.092078 117.6) - (xy 102.112043 117.726055) - (xy 102.11473 117.731328) - (xy 102.159234 117.818672) - (xy 102.169984 117.839771) - (xy 102.260229 117.930016) - (xy 102.265505 117.932704) - (xy 102.265506 117.932705) - (xy 102.289853 117.94511) - (xy 102.373945 117.987957) - (xy 102.5 118.007922) - (xy 102.626055 117.987957) - (xy 102.70055 117.95) - (xy 105.992078 117.95) - (xy 106.012043 118.076055) - (xy 106.069984 118.189771) - (xy 106.160229 118.280016) - (xy 106.273945 118.337957) - (xy 106.279792 118.338883) - (xy 106.388604 118.356117) - (xy 106.4 118.357922) - (xy 106.411397 118.356117) - (xy 106.520208 118.338883) - (xy 106.526055 118.337957) - (xy 106.639771 118.280016) - (xy 106.730016 118.189771) - (xy 106.787957 118.076055) - (xy 106.807922 117.95) - (xy 106.787957 117.823945) - (xy 106.757079 117.763344) - (xy 106.732705 117.715506) - (xy 106.732704 117.715505) - (xy 106.730016 117.710229) - (xy 106.639771 117.619984) - (xy 106.630253 117.615134) - (xy 106.586656 117.592921) - (xy 106.526055 117.562043) - (xy 106.456433 117.551016) - (xy 106.405847 117.543004) - (xy 106.4 117.542078) - (xy 106.394153 117.543004) - (xy 106.343567 117.551016) - (xy 106.273945 117.562043) - (xy 106.213344 117.592921) - (xy 106.169748 117.615134) - (xy 106.160229 117.619984) - (xy 106.069984 117.710229) - (xy 106.067296 117.715505) - (xy 106.067295 117.715506) - (xy 106.042921 117.763344) - (xy 106.012043 117.823945) - (xy 105.992078 117.95) - (xy 102.70055 117.95) - (xy 102.710147 117.94511) - (xy 102.734494 117.932705) - (xy 102.734495 117.932704) - (xy 102.739771 117.930016) - (xy 102.830016 117.839771) - (xy 102.840767 117.818672) - (xy 102.88527 117.731328) - (xy 102.887957 117.726055) - (xy 102.907922 117.6) - (xy 102.887957 117.473945) - (xy 102.84256 117.384848) - (xy 102.832705 117.365506) - (xy 102.832704 117.365505) - (xy 102.830016 117.360229) - (xy 102.739771 117.269984) - (xy 102.626055 117.212043) - (xy 102.5 117.192078) - (xy 102.373945 117.212043) - (xy 102.260229 117.269984) - (xy 102.169984 117.360229) - (xy 102.167296 117.365505) - (xy 102.167295 117.365506) - (xy 102.15744 117.384848) - (xy 102.112043 117.473945) - (xy 102.092078 117.6) - (xy 97.40055 117.6) - (xy 97.386656 117.592921) - (xy 97.326055 117.562043) - (xy 97.256433 117.551016) - (xy 97.205847 117.543004) - (xy 97.2 117.542078) - (xy 97.194153 117.543004) - (xy 97.143567 117.551016) - (xy 97.073945 117.562043) - (xy 97.013344 117.592921) - (xy 96.969748 117.615134) - (xy 96.960229 117.619984) - (xy 96.869984 117.710229) - (xy 96.867296 117.715505) - (xy 96.867295 117.715506) - (xy 96.842921 117.763344) - (xy 96.812043 117.823945) - (xy 96.792078 117.95) - (xy 88.407922 117.95) - (xy 88.387957 117.823945) - (xy 88.357079 117.763344) - (xy 88.332705 117.715506) - (xy 88.332704 117.715505) - (xy 88.330016 117.710229) - (xy 88.239771 117.619984) - (xy 88.230253 117.615134) - (xy 88.186656 117.592921) - (xy 88.126055 117.562043) - (xy 88.056433 117.551016) - (xy 88.005847 117.543004) - (xy 88 117.542078) - (xy 87.994153 117.543004) - (xy 87.943567 117.551016) - (xy 87.873945 117.562043) - (xy 87.813344 117.592921) - (xy 87.769748 117.615134) - (xy 87.760229 117.619984) - (xy 87.669984 117.710229) - (xy 87.667296 117.715505) - (xy 87.667295 117.715506) - (xy 87.642921 117.763344) - (xy 87.612043 117.823945) - (xy 87.592078 117.95) - (xy 79.207922 117.95) - (xy 79.187957 117.823945) - (xy 79.157079 117.763344) - (xy 79.132705 117.715506) - (xy 79.132704 117.715505) - (xy 79.130016 117.710229) - (xy 79.039771 117.619984) - (xy 79.030253 117.615134) - (xy 78.986656 117.592921) - (xy 78.926055 117.562043) - (xy 78.856433 117.551016) - (xy 78.805847 117.543004) - (xy 78.8 117.542078) - (xy 78.794153 117.543004) - (xy 78.743567 117.551016) - (xy 78.673945 117.562043) - (xy 78.613344 117.592921) - (xy 78.569748 117.615134) - (xy 78.560229 117.619984) - (xy 78.469984 117.710229) - (xy 78.467296 117.715505) - (xy 78.467295 117.715506) - (xy 78.442921 117.763344) - (xy 78.412043 117.823945) - (xy 78.392078 117.95) - (xy 68.379416 117.95) - (xy 68.344946 117.868992) - (xy 68.343235 117.864971) - (xy 68.277356 117.775451) - (xy 68.242747 117.728422) - (xy 68.242745 117.72842) - (xy 68.240157 117.724903) - (xy 68.10762 117.612305) - (xy 68.020842 117.567994) - (xy 67.956623 117.535202) - (xy 67.956621 117.535201) - (xy 67.952735 117.533217) - (xy 67.78381 117.491881) - (xy 67.780469 117.491674) - (xy 67.780464 117.491673) - (xy 67.777183 117.49147) - (xy 67.772833 117.4912) - (xy 67.647453 117.4912) - (xy 67.645293 117.491452) - (xy 67.64529 117.491452) - (xy 67.602947 117.496389) - (xy 67.518261 117.506262) - (xy 67.514152 117.507754) - (xy 67.51415 117.507754) - (xy 67.358895 117.564109) - (xy 67.358893 117.56411) - (xy 67.354788 117.5656) - (xy 67.351137 117.567994) - (xy 67.258206 117.628922) - (xy 67.20935 117.660953) - (xy 67.206346 117.664124) - (xy 67.197843 117.6731) - (xy 67.089749 117.787207) - (xy 67.087555 117.790985) - (xy 67.087553 117.790987) - (xy 67.024237 117.899994) - (xy 67.002401 117.937588) - (xy 67.001133 117.941775) - (xy 67.001132 117.941777) - (xy 66.959602 118.078899) - (xy 66.95199 118.104031) - (xy 66.95172 118.108387) - (xy 66.951719 118.108391) - (xy 66.941492 118.273248) - (xy 66.941222 118.277607) - (xy 46.101 118.277607) - (xy 46.101 117.221) - (xy 48.487078 117.221) - (xy 48.507043 117.347055) - (xy 48.564984 117.460771) - (xy 48.655229 117.551016) - (xy 48.660505 117.553704) - (xy 48.660506 117.553705) - (xy 48.682144 117.56473) - (xy 48.768945 117.608957) - (xy 48.895 117.628922) - (xy 49.021055 117.608957) - (xy 49.107856 117.56473) - (xy 49.129494 117.553705) - (xy 49.129495 117.553704) - (xy 49.134771 117.551016) - (xy 49.225016 117.460771) - (xy 49.282957 117.347055) - (xy 49.302922 117.221) - (xy 53.567078 117.221) - (xy 53.587043 117.347055) - (xy 53.644984 117.460771) - (xy 53.735229 117.551016) - (xy 53.740505 117.553704) - (xy 53.740506 117.553705) - (xy 53.762144 117.56473) - (xy 53.848945 117.608957) - (xy 53.975 117.628922) - (xy 54.101055 117.608957) - (xy 54.187856 117.56473) - (xy 54.209494 117.553705) - (xy 54.209495 117.553704) - (xy 54.214771 117.551016) - (xy 54.305016 117.460771) - (xy 54.362957 117.347055) - (xy 54.382922 117.221) - (xy 54.362957 117.094945) - (xy 54.305016 116.981229) - (xy 54.214771 116.890984) - (xy 54.101055 116.833043) - (xy 53.978611 116.81365) - (xy 58.631637 116.81365) - (xy 58.632103 116.816693) - (xy 58.632103 116.816698) - (xy 58.644112 116.895174) - (xy 58.667403 117.047384) - (xy 58.668361 117.050316) - (xy 58.668362 117.050319) - (xy 58.678579 117.081577) - (xy 58.740864 117.272138) - (xy 58.742293 117.274883) - (xy 58.80979 117.404543) - (xy 58.850046 117.481875) - (xy 58.851899 117.484343) - (xy 58.986883 117.664124) - (xy 58.992019 117.670965) - (xy 58.994252 117.673099) - (xy 58.994253 117.6731) - (xy 59.11209 117.785708) - (xy 59.162967 117.834327) - (xy 59.165508 117.83606) - (xy 59.16551 117.836062) - (xy 59.213784 117.868992) - (xy 59.358302 117.967575) - (xy 59.572777 118.067131) - (xy 59.595462 118.073422) - (xy 59.797659 118.129497) - (xy 59.797665 118.129498) - (xy 59.800632 118.130321) - (xy 59.803698 118.130649) - (xy 59.8037 118.130649) - (xy 59.99168 118.150738) - (xy 59.991686 118.150738) - (xy 59.993667 118.15095) - (xy 60.130939 118.15095) - (xy 60.132481 118.150823) - (xy 60.132486 118.150823) - (xy 60.229664 118.142833) - (xy 60.306659 118.136503) - (xy 60.452593 118.099847) - (xy 60.532993 118.079652) - (xy 60.532995 118.079651) - (xy 60.53599 118.078899) - (xy 60.538818 118.077669) - (xy 60.538822 118.077668) - (xy 60.659958 118.024996) - (xy 60.752833 117.984613) - (xy 60.75542 117.98294) - (xy 60.755432 117.982933) - (xy 60.858723 117.916111) - (xy 60.908865 117.904627) - (xy 60.954657 117.92806) - (xy 60.966572 117.945107) - (xy 61.010984 118.032271) - (xy 61.101229 118.122516) - (xy 61.106505 118.125204) - (xy 61.106506 118.125205) - (xy 61.154344 118.149579) - (xy 61.214945 118.180457) - (xy 61.220792 118.181383) - (xy 61.315562 118.196393) - (xy 61.341 118.200422) - (xy 61.366439 118.196393) - (xy 61.461208 118.181383) - (xy 61.467055 118.180457) - (xy 61.527656 118.149579) - (xy 61.575494 118.125205) - (xy 61.575495 118.125204) - (xy 61.580771 118.122516) - (xy 61.671016 118.032271) - (xy 61.683423 118.007922) - (xy 61.712935 117.95) - (xy 61.728957 117.918555) - (xy 61.748922 117.7925) - (xy 61.728957 117.666445) - (xy 61.728476 117.6655) - (xy 62.965078 117.6655) - (xy 62.985043 117.791555) - (xy 63.042984 117.905271) - (xy 63.133229 117.995516) - (xy 63.246945 118.053457) - (xy 63.373 118.073422) - (xy 63.499055 118.053457) - (xy 63.612771 117.995516) - (xy 63.703016 117.905271) - (xy 63.760957 117.791555) - (xy 63.780922 117.6655) - (xy 63.760957 117.539445) - (xy 63.727583 117.473945) - (xy 63.705705 117.431006) - (xy 63.705704 117.431005) - (xy 63.703016 117.425729) - (xy 63.612771 117.335484) - (xy 63.598898 117.328415) - (xy 63.546197 117.301563) - (xy 63.499055 117.277543) - (xy 63.373 117.257578) - (xy 63.246945 117.277543) - (xy 63.199803 117.301563) - (xy 63.147103 117.328415) - (xy 63.133229 117.335484) - (xy 63.042984 117.425729) - (xy 63.040296 117.431005) - (xy 63.040295 117.431006) - (xy 63.018417 117.473945) - (xy 62.985043 117.539445) - (xy 62.965078 117.6655) - (xy 61.728476 117.6655) - (xy 61.677131 117.56473) - (xy 61.673705 117.558006) - (xy 61.673704 117.558005) - (xy 61.671016 117.552729) - (xy 61.580771 117.462484) - (xy 61.567053 117.455494) - (xy 61.508635 117.425729) - (xy 61.467055 117.404543) - (xy 61.461209 117.403617) - (xy 61.447073 117.401378) - (xy 61.402083 117.376439) - (xy 61.383649 117.328415) - (xy 61.38795 117.302005) - (xy 61.466008 117.081577) - (xy 61.472099 117.047384) - (xy 61.506933 116.851826) - (xy 61.506933 116.851822) - (xy 61.507474 116.848787) - (xy 61.507667 116.833043) - (xy 61.507904 116.81365) - (xy 64.346637 116.81365) - (xy 64.347103 116.816693) - (xy 64.347103 116.816698) - (xy 64.359112 116.895174) - (xy 64.382403 117.047384) - (xy 64.383361 117.050316) - (xy 64.383362 117.050319) - (xy 64.393579 117.081577) - (xy 64.455864 117.272138) - (xy 64.457293 117.274883) - (xy 64.52479 117.404543) - (xy 64.565046 117.481875) - (xy 64.566899 117.484343) - (xy 64.701883 117.664124) - (xy 64.707019 117.670965) - (xy 64.709252 117.673099) - (xy 64.709253 117.6731) - (xy 64.82709 117.785708) - (xy 64.877967 117.834327) - (xy 64.880508 117.83606) - (xy 64.88051 117.836062) - (xy 64.928784 117.868992) - (xy 65.073302 117.967575) - (xy 65.287777 118.067131) - (xy 65.310462 118.073422) - (xy 65.512659 118.129497) - (xy 65.512665 118.129498) - (xy 65.515632 118.130321) - (xy 65.518698 118.130649) - (xy 65.5187 118.130649) - (xy 65.70668 118.150738) - (xy 65.706686 118.150738) - (xy 65.708667 118.15095) - (xy 65.845939 118.15095) - (xy 65.847481 118.150823) - (xy 65.847486 118.150823) - (xy 65.944664 118.142833) - (xy 66.021659 118.136503) - (xy 66.167593 118.099847) - (xy 66.247993 118.079652) - (xy 66.247995 118.079651) - (xy 66.25099 118.078899) - (xy 66.253818 118.077669) - (xy 66.253822 118.077668) - (xy 66.465002 117.985844) - (xy 66.467833 117.984613) - (xy 66.521337 117.95) - (xy 66.663772 117.857855) - (xy 66.663776 117.857852) - (xy 66.666365 117.856177) - (xy 66.841254 117.69704) - (xy 66.987804 117.511476) - (xy 66.990683 117.506262) - (xy 67.100588 117.307168) - (xy 67.102078 117.304469) - (xy 67.131636 117.221) - (xy 68.807078 117.221) - (xy 68.827043 117.347055) - (xy 68.884984 117.460771) - (xy 68.975229 117.551016) - (xy 68.980505 117.553704) - (xy 68.980506 117.553705) - (xy 69.002144 117.56473) - (xy 69.088945 117.608957) - (xy 69.215 117.628922) - (xy 69.341055 117.608957) - (xy 69.427856 117.56473) - (xy 69.449494 117.553705) - (xy 69.449495 117.553704) - (xy 69.454771 117.551016) - (xy 69.545016 117.460771) - (xy 69.602957 117.347055) - (xy 69.622922 117.221) - (xy 73.887078 117.221) - (xy 73.907043 117.347055) - (xy 73.964984 117.460771) - (xy 74.055229 117.551016) - (xy 74.060505 117.553704) - (xy 74.060506 117.553705) - (xy 74.082144 117.56473) - (xy 74.168945 117.608957) - (xy 74.295 117.628922) - (xy 74.421055 117.608957) - (xy 74.507856 117.56473) - (xy 74.529494 117.553705) - (xy 74.529495 117.553704) - (xy 74.534771 117.551016) - (xy 74.625016 117.460771) - (xy 74.682957 117.347055) - (xy 74.702922 117.221) - (xy 74.682957 117.094945) - (xy 74.625016 116.981229) - (xy 74.534771 116.890984) - (xy 74.421055 116.833043) - (xy 74.295 116.813078) - (xy 74.168945 116.833043) - (xy 74.055229 116.890984) - (xy 73.964984 116.981229) - (xy 73.907043 117.094945) - (xy 73.887078 117.221) - (xy 69.622922 117.221) - (xy 69.602957 117.094945) - (xy 69.545016 116.981229) - (xy 69.454771 116.890984) - (xy 69.341055 116.833043) - (xy 69.215 116.813078) - (xy 69.088945 116.833043) - (xy 68.975229 116.890984) - (xy 68.884984 116.981229) - (xy 68.827043 117.094945) - (xy 68.807078 117.221) - (xy 67.131636 117.221) - (xy 67.181008 117.081577) - (xy 67.187099 117.047384) - (xy 67.221933 116.851826) - (xy 67.221933 116.851822) - (xy 67.222474 116.848787) - (xy 67.222667 116.833043) - (xy 67.223815 116.739017) - (xy 67.225363 116.61235) - (xy 67.223179 116.598074) - (xy 67.190064 116.381668) - (xy 67.189597 116.378616) - (xy 67.187777 116.373046) - (xy 67.145732 116.24441) - (xy 112.592477 116.24441) - (xy 112.593171 116.249717) - (xy 112.593171 116.24972) - (xy 112.603042 116.325208) - (xy 112.609298 116.373046) - (xy 112.661547 116.491791) - (xy 112.745023 116.591098) - (xy 112.853017 116.662984) - (xy 112.976845 116.701671) - (xy 113.043716 116.702896) - (xy 113.101193 116.70395) - (xy 113.101195 116.70395) - (xy 113.106555 116.704048) - (xy 113.111726 116.702638) - (xy 113.111728 116.702638) - (xy 113.179058 116.684282) - (xy 113.231718 116.669925) - (xy 113.342273 116.602044) - (xy 113.345865 116.598076) - (xy 113.345867 116.598074) - (xy 113.383049 116.556996) - (xy 113.429332 116.505863) - (xy 113.485897 116.389112) - (xy 113.50742 116.261179) - (xy 113.507557 116.25) - (xy 113.507145 116.247122) - (xy 113.501113 116.205) - (xy 117.575078 116.205) - (xy 117.595043 116.331055) - (xy 117.652984 116.444771) - (xy 117.743229 116.535016) - (xy 117.856945 116.592957) - (xy 117.983 116.612922) - (xy 118.109055 116.592957) - (xy 118.222771 116.535016) - (xy 118.313016 116.444771) - (xy 118.370957 116.331055) - (xy 118.38468 116.24441) - (xy 122.592477 116.24441) - (xy 122.593171 116.249717) - (xy 122.593171 116.24972) - (xy 122.603042 116.325208) - (xy 122.609298 116.373046) - (xy 122.661547 116.491791) - (xy 122.745023 116.591098) - (xy 122.853017 116.662984) - (xy 122.976845 116.701671) - (xy 123.043716 116.702896) - (xy 123.101193 116.70395) - (xy 123.101195 116.70395) - (xy 123.106555 116.704048) - (xy 123.111726 116.702638) - (xy 123.111728 116.702638) - (xy 123.179058 116.684282) - (xy 123.231718 116.669925) - (xy 123.342273 116.602044) - (xy 123.345865 116.598076) - (xy 123.345867 116.598074) - (xy 123.383049 116.556996) - (xy 123.429332 116.505863) - (xy 123.485897 116.389112) - (xy 123.50742 116.261179) - (xy 123.507557 116.25) - (xy 123.507145 116.247122) - (xy 123.501113 116.205) - (xy 127.735078 116.205) - (xy 127.755043 116.331055) - (xy 127.812984 116.444771) - (xy 127.903229 116.535016) - (xy 128.016945 116.592957) - (xy 128.143 116.612922) - (xy 128.269055 116.592957) - (xy 128.382771 116.535016) - (xy 128.473016 116.444771) - (xy 128.530957 116.331055) - (xy 128.550922 116.205) - (xy 132.815078 116.205) - (xy 132.835043 116.331055) - (xy 132.892984 116.444771) - (xy 132.983229 116.535016) - (xy 133.096945 116.592957) - (xy 133.223 116.612922) - (xy 133.349055 116.592957) - (xy 133.462771 116.535016) - (xy 133.553016 116.444771) - (xy 133.610957 116.331055) - (xy 133.630922 116.205) - (xy 137.895078 116.205) - (xy 137.915043 116.331055) - (xy 137.972984 116.444771) - (xy 138.063229 116.535016) - (xy 138.176945 116.592957) - (xy 138.303 116.612922) - (xy 138.429055 116.592957) - (xy 138.542771 116.535016) - (xy 138.633016 116.444771) - (xy 138.690957 116.331055) - (xy 138.710922 116.205) - (xy 142.975078 116.205) - (xy 142.995043 116.331055) - (xy 143.052984 116.444771) - (xy 143.143229 116.535016) - (xy 143.256945 116.592957) - (xy 143.383 116.612922) - (xy 143.509055 116.592957) - (xy 143.622771 116.535016) - (xy 143.713016 116.444771) - (xy 143.770957 116.331055) - (xy 143.790922 116.205) - (xy 143.770957 116.078945) - (xy 143.713016 115.965229) - (xy 143.622771 115.874984) - (xy 143.509055 115.817043) - (xy 143.427007 115.804048) - (xy 143.388847 115.798004) - (xy 143.383 115.797078) - (xy 143.377153 115.798004) - (xy 143.338993 115.804048) - (xy 143.256945 115.817043) - (xy 143.143229 115.874984) - (xy 143.052984 115.965229) - (xy 142.995043 116.078945) - (xy 142.975078 116.205) - (xy 138.710922 116.205) - (xy 138.690957 116.078945) - (xy 138.633016 115.965229) - (xy 138.542771 115.874984) - (xy 138.429055 115.817043) - (xy 138.347007 115.804048) - (xy 138.308847 115.798004) - (xy 138.303 115.797078) - (xy 138.297153 115.798004) - (xy 138.258993 115.804048) - (xy 138.176945 115.817043) - (xy 138.063229 115.874984) - (xy 137.972984 115.965229) - (xy 137.915043 116.078945) - (xy 137.895078 116.205) - (xy 133.630922 116.205) - (xy 133.610957 116.078945) - (xy 133.553016 115.965229) - (xy 133.462771 115.874984) - (xy 133.349055 115.817043) - (xy 133.267007 115.804048) - (xy 133.228847 115.798004) - (xy 133.223 115.797078) - (xy 133.217153 115.798004) - (xy 133.178993 115.804048) - (xy 133.096945 115.817043) - (xy 132.983229 115.874984) - (xy 132.892984 115.965229) - (xy 132.835043 116.078945) - (xy 132.815078 116.205) - (xy 128.550922 116.205) - (xy 128.530957 116.078945) - (xy 128.473016 115.965229) - (xy 128.382771 115.874984) - (xy 128.269055 115.817043) - (xy 128.187007 115.804048) - (xy 128.148847 115.798004) - (xy 128.143 115.797078) - (xy 128.137153 115.798004) - (xy 128.098993 115.804048) - (xy 128.016945 115.817043) - (xy 127.903229 115.874984) - (xy 127.812984 115.965229) - (xy 127.755043 116.078945) - (xy 127.735078 116.205) - (xy 123.501113 116.205) - (xy 123.489925 116.126876) - (xy 123.489924 116.126873) - (xy 123.489166 116.121579) - (xy 123.43547 116.003482) - (xy 123.427969 115.994776) - (xy 123.354282 115.909258) - (xy 123.354281 115.909257) - (xy 123.350787 115.905202) - (xy 123.345157 115.901553) - (xy 123.246416 115.837552) - (xy 123.246415 115.837551) - (xy 123.241923 115.83464) - (xy 123.199367 115.821913) - (xy 123.122765 115.799004) - (xy 123.122762 115.799004) - (xy 123.117631 115.797469) - (xy 123.112276 115.797436) - (xy 123.112274 115.797436) - (xy 123.053666 115.797078) - (xy 122.987902 115.796676) - (xy 122.863166 115.832326) - (xy 122.753448 115.901553) - (xy 122.66757 115.998791) - (xy 122.665294 116.003638) - (xy 122.665293 116.00364) - (xy 122.629938 116.078945) - (xy 122.612436 116.116223) - (xy 122.592477 116.24441) - (xy 118.38468 116.24441) - (xy 118.390922 116.205) - (xy 118.370957 116.078945) - (xy 118.313016 115.965229) - (xy 118.222771 115.874984) - (xy 118.109055 115.817043) - (xy 118.027007 115.804048) - (xy 117.988847 115.798004) - (xy 117.983 115.797078) - (xy 117.977153 115.798004) - (xy 117.938993 115.804048) - (xy 117.856945 115.817043) - (xy 117.743229 115.874984) - (xy 117.652984 115.965229) - (xy 117.595043 116.078945) - (xy 117.575078 116.205) - (xy 113.501113 116.205) - (xy 113.489925 116.126876) - (xy 113.489924 116.126873) - (xy 113.489166 116.121579) - (xy 113.43547 116.003482) - (xy 113.427969 115.994776) - (xy 113.354282 115.909258) - (xy 113.354281 115.909257) - (xy 113.350787 115.905202) - (xy 113.345157 115.901553) - (xy 113.246416 115.837552) - (xy 113.246415 115.837551) - (xy 113.241923 115.83464) - (xy 113.199367 115.821913) - (xy 113.122765 115.799004) - (xy 113.122762 115.799004) - (xy 113.117631 115.797469) - (xy 113.112276 115.797436) - (xy 113.112274 115.797436) - (xy 113.053666 115.797078) - (xy 112.987902 115.796676) - (xy 112.863166 115.832326) - (xy 112.753448 115.901553) - (xy 112.66757 115.998791) - (xy 112.665294 116.003638) - (xy 112.665293 116.00364) - (xy 112.629938 116.078945) - (xy 112.612436 116.116223) - (xy 112.592477 116.24441) - (xy 67.145732 116.24441) - (xy 67.144003 116.23912) - (xy 67.116136 116.153862) - (xy 67.092088 116.107666) - (xy 67.00838 115.946864) - (xy 67.008379 115.946862) - (xy 67.006954 115.944125) - (xy 66.955041 115.874984) - (xy 66.866835 115.757504) - (xy 66.866834 115.757502) - (xy 66.864981 115.755035) - (xy 66.812463 115.704847) - (xy 66.696261 115.593802) - (xy 66.69626 115.593801) - (xy 66.694033 115.591673) - (xy 66.665049 115.571901) - (xy 66.543684 115.489112) - (xy 66.498698 115.458425) - (xy 66.346606 115.387826) - (xy 66.287024 115.360169) - (xy 66.287022 115.360168) - (xy 66.284223 115.358869) - (xy 66.232086 115.34441) - (xy 111.592477 115.34441) - (xy 111.593171 115.349717) - (xy 111.593171 115.34972) - (xy 111.595045 115.364049) - (xy 111.609298 115.473046) - (xy 111.611457 115.477952) - (xy 111.611457 115.477953) - (xy 111.651881 115.569823) - (xy 111.661547 115.591791) - (xy 111.745023 115.691098) - (xy 111.853017 115.762984) - (xy 111.976845 115.801671) - (xy 112.043716 115.802896) - (xy 112.101193 115.80395) - (xy 112.101195 115.80395) - (xy 112.106555 115.804048) - (xy 112.111726 115.802638) - (xy 112.111728 115.802638) - (xy 112.179058 115.784282) - (xy 112.231718 115.769925) - (xy 112.342273 115.702044) - (xy 112.345865 115.698076) - (xy 112.345867 115.698074) - (xy 112.382481 115.657623) - (xy 112.429332 115.605863) - (xy 112.485897 115.489112) - (xy 112.50742 115.361179) - (xy 112.507459 115.358043) - (xy 112.507521 115.352913) - (xy 112.507557 115.35) - (xy 112.507034 115.346348) - (xy 112.506756 115.34441) - (xy 121.592477 115.34441) - (xy 121.593171 115.349717) - (xy 121.593171 115.34972) - (xy 121.595045 115.364049) - (xy 121.609298 115.473046) - (xy 121.611457 115.477952) - (xy 121.611457 115.477953) - (xy 121.651881 115.569823) - (xy 121.661547 115.591791) - (xy 121.745023 115.691098) - (xy 121.853017 115.762984) - (xy 121.976845 115.801671) - (xy 122.043716 115.802896) - (xy 122.101193 115.80395) - (xy 122.101195 115.80395) - (xy 122.106555 115.804048) - (xy 122.111726 115.802638) - (xy 122.111728 115.802638) - (xy 122.179058 115.784282) - (xy 122.231718 115.769925) - (xy 122.342273 115.702044) - (xy 122.345865 115.698076) - (xy 122.345867 115.698074) - (xy 122.382481 115.657623) - (xy 122.429332 115.605863) - (xy 122.485897 115.489112) - (xy 122.50742 115.361179) - (xy 122.507459 115.358043) - (xy 122.507521 115.352913) - (xy 122.507557 115.35) - (xy 122.507034 115.346348) - (xy 122.489925 115.226876) - (xy 122.489924 115.226873) - (xy 122.489166 115.221579) - (xy 122.476321 115.193328) - (xy 122.437689 115.108362) - (xy 122.437688 115.108361) - (xy 122.43547 115.103482) - (xy 122.427969 115.094776) - (xy 122.354282 115.009258) - (xy 122.354281 115.009257) - (xy 122.350787 115.005202) - (xy 122.345157 115.001553) - (xy 122.246416 114.937552) - (xy 122.246415 114.937551) - (xy 122.241923 114.93464) - (xy 122.152584 114.907922) - (xy 122.122765 114.899004) - (xy 122.122762 114.899004) - (xy 122.117631 114.897469) - (xy 122.112276 114.897436) - (xy 122.112274 114.897436) - (xy 122.054164 114.897081) - (xy 121.987902 114.896676) - (xy 121.863166 114.932326) - (xy 121.753448 115.001553) - (xy 121.66757 115.098791) - (xy 121.665294 115.103638) - (xy 121.665293 115.10364) - (xy 121.614713 115.211373) - (xy 121.612436 115.216223) - (xy 121.592477 115.34441) - (xy 112.506756 115.34441) - (xy 112.489925 115.226876) - (xy 112.489924 115.226873) - (xy 112.489166 115.221579) - (xy 112.476321 115.193328) - (xy 112.437689 115.108362) - (xy 112.437688 115.108361) - (xy 112.43547 115.103482) - (xy 112.427969 115.094776) - (xy 112.354282 115.009258) - (xy 112.354281 115.009257) - (xy 112.350787 115.005202) - (xy 112.345157 115.001553) - (xy 112.246416 114.937552) - (xy 112.246415 114.937551) - (xy 112.241923 114.93464) - (xy 112.152584 114.907922) - (xy 112.122765 114.899004) - (xy 112.122762 114.899004) - (xy 112.117631 114.897469) - (xy 112.112276 114.897436) - (xy 112.112274 114.897436) - (xy 112.054164 114.897081) - (xy 111.987902 114.896676) - (xy 111.863166 114.932326) - (xy 111.753448 115.001553) - (xy 111.66757 115.098791) - (xy 111.665294 115.103638) - (xy 111.665293 115.10364) - (xy 111.614713 115.211373) - (xy 111.612436 115.216223) - (xy 111.592477 115.34441) - (xy 66.232086 115.34441) - (xy 66.184914 115.331328) - (xy 66.059341 115.296503) - (xy 66.059335 115.296502) - (xy 66.056368 115.295679) - (xy 66.053302 115.295351) - (xy 66.0533 115.295351) - (xy 65.86532 115.275262) - (xy 65.865314 115.275262) - (xy 65.863333 115.27505) - (xy 65.726061 115.27505) - (xy 65.724519 115.275177) - (xy 65.724514 115.275177) - (xy 65.627336 115.283167) - (xy 65.550341 115.289497) - (xy 65.484795 115.305961) - (xy 65.324007 115.346348) - (xy 65.324005 115.346349) - (xy 65.32101 115.347101) - (xy 65.318182 115.348331) - (xy 65.318178 115.348332) - (xy 65.106998 115.440156) - (xy 65.104167 115.441387) - (xy 65.101574 115.443064) - (xy 65.101573 115.443065) - (xy 64.908228 115.568145) - (xy 64.908224 115.568148) - (xy 64.905635 115.569823) - (xy 64.730746 115.72896) - (xy 64.584196 115.914524) - (xy 64.582705 115.917225) - (xy 64.582703 115.917228) - (xy 64.535001 116.00364) - (xy 64.469922 116.121531) - (xy 64.390992 116.344423) - (xy 64.390452 116.347457) - (xy 64.390451 116.347459) - (xy 64.356354 116.538883) - (xy 64.349526 116.577213) - (xy 64.349488 116.580301) - (xy 64.349488 116.580304) - (xy 64.349188 116.604847) - (xy 64.346637 116.81365) - (xy 61.507904 116.81365) - (xy 61.508815 116.739017) - (xy 61.510363 116.61235) - (xy 61.508179 116.598074) - (xy 61.475064 116.381668) - (xy 61.474597 116.378616) - (xy 61.472777 116.373046) - (xy 61.429003 116.23912) - (xy 61.401136 116.153862) - (xy 61.399126 116.15) - (xy 62.692078 116.15) - (xy 62.712043 116.276055) - (xy 62.71473 116.281328) - (xy 62.758754 116.36773) - (xy 62.769984 116.389771) - (xy 62.860229 116.480016) - (xy 62.865505 116.482704) - (xy 62.865506 116.482705) - (xy 62.901489 116.501039) - (xy 62.973945 116.537957) - (xy 63.1 116.557922) - (xy 63.226055 116.537957) - (xy 63.298511 116.501039) - (xy 63.334494 116.482705) - (xy 63.334495 116.482704) - (xy 63.339771 116.480016) - (xy 63.430016 116.389771) - (xy 63.441247 116.36773) - (xy 63.48527 116.281328) - (xy 63.487957 116.276055) - (xy 63.507922 116.15) - (xy 63.487957 116.023945) - (xy 63.430016 115.910229) - (xy 63.339771 115.819984) - (xy 63.308303 115.80395) - (xy 63.286656 115.792921) - (xy 63.226055 115.762043) - (xy 63.1 115.742078) - (xy 62.973945 115.762043) - (xy 62.913344 115.792921) - (xy 62.891698 115.80395) - (xy 62.860229 115.819984) - (xy 62.769984 115.910229) - (xy 62.712043 116.023945) - (xy 62.692078 116.15) - (xy 61.399126 116.15) - (xy 61.377088 116.107666) - (xy 61.29338 115.946864) - (xy 61.293379 115.946862) - (xy 61.291954 115.944125) - (xy 61.240041 115.874984) - (xy 61.151835 115.757504) - (xy 61.151834 115.757502) - (xy 61.149981 115.755035) - (xy 61.097463 115.704847) - (xy 60.981261 115.593802) - (xy 60.98126 115.593801) - (xy 60.979033 115.591673) - (xy 60.950049 115.571901) - (xy 60.828684 115.489112) - (xy 60.783698 115.458425) - (xy 60.631606 115.387826) - (xy 60.572024 115.360169) - (xy 60.572022 115.360168) - (xy 60.569223 115.358869) - (xy 60.469914 115.331328) - (xy 60.344341 115.296503) - (xy 60.344335 115.296502) - (xy 60.341368 115.295679) - (xy 60.338302 115.295351) - (xy 60.3383 115.295351) - (xy 60.15032 115.275262) - (xy 60.150314 115.275262) - (xy 60.148333 115.27505) - (xy 60.011061 115.27505) - (xy 60.009519 115.275177) - (xy 60.009514 115.275177) - (xy 59.912336 115.283167) - (xy 59.835341 115.289497) - (xy 59.769795 115.305961) - (xy 59.609007 115.346348) - (xy 59.609005 115.346349) - (xy 59.60601 115.347101) - (xy 59.603182 115.348331) - (xy 59.603178 115.348332) - (xy 59.391998 115.440156) - (xy 59.389167 115.441387) - (xy 59.386574 115.443064) - (xy 59.386573 115.443065) - (xy 59.193228 115.568145) - (xy 59.193224 115.568148) - (xy 59.190635 115.569823) - (xy 59.015746 115.72896) - (xy 58.869196 115.914524) - (xy 58.867705 115.917225) - (xy 58.867703 115.917228) - (xy 58.820001 116.00364) - (xy 58.754922 116.121531) - (xy 58.675992 116.344423) - (xy 58.675452 116.347457) - (xy 58.675451 116.347459) - (xy 58.641354 116.538883) - (xy 58.634526 116.577213) - (xy 58.634488 116.580301) - (xy 58.634488 116.580304) - (xy 58.634188 116.604847) - (xy 58.631637 116.81365) - (xy 53.978611 116.81365) - (xy 53.975 116.813078) - (xy 53.848945 116.833043) - (xy 53.735229 116.890984) - (xy 53.644984 116.981229) - (xy 53.587043 117.094945) - (xy 53.567078 117.221) - (xy 49.302922 117.221) - (xy 49.282957 117.094945) - (xy 49.225016 116.981229) - (xy 49.134771 116.890984) - (xy 49.021055 116.833043) - (xy 48.895 116.813078) - (xy 48.768945 116.833043) - (xy 48.655229 116.890984) - (xy 48.564984 116.981229) - (xy 48.507043 117.094945) - (xy 48.487078 117.221) - (xy 46.101 117.221) - (xy 46.101 115.2) - (xy 57.642078 115.2) - (xy 57.662043 115.326055) - (xy 57.719984 115.439771) - (xy 57.810229 115.530016) - (xy 57.923945 115.587957) - (xy 58.05 115.607922) - (xy 58.176055 115.587957) - (xy 58.289771 115.530016) - (xy 58.380016 115.439771) - (xy 58.437957 115.326055) - (xy 58.457922 115.2) - (xy 58.437957 115.073945) - (xy 58.431871 115.062) - (xy 63.282578 115.062) - (xy 63.283504 115.067847) - (xy 63.28447 115.073945) - (xy 63.302543 115.188055) - (xy 63.360484 115.301771) - (xy 63.450729 115.392016) - (xy 63.564445 115.449957) - (xy 63.6905 115.469922) - (xy 63.816555 115.449957) - (xy 63.930271 115.392016) - (xy 64.020516 115.301771) - (xy 64.078457 115.188055) - (xy 64.09653 115.073945) - (xy 64.097496 115.067847) - (xy 64.098422 115.062) - (xy 64.078457 114.935945) - (xy 64.039768 114.860014) - (xy 64.023205 114.827506) - (xy 64.023204 114.827505) - (xy 64.020516 114.822229) - (xy 63.930271 114.731984) - (xy 63.830209 114.681) - (xy 71.347078 114.681) - (xy 71.367043 114.807055) - (xy 71.424984 114.920771) - (xy 71.515229 115.011016) - (xy 71.628945 115.068957) - (xy 71.755 115.088922) - (xy 71.881055 115.068957) - (xy 71.994771 115.011016) - (xy 72.085016 114.920771) - (xy 72.142957 114.807055) - (xy 72.162922 114.681) - (xy 76.427078 114.681) - (xy 76.447043 114.807055) - (xy 76.504984 114.920771) - (xy 76.595229 115.011016) - (xy 76.708945 115.068957) - (xy 76.835 115.088922) - (xy 76.961055 115.068957) - (xy 77.074771 115.011016) - (xy 77.165016 114.920771) - (xy 77.222957 114.807055) - (xy 77.242922 114.681) - (xy 77.241674 114.673117) - (xy 77.223883 114.560792) - (xy 77.222957 114.554945) - (xy 77.175181 114.461179) - (xy 77.169485 114.45) - (xy 87.392078 114.45) - (xy 87.412043 114.576055) - (xy 87.432144 114.615506) - (xy 87.451798 114.654078) - (xy 87.469984 114.689771) - (xy 87.560229 114.780016) - (xy 87.565505 114.782704) - (xy 87.565506 114.782705) - (xy 87.608963 114.804847) - (xy 87.673945 114.837957) - (xy 87.713065 114.844153) - (xy 87.786899 114.855847) - (xy 87.8 114.857922) - (xy 87.813102 114.855847) - (xy 87.850019 114.85) - (xy 99.342078 114.85) - (xy 99.362043 114.976055) - (xy 99.381227 115.013705) - (xy 99.409851 115.069883) - (xy 99.419984 115.089771) - (xy 99.510229 115.180016) - (xy 99.515505 115.182704) - (xy 99.515506 115.182705) - (xy 99.54945 115.2) - (xy 99.623945 115.237957) - (xy 99.75 115.257922) - (xy 99.876055 115.237957) - (xy 99.95055 115.2) - (xy 99.984494 115.182705) - (xy 99.984495 115.182704) - (xy 99.989771 115.180016) - (xy 100.080016 115.089771) - (xy 100.09015 115.069883) - (xy 100.118773 115.013705) - (xy 100.137957 114.976055) - (xy 100.157922 114.85) - (xy 100.137957 114.723945) - (xy 100.092775 114.63527) - (xy 100.082705 114.615506) - (xy 100.082704 114.615505) - (xy 100.080016 114.610229) - (xy 99.989771 114.519984) - (xy 99.962026 114.505847) - (xy 99.950551 114.5) - (xy 103.542078 114.5) - (xy 103.562043 114.626055) - (xy 103.576793 114.655004) - (xy 103.609234 114.718672) - (xy 103.619984 114.739771) - (xy 103.710229 114.830016) - (xy 103.715505 114.832704) - (xy 103.715506 114.832705) - (xy 103.74945 114.85) - (xy 103.823945 114.887957) - (xy 103.829792 114.888883) - (xy 103.924922 114.90395) - (xy 103.95 114.907922) - (xy 103.975079 114.90395) - (xy 104.070208 114.888883) - (xy 104.076055 114.887957) - (xy 104.15055 114.85) - (xy 104.184494 114.832705) - (xy 104.184495 114.832704) - (xy 104.189771 114.830016) - (xy 104.280016 114.739771) - (xy 104.290767 114.718672) - (xy 104.323207 114.655004) - (xy 104.337957 114.626055) - (xy 104.357922 114.5) - (xy 104.349959 114.44972) - (xy 104.339126 114.381328) - (xy 104.337957 114.373945) - (xy 104.280016 114.260229) - (xy 104.189771 114.169984) - (xy 104.161667 114.155664) - (xy 104.110889 114.129792) - (xy 104.076055 114.112043) - (xy 103.95 114.092078) - (xy 103.823945 114.112043) - (xy 103.789111 114.129792) - (xy 103.738334 114.155664) - (xy 103.710229 114.169984) - (xy 103.619984 114.260229) - (xy 103.562043 114.373945) - (xy 103.560874 114.381328) - (xy 103.550042 114.44972) - (xy 103.542078 114.5) - (xy 99.950551 114.5) - (xy 99.920118 114.484494) - (xy 99.876055 114.462043) - (xy 99.764257 114.444336) - (xy 99.755847 114.443004) - (xy 99.75 114.442078) - (xy 99.744153 114.443004) - (xy 99.735743 114.444336) - (xy 99.623945 114.462043) - (xy 99.579882 114.484494) - (xy 99.537975 114.505847) - (xy 99.510229 114.519984) - (xy 99.419984 114.610229) - (xy 99.417296 114.615505) - (xy 99.417295 114.615506) - (xy 99.407225 114.63527) - (xy 99.362043 114.723945) - (xy 99.342078 114.85) - (xy 87.850019 114.85) - (xy 87.886935 114.844153) - (xy 87.926055 114.837957) - (xy 87.991037 114.804847) - (xy 88.034494 114.782705) - (xy 88.034495 114.782704) - (xy 88.039771 114.780016) - (xy 88.130016 114.689771) - (xy 88.148203 114.654078) - (xy 88.167856 114.615506) - (xy 88.187957 114.576055) - (xy 88.207922 114.45) - (xy 88.187957 114.323945) - (xy 88.130016 114.210229) - (xy 88.039771 114.119984) - (xy 88.02946 114.11473) - (xy 87.985002 114.092078) - (xy 87.926055 114.062043) - (xy 87.850018 114.05) - (xy 112.492078 114.05) - (xy 112.512043 114.176055) - (xy 112.532144 114.215506) - (xy 112.561479 114.273078) - (xy 112.569984 114.289771) - (xy 112.660229 114.380016) - (xy 112.773945 114.437957) - (xy 112.794604 114.441229) - (xy 112.886899 114.455847) - (xy 112.9 114.457922) - (xy 112.913102 114.455847) - (xy 112.985312 114.44441) - (xy 122.592477 114.44441) - (xy 122.593171 114.449717) - (xy 122.593171 114.44972) - (xy 122.599746 114.5) - (xy 122.609298 114.573046) - (xy 122.661547 114.691791) - (xy 122.745023 114.791098) - (xy 122.853017 114.862984) - (xy 122.976845 114.901671) - (xy 123.043716 114.902896) - (xy 123.101193 114.90395) - (xy 123.101195 114.90395) - (xy 123.106555 114.904048) - (xy 123.111726 114.902638) - (xy 123.111728 114.902638) - (xy 123.179058 114.884282) - (xy 123.231718 114.869925) - (xy 123.342273 114.802044) - (xy 123.345865 114.798076) - (xy 123.345867 114.798074) - (xy 123.39864 114.739771) - (xy 123.429332 114.705863) - (xy 123.485897 114.589112) - (xy 123.50742 114.461179) - (xy 123.507557 114.45) - (xy 123.507057 114.446506) - (xy 123.489925 114.326876) - (xy 123.489924 114.326873) - (xy 123.489166 114.321579) - (xy 123.479355 114.3) - (xy 125.892329 114.3) - (xy 125.911331 114.444336) - (xy 125.913216 114.448888) - (xy 125.913217 114.44889) - (xy 125.931966 114.494153) - (xy 125.967043 114.578835) - (xy 125.970039 114.582739) - (xy 125.970041 114.582743) - (xy 126.040098 114.674043) - (xy 126.055667 114.694333) - (xy 126.171164 114.782957) - (xy 126.215226 114.801208) - (xy 126.30111 114.836783) - (xy 126.301112 114.836784) - (xy 126.305664 114.838669) - (xy 126.45 114.857671) - (xy 126.594336 114.838669) - (xy 126.598888 114.836784) - (xy 126.59889 114.836783) - (xy 126.684774 114.801208) - (xy 126.728836 114.782957) - (xy 126.844333 114.694333) - (xy 126.859902 114.674043) - (xy 126.929959 114.582743) - (xy 126.929961 114.582739) - (xy 126.932957 114.578835) - (xy 126.968034 114.494153) - (xy 126.986783 114.44889) - (xy 126.986784 114.448888) - (xy 126.988669 114.444336) - (xy 127.007671 114.3) - (xy 126.988669 114.155664) - (xy 126.981335 114.137957) - (xy 126.942003 114.043004) - (xy 126.932957 114.021165) - (xy 126.929961 114.017261) - (xy 126.929959 114.017257) - (xy 126.847331 113.909574) - (xy 126.844333 113.905667) - (xy 126.728836 113.817043) - (xy 126.645937 113.782705) - (xy 126.59889 113.763217) - (xy 126.598888 113.763216) - (xy 126.594336 113.761331) - (xy 126.45 113.742329) - (xy 126.305664 113.761331) - (xy 126.301112 113.763216) - (xy 126.30111 113.763217) - (xy 126.247871 113.78527) - (xy 126.171165 113.817043) - (xy 126.167261 113.820039) - (xy 126.167257 113.820041) - (xy 126.101878 113.870208) - (xy 126.055667 113.905667) - (xy 126.052669 113.909574) - (xy 125.970041 114.017257) - (xy 125.970039 114.017261) - (xy 125.967043 114.021165) - (xy 125.957997 114.043004) - (xy 125.918666 114.137957) - (xy 125.911331 114.155664) - (xy 125.892329 114.3) - (xy 123.479355 114.3) - (xy 123.461272 114.260229) - (xy 123.437689 114.208362) - (xy 123.437688 114.208361) - (xy 123.43547 114.203482) - (xy 123.427969 114.194776) - (xy 123.354282 114.109258) - (xy 123.354281 114.109257) - (xy 123.350787 114.105202) - (xy 123.345157 114.101553) - (xy 123.246416 114.037552) - (xy 123.246415 114.037551) - (xy 123.241923 114.03464) - (xy 123.160298 114.010229) - (xy 123.122765 113.999004) - (xy 123.122762 113.999004) - (xy 123.117631 113.997469) - (xy 123.112276 113.997436) - (xy 123.112274 113.997436) - (xy 123.054164 113.997081) - (xy 122.987902 113.996676) - (xy 122.863166 114.032326) - (xy 122.753448 114.101553) - (xy 122.66757 114.198791) - (xy 122.665294 114.203638) - (xy 122.665293 114.20364) - (xy 122.61776 114.304884) - (xy 122.612436 114.316223) - (xy 122.592477 114.44441) - (xy 112.985312 114.44441) - (xy 113.005396 114.441229) - (xy 113.026055 114.437957) - (xy 113.139771 114.380016) - (xy 113.230016 114.289771) - (xy 113.238522 114.273078) - (xy 113.267856 114.215506) - (xy 113.287957 114.176055) - (xy 113.307922 114.05) - (xy 113.305951 114.037552) - (xy 113.288883 113.929792) - (xy 113.287957 113.923945) - (xy 113.242775 113.83527) - (xy 113.232705 113.815506) - (xy 113.232704 113.815505) - (xy 113.230016 113.810229) - (xy 113.139771 113.719984) - (xy 113.026055 113.662043) - (xy 112.9 113.642078) - (xy 112.773945 113.662043) - (xy 112.660229 113.719984) - (xy 112.569984 113.810229) - (xy 112.567296 113.815505) - (xy 112.567295 113.815506) - (xy 112.557225 113.83527) - (xy 112.512043 113.923945) - (xy 112.511117 113.929792) - (xy 112.49405 114.037552) - (xy 112.492078 114.05) - (xy 87.850018 114.05) - (xy 87.805847 114.043004) - (xy 87.8 114.042078) - (xy 87.794153 114.043004) - (xy 87.749982 114.05) - (xy 87.673945 114.062043) - (xy 87.614998 114.092078) - (xy 87.570541 114.11473) - (xy 87.560229 114.119984) - (xy 87.469984 114.210229) - (xy 87.412043 114.323945) - (xy 87.392078 114.45) - (xy 77.169485 114.45) - (xy 77.167705 114.446506) - (xy 77.167704 114.446505) - (xy 77.165016 114.441229) - (xy 77.074771 114.350984) - (xy 76.961055 114.293043) - (xy 76.835 114.273078) - (xy 76.708945 114.293043) - (xy 76.595229 114.350984) - (xy 76.504984 114.441229) - (xy 76.502296 114.446505) - (xy 76.502295 114.446506) - (xy 76.494819 114.461179) - (xy 76.447043 114.554945) - (xy 76.446117 114.560792) - (xy 76.428327 114.673117) - (xy 76.427078 114.681) - (xy 72.162922 114.681) - (xy 72.161674 114.673117) - (xy 72.143883 114.560792) - (xy 72.142957 114.554945) - (xy 72.095181 114.461179) - (xy 72.087705 114.446506) - (xy 72.087704 114.446505) - (xy 72.085016 114.441229) - (xy 71.994771 114.350984) - (xy 71.881055 114.293043) - (xy 71.755 114.273078) - (xy 71.628945 114.293043) - (xy 71.515229 114.350984) - (xy 71.424984 114.441229) - (xy 71.422296 114.446505) - (xy 71.422295 114.446506) - (xy 71.414819 114.461179) - (xy 71.367043 114.554945) - (xy 71.366117 114.560792) - (xy 71.348327 114.673117) - (xy 71.347078 114.681) - (xy 63.830209 114.681) - (xy 63.816555 114.674043) - (xy 63.753528 114.664061) - (xy 63.696347 114.655004) - (xy 63.6905 114.654078) - (xy 63.684653 114.655004) - (xy 63.627472 114.664061) - (xy 63.564445 114.674043) - (xy 63.450729 114.731984) - (xy 63.360484 114.822229) - (xy 63.357796 114.827505) - (xy 63.357795 114.827506) - (xy 63.341232 114.860014) - (xy 63.302543 114.935945) - (xy 63.282578 115.062) - (xy 58.431871 115.062) - (xy 58.399616 114.998696) - (xy 58.382705 114.965506) - (xy 58.382704 114.965505) - (xy 58.380016 114.960229) - (xy 58.289771 114.869984) - (xy 58.284153 114.867121) - (xy 58.221641 114.83527) - (xy 58.176055 114.812043) - (xy 58.05 114.792078) - (xy 57.923945 114.812043) - (xy 57.878359 114.83527) - (xy 57.815848 114.867121) - (xy 57.810229 114.869984) - (xy 57.719984 114.960229) - (xy 57.717296 114.965505) - (xy 57.717295 114.965506) - (xy 57.700384 114.998696) - (xy 57.662043 115.073945) - (xy 57.642078 115.2) - (xy 46.101 115.2) - (xy 46.101 115.126481) - (xy 46.118593 115.078143) - (xy 46.163142 115.052423) - (xy 46.210341 115.059478) - (xy 46.228945 115.068957) - (xy 46.355 115.088922) - (xy 46.481055 115.068957) - (xy 46.594771 115.011016) - (xy 46.685016 114.920771) - (xy 46.742957 114.807055) - (xy 46.762922 114.681) - (xy 51.027078 114.681) - (xy 51.047043 114.807055) - (xy 51.104984 114.920771) - (xy 51.195229 115.011016) - (xy 51.308945 115.068957) - (xy 51.435 115.088922) - (xy 51.561055 115.068957) - (xy 51.674771 115.011016) - (xy 51.765016 114.920771) - (xy 51.822957 114.807055) - (xy 51.842922 114.681) - (xy 55.980078 114.681) - (xy 56.000043 114.807055) - (xy 56.057984 114.920771) - (xy 56.148229 115.011016) - (xy 56.261945 115.068957) - (xy 56.388 115.088922) - (xy 56.514055 115.068957) - (xy 56.627771 115.011016) - (xy 56.718016 114.920771) - (xy 56.775957 114.807055) - (xy 56.795922 114.681) - (xy 56.794674 114.673117) - (xy 56.776883 114.560792) - (xy 56.775957 114.554945) - (xy 56.728181 114.461179) - (xy 56.720705 114.446506) - (xy 56.720704 114.446505) - (xy 56.718016 114.441229) - (xy 56.627771 114.350984) - (xy 56.514055 114.293043) - (xy 56.388 114.273078) - (xy 56.261945 114.293043) - (xy 56.148229 114.350984) - (xy 56.057984 114.441229) - (xy 56.055296 114.446505) - (xy 56.055295 114.446506) - (xy 56.047819 114.461179) - (xy 56.000043 114.554945) - (xy 55.999117 114.560792) - (xy 55.981327 114.673117) - (xy 55.980078 114.681) - (xy 51.842922 114.681) - (xy 51.841674 114.673117) - (xy 51.823883 114.560792) - (xy 51.822957 114.554945) - (xy 51.775181 114.461179) - (xy 51.767705 114.446506) - (xy 51.767704 114.446505) - (xy 51.765016 114.441229) - (xy 51.674771 114.350984) - (xy 51.561055 114.293043) - (xy 51.435 114.273078) - (xy 51.308945 114.293043) - (xy 51.195229 114.350984) - (xy 51.104984 114.441229) - (xy 51.102296 114.446505) - (xy 51.102295 114.446506) - (xy 51.094819 114.461179) - (xy 51.047043 114.554945) - (xy 51.046117 114.560792) - (xy 51.028327 114.673117) - (xy 51.027078 114.681) - (xy 46.762922 114.681) - (xy 46.761674 114.673117) - (xy 46.743883 114.560792) - (xy 46.742957 114.554945) - (xy 46.695181 114.461179) - (xy 46.687705 114.446506) - (xy 46.687704 114.446505) - (xy 46.685016 114.441229) - (xy 46.594771 114.350984) - (xy 46.481055 114.293043) - (xy 46.355 114.273078) - (xy 46.228945 114.293043) - (xy 46.22367 114.295731) - (xy 46.223669 114.295731) - (xy 46.210341 114.302522) - (xy 46.159285 114.308792) - (xy 46.116143 114.280776) - (xy 46.105845 114.25) - (xy 64.192078 114.25) - (xy 64.212043 114.376055) - (xy 64.269984 114.489771) - (xy 64.360229 114.580016) - (xy 64.365505 114.582704) - (xy 64.365506 114.582705) - (xy 64.411302 114.606039) - (xy 64.473945 114.637957) - (xy 64.479792 114.638883) - (xy 64.57573 114.654078) - (xy 64.6 114.657922) - (xy 64.624271 114.654078) - (xy 64.720208 114.638883) - (xy 64.726055 114.637957) - (xy 64.788698 114.606039) - (xy 64.834494 114.582705) - (xy 64.834495 114.582704) - (xy 64.839771 114.580016) - (xy 64.930016 114.489771) - (xy 64.987957 114.376055) - (xy 65.007922 114.25) - (xy 65.005629 114.235519) - (xy 64.99794 114.186973) - (xy 64.987957 114.123945) - (xy 64.943938 114.037552) - (xy 64.932705 114.015506) - (xy 64.932704 114.015505) - (xy 64.930016 114.010229) - (xy 64.839771 113.919984) - (xy 64.726055 113.862043) - (xy 64.6 113.842078) - (xy 64.473945 113.862043) - (xy 64.360229 113.919984) - (xy 64.269984 114.010229) - (xy 64.267296 114.015505) - (xy 64.267295 114.015506) - (xy 64.256062 114.037552) - (xy 64.212043 114.123945) - (xy 64.202061 114.186972) - (xy 64.194372 114.235519) - (xy 64.192078 114.25) - (xy 46.105845 114.25) - (xy 46.101 114.235519) - (xy 46.101 113.75) - (xy 84.092078 113.75) - (xy 84.112043 113.876055) - (xy 84.169984 113.989771) - (xy 84.260229 114.080016) - (xy 84.373945 114.137957) - (xy 84.5 114.157922) - (xy 84.626055 114.137957) - (xy 84.739771 114.080016) - (xy 84.830016 113.989771) - (xy 84.887957 113.876055) - (xy 84.907922 113.75) - (xy 84.887957 113.623945) - (xy 84.847257 113.544066) - (xy 84.832705 113.515506) - (xy 84.832704 113.515505) - (xy 84.830016 113.510229) - (xy 84.739771 113.419984) - (xy 84.712026 113.405847) - (xy 84.686656 113.392921) - (xy 84.626055 113.362043) - (xy 84.5 113.342078) - (xy 84.373945 113.362043) - (xy 84.313344 113.392921) - (xy 84.287975 113.405847) - (xy 84.260229 113.419984) - (xy 84.169984 113.510229) - (xy 84.167296 113.515505) - (xy 84.167295 113.515506) - (xy 84.152743 113.544066) - (xy 84.112043 113.623945) - (xy 84.092078 113.75) - (xy 46.101 113.75) - (xy 46.101 112.95) - (xy 69.342078 112.95) - (xy 69.362043 113.076055) - (xy 69.419984 113.189771) - (xy 69.510229 113.280016) - (xy 69.515505 113.282704) - (xy 69.515506 113.282705) - (xy 69.56318 113.306996) - (xy 69.623945 113.337957) - (xy 69.75 113.357922) - (xy 69.876055 113.337957) - (xy 69.93682 113.306996) - (xy 69.984494 113.282705) - (xy 69.984495 113.282704) - (xy 69.989771 113.280016) - (xy 70.080016 113.189771) - (xy 70.137957 113.076055) - (xy 70.157922 112.95) - (xy 70.150003 112.9) - (xy 92.042078 112.9) - (xy 92.062043 113.026055) - (xy 92.119984 113.139771) - (xy 92.210229 113.230016) - (xy 92.323945 113.287957) - (xy 92.45 113.307922) - (xy 92.576055 113.287957) - (xy 92.689771 113.230016) - (xy 92.780016 113.139771) - (xy 92.837957 113.026055) - (xy 92.857922 112.9) - (xy 99.642078 112.9) - (xy 99.662043 113.026055) - (xy 99.719984 113.139771) - (xy 99.810229 113.230016) - (xy 99.923945 113.287957) - (xy 100.05 113.307922) - (xy 100.176055 113.287957) - (xy 100.289771 113.230016) - (xy 100.380016 113.139771) - (xy 100.40028 113.1) - (xy 104.992078 113.1) - (xy 105.012043 113.226055) - (xy 105.02785 113.257078) - (xy 105.059234 113.318672) - (xy 105.069984 113.339771) - (xy 105.160229 113.430016) - (xy 105.165505 113.432704) - (xy 105.165506 113.432705) - (xy 105.19945 113.45) - (xy 105.273945 113.487957) - (xy 105.4 113.507922) - (xy 105.526055 113.487957) - (xy 105.60055 113.45) - (xy 105.634494 113.432705) - (xy 105.634495 113.432704) - (xy 105.639771 113.430016) - (xy 105.669787 113.4) - (xy 108.492078 113.4) - (xy 108.512043 113.526055) - (xy 108.51473 113.531328) - (xy 108.559234 113.618672) - (xy 108.569984 113.639771) - (xy 108.660229 113.730016) - (xy 108.665505 113.732704) - (xy 108.665506 113.732705) - (xy 108.69945 113.75) - (xy 108.773945 113.787957) - (xy 108.9 113.807922) - (xy 109.026055 113.787957) - (xy 109.10055 113.75) - (xy 109.134494 113.732705) - (xy 109.134495 113.732704) - (xy 109.139771 113.730016) - (xy 109.230016 113.639771) - (xy 109.240767 113.618672) - (xy 109.28527 113.531328) - (xy 109.287957 113.526055) - (xy 109.300003 113.45) - (xy 111.342078 113.45) - (xy 111.343004 113.455847) - (xy 111.347664 113.48527) - (xy 111.362043 113.576055) - (xy 111.36473 113.581328) - (xy 111.407363 113.665) - (xy 111.419984 113.689771) - (xy 111.510229 113.780016) - (xy 111.515505 113.782704) - (xy 111.515506 113.782705) - (xy 111.531894 113.791055) - (xy 111.623945 113.837957) - (xy 111.75 113.857922) - (xy 111.876055 113.837957) - (xy 111.968106 113.791055) - (xy 111.984494 113.782705) - (xy 111.984495 113.782704) - (xy 111.989771 113.780016) - (xy 112.080016 113.689771) - (xy 112.092638 113.665) - (xy 112.13527 113.581328) - (xy 112.137957 113.576055) - (xy 112.152336 113.48527) - (xy 112.156996 113.455847) - (xy 112.157922 113.45) - (xy 113.642078 113.45) - (xy 113.643004 113.455847) - (xy 113.647664 113.48527) - (xy 113.662043 113.576055) - (xy 113.66473 113.581328) - (xy 113.707363 113.665) - (xy 113.719984 113.689771) - (xy 113.810229 113.780016) - (xy 113.815505 113.782704) - (xy 113.815506 113.782705) - (xy 113.831894 113.791055) - (xy 113.923945 113.837957) - (xy 114.05 113.857922) - (xy 114.176055 113.837957) - (xy 114.268106 113.791055) - (xy 114.284494 113.782705) - (xy 114.284495 113.782704) - (xy 114.289771 113.780016) - (xy 114.380016 113.689771) - (xy 114.392638 113.665) - (xy 114.43527 113.581328) - (xy 114.437957 113.576055) - (xy 114.452336 113.48527) - (xy 114.456996 113.455847) - (xy 114.457922 113.45) - (xy 118.242078 113.45) - (xy 118.243004 113.455847) - (xy 118.247664 113.48527) - (xy 118.262043 113.576055) - (xy 118.26473 113.581328) - (xy 118.307363 113.665) - (xy 118.319984 113.689771) - (xy 118.410229 113.780016) - (xy 118.415505 113.782704) - (xy 118.415506 113.782705) - (xy 118.431894 113.791055) - (xy 118.523945 113.837957) - (xy 118.65 113.857922) - (xy 118.776055 113.837957) - (xy 118.868106 113.791055) - (xy 118.884494 113.782705) - (xy 118.884495 113.782704) - (xy 118.889771 113.780016) - (xy 118.980016 113.689771) - (xy 118.992638 113.665) - (xy 130.275078 113.665) - (xy 130.276004 113.670847) - (xy 130.279001 113.689771) - (xy 130.295043 113.791055) - (xy 130.308285 113.817043) - (xy 130.338353 113.876055) - (xy 130.352984 113.904771) - (xy 130.443229 113.995016) - (xy 130.448505 113.997704) - (xy 130.448506 113.997705) - (xy 130.494549 114.021165) - (xy 130.556945 114.052957) - (xy 130.683 114.072922) - (xy 130.809055 114.052957) - (xy 130.871451 114.021165) - (xy 130.917494 113.997705) - (xy 130.917495 113.997704) - (xy 130.922771 113.995016) - (xy 131.013016 113.904771) - (xy 131.027648 113.876055) - (xy 131.057715 113.817043) - (xy 131.070957 113.791055) - (xy 131.086999 113.689771) - (xy 131.089996 113.670847) - (xy 131.090922 113.665) - (xy 135.355078 113.665) - (xy 135.356004 113.670847) - (xy 135.359001 113.689771) - (xy 135.375043 113.791055) - (xy 135.388285 113.817043) - (xy 135.418353 113.876055) - (xy 135.432984 113.904771) - (xy 135.523229 113.995016) - (xy 135.528505 113.997704) - (xy 135.528506 113.997705) - (xy 135.574549 114.021165) - (xy 135.636945 114.052957) - (xy 135.763 114.072922) - (xy 135.889055 114.052957) - (xy 135.951451 114.021165) - (xy 135.997494 113.997705) - (xy 135.997495 113.997704) - (xy 136.002771 113.995016) - (xy 136.093016 113.904771) - (xy 136.107648 113.876055) - (xy 136.137715 113.817043) - (xy 136.150957 113.791055) - (xy 136.166999 113.689771) - (xy 136.169996 113.670847) - (xy 136.170922 113.665) - (xy 140.435078 113.665) - (xy 140.436004 113.670847) - (xy 140.439001 113.689771) - (xy 140.455043 113.791055) - (xy 140.468285 113.817043) - (xy 140.498353 113.876055) - (xy 140.512984 113.904771) - (xy 140.603229 113.995016) - (xy 140.608505 113.997704) - (xy 140.608506 113.997705) - (xy 140.654549 114.021165) - (xy 140.716945 114.052957) - (xy 140.843 114.072922) - (xy 140.969055 114.052957) - (xy 141.031451 114.021165) - (xy 141.077494 113.997705) - (xy 141.077495 113.997704) - (xy 141.082771 113.995016) - (xy 141.173016 113.904771) - (xy 141.187648 113.876055) - (xy 141.217715 113.817043) - (xy 141.230957 113.791055) - (xy 141.246999 113.689771) - (xy 141.249996 113.670847) - (xy 141.250922 113.665) - (xy 141.248558 113.650071) - (xy 141.236835 113.576055) - (xy 141.230957 113.538945) - (xy 141.186167 113.451039) - (xy 141.175705 113.430506) - (xy 141.175704 113.430505) - (xy 141.173016 113.425229) - (xy 141.082771 113.334984) - (xy 141.072582 113.329792) - (xy 141.027841 113.306996) - (xy 140.969055 113.277043) - (xy 140.843 113.257078) - (xy 140.716945 113.277043) - (xy 140.658159 113.306996) - (xy 140.613419 113.329792) - (xy 140.603229 113.334984) - (xy 140.512984 113.425229) - (xy 140.510296 113.430505) - (xy 140.510295 113.430506) - (xy 140.499833 113.451039) - (xy 140.455043 113.538945) - (xy 140.449165 113.576055) - (xy 140.437443 113.650071) - (xy 140.435078 113.665) - (xy 136.170922 113.665) - (xy 136.168558 113.650071) - (xy 136.156835 113.576055) - (xy 136.150957 113.538945) - (xy 136.106167 113.451039) - (xy 136.095705 113.430506) - (xy 136.095704 113.430505) - (xy 136.093016 113.425229) - (xy 136.002771 113.334984) - (xy 135.992582 113.329792) - (xy 135.947841 113.306996) - (xy 135.889055 113.277043) - (xy 135.763 113.257078) - (xy 135.636945 113.277043) - (xy 135.578159 113.306996) - (xy 135.533419 113.329792) - (xy 135.523229 113.334984) - (xy 135.432984 113.425229) - (xy 135.430296 113.430505) - (xy 135.430295 113.430506) - (xy 135.419833 113.451039) - (xy 135.375043 113.538945) - (xy 135.369165 113.576055) - (xy 135.357443 113.650071) - (xy 135.355078 113.665) - (xy 131.090922 113.665) - (xy 131.088558 113.650071) - (xy 131.076835 113.576055) - (xy 131.070957 113.538945) - (xy 131.026167 113.451039) - (xy 131.015705 113.430506) - (xy 131.015704 113.430505) - (xy 131.013016 113.425229) - (xy 130.922771 113.334984) - (xy 130.912582 113.329792) - (xy 130.867841 113.306996) - (xy 130.809055 113.277043) - (xy 130.683 113.257078) - (xy 130.556945 113.277043) - (xy 130.498159 113.306996) - (xy 130.453419 113.329792) - (xy 130.443229 113.334984) - (xy 130.352984 113.425229) - (xy 130.350296 113.430505) - (xy 130.350295 113.430506) - (xy 130.339833 113.451039) - (xy 130.295043 113.538945) - (xy 130.289165 113.576055) - (xy 130.277443 113.650071) - (xy 130.275078 113.665) - (xy 118.992638 113.665) - (xy 119.03527 113.581328) - (xy 119.037957 113.576055) - (xy 119.052336 113.48527) - (xy 119.056996 113.455847) - (xy 119.057922 113.45) - (xy 119.054835 113.430506) - (xy 119.039522 113.333826) - (xy 119.037957 113.323945) - (xy 119.007079 113.263344) - (xy 118.982705 113.215506) - (xy 118.982704 113.215505) - (xy 118.980016 113.210229) - (xy 118.889771 113.119984) - (xy 118.862026 113.105847) - (xy 118.813904 113.081328) - (xy 118.776055 113.062043) - (xy 118.700018 113.05) - (xy 118.655847 113.043004) - (xy 118.65 113.042078) - (xy 118.644153 113.043004) - (xy 118.599982 113.05) - (xy 118.523945 113.062043) - (xy 118.486096 113.081328) - (xy 118.437975 113.105847) - (xy 118.410229 113.119984) - (xy 118.319984 113.210229) - (xy 118.317296 113.215505) - (xy 118.317295 113.215506) - (xy 118.292921 113.263344) - (xy 118.262043 113.323945) - (xy 118.260478 113.333826) - (xy 118.245166 113.430506) - (xy 118.242078 113.45) - (xy 114.457922 113.45) - (xy 114.454835 113.430506) - (xy 114.439522 113.333826) - (xy 114.437957 113.323945) - (xy 114.407079 113.263344) - (xy 114.382705 113.215506) - (xy 114.382704 113.215505) - (xy 114.380016 113.210229) - (xy 114.289771 113.119984) - (xy 114.262026 113.105847) - (xy 114.213904 113.081328) - (xy 114.176055 113.062043) - (xy 114.100018 113.05) - (xy 114.055847 113.043004) - (xy 114.05 113.042078) - (xy 114.044153 113.043004) - (xy 113.999982 113.05) - (xy 113.923945 113.062043) - (xy 113.886096 113.081328) - (xy 113.837975 113.105847) - (xy 113.810229 113.119984) - (xy 113.719984 113.210229) - (xy 113.717296 113.215505) - (xy 113.717295 113.215506) - (xy 113.692921 113.263344) - (xy 113.662043 113.323945) - (xy 113.660478 113.333826) - (xy 113.645166 113.430506) - (xy 113.642078 113.45) - (xy 112.157922 113.45) - (xy 112.154835 113.430506) - (xy 112.139522 113.333826) - (xy 112.137957 113.323945) - (xy 112.107079 113.263344) - (xy 112.082705 113.215506) - (xy 112.082704 113.215505) - (xy 112.080016 113.210229) - (xy 111.989771 113.119984) - (xy 111.962026 113.105847) - (xy 111.913904 113.081328) - (xy 111.876055 113.062043) - (xy 111.800018 113.05) - (xy 111.755847 113.043004) - (xy 111.75 113.042078) - (xy 111.744153 113.043004) - (xy 111.699982 113.05) - (xy 111.623945 113.062043) - (xy 111.586096 113.081328) - (xy 111.537975 113.105847) - (xy 111.510229 113.119984) - (xy 111.419984 113.210229) - (xy 111.417296 113.215505) - (xy 111.417295 113.215506) - (xy 111.392921 113.263344) - (xy 111.362043 113.323945) - (xy 111.360478 113.333826) - (xy 111.345166 113.430506) - (xy 111.342078 113.45) - (xy 109.300003 113.45) - (xy 109.307922 113.4) - (xy 109.287957 113.273945) - (xy 109.24238 113.184494) - (xy 109.232705 113.165506) - (xy 109.232704 113.165505) - (xy 109.230016 113.160229) - (xy 109.139771 113.069984) - (xy 109.12946 113.06473) - (xy 109.053555 113.026055) - (xy 109.026055 113.012043) - (xy 108.9 112.992078) - (xy 108.773945 113.012043) - (xy 108.746445 113.026055) - (xy 108.670541 113.06473) - (xy 108.660229 113.069984) - (xy 108.569984 113.160229) - (xy 108.567296 113.165505) - (xy 108.567295 113.165506) - (xy 108.55762 113.184494) - (xy 108.512043 113.273945) - (xy 108.492078 113.4) - (xy 105.669787 113.4) - (xy 105.730016 113.339771) - (xy 105.740767 113.318672) - (xy 105.77215 113.257078) - (xy 105.787957 113.226055) - (xy 105.807922 113.1) - (xy 105.787957 112.973945) - (xy 105.730016 112.860229) - (xy 105.639771 112.769984) - (xy 105.526055 112.712043) - (xy 105.4 112.692078) - (xy 105.273945 112.712043) - (xy 105.160229 112.769984) - (xy 105.069984 112.860229) - (xy 105.012043 112.973945) - (xy 104.992078 113.1) - (xy 100.40028 113.1) - (xy 100.437957 113.026055) - (xy 100.457922 112.9) - (xy 100.437957 112.773945) - (xy 100.380016 112.660229) - (xy 100.289771 112.569984) - (xy 100.27946 112.56473) - (xy 100.211068 112.529883) - (xy 100.176055 112.512043) - (xy 100.05 112.492078) - (xy 99.923945 112.512043) - (xy 99.888932 112.529883) - (xy 99.820541 112.56473) - (xy 99.810229 112.569984) - (xy 99.719984 112.660229) - (xy 99.662043 112.773945) - (xy 99.642078 112.9) - (xy 92.857922 112.9) - (xy 92.837957 112.773945) - (xy 92.780016 112.660229) - (xy 92.689771 112.569984) - (xy 92.67946 112.56473) - (xy 92.611068 112.529883) - (xy 92.576055 112.512043) - (xy 92.45 112.492078) - (xy 92.323945 112.512043) - (xy 92.288932 112.529883) - (xy 92.220541 112.56473) - (xy 92.210229 112.569984) - (xy 92.119984 112.660229) - (xy 92.062043 112.773945) - (xy 92.042078 112.9) - (xy 70.150003 112.9) - (xy 70.137957 112.823945) - (xy 70.098974 112.747436) - (xy 70.082705 112.715506) - (xy 70.082704 112.715505) - (xy 70.080016 112.710229) - (xy 69.989771 112.619984) - (xy 69.876055 112.562043) - (xy 69.75 112.542078) - (xy 69.623945 112.562043) - (xy 69.510229 112.619984) - (xy 69.419984 112.710229) - (xy 69.417296 112.715505) - (xy 69.417295 112.715506) - (xy 69.401026 112.747436) - (xy 69.362043 112.823945) - (xy 69.342078 112.95) - (xy 46.101 112.95) - (xy 46.101 112.141) - (xy 48.487078 112.141) - (xy 48.507043 112.267055) - (xy 48.520752 112.293961) - (xy 48.544094 112.339771) - (xy 48.564984 112.380771) - (xy 48.655229 112.471016) - (xy 48.660505 112.473704) - (xy 48.660506 112.473705) - (xy 48.690295 112.488883) - (xy 48.768945 112.528957) - (xy 48.895 112.548922) - (xy 49.021055 112.528957) - (xy 49.099705 112.488883) - (xy 49.129494 112.473705) - (xy 49.129495 112.473704) - (xy 49.134771 112.471016) - (xy 49.225016 112.380771) - (xy 49.245907 112.339771) - (xy 49.269248 112.293961) - (xy 49.282957 112.267055) - (xy 49.302922 112.141) - (xy 53.567078 112.141) - (xy 53.587043 112.267055) - (xy 53.600752 112.293961) - (xy 53.624094 112.339771) - (xy 53.644984 112.380771) - (xy 53.735229 112.471016) - (xy 53.740505 112.473704) - (xy 53.740506 112.473705) - (xy 53.770295 112.488883) - (xy 53.848945 112.528957) - (xy 53.975 112.548922) - (xy 54.101055 112.528957) - (xy 54.179705 112.488883) - (xy 54.209494 112.473705) - (xy 54.209495 112.473704) - (xy 54.214771 112.471016) - (xy 54.305016 112.380771) - (xy 54.325907 112.339771) - (xy 54.349248 112.293961) - (xy 54.362957 112.267055) - (xy 54.382922 112.141) - (xy 54.376428 112.1) - (xy 71.692078 112.1) - (xy 71.712043 112.226055) - (xy 71.769984 112.339771) - (xy 71.860229 112.430016) - (xy 71.865505 112.432704) - (xy 71.865506 112.432705) - (xy 71.899589 112.450071) - (xy 71.973945 112.487957) - (xy 72.1 112.507922) - (xy 72.226055 112.487957) - (xy 72.300411 112.450071) - (xy 72.334494 112.432705) - (xy 72.334495 112.432704) - (xy 72.339771 112.430016) - (xy 72.430016 112.339771) - (xy 72.487957 112.226055) - (xy 72.507922 112.1) - (xy 72.487957 111.973945) - (xy 72.453595 111.906506) - (xy 72.432705 111.865506) - (xy 72.432704 111.865505) - (xy 72.430016 111.860229) - (xy 72.339771 111.769984) - (xy 72.316962 111.758362) - (xy 72.30055 111.75) - (xy 86.992078 111.75) - (xy 87.012043 111.876055) - (xy 87.022735 111.897039) - (xy 87.059234 111.968672) - (xy 87.069984 111.989771) - (xy 87.160229 112.080016) - (xy 87.165505 112.082704) - (xy 87.165506 112.082705) - (xy 87.19945 112.1) - (xy 87.273945 112.137957) - (xy 87.4 112.157922) - (xy 87.526055 112.137957) - (xy 87.60055 112.1) - (xy 87.634494 112.082705) - (xy 87.634495 112.082704) - (xy 87.639771 112.080016) - (xy 87.725377 111.99441) - (xy 90.942477 111.99441) - (xy 90.943171 111.999717) - (xy 90.943171 111.99972) - (xy 90.949746 112.05) - (xy 90.959298 112.123046) - (xy 90.961457 112.127952) - (xy 90.961457 112.127953) - (xy 91.00205 112.220208) - (xy 91.011547 112.241791) - (xy 91.095023 112.341098) - (xy 91.203017 112.412984) - (xy 91.326845 112.451671) - (xy 91.393716 112.452896) - (xy 91.451193 112.45395) - (xy 91.451195 112.45395) - (xy 91.456555 112.454048) - (xy 91.461726 112.452638) - (xy 91.461728 112.452638) - (xy 91.560073 112.425826) - (xy 91.581718 112.419925) - (xy 91.692273 112.352044) - (xy 91.695865 112.348076) - (xy 91.695867 112.348074) - (xy 91.753416 112.284494) - (xy 91.779332 112.255863) - (xy 91.827232 112.156996) - (xy 91.833559 112.143938) - (xy 91.833559 112.143937) - (xy 91.835897 112.139112) - (xy 91.85742 112.011179) - (xy 91.857557 112) - (xy 91.857145 111.997122) - (xy 91.839925 111.876876) - (xy 91.839924 111.876873) - (xy 91.839166 111.871579) - (xy 91.834409 111.861117) - (xy 91.829354 111.85) - (xy 95.742078 111.85) - (xy 95.762043 111.976055) - (xy 95.77994 112.011179) - (xy 95.816384 112.082705) - (xy 95.819984 112.089771) - (xy 95.910229 112.180016) - (xy 96.023945 112.237957) - (xy 96.029792 112.238883) - (xy 96.136899 112.255847) - (xy 96.15 112.257922) - (xy 96.163102 112.255847) - (xy 96.270208 112.238883) - (xy 96.276055 112.237957) - (xy 96.389771 112.180016) - (xy 96.480016 112.089771) - (xy 96.483617 112.082705) - (xy 96.52006 112.011179) - (xy 96.528604 111.99441) - (xy 97.942477 111.99441) - (xy 97.943171 111.999717) - (xy 97.943171 111.99972) - (xy 97.949746 112.05) - (xy 97.959298 112.123046) - (xy 97.961457 112.127952) - (xy 97.961457 112.127953) - (xy 98.00205 112.220208) - (xy 98.011547 112.241791) - (xy 98.095023 112.341098) - (xy 98.203017 112.412984) - (xy 98.326845 112.451671) - (xy 98.393716 112.452896) - (xy 98.451193 112.45395) - (xy 98.451195 112.45395) - (xy 98.456555 112.454048) - (xy 98.461726 112.452638) - (xy 98.461728 112.452638) - (xy 98.560073 112.425826) - (xy 98.581718 112.419925) - (xy 98.692273 112.352044) - (xy 98.695865 112.348076) - (xy 98.695867 112.348074) - (xy 98.753416 112.284494) - (xy 98.779332 112.255863) - (xy 98.782173 112.25) - (xy 105.942078 112.25) - (xy 105.943004 112.255847) - (xy 105.943801 112.260878) - (xy 105.962043 112.376055) - (xy 106.019984 112.489771) - (xy 106.110229 112.580016) - (xy 106.223945 112.637957) - (xy 106.229792 112.638883) - (xy 106.336899 112.655847) - (xy 106.35 112.657922) - (xy 106.363102 112.655847) - (xy 106.400018 112.65) - (xy 114.338028 112.65) - (xy 114.358191 112.777306) - (xy 114.361928 112.78464) - (xy 114.403132 112.865506) - (xy 114.416708 112.892151) - (xy 114.507849 112.983292) - (xy 114.513125 112.98598) - (xy 114.513126 112.985981) - (xy 114.560914 113.01033) - (xy 114.622694 113.041809) - (xy 114.75 113.061972) - (xy 114.877306 113.041809) - (xy 114.939086 113.01033) - (xy 114.986874 112.985981) - (xy 114.986875 112.98598) - (xy 114.992151 112.983292) - (xy 115.083292 112.892151) - (xy 115.096869 112.865506) - (xy 115.138072 112.78464) - (xy 115.141809 112.777306) - (xy 115.161972 112.65) - (xy 122.138028 112.65) - (xy 122.158191 112.777306) - (xy 122.161928 112.78464) - (xy 122.203132 112.865506) - (xy 122.216708 112.892151) - (xy 122.307849 112.983292) - (xy 122.313125 112.98598) - (xy 122.313126 112.985981) - (xy 122.360914 113.01033) - (xy 122.422694 113.041809) - (xy 122.55 113.061972) - (xy 122.625589 113.05) - (xy 125.142078 113.05) - (xy 125.143004 113.055847) - (xy 125.143839 113.061117) - (xy 125.162043 113.176055) - (xy 125.17994 113.211179) - (xy 125.216384 113.282705) - (xy 125.219984 113.289771) - (xy 125.310229 113.380016) - (xy 125.315505 113.382704) - (xy 125.315506 113.382705) - (xy 125.34945 113.4) - (xy 125.423945 113.437957) - (xy 125.429792 113.438883) - (xy 125.536899 113.455847) - (xy 125.55 113.457922) - (xy 125.563102 113.455847) - (xy 125.670208 113.438883) - (xy 125.676055 113.437957) - (xy 125.75055 113.4) - (xy 125.784494 113.382705) - (xy 125.784495 113.382704) - (xy 125.789771 113.380016) - (xy 125.880016 113.289771) - (xy 125.883617 113.282705) - (xy 125.92006 113.211179) - (xy 125.928604 113.19441) - (xy 126.892477 113.19441) - (xy 126.893171 113.199717) - (xy 126.893171 113.19972) - (xy 126.900672 113.257078) - (xy 126.909298 113.323046) - (xy 126.911457 113.327952) - (xy 126.911457 113.327953) - (xy 126.954522 113.425826) - (xy 126.961547 113.441791) - (xy 127.045023 113.541098) - (xy 127.153017 113.612984) - (xy 127.276845 113.651671) - (xy 127.343716 113.652896) - (xy 127.401193 113.65395) - (xy 127.401195 113.65395) - (xy 127.406555 113.654048) - (xy 127.411726 113.652638) - (xy 127.411728 113.652638) - (xy 127.495526 113.629792) - (xy 127.531718 113.619925) - (xy 127.642273 113.552044) - (xy 127.645865 113.548076) - (xy 127.645867 113.548074) - (xy 127.683915 113.506039) - (xy 127.729332 113.455863) - (xy 127.785897 113.339112) - (xy 127.80742 113.211179) - (xy 127.807557 113.2) - (xy 127.807145 113.197122) - (xy 127.789925 113.076876) - (xy 127.789924 113.076873) - (xy 127.789166 113.071579) - (xy 127.73547 112.953482) - (xy 127.727969 112.944776) - (xy 127.654282 112.859258) - (xy 127.654281 112.859257) - (xy 127.650787 112.855202) - (xy 127.645157 112.851553) - (xy 127.546416 112.787552) - (xy 127.546415 112.787551) - (xy 127.541923 112.78464) - (xy 127.497849 112.771459) - (xy 127.422765 112.749004) - (xy 127.422762 112.749004) - (xy 127.417631 112.747469) - (xy 127.412276 112.747436) - (xy 127.412274 112.747436) - (xy 127.354164 112.747081) - (xy 127.287902 112.746676) - (xy 127.163166 112.782326) - (xy 127.053448 112.851553) - (xy 126.96757 112.948791) - (xy 126.965294 112.953638) - (xy 126.965293 112.95364) - (xy 126.914867 113.061046) - (xy 126.912436 113.066223) - (xy 126.892477 113.19441) - (xy 125.928604 113.19441) - (xy 125.937957 113.176055) - (xy 125.956161 113.061117) - (xy 125.956996 113.055847) - (xy 125.957922 113.05) - (xy 125.937957 112.923945) - (xy 125.880016 112.810229) - (xy 125.789771 112.719984) - (xy 125.780983 112.715506) - (xy 125.735002 112.692078) - (xy 125.676055 112.662043) - (xy 125.600018 112.65) - (xy 125.555847 112.643004) - (xy 125.55 112.642078) - (xy 125.544153 112.643004) - (xy 125.499982 112.65) - (xy 125.423945 112.662043) - (xy 125.364998 112.692078) - (xy 125.319018 112.715506) - (xy 125.310229 112.719984) - (xy 125.219984 112.810229) - (xy 125.162043 112.923945) - (xy 125.142078 113.05) - (xy 122.625589 113.05) - (xy 122.677306 113.041809) - (xy 122.739086 113.01033) - (xy 122.786874 112.985981) - (xy 122.786875 112.98598) - (xy 122.792151 112.983292) - (xy 122.883292 112.892151) - (xy 122.896869 112.865506) - (xy 122.938072 112.78464) - (xy 122.941809 112.777306) - (xy 122.961972 112.65) - (xy 122.941809 112.522694) - (xy 122.889445 112.419925) - (xy 122.885981 112.413126) - (xy 122.88598 112.413125) - (xy 122.883292 112.407849) - (xy 122.792151 112.316708) - (xy 122.677306 112.258191) - (xy 122.55 112.238028) - (xy 122.422694 112.258191) - (xy 122.307849 112.316708) - (xy 122.216708 112.407849) - (xy 122.21402 112.413125) - (xy 122.214019 112.413126) - (xy 122.210555 112.419925) - (xy 122.158191 112.522694) - (xy 122.138028 112.65) - (xy 115.161972 112.65) - (xy 115.141809 112.522694) - (xy 115.089445 112.419925) - (xy 115.085981 112.413126) - (xy 115.08598 112.413125) - (xy 115.083292 112.407849) - (xy 114.992151 112.316708) - (xy 114.877306 112.258191) - (xy 114.75 112.238028) - (xy 114.622694 112.258191) - (xy 114.507849 112.316708) - (xy 114.416708 112.407849) - (xy 114.41402 112.413125) - (xy 114.414019 112.413126) - (xy 114.410555 112.419925) - (xy 114.358191 112.522694) - (xy 114.338028 112.65) - (xy 106.400018 112.65) - (xy 106.470208 112.638883) - (xy 106.476055 112.637957) - (xy 106.589771 112.580016) - (xy 106.680016 112.489771) - (xy 106.737957 112.376055) - (xy 106.756199 112.260878) - (xy 106.756996 112.255847) - (xy 106.757922 112.25) - (xy 106.756173 112.238954) - (xy 106.747264 112.182705) - (xy 106.737957 112.123945) - (xy 106.70028 112.05) - (xy 108.542078 112.05) - (xy 108.562043 112.176055) - (xy 108.619984 112.289771) - (xy 108.710229 112.380016) - (xy 108.823945 112.437957) - (xy 108.829792 112.438883) - (xy 108.924922 112.45395) - (xy 108.95 112.457922) - (xy 108.975079 112.45395) - (xy 109.070208 112.438883) - (xy 109.076055 112.437957) - (xy 109.189771 112.380016) - (xy 109.280016 112.289771) - (xy 109.337957 112.176055) - (xy 109.357922 112.05) - (xy 109.349959 111.99972) - (xy 109.347547 111.984494) - (xy 109.337957 111.923945) - (xy 109.30028 111.85) - (xy 111.342078 111.85) - (xy 111.362043 111.976055) - (xy 111.37994 112.011179) - (xy 111.416384 112.082705) - (xy 111.419984 112.089771) - (xy 111.510229 112.180016) - (xy 111.623945 112.237957) - (xy 111.629792 112.238883) - (xy 111.736899 112.255847) - (xy 111.75 112.257922) - (xy 111.763102 112.255847) - (xy 111.870208 112.238883) - (xy 111.876055 112.237957) - (xy 111.989771 112.180016) - (xy 112.080016 112.089771) - (xy 112.083617 112.082705) - (xy 112.12006 112.011179) - (xy 112.137957 111.976055) - (xy 112.157922 111.85) - (xy 113.642078 111.85) - (xy 113.662043 111.976055) - (xy 113.67994 112.011179) - (xy 113.716384 112.082705) - (xy 113.719984 112.089771) - (xy 113.810229 112.180016) - (xy 113.923945 112.237957) - (xy 113.929792 112.238883) - (xy 114.036899 112.255847) - (xy 114.05 112.257922) - (xy 114.063102 112.255847) - (xy 114.170208 112.238883) - (xy 114.176055 112.237957) - (xy 114.289771 112.180016) - (xy 114.380016 112.089771) - (xy 114.383617 112.082705) - (xy 114.42006 112.011179) - (xy 114.437957 111.976055) - (xy 114.457922 111.85) - (xy 118.242078 111.85) - (xy 118.262043 111.976055) - (xy 118.27994 112.011179) - (xy 118.316384 112.082705) - (xy 118.319984 112.089771) - (xy 118.410229 112.180016) - (xy 118.523945 112.237957) - (xy 118.529792 112.238883) - (xy 118.636899 112.255847) - (xy 118.65 112.257922) - (xy 118.663102 112.255847) - (xy 118.770208 112.238883) - (xy 118.776055 112.237957) - (xy 118.889771 112.180016) - (xy 118.980016 112.089771) - (xy 118.983617 112.082705) - (xy 119.02006 112.011179) - (xy 119.037957 111.976055) - (xy 119.057922 111.85) - (xy 119.037957 111.723945) - (xy 118.980016 111.610229) - (xy 118.889771 111.519984) - (xy 118.884153 111.517121) - (xy 118.823036 111.485981) - (xy 118.776055 111.462043) - (xy 118.65 111.442078) - (xy 118.523945 111.462043) - (xy 118.476964 111.485981) - (xy 118.415848 111.517121) - (xy 118.410229 111.519984) - (xy 118.319984 111.610229) - (xy 118.262043 111.723945) - (xy 118.242078 111.85) - (xy 114.457922 111.85) - (xy 114.437957 111.723945) - (xy 114.380016 111.610229) - (xy 114.289771 111.519984) - (xy 114.284153 111.517121) - (xy 114.223036 111.485981) - (xy 114.176055 111.462043) - (xy 114.05 111.442078) - (xy 113.923945 111.462043) - (xy 113.876964 111.485981) - (xy 113.815848 111.517121) - (xy 113.810229 111.519984) - (xy 113.719984 111.610229) - (xy 113.662043 111.723945) - (xy 113.642078 111.85) - (xy 112.157922 111.85) - (xy 112.137957 111.723945) - (xy 112.080016 111.610229) - (xy 111.989771 111.519984) - (xy 111.984153 111.517121) - (xy 111.923036 111.485981) - (xy 111.876055 111.462043) - (xy 111.75 111.442078) - (xy 111.623945 111.462043) - (xy 111.576964 111.485981) - (xy 111.515848 111.517121) - (xy 111.510229 111.519984) - (xy 111.419984 111.610229) - (xy 111.362043 111.723945) - (xy 111.342078 111.85) - (xy 109.30028 111.85) - (xy 109.280016 111.810229) - (xy 109.189771 111.719984) - (xy 109.17946 111.71473) - (xy 109.103555 111.676055) - (xy 109.076055 111.662043) - (xy 108.95 111.642078) - (xy 108.823945 111.662043) - (xy 108.796445 111.676055) - (xy 108.720541 111.71473) - (xy 108.710229 111.719984) - (xy 108.619984 111.810229) - (xy 108.562043 111.923945) - (xy 108.552453 111.984494) - (xy 108.550042 111.99972) - (xy 108.542078 112.05) - (xy 106.70028 112.05) - (xy 106.680016 112.010229) - (xy 106.589771 111.919984) - (xy 106.476055 111.862043) - (xy 106.400018 111.85) - (xy 106.355847 111.843004) - (xy 106.35 111.842078) - (xy 106.344153 111.843004) - (xy 106.299982 111.85) - (xy 106.223945 111.862043) - (xy 106.110229 111.919984) - (xy 106.019984 112.010229) - (xy 105.962043 112.123945) - (xy 105.952736 112.182705) - (xy 105.943828 112.238954) - (xy 105.942078 112.25) - (xy 98.782173 112.25) - (xy 98.827232 112.156996) - (xy 98.833559 112.143938) - (xy 98.833559 112.143937) - (xy 98.835897 112.139112) - (xy 98.85742 112.011179) - (xy 98.857557 112) - (xy 98.857145 111.997122) - (xy 98.839925 111.876876) - (xy 98.839924 111.876873) - (xy 98.839166 111.871579) - (xy 98.834409 111.861117) - (xy 98.787689 111.758362) - (xy 98.787688 111.758361) - (xy 98.78547 111.753482) - (xy 98.777969 111.744776) - (xy 98.704282 111.659258) - (xy 98.704281 111.659257) - (xy 98.700787 111.655202) - (xy 98.695157 111.651553) - (xy 98.596416 111.587552) - (xy 98.596415 111.587551) - (xy 98.591923 111.58464) - (xy 98.549367 111.571913) - (xy 98.472765 111.549004) - (xy 98.472762 111.549004) - (xy 98.467631 111.547469) - (xy 98.462276 111.547436) - (xy 98.462274 111.547436) - (xy 98.404164 111.547081) - (xy 98.337902 111.546676) - (xy 98.213166 111.582326) - (xy 98.103448 111.651553) - (xy 98.01757 111.748791) - (xy 98.015294 111.753638) - (xy 98.015293 111.75364) - (xy 97.967217 111.856039) - (xy 97.962436 111.866223) - (xy 97.942477 111.99441) - (xy 96.528604 111.99441) - (xy 96.537957 111.976055) - (xy 96.557922 111.85) - (xy 96.537957 111.723945) - (xy 96.480016 111.610229) - (xy 96.389771 111.519984) - (xy 96.384153 111.517121) - (xy 96.323036 111.485981) - (xy 96.276055 111.462043) - (xy 96.15 111.442078) - (xy 96.023945 111.462043) - (xy 95.976964 111.485981) - (xy 95.915848 111.517121) - (xy 95.910229 111.519984) - (xy 95.819984 111.610229) - (xy 95.762043 111.723945) - (xy 95.742078 111.85) - (xy 91.829354 111.85) - (xy 91.787689 111.758362) - (xy 91.787688 111.758361) - (xy 91.78547 111.753482) - (xy 91.777969 111.744776) - (xy 91.704282 111.659258) - (xy 91.704281 111.659257) - (xy 91.700787 111.655202) - (xy 91.695157 111.651553) - (xy 91.596416 111.587552) - (xy 91.596415 111.587551) - (xy 91.591923 111.58464) - (xy 91.549367 111.571913) - (xy 91.472765 111.549004) - (xy 91.472762 111.549004) - (xy 91.467631 111.547469) - (xy 91.462276 111.547436) - (xy 91.462274 111.547436) - (xy 91.404164 111.547081) - (xy 91.337902 111.546676) - (xy 91.213166 111.582326) - (xy 91.103448 111.651553) - (xy 91.01757 111.748791) - (xy 91.015294 111.753638) - (xy 91.015293 111.75364) - (xy 90.967217 111.856039) - (xy 90.962436 111.866223) - (xy 90.942477 111.99441) - (xy 87.725377 111.99441) - (xy 87.730016 111.989771) - (xy 87.740767 111.968672) - (xy 87.777265 111.897039) - (xy 87.787957 111.876055) - (xy 87.807922 111.75) - (xy 87.787957 111.623945) - (xy 87.751132 111.551671) - (xy 87.732705 111.515506) - (xy 87.732704 111.515505) - (xy 87.730016 111.510229) - (xy 87.639771 111.419984) - (xy 87.612026 111.405847) - (xy 87.570118 111.384494) - (xy 87.526055 111.362043) - (xy 87.4 111.342078) - (xy 87.273945 111.362043) - (xy 87.229882 111.384494) - (xy 87.187975 111.405847) - (xy 87.160229 111.419984) - (xy 87.069984 111.510229) - (xy 87.067296 111.515505) - (xy 87.067295 111.515506) - (xy 87.048868 111.551671) - (xy 87.012043 111.623945) - (xy 86.992078 111.75) - (xy 72.30055 111.75) - (xy 72.269156 111.734004) - (xy 72.226055 111.712043) - (xy 72.1 111.692078) - (xy 71.973945 111.712043) - (xy 71.930844 111.734004) - (xy 71.883039 111.758362) - (xy 71.860229 111.769984) - (xy 71.769984 111.860229) - (xy 71.767296 111.865505) - (xy 71.767295 111.865506) - (xy 71.746405 111.906506) - (xy 71.712043 111.973945) - (xy 71.692078 112.1) - (xy 54.376428 112.1) - (xy 54.362957 112.014945) - (xy 54.305016 111.901229) - (xy 54.214771 111.810984) - (xy 54.208762 111.807922) - (xy 54.142527 111.774174) - (xy 54.101055 111.753043) - (xy 53.975 111.733078) - (xy 53.848945 111.753043) - (xy 53.807473 111.774174) - (xy 53.741239 111.807922) - (xy 53.735229 111.810984) - (xy 53.644984 111.901229) - (xy 53.587043 112.014945) - (xy 53.567078 112.141) - (xy 49.302922 112.141) - (xy 49.282957 112.014945) - (xy 49.225016 111.901229) - (xy 49.134771 111.810984) - (xy 49.128762 111.807922) - (xy 49.062527 111.774174) - (xy 49.021055 111.753043) - (xy 48.895 111.733078) - (xy 48.768945 111.753043) - (xy 48.727473 111.774174) - (xy 48.661239 111.807922) - (xy 48.655229 111.810984) - (xy 48.564984 111.901229) - (xy 48.507043 112.014945) - (xy 48.487078 112.141) - (xy 46.101 112.141) - (xy 46.101 111.6) - (xy 67.942078 111.6) - (xy 67.962043 111.726055) - (xy 68.019984 111.839771) - (xy 68.110229 111.930016) - (xy 68.115505 111.932704) - (xy 68.115506 111.932705) - (xy 68.16318 111.956996) - (xy 68.223945 111.987957) - (xy 68.35 112.007922) - (xy 68.476055 111.987957) - (xy 68.53682 111.956996) - (xy 68.584494 111.932705) - (xy 68.584495 111.932704) - (xy 68.589771 111.930016) - (xy 68.680016 111.839771) - (xy 68.737957 111.726055) - (xy 68.757922 111.6) - (xy 68.755951 111.587552) - (xy 68.74767 111.53527) - (xy 68.737957 111.473945) - (xy 68.680016 111.360229) - (xy 68.589771 111.269984) - (xy 68.476055 111.212043) - (xy 68.35 111.192078) - (xy 68.223945 111.212043) - (xy 68.110229 111.269984) - (xy 68.019984 111.360229) - (xy 67.962043 111.473945) - (xy 67.95233 111.53527) - (xy 67.94405 111.587552) - (xy 67.942078 111.6) - (xy 46.101 111.6) - (xy 46.101 111.09441) - (xy 91.942477 111.09441) - (xy 91.943171 111.099717) - (xy 91.943171 111.09972) - (xy 91.949746 111.15) - (xy 91.959298 111.223046) - (xy 92.011547 111.341791) - (xy 92.095023 111.441098) - (xy 92.126489 111.462043) - (xy 92.192584 111.506039) - (xy 92.203017 111.512984) - (xy 92.326845 111.551671) - (xy 92.393716 111.552896) - (xy 92.451193 111.55395) - (xy 92.451195 111.55395) - (xy 92.456555 111.554048) - (xy 92.461726 111.552638) - (xy 92.461728 111.552638) - (xy 92.559233 111.526055) - (xy 92.581718 111.519925) - (xy 92.692273 111.452044) - (xy 92.695865 111.448076) - (xy 92.695867 111.448074) - (xy 92.742693 111.396341) - (xy 92.779332 111.355863) - (xy 92.835897 111.239112) - (xy 92.85742 111.111179) - (xy 92.857557 111.1) - (xy 92.857145 111.097122) - (xy 92.856757 111.09441) - (xy 98.942477 111.09441) - (xy 98.943171 111.099717) - (xy 98.943171 111.09972) - (xy 98.949746 111.15) - (xy 98.959298 111.223046) - (xy 99.011547 111.341791) - (xy 99.095023 111.441098) - (xy 99.126489 111.462043) - (xy 99.192584 111.506039) - (xy 99.203017 111.512984) - (xy 99.326845 111.551671) - (xy 99.393716 111.552896) - (xy 99.451193 111.55395) - (xy 99.451195 111.55395) - (xy 99.456555 111.554048) - (xy 99.461726 111.552638) - (xy 99.461728 111.552638) - (xy 99.471404 111.55) - (xy 100.592078 111.55) - (xy 100.593004 111.555847) - (xy 100.593974 111.561972) - (xy 100.612043 111.676055) - (xy 100.669984 111.789771) - (xy 100.760229 111.880016) - (xy 100.765505 111.882704) - (xy 100.765506 111.882705) - (xy 100.801862 111.901229) - (xy 100.873945 111.937957) - (xy 101 111.957922) - (xy 101.126055 111.937957) - (xy 101.198138 111.901229) - (xy 101.234494 111.882705) - (xy 101.234495 111.882704) - (xy 101.239771 111.880016) - (xy 101.330016 111.789771) - (xy 101.387957 111.676055) - (xy 101.406026 111.561972) - (xy 101.406996 111.555847) - (xy 101.407922 111.55) - (xy 101.387957 111.423945) - (xy 101.375756 111.4) - (xy 104.992078 111.4) - (xy 105.012043 111.526055) - (xy 105.02255 111.546676) - (xy 105.059234 111.618672) - (xy 105.069984 111.639771) - (xy 105.160229 111.730016) - (xy 105.165505 111.732704) - (xy 105.165506 111.732705) - (xy 105.19945 111.75) - (xy 105.273945 111.787957) - (xy 105.4 111.807922) - (xy 105.526055 111.787957) - (xy 105.60055 111.75) - (xy 105.634494 111.732705) - (xy 105.634495 111.732704) - (xy 105.639771 111.730016) - (xy 105.730016 111.639771) - (xy 105.740767 111.618672) - (xy 105.77745 111.546676) - (xy 105.787957 111.526055) - (xy 105.807922 111.4) - (xy 105.787957 111.273945) - (xy 105.730016 111.160229) - (xy 105.719787 111.15) - (xy 109.292078 111.15) - (xy 109.312043 111.276055) - (xy 109.369984 111.389771) - (xy 109.460229 111.480016) - (xy 109.465505 111.482704) - (xy 109.465506 111.482705) - (xy 109.471936 111.485981) - (xy 109.573945 111.537957) - (xy 109.613065 111.544153) - (xy 109.686899 111.555847) - (xy 109.7 111.557922) - (xy 109.713102 111.555847) - (xy 109.786935 111.544153) - (xy 109.826055 111.537957) - (xy 109.928064 111.485981) - (xy 109.934494 111.482705) - (xy 109.934495 111.482704) - (xy 109.939771 111.480016) - (xy 110.030016 111.389771) - (xy 110.087957 111.276055) - (xy 110.107922 111.15) - (xy 114.338028 111.15) - (xy 114.358191 111.277306) - (xy 114.360878 111.282579) - (xy 114.412807 111.384494) - (xy 114.416708 111.392151) - (xy 114.507849 111.483292) - (xy 114.622694 111.541809) - (xy 114.75 111.561972) - (xy 114.877306 111.541809) - (xy 114.992151 111.483292) - (xy 115.083292 111.392151) - (xy 115.087194 111.384494) - (xy 115.139122 111.282579) - (xy 115.141809 111.277306) - (xy 115.161972 111.15) - (xy 122.138028 111.15) - (xy 122.158191 111.277306) - (xy 122.160878 111.282579) - (xy 122.212807 111.384494) - (xy 122.216708 111.392151) - (xy 122.307849 111.483292) - (xy 122.422694 111.541809) - (xy 122.55 111.561972) - (xy 122.677306 111.541809) - (xy 122.792151 111.483292) - (xy 122.883292 111.392151) - (xy 122.887194 111.384494) - (xy 122.939122 111.282579) - (xy 122.941809 111.277306) - (xy 122.961972 111.15) - (xy 122.941809 111.022694) - (xy 122.91033 110.960914) - (xy 122.885981 110.913126) - (xy 122.88598 110.913125) - (xy 122.883292 110.907849) - (xy 122.792151 110.816708) - (xy 122.677306 110.758191) - (xy 122.55 110.738028) - (xy 122.422694 110.758191) - (xy 122.307849 110.816708) - (xy 122.216708 110.907849) - (xy 122.21402 110.913125) - (xy 122.214019 110.913126) - (xy 122.18967 110.960914) - (xy 122.158191 111.022694) - (xy 122.138028 111.15) - (xy 115.161972 111.15) - (xy 115.141809 111.022694) - (xy 115.11033 110.960914) - (xy 115.085981 110.913126) - (xy 115.08598 110.913125) - (xy 115.083292 110.907849) - (xy 114.992151 110.816708) - (xy 114.877306 110.758191) - (xy 114.75 110.738028) - (xy 114.622694 110.758191) - (xy 114.507849 110.816708) - (xy 114.416708 110.907849) - (xy 114.41402 110.913125) - (xy 114.414019 110.913126) - (xy 114.38967 110.960914) - (xy 114.358191 111.022694) - (xy 114.338028 111.15) - (xy 110.107922 111.15) - (xy 110.099959 111.09972) - (xy 110.088883 111.029792) - (xy 110.087957 111.023945) - (xy 110.030016 110.910229) - (xy 109.939771 110.819984) - (xy 109.933342 110.816708) - (xy 109.853555 110.776055) - (xy 109.826055 110.762043) - (xy 109.7 110.742078) - (xy 109.573945 110.762043) - (xy 109.546445 110.776055) - (xy 109.466659 110.816708) - (xy 109.460229 110.819984) - (xy 109.369984 110.910229) - (xy 109.312043 111.023945) - (xy 109.311117 111.029792) - (xy 109.300042 111.09972) - (xy 109.292078 111.15) - (xy 105.719787 111.15) - (xy 105.639771 111.069984) - (xy 105.526055 111.012043) - (xy 105.4 110.992078) - (xy 105.273945 111.012043) - (xy 105.160229 111.069984) - (xy 105.069984 111.160229) - (xy 105.012043 111.273945) - (xy 104.992078 111.4) - (xy 101.375756 111.4) - (xy 101.330016 111.310229) - (xy 101.239771 111.219984) - (xy 101.22946 111.21473) - (xy 101.185002 111.192078) - (xy 101.126055 111.162043) - (xy 101.050018 111.15) - (xy 101.005847 111.143004) - (xy 101 111.142078) - (xy 100.994153 111.143004) - (xy 100.949982 111.15) - (xy 100.873945 111.162043) - (xy 100.814998 111.192078) - (xy 100.770541 111.21473) - (xy 100.760229 111.219984) - (xy 100.669984 111.310229) - (xy 100.612043 111.423945) - (xy 100.592078 111.55) - (xy 99.471404 111.55) - (xy 99.559233 111.526055) - (xy 99.581718 111.519925) - (xy 99.692273 111.452044) - (xy 99.695865 111.448076) - (xy 99.695867 111.448074) - (xy 99.742693 111.396341) - (xy 99.779332 111.355863) - (xy 99.835897 111.239112) - (xy 99.85742 111.111179) - (xy 99.857557 111.1) - (xy 99.857145 111.097122) - (xy 99.839925 110.976876) - (xy 99.839924 110.976873) - (xy 99.839166 110.971579) - (xy 99.810223 110.907922) - (xy 99.787689 110.858362) - (xy 99.787688 110.858361) - (xy 99.78547 110.853482) - (xy 99.777969 110.844776) - (xy 99.704282 110.759258) - (xy 99.704281 110.759257) - (xy 99.700787 110.755202) - (xy 99.695157 110.751553) - (xy 99.596416 110.687552) - (xy 99.596415 110.687551) - (xy 99.591923 110.68464) - (xy 99.549367 110.671913) - (xy 99.476095 110.65) - (xy 118.242078 110.65) - (xy 118.262043 110.776055) - (xy 118.319984 110.889771) - (xy 118.410229 110.980016) - (xy 118.523945 111.037957) - (xy 118.65 111.057922) - (xy 118.776055 111.037957) - (xy 118.889771 110.980016) - (xy 118.980016 110.889771) - (xy 119.037957 110.776055) - (xy 119.057922 110.65) - (xy 119.037957 110.523945) - (xy 118.980016 110.410229) - (xy 118.889771 110.319984) - (xy 118.776055 110.262043) - (xy 118.65 110.242078) - (xy 118.523945 110.262043) - (xy 118.410229 110.319984) - (xy 118.319984 110.410229) - (xy 118.262043 110.523945) - (xy 118.242078 110.65) - (xy 99.476095 110.65) - (xy 99.472765 110.649004) - (xy 99.472762 110.649004) - (xy 99.467631 110.647469) - (xy 99.462276 110.647436) - (xy 99.462274 110.647436) - (xy 99.404164 110.647081) - (xy 99.337902 110.646676) - (xy 99.213166 110.682326) - (xy 99.103448 110.751553) - (xy 99.01757 110.848791) - (xy 99.015294 110.853638) - (xy 99.015293 110.85364) - (xy 98.990692 110.906039) - (xy 98.962436 110.966223) - (xy 98.942477 111.09441) - (xy 92.856757 111.09441) - (xy 92.839925 110.976876) - (xy 92.839924 110.976873) - (xy 92.839166 110.971579) - (xy 92.810223 110.907922) - (xy 92.787689 110.858362) - (xy 92.787688 110.858361) - (xy 92.78547 110.853482) - (xy 92.777969 110.844776) - (xy 92.704282 110.759258) - (xy 92.704281 110.759257) - (xy 92.700787 110.755202) - (xy 92.695157 110.751553) - (xy 92.596416 110.687552) - (xy 92.596415 110.687551) - (xy 92.591923 110.68464) - (xy 92.549367 110.671913) - (xy 92.472765 110.649004) - (xy 92.472762 110.649004) - (xy 92.467631 110.647469) - (xy 92.462276 110.647436) - (xy 92.462274 110.647436) - (xy 92.404164 110.647081) - (xy 92.337902 110.646676) - (xy 92.213166 110.682326) - (xy 92.103448 110.751553) - (xy 92.01757 110.848791) - (xy 92.015294 110.853638) - (xy 92.015293 110.85364) - (xy 91.990692 110.906039) - (xy 91.962436 110.966223) - (xy 91.942477 111.09441) - (xy 46.101 111.09441) - (xy 46.101 110.5) - (xy 68.242078 110.5) - (xy 68.262043 110.626055) - (xy 68.319984 110.739771) - (xy 68.410229 110.830016) - (xy 68.415505 110.832704) - (xy 68.415506 110.832705) - (xy 68.456284 110.853482) - (xy 68.523945 110.887957) - (xy 68.65 110.907922) - (xy 68.776055 110.887957) - (xy 68.843716 110.853482) - (xy 68.884494 110.832705) - (xy 68.884495 110.832704) - (xy 68.889771 110.830016) - (xy 68.980016 110.739771) - (xy 69.025756 110.65) - (xy 71.642078 110.65) - (xy 71.662043 110.776055) - (xy 71.719984 110.889771) - (xy 71.810229 110.980016) - (xy 71.923945 111.037957) - (xy 72.05 111.057922) - (xy 72.176055 111.037957) - (xy 72.289771 110.980016) - (xy 72.380016 110.889771) - (xy 72.437957 110.776055) - (xy 72.457922 110.65) - (xy 72.437957 110.523945) - (xy 72.380016 110.410229) - (xy 72.289771 110.319984) - (xy 72.176055 110.262043) - (xy 72.05 110.242078) - (xy 71.923945 110.262043) - (xy 71.810229 110.319984) - (xy 71.719984 110.410229) - (xy 71.662043 110.523945) - (xy 71.642078 110.65) - (xy 69.025756 110.65) - (xy 69.037957 110.626055) - (xy 69.057922 110.5) - (xy 69.037957 110.373945) - (xy 68.99238 110.284494) - (xy 68.982705 110.265506) - (xy 68.982704 110.265505) - (xy 68.980016 110.260229) - (xy 68.889771 110.169984) - (xy 68.862026 110.155847) - (xy 68.836656 110.142921) - (xy 68.776055 110.112043) - (xy 68.700018 110.1) - (xy 68.655847 110.093004) - (xy 68.65 110.092078) - (xy 68.644153 110.093004) - (xy 68.599982 110.1) - (xy 68.523945 110.112043) - (xy 68.463344 110.142921) - (xy 68.437975 110.155847) - (xy 68.410229 110.169984) - (xy 68.319984 110.260229) - (xy 68.317296 110.265505) - (xy 68.317295 110.265506) - (xy 68.30762 110.284494) - (xy 68.262043 110.373945) - (xy 68.242078 110.5) - (xy 46.101 110.5) - (xy 46.101 110.05) - (xy 56.992078 110.05) - (xy 57.012043 110.176055) - (xy 57.069984 110.289771) - (xy 57.160229 110.380016) - (xy 57.165505 110.382704) - (xy 57.165506 110.382705) - (xy 57.211302 110.406039) - (xy 57.273945 110.437957) - (xy 57.4 110.457922) - (xy 57.526055 110.437957) - (xy 57.588698 110.406039) - (xy 57.634494 110.382705) - (xy 57.634495 110.382704) - (xy 57.639771 110.380016) - (xy 57.730016 110.289771) - (xy 57.787957 110.176055) - (xy 57.807922 110.05) - (xy 66.392078 110.05) - (xy 66.412043 110.176055) - (xy 66.469984 110.289771) - (xy 66.560229 110.380016) - (xy 66.565505 110.382704) - (xy 66.565506 110.382705) - (xy 66.611302 110.406039) - (xy 66.673945 110.437957) - (xy 66.8 110.457922) - (xy 66.926055 110.437957) - (xy 66.988698 110.406039) - (xy 67.034494 110.382705) - (xy 67.034495 110.382704) - (xy 67.039771 110.380016) - (xy 67.130016 110.289771) - (xy 67.187957 110.176055) - (xy 67.207922 110.05) - (xy 67.187957 109.923945) - (xy 67.14238 109.834494) - (xy 67.132705 109.815506) - (xy 67.132704 109.815505) - (xy 67.130016 109.810229) - (xy 67.119787 109.8) - (xy 85.992078 109.8) - (xy 86.012043 109.926055) - (xy 86.031052 109.963363) - (xy 86.059234 110.018672) - (xy 86.069984 110.039771) - (xy 86.160229 110.130016) - (xy 86.165505 110.132704) - (xy 86.165506 110.132705) - (xy 86.19945 110.15) - (xy 86.273945 110.187957) - (xy 86.279792 110.188883) - (xy 86.386899 110.205847) - (xy 86.4 110.207922) - (xy 86.413102 110.205847) - (xy 86.450018 110.2) - (xy 90.792078 110.2) - (xy 90.812043 110.326055) - (xy 90.81473 110.331328) - (xy 90.866384 110.432705) - (xy 90.869984 110.439771) - (xy 90.960229 110.530016) - (xy 90.965505 110.532704) - (xy 90.965506 110.532705) - (xy 91.01318 110.556996) - (xy 91.073945 110.587957) - (xy 91.2 110.607922) - (xy 91.326055 110.587957) - (xy 91.38682 110.556996) - (xy 91.434494 110.532705) - (xy 91.434495 110.532704) - (xy 91.439771 110.530016) - (xy 91.530016 110.439771) - (xy 91.533617 110.432705) - (xy 91.58527 110.331328) - (xy 91.587957 110.326055) - (xy 91.607922 110.2) - (xy 97.792078 110.2) - (xy 97.812043 110.326055) - (xy 97.81473 110.331328) - (xy 97.866384 110.432705) - (xy 97.869984 110.439771) - (xy 97.960229 110.530016) - (xy 97.965505 110.532704) - (xy 97.965506 110.532705) - (xy 98.01318 110.556996) - (xy 98.073945 110.587957) - (xy 98.2 110.607922) - (xy 98.326055 110.587957) - (xy 98.38682 110.556996) - (xy 98.434494 110.532705) - (xy 98.434495 110.532704) - (xy 98.439771 110.530016) - (xy 98.530016 110.439771) - (xy 98.533617 110.432705) - (xy 98.58527 110.331328) - (xy 98.587957 110.326055) - (xy 98.607922 110.2) - (xy 98.592084 110.1) - (xy 104.842078 110.1) - (xy 104.862043 110.226055) - (xy 104.919984 110.339771) - (xy 105.010229 110.430016) - (xy 105.015505 110.432704) - (xy 105.015506 110.432705) - (xy 105.06318 110.456996) - (xy 105.123945 110.487957) - (xy 105.129792 110.488883) - (xy 105.236899 110.505847) - (xy 105.25 110.507922) - (xy 105.263102 110.505847) - (xy 105.370208 110.488883) - (xy 105.376055 110.487957) - (xy 105.43682 110.456996) - (xy 105.484494 110.432705) - (xy 105.484495 110.432704) - (xy 105.489771 110.430016) - (xy 105.580016 110.339771) - (xy 105.637957 110.226055) - (xy 105.650003 110.15) - (xy 109.292078 110.15) - (xy 109.312043 110.276055) - (xy 109.31473 110.281328) - (xy 109.366384 110.382705) - (xy 109.369984 110.389771) - (xy 109.460229 110.480016) - (xy 109.465505 110.482704) - (xy 109.465506 110.482705) - (xy 109.49945 110.5) - (xy 109.573945 110.537957) - (xy 109.7 110.557922) - (xy 109.826055 110.537957) - (xy 109.90055 110.5) - (xy 109.934494 110.482705) - (xy 109.934495 110.482704) - (xy 109.939771 110.480016) - (xy 110.030016 110.389771) - (xy 110.033617 110.382705) - (xy 110.08527 110.281328) - (xy 110.087957 110.276055) - (xy 110.107922 110.15) - (xy 114.342078 110.15) - (xy 114.362043 110.276055) - (xy 114.36473 110.281328) - (xy 114.416384 110.382705) - (xy 114.419984 110.389771) - (xy 114.510229 110.480016) - (xy 114.515505 110.482704) - (xy 114.515506 110.482705) - (xy 114.54945 110.5) - (xy 114.623945 110.537957) - (xy 114.75 110.557922) - (xy 114.876055 110.537957) - (xy 114.95055 110.5) - (xy 114.984494 110.482705) - (xy 114.984495 110.482704) - (xy 114.989771 110.480016) - (xy 115.080016 110.389771) - (xy 115.083617 110.382705) - (xy 115.13527 110.281328) - (xy 115.137957 110.276055) - (xy 115.157922 110.15) - (xy 122.142078 110.15) - (xy 122.162043 110.276055) - (xy 122.16473 110.281328) - (xy 122.216384 110.382705) - (xy 122.219984 110.389771) - (xy 122.310229 110.480016) - (xy 122.315505 110.482704) - (xy 122.315506 110.482705) - (xy 122.34945 110.5) - (xy 122.423945 110.537957) - (xy 122.55 110.557922) - (xy 122.676055 110.537957) - (xy 122.75055 110.5) - (xy 122.784494 110.482705) - (xy 122.784495 110.482704) - (xy 122.789771 110.480016) - (xy 122.880016 110.389771) - (xy 122.883617 110.382705) - (xy 122.93527 110.281328) - (xy 122.937957 110.276055) - (xy 122.957922 110.15) - (xy 122.937957 110.023945) - (xy 122.891468 109.932705) - (xy 122.882705 109.915506) - (xy 122.882704 109.915505) - (xy 122.880016 109.910229) - (xy 122.789771 109.819984) - (xy 122.780983 109.815506) - (xy 122.721641 109.78527) - (xy 122.676055 109.762043) - (xy 122.55 109.742078) - (xy 122.423945 109.762043) - (xy 122.378359 109.78527) - (xy 122.319018 109.815506) - (xy 122.310229 109.819984) - (xy 122.219984 109.910229) - (xy 122.217296 109.915505) - (xy 122.217295 109.915506) - (xy 122.208532 109.932705) - (xy 122.162043 110.023945) - (xy 122.142078 110.15) - (xy 115.157922 110.15) - (xy 115.137957 110.023945) - (xy 115.091468 109.932705) - (xy 115.082705 109.915506) - (xy 115.082704 109.915505) - (xy 115.080016 109.910229) - (xy 114.989771 109.819984) - (xy 114.980983 109.815506) - (xy 114.921641 109.78527) - (xy 114.876055 109.762043) - (xy 114.75 109.742078) - (xy 114.623945 109.762043) - (xy 114.578359 109.78527) - (xy 114.519018 109.815506) - (xy 114.510229 109.819984) - (xy 114.419984 109.910229) - (xy 114.417296 109.915505) - (xy 114.417295 109.915506) - (xy 114.408532 109.932705) - (xy 114.362043 110.023945) - (xy 114.342078 110.15) - (xy 110.107922 110.15) - (xy 110.087957 110.023945) - (xy 110.041468 109.932705) - (xy 110.032705 109.915506) - (xy 110.032704 109.915505) - (xy 110.030016 109.910229) - (xy 109.939771 109.819984) - (xy 109.930983 109.815506) - (xy 109.871641 109.78527) - (xy 109.826055 109.762043) - (xy 109.7 109.742078) - (xy 109.573945 109.762043) - (xy 109.528359 109.78527) - (xy 109.469018 109.815506) - (xy 109.460229 109.819984) - (xy 109.369984 109.910229) - (xy 109.367296 109.915505) - (xy 109.367295 109.915506) - (xy 109.358532 109.932705) - (xy 109.312043 110.023945) - (xy 109.292078 110.15) - (xy 105.650003 110.15) - (xy 105.657922 110.1) - (xy 105.637957 109.973945) - (xy 105.588498 109.876875) - (xy 105.582705 109.865506) - (xy 105.582704 109.865505) - (xy 105.580016 109.860229) - (xy 105.489771 109.769984) - (xy 105.47946 109.76473) - (xy 105.435002 109.742078) - (xy 105.376055 109.712043) - (xy 105.25 109.692078) - (xy 105.123945 109.712043) - (xy 105.064998 109.742078) - (xy 105.020541 109.76473) - (xy 105.010229 109.769984) - (xy 104.919984 109.860229) - (xy 104.917296 109.865505) - (xy 104.917295 109.865506) - (xy 104.911502 109.876875) - (xy 104.862043 109.973945) - (xy 104.842078 110.1) - (xy 98.592084 110.1) - (xy 98.587957 110.073945) - (xy 98.549334 109.998143) - (xy 98.532705 109.965506) - (xy 98.532704 109.965505) - (xy 98.530016 109.960229) - (xy 98.439771 109.869984) - (xy 98.430983 109.865506) - (xy 98.370118 109.834494) - (xy 98.326055 109.812043) - (xy 98.250018 109.8) - (xy 98.205847 109.793004) - (xy 98.2 109.792078) - (xy 98.194153 109.793004) - (xy 98.149982 109.8) - (xy 98.073945 109.812043) - (xy 98.029882 109.834494) - (xy 97.969018 109.865506) - (xy 97.960229 109.869984) - (xy 97.869984 109.960229) - (xy 97.867296 109.965505) - (xy 97.867295 109.965506) - (xy 97.850666 109.998143) - (xy 97.812043 110.073945) - (xy 97.792078 110.2) - (xy 91.607922 110.2) - (xy 91.587957 110.073945) - (xy 91.549334 109.998143) - (xy 91.532705 109.965506) - (xy 91.532704 109.965505) - (xy 91.530016 109.960229) - (xy 91.439771 109.869984) - (xy 91.430983 109.865506) - (xy 91.370118 109.834494) - (xy 91.326055 109.812043) - (xy 91.250018 109.8) - (xy 91.205847 109.793004) - (xy 91.2 109.792078) - (xy 91.194153 109.793004) - (xy 91.149982 109.8) - (xy 91.073945 109.812043) - (xy 91.029882 109.834494) - (xy 90.969018 109.865506) - (xy 90.960229 109.869984) - (xy 90.869984 109.960229) - (xy 90.867296 109.965505) - (xy 90.867295 109.965506) - (xy 90.850666 109.998143) - (xy 90.812043 110.073945) - (xy 90.792078 110.2) - (xy 86.450018 110.2) - (xy 86.520208 110.188883) - (xy 86.526055 110.187957) - (xy 86.60055 110.15) - (xy 86.634494 110.132705) - (xy 86.634495 110.132704) - (xy 86.639771 110.130016) - (xy 86.730016 110.039771) - (xy 86.740767 110.018672) - (xy 86.768948 109.963363) - (xy 86.787957 109.926055) - (xy 86.807922 109.8) - (xy 86.787957 109.673945) - (xy 86.741468 109.582705) - (xy 86.732705 109.565506) - (xy 86.732704 109.565505) - (xy 86.730016 109.560229) - (xy 86.639771 109.469984) - (xy 86.612026 109.455847) - (xy 86.570118 109.434494) - (xy 86.526055 109.412043) - (xy 86.450018 109.4) - (xy 101.842078 109.4) - (xy 101.862043 109.526055) - (xy 101.882144 109.565506) - (xy 101.917293 109.634489) - (xy 101.919984 109.639771) - (xy 102.010229 109.730016) - (xy 102.123945 109.787957) - (xy 102.129792 109.788883) - (xy 102.236899 109.805847) - (xy 102.25 109.807922) - (xy 102.263102 109.805847) - (xy 102.370208 109.788883) - (xy 102.376055 109.787957) - (xy 102.489771 109.730016) - (xy 102.580016 109.639771) - (xy 102.582708 109.634489) - (xy 102.617856 109.565506) - (xy 102.637957 109.526055) - (xy 102.657922 109.4) - (xy 102.637957 109.273945) - (xy 102.625756 109.25) - (xy 108.542078 109.25) - (xy 108.543004 109.255847) - (xy 108.54587 109.273945) - (xy 108.562043 109.376055) - (xy 108.574244 109.4) - (xy 108.609234 109.468672) - (xy 108.619984 109.489771) - (xy 108.710229 109.580016) - (xy 108.715505 109.582704) - (xy 108.715506 109.582705) - (xy 108.760925 109.605847) - (xy 108.823945 109.637957) - (xy 108.95 109.657922) - (xy 109.076055 109.637957) - (xy 109.139075 109.605847) - (xy 109.184494 109.582705) - (xy 109.184495 109.582704) - (xy 109.189771 109.580016) - (xy 109.280016 109.489771) - (xy 109.290767 109.468672) - (xy 109.300281 109.45) - (xy 122.842078 109.45) - (xy 122.862043 109.576055) - (xy 122.871774 109.595153) - (xy 122.909234 109.668672) - (xy 122.919984 109.689771) - (xy 123.010229 109.780016) - (xy 123.015505 109.782704) - (xy 123.015506 109.782705) - (xy 123.04945 109.8) - (xy 123.123945 109.837957) - (xy 123.25 109.857922) - (xy 123.376055 109.837957) - (xy 123.45055 109.8) - (xy 123.484494 109.782705) - (xy 123.484495 109.782704) - (xy 123.489771 109.780016) - (xy 123.580016 109.689771) - (xy 123.590767 109.668672) - (xy 123.628226 109.595153) - (xy 123.637957 109.576055) - (xy 123.650003 109.5) - (xy 125.911493 109.5) - (xy 125.929842 109.639376) - (xy 125.931727 109.643928) - (xy 125.931728 109.64393) - (xy 125.952452 109.693961) - (xy 125.983639 109.769253) - (xy 126.011866 109.806039) - (xy 126.064148 109.874174) - (xy 126.069218 109.880782) - (xy 126.180746 109.966361) - (xy 126.226397 109.98527) - (xy 126.30607 110.018272) - (xy 126.306072 110.018273) - (xy 126.310624 110.020158) - (xy 126.45 110.038507) - (xy 126.589376 110.020158) - (xy 126.593928 110.018273) - (xy 126.59393 110.018272) - (xy 126.673603 109.98527) - (xy 126.719254 109.966361) - (xy 126.830782 109.880782) - (xy 126.835853 109.874174) - (xy 126.888134 109.806039) - (xy 126.916361 109.769253) - (xy 126.947548 109.693961) - (xy 126.968272 109.64393) - (xy 126.968273 109.643928) - (xy 126.970158 109.639376) - (xy 126.988507 109.5) - (xy 126.970158 109.360624) - (xy 126.961521 109.339771) - (xy 126.933028 109.270984) - (xy 126.916361 109.230747) - (xy 126.830782 109.119218) - (xy 126.719254 109.033639) - (xy 126.661846 109.00986) - (xy 126.59393 108.981728) - (xy 126.593928 108.981727) - (xy 126.589376 108.979842) - (xy 126.45 108.961493) - (xy 126.310624 108.979842) - (xy 126.306072 108.981727) - (xy 126.30607 108.981728) - (xy 126.270792 108.996341) - (xy 126.180747 109.033639) - (xy 126.069218 109.119218) - (xy 125.983639 109.230747) - (xy 125.966972 109.270984) - (xy 125.93848 109.339771) - (xy 125.929842 109.360624) - (xy 125.911493 109.5) - (xy 123.650003 109.5) - (xy 123.657922 109.45) - (xy 123.637957 109.323945) - (xy 123.592788 109.235296) - (xy 123.582705 109.215506) - (xy 123.582704 109.215505) - (xy 123.580016 109.210229) - (xy 123.489771 109.119984) - (xy 123.462026 109.105847) - (xy 123.423036 109.085981) - (xy 123.376055 109.062043) - (xy 123.287144 109.047961) - (xy 123.255847 109.043004) - (xy 123.25 109.042078) - (xy 123.244153 109.043004) - (xy 123.212856 109.047961) - (xy 123.123945 109.062043) - (xy 123.076964 109.085981) - (xy 123.037975 109.105847) - (xy 123.010229 109.119984) - (xy 122.919984 109.210229) - (xy 122.917296 109.215505) - (xy 122.917295 109.215506) - (xy 122.907212 109.235296) - (xy 122.862043 109.323945) - (xy 122.842078 109.45) - (xy 109.300281 109.45) - (xy 109.325756 109.4) - (xy 109.337957 109.376055) - (xy 109.35413 109.273945) - (xy 109.356996 109.255847) - (xy 109.357922 109.25) - (xy 109.351785 109.211249) - (xy 109.338883 109.129792) - (xy 109.337957 109.123945) - (xy 109.299241 109.047961) - (xy 109.282705 109.015506) - (xy 109.282704 109.015505) - (xy 109.280016 109.010229) - (xy 109.189771 108.919984) - (xy 109.171798 108.910826) - (xy 109.120118 108.884494) - (xy 109.076055 108.862043) - (xy 108.95 108.842078) - (xy 108.823945 108.862043) - (xy 108.779882 108.884494) - (xy 108.728203 108.910826) - (xy 108.710229 108.919984) - (xy 108.619984 109.010229) - (xy 108.617296 109.015505) - (xy 108.617295 109.015506) - (xy 108.600759 109.047961) - (xy 108.562043 109.123945) - (xy 108.561117 109.129792) - (xy 108.548216 109.211249) - (xy 108.542078 109.25) - (xy 102.625756 109.25) - (xy 102.580016 109.160229) - (xy 102.489771 109.069984) - (xy 102.47946 109.06473) - (xy 102.426914 109.037957) - (xy 102.376055 109.012043) - (xy 102.25 108.992078) - (xy 102.123945 109.012043) - (xy 102.073086 109.037957) - (xy 102.020541 109.06473) - (xy 102.010229 109.069984) - (xy 101.919984 109.160229) - (xy 101.862043 109.273945) - (xy 101.842078 109.4) - (xy 86.450018 109.4) - (xy 86.405847 109.393004) - (xy 86.4 109.392078) - (xy 86.394153 109.393004) - (xy 86.349982 109.4) - (xy 86.273945 109.412043) - (xy 86.229882 109.434494) - (xy 86.187975 109.455847) - (xy 86.160229 109.469984) - (xy 86.069984 109.560229) - (xy 86.067296 109.565505) - (xy 86.067295 109.565506) - (xy 86.058532 109.582705) - (xy 86.012043 109.673945) - (xy 85.992078 109.8) - (xy 67.119787 109.8) - (xy 67.039771 109.719984) - (xy 67.02946 109.71473) - (xy 66.939065 109.668672) - (xy 66.926055 109.662043) - (xy 66.811889 109.643961) - (xy 66.805847 109.643004) - (xy 66.8 109.642078) - (xy 66.794153 109.643004) - (xy 66.788111 109.643961) - (xy 66.673945 109.662043) - (xy 66.660935 109.668672) - (xy 66.570541 109.71473) - (xy 66.560229 109.719984) - (xy 66.469984 109.810229) - (xy 66.467296 109.815505) - (xy 66.467295 109.815506) - (xy 66.45762 109.834494) - (xy 66.412043 109.923945) - (xy 66.392078 110.05) - (xy 57.807922 110.05) - (xy 57.787957 109.923945) - (xy 57.74238 109.834494) - (xy 57.732705 109.815506) - (xy 57.732704 109.815505) - (xy 57.730016 109.810229) - (xy 57.639771 109.719984) - (xy 57.62946 109.71473) - (xy 57.539065 109.668672) - (xy 57.526055 109.662043) - (xy 57.411889 109.643961) - (xy 57.405847 109.643004) - (xy 57.4 109.642078) - (xy 57.394153 109.643004) - (xy 57.388111 109.643961) - (xy 57.273945 109.662043) - (xy 57.260935 109.668672) - (xy 57.170541 109.71473) - (xy 57.160229 109.719984) - (xy 57.069984 109.810229) - (xy 57.067296 109.815505) - (xy 57.067295 109.815506) - (xy 57.05762 109.834494) - (xy 57.012043 109.923945) - (xy 56.992078 110.05) - (xy 46.101 110.05) - (xy 46.101 110.046481) - (xy 46.118593 109.998143) - (xy 46.163142 109.972423) - (xy 46.210341 109.979478) - (xy 46.221709 109.98527) - (xy 46.228945 109.988957) - (xy 46.234792 109.989883) - (xy 46.34284 110.006996) - (xy 46.355 110.008922) - (xy 46.367161 110.006996) - (xy 46.475208 109.989883) - (xy 46.481055 109.988957) - (xy 46.594771 109.931016) - (xy 46.685016 109.840771) - (xy 46.688215 109.834494) - (xy 46.72513 109.762043) - (xy 46.742957 109.727055) - (xy 46.762922 109.601) - (xy 51.027078 109.601) - (xy 51.047043 109.727055) - (xy 51.06487 109.762043) - (xy 51.101786 109.834494) - (xy 51.104984 109.840771) - (xy 51.195229 109.931016) - (xy 51.308945 109.988957) - (xy 51.314792 109.989883) - (xy 51.42284 110.006996) - (xy 51.435 110.008922) - (xy 51.447161 110.006996) - (xy 51.555208 109.989883) - (xy 51.561055 109.988957) - (xy 51.674771 109.931016) - (xy 51.765016 109.840771) - (xy 51.768215 109.834494) - (xy 51.80513 109.762043) - (xy 51.822957 109.727055) - (xy 51.842922 109.601) - (xy 51.842764 109.6) - (xy 55.992078 109.6) - (xy 56.012043 109.726055) - (xy 56.069984 109.839771) - (xy 56.160229 109.930016) - (xy 56.273945 109.987957) - (xy 56.4 110.007922) - (xy 56.526055 109.987957) - (xy 56.639771 109.930016) - (xy 56.730016 109.839771) - (xy 56.787957 109.726055) - (xy 56.807922 109.6) - (xy 56.787957 109.473945) - (xy 56.746244 109.392078) - (xy 56.732705 109.365506) - (xy 56.732704 109.365505) - (xy 56.730016 109.360229) - (xy 56.639771 109.269984) - (xy 56.612026 109.255847) - (xy 56.546621 109.222522) - (xy 56.526055 109.212043) - (xy 56.42756 109.196443) - (xy 56.405847 109.193004) - (xy 56.4 109.192078) - (xy 56.394153 109.193004) - (xy 56.37244 109.196443) - (xy 56.273945 109.212043) - (xy 56.253379 109.222522) - (xy 56.187975 109.255847) - (xy 56.160229 109.269984) - (xy 56.069984 109.360229) - (xy 56.067296 109.365505) - (xy 56.067295 109.365506) - (xy 56.053756 109.392078) - (xy 56.012043 109.473945) - (xy 55.992078 109.6) - (xy 51.842764 109.6) - (xy 51.839599 109.580016) - (xy 51.825305 109.489771) - (xy 51.822957 109.474945) - (xy 51.765016 109.361229) - (xy 51.674771 109.270984) - (xy 51.633588 109.25) - (xy 51.588133 109.22684) - (xy 51.561055 109.213043) - (xy 51.478704 109.2) - (xy 51.440847 109.194004) - (xy 51.435 109.193078) - (xy 51.429153 109.194004) - (xy 51.391296 109.2) - (xy 51.308945 109.213043) - (xy 51.281867 109.22684) - (xy 51.236413 109.25) - (xy 51.195229 109.270984) - (xy 51.104984 109.361229) - (xy 51.047043 109.474945) - (xy 51.044695 109.489771) - (xy 51.030402 109.580016) - (xy 51.027078 109.601) - (xy 46.762922 109.601) - (xy 46.759599 109.580016) - (xy 46.745305 109.489771) - (xy 46.742957 109.474945) - (xy 46.685016 109.361229) - (xy 46.594771 109.270984) - (xy 46.553588 109.25) - (xy 46.508133 109.22684) - (xy 46.481055 109.213043) - (xy 46.398704 109.2) - (xy 46.360847 109.194004) - (xy 46.355 109.193078) - (xy 46.349153 109.194004) - (xy 46.311296 109.2) - (xy 46.228945 109.213043) - (xy 46.22367 109.215731) - (xy 46.223669 109.215731) - (xy 46.210341 109.222522) - (xy 46.159285 109.228792) - (xy 46.116143 109.200776) - (xy 46.101 109.155519) - (xy 46.101 108.18993) - (xy 60.587345 108.18993) - (xy 60.587653 108.193598) - (xy 60.587653 108.193601) - (xy 60.6017 108.360878) - (xy 60.603803 108.385919) - (xy 60.658015 108.574979) - (xy 60.747916 108.749908) - (xy 60.870083 108.904044) - (xy 60.872877 108.906422) - (xy 60.872878 108.906423) - (xy 60.95839 108.979199) - (xy 61.019862 109.031516) - (xy 61.023063 109.033305) - (xy 61.023066 109.033307) - (xy 61.061656 109.054874) - (xy 61.191547 109.127467) - (xy 61.195044 109.128603) - (xy 61.195048 109.128605) - (xy 61.277882 109.155519) - (xy 61.3786 109.188244) - (xy 61.477187 109.2) - (xy 61.570237 109.211096) - (xy 61.570239 109.211096) - (xy 61.573895 109.211532) - (xy 61.769994 109.196443) - (xy 61.916567 109.155519) - (xy 61.955883 109.144542) - (xy 61.955885 109.144541) - (xy 61.959428 109.143552) - (xy 62.057222 109.094153) - (xy 62.131697 109.056533) - (xy 62.131698 109.056532) - (xy 62.134981 109.054874) - (xy 62.289966 108.933786) - (xy 62.374625 108.835708) - (xy 63.1321 108.835708) - (xy 63.132562 108.838624) - (xy 63.132562 108.838626) - (xy 63.137103 108.867295) - (xy 63.147043 108.930055) - (xy 63.168903 108.972957) - (xy 63.202022 109.037957) - (xy 63.204984 109.043771) - (xy 63.295229 109.134016) - (xy 63.300505 109.136704) - (xy 63.300506 109.136705) - (xy 63.337431 109.155519) - (xy 63.408945 109.191957) - (xy 63.42281 109.194153) - (xy 63.500374 109.206438) - (xy 63.500376 109.206438) - (xy 63.503292 109.2069) - (xy 64.766708 109.2069) - (xy 64.769624 109.206438) - (xy 64.769626 109.206438) - (xy 64.84719 109.194153) - (xy 64.861055 109.191957) - (xy 64.932569 109.155519) - (xy 64.969494 109.136705) - (xy 64.969495 109.136704) - (xy 64.974771 109.134016) - (xy 65.008787 109.1) - (xy 68.242078 109.1) - (xy 68.243004 109.105847) - (xy 68.248976 109.143552) - (xy 68.262043 109.226055) - (xy 68.274244 109.25) - (xy 68.309234 109.318672) - (xy 68.319984 109.339771) - (xy 68.410229 109.430016) - (xy 68.415505 109.432704) - (xy 68.415506 109.432705) - (xy 68.44945 109.45) - (xy 68.523945 109.487957) - (xy 68.586973 109.49794) - (xy 68.630819 109.504884) - (xy 68.65 109.507922) - (xy 68.669182 109.504884) - (xy 68.713027 109.49794) - (xy 68.776055 109.487957) - (xy 68.85055 109.45) - (xy 68.884494 109.432705) - (xy 68.884495 109.432704) - (xy 68.889771 109.430016) - (xy 68.980016 109.339771) - (xy 68.990767 109.318672) - (xy 69.025756 109.25) - (xy 69.037957 109.226055) - (xy 69.042084 109.2) - (xy 81.792078 109.2) - (xy 81.793004 109.205847) - (xy 81.795279 109.220208) - (xy 81.812043 109.326055) - (xy 81.829657 109.360624) - (xy 81.866384 109.432705) - (xy 81.869984 109.439771) - (xy 81.960229 109.530016) - (xy 82.073945 109.587957) - (xy 82.079792 109.588883) - (xy 82.193213 109.606847) - (xy 82.2 109.607922) - (xy 82.206788 109.606847) - (xy 82.320208 109.588883) - (xy 82.326055 109.587957) - (xy 82.439771 109.530016) - (xy 82.530016 109.439771) - (xy 82.533617 109.432705) - (xy 82.570343 109.360624) - (xy 82.587957 109.326055) - (xy 82.604721 109.220208) - (xy 82.606996 109.205847) - (xy 82.607922 109.2) - (xy 82.792078 109.2) - (xy 82.793004 109.205847) - (xy 82.795279 109.220208) - (xy 82.812043 109.326055) - (xy 82.829657 109.360624) - (xy 82.866384 109.432705) - (xy 82.869984 109.439771) - (xy 82.960229 109.530016) - (xy 83.073945 109.587957) - (xy 83.079792 109.588883) - (xy 83.193213 109.606847) - (xy 83.2 109.607922) - (xy 83.206788 109.606847) - (xy 83.320208 109.588883) - (xy 83.326055 109.587957) - (xy 83.439771 109.530016) - (xy 83.530016 109.439771) - (xy 83.533617 109.432705) - (xy 83.570343 109.360624) - (xy 83.587957 109.326055) - (xy 83.604721 109.220208) - (xy 83.606996 109.205847) - (xy 83.607922 109.2) - (xy 83.587957 109.073945) - (xy 83.530016 108.960229) - (xy 83.439771 108.869984) - (xy 83.430983 108.865506) - (xy 83.366703 108.832754) - (xy 83.326055 108.812043) - (xy 83.2 108.792078) - (xy 83.073945 108.812043) - (xy 83.033297 108.832754) - (xy 82.969018 108.865506) - (xy 82.960229 108.869984) - (xy 82.869984 108.960229) - (xy 82.812043 109.073945) - (xy 82.792078 109.2) - (xy 82.607922 109.2) - (xy 82.587957 109.073945) - (xy 82.530016 108.960229) - (xy 82.439771 108.869984) - (xy 82.430983 108.865506) - (xy 82.366703 108.832754) - (xy 82.326055 108.812043) - (xy 82.2 108.792078) - (xy 82.073945 108.812043) - (xy 82.033297 108.832754) - (xy 81.969018 108.865506) - (xy 81.960229 108.869984) - (xy 81.869984 108.960229) - (xy 81.812043 109.073945) - (xy 81.792078 109.2) - (xy 69.042084 109.2) - (xy 69.051024 109.143552) - (xy 69.056996 109.105847) - (xy 69.057922 109.1) - (xy 69.037957 108.973945) - (xy 68.991404 108.882579) - (xy 68.982705 108.865506) - (xy 68.982704 108.865505) - (xy 68.980016 108.860229) - (xy 68.889771 108.769984) - (xy 68.862026 108.755847) - (xy 68.836656 108.742921) - (xy 68.776055 108.712043) - (xy 68.713028 108.702061) - (xy 68.655847 108.693004) - (xy 68.65 108.692078) - (xy 68.644153 108.693004) - (xy 68.586972 108.702061) - (xy 68.523945 108.712043) - (xy 68.463344 108.742921) - (xy 68.437975 108.755847) - (xy 68.410229 108.769984) - (xy 68.319984 108.860229) - (xy 68.317296 108.865505) - (xy 68.317295 108.865506) - (xy 68.308596 108.882579) - (xy 68.262043 108.973945) - (xy 68.242078 109.1) - (xy 65.008787 109.1) - (xy 65.065016 109.043771) - (xy 65.067979 109.037957) - (xy 65.101097 108.972957) - (xy 65.122957 108.930055) - (xy 65.132897 108.867295) - (xy 65.137438 108.838626) - (xy 65.137438 108.838624) - (xy 65.1379 108.835708) - (xy 65.1379 108.65) - (xy 79.392078 108.65) - (xy 79.412043 108.776055) - (xy 79.442438 108.835708) - (xy 79.466384 108.882705) - (xy 79.469984 108.889771) - (xy 79.560229 108.980016) - (xy 79.565505 108.982704) - (xy 79.565506 108.982705) - (xy 79.592269 108.996341) - (xy 79.673945 109.037957) - (xy 79.736973 109.04794) - (xy 79.780756 109.054874) - (xy 79.8 109.057922) - (xy 79.819245 109.054874) - (xy 79.863027 109.04794) - (xy 79.926055 109.037957) - (xy 80.007731 108.996341) - (xy 80.034494 108.982705) - (xy 80.034495 108.982704) - (xy 80.039771 108.980016) - (xy 80.130016 108.889771) - (xy 80.133617 108.882705) - (xy 80.157562 108.835708) - (xy 80.187957 108.776055) - (xy 80.207922 108.65) - (xy 80.187957 108.523945) - (xy 80.144904 108.439449) - (xy 80.132705 108.415506) - (xy 80.132704 108.415505) - (xy 80.130016 108.410229) - (xy 80.039771 108.319984) - (xy 80.030983 108.315506) - (xy 80.000551 108.3) - (xy 82.292078 108.3) - (xy 82.293004 108.305847) - (xy 82.300077 108.350506) - (xy 82.312043 108.426055) - (xy 82.340546 108.481996) - (xy 82.359234 108.518672) - (xy 82.369984 108.539771) - (xy 82.460229 108.630016) - (xy 82.465505 108.632704) - (xy 82.465506 108.632705) - (xy 82.49945 108.65) - (xy 82.573945 108.687957) - (xy 82.7 108.707922) - (xy 82.826055 108.687957) - (xy 82.90055 108.65) - (xy 82.934494 108.632705) - (xy 82.934495 108.632704) - (xy 82.939771 108.630016) - (xy 83.030016 108.539771) - (xy 83.040767 108.518672) - (xy 83.059454 108.481996) - (xy 83.087957 108.426055) - (xy 83.092084 108.4) - (xy 83.742078 108.4) - (xy 83.762043 108.526055) - (xy 83.774244 108.55) - (xy 83.816384 108.632705) - (xy 83.819984 108.639771) - (xy 83.910229 108.730016) - (xy 83.915505 108.732704) - (xy 83.915506 108.732705) - (xy 83.949269 108.749908) - (xy 84.023945 108.787957) - (xy 84.15 108.807922) - (xy 84.276055 108.787957) - (xy 84.350731 108.749908) - (xy 84.384494 108.732705) - (xy 84.384495 108.732704) - (xy 84.389771 108.730016) - (xy 84.480016 108.639771) - (xy 84.483617 108.632705) - (xy 84.525756 108.55) - (xy 85.042078 108.55) - (xy 85.062043 108.676055) - (xy 85.070207 108.692078) - (xy 85.114985 108.779959) - (xy 85.119984 108.789771) - (xy 85.210229 108.880016) - (xy 85.215505 108.882704) - (xy 85.215506 108.882705) - (xy 85.251738 108.901166) - (xy 85.323945 108.937957) - (xy 85.45 108.957922) - (xy 85.576055 108.937957) - (xy 85.648262 108.901166) - (xy 85.684494 108.882705) - (xy 85.684495 108.882704) - (xy 85.689771 108.880016) - (xy 85.780016 108.789771) - (xy 85.785016 108.779959) - (xy 85.800281 108.75) - (xy 114.342078 108.75) - (xy 114.362043 108.876055) - (xy 114.366343 108.884494) - (xy 114.416384 108.982705) - (xy 114.419984 108.989771) - (xy 114.510229 109.080016) - (xy 114.515505 109.082704) - (xy 114.515506 109.082705) - (xy 114.54945 109.1) - (xy 114.623945 109.137957) - (xy 114.75 109.157922) - (xy 114.876055 109.137957) - (xy 114.95055 109.1) - (xy 114.984494 109.082705) - (xy 114.984495 109.082704) - (xy 114.989771 109.080016) - (xy 115.080016 108.989771) - (xy 115.083617 108.982705) - (xy 115.133657 108.884494) - (xy 115.137957 108.876055) - (xy 115.157922 108.75) - (xy 122.138028 108.75) - (xy 122.158191 108.877306) - (xy 122.177405 108.915016) - (xy 122.212807 108.984494) - (xy 122.216708 108.992151) - (xy 122.307849 109.083292) - (xy 122.313125 109.08598) - (xy 122.313126 109.085981) - (xy 122.34064 109.1) - (xy 122.422694 109.141809) - (xy 122.55 109.161972) - (xy 122.677306 109.141809) - (xy 122.75936 109.1) - (xy 122.786874 109.085981) - (xy 122.786875 109.08598) - (xy 122.792151 109.083292) - (xy 122.883292 108.992151) - (xy 122.887194 108.984494) - (xy 122.922595 108.915016) - (xy 122.941809 108.877306) - (xy 122.961972 108.75) - (xy 122.941809 108.622694) - (xy 122.899557 108.539771) - (xy 122.885981 108.513126) - (xy 122.88598 108.513125) - (xy 122.883292 108.507849) - (xy 122.792151 108.416708) - (xy 122.779436 108.410229) - (xy 122.690139 108.36473) - (xy 122.677306 108.358191) - (xy 122.55 108.338028) - (xy 122.422694 108.358191) - (xy 122.409861 108.36473) - (xy 122.320565 108.410229) - (xy 122.307849 108.416708) - (xy 122.216708 108.507849) - (xy 122.21402 108.513125) - (xy 122.214019 108.513126) - (xy 122.200443 108.539771) - (xy 122.158191 108.622694) - (xy 122.138028 108.75) - (xy 115.157922 108.75) - (xy 115.137957 108.623945) - (xy 115.09238 108.534494) - (xy 115.082705 108.515506) - (xy 115.082704 108.515505) - (xy 115.080016 108.510229) - (xy 114.989771 108.419984) - (xy 114.983342 108.416708) - (xy 114.922914 108.385919) - (xy 114.876055 108.362043) - (xy 114.75 108.342078) - (xy 114.623945 108.362043) - (xy 114.577086 108.385919) - (xy 114.516659 108.416708) - (xy 114.510229 108.419984) - (xy 114.419984 108.510229) - (xy 114.417296 108.515505) - (xy 114.417295 108.515506) - (xy 114.40762 108.534494) - (xy 114.362043 108.623945) - (xy 114.342078 108.75) - (xy 85.800281 108.75) - (xy 85.829793 108.692078) - (xy 85.837957 108.676055) - (xy 85.857922 108.55) - (xy 85.837957 108.423945) - (xy 85.796244 108.342078) - (xy 85.782705 108.315506) - (xy 85.782704 108.315505) - (xy 85.780016 108.310229) - (xy 85.689771 108.219984) - (xy 85.663351 108.206522) - (xy 85.636656 108.192921) - (xy 85.576055 108.162043) - (xy 85.45 108.142078) - (xy 85.323945 108.162043) - (xy 85.263344 108.192921) - (xy 85.23665 108.206522) - (xy 85.210229 108.219984) - (xy 85.119984 108.310229) - (xy 85.117296 108.315505) - (xy 85.117295 108.315506) - (xy 85.103756 108.342078) - (xy 85.062043 108.423945) - (xy 85.042078 108.55) - (xy 84.525756 108.55) - (xy 84.537957 108.526055) - (xy 84.557922 108.4) - (xy 84.551154 108.357265) - (xy 84.539344 108.282705) - (xy 84.537957 108.273945) - (xy 84.494093 108.187857) - (xy 84.482705 108.165506) - (xy 84.482704 108.165505) - (xy 84.480016 108.160229) - (xy 84.389771 108.069984) - (xy 84.380983 108.065506) - (xy 84.303555 108.026055) - (xy 84.276055 108.012043) - (xy 84.213028 108.002061) - (xy 84.155847 107.993004) - (xy 84.15 107.992078) - (xy 84.144153 107.993004) - (xy 84.086972 108.002061) - (xy 84.023945 108.012043) - (xy 83.996445 108.026055) - (xy 83.919018 108.065506) - (xy 83.910229 108.069984) - (xy 83.819984 108.160229) - (xy 83.817296 108.165505) - (xy 83.817295 108.165506) - (xy 83.805907 108.187857) - (xy 83.762043 108.273945) - (xy 83.760656 108.282705) - (xy 83.748847 108.357265) - (xy 83.742078 108.4) - (xy 83.092084 108.4) - (xy 83.099923 108.350506) - (xy 83.106996 108.305847) - (xy 83.107922 108.3) - (xy 83.087957 108.173945) - (xy 83.041468 108.082705) - (xy 83.032705 108.065506) - (xy 83.032704 108.065505) - (xy 83.030016 108.060229) - (xy 82.939771 107.969984) - (xy 82.912026 107.955847) - (xy 82.862267 107.930494) - (xy 82.826055 107.912043) - (xy 82.750018 107.9) - (xy 90.742078 107.9) - (xy 90.743004 107.905847) - (xy 90.748407 107.939961) - (xy 90.762043 108.026055) - (xy 90.819984 108.139771) - (xy 90.910229 108.230016) - (xy 90.915505 108.232704) - (xy 90.915506 108.232705) - (xy 90.933902 108.242078) - (xy 91.023945 108.287957) - (xy 91.086973 108.29794) - (xy 91.136899 108.305847) - (xy 91.15 108.307922) - (xy 91.163102 108.305847) - (xy 91.213027 108.29794) - (xy 91.276055 108.287957) - (xy 91.366098 108.242078) - (xy 91.384494 108.232705) - (xy 91.384495 108.232704) - (xy 91.389771 108.230016) - (xy 91.480016 108.139771) - (xy 91.537957 108.026055) - (xy 91.551593 107.939961) - (xy 91.556996 107.905847) - (xy 91.557922 107.9) - (xy 97.742078 107.9) - (xy 97.743004 107.905847) - (xy 97.748407 107.939961) - (xy 97.762043 108.026055) - (xy 97.819984 108.139771) - (xy 97.910229 108.230016) - (xy 97.915505 108.232704) - (xy 97.915506 108.232705) - (xy 97.933902 108.242078) - (xy 98.023945 108.287957) - (xy 98.086973 108.29794) - (xy 98.136899 108.305847) - (xy 98.15 108.307922) - (xy 98.163102 108.305847) - (xy 98.213027 108.29794) - (xy 98.276055 108.287957) - (xy 98.366098 108.242078) - (xy 98.384494 108.232705) - (xy 98.384495 108.232704) - (xy 98.389771 108.230016) - (xy 98.480016 108.139771) - (xy 98.513018 108.075) - (xy 103.592078 108.075) - (xy 103.612043 108.201055) - (xy 103.632945 108.242078) - (xy 103.659479 108.294153) - (xy 103.669984 108.314771) - (xy 103.760229 108.405016) - (xy 103.765505 108.407704) - (xy 103.765506 108.407705) - (xy 103.803897 108.427266) - (xy 103.873945 108.462957) - (xy 104 108.482922) - (xy 104.126055 108.462957) - (xy 104.196103 108.427266) - (xy 104.234494 108.407705) - (xy 104.234495 108.407704) - (xy 104.239771 108.405016) - (xy 104.330016 108.314771) - (xy 104.340522 108.294153) - (xy 104.367055 108.242078) - (xy 104.387957 108.201055) - (xy 104.407922 108.075) - (xy 104.405071 108.056996) - (xy 104.397804 108.011117) - (xy 104.387957 107.948945) - (xy 104.330016 107.835229) - (xy 104.239771 107.744984) - (xy 104.126055 107.687043) - (xy 104 107.667078) - (xy 103.873945 107.687043) - (xy 103.760229 107.744984) - (xy 103.669984 107.835229) - (xy 103.612043 107.948945) - (xy 103.602196 108.011117) - (xy 103.59493 108.056996) - (xy 103.592078 108.075) - (xy 98.513018 108.075) - (xy 98.537957 108.026055) - (xy 98.551593 107.939961) - (xy 98.556996 107.905847) - (xy 98.557922 107.9) - (xy 98.537957 107.773945) - (xy 98.495263 107.690153) - (xy 98.482705 107.665506) - (xy 98.482704 107.665505) - (xy 98.480016 107.660229) - (xy 98.389771 107.569984) - (xy 98.37946 107.56473) - (xy 98.299414 107.523945) - (xy 98.276055 107.512043) - (xy 98.15 107.492078) - (xy 98.023945 107.512043) - (xy 98.000586 107.523945) - (xy 97.920541 107.56473) - (xy 97.910229 107.569984) - (xy 97.819984 107.660229) - (xy 97.817296 107.665505) - (xy 97.817295 107.665506) - (xy 97.804737 107.690153) - (xy 97.762043 107.773945) - (xy 97.742078 107.9) - (xy 91.557922 107.9) - (xy 91.537957 107.773945) - (xy 91.495263 107.690153) - (xy 91.482705 107.665506) - (xy 91.482704 107.665505) - (xy 91.480016 107.660229) - (xy 91.389771 107.569984) - (xy 91.37946 107.56473) - (xy 91.299414 107.523945) - (xy 91.276055 107.512043) - (xy 91.15 107.492078) - (xy 91.023945 107.512043) - (xy 91.000586 107.523945) - (xy 90.920541 107.56473) - (xy 90.910229 107.569984) - (xy 90.819984 107.660229) - (xy 90.817296 107.665505) - (xy 90.817295 107.665506) - (xy 90.804737 107.690153) - (xy 90.762043 107.773945) - (xy 90.742078 107.9) - (xy 82.750018 107.9) - (xy 82.705847 107.893004) - (xy 82.7 107.892078) - (xy 82.694153 107.893004) - (xy 82.649982 107.9) - (xy 82.573945 107.912043) - (xy 82.537733 107.930494) - (xy 82.487975 107.955847) - (xy 82.460229 107.969984) - (xy 82.369984 108.060229) - (xy 82.367296 108.065505) - (xy 82.367295 108.065506) - (xy 82.358532 108.082705) - (xy 82.312043 108.173945) - (xy 82.292078 108.3) - (xy 80.000551 108.3) - (xy 79.971641 108.28527) - (xy 79.926055 108.262043) - (xy 79.8 108.242078) - (xy 79.673945 108.262043) - (xy 79.628359 108.28527) - (xy 79.569018 108.315506) - (xy 79.560229 108.319984) - (xy 79.469984 108.410229) - (xy 79.467296 108.415505) - (xy 79.467295 108.415506) - (xy 79.455096 108.439449) - (xy 79.412043 108.523945) - (xy 79.392078 108.65) - (xy 65.1379 108.65) - (xy 65.1379 107.696) - (xy 65.378078 107.696) - (xy 65.398043 107.822055) - (xy 65.455984 107.935771) - (xy 65.546229 108.026016) - (xy 65.551505 108.028704) - (xy 65.551506 108.028705) - (xy 65.571482 108.038883) - (xy 65.659945 108.083957) - (xy 65.786 108.103922) - (xy 65.912055 108.083957) - (xy 66.000518 108.038883) - (xy 66.020494 108.028705) - (xy 66.020495 108.028704) - (xy 66.025771 108.026016) - (xy 66.116016 107.935771) - (xy 66.173957 107.822055) - (xy 66.193922 107.696) - (xy 66.188257 107.660229) - (xy 66.174883 107.575792) - (xy 66.173957 107.569945) - (xy 66.134016 107.491556) - (xy 66.118705 107.461506) - (xy 66.118704 107.461505) - (xy 66.116016 107.456229) - (xy 66.025771 107.365984) - (xy 66.018037 107.362043) - (xy 65.943714 107.324174) - (xy 65.912055 107.308043) - (xy 65.823144 107.293961) - (xy 65.791847 107.289004) - (xy 65.786 107.288078) - (xy 65.780153 107.289004) - (xy 65.748856 107.293961) - (xy 65.659945 107.308043) - (xy 65.628286 107.324174) - (xy 65.553964 107.362043) - (xy 65.546229 107.365984) - (xy 65.455984 107.456229) - (xy 65.453296 107.461505) - (xy 65.453295 107.461506) - (xy 65.437984 107.491556) - (xy 65.398043 107.569945) - (xy 65.397117 107.575792) - (xy 65.383744 107.660229) - (xy 65.378078 107.696) - (xy 65.1379 107.696) - (xy 65.1379 107.572292) - (xy 65.135483 107.557028) - (xy 65.123883 107.483792) - (xy 65.122957 107.477945) - (xy 65.07443 107.382705) - (xy 65.067705 107.369506) - (xy 65.067704 107.369505) - (xy 65.065016 107.364229) - (xy 64.974771 107.273984) - (xy 64.951336 107.262043) - (xy 64.9277 107.25) - (xy 77.592078 107.25) - (xy 77.612043 107.376055) - (xy 77.632144 107.415506) - (xy 77.666938 107.483792) - (xy 77.669984 107.489771) - (xy 77.760229 107.580016) - (xy 77.765505 107.582704) - (xy 77.765506 107.582705) - (xy 77.813344 107.607079) - (xy 77.873945 107.637957) - (xy 77.908772 107.643473) - (xy 77.986899 107.655847) - (xy 78 107.657922) - (xy 78.013102 107.655847) - (xy 78.050018 107.65) - (xy 79.642078 107.65) - (xy 79.643004 107.655847) - (xy 79.646168 107.675826) - (xy 79.662043 107.776055) - (xy 79.719984 107.889771) - (xy 79.810229 107.980016) - (xy 79.815505 107.982704) - (xy 79.815506 107.982705) - (xy 79.838608 107.994476) - (xy 79.923945 108.037957) - (xy 80.05 108.057922) - (xy 80.176055 108.037957) - (xy 80.261392 107.994476) - (xy 80.284494 107.982705) - (xy 80.284495 107.982704) - (xy 80.289771 107.980016) - (xy 80.380016 107.889771) - (xy 80.437957 107.776055) - (xy 80.453832 107.675826) - (xy 80.456996 107.655847) - (xy 80.457922 107.65) - (xy 80.437957 107.523945) - (xy 80.392775 107.43527) - (xy 80.382705 107.415506) - (xy 80.382704 107.415505) - (xy 80.380016 107.410229) - (xy 80.369787 107.4) - (xy 83.942078 107.4) - (xy 83.962043 107.526055) - (xy 83.978152 107.557671) - (xy 84.009234 107.618672) - (xy 84.019984 107.639771) - (xy 84.110229 107.730016) - (xy 84.115505 107.732704) - (xy 84.115506 107.732705) - (xy 84.139605 107.744984) - (xy 84.223945 107.787957) - (xy 84.35 107.807922) - (xy 84.476055 107.787957) - (xy 84.560395 107.744984) - (xy 84.584494 107.732705) - (xy 84.584495 107.732704) - (xy 84.589771 107.730016) - (xy 84.680016 107.639771) - (xy 84.690767 107.618672) - (xy 84.721848 107.557671) - (xy 84.737957 107.526055) - (xy 84.757922 107.4) - (xy 84.755836 107.386826) - (xy 84.739344 107.282705) - (xy 84.737957 107.273945) - (xy 84.700841 107.2011) - (xy 84.682705 107.165506) - (xy 84.682704 107.165505) - (xy 84.680016 107.160229) - (xy 84.589771 107.069984) - (xy 84.583615 107.066847) - (xy 84.503555 107.026055) - (xy 84.476055 107.012043) - (xy 84.400018 107) - (xy 84.355847 106.993004) - (xy 84.35 106.992078) - (xy 84.344153 106.993004) - (xy 84.299982 107) - (xy 84.223945 107.012043) - (xy 84.196445 107.026055) - (xy 84.116386 107.066847) - (xy 84.110229 107.069984) - (xy 84.019984 107.160229) - (xy 84.017296 107.165505) - (xy 84.017295 107.165506) - (xy 83.999159 107.2011) - (xy 83.962043 107.273945) - (xy 83.960656 107.282705) - (xy 83.944165 107.386826) - (xy 83.942078 107.4) - (xy 80.369787 107.4) - (xy 80.289771 107.319984) - (xy 80.266336 107.308043) - (xy 80.216681 107.282743) - (xy 80.176055 107.262043) - (xy 80.100018 107.25) - (xy 80.055847 107.243004) - (xy 80.05 107.242078) - (xy 80.044153 107.243004) - (xy 79.999982 107.25) - (xy 79.923945 107.262043) - (xy 79.833665 107.308043) - (xy 79.810229 107.319984) - (xy 79.719984 107.410229) - (xy 79.717296 107.415505) - (xy 79.717295 107.415506) - (xy 79.707225 107.43527) - (xy 79.662043 107.523945) - (xy 79.642078 107.65) - (xy 78.050018 107.65) - (xy 78.091228 107.643473) - (xy 78.126055 107.637957) - (xy 78.186656 107.607079) - (xy 78.234494 107.582705) - (xy 78.234495 107.582704) - (xy 78.239771 107.580016) - (xy 78.330016 107.489771) - (xy 78.333063 107.483792) - (xy 78.367856 107.415506) - (xy 78.387957 107.376055) - (xy 78.407922 107.25) - (xy 78.387957 107.123945) - (xy 78.347301 107.044153) - (xy 78.332705 107.015506) - (xy 78.332704 107.015505) - (xy 78.330016 107.010229) - (xy 78.239771 106.919984) - (xy 78.212026 106.905847) - (xy 78.20055 106.9) - (xy 84.842078 106.9) - (xy 84.862043 107.026055) - (xy 84.883056 107.067295) - (xy 84.909234 107.118672) - (xy 84.919984 107.139771) - (xy 85.010229 107.230016) - (xy 85.015505 107.232704) - (xy 85.015506 107.232705) - (xy 85.04945 107.25) - (xy 85.123945 107.287957) - (xy 85.25 107.307922) - (xy 85.376055 107.287957) - (xy 85.45055 107.25) - (xy 85.484494 107.232705) - (xy 85.484495 107.232704) - (xy 85.489771 107.230016) - (xy 85.580016 107.139771) - (xy 85.590767 107.118672) - (xy 85.616944 107.067295) - (xy 85.637957 107.026055) - (xy 85.657922 106.9) - (xy 89.642078 106.9) - (xy 89.662043 107.026055) - (xy 89.683056 107.067295) - (xy 89.709234 107.118672) - (xy 89.719984 107.139771) - (xy 89.810229 107.230016) - (xy 89.815505 107.232704) - (xy 89.815506 107.232705) - (xy 89.84945 107.25) - (xy 89.923945 107.287957) - (xy 90.05 107.307922) - (xy 90.176055 107.287957) - (xy 90.25055 107.25) - (xy 90.284494 107.232705) - (xy 90.284495 107.232704) - (xy 90.289771 107.230016) - (xy 90.380016 107.139771) - (xy 90.390767 107.118672) - (xy 90.416944 107.067295) - (xy 90.437957 107.026055) - (xy 90.450003 106.95) - (xy 97.392078 106.95) - (xy 97.412043 107.076055) - (xy 97.432144 107.115506) - (xy 97.466384 107.182705) - (xy 97.469984 107.189771) - (xy 97.560229 107.280016) - (xy 97.565505 107.282704) - (xy 97.565506 107.282705) - (xy 97.590606 107.295494) - (xy 97.673945 107.337957) - (xy 97.679792 107.338883) - (xy 97.786899 107.355847) - (xy 97.8 107.357922) - (xy 97.813102 107.355847) - (xy 97.850018 107.35) - (xy 99.342078 107.35) - (xy 99.362043 107.476055) - (xy 99.379908 107.511117) - (xy 99.416384 107.582705) - (xy 99.419984 107.589771) - (xy 99.510229 107.680016) - (xy 99.623945 107.737957) - (xy 99.651549 107.742329) - (xy 99.736899 107.755847) - (xy 99.75 107.757922) - (xy 99.763102 107.755847) - (xy 99.848451 107.742329) - (xy 99.876055 107.737957) - (xy 99.989771 107.680016) - (xy 100.019787 107.65) - (xy 108.542078 107.65) - (xy 108.543004 107.655847) - (xy 108.546168 107.675826) - (xy 108.562043 107.776055) - (xy 108.619984 107.889771) - (xy 108.710229 107.980016) - (xy 108.715505 107.982704) - (xy 108.715506 107.982705) - (xy 108.738608 107.994476) - (xy 108.823945 108.037957) - (xy 108.95 108.057922) - (xy 109.076055 108.037957) - (xy 109.161392 107.994476) - (xy 109.184494 107.982705) - (xy 109.184495 107.982704) - (xy 109.189771 107.980016) - (xy 109.219787 107.95) - (xy 109.542078 107.95) - (xy 109.562043 108.076055) - (xy 109.566541 108.084883) - (xy 109.613517 108.177078) - (xy 109.619984 108.189771) - (xy 109.710229 108.280016) - (xy 109.715505 108.282704) - (xy 109.715506 108.282705) - (xy 109.74945 108.3) - (xy 109.823945 108.337957) - (xy 109.95 108.357922) - (xy 110.076055 108.337957) - (xy 110.15055 108.3) - (xy 126.892329 108.3) - (xy 126.911331 108.444336) - (xy 126.913216 108.448888) - (xy 126.913217 108.44889) - (xy 126.937639 108.507849) - (xy 126.967043 108.578835) - (xy 126.970039 108.582739) - (xy 126.970041 108.582743) - (xy 127.051485 108.688883) - (xy 127.055667 108.694333) - (xy 127.059574 108.697331) - (xy 127.07754 108.711117) - (xy 127.171164 108.782957) - (xy 127.19773 108.793961) - (xy 127.30111 108.836783) - (xy 127.301112 108.836784) - (xy 127.305664 108.838669) - (xy 127.45 108.857671) - (xy 127.594336 108.838669) - (xy 127.598888 108.836784) - (xy 127.59889 108.836783) - (xy 127.70227 108.793961) - (xy 127.728836 108.782957) - (xy 127.82246 108.711117) - (xy 127.840426 108.697331) - (xy 127.844333 108.694333) - (xy 127.848515 108.688883) - (xy 127.929959 108.582743) - (xy 127.929961 108.582739) - (xy 127.932957 108.578835) - (xy 127.962361 108.507849) - (xy 127.986783 108.44889) - (xy 127.986784 108.448888) - (xy 127.988669 108.444336) - (xy 128.007671 108.3) - (xy 127.988669 108.155664) - (xy 127.983822 108.143961) - (xy 127.954914 108.074174) - (xy 127.932957 108.021165) - (xy 127.929961 108.017261) - (xy 127.929959 108.017257) - (xy 127.847331 107.909574) - (xy 127.844333 107.905667) - (xy 127.829078 107.893961) - (xy 127.732743 107.820041) - (xy 127.728836 107.817043) - (xy 127.612059 107.768672) - (xy 127.59889 107.763217) - (xy 127.598888 107.763216) - (xy 127.594336 107.761331) - (xy 127.45 107.742329) - (xy 127.305664 107.761331) - (xy 127.301112 107.763216) - (xy 127.30111 107.763217) - (xy 127.247871 107.78527) - (xy 127.171165 107.817043) - (xy 127.167261 107.820039) - (xy 127.167257 107.820041) - (xy 127.070672 107.894153) - (xy 127.055667 107.905667) - (xy 127.052669 107.909574) - (xy 126.970041 108.017257) - (xy 126.970039 108.017261) - (xy 126.967043 108.021165) - (xy 126.945086 108.074174) - (xy 126.916179 108.143961) - (xy 126.911331 108.155664) - (xy 126.892329 108.3) - (xy 110.15055 108.3) - (xy 110.184494 108.282705) - (xy 110.184495 108.282704) - (xy 110.189771 108.280016) - (xy 110.280016 108.189771) - (xy 110.286484 108.177078) - (xy 110.333459 108.084883) - (xy 110.337957 108.076055) - (xy 110.357922 107.95) - (xy 110.337957 107.823945) - (xy 110.30028 107.75) - (xy 114.342078 107.75) - (xy 114.362043 107.876055) - (xy 114.377131 107.905667) - (xy 114.416384 107.982705) - (xy 114.419984 107.989771) - (xy 114.510229 108.080016) - (xy 114.515505 108.082704) - (xy 114.515506 108.082705) - (xy 114.519781 108.084883) - (xy 114.623945 108.137957) - (xy 114.75 108.157922) - (xy 114.876055 108.137957) - (xy 114.980219 108.084883) - (xy 114.984494 108.082705) - (xy 114.984495 108.082704) - (xy 114.989771 108.080016) - (xy 115.080016 107.989771) - (xy 115.083617 107.982705) - (xy 115.122869 107.905667) - (xy 115.137957 107.876055) - (xy 115.157922 107.75) - (xy 122.142078 107.75) - (xy 122.162043 107.876055) - (xy 122.177131 107.905667) - (xy 122.216384 107.982705) - (xy 122.219984 107.989771) - (xy 122.310229 108.080016) - (xy 122.315505 108.082704) - (xy 122.315506 108.082705) - (xy 122.319781 108.084883) - (xy 122.423945 108.137957) - (xy 122.55 108.157922) - (xy 122.676055 108.137957) - (xy 122.780219 108.084883) - (xy 122.784494 108.082705) - (xy 122.784495 108.082704) - (xy 122.789771 108.080016) - (xy 122.880016 107.989771) - (xy 122.883617 107.982705) - (xy 122.922869 107.905667) - (xy 122.937957 107.876055) - (xy 122.957922 107.75) - (xy 122.937957 107.623945) - (xy 122.896244 107.542078) - (xy 122.882705 107.515506) - (xy 122.882704 107.515505) - (xy 122.880016 107.510229) - (xy 122.789771 107.419984) - (xy 122.780983 107.415506) - (xy 122.73176 107.390426) - (xy 122.676055 107.362043) - (xy 122.600018 107.35) - (xy 122.555847 107.343004) - (xy 122.55 107.342078) - (xy 122.544153 107.343004) - (xy 122.499982 107.35) - (xy 122.423945 107.362043) - (xy 122.36824 107.390426) - (xy 122.319018 107.415506) - (xy 122.310229 107.419984) - (xy 122.219984 107.510229) - (xy 122.217296 107.515505) - (xy 122.217295 107.515506) - (xy 122.203756 107.542078) - (xy 122.162043 107.623945) - (xy 122.142078 107.75) - (xy 115.157922 107.75) - (xy 115.137957 107.623945) - (xy 115.096244 107.542078) - (xy 115.082705 107.515506) - (xy 115.082704 107.515505) - (xy 115.080016 107.510229) - (xy 114.989771 107.419984) - (xy 114.980983 107.415506) - (xy 114.93176 107.390426) - (xy 114.876055 107.362043) - (xy 114.800018 107.35) - (xy 114.755847 107.343004) - (xy 114.75 107.342078) - (xy 114.744153 107.343004) - (xy 114.699982 107.35) - (xy 114.623945 107.362043) - (xy 114.56824 107.390426) - (xy 114.519018 107.415506) - (xy 114.510229 107.419984) - (xy 114.419984 107.510229) - (xy 114.417296 107.515505) - (xy 114.417295 107.515506) - (xy 114.403756 107.542078) - (xy 114.362043 107.623945) - (xy 114.342078 107.75) - (xy 110.30028 107.75) - (xy 110.299859 107.749174) - (xy 110.282705 107.715506) - (xy 110.282704 107.715505) - (xy 110.280016 107.710229) - (xy 110.189771 107.619984) - (xy 110.076055 107.562043) - (xy 109.95 107.542078) - (xy 109.823945 107.562043) - (xy 109.710229 107.619984) - (xy 109.619984 107.710229) - (xy 109.617296 107.715505) - (xy 109.617295 107.715506) - (xy 109.600141 107.749174) - (xy 109.562043 107.823945) - (xy 109.542078 107.95) - (xy 109.219787 107.95) - (xy 109.280016 107.889771) - (xy 109.337957 107.776055) - (xy 109.353832 107.675826) - (xy 109.356996 107.655847) - (xy 109.357922 107.65) - (xy 109.337957 107.523945) - (xy 109.292775 107.43527) - (xy 109.282705 107.415506) - (xy 109.282704 107.415505) - (xy 109.280016 107.410229) - (xy 109.189771 107.319984) - (xy 109.166336 107.308043) - (xy 109.116681 107.282743) - (xy 109.076055 107.262043) - (xy 109.000018 107.25) - (xy 108.955847 107.243004) - (xy 108.95 107.242078) - (xy 108.944153 107.243004) - (xy 108.899982 107.25) - (xy 108.823945 107.262043) - (xy 108.733665 107.308043) - (xy 108.710229 107.319984) - (xy 108.619984 107.410229) - (xy 108.617296 107.415505) - (xy 108.617295 107.415506) - (xy 108.607225 107.43527) - (xy 108.562043 107.523945) - (xy 108.542078 107.65) - (xy 100.019787 107.65) - (xy 100.080016 107.589771) - (xy 100.083617 107.582705) - (xy 100.120092 107.511117) - (xy 100.137957 107.476055) - (xy 100.157922 107.35) - (xy 100.137957 107.223945) - (xy 100.080016 107.110229) - (xy 99.989771 107.019984) - (xy 99.980983 107.015506) - (xy 99.903555 106.976055) - (xy 99.876055 106.962043) - (xy 99.800018 106.95) - (xy 99.755847 106.943004) - (xy 99.75 106.942078) - (xy 99.744153 106.943004) - (xy 99.699982 106.95) - (xy 99.623945 106.962043) - (xy 99.596445 106.976055) - (xy 99.519018 107.015506) - (xy 99.510229 107.019984) - (xy 99.419984 107.110229) - (xy 99.362043 107.223945) - (xy 99.342078 107.35) - (xy 97.850018 107.35) - (xy 97.920208 107.338883) - (xy 97.926055 107.337957) - (xy 98.009394 107.295494) - (xy 98.034494 107.282705) - (xy 98.034495 107.282704) - (xy 98.039771 107.280016) - (xy 98.130016 107.189771) - (xy 98.133617 107.182705) - (xy 98.167856 107.115506) - (xy 98.187957 107.076055) - (xy 98.207922 106.95) - (xy 98.187957 106.823945) - (xy 98.15028 106.75) - (xy 109.292078 106.75) - (xy 109.293004 106.755847) - (xy 109.293974 106.761972) - (xy 109.312043 106.876055) - (xy 109.369984 106.989771) - (xy 109.460229 107.080016) - (xy 109.465505 107.082704) - (xy 109.465506 107.082705) - (xy 109.511302 107.106039) - (xy 109.573945 107.137957) - (xy 109.7 107.157922) - (xy 109.826055 107.137957) - (xy 109.888698 107.106039) - (xy 109.934494 107.082705) - (xy 109.934495 107.082704) - (xy 109.939771 107.080016) - (xy 110.019787 107) - (xy 110.292329 107) - (xy 110.311331 107.144336) - (xy 110.313216 107.148888) - (xy 110.313217 107.14889) - (xy 110.332844 107.196272) - (xy 110.367043 107.278835) - (xy 110.370039 107.282739) - (xy 110.370041 107.282743) - (xy 110.449907 107.386826) - (xy 110.455667 107.394333) - (xy 110.459574 107.397331) - (xy 110.476383 107.410229) - (xy 110.571164 107.482957) - (xy 110.59759 107.493903) - (xy 110.70111 107.536783) - (xy 110.701112 107.536784) - (xy 110.705664 107.538669) - (xy 110.85 107.557671) - (xy 110.994336 107.538669) - (xy 110.998888 107.536784) - (xy 110.99889 107.536783) - (xy 111.10241 107.493903) - (xy 111.128836 107.482957) - (xy 111.223617 107.410229) - (xy 111.240426 107.397331) - (xy 111.244333 107.394333) - (xy 111.250093 107.386826) - (xy 111.329959 107.282743) - (xy 111.329961 107.282739) - (xy 111.332957 107.278835) - (xy 111.367156 107.196272) - (xy 111.386783 107.14889) - (xy 111.386784 107.148888) - (xy 111.388669 107.144336) - (xy 111.401088 107.05) - (xy 113.642078 107.05) - (xy 113.662043 107.176055) - (xy 113.681257 107.213764) - (xy 113.716384 107.282705) - (xy 113.719984 107.289771) - (xy 113.810229 107.380016) - (xy 113.815505 107.382704) - (xy 113.815506 107.382705) - (xy 113.838328 107.394333) - (xy 113.923945 107.437957) - (xy 113.929792 107.438883) - (xy 114.039311 107.456229) - (xy 114.05 107.457922) - (xy 114.06069 107.456229) - (xy 114.170208 107.438883) - (xy 114.176055 107.437957) - (xy 114.261672 107.394333) - (xy 114.284494 107.382705) - (xy 114.284495 107.382704) - (xy 114.289771 107.380016) - (xy 114.380016 107.289771) - (xy 114.383617 107.282705) - (xy 114.418743 107.213764) - (xy 114.437957 107.176055) - (xy 114.457922 107.05) - (xy 118.242078 107.05) - (xy 118.262043 107.176055) - (xy 118.281257 107.213764) - (xy 118.316384 107.282705) - (xy 118.319984 107.289771) - (xy 118.410229 107.380016) - (xy 118.415505 107.382704) - (xy 118.415506 107.382705) - (xy 118.438328 107.394333) - (xy 118.523945 107.437957) - (xy 118.529792 107.438883) - (xy 118.639311 107.456229) - (xy 118.65 107.457922) - (xy 118.66069 107.456229) - (xy 118.770208 107.438883) - (xy 118.776055 107.437957) - (xy 118.861672 107.394333) - (xy 118.884494 107.382705) - (xy 118.884495 107.382704) - (xy 118.889771 107.380016) - (xy 118.980016 107.289771) - (xy 118.983617 107.282705) - (xy 119.018743 107.213764) - (xy 119.037957 107.176055) - (xy 119.057922 107.05) - (xy 119.037957 106.923945) - (xy 118.980016 106.810229) - (xy 118.889771 106.719984) - (xy 118.880983 106.715506) - (xy 118.819678 106.68427) - (xy 118.776055 106.662043) - (xy 118.713027 106.65206) - (xy 118.655847 106.643004) - (xy 118.65 106.642078) - (xy 118.644153 106.643004) - (xy 118.586973 106.65206) - (xy 118.523945 106.662043) - (xy 118.480322 106.68427) - (xy 118.419018 106.715506) - (xy 118.410229 106.719984) - (xy 118.319984 106.810229) - (xy 118.262043 106.923945) - (xy 118.242078 107.05) - (xy 114.457922 107.05) - (xy 114.437957 106.923945) - (xy 114.380016 106.810229) - (xy 114.289771 106.719984) - (xy 114.280983 106.715506) - (xy 114.219678 106.68427) - (xy 114.176055 106.662043) - (xy 114.113027 106.65206) - (xy 114.055847 106.643004) - (xy 114.05 106.642078) - (xy 114.044153 106.643004) - (xy 113.986973 106.65206) - (xy 113.923945 106.662043) - (xy 113.880322 106.68427) - (xy 113.819018 106.715506) - (xy 113.810229 106.719984) - (xy 113.719984 106.810229) - (xy 113.662043 106.923945) - (xy 113.642078 107.05) - (xy 111.401088 107.05) - (xy 111.407671 107) - (xy 111.388669 106.855664) - (xy 111.383042 106.842078) - (xy 111.340296 106.738883) - (xy 111.332957 106.721165) - (xy 111.329961 106.717261) - (xy 111.329959 106.717257) - (xy 111.247331 106.609574) - (xy 111.244333 106.605667) - (xy 111.229078 106.593961) - (xy 111.132743 106.520041) - (xy 111.128836 106.517043) - (xy 111.037869 106.479363) - (xy 110.99889 106.463217) - (xy 110.998888 106.463216) - (xy 110.994336 106.461331) - (xy 110.85 106.442329) - (xy 110.705664 106.461331) - (xy 110.701112 106.463216) - (xy 110.70111 106.463217) - (xy 110.654367 106.482579) - (xy 110.571165 106.517043) - (xy 110.567261 106.520039) - (xy 110.567257 106.520041) - (xy 110.467821 106.596341) - (xy 110.455667 106.605667) - (xy 110.452669 106.609574) - (xy 110.370041 106.717257) - (xy 110.370039 106.717261) - (xy 110.367043 106.721165) - (xy 110.359704 106.738883) - (xy 110.316959 106.842078) - (xy 110.311331 106.855664) - (xy 110.292329 107) - (xy 110.019787 107) - (xy 110.030016 106.989771) - (xy 110.087957 106.876055) - (xy 110.106026 106.761972) - (xy 110.106996 106.755847) - (xy 110.107922 106.75) - (xy 110.087957 106.623945) - (xy 110.04187 106.533494) - (xy 110.032705 106.515506) - (xy 110.032704 106.515505) - (xy 110.030016 106.510229) - (xy 109.939771 106.419984) - (xy 109.912026 106.405847) - (xy 109.882888 106.391001) - (xy 109.826055 106.362043) - (xy 109.750018 106.35) - (xy 114.342078 106.35) - (xy 114.343004 106.355847) - (xy 114.343985 106.362043) - (xy 114.362043 106.476055) - (xy 114.419984 106.589771) - (xy 114.510229 106.680016) - (xy 114.515505 106.682704) - (xy 114.515506 106.682705) - (xy 114.525669 106.687883) - (xy 114.623945 106.737957) - (xy 114.663065 106.744153) - (xy 114.736899 106.755847) - (xy 114.75 106.757922) - (xy 114.763102 106.755847) - (xy 114.836935 106.744153) - (xy 114.876055 106.737957) - (xy 114.974331 106.687883) - (xy 114.984494 106.682705) - (xy 114.984495 106.682704) - (xy 114.989771 106.680016) - (xy 115.080016 106.589771) - (xy 115.137957 106.476055) - (xy 115.156015 106.362043) - (xy 115.156996 106.355847) - (xy 115.157922 106.35) - (xy 122.138028 106.35) - (xy 122.158191 106.477306) - (xy 122.189509 106.538771) - (xy 122.212807 106.584494) - (xy 122.216708 106.592151) - (xy 122.307849 106.683292) - (xy 122.422694 106.741809) - (xy 122.55 106.761972) - (xy 122.677306 106.741809) - (xy 122.792151 106.683292) - (xy 122.883292 106.592151) - (xy 122.887194 106.584494) - (xy 122.910491 106.538771) - (xy 122.941809 106.477306) - (xy 122.961972 106.35) - (xy 122.941809 106.222694) - (xy 122.897264 106.13527) - (xy 122.885981 106.113126) - (xy 122.88598 106.113125) - (xy 122.883292 106.107849) - (xy 122.820443 106.045) - (xy 142.975078 106.045) - (xy 142.976004 106.050847) - (xy 142.9791 106.070396) - (xy 142.995043 106.171055) - (xy 143.052984 106.284771) - (xy 143.143229 106.375016) - (xy 143.148505 106.377704) - (xy 143.148506 106.377705) - (xy 143.184513 106.396051) - (xy 143.256945 106.432957) - (xy 143.383 106.452922) - (xy 143.509055 106.432957) - (xy 143.581487 106.396051) - (xy 143.617494 106.377705) - (xy 143.617495 106.377704) - (xy 143.622771 106.375016) - (xy 143.713016 106.284771) - (xy 143.770957 106.171055) - (xy 143.7869 106.070396) - (xy 143.789996 106.050847) - (xy 143.790922 106.045) - (xy 143.770957 105.918945) - (xy 143.731834 105.842161) - (xy 143.715705 105.810506) - (xy 143.715704 105.810505) - (xy 143.713016 105.805229) - (xy 143.622771 105.714984) - (xy 143.605216 105.706039) - (xy 143.526828 105.666099) - (xy 143.509055 105.657043) - (xy 143.383 105.637078) - (xy 143.256945 105.657043) - (xy 143.239172 105.666099) - (xy 143.160785 105.706039) - (xy 143.143229 105.714984) - (xy 143.052984 105.805229) - (xy 143.050296 105.810505) - (xy 143.050295 105.810506) - (xy 143.034166 105.842161) - (xy 142.995043 105.918945) - (xy 142.975078 106.045) - (xy 122.820443 106.045) - (xy 122.792151 106.016708) - (xy 122.781179 106.011117) - (xy 122.712366 105.976055) - (xy 122.677306 105.958191) - (xy 122.55 105.938028) - (xy 122.422694 105.958191) - (xy 122.387634 105.976055) - (xy 122.318822 106.011117) - (xy 122.307849 106.016708) - (xy 122.216708 106.107849) - (xy 122.21402 106.113125) - (xy 122.214019 106.113126) - (xy 122.202736 106.13527) - (xy 122.158191 106.222694) - (xy 122.138028 106.35) - (xy 115.157922 106.35) - (xy 115.137957 106.223945) - (xy 115.080016 106.110229) - (xy 114.989771 106.019984) - (xy 114.983342 106.016708) - (xy 114.897901 105.973174) - (xy 114.876055 105.962043) - (xy 114.800018 105.95) - (xy 114.755847 105.943004) - (xy 114.75 105.942078) - (xy 114.744153 105.943004) - (xy 114.699982 105.95) - (xy 114.623945 105.962043) - (xy 114.602099 105.973174) - (xy 114.516659 106.016708) - (xy 114.510229 106.019984) - (xy 114.419984 106.110229) - (xy 114.362043 106.223945) - (xy 114.342078 106.35) - (xy 109.750018 106.35) - (xy 109.705847 106.343004) - (xy 109.7 106.342078) - (xy 109.694153 106.343004) - (xy 109.649982 106.35) - (xy 109.573945 106.362043) - (xy 109.517112 106.391001) - (xy 109.487975 106.405847) - (xy 109.460229 106.419984) - (xy 109.369984 106.510229) - (xy 109.367296 106.515505) - (xy 109.367295 106.515506) - (xy 109.35813 106.533494) - (xy 109.312043 106.623945) - (xy 109.292078 106.75) - (xy 98.15028 106.75) - (xy 98.137906 106.725714) - (xy 98.132705 106.715506) - (xy 98.132704 106.715505) - (xy 98.130016 106.710229) - (xy 98.039771 106.619984) - (xy 98.030983 106.615506) - (xy 97.977281 106.588144) - (xy 97.926055 106.562043) - (xy 97.8 106.542078) - (xy 97.673945 106.562043) - (xy 97.622719 106.588144) - (xy 97.569018 106.615506) - (xy 97.560229 106.619984) - (xy 97.469984 106.710229) - (xy 97.467296 106.715505) - (xy 97.467295 106.715506) - (xy 97.462094 106.725714) - (xy 97.412043 106.823945) - (xy 97.392078 106.95) - (xy 90.450003 106.95) - (xy 90.457922 106.9) - (xy 90.437957 106.773945) - (xy 90.393137 106.685981) - (xy 90.382705 106.665506) - (xy 90.382704 106.665505) - (xy 90.380016 106.660229) - (xy 90.289771 106.569984) - (xy 90.27946 106.56473) - (xy 90.203555 106.526055) - (xy 90.176055 106.512043) - (xy 90.05 106.492078) - (xy 89.923945 106.512043) - (xy 89.896445 106.526055) - (xy 89.820541 106.56473) - (xy 89.810229 106.569984) - (xy 89.719984 106.660229) - (xy 89.717296 106.665505) - (xy 89.717295 106.665506) - (xy 89.706863 106.685981) - (xy 89.662043 106.773945) - (xy 89.642078 106.9) - (xy 85.657922 106.9) - (xy 85.637957 106.773945) - (xy 85.593137 106.685981) - (xy 85.582705 106.665506) - (xy 85.582704 106.665505) - (xy 85.580016 106.660229) - (xy 85.489771 106.569984) - (xy 85.47946 106.56473) - (xy 85.403555 106.526055) - (xy 85.376055 106.512043) - (xy 85.25 106.492078) - (xy 85.123945 106.512043) - (xy 85.096445 106.526055) - (xy 85.020541 106.56473) - (xy 85.010229 106.569984) - (xy 84.919984 106.660229) - (xy 84.917296 106.665505) - (xy 84.917295 106.665506) - (xy 84.906863 106.685981) - (xy 84.862043 106.773945) - (xy 84.842078 106.9) - (xy 78.20055 106.9) - (xy 78.153555 106.876055) - (xy 78.126055 106.862043) - (xy 78.050018 106.85) - (xy 78.005847 106.843004) - (xy 78 106.842078) - (xy 77.994153 106.843004) - (xy 77.949982 106.85) - (xy 77.873945 106.862043) - (xy 77.846445 106.876055) - (xy 77.787975 106.905847) - (xy 77.760229 106.919984) - (xy 77.669984 107.010229) - (xy 77.667296 107.015505) - (xy 77.667295 107.015506) - (xy 77.652699 107.044153) - (xy 77.612043 107.123945) - (xy 77.592078 107.25) - (xy 64.9277 107.25) - (xy 64.893756 107.232705) - (xy 64.861055 107.216043) - (xy 64.83004 107.211131) - (xy 64.769626 107.201562) - (xy 64.769624 107.201562) - (xy 64.766708 107.2011) - (xy 63.503292 107.2011) - (xy 63.500376 107.201562) - (xy 63.500374 107.201562) - (xy 63.43996 107.211131) - (xy 63.408945 107.216043) - (xy 63.376244 107.232705) - (xy 63.318665 107.262043) - (xy 63.295229 107.273984) - (xy 63.204984 107.364229) - (xy 63.202296 107.369505) - (xy 63.202295 107.369506) - (xy 63.19557 107.382705) - (xy 63.147043 107.477945) - (xy 63.146117 107.483792) - (xy 63.134518 107.557028) - (xy 63.1321 107.572292) - (xy 63.1321 108.835708) - (xy 62.374625 108.835708) - (xy 62.41848 108.784901) - (xy 62.460395 108.711117) - (xy 62.51381 108.617091) - (xy 62.513812 108.617088) - (xy 62.515628 108.61389) - (xy 62.572032 108.444336) - (xy 62.576548 108.43076) - (xy 62.576549 108.430757) - (xy 62.57771 108.427266) - (xy 62.60236 108.232138) - (xy 62.602718 108.206522) - (xy 62.602724 108.206099) - (xy 62.602724 108.206093) - (xy 62.602753 108.204) - (xy 62.602464 108.201055) - (xy 62.583921 108.011927) - (xy 62.58392 108.011922) - (xy 62.583561 108.00826) - (xy 62.526714 107.819975) - (xy 62.434379 107.646318) - (xy 62.310072 107.493903) - (xy 62.203631 107.405847) - (xy 62.161359 107.370877) - (xy 62.158528 107.368535) - (xy 61.98552 107.27499) - (xy 61.797637 107.21683) - (xy 61.674766 107.203916) - (xy 61.60569 107.196656) - (xy 61.605689 107.196656) - (xy 61.602035 107.196272) - (xy 61.504101 107.205184) - (xy 61.409824 107.213764) - (xy 61.409823 107.213764) - (xy 61.406166 107.214097) - (xy 61.402641 107.215134) - (xy 61.402638 107.215135) - (xy 61.24326 107.262043) - (xy 61.217489 107.269628) - (xy 61.043192 107.360748) - (xy 61.001421 107.394333) - (xy 60.899779 107.476055) - (xy 60.889912 107.483988) - (xy 60.763489 107.634653) - (xy 60.761718 107.637875) - (xy 60.761717 107.637876) - (xy 60.696231 107.756996) - (xy 60.668739 107.807004) - (xy 60.609269 107.994476) - (xy 60.587345 108.18993) - (xy 46.101 108.18993) - (xy 46.101 107.061) - (xy 48.487078 107.061) - (xy 48.488004 107.066847) - (xy 48.489426 107.075826) - (xy 48.507043 107.187055) - (xy 48.522214 107.21683) - (xy 48.558517 107.288078) - (xy 48.564984 107.300771) - (xy 48.655229 107.391016) - (xy 48.660505 107.393704) - (xy 48.660506 107.393705) - (xy 48.684713 107.406039) - (xy 48.768945 107.448957) - (xy 48.895 107.468922) - (xy 49.021055 107.448957) - (xy 49.105287 107.406039) - (xy 49.129494 107.393705) - (xy 49.129495 107.393704) - (xy 49.134771 107.391016) - (xy 49.225016 107.300771) - (xy 49.231484 107.288078) - (xy 49.267786 107.21683) - (xy 49.282957 107.187055) - (xy 49.300574 107.075826) - (xy 49.301996 107.066847) - (xy 49.302922 107.061) - (xy 53.567078 107.061) - (xy 53.568004 107.066847) - (xy 53.569426 107.075826) - (xy 53.587043 107.187055) - (xy 53.602214 107.21683) - (xy 53.638517 107.288078) - (xy 53.644984 107.300771) - (xy 53.735229 107.391016) - (xy 53.740505 107.393704) - (xy 53.740506 107.393705) - (xy 53.764713 107.406039) - (xy 53.848945 107.448957) - (xy 53.975 107.468922) - (xy 54.101055 107.448957) - (xy 54.185287 107.406039) - (xy 54.209494 107.393705) - (xy 54.209495 107.393704) - (xy 54.214771 107.391016) - (xy 54.305016 107.300771) - (xy 54.311484 107.288078) - (xy 54.347786 107.21683) - (xy 54.362957 107.187055) - (xy 54.380574 107.075826) - (xy 54.381996 107.066847) - (xy 54.382922 107.061) - (xy 54.362957 106.934945) - (xy 54.32534 106.861117) - (xy 54.319676 106.85) - (xy 59.792078 106.85) - (xy 59.812043 106.976055) - (xy 59.829908 107.011117) - (xy 59.866384 107.082705) - (xy 59.869984 107.089771) - (xy 59.960229 107.180016) - (xy 59.965505 107.182704) - (xy 59.965506 107.182705) - (xy 60.013344 107.207079) - (xy 60.073945 107.237957) - (xy 60.079792 107.238883) - (xy 60.186899 107.255847) - (xy 60.2 107.257922) - (xy 60.213102 107.255847) - (xy 60.320208 107.238883) - (xy 60.326055 107.237957) - (xy 60.386656 107.207079) - (xy 60.434494 107.182705) - (xy 60.434495 107.182704) - (xy 60.439771 107.180016) - (xy 60.530016 107.089771) - (xy 60.533617 107.082705) - (xy 60.570092 107.011117) - (xy 60.587957 106.976055) - (xy 60.607922 106.85) - (xy 60.587957 106.723945) - (xy 60.539984 106.629792) - (xy 60.532705 106.615506) - (xy 60.532704 106.615505) - (xy 60.530016 106.610229) - (xy 60.439771 106.519984) - (xy 60.430983 106.515506) - (xy 60.379232 106.489138) - (xy 60.347714 106.473079) - (xy 61.003283 106.473079) - (xy 61.004557 106.477833) - (xy 61.017355 106.488725) - (xy 61.023353 106.492894) - (xy 61.188537 106.585211) - (xy 61.19525 106.588144) - (xy 61.37521 106.646616) - (xy 61.382358 106.648188) - (xy 61.570248 106.670593) - (xy 61.577581 106.670746) - (xy 61.766239 106.65623) - (xy 61.773447 106.654959) - (xy 61.955701 106.604073) - (xy 61.962534 106.601422) - (xy 62.131428 106.516108) - (xy 62.137611 106.512184) - (xy 62.17962 106.479363) - (xy 62.185348 106.470196) - (xy 62.18474 106.465872) - (xy 61.604377 105.885509) - (xy 61.594578 105.88094) - (xy 61.588587 105.882545) - (xy 61.007852 106.46328) - (xy 61.003283 106.473079) - (xy 60.347714 106.473079) - (xy 60.326055 106.462043) - (xy 60.2 106.442078) - (xy 60.073945 106.462043) - (xy 60.020768 106.489138) - (xy 59.969018 106.515506) - (xy 59.960229 106.519984) - (xy 59.869984 106.610229) - (xy 59.867296 106.615505) - (xy 59.867295 106.615506) - (xy 59.860016 106.629792) - (xy 59.812043 106.723945) - (xy 59.792078 106.85) - (xy 54.319676 106.85) - (xy 54.307705 106.826506) - (xy 54.307704 106.826505) - (xy 54.305016 106.821229) - (xy 54.214771 106.730984) - (xy 54.200957 106.723945) - (xy 54.123089 106.68427) - (xy 54.101055 106.673043) - (xy 54.02015 106.660229) - (xy 53.980847 106.654004) - (xy 53.975 106.653078) - (xy 53.969153 106.654004) - (xy 53.92985 106.660229) - (xy 53.848945 106.673043) - (xy 53.826911 106.68427) - (xy 53.749044 106.723945) - (xy 53.735229 106.730984) - (xy 53.644984 106.821229) - (xy 53.642296 106.826505) - (xy 53.642295 106.826506) - (xy 53.62466 106.861117) - (xy 53.587043 106.934945) - (xy 53.567078 107.061) - (xy 49.302922 107.061) - (xy 49.282957 106.934945) - (xy 49.24534 106.861117) - (xy 49.227705 106.826506) - (xy 49.227704 106.826505) - (xy 49.225016 106.821229) - (xy 49.134771 106.730984) - (xy 49.120957 106.723945) - (xy 49.043089 106.68427) - (xy 49.021055 106.673043) - (xy 48.94015 106.660229) - (xy 48.900847 106.654004) - (xy 48.895 106.653078) - (xy 48.889153 106.654004) - (xy 48.84985 106.660229) - (xy 48.768945 106.673043) - (xy 48.746911 106.68427) - (xy 48.669044 106.723945) - (xy 48.655229 106.730984) - (xy 48.564984 106.821229) - (xy 48.562296 106.826505) - (xy 48.562295 106.826506) - (xy 48.54466 106.861117) - (xy 48.507043 106.934945) - (xy 48.487078 107.061) - (xy 46.101 107.061) - (xy 46.101 105.653608) - (xy 60.588156 105.653608) - (xy 60.60399 105.842161) - (xy 60.605312 105.849363) - (xy 60.65747 106.031261) - (xy 60.660164 106.038063) - (xy 60.746657 106.206363) - (xy 60.750623 106.212518) - (xy 60.779406 106.248832) - (xy 60.788614 106.254497) - (xy 60.793022 106.253846) - (xy 61.373491 105.673377) - (xy 61.377666 105.664422) - (xy 61.81194 105.664422) - (xy 61.813545 105.670413) - (xy 62.394643 106.251511) - (xy 62.404442 106.25608) - (xy 62.409291 106.254781) - (xy 62.415668 106.247393) - (xy 62.419884 106.241417) - (xy 62.513354 106.07688) - (xy 62.516328 106.0702) - (xy 62.576059 105.890641) - (xy 62.57768 105.883507) - (xy 62.601593 105.694215) - (xy 62.601886 105.69002) - (xy 62.602221 105.666099) - (xy 62.602045 105.661907) - (xy 62.600871 105.64993) - (xy 63.127345 105.64993) - (xy 63.127653 105.653598) - (xy 63.127653 105.653601) - (xy 63.141385 105.817121) - (xy 63.143803 105.845919) - (xy 63.198015 106.034979) - (xy 63.287916 106.209908) - (xy 63.410083 106.364044) - (xy 63.412877 106.366422) - (xy 63.412878 106.366423) - (xy 63.502823 106.442972) - (xy 63.559862 106.491516) - (xy 63.563063 106.493305) - (xy 63.563066 106.493307) - (xy 63.631098 106.531328) - (xy 63.731547 106.587467) - (xy 63.735044 106.588603) - (xy 63.735048 106.588605) - (xy 63.801601 106.610229) - (xy 63.9186 106.648244) - (xy 64.019107 106.660229) - (xy 64.110237 106.671096) - (xy 64.110239 106.671096) - (xy 64.113895 106.671532) - (xy 64.309994 106.656443) - (xy 64.440575 106.619984) - (xy 64.495883 106.604542) - (xy 64.495885 106.604541) - (xy 64.499428 106.603552) - (xy 64.642408 106.531328) - (xy 64.671697 106.516533) - (xy 64.671698 106.516532) - (xy 64.674981 106.514874) - (xy 64.814529 106.405847) - (xy 64.827067 106.396051) - (xy 64.829966 106.393786) - (xy 64.911783 106.299) - (xy 65.378078 106.299) - (xy 65.398043 106.425055) - (xy 65.41752 106.46328) - (xy 65.452192 106.531328) - (xy 65.455984 106.538771) - (xy 65.546229 106.629016) - (xy 65.551505 106.631704) - (xy 65.551506 106.631705) - (xy 65.573682 106.643004) - (xy 65.659945 106.686957) - (xy 65.786 106.706922) - (xy 65.912055 106.686957) - (xy 65.998318 106.643004) - (xy 66.020494 106.631705) - (xy 66.020495 106.631704) - (xy 66.025771 106.629016) - (xy 66.116016 106.538771) - (xy 66.119809 106.531328) - (xy 66.15448 106.46328) - (xy 66.173957 106.425055) - (xy 66.177925 106.4) - (xy 87.392078 106.4) - (xy 87.412043 106.526055) - (xy 87.418522 106.538771) - (xy 87.459234 106.618672) - (xy 87.469984 106.639771) - (xy 87.560229 106.730016) - (xy 87.565505 106.732704) - (xy 87.565506 106.732705) - (xy 87.59945 106.75) - (xy 87.673945 106.787957) - (xy 87.8 106.807922) - (xy 87.926055 106.787957) - (xy 88.00055 106.75) - (xy 88.034494 106.732705) - (xy 88.034495 106.732704) - (xy 88.039771 106.730016) - (xy 88.130016 106.639771) - (xy 88.140767 106.618672) - (xy 88.181478 106.538771) - (xy 88.187957 106.526055) - (xy 88.207922 106.4) - (xy 88.187957 106.273945) - (xy 88.155329 106.209908) - (xy 88.132705 106.165506) - (xy 88.132704 106.165505) - (xy 88.130016 106.160229) - (xy 88.039771 106.069984) - (xy 88.002213 106.050847) - (xy 87.986656 106.042921) - (xy 87.926055 106.012043) - (xy 87.8 105.992078) - (xy 87.673945 106.012043) - (xy 87.613344 106.042921) - (xy 87.597788 106.050847) - (xy 87.560229 106.069984) - (xy 87.469984 106.160229) - (xy 87.467296 106.165505) - (xy 87.467295 106.165506) - (xy 87.444671 106.209908) - (xy 87.412043 106.273945) - (xy 87.392078 106.4) - (xy 66.177925 106.4) - (xy 66.193922 106.299) - (xy 66.173957 106.172945) - (xy 66.127276 106.081328) - (xy 66.118705 106.064506) - (xy 66.118704 106.064505) - (xy 66.116016 106.059229) - (xy 66.025771 105.968984) - (xy 66.012149 105.962043) - (xy 65.988513 105.95) - (xy 77.792078 105.95) - (xy 77.812043 106.076055) - (xy 77.830932 106.113126) - (xy 77.866384 106.182705) - (xy 77.869984 106.189771) - (xy 77.960229 106.280016) - (xy 77.965505 106.282704) - (xy 77.965506 106.282705) - (xy 77.986012 106.293153) - (xy 78.073945 106.337957) - (xy 78.079792 106.338883) - (xy 78.186899 106.355847) - (xy 78.2 106.357922) - (xy 78.213102 106.355847) - (xy 78.320208 106.338883) - (xy 78.326055 106.337957) - (xy 78.413988 106.293153) - (xy 78.434494 106.282705) - (xy 78.434495 106.282704) - (xy 78.439771 106.280016) - (xy 78.530016 106.189771) - (xy 78.533617 106.182705) - (xy 78.569068 106.113126) - (xy 78.587957 106.076055) - (xy 78.607922 105.95) - (xy 78.606173 105.938954) - (xy 78.600003 105.9) - (xy 84.842078 105.9) - (xy 84.862043 106.026055) - (xy 84.919984 106.139771) - (xy 85.010229 106.230016) - (xy 85.015505 106.232704) - (xy 85.015506 106.232705) - (xy 85.052415 106.251511) - (xy 85.123945 106.287957) - (xy 85.25 106.307922) - (xy 85.376055 106.287957) - (xy 85.447585 106.251511) - (xy 85.484494 106.232705) - (xy 85.484495 106.232704) - (xy 85.489771 106.230016) - (xy 85.580016 106.139771) - (xy 85.637957 106.026055) - (xy 85.657922 105.9) - (xy 85.650268 105.851671) - (xy 85.650003 105.85) - (xy 103.342078 105.85) - (xy 103.362043 105.976055) - (xy 103.36473 105.981328) - (xy 103.416384 106.082705) - (xy 103.419984 106.089771) - (xy 103.510229 106.180016) - (xy 103.515505 106.182704) - (xy 103.515506 106.182705) - (xy 103.561938 106.206363) - (xy 103.623945 106.237957) - (xy 103.75 106.257922) - (xy 103.876055 106.237957) - (xy 103.938062 106.206363) - (xy 103.984494 106.182705) - (xy 103.984495 106.182704) - (xy 103.989771 106.180016) - (xy 104.080016 106.089771) - (xy 104.083617 106.082705) - (xy 104.13527 105.981328) - (xy 104.137957 105.976055) - (xy 104.157922 105.85) - (xy 104.137957 105.723945) - (xy 104.089984 105.629792) - (xy 104.082705 105.615506) - (xy 104.082704 105.615505) - (xy 104.080016 105.610229) - (xy 104.019787 105.55) - (xy 106.092078 105.55) - (xy 106.112043 105.676055) - (xy 106.130508 105.712295) - (xy 106.159234 105.768672) - (xy 106.169984 105.789771) - (xy 106.260229 105.880016) - (xy 106.265505 105.882704) - (xy 106.265506 105.882705) - (xy 106.29945 105.9) - (xy 106.373945 105.937957) - (xy 106.379792 105.938883) - (xy 106.486899 105.955847) - (xy 106.5 105.957922) - (xy 106.513102 105.955847) - (xy 106.620208 105.938883) - (xy 106.626055 105.937957) - (xy 106.70055 105.9) - (xy 106.734494 105.882705) - (xy 106.734495 105.882704) - (xy 106.739771 105.880016) - (xy 106.830016 105.789771) - (xy 106.840767 105.768672) - (xy 106.850281 105.75) - (xy 109.292078 105.75) - (xy 109.312043 105.876055) - (xy 109.336876 105.924792) - (xy 109.359393 105.968984) - (xy 109.369984 105.989771) - (xy 109.460229 106.080016) - (xy 109.465505 106.082704) - (xy 109.465506 106.082705) - (xy 109.506631 106.103659) - (xy 109.573945 106.137957) - (xy 109.7 106.157922) - (xy 109.826055 106.137957) - (xy 109.893369 106.103659) - (xy 109.934494 106.082705) - (xy 109.934495 106.082704) - (xy 109.939771 106.080016) - (xy 110.030016 105.989771) - (xy 110.040608 105.968984) - (xy 110.063124 105.924792) - (xy 110.087957 105.876055) - (xy 110.092084 105.85) - (xy 118.242078 105.85) - (xy 118.262043 105.976055) - (xy 118.26473 105.981328) - (xy 118.316384 106.082705) - (xy 118.319984 106.089771) - (xy 118.410229 106.180016) - (xy 118.415505 106.182704) - (xy 118.415506 106.182705) - (xy 118.461938 106.206363) - (xy 118.523945 106.237957) - (xy 118.65 106.257922) - (xy 118.776055 106.237957) - (xy 118.838062 106.206363) - (xy 118.884494 106.182705) - (xy 118.884495 106.182704) - (xy 118.889771 106.180016) - (xy 118.980016 106.089771) - (xy 118.983617 106.082705) - (xy 119.03527 105.981328) - (xy 119.037957 105.976055) - (xy 119.057922 105.85) - (xy 119.037957 105.723945) - (xy 118.989984 105.629792) - (xy 118.982705 105.615506) - (xy 118.982704 105.615505) - (xy 118.980016 105.610229) - (xy 118.889771 105.519984) - (xy 118.880983 105.515506) - (xy 118.835002 105.492078) - (xy 118.776055 105.462043) - (xy 118.706136 105.450969) - (xy 118.655847 105.443004) - (xy 118.65 105.442078) - (xy 118.644153 105.443004) - (xy 118.593864 105.450969) - (xy 118.523945 105.462043) - (xy 118.464998 105.492078) - (xy 118.419018 105.515506) - (xy 118.410229 105.519984) - (xy 118.319984 105.610229) - (xy 118.317296 105.615505) - (xy 118.317295 105.615506) - (xy 118.310016 105.629792) - (xy 118.262043 105.723945) - (xy 118.242078 105.85) - (xy 110.092084 105.85) - (xy 110.107922 105.75) - (xy 110.087957 105.623945) - (xy 110.039047 105.527953) - (xy 110.032705 105.515506) - (xy 110.032704 105.515505) - (xy 110.030016 105.510229) - (xy 109.939771 105.419984) - (xy 109.922491 105.411179) - (xy 109.870118 105.384494) - (xy 109.826055 105.362043) - (xy 109.750018 105.35) - (xy 114.342078 105.35) - (xy 114.362043 105.476055) - (xy 114.419984 105.589771) - (xy 114.510229 105.680016) - (xy 114.515505 105.682704) - (xy 114.515506 105.682705) - (xy 114.561302 105.706039) - (xy 114.623945 105.737957) - (xy 114.629792 105.738883) - (xy 114.736899 105.755847) - (xy 114.75 105.757922) - (xy 114.763102 105.755847) - (xy 114.870208 105.738883) - (xy 114.876055 105.737957) - (xy 114.938698 105.706039) - (xy 114.984494 105.682705) - (xy 114.984495 105.682704) - (xy 114.989771 105.680016) - (xy 115.080016 105.589771) - (xy 115.137957 105.476055) - (xy 115.157922 105.35) - (xy 122.142078 105.35) - (xy 122.162043 105.476055) - (xy 122.219984 105.589771) - (xy 122.310229 105.680016) - (xy 122.315505 105.682704) - (xy 122.315506 105.682705) - (xy 122.361302 105.706039) - (xy 122.423945 105.737957) - (xy 122.429792 105.738883) - (xy 122.536899 105.755847) - (xy 122.55 105.757922) - (xy 122.563102 105.755847) - (xy 122.670208 105.738883) - (xy 122.676055 105.737957) - (xy 122.738698 105.706039) - (xy 122.784494 105.682705) - (xy 122.784495 105.682704) - (xy 122.789771 105.680016) - (xy 122.880016 105.589771) - (xy 122.937957 105.476055) - (xy 122.950888 105.39441) - (xy 125.992477 105.39441) - (xy 125.993171 105.399717) - (xy 125.993171 105.39972) - (xy 126.001056 105.460014) - (xy 126.009298 105.523046) - (xy 126.011457 105.527952) - (xy 126.011457 105.527953) - (xy 126.051374 105.618672) - (xy 126.061547 105.641791) - (xy 126.145023 105.741098) - (xy 126.253017 105.812984) - (xy 126.376845 105.851671) - (xy 126.443716 105.852896) - (xy 126.501193 105.85395) - (xy 126.501195 105.85395) - (xy 126.506555 105.854048) - (xy 126.511726 105.852638) - (xy 126.511728 105.852638) - (xy 126.595526 105.829792) - (xy 126.631718 105.819925) - (xy 126.742273 105.752044) - (xy 126.745865 105.748076) - (xy 126.745867 105.748074) - (xy 126.783915 105.706039) - (xy 126.829332 105.655863) - (xy 126.885897 105.539112) - (xy 126.90742 105.411179) - (xy 126.907557 105.4) - (xy 126.907145 105.397122) - (xy 126.889925 105.276876) - (xy 126.889924 105.276873) - (xy 126.889166 105.271579) - (xy 126.884526 105.261373) - (xy 126.837689 105.158362) - (xy 126.837688 105.158361) - (xy 126.83547 105.153482) - (xy 126.827969 105.144776) - (xy 126.754282 105.059258) - (xy 126.754281 105.059257) - (xy 126.750787 105.055202) - (xy 126.742329 105.04972) - (xy 126.646416 104.987552) - (xy 126.646415 104.987551) - (xy 126.641923 104.98464) - (xy 126.599367 104.971913) - (xy 126.522765 104.949004) - (xy 126.522762 104.949004) - (xy 126.517631 104.947469) - (xy 126.512276 104.947436) - (xy 126.512274 104.947436) - (xy 126.454164 104.947081) - (xy 126.387902 104.946676) - (xy 126.263166 104.982326) - (xy 126.153448 105.051553) - (xy 126.06757 105.148791) - (xy 126.065294 105.153638) - (xy 126.065293 105.15364) - (xy 126.034761 105.218672) - (xy 126.012436 105.266223) - (xy 125.992477 105.39441) - (xy 122.950888 105.39441) - (xy 122.957922 105.35) - (xy 122.937957 105.223945) - (xy 122.880016 105.110229) - (xy 122.789771 105.019984) - (xy 122.676055 104.962043) - (xy 122.609842 104.951556) - (xy 122.555847 104.943004) - (xy 122.55 104.942078) - (xy 122.544153 104.943004) - (xy 122.490158 104.951556) - (xy 122.423945 104.962043) - (xy 122.310229 105.019984) - (xy 122.219984 105.110229) - (xy 122.162043 105.223945) - (xy 122.142078 105.35) - (xy 115.157922 105.35) - (xy 115.137957 105.223945) - (xy 115.080016 105.110229) - (xy 114.989771 105.019984) - (xy 114.876055 104.962043) - (xy 114.809842 104.951556) - (xy 114.755847 104.943004) - (xy 114.75 104.942078) - (xy 114.744153 104.943004) - (xy 114.690158 104.951556) - (xy 114.623945 104.962043) - (xy 114.510229 105.019984) - (xy 114.419984 105.110229) - (xy 114.362043 105.223945) - (xy 114.342078 105.35) - (xy 109.750018 105.35) - (xy 109.705847 105.343004) - (xy 109.7 105.342078) - (xy 109.694153 105.343004) - (xy 109.649982 105.35) - (xy 109.573945 105.362043) - (xy 109.529882 105.384494) - (xy 109.47751 105.411179) - (xy 109.460229 105.419984) - (xy 109.369984 105.510229) - (xy 109.367296 105.515505) - (xy 109.367295 105.515506) - (xy 109.360953 105.527953) - (xy 109.312043 105.623945) - (xy 109.292078 105.75) - (xy 106.850281 105.75) - (xy 106.869492 105.712295) - (xy 106.887957 105.676055) - (xy 106.907922 105.55) - (xy 106.900268 105.501671) - (xy 106.897264 105.482705) - (xy 106.887957 105.423945) - (xy 106.830016 105.310229) - (xy 106.739771 105.219984) - (xy 106.626055 105.162043) - (xy 106.517035 105.144776) - (xy 106.505847 105.143004) - (xy 106.5 105.142078) - (xy 106.494153 105.143004) - (xy 106.482965 105.144776) - (xy 106.373945 105.162043) - (xy 106.260229 105.219984) - (xy 106.169984 105.310229) - (xy 106.112043 105.423945) - (xy 106.102736 105.482705) - (xy 106.099733 105.501671) - (xy 106.092078 105.55) - (xy 104.019787 105.55) - (xy 103.989771 105.519984) - (xy 103.980983 105.515506) - (xy 103.935002 105.492078) - (xy 103.876055 105.462043) - (xy 103.806136 105.450969) - (xy 103.755847 105.443004) - (xy 103.75 105.442078) - (xy 103.744153 105.443004) - (xy 103.693864 105.450969) - (xy 103.623945 105.462043) - (xy 103.564998 105.492078) - (xy 103.519018 105.515506) - (xy 103.510229 105.519984) - (xy 103.419984 105.610229) - (xy 103.417296 105.615505) - (xy 103.417295 105.615506) - (xy 103.410016 105.629792) - (xy 103.362043 105.723945) - (xy 103.342078 105.85) - (xy 85.650003 105.85) - (xy 85.638883 105.779792) - (xy 85.637957 105.773945) - (xy 85.597333 105.694215) - (xy 85.582705 105.665506) - (xy 85.582704 105.665505) - (xy 85.580016 105.660229) - (xy 85.489771 105.569984) - (xy 85.47946 105.56473) - (xy 85.40728 105.527953) - (xy 85.376055 105.512043) - (xy 85.25 105.492078) - (xy 85.123945 105.512043) - (xy 85.09272 105.527953) - (xy 85.020541 105.56473) - (xy 85.010229 105.569984) - (xy 84.919984 105.660229) - (xy 84.917296 105.665505) - (xy 84.917295 105.665506) - (xy 84.902667 105.694215) - (xy 84.862043 105.773945) - (xy 84.861117 105.779792) - (xy 84.849733 105.851671) - (xy 84.842078 105.9) - (xy 78.600003 105.9) - (xy 78.597238 105.882545) - (xy 78.587957 105.823945) - (xy 78.547257 105.744066) - (xy 78.532705 105.715506) - (xy 78.532704 105.715505) - (xy 78.530016 105.710229) - (xy 78.439771 105.619984) - (xy 78.430983 105.615506) - (xy 78.370118 105.584494) - (xy 78.326055 105.562043) - (xy 78.250018 105.55) - (xy 78.205847 105.543004) - (xy 78.2 105.542078) - (xy 78.194153 105.543004) - (xy 78.149982 105.55) - (xy 78.073945 105.562043) - (xy 78.029882 105.584494) - (xy 77.969018 105.615506) - (xy 77.960229 105.619984) - (xy 77.869984 105.710229) - (xy 77.867296 105.715505) - (xy 77.867295 105.715506) - (xy 77.852743 105.744066) - (xy 77.812043 105.823945) - (xy 77.802762 105.882545) - (xy 77.793828 105.938954) - (xy 77.792078 105.95) - (xy 65.988513 105.95) - (xy 65.939039 105.924792) - (xy 65.912055 105.911043) - (xy 65.786 105.891078) - (xy 65.659945 105.911043) - (xy 65.632961 105.924792) - (xy 65.559852 105.962043) - (xy 65.546229 105.968984) - (xy 65.455984 106.059229) - (xy 65.453296 106.064505) - (xy 65.453295 106.064506) - (xy 65.444724 106.081328) - (xy 65.398043 106.172945) - (xy 65.378078 106.299) - (xy 64.911783 106.299) - (xy 64.95848 106.244901) - (xy 64.999357 106.172945) - (xy 65.05381 106.077091) - (xy 65.053812 106.077088) - (xy 65.055628 106.07389) - (xy 65.108926 105.913672) - (xy 65.116548 105.89076) - (xy 65.116549 105.890757) - (xy 65.11771 105.887266) - (xy 65.14236 105.692138) - (xy 65.142622 105.673377) - (xy 65.142724 105.666099) - (xy 65.142724 105.666093) - (xy 65.142753 105.664) - (xy 65.142345 105.659834) - (xy 65.123921 105.471927) - (xy 65.12392 105.471922) - (xy 65.123561 105.46826) - (xy 65.066714 105.279975) - (xy 64.997605 105.15) - (xy 102.467078 105.15) - (xy 102.487043 105.276055) - (xy 102.544984 105.389771) - (xy 102.635229 105.480016) - (xy 102.748945 105.537957) - (xy 102.774964 105.542078) - (xy 102.861899 105.555847) - (xy 102.875 105.557922) - (xy 102.888102 105.555847) - (xy 102.975036 105.542078) - (xy 103.001055 105.537957) - (xy 103.114771 105.480016) - (xy 103.205016 105.389771) - (xy 103.262957 105.276055) - (xy 103.282922 105.15) - (xy 103.266198 105.04441) - (xy 104.192477 105.04441) - (xy 104.193171 105.049717) - (xy 104.193171 105.04972) - (xy 104.199047 105.094653) - (xy 104.209298 105.173046) - (xy 104.211457 105.177952) - (xy 104.211457 105.177953) - (xy 104.254623 105.276055) - (xy 104.261547 105.291791) - (xy 104.345023 105.391098) - (xy 104.375191 105.411179) - (xy 104.445889 105.458239) - (xy 104.453017 105.462984) - (xy 104.576845 105.501671) - (xy 104.643716 105.502896) - (xy 104.701193 105.50395) - (xy 104.701195 105.50395) - (xy 104.706555 105.504048) - (xy 104.711726 105.502638) - (xy 104.711728 105.502638) - (xy 104.810073 105.475826) - (xy 104.831718 105.469925) - (xy 104.927395 105.411179) - (xy 104.937708 105.404847) - (xy 104.942273 105.402044) - (xy 104.945865 105.398076) - (xy 104.945867 105.398074) - (xy 104.989381 105.35) - (xy 105.029332 105.305863) - (xy 105.085897 105.189112) - (xy 105.10742 105.061179) - (xy 105.107557 105.05) - (xy 105.107145 105.047122) - (xy 105.089925 104.926876) - (xy 105.089924 104.926873) - (xy 105.089166 104.921579) - (xy 105.084526 104.911373) - (xy 105.056621 104.85) - (xy 108.542078 104.85) - (xy 108.562043 104.976055) - (xy 108.619984 105.089771) - (xy 108.710229 105.180016) - (xy 108.715505 105.182704) - (xy 108.715506 105.182705) - (xy 108.763344 105.207079) - (xy 108.823945 105.237957) - (xy 108.95 105.257922) - (xy 109.076055 105.237957) - (xy 109.136656 105.207079) - (xy 109.184494 105.182705) - (xy 109.184495 105.182704) - (xy 109.189771 105.180016) - (xy 109.280016 105.089771) - (xy 109.337957 104.976055) - (xy 109.357922 104.85) - (xy 109.337957 104.723945) - (xy 109.30028 104.65) - (xy 118.242078 104.65) - (xy 118.262043 104.776055) - (xy 118.284163 104.819468) - (xy 118.303485 104.857389) - (xy 118.319984 104.889771) - (xy 118.410229 104.980016) - (xy 118.415505 104.982704) - (xy 118.415506 104.982705) - (xy 118.425019 104.987552) - (xy 118.523945 105.037957) - (xy 118.529792 105.038883) - (xy 118.635125 105.055566) - (xy 118.65 105.057922) - (xy 118.664876 105.055566) - (xy 118.770208 105.038883) - (xy 118.776055 105.037957) - (xy 118.874981 104.987552) - (xy 118.884494 104.982705) - (xy 118.884495 104.982704) - (xy 118.889771 104.980016) - (xy 118.980016 104.889771) - (xy 118.996516 104.857389) - (xy 119.015837 104.819468) - (xy 119.037957 104.776055) - (xy 119.057922 104.65) - (xy 122.842078 104.65) - (xy 122.862043 104.776055) - (xy 122.884163 104.819468) - (xy 122.903485 104.857389) - (xy 122.919984 104.889771) - (xy 123.010229 104.980016) - (xy 123.015505 104.982704) - (xy 123.015506 104.982705) - (xy 123.025019 104.987552) - (xy 123.123945 105.037957) - (xy 123.129792 105.038883) - (xy 123.235125 105.055566) - (xy 123.25 105.057922) - (xy 123.264876 105.055566) - (xy 123.370208 105.038883) - (xy 123.376055 105.037957) - (xy 123.474981 104.987552) - (xy 123.484494 104.982705) - (xy 123.484495 104.982704) - (xy 123.489771 104.980016) - (xy 123.580016 104.889771) - (xy 123.596516 104.857389) - (xy 123.615837 104.819468) - (xy 123.637957 104.776055) - (xy 123.657922 104.65) - (xy 125.142078 104.65) - (xy 125.162043 104.776055) - (xy 125.184163 104.819468) - (xy 125.203485 104.857389) - (xy 125.219984 104.889771) - (xy 125.310229 104.980016) - (xy 125.315505 104.982704) - (xy 125.315506 104.982705) - (xy 125.325019 104.987552) - (xy 125.423945 105.037957) - (xy 125.429792 105.038883) - (xy 125.535125 105.055566) - (xy 125.55 105.057922) - (xy 125.564876 105.055566) - (xy 125.670208 105.038883) - (xy 125.676055 105.037957) - (xy 125.774981 104.987552) - (xy 125.784494 104.982705) - (xy 125.784495 104.982704) - (xy 125.789771 104.980016) - (xy 125.880016 104.889771) - (xy 125.896516 104.857389) - (xy 125.915837 104.819468) - (xy 125.937957 104.776055) - (xy 125.957922 104.65) - (xy 125.95653 104.641208) - (xy 125.938883 104.529792) - (xy 125.937957 104.523945) - (xy 125.903357 104.456039) - (xy 125.882705 104.415506) - (xy 125.882704 104.415505) - (xy 125.880016 104.410229) - (xy 125.789771 104.319984) - (xy 125.77946 104.31473) - (xy 125.75055 104.3) - (xy 126.911493 104.3) - (xy 126.929842 104.439376) - (xy 126.931727 104.443928) - (xy 126.931728 104.44393) - (xy 126.952531 104.494153) - (xy 126.983639 104.569253) - (xy 126.986637 104.57316) - (xy 127.066186 104.67683) - (xy 127.069218 104.680782) - (xy 127.180746 104.766361) - (xy 127.21688 104.781328) - (xy 127.30607 104.818272) - (xy 127.306072 104.818273) - (xy 127.310624 104.820158) - (xy 127.45 104.838507) - (xy 127.589376 104.820158) - (xy 127.593928 104.818273) - (xy 127.59393 104.818272) - (xy 127.68312 104.781328) - (xy 127.719254 104.766361) - (xy 127.830782 104.680782) - (xy 127.833815 104.67683) - (xy 127.913363 104.57316) - (xy 127.916361 104.569253) - (xy 127.947469 104.494153) - (xy 127.968272 104.44393) - (xy 127.968273 104.443928) - (xy 127.970158 104.439376) - (xy 127.988507 104.3) - (xy 127.970158 104.160624) - (xy 127.958023 104.131326) - (xy 127.923758 104.048605) - (xy 127.916361 104.030747) - (xy 127.868729 103.968672) - (xy 127.83378 103.923125) - (xy 127.830782 103.919218) - (xy 127.719254 103.833639) - (xy 127.654932 103.806996) - (xy 127.59393 103.781728) - (xy 127.593928 103.781727) - (xy 127.589376 103.779842) - (xy 127.45 103.761493) - (xy 127.310624 103.779842) - (xy 127.306072 103.781727) - (xy 127.30607 103.781728) - (xy 127.288797 103.788883) - (xy 127.180747 103.833639) - (xy 127.069218 103.919218) - (xy 127.06622 103.923125) - (xy 127.031271 103.968672) - (xy 126.983639 104.030747) - (xy 126.976242 104.048605) - (xy 126.941978 104.131326) - (xy 126.929842 104.160624) - (xy 126.911493 104.3) - (xy 125.75055 104.3) - (xy 125.735002 104.292078) - (xy 125.676055 104.262043) - (xy 125.55 104.242078) - (xy 125.423945 104.262043) - (xy 125.364998 104.292078) - (xy 125.320541 104.31473) - (xy 125.310229 104.319984) - (xy 125.219984 104.410229) - (xy 125.217296 104.415505) - (xy 125.217295 104.415506) - (xy 125.196643 104.456039) - (xy 125.162043 104.523945) - (xy 125.161117 104.529792) - (xy 125.143471 104.641208) - (xy 125.142078 104.65) - (xy 123.657922 104.65) - (xy 123.65653 104.641208) - (xy 123.638883 104.529792) - (xy 123.637957 104.523945) - (xy 123.603357 104.456039) - (xy 123.582705 104.415506) - (xy 123.582704 104.415505) - (xy 123.580016 104.410229) - (xy 123.489771 104.319984) - (xy 123.47946 104.31473) - (xy 123.435002 104.292078) - (xy 123.376055 104.262043) - (xy 123.25 104.242078) - (xy 123.123945 104.262043) - (xy 123.064998 104.292078) - (xy 123.020541 104.31473) - (xy 123.010229 104.319984) - (xy 122.919984 104.410229) - (xy 122.917296 104.415505) - (xy 122.917295 104.415506) - (xy 122.896643 104.456039) - (xy 122.862043 104.523945) - (xy 122.861117 104.529792) - (xy 122.843471 104.641208) - (xy 122.842078 104.65) - (xy 119.057922 104.65) - (xy 119.05653 104.641208) - (xy 119.038883 104.529792) - (xy 119.037957 104.523945) - (xy 119.003357 104.456039) - (xy 118.982705 104.415506) - (xy 118.982704 104.415505) - (xy 118.980016 104.410229) - (xy 118.889771 104.319984) - (xy 118.87946 104.31473) - (xy 118.835002 104.292078) - (xy 118.776055 104.262043) - (xy 118.65 104.242078) - (xy 118.523945 104.262043) - (xy 118.464998 104.292078) - (xy 118.420541 104.31473) - (xy 118.410229 104.319984) - (xy 118.319984 104.410229) - (xy 118.317296 104.415505) - (xy 118.317295 104.415506) - (xy 118.296643 104.456039) - (xy 118.262043 104.523945) - (xy 118.261117 104.529792) - (xy 118.243471 104.641208) - (xy 118.242078 104.65) - (xy 109.30028 104.65) - (xy 109.292454 104.63464) - (xy 109.282705 104.615506) - (xy 109.282704 104.615505) - (xy 109.280016 104.610229) - (xy 109.189771 104.519984) - (xy 109.162026 104.505847) - (xy 109.103555 104.476055) - (xy 109.076055 104.462043) - (xy 109.013027 104.45206) - (xy 108.955847 104.443004) - (xy 108.95 104.442078) - (xy 108.944153 104.443004) - (xy 108.886973 104.45206) - (xy 108.823945 104.462043) - (xy 108.796445 104.476055) - (xy 108.737975 104.505847) - (xy 108.710229 104.519984) - (xy 108.619984 104.610229) - (xy 108.617296 104.615505) - (xy 108.617295 104.615506) - (xy 108.607546 104.63464) - (xy 108.562043 104.723945) - (xy 108.542078 104.85) - (xy 105.056621 104.85) - (xy 105.037689 104.808362) - (xy 105.037688 104.808361) - (xy 105.03547 104.803482) - (xy 105.027969 104.794776) - (xy 104.954282 104.709258) - (xy 104.954281 104.709257) - (xy 104.950787 104.705202) - (xy 104.942761 104.7) - (xy 104.846416 104.637552) - (xy 104.846415 104.637551) - (xy 104.841923 104.63464) - (xy 104.799367 104.621913) - (xy 104.722765 104.599004) - (xy 104.722762 104.599004) - (xy 104.717631 104.597469) - (xy 104.712276 104.597436) - (xy 104.712274 104.597436) - (xy 104.654164 104.597081) - (xy 104.587902 104.596676) - (xy 104.463166 104.632326) - (xy 104.353448 104.701553) - (xy 104.26757 104.798791) - (xy 104.265294 104.803638) - (xy 104.265293 104.80364) - (xy 104.240782 104.855847) - (xy 104.212436 104.916223) - (xy 104.192477 105.04441) - (xy 103.266198 105.04441) - (xy 103.262957 105.023945) - (xy 103.223651 104.946802) - (xy 103.207705 104.915506) - (xy 103.207704 104.915505) - (xy 103.205016 104.910229) - (xy 103.114771 104.819984) - (xy 103.091962 104.808362) - (xy 103.028555 104.776055) - (xy 103.001055 104.762043) - (xy 102.875 104.742078) - (xy 102.748945 104.762043) - (xy 102.721445 104.776055) - (xy 102.658039 104.808362) - (xy 102.635229 104.819984) - (xy 102.544984 104.910229) - (xy 102.542296 104.915505) - (xy 102.542295 104.915506) - (xy 102.526349 104.946802) - (xy 102.487043 105.023945) - (xy 102.467078 105.15) - (xy 64.997605 105.15) - (xy 64.974379 105.106318) - (xy 64.850072 104.953903) - (xy 64.794491 104.907922) - (xy 64.701359 104.830877) - (xy 64.698528 104.828535) - (xy 64.52552 104.73499) - (xy 64.412487 104.7) - (xy 75.942078 104.7) - (xy 75.962043 104.826055) - (xy 75.980298 104.861882) - (xy 76.013414 104.926876) - (xy 76.019984 104.939771) - (xy 76.110229 105.030016) - (xy 76.115505 105.032704) - (xy 76.115506 105.032705) - (xy 76.146891 105.048696) - (xy 76.223945 105.087957) - (xy 76.248449 105.091838) - (xy 76.339873 105.106318) - (xy 76.35 105.107922) - (xy 76.360128 105.106318) - (xy 76.451551 105.091838) - (xy 76.476055 105.087957) - (xy 76.553109 105.048696) - (xy 76.584494 105.032705) - (xy 76.584495 105.032704) - (xy 76.589771 105.030016) - (xy 76.680016 104.939771) - (xy 76.686587 104.926876) - (xy 76.719702 104.861882) - (xy 76.737957 104.826055) - (xy 76.757922 104.7) - (xy 76.750003 104.65) - (xy 84.742078 104.65) - (xy 84.762043 104.776055) - (xy 84.784163 104.819468) - (xy 84.803485 104.857389) - (xy 84.819984 104.889771) - (xy 84.910229 104.980016) - (xy 84.915505 104.982704) - (xy 84.915506 104.982705) - (xy 84.925019 104.987552) - (xy 85.023945 105.037957) - (xy 85.029792 105.038883) - (xy 85.135125 105.055566) - (xy 85.15 105.057922) - (xy 85.164876 105.055566) - (xy 85.270208 105.038883) - (xy 85.276055 105.037957) - (xy 85.374981 104.987552) - (xy 85.384494 104.982705) - (xy 85.384495 104.982704) - (xy 85.389771 104.980016) - (xy 85.480016 104.889771) - (xy 85.496516 104.857389) - (xy 85.515837 104.819468) - (xy 85.537957 104.776055) - (xy 85.557922 104.65) - (xy 85.55653 104.641208) - (xy 85.538883 104.529792) - (xy 85.537957 104.523945) - (xy 85.503357 104.456039) - (xy 85.482705 104.415506) - (xy 85.482704 104.415505) - (xy 85.480016 104.410229) - (xy 85.389771 104.319984) - (xy 85.37946 104.31473) - (xy 85.335002 104.292078) - (xy 85.276055 104.262043) - (xy 85.15 104.242078) - (xy 85.023945 104.262043) - (xy 84.964998 104.292078) - (xy 84.920541 104.31473) - (xy 84.910229 104.319984) - (xy 84.819984 104.410229) - (xy 84.817296 104.415505) - (xy 84.817295 104.415506) - (xy 84.796643 104.456039) - (xy 84.762043 104.523945) - (xy 84.761117 104.529792) - (xy 84.743471 104.641208) - (xy 84.742078 104.65) - (xy 76.750003 104.65) - (xy 76.737957 104.573945) - (xy 76.692775 104.48527) - (xy 76.682705 104.465506) - (xy 76.682704 104.465505) - (xy 76.680016 104.460229) - (xy 76.589771 104.369984) - (xy 76.562026 104.355847) - (xy 76.520118 104.334494) - (xy 76.476055 104.312043) - (xy 76.400018 104.3) - (xy 76.355847 104.293004) - (xy 76.35 104.292078) - (xy 76.344153 104.293004) - (xy 76.299982 104.3) - (xy 76.223945 104.312043) - (xy 76.179882 104.334494) - (xy 76.137975 104.355847) - (xy 76.110229 104.369984) - (xy 76.019984 104.460229) - (xy 76.017296 104.465505) - (xy 76.017295 104.465506) - (xy 76.007225 104.48527) - (xy 75.962043 104.573945) - (xy 75.942078 104.7) - (xy 64.412487 104.7) - (xy 64.337637 104.67683) - (xy 64.214766 104.663916) - (xy 64.14569 104.656656) - (xy 64.145689 104.656656) - (xy 64.142035 104.656272) - (xy 64.044101 104.665184) - (xy 63.949824 104.673764) - (xy 63.949823 104.673764) - (xy 63.946166 104.674097) - (xy 63.942641 104.675134) - (xy 63.942638 104.675135) - (xy 63.794714 104.718672) - (xy 63.757489 104.729628) - (xy 63.583192 104.820748) - (xy 63.539538 104.855847) - (xy 63.457862 104.921516) - (xy 63.429912 104.943988) - (xy 63.303489 105.094653) - (xy 63.301718 105.097875) - (xy 63.301717 105.097876) - (xy 63.214241 105.256996) - (xy 63.208739 105.267004) - (xy 63.149269 105.454476) - (xy 63.127345 105.64993) - (xy 62.600871 105.64993) - (xy 62.583427 105.472024) - (xy 62.582001 105.464828) - (xy 62.527312 105.283688) - (xy 62.524524 105.276922) - (xy 62.435686 105.109843) - (xy 62.431635 105.103745) - (xy 62.411154 105.078633) - (xy 62.40187 105.073099) - (xy 62.397292 105.07384) - (xy 61.816509 105.654623) - (xy 61.81194 105.664422) - (xy 61.377666 105.664422) - (xy 61.37806 105.663578) - (xy 61.376455 105.657587) - (xy 60.796049 105.077181) - (xy 60.78625 105.072612) - (xy 60.78159 105.073861) - (xy 60.766269 105.092119) - (xy 60.76213 105.098163) - (xy 60.670975 105.263975) - (xy 60.668088 105.270711) - (xy 60.610874 105.451074) - (xy 60.609351 105.458239) - (xy 60.588259 105.646275) - (xy 60.588156 105.653608) - (xy 46.101 105.653608) - (xy 46.101 104.966481) - (xy 46.118593 104.918143) - (xy 46.163142 104.892423) - (xy 46.210341 104.899478) - (xy 46.228945 104.908957) - (xy 46.355 104.928922) - (xy 46.481055 104.908957) - (xy 46.566653 104.865343) - (xy 46.589494 104.853705) - (xy 46.589495 104.853704) - (xy 46.594771 104.851016) - (xy 46.685016 104.760771) - (xy 46.696169 104.738883) - (xy 46.725772 104.680782) - (xy 46.742957 104.647055) - (xy 46.756 104.564704) - (xy 46.761996 104.526847) - (xy 46.762922 104.521) - (xy 51.027078 104.521) - (xy 51.028004 104.526847) - (xy 51.034 104.564704) - (xy 51.047043 104.647055) - (xy 51.064228 104.680782) - (xy 51.093832 104.738883) - (xy 51.104984 104.760771) - (xy 51.195229 104.851016) - (xy 51.200505 104.853704) - (xy 51.200506 104.853705) - (xy 51.223347 104.865343) - (xy 51.308945 104.908957) - (xy 51.435 104.928922) - (xy 51.561055 104.908957) - (xy 51.646653 104.865343) - (xy 51.669494 104.853705) - (xy 51.669495 104.853704) - (xy 51.674771 104.851016) - (xy 51.765016 104.760771) - (xy 51.776169 104.738883) - (xy 51.805772 104.680782) - (xy 51.822957 104.647055) - (xy 51.836 104.564704) - (xy 51.841996 104.526847) - (xy 51.842922 104.521) - (xy 55.992078 104.521) - (xy 55.993004 104.526847) - (xy 55.999 104.564704) - (xy 56.012043 104.647055) - (xy 56.029228 104.680782) - (xy 56.058832 104.738883) - (xy 56.069984 104.760771) - (xy 56.160229 104.851016) - (xy 56.165505 104.853704) - (xy 56.165506 104.853705) - (xy 56.188347 104.865343) - (xy 56.273945 104.908957) - (xy 56.4 104.928922) - (xy 56.526055 104.908957) - (xy 56.611653 104.865343) - (xy 56.627264 104.857389) - (xy 61.004319 104.857389) - (xy 61.005014 104.861882) - (xy 61.585623 105.442491) - (xy 61.595422 105.44706) - (xy 61.601413 105.445455) - (xy 62.181525 104.865343) - (xy 62.186094 104.855544) - (xy 62.18487 104.850976) - (xy 62.161078 104.831294) - (xy 62.155016 104.827205) - (xy 61.988557 104.737201) - (xy 61.981813 104.734366) - (xy 61.801047 104.67841) - (xy 61.79388 104.676939) - (xy 61.605687 104.657159) - (xy 61.598374 104.657108) - (xy 61.409918 104.674258) - (xy 61.402732 104.675629) - (xy 61.221208 104.729055) - (xy 61.214421 104.731797) - (xy 61.046721 104.819468) - (xy 61.040603 104.823471) - (xy 61.009918 104.848143) - (xy 61.004319 104.857389) - (xy 56.627264 104.857389) - (xy 56.634494 104.853705) - (xy 56.634495 104.853704) - (xy 56.639771 104.851016) - (xy 56.730016 104.760771) - (xy 56.741169 104.738883) - (xy 56.770772 104.680782) - (xy 56.787957 104.647055) - (xy 56.801 104.564704) - (xy 56.806996 104.526847) - (xy 56.807922 104.521) - (xy 56.787957 104.394945) - (xy 56.752739 104.325826) - (xy 56.732705 104.286506) - (xy 56.732704 104.286505) - (xy 56.730016 104.281229) - (xy 56.639771 104.190984) - (xy 56.623523 104.182705) - (xy 56.556964 104.148792) - (xy 56.526055 104.133043) - (xy 56.448604 104.120776) - (xy 56.405847 104.114004) - (xy 56.4 104.113078) - (xy 56.394153 104.114004) - (xy 56.351396 104.120776) - (xy 56.273945 104.133043) - (xy 56.243036 104.148792) - (xy 56.176478 104.182705) - (xy 56.160229 104.190984) - (xy 56.069984 104.281229) - (xy 56.067296 104.286505) - (xy 56.067295 104.286506) - (xy 56.047261 104.325826) - (xy 56.012043 104.394945) - (xy 55.992078 104.521) - (xy 51.842922 104.521) - (xy 51.822957 104.394945) - (xy 51.787739 104.325826) - (xy 51.767705 104.286506) - (xy 51.767704 104.286505) - (xy 51.765016 104.281229) - (xy 51.674771 104.190984) - (xy 51.658523 104.182705) - (xy 51.591964 104.148792) - (xy 51.561055 104.133043) - (xy 51.483604 104.120776) - (xy 51.440847 104.114004) - (xy 51.435 104.113078) - (xy 51.429153 104.114004) - (xy 51.386396 104.120776) - (xy 51.308945 104.133043) - (xy 51.278036 104.148792) - (xy 51.211478 104.182705) - (xy 51.195229 104.190984) - (xy 51.104984 104.281229) - (xy 51.102296 104.286505) - (xy 51.102295 104.286506) - (xy 51.082261 104.325826) - (xy 51.047043 104.394945) - (xy 51.027078 104.521) - (xy 46.762922 104.521) - (xy 46.742957 104.394945) - (xy 46.707739 104.325826) - (xy 46.687705 104.286506) - (xy 46.687704 104.286505) - (xy 46.685016 104.281229) - (xy 46.594771 104.190984) - (xy 46.578523 104.182705) - (xy 46.511964 104.148792) - (xy 46.481055 104.133043) - (xy 46.403604 104.120776) - (xy 46.360847 104.114004) - (xy 46.355 104.113078) - (xy 46.349153 104.114004) - (xy 46.306396 104.120776) - (xy 46.228945 104.133043) - (xy 46.22367 104.135731) - (xy 46.223669 104.135731) - (xy 46.210341 104.142522) - (xy 46.159285 104.148792) - (xy 46.116143 104.120776) - (xy 46.101 104.075519) - (xy 46.101 103.10993) - (xy 60.587345 103.10993) - (xy 60.587653 103.113598) - (xy 60.587653 103.113601) - (xy 60.598621 103.244208) - (xy 60.603803 103.305919) - (xy 60.658015 103.494979) - (xy 60.747916 103.669908) - (xy 60.870083 103.824044) - (xy 60.872877 103.826422) - (xy 60.872878 103.826423) - (xy 60.922521 103.868672) - (xy 61.019862 103.951516) - (xy 61.023063 103.953305) - (xy 61.023066 103.953307) - (xy 61.075443 103.982579) - (xy 61.191547 104.047467) - (xy 61.195044 104.048603) - (xy 61.195048 104.048605) - (xy 61.277882 104.075519) - (xy 61.3786 104.108244) - (xy 61.478931 104.120208) - (xy 61.570237 104.131096) - (xy 61.570239 104.131096) - (xy 61.573895 104.131532) - (xy 61.769994 104.116443) - (xy 61.916567 104.075519) - (xy 61.955883 104.064542) - (xy 61.955885 104.064541) - (xy 61.959428 104.063552) - (xy 62.125245 103.979792) - (xy 62.131697 103.976533) - (xy 62.131698 103.976532) - (xy 62.134981 103.974874) - (xy 62.289966 103.853786) - (xy 62.41848 103.704901) - (xy 62.425238 103.693004) - (xy 62.51381 103.537091) - (xy 62.513812 103.537088) - (xy 62.515628 103.53389) - (xy 62.565921 103.382705) - (xy 62.576548 103.35076) - (xy 62.576549 103.350757) - (xy 62.57771 103.347266) - (xy 62.60236 103.152138) - (xy 62.602619 103.133577) - (xy 62.602724 103.126099) - (xy 62.602724 103.126093) - (xy 62.602753 103.124) - (xy 62.602548 103.121907) - (xy 62.601374 103.10993) - (xy 63.127345 103.10993) - (xy 63.127653 103.113598) - (xy 63.127653 103.113601) - (xy 63.138621 103.244208) - (xy 63.143803 103.305919) - (xy 63.198015 103.494979) - (xy 63.287916 103.669908) - (xy 63.410083 103.824044) - (xy 63.412877 103.826422) - (xy 63.412878 103.826423) - (xy 63.462521 103.868672) - (xy 63.559862 103.951516) - (xy 63.563063 103.953305) - (xy 63.563066 103.953307) - (xy 63.615443 103.982579) - (xy 63.731547 104.047467) - (xy 63.735044 104.048603) - (xy 63.735048 104.048605) - (xy 63.817882 104.075519) - (xy 63.9186 104.108244) - (xy 64.018931 104.120208) - (xy 64.110237 104.131096) - (xy 64.110239 104.131096) - (xy 64.113895 104.131532) - (xy 64.309994 104.116443) - (xy 64.368886 104.1) - (xy 89.042078 104.1) - (xy 89.043004 104.105847) - (xy 89.047072 104.131532) - (xy 89.062043 104.226055) - (xy 89.079872 104.261046) - (xy 89.116384 104.332705) - (xy 89.119984 104.339771) - (xy 89.210229 104.430016) - (xy 89.215505 104.432704) - (xy 89.215506 104.432705) - (xy 89.228599 104.439376) - (xy 89.323945 104.487957) - (xy 89.329792 104.488883) - (xy 89.436899 104.505847) - (xy 89.45 104.507922) - (xy 89.463102 104.505847) - (xy 89.500018 104.5) - (xy 100.092078 104.5) - (xy 100.112043 104.626055) - (xy 100.122743 104.647055) - (xy 100.165684 104.731331) - (xy 100.169984 104.739771) - (xy 100.260229 104.830016) - (xy 100.265505 104.832704) - (xy 100.265506 104.832705) - (xy 100.295805 104.848143) - (xy 100.373945 104.887957) - (xy 100.411853 104.893961) - (xy 100.48957 104.90627) - (xy 100.5 104.907922) - (xy 100.510431 104.90627) - (xy 100.588147 104.893961) - (xy 100.626055 104.887957) - (xy 100.704195 104.848143) - (xy 100.734494 104.832705) - (xy 100.734495 104.832704) - (xy 100.739771 104.830016) - (xy 100.830016 104.739771) - (xy 100.834317 104.731331) - (xy 100.877257 104.647055) - (xy 100.887957 104.626055) - (xy 100.907922 104.5) - (xy 100.887957 104.373945) - (xy 100.875756 104.35) - (xy 107.642078 104.35) - (xy 107.662043 104.476055) - (xy 107.674244 104.5) - (xy 107.70953 104.569253) - (xy 107.719984 104.589771) - (xy 107.810229 104.680016) - (xy 107.815505 104.682704) - (xy 107.815506 104.682705) - (xy 107.846891 104.698696) - (xy 107.923945 104.737957) - (xy 108.05 104.757922) - (xy 108.176055 104.737957) - (xy 108.253109 104.698696) - (xy 108.284494 104.682705) - (xy 108.284495 104.682704) - (xy 108.289771 104.680016) - (xy 108.380016 104.589771) - (xy 108.390471 104.569253) - (xy 108.425756 104.5) - (xy 108.437957 104.476055) - (xy 108.457922 104.35) - (xy 108.437957 104.223945) - (xy 108.39301 104.135731) - (xy 108.382705 104.115506) - (xy 108.382704 104.115505) - (xy 108.380016 104.110229) - (xy 108.289771 104.019984) - (xy 108.262026 104.005847) - (xy 108.194535 103.971459) - (xy 108.176055 103.962043) - (xy 108.103055 103.950481) - (xy 108.055847 103.943004) - (xy 108.05 103.942078) - (xy 108.044153 103.943004) - (xy 107.996945 103.950481) - (xy 107.923945 103.962043) - (xy 107.905465 103.971459) - (xy 107.837975 104.005847) - (xy 107.810229 104.019984) - (xy 107.719984 104.110229) - (xy 107.717296 104.115505) - (xy 107.717295 104.115506) - (xy 107.70699 104.135731) - (xy 107.662043 104.223945) - (xy 107.642078 104.35) - (xy 100.875756 104.35) - (xy 100.830016 104.260229) - (xy 100.739771 104.169984) - (xy 100.730993 104.165511) - (xy 100.672545 104.135731) - (xy 100.626055 104.112043) - (xy 100.526916 104.096341) - (xy 100.505847 104.093004) - (xy 100.5 104.092078) - (xy 100.494153 104.093004) - (xy 100.473084 104.096341) - (xy 100.373945 104.112043) - (xy 100.327455 104.135731) - (xy 100.269008 104.165511) - (xy 100.260229 104.169984) - (xy 100.169984 104.260229) - (xy 100.112043 104.373945) - (xy 100.092078 104.5) - (xy 89.500018 104.5) - (xy 89.570208 104.488883) - (xy 89.576055 104.487957) - (xy 89.671401 104.439376) - (xy 89.684494 104.432705) - (xy 89.684495 104.432704) - (xy 89.689771 104.430016) - (xy 89.780016 104.339771) - (xy 89.783617 104.332705) - (xy 89.820128 104.261046) - (xy 89.837957 104.226055) - (xy 89.852928 104.131532) - (xy 89.856996 104.105847) - (xy 89.857922 104.1) - (xy 89.842084 104) - (xy 99.192078 104) - (xy 99.212043 104.126055) - (xy 99.229657 104.160624) - (xy 99.259234 104.218672) - (xy 99.269984 104.239771) - (xy 99.360229 104.330016) - (xy 99.365505 104.332704) - (xy 99.365506 104.332705) - (xy 99.39945 104.35) - (xy 99.473945 104.387957) - (xy 99.518066 104.394945) - (xy 99.554983 104.400792) - (xy 99.6 104.407922) - (xy 99.645018 104.400792) - (xy 99.681934 104.394945) - (xy 99.726055 104.387957) - (xy 99.80055 104.35) - (xy 99.834494 104.332705) - (xy 99.834495 104.332704) - (xy 99.839771 104.330016) - (xy 99.930016 104.239771) - (xy 99.940767 104.218672) - (xy 99.970343 104.160624) - (xy 99.987957 104.126055) - (xy 100.007922 104) - (xy 100.003943 103.974874) - (xy 99.988883 103.879792) - (xy 99.987957 103.873945) - (xy 99.939682 103.779199) - (xy 99.932705 103.765506) - (xy 99.932704 103.765505) - (xy 99.930016 103.760229) - (xy 99.839771 103.669984) - (xy 99.833201 103.666636) - (xy 99.750083 103.624286) - (xy 99.726055 103.612043) - (xy 99.6 103.592078) - (xy 99.473945 103.612043) - (xy 99.449917 103.624286) - (xy 99.3668 103.666636) - (xy 99.360229 103.669984) - (xy 99.269984 103.760229) - (xy 99.267296 103.765505) - (xy 99.267295 103.765506) - (xy 99.260318 103.779199) - (xy 99.212043 103.873945) - (xy 99.211117 103.879792) - (xy 99.196058 103.974874) - (xy 99.192078 104) - (xy 89.842084 104) - (xy 89.837957 103.973945) - (xy 89.795322 103.890269) - (xy 89.782705 103.865506) - (xy 89.782704 103.865505) - (xy 89.780016 103.860229) - (xy 89.689771 103.769984) - (xy 89.680983 103.765506) - (xy 89.629931 103.739494) - (xy 89.576055 103.712043) - (xy 89.45 103.692078) - (xy 89.323945 103.712043) - (xy 89.270069 103.739494) - (xy 89.219018 103.765506) - (xy 89.210229 103.769984) - (xy 89.119984 103.860229) - (xy 89.117296 103.865505) - (xy 89.117295 103.865506) - (xy 89.104678 103.890269) - (xy 89.062043 103.973945) - (xy 89.042078 104.1) - (xy 64.368886 104.1) - (xy 64.456567 104.075519) - (xy 64.495883 104.064542) - (xy 64.495885 104.064541) - (xy 64.499428 104.063552) - (xy 64.665245 103.979792) - (xy 64.671697 103.976533) - (xy 64.671698 103.976532) - (xy 64.674981 103.974874) - (xy 64.829966 103.853786) - (xy 64.95848 103.704901) - (xy 64.965238 103.693004) - (xy 65.05381 103.537091) - (xy 65.053812 103.537088) - (xy 65.055628 103.53389) - (xy 65.105921 103.382705) - (xy 65.116548 103.35076) - (xy 65.116549 103.350757) - (xy 65.11771 103.347266) - (xy 65.14236 103.152138) - (xy 65.142619 103.133577) - (xy 65.142724 103.126099) - (xy 65.142724 103.126093) - (xy 65.142753 103.124) - (xy 76.808078 103.124) - (xy 76.809004 103.129847) - (xy 76.810435 103.138883) - (xy 76.828043 103.250055) - (xy 76.848279 103.289771) - (xy 76.879355 103.35076) - (xy 76.885984 103.363771) - (xy 76.976229 103.454016) - (xy 76.981505 103.456704) - (xy 76.981506 103.456705) - (xy 76.991983 103.462043) - (xy 77.089945 103.511957) - (xy 77.216 103.531922) - (xy 77.342055 103.511957) - (xy 77.440017 103.462043) - (xy 77.450494 103.456705) - (xy 77.450495 103.456704) - (xy 77.455771 103.454016) - (xy 77.546016 103.363771) - (xy 77.552646 103.35076) - (xy 77.583721 103.289771) - (xy 77.603957 103.250055) - (xy 77.621565 103.138883) - (xy 77.622996 103.129847) - (xy 77.623922 103.124) - (xy 78.078078 103.124) - (xy 78.079004 103.129847) - (xy 78.080435 103.138883) - (xy 78.098043 103.250055) - (xy 78.118279 103.289771) - (xy 78.149355 103.35076) - (xy 78.155984 103.363771) - (xy 78.246229 103.454016) - (xy 78.251505 103.456704) - (xy 78.251506 103.456705) - (xy 78.261983 103.462043) - (xy 78.359945 103.511957) - (xy 78.486 103.531922) - (xy 78.612055 103.511957) - (xy 78.710017 103.462043) - (xy 78.720494 103.456705) - (xy 78.720495 103.456704) - (xy 78.725771 103.454016) - (xy 78.816016 103.363771) - (xy 78.822646 103.35076) - (xy 78.853721 103.289771) - (xy 78.873957 103.250055) - (xy 78.891565 103.138883) - (xy 78.892996 103.129847) - (xy 78.893922 103.124) - (xy 79.348078 103.124) - (xy 79.349004 103.129847) - (xy 79.350435 103.138883) - (xy 79.368043 103.250055) - (xy 79.388279 103.289771) - (xy 79.419355 103.35076) - (xy 79.425984 103.363771) - (xy 79.516229 103.454016) - (xy 79.521505 103.456704) - (xy 79.521506 103.456705) - (xy 79.531983 103.462043) - (xy 79.629945 103.511957) - (xy 79.756 103.531922) - (xy 79.882055 103.511957) - (xy 79.980017 103.462043) - (xy 79.990494 103.456705) - (xy 79.990495 103.456704) - (xy 79.995771 103.454016) - (xy 80.049787 103.4) - (xy 87.142078 103.4) - (xy 87.162043 103.526055) - (xy 87.219984 103.639771) - (xy 87.310229 103.730016) - (xy 87.315505 103.732704) - (xy 87.315506 103.732705) - (xy 87.33066 103.740426) - (xy 87.423945 103.787957) - (xy 87.55 103.807922) - (xy 87.676055 103.787957) - (xy 87.76934 103.740426) - (xy 87.784494 103.732705) - (xy 87.784495 103.732704) - (xy 87.789771 103.730016) - (xy 87.880016 103.639771) - (xy 87.937957 103.526055) - (xy 87.957922 103.4) - (xy 87.950003 103.35) - (xy 108.892329 103.35) - (xy 108.911331 103.494336) - (xy 108.913216 103.498888) - (xy 108.913217 103.49889) - (xy 108.926268 103.530396) - (xy 108.967043 103.628835) - (xy 108.970039 103.632739) - (xy 108.970041 103.632743) - (xy 109.051954 103.739494) - (xy 109.055667 103.744333) - (xy 109.059574 103.747331) - (xy 109.076383 103.760229) - (xy 109.171164 103.832957) - (xy 109.226425 103.855847) - (xy 109.30111 103.886783) - (xy 109.301112 103.886784) - (xy 109.305664 103.888669) - (xy 109.45 103.907671) - (xy 109.594336 103.888669) - (xy 109.598888 103.886784) - (xy 109.59889 103.886783) - (xy 109.673575 103.855847) - (xy 109.687691 103.85) - (xy 114.342078 103.85) - (xy 114.343004 103.855847) - (xy 114.348304 103.889312) - (xy 114.362043 103.976055) - (xy 114.374244 104) - (xy 114.397515 104.045672) - (xy 114.419984 104.089771) - (xy 114.510229 104.180016) - (xy 114.515505 104.182704) - (xy 114.515506 104.182705) - (xy 114.531755 104.190984) - (xy 114.623945 104.237957) - (xy 114.75 104.257922) - (xy 114.876055 104.237957) - (xy 114.968245 104.190984) - (xy 114.984494 104.182705) - (xy 114.984495 104.182704) - (xy 114.989771 104.180016) - (xy 115.080016 104.089771) - (xy 115.102486 104.045672) - (xy 115.125756 104) - (xy 115.137957 103.976055) - (xy 115.151696 103.889312) - (xy 115.156996 103.855847) - (xy 115.157922 103.85) - (xy 122.138028 103.85) - (xy 122.138954 103.855847) - (xy 122.14333 103.883478) - (xy 122.158191 103.977306) - (xy 122.160878 103.982579) - (xy 122.212807 104.084494) - (xy 122.216708 104.092151) - (xy 122.307849 104.183292) - (xy 122.313125 104.18598) - (xy 122.313126 104.185981) - (xy 122.360914 104.21033) - (xy 122.422694 104.241809) - (xy 122.55 104.261972) - (xy 122.677306 104.241809) - (xy 122.739086 104.21033) - (xy 122.786874 104.185981) - (xy 122.786875 104.18598) - (xy 122.792151 104.183292) - (xy 122.883292 104.092151) - (xy 122.887194 104.084494) - (xy 122.939122 103.982579) - (xy 122.941809 103.977306) - (xy 122.95667 103.883478) - (xy 122.961046 103.855847) - (xy 122.961972 103.85) - (xy 122.941809 103.722694) - (xy 122.897803 103.636328) - (xy 122.885981 103.613126) - (xy 122.88598 103.613125) - (xy 122.883292 103.607849) - (xy 122.792151 103.516708) - (xy 122.780649 103.510847) - (xy 122.690139 103.46473) - (xy 122.677306 103.458191) - (xy 122.55 103.438028) - (xy 122.422694 103.458191) - (xy 122.409861 103.46473) - (xy 122.319352 103.510847) - (xy 122.307849 103.516708) - (xy 122.216708 103.607849) - (xy 122.21402 103.613125) - (xy 122.214019 103.613126) - (xy 122.202197 103.636328) - (xy 122.158191 103.722694) - (xy 122.138028 103.85) - (xy 115.157922 103.85) - (xy 115.137957 103.723945) - (xy 115.09238 103.634494) - (xy 115.082705 103.615506) - (xy 115.082704 103.615505) - (xy 115.080016 103.610229) - (xy 114.989771 103.519984) - (xy 114.983342 103.516708) - (xy 114.929842 103.489449) - (xy 114.876055 103.462043) - (xy 114.75 103.442078) - (xy 114.623945 103.462043) - (xy 114.570158 103.489449) - (xy 114.516659 103.516708) - (xy 114.510229 103.519984) - (xy 114.419984 103.610229) - (xy 114.417296 103.615505) - (xy 114.417295 103.615506) - (xy 114.40762 103.634494) - (xy 114.362043 103.723945) - (xy 114.342078 103.85) - (xy 109.687691 103.85) - (xy 109.728836 103.832957) - (xy 109.823617 103.760229) - (xy 109.840426 103.747331) - (xy 109.844333 103.744333) - (xy 109.848046 103.739494) - (xy 109.929959 103.632743) - (xy 109.929961 103.632739) - (xy 109.932957 103.628835) - (xy 109.973732 103.530396) - (xy 109.986783 103.49889) - (xy 109.986784 103.498888) - (xy 109.988669 103.494336) - (xy 110.007671 103.35) - (xy 109.988669 103.205664) - (xy 109.981335 103.187957) - (xy 109.953074 103.119731) - (xy 109.932957 103.071165) - (xy 109.929961 103.067261) - (xy 109.929959 103.067257) - (xy 109.916717 103.05) - (xy 111.342078 103.05) - (xy 111.362043 103.176055) - (xy 111.377808 103.206996) - (xy 111.409234 103.268672) - (xy 111.419984 103.289771) - (xy 111.510229 103.380016) - (xy 111.515505 103.382704) - (xy 111.515506 103.382705) - (xy 111.54945 103.4) - (xy 111.623945 103.437957) - (xy 111.75 103.457922) - (xy 111.876055 103.437957) - (xy 111.95055 103.4) - (xy 111.984494 103.382705) - (xy 111.984495 103.382704) - (xy 111.989771 103.380016) - (xy 112.080016 103.289771) - (xy 112.090767 103.268672) - (xy 112.122192 103.206996) - (xy 112.137957 103.176055) - (xy 112.157922 103.05) - (xy 113.642078 103.05) - (xy 113.662043 103.176055) - (xy 113.677808 103.206996) - (xy 113.709234 103.268672) - (xy 113.719984 103.289771) - (xy 113.810229 103.380016) - (xy 113.815505 103.382704) - (xy 113.815506 103.382705) - (xy 113.84945 103.4) - (xy 113.923945 103.437957) - (xy 114.05 103.457922) - (xy 114.176055 103.437957) - (xy 114.25055 103.4) - (xy 114.284494 103.382705) - (xy 114.284495 103.382704) - (xy 114.289771 103.380016) - (xy 114.380016 103.289771) - (xy 114.390767 103.268672) - (xy 114.422192 103.206996) - (xy 114.437957 103.176055) - (xy 114.457922 103.05) - (xy 117.042078 103.05) - (xy 117.062043 103.176055) - (xy 117.077808 103.206996) - (xy 117.109234 103.268672) - (xy 117.119984 103.289771) - (xy 117.210229 103.380016) - (xy 117.215505 103.382704) - (xy 117.215506 103.382705) - (xy 117.24945 103.4) - (xy 117.323945 103.437957) - (xy 117.45 103.457922) - (xy 117.576055 103.437957) - (xy 117.65055 103.4) - (xy 117.684494 103.382705) - (xy 117.684495 103.382704) - (xy 117.689771 103.380016) - (xy 117.780016 103.289771) - (xy 117.790767 103.268672) - (xy 117.822192 103.206996) - (xy 117.837957 103.176055) - (xy 117.857922 103.05) - (xy 119.442078 103.05) - (xy 119.462043 103.176055) - (xy 119.477808 103.206996) - (xy 119.509234 103.268672) - (xy 119.519984 103.289771) - (xy 119.610229 103.380016) - (xy 119.615505 103.382704) - (xy 119.615506 103.382705) - (xy 119.64945 103.4) - (xy 119.723945 103.437957) - (xy 119.85 103.457922) - (xy 119.976055 103.437957) - (xy 120.05055 103.4) - (xy 120.084494 103.382705) - (xy 120.084495 103.382704) - (xy 120.089771 103.380016) - (xy 120.180016 103.289771) - (xy 120.190767 103.268672) - (xy 120.222192 103.206996) - (xy 120.237957 103.176055) - (xy 120.257922 103.05) - (xy 120.237957 102.923945) - (xy 120.180016 102.810229) - (xy 120.089771 102.719984) - (xy 120.083923 102.717004) - (xy 119.989065 102.668672) - (xy 119.976055 102.662043) - (xy 119.85 102.642078) - (xy 119.723945 102.662043) - (xy 119.710935 102.668672) - (xy 119.616078 102.717004) - (xy 119.610229 102.719984) - (xy 119.519984 102.810229) - (xy 119.462043 102.923945) - (xy 119.442078 103.05) - (xy 117.857922 103.05) - (xy 117.837957 102.923945) - (xy 117.780016 102.810229) - (xy 117.689771 102.719984) - (xy 117.683923 102.717004) - (xy 117.589065 102.668672) - (xy 117.576055 102.662043) - (xy 117.45 102.642078) - (xy 117.323945 102.662043) - (xy 117.310935 102.668672) - (xy 117.216078 102.717004) - (xy 117.210229 102.719984) - (xy 117.119984 102.810229) - (xy 117.062043 102.923945) - (xy 117.042078 103.05) - (xy 114.457922 103.05) - (xy 114.437957 102.923945) - (xy 114.380016 102.810229) - (xy 114.289771 102.719984) - (xy 114.283923 102.717004) - (xy 114.189065 102.668672) - (xy 114.176055 102.662043) - (xy 114.05 102.642078) - (xy 113.923945 102.662043) - (xy 113.910935 102.668672) - (xy 113.816078 102.717004) - (xy 113.810229 102.719984) - (xy 113.719984 102.810229) - (xy 113.662043 102.923945) - (xy 113.642078 103.05) - (xy 112.157922 103.05) - (xy 112.137957 102.923945) - (xy 112.080016 102.810229) - (xy 111.989771 102.719984) - (xy 111.983923 102.717004) - (xy 111.889065 102.668672) - (xy 111.876055 102.662043) - (xy 111.75 102.642078) - (xy 111.623945 102.662043) - (xy 111.610935 102.668672) - (xy 111.516078 102.717004) - (xy 111.510229 102.719984) - (xy 111.419984 102.810229) - (xy 111.362043 102.923945) - (xy 111.342078 103.05) - (xy 109.916717 103.05) - (xy 109.847331 102.959574) - (xy 109.844333 102.955667) - (xy 109.728836 102.867043) - (xy 109.650256 102.834494) - (xy 109.59889 102.813217) - (xy 109.598888 102.813216) - (xy 109.594336 102.811331) - (xy 109.45 102.792329) - (xy 109.305664 102.811331) - (xy 109.301112 102.813216) - (xy 109.30111 102.813217) - (xy 109.249744 102.834494) - (xy 109.171165 102.867043) - (xy 109.167261 102.870039) - (xy 109.167257 102.870041) - (xy 109.089388 102.929792) - (xy 109.055667 102.955667) - (xy 109.052669 102.959574) - (xy 108.970041 103.067257) - (xy 108.970039 103.067261) - (xy 108.967043 103.071165) - (xy 108.946926 103.119731) - (xy 108.918666 103.187957) - (xy 108.911331 103.205664) - (xy 108.892329 103.35) - (xy 87.950003 103.35) - (xy 87.937957 103.273945) - (xy 87.892775 103.18527) - (xy 87.882705 103.165506) - (xy 87.882704 103.165505) - (xy 87.880016 103.160229) - (xy 87.789771 103.069984) - (xy 87.769233 103.059519) - (xy 87.720118 103.034494) - (xy 87.676055 103.012043) - (xy 87.55 102.992078) - (xy 87.423945 103.012043) - (xy 87.379882 103.034494) - (xy 87.330768 103.059519) - (xy 87.310229 103.069984) - (xy 87.219984 103.160229) - (xy 87.217296 103.165505) - (xy 87.217295 103.165506) - (xy 87.207225 103.18527) - (xy 87.162043 103.273945) - (xy 87.142078 103.4) - (xy 80.049787 103.4) - (xy 80.086016 103.363771) - (xy 80.092646 103.35076) - (xy 80.123721 103.289771) - (xy 80.143957 103.250055) - (xy 80.161565 103.138883) - (xy 80.162996 103.129847) - (xy 80.163922 103.124) - (xy 80.162674 103.116117) - (xy 80.145537 103.007922) - (xy 80.143957 102.997945) - (xy 80.086016 102.884229) - (xy 80.001787 102.8) - (xy 81.542078 102.8) - (xy 81.562043 102.926055) - (xy 81.619984 103.039771) - (xy 81.710229 103.130016) - (xy 81.715505 103.132704) - (xy 81.715506 103.132705) - (xy 81.749517 103.150034) - (xy 81.823945 103.187957) - (xy 81.95 103.207922) - (xy 82.076055 103.187957) - (xy 82.150483 103.150034) - (xy 82.184494 103.132705) - (xy 82.184495 103.132704) - (xy 82.189771 103.130016) - (xy 82.280016 103.039771) - (xy 82.337957 102.926055) - (xy 82.357922 102.8) - (xy 83.242078 102.8) - (xy 83.262043 102.926055) - (xy 83.319984 103.039771) - (xy 83.410229 103.130016) - (xy 83.415505 103.132704) - (xy 83.415506 103.132705) - (xy 83.449517 103.150034) - (xy 83.523945 103.187957) - (xy 83.65 103.207922) - (xy 83.776055 103.187957) - (xy 83.850483 103.150034) - (xy 83.884494 103.132705) - (xy 83.884495 103.132704) - (xy 83.889771 103.130016) - (xy 83.980016 103.039771) - (xy 84.037957 102.926055) - (xy 84.057922 102.8) - (xy 84.037957 102.673945) - (xy 83.991468 102.582705) - (xy 83.982705 102.565506) - (xy 83.982704 102.565505) - (xy 83.980016 102.560229) - (xy 83.889771 102.469984) - (xy 83.872491 102.461179) - (xy 83.850551 102.45) - (xy 99.192078 102.45) - (xy 99.212043 102.576055) - (xy 99.224244 102.6) - (xy 99.259234 102.668672) - (xy 99.269984 102.689771) - (xy 99.360229 102.780016) - (xy 99.365505 102.782704) - (xy 99.365506 102.782705) - (xy 99.408963 102.804847) - (xy 99.473945 102.837957) - (xy 99.6 102.857922) - (xy 99.726055 102.837957) - (xy 99.791037 102.804847) - (xy 99.80055 102.8) - (xy 100.142078 102.8) - (xy 100.162043 102.926055) - (xy 100.219984 103.039771) - (xy 100.310229 103.130016) - (xy 100.315505 103.132704) - (xy 100.315506 103.132705) - (xy 100.349517 103.150034) - (xy 100.423945 103.187957) - (xy 100.55 103.207922) - (xy 100.676055 103.187957) - (xy 100.750483 103.150034) - (xy 100.784494 103.132705) - (xy 100.784495 103.132704) - (xy 100.789771 103.130016) - (xy 100.880016 103.039771) - (xy 100.937957 102.926055) - (xy 100.957922 102.8) - (xy 100.950003 102.75) - (xy 102.442078 102.75) - (xy 102.462043 102.876055) - (xy 102.519984 102.989771) - (xy 102.610229 103.080016) - (xy 102.615505 103.082704) - (xy 102.615506 103.082705) - (xy 102.661752 103.106268) - (xy 102.723945 103.137957) - (xy 102.85 103.157922) - (xy 102.976055 103.137957) - (xy 103.038248 103.106268) - (xy 103.084494 103.082705) - (xy 103.084495 103.082704) - (xy 103.089771 103.080016) - (xy 103.180016 102.989771) - (xy 103.237957 102.876055) - (xy 103.257922 102.75) - (xy 103.255712 102.736043) - (xy 103.238883 102.629792) - (xy 103.237957 102.623945) - (xy 103.225756 102.6) - (xy 104.342078 102.6) - (xy 104.362043 102.726055) - (xy 104.374244 102.75) - (xy 104.405166 102.810688) - (xy 104.419984 102.839771) - (xy 104.510229 102.930016) - (xy 104.623945 102.987957) - (xy 104.75 103.007922) - (xy 104.876055 102.987957) - (xy 104.989771 102.930016) - (xy 105.080016 102.839771) - (xy 105.094835 102.810688) - (xy 105.125756 102.75) - (xy 105.137957 102.726055) - (xy 105.157922 102.6) - (xy 105.137957 102.473945) - (xy 105.122908 102.44441) - (xy 110.342477 102.44441) - (xy 110.343171 102.449717) - (xy 110.343171 102.44972) - (xy 110.350536 102.506039) - (xy 110.359298 102.573046) - (xy 110.361457 102.577952) - (xy 110.361457 102.577953) - (xy 110.39964 102.66473) - (xy 110.411547 102.691791) - (xy 110.495023 102.791098) - (xy 110.603017 102.862984) - (xy 110.726845 102.901671) - (xy 110.793716 102.902896) - (xy 110.851193 102.90395) - (xy 110.851195 102.90395) - (xy 110.856555 102.904048) - (xy 110.861726 102.902638) - (xy 110.861728 102.902638) - (xy 110.959233 102.876055) - (xy 110.981718 102.869925) - (xy 111.092273 102.802044) - (xy 111.095865 102.798076) - (xy 111.095867 102.798074) - (xy 111.139381 102.75) - (xy 111.179332 102.705863) - (xy 111.235897 102.589112) - (xy 111.25742 102.461179) - (xy 111.257557 102.45) - (xy 111.257145 102.447122) - (xy 111.239925 102.326876) - (xy 111.239924 102.326873) - (xy 111.239166 102.321579) - (xy 111.234363 102.311016) - (xy 111.206621 102.25) - (xy 122.192078 102.25) - (xy 122.212043 102.376055) - (xy 122.231749 102.41473) - (xy 122.259234 102.468672) - (xy 122.269984 102.489771) - (xy 122.360229 102.580016) - (xy 122.365505 102.582704) - (xy 122.365506 102.582705) - (xy 122.39945 102.6) - (xy 122.473945 102.637957) - (xy 122.6 102.657922) - (xy 122.726055 102.637957) - (xy 122.80055 102.6) - (xy 122.834494 102.582705) - (xy 122.834495 102.582704) - (xy 122.839771 102.580016) - (xy 122.930016 102.489771) - (xy 122.940767 102.468672) - (xy 122.968251 102.41473) - (xy 122.987957 102.376055) - (xy 123.007922 102.25) - (xy 122.987957 102.123945) - (xy 122.942454 102.03464) - (xy 122.932705 102.015506) - (xy 122.932704 102.015505) - (xy 122.930016 102.010229) - (xy 122.839771 101.919984) - (xy 122.812026 101.905847) - (xy 122.760889 101.879792) - (xy 122.726055 101.862043) - (xy 122.6 101.842078) - (xy 122.473945 101.862043) - (xy 122.439111 101.879792) - (xy 122.387975 101.905847) - (xy 122.360229 101.919984) - (xy 122.269984 102.010229) - (xy 122.267296 102.015505) - (xy 122.267295 102.015506) - (xy 122.257546 102.03464) - (xy 122.212043 102.123945) - (xy 122.192078 102.25) - (xy 111.206621 102.25) - (xy 111.187689 102.208362) - (xy 111.187688 102.208361) - (xy 111.18547 102.203482) - (xy 111.177969 102.194776) - (xy 111.104282 102.109258) - (xy 111.104281 102.109257) - (xy 111.100787 102.105202) - (xy 111.094625 102.101208) - (xy 110.996416 102.037552) - (xy 110.996415 102.037551) - (xy 110.991923 102.03464) - (xy 110.949367 102.021913) - (xy 110.872765 101.999004) - (xy 110.872762 101.999004) - (xy 110.867631 101.997469) - (xy 110.862276 101.997436) - (xy 110.862274 101.997436) - (xy 110.804164 101.997081) - (xy 110.737902 101.996676) - (xy 110.613166 102.032326) - (xy 110.503448 102.101553) - (xy 110.41757 102.198791) - (xy 110.415294 102.203638) - (xy 110.415293 102.20364) - (xy 110.384145 102.269984) - (xy 110.362436 102.316223) - (xy 110.342477 102.44441) - (xy 105.122908 102.44441) - (xy 105.092775 102.38527) - (xy 105.082705 102.365506) - (xy 105.082704 102.365505) - (xy 105.080016 102.360229) - (xy 104.989771 102.269984) - (xy 104.962026 102.255847) - (xy 104.920118 102.234494) - (xy 104.876055 102.212043) - (xy 104.792385 102.198791) - (xy 104.755847 102.193004) - (xy 104.75 102.192078) - (xy 104.744153 102.193004) - (xy 104.707615 102.198791) - (xy 104.623945 102.212043) - (xy 104.579882 102.234494) - (xy 104.537975 102.255847) - (xy 104.510229 102.269984) - (xy 104.419984 102.360229) - (xy 104.417296 102.365505) - (xy 104.417295 102.365506) - (xy 104.407225 102.38527) - (xy 104.362043 102.473945) - (xy 104.342078 102.6) - (xy 103.225756 102.6) - (xy 103.180016 102.510229) - (xy 103.089771 102.419984) - (xy 103.07946 102.41473) - (xy 103.003555 102.376055) - (xy 102.976055 102.362043) - (xy 102.85 102.342078) - (xy 102.723945 102.362043) - (xy 102.696445 102.376055) - (xy 102.620541 102.41473) - (xy 102.610229 102.419984) - (xy 102.519984 102.510229) - (xy 102.462043 102.623945) - (xy 102.461117 102.629792) - (xy 102.444289 102.736043) - (xy 102.442078 102.75) - (xy 100.950003 102.75) - (xy 100.937957 102.673945) - (xy 100.891468 102.582705) - (xy 100.882705 102.565506) - (xy 100.882704 102.565505) - (xy 100.880016 102.560229) - (xy 100.789771 102.469984) - (xy 100.772491 102.461179) - (xy 100.720118 102.434494) - (xy 100.676055 102.412043) - (xy 100.55 102.392078) - (xy 100.423945 102.412043) - (xy 100.379882 102.434494) - (xy 100.32751 102.461179) - (xy 100.310229 102.469984) - (xy 100.219984 102.560229) - (xy 100.217296 102.565505) - (xy 100.217295 102.565506) - (xy 100.208532 102.582705) - (xy 100.162043 102.673945) - (xy 100.142078 102.8) - (xy 99.80055 102.8) - (xy 99.834494 102.782705) - (xy 99.834495 102.782704) - (xy 99.839771 102.780016) - (xy 99.930016 102.689771) - (xy 99.940767 102.668672) - (xy 99.975756 102.6) - (xy 99.987957 102.576055) - (xy 100.007922 102.45) - (xy 99.987957 102.323945) - (xy 99.937522 102.224961) - (xy 99.932705 102.215506) - (xy 99.932704 102.215505) - (xy 99.930016 102.210229) - (xy 99.839771 102.119984) - (xy 99.83324 102.116656) - (xy 99.739065 102.068672) - (xy 99.726055 102.062043) - (xy 99.6 102.042078) - (xy 99.473945 102.062043) - (xy 99.460935 102.068672) - (xy 99.366761 102.116656) - (xy 99.360229 102.119984) - (xy 99.269984 102.210229) - (xy 99.267296 102.215505) - (xy 99.267295 102.215506) - (xy 99.262478 102.224961) - (xy 99.212043 102.323945) - (xy 99.192078 102.45) - (xy 83.850551 102.45) - (xy 83.820118 102.434494) - (xy 83.776055 102.412043) - (xy 83.65 102.392078) - (xy 83.523945 102.412043) - (xy 83.479882 102.434494) - (xy 83.42751 102.461179) - (xy 83.410229 102.469984) - (xy 83.319984 102.560229) - (xy 83.317296 102.565505) - (xy 83.317295 102.565506) - (xy 83.308532 102.582705) - (xy 83.262043 102.673945) - (xy 83.242078 102.8) - (xy 82.357922 102.8) - (xy 82.337957 102.673945) - (xy 82.291468 102.582705) - (xy 82.282705 102.565506) - (xy 82.282704 102.565505) - (xy 82.280016 102.560229) - (xy 82.189771 102.469984) - (xy 82.172491 102.461179) - (xy 82.120118 102.434494) - (xy 82.076055 102.412043) - (xy 81.95 102.392078) - (xy 81.823945 102.412043) - (xy 81.779882 102.434494) - (xy 81.72751 102.461179) - (xy 81.710229 102.469984) - (xy 81.619984 102.560229) - (xy 81.617296 102.565505) - (xy 81.617295 102.565506) - (xy 81.608532 102.582705) - (xy 81.562043 102.673945) - (xy 81.542078 102.8) - (xy 80.001787 102.8) - (xy 79.995771 102.793984) - (xy 79.982059 102.786997) - (xy 79.942656 102.766921) - (xy 79.882055 102.736043) - (xy 79.756 102.716078) - (xy 79.629945 102.736043) - (xy 79.569344 102.766921) - (xy 79.529942 102.786997) - (xy 79.516229 102.793984) - (xy 79.425984 102.884229) - (xy 79.368043 102.997945) - (xy 79.366463 103.007922) - (xy 79.349327 103.116117) - (xy 79.348078 103.124) - (xy 78.893922 103.124) - (xy 78.892674 103.116117) - (xy 78.875537 103.007922) - (xy 78.873957 102.997945) - (xy 78.816016 102.884229) - (xy 78.725771 102.793984) - (xy 78.712059 102.786997) - (xy 78.672656 102.766921) - (xy 78.612055 102.736043) - (xy 78.486 102.716078) - (xy 78.359945 102.736043) - (xy 78.299344 102.766921) - (xy 78.259942 102.786997) - (xy 78.246229 102.793984) - (xy 78.155984 102.884229) - (xy 78.098043 102.997945) - (xy 78.096463 103.007922) - (xy 78.079327 103.116117) - (xy 78.078078 103.124) - (xy 77.623922 103.124) - (xy 77.622674 103.116117) - (xy 77.605537 103.007922) - (xy 77.603957 102.997945) - (xy 77.546016 102.884229) - (xy 77.455771 102.793984) - (xy 77.442059 102.786997) - (xy 77.402656 102.766921) - (xy 77.342055 102.736043) - (xy 77.216 102.716078) - (xy 77.089945 102.736043) - (xy 77.029344 102.766921) - (xy 76.989942 102.786997) - (xy 76.976229 102.793984) - (xy 76.885984 102.884229) - (xy 76.828043 102.997945) - (xy 76.826463 103.007922) - (xy 76.809327 103.116117) - (xy 76.808078 103.124) - (xy 65.142753 103.124) - (xy 65.142548 103.121907) - (xy 65.123921 102.931927) - (xy 65.12392 102.931922) - (xy 65.123561 102.92826) - (xy 65.066714 102.739975) - (xy 64.974379 102.566318) - (xy 64.850072 102.413903) - (xy 64.698528 102.288535) - (xy 64.534786 102.2) - (xy 68.592078 102.2) - (xy 68.612043 102.326055) - (xy 68.633903 102.368957) - (xy 68.653186 102.406802) - (xy 68.669984 102.439771) - (xy 68.760229 102.530016) - (xy 68.873945 102.587957) - (xy 68.911708 102.593938) - (xy 68.986899 102.605847) - (xy 69 102.607922) - (xy 69.013102 102.605847) - (xy 69.088292 102.593938) - (xy 69.126055 102.587957) - (xy 69.239771 102.530016) - (xy 69.330016 102.439771) - (xy 69.346815 102.406802) - (xy 69.366097 102.368957) - (xy 69.387957 102.326055) - (xy 69.407922 102.2) - (xy 69.387957 102.073945) - (xy 69.348974 101.997436) - (xy 69.332705 101.965506) - (xy 69.332704 101.965505) - (xy 69.330016 101.960229) - (xy 69.239771 101.869984) - (xy 69.22946 101.86473) - (xy 69.171641 101.83527) - (xy 69.126055 101.812043) - (xy 69 101.792078) - (xy 68.873945 101.812043) - (xy 68.828359 101.83527) - (xy 68.770541 101.86473) - (xy 68.760229 101.869984) - (xy 68.669984 101.960229) - (xy 68.667296 101.965505) - (xy 68.667295 101.965506) - (xy 68.651026 101.997436) - (xy 68.612043 102.073945) - (xy 68.592078 102.2) - (xy 64.534786 102.2) - (xy 64.52552 102.19499) - (xy 64.337637 102.13683) - (xy 64.179485 102.120208) - (xy 64.14569 102.116656) - (xy 64.145689 102.116656) - (xy 64.142035 102.116272) - (xy 64.057721 102.123945) - (xy 63.949824 102.133764) - (xy 63.949823 102.133764) - (xy 63.946166 102.134097) - (xy 63.942641 102.135134) - (xy 63.942638 102.135135) - (xy 63.761019 102.188589) - (xy 63.757489 102.189628) - (xy 63.583192 102.280748) - (xy 63.521915 102.330016) - (xy 63.449851 102.387957) - (xy 63.429912 102.403988) - (xy 63.303489 102.554653) - (xy 63.301718 102.557875) - (xy 63.301717 102.557876) - (xy 63.212598 102.719984) - (xy 63.208739 102.727004) - (xy 63.149269 102.914476) - (xy 63.127345 103.10993) - (xy 62.601374 103.10993) - (xy 62.583921 102.931927) - (xy 62.58392 102.931922) - (xy 62.583561 102.92826) - (xy 62.526714 102.739975) - (xy 62.434379 102.566318) - (xy 62.310072 102.413903) - (xy 62.158528 102.288535) - (xy 61.98552 102.19499) - (xy 61.797637 102.13683) - (xy 61.639485 102.120208) - (xy 61.60569 102.116656) - (xy 61.605689 102.116656) - (xy 61.602035 102.116272) - (xy 61.517721 102.123945) - (xy 61.409824 102.133764) - (xy 61.409823 102.133764) - (xy 61.406166 102.134097) - (xy 61.402641 102.135134) - (xy 61.402638 102.135135) - (xy 61.221019 102.188589) - (xy 61.217489 102.189628) - (xy 61.043192 102.280748) - (xy 60.981915 102.330016) - (xy 60.909851 102.387957) - (xy 60.889912 102.403988) - (xy 60.763489 102.554653) - (xy 60.761718 102.557875) - (xy 60.761717 102.557876) - (xy 60.672598 102.719984) - (xy 60.668739 102.727004) - (xy 60.609269 102.914476) - (xy 60.587345 103.10993) - (xy 46.101 103.10993) - (xy 46.101 101.981) - (xy 48.487078 101.981) - (xy 48.488004 101.986847) - (xy 48.490087 102) - (xy 48.507043 102.107055) - (xy 48.537921 102.167656) - (xy 48.559613 102.210229) - (xy 48.564984 102.220771) - (xy 48.655229 102.311016) - (xy 48.660505 102.313704) - (xy 48.660506 102.313705) - (xy 48.684295 102.325826) - (xy 48.768945 102.368957) - (xy 48.895 102.388922) - (xy 49.021055 102.368957) - (xy 49.105705 102.325826) - (xy 49.129494 102.313705) - (xy 49.129495 102.313704) - (xy 49.134771 102.311016) - (xy 49.225016 102.220771) - (xy 49.230388 102.210229) - (xy 49.252079 102.167656) - (xy 49.282957 102.107055) - (xy 49.299913 102) - (xy 49.301996 101.986847) - (xy 49.302922 101.981) - (xy 53.567078 101.981) - (xy 53.568004 101.986847) - (xy 53.570087 102) - (xy 53.587043 102.107055) - (xy 53.617921 102.167656) - (xy 53.639613 102.210229) - (xy 53.644984 102.220771) - (xy 53.735229 102.311016) - (xy 53.740505 102.313704) - (xy 53.740506 102.313705) - (xy 53.764295 102.325826) - (xy 53.848945 102.368957) - (xy 53.975 102.388922) - (xy 54.101055 102.368957) - (xy 54.185705 102.325826) - (xy 54.209494 102.313705) - (xy 54.209495 102.313704) - (xy 54.214771 102.311016) - (xy 54.305016 102.220771) - (xy 54.310388 102.210229) - (xy 54.332079 102.167656) - (xy 54.362957 102.107055) - (xy 54.379913 102) - (xy 54.381996 101.986847) - (xy 54.382922 101.981) - (xy 54.362957 101.854945) - (xy 54.321686 101.773945) - (xy 54.307705 101.746506) - (xy 54.307704 101.746505) - (xy 54.305016 101.741229) - (xy 54.214771 101.650984) - (xy 54.201365 101.644153) - (xy 54.143618 101.61473) - (xy 54.101055 101.593043) - (xy 54.018805 101.580016) - (xy 53.980847 101.574004) - (xy 53.975 101.573078) - (xy 53.969153 101.574004) - (xy 53.931195 101.580016) - (xy 53.848945 101.593043) - (xy 53.806382 101.61473) - (xy 53.748636 101.644153) - (xy 53.735229 101.650984) - (xy 53.644984 101.741229) - (xy 53.642296 101.746505) - (xy 53.642295 101.746506) - (xy 53.628314 101.773945) - (xy 53.587043 101.854945) - (xy 53.567078 101.981) - (xy 49.302922 101.981) - (xy 49.282957 101.854945) - (xy 49.241686 101.773945) - (xy 49.227705 101.746506) - (xy 49.227704 101.746505) - (xy 49.225016 101.741229) - (xy 49.134771 101.650984) - (xy 49.121365 101.644153) - (xy 49.063618 101.61473) - (xy 49.021055 101.593043) - (xy 48.938805 101.580016) - (xy 48.900847 101.574004) - (xy 48.895 101.573078) - (xy 48.889153 101.574004) - (xy 48.851195 101.580016) - (xy 48.768945 101.593043) - (xy 48.726382 101.61473) - (xy 48.668636 101.644153) - (xy 48.655229 101.650984) - (xy 48.564984 101.741229) - (xy 48.562296 101.746505) - (xy 48.562295 101.746506) - (xy 48.548314 101.773945) - (xy 48.507043 101.854945) - (xy 48.487078 101.981) - (xy 46.101 101.981) - (xy 46.101 100.56993) - (xy 60.587345 100.56993) - (xy 60.587653 100.573598) - (xy 60.587653 100.573601) - (xy 60.600034 100.721039) - (xy 60.603803 100.765919) - (xy 60.658015 100.954979) - (xy 60.747916 101.129908) - (xy 60.870083 101.284044) - (xy 60.872877 101.286422) - (xy 60.872878 101.286423) - (xy 60.923837 101.329792) - (xy 61.019862 101.411516) - (xy 61.023063 101.413305) - (xy 61.023066 101.413307) - (xy 61.061656 101.434874) - (xy 61.191547 101.507467) - (xy 61.195044 101.508603) - (xy 61.195048 101.508605) - (xy 61.264984 101.531328) - (xy 61.3786 101.568244) - (xy 61.477321 101.580016) - (xy 61.570237 101.591096) - (xy 61.570239 101.591096) - (xy 61.573895 101.591532) - (xy 61.769994 101.576443) - (xy 61.937079 101.529792) - (xy 61.955883 101.524542) - (xy 61.955885 101.524541) - (xy 61.959428 101.523552) - (xy 62.040305 101.482698) - (xy 62.131697 101.436533) - (xy 62.131698 101.436532) - (xy 62.134981 101.434874) - (xy 62.289966 101.313786) - (xy 62.41848 101.164901) - (xy 62.441746 101.123945) - (xy 62.51381 100.997091) - (xy 62.513812 100.997088) - (xy 62.515628 100.99389) - (xy 62.550264 100.889771) - (xy 62.576548 100.81076) - (xy 62.576549 100.810757) - (xy 62.57771 100.807266) - (xy 62.60236 100.612138) - (xy 62.602753 100.584) - (xy 62.602548 100.581907) - (xy 62.601374 100.56993) - (xy 63.127345 100.56993) - (xy 63.127653 100.573598) - (xy 63.127653 100.573601) - (xy 63.140034 100.721039) - (xy 63.143803 100.765919) - (xy 63.198015 100.954979) - (xy 63.287916 101.129908) - (xy 63.410083 101.284044) - (xy 63.412877 101.286422) - (xy 63.412878 101.286423) - (xy 63.463837 101.329792) - (xy 63.559862 101.411516) - (xy 63.563063 101.413305) - (xy 63.563066 101.413307) - (xy 63.601656 101.434874) - (xy 63.731547 101.507467) - (xy 63.735044 101.508603) - (xy 63.735048 101.508605) - (xy 63.804984 101.531328) - (xy 63.9186 101.568244) - (xy 64.017321 101.580016) - (xy 64.110237 101.591096) - (xy 64.110239 101.591096) - (xy 64.113895 101.591532) - (xy 64.309994 101.576443) - (xy 64.477079 101.529792) - (xy 64.495883 101.524542) - (xy 64.495885 101.524541) - (xy 64.499428 101.523552) - (xy 64.580305 101.482698) - (xy 64.671697 101.436533) - (xy 64.671698 101.436532) - (xy 64.674981 101.434874) - (xy 64.719618 101.4) - (xy 66.392078 101.4) - (xy 66.412043 101.526055) - (xy 66.469984 101.639771) - (xy 66.560229 101.730016) - (xy 66.565505 101.732704) - (xy 66.565506 101.732705) - (xy 66.611302 101.756039) - (xy 66.673945 101.787957) - (xy 66.8 101.807922) - (xy 66.926055 101.787957) - (xy 66.988698 101.756039) - (xy 67.034494 101.732705) - (xy 67.034495 101.732704) - (xy 67.039771 101.730016) - (xy 67.130016 101.639771) - (xy 67.187957 101.526055) - (xy 67.207922 101.4) - (xy 67.187957 101.273945) - (xy 67.175756 101.25) - (xy 67.742078 101.25) - (xy 67.762043 101.376055) - (xy 67.819984 101.489771) - (xy 67.910229 101.580016) - (xy 67.915505 101.582704) - (xy 67.915506 101.582705) - (xy 67.963344 101.607079) - (xy 68.023945 101.637957) - (xy 68.061853 101.643961) - (xy 68.136899 101.655847) - (xy 68.15 101.657922) - (xy 68.163102 101.655847) - (xy 68.238147 101.643961) - (xy 68.276055 101.637957) - (xy 68.336656 101.607079) - (xy 68.384494 101.582705) - (xy 68.384495 101.582704) - (xy 68.389771 101.580016) - (xy 68.480016 101.489771) - (xy 68.537957 101.376055) - (xy 68.557922 101.25) - (xy 69.442078 101.25) - (xy 69.462043 101.376055) - (xy 69.519984 101.489771) - (xy 69.610229 101.580016) - (xy 69.615505 101.582704) - (xy 69.615506 101.582705) - (xy 69.663344 101.607079) - (xy 69.723945 101.637957) - (xy 69.761853 101.643961) - (xy 69.836899 101.655847) - (xy 69.85 101.657922) - (xy 69.863102 101.655847) - (xy 69.900018 101.65) - (xy 75.292078 101.65) - (xy 75.312043 101.776055) - (xy 75.318579 101.788883) - (xy 75.359234 101.868672) - (xy 75.369984 101.889771) - (xy 75.460229 101.980016) - (xy 75.465505 101.982704) - (xy 75.465506 101.982705) - (xy 75.495815 101.998148) - (xy 75.573945 102.037957) - (xy 75.7 102.057922) - (xy 75.826055 102.037957) - (xy 75.90055 102) - (xy 82.392078 102) - (xy 82.412043 102.126055) - (xy 82.417533 102.13683) - (xy 82.466384 102.232705) - (xy 82.469984 102.239771) - (xy 82.560229 102.330016) - (xy 82.673945 102.387957) - (xy 82.679792 102.388883) - (xy 82.792929 102.406802) - (xy 82.8 102.407922) - (xy 82.807072 102.406802) - (xy 82.920208 102.388883) - (xy 82.926055 102.387957) - (xy 83.039771 102.330016) - (xy 83.130016 102.239771) - (xy 83.133617 102.232705) - (xy 83.182467 102.13683) - (xy 83.187957 102.126055) - (xy 83.207922 102) - (xy 83.192084 101.9) - (xy 88.042078 101.9) - (xy 88.062043 102.026055) - (xy 88.068579 102.038883) - (xy 88.109234 102.118672) - (xy 88.119984 102.139771) - (xy 88.210229 102.230016) - (xy 88.215505 102.232704) - (xy 88.215506 102.232705) - (xy 88.24945 102.25) - (xy 88.323945 102.287957) - (xy 88.45 102.307922) - (xy 88.576055 102.287957) - (xy 88.65055 102.25) - (xy 88.684494 102.232705) - (xy 88.684495 102.232704) - (xy 88.689771 102.230016) - (xy 88.780016 102.139771) - (xy 88.790767 102.118672) - (xy 88.831421 102.038883) - (xy 88.837957 102.026055) - (xy 88.857922 101.9) - (xy 88.837957 101.773945) - (xy 88.79238 101.684494) - (xy 88.782705 101.665506) - (xy 88.782704 101.665505) - (xy 88.780016 101.660229) - (xy 88.689771 101.569984) - (xy 88.576055 101.512043) - (xy 88.45 101.492078) - (xy 88.323945 101.512043) - (xy 88.210229 101.569984) - (xy 88.119984 101.660229) - (xy 88.117296 101.665505) - (xy 88.117295 101.665506) - (xy 88.10762 101.684494) - (xy 88.062043 101.773945) - (xy 88.042078 101.9) - (xy 83.192084 101.9) - (xy 83.187957 101.873945) - (xy 83.141468 101.782705) - (xy 83.132705 101.765506) - (xy 83.132704 101.765505) - (xy 83.130016 101.760229) - (xy 83.039771 101.669984) - (xy 83.030983 101.665506) - (xy 82.970118 101.634494) - (xy 82.926055 101.612043) - (xy 82.8 101.592078) - (xy 82.673945 101.612043) - (xy 82.629882 101.634494) - (xy 82.569018 101.665506) - (xy 82.560229 101.669984) - (xy 82.469984 101.760229) - (xy 82.467296 101.765505) - (xy 82.467295 101.765506) - (xy 82.458532 101.782705) - (xy 82.412043 101.873945) - (xy 82.392078 102) - (xy 75.90055 102) - (xy 75.904185 101.998148) - (xy 75.934494 101.982705) - (xy 75.934495 101.982704) - (xy 75.939771 101.980016) - (xy 76.030016 101.889771) - (xy 76.040767 101.868672) - (xy 76.081421 101.788883) - (xy 76.087957 101.776055) - (xy 76.107922 101.65) - (xy 76.087957 101.523945) - (xy 76.05028 101.45) - (xy 113.642078 101.45) - (xy 113.662043 101.576055) - (xy 113.719984 101.689771) - (xy 113.810229 101.780016) - (xy 113.815505 101.782704) - (xy 113.815506 101.782705) - (xy 113.833902 101.792078) - (xy 113.923945 101.837957) - (xy 113.929792 101.838883) - (xy 114.031204 101.854945) - (xy 114.05 101.857922) - (xy 114.068797 101.854945) - (xy 114.170208 101.838883) - (xy 114.176055 101.837957) - (xy 114.266098 101.792078) - (xy 114.284494 101.782705) - (xy 114.284495 101.782704) - (xy 114.289771 101.780016) - (xy 114.380016 101.689771) - (xy 114.437957 101.576055) - (xy 114.457922 101.45) - (xy 122.842078 101.45) - (xy 122.862043 101.576055) - (xy 122.919984 101.689771) - (xy 123.010229 101.780016) - (xy 123.015505 101.782704) - (xy 123.015506 101.782705) - (xy 123.033902 101.792078) - (xy 123.123945 101.837957) - (xy 123.129792 101.838883) - (xy 123.231204 101.854945) - (xy 123.25 101.857922) - (xy 123.268797 101.854945) - (xy 123.370208 101.838883) - (xy 123.376055 101.837957) - (xy 123.466098 101.792078) - (xy 123.484494 101.782705) - (xy 123.484495 101.782704) - (xy 123.489771 101.780016) - (xy 123.580016 101.689771) - (xy 123.637957 101.576055) - (xy 123.657922 101.45) - (xy 123.637957 101.323945) - (xy 123.60028 101.25) - (xy 123.582705 101.215506) - (xy 123.582704 101.215505) - (xy 123.580016 101.210229) - (xy 123.489771 101.119984) - (xy 123.376055 101.062043) - (xy 123.25 101.042078) - (xy 123.123945 101.062043) - (xy 123.010229 101.119984) - (xy 122.919984 101.210229) - (xy 122.917296 101.215505) - (xy 122.917295 101.215506) - (xy 122.89972 101.25) - (xy 122.862043 101.323945) - (xy 122.842078 101.45) - (xy 114.457922 101.45) - (xy 114.437957 101.323945) - (xy 114.40028 101.25) - (xy 114.382705 101.215506) - (xy 114.382704 101.215505) - (xy 114.380016 101.210229) - (xy 114.289771 101.119984) - (xy 114.176055 101.062043) - (xy 114.05 101.042078) - (xy 113.923945 101.062043) - (xy 113.810229 101.119984) - (xy 113.719984 101.210229) - (xy 113.717296 101.215505) - (xy 113.717295 101.215506) - (xy 113.69972 101.25) - (xy 113.662043 101.323945) - (xy 113.642078 101.45) - (xy 76.05028 101.45) - (xy 76.030016 101.410229) - (xy 75.939771 101.319984) - (xy 75.826055 101.262043) - (xy 75.750018 101.25) - (xy 75.705847 101.243004) - (xy 75.7 101.242078) - (xy 75.694153 101.243004) - (xy 75.649982 101.25) - (xy 75.573945 101.262043) - (xy 75.460229 101.319984) - (xy 75.369984 101.410229) - (xy 75.312043 101.523945) - (xy 75.292078 101.65) - (xy 69.900018 101.65) - (xy 69.938147 101.643961) - (xy 69.976055 101.637957) - (xy 70.036656 101.607079) - (xy 70.084494 101.582705) - (xy 70.084495 101.582704) - (xy 70.089771 101.580016) - (xy 70.180016 101.489771) - (xy 70.237957 101.376055) - (xy 70.257922 101.25) - (xy 70.250759 101.204771) - (xy 70.238883 101.129792) - (xy 70.237957 101.123945) - (xy 70.192775 101.03527) - (xy 70.182705 101.015506) - (xy 70.182704 101.015505) - (xy 70.180016 101.010229) - (xy 70.089771 100.919984) - (xy 70.062026 100.905847) - (xy 70.050551 100.9) - (xy 99.242078 100.9) - (xy 99.262043 101.026055) - (xy 99.268579 101.038883) - (xy 99.316428 101.132791) - (xy 99.319984 101.139771) - (xy 99.410229 101.230016) - (xy 99.415505 101.232704) - (xy 99.415506 101.232705) - (xy 99.439629 101.244996) - (xy 99.523945 101.287957) - (xy 99.65 101.307922) - (xy 99.776055 101.287957) - (xy 99.860371 101.244996) - (xy 99.884494 101.232705) - (xy 99.884495 101.232704) - (xy 99.889771 101.230016) - (xy 99.980016 101.139771) - (xy 99.983573 101.132791) - (xy 100.031421 101.038883) - (xy 100.037957 101.026055) - (xy 100.057922 100.9) - (xy 100.037957 100.773945) - (xy 99.992775 100.68527) - (xy 99.982705 100.665506) - (xy 99.982704 100.665505) - (xy 99.980016 100.660229) - (xy 99.889771 100.569984) - (xy 99.864442 100.557078) - (xy 99.820118 100.534494) - (xy 99.776055 100.512043) - (xy 99.65 100.492078) - (xy 99.523945 100.512043) - (xy 99.479882 100.534494) - (xy 99.435559 100.557078) - (xy 99.410229 100.569984) - (xy 99.319984 100.660229) - (xy 99.317296 100.665505) - (xy 99.317295 100.665506) - (xy 99.307225 100.68527) - (xy 99.262043 100.773945) - (xy 99.242078 100.9) - (xy 70.050551 100.9) - (xy 70.020118 100.884494) - (xy 69.976055 100.862043) - (xy 69.85 100.842078) - (xy 69.723945 100.862043) - (xy 69.679882 100.884494) - (xy 69.637975 100.905847) - (xy 69.610229 100.919984) - (xy 69.519984 101.010229) - (xy 69.517296 101.015505) - (xy 69.517295 101.015506) - (xy 69.507225 101.03527) - (xy 69.462043 101.123945) - (xy 69.461117 101.129792) - (xy 69.449242 101.204771) - (xy 69.442078 101.25) - (xy 68.557922 101.25) - (xy 68.550759 101.204771) - (xy 68.538883 101.129792) - (xy 68.537957 101.123945) - (xy 68.492775 101.03527) - (xy 68.482705 101.015506) - (xy 68.482704 101.015505) - (xy 68.480016 101.010229) - (xy 68.389771 100.919984) - (xy 68.362026 100.905847) - (xy 68.320118 100.884494) - (xy 68.276055 100.862043) - (xy 68.15 100.842078) - (xy 68.023945 100.862043) - (xy 67.979882 100.884494) - (xy 67.937975 100.905847) - (xy 67.910229 100.919984) - (xy 67.819984 101.010229) - (xy 67.817296 101.015505) - (xy 67.817295 101.015506) - (xy 67.807225 101.03527) - (xy 67.762043 101.123945) - (xy 67.761117 101.129792) - (xy 67.749242 101.204771) - (xy 67.742078 101.25) - (xy 67.175756 101.25) - (xy 67.152711 101.204771) - (xy 67.132705 101.165506) - (xy 67.132704 101.165505) - (xy 67.130016 101.160229) - (xy 67.039771 101.069984) - (xy 67.02946 101.06473) - (xy 66.953555 101.026055) - (xy 66.926055 101.012043) - (xy 66.8 100.992078) - (xy 66.673945 101.012043) - (xy 66.646445 101.026055) - (xy 66.570541 101.06473) - (xy 66.560229 101.069984) - (xy 66.469984 101.160229) - (xy 66.467296 101.165505) - (xy 66.467295 101.165506) - (xy 66.447289 101.204771) - (xy 66.412043 101.273945) - (xy 66.392078 101.4) - (xy 64.719618 101.4) - (xy 64.829966 101.313786) - (xy 64.95848 101.164901) - (xy 64.981746 101.123945) - (xy 65.05381 100.997091) - (xy 65.053812 100.997088) - (xy 65.055628 100.99389) - (xy 65.090264 100.889771) - (xy 65.116548 100.81076) - (xy 65.116549 100.810757) - (xy 65.11771 100.807266) - (xy 65.14236 100.612138) - (xy 65.142753 100.584) - (xy 65.142548 100.581907) - (xy 65.123921 100.391927) - (xy 65.12392 100.391922) - (xy 65.123561 100.38826) - (xy 65.096914 100.3) - (xy 83.092078 100.3) - (xy 83.112043 100.426055) - (xy 83.125635 100.45273) - (xy 83.166384 100.532705) - (xy 83.169984 100.539771) - (xy 83.260229 100.630016) - (xy 83.265505 100.632704) - (xy 83.265506 100.632705) - (xy 83.29945 100.65) - (xy 83.373945 100.687957) - (xy 83.379792 100.688883) - (xy 83.492108 100.706672) - (xy 83.5 100.707922) - (xy 83.507893 100.706672) - (xy 83.620208 100.688883) - (xy 83.626055 100.687957) - (xy 83.70055 100.65) - (xy 83.734494 100.632705) - (xy 83.734495 100.632704) - (xy 83.739771 100.630016) - (xy 83.830016 100.539771) - (xy 83.833617 100.532705) - (xy 83.874365 100.45273) - (xy 83.887957 100.426055) - (xy 83.907922 100.3) - (xy 83.887957 100.173945) - (xy 83.875757 100.15) - (xy 84.842078 100.15) - (xy 84.862043 100.276055) - (xy 84.882144 100.315506) - (xy 84.914055 100.378134) - (xy 84.919984 100.389771) - (xy 85.010229 100.480016) - (xy 85.123945 100.537957) - (xy 85.161853 100.543961) - (xy 85.236899 100.555847) - (xy 85.25 100.557922) - (xy 85.263102 100.555847) - (xy 85.338147 100.543961) - (xy 85.376055 100.537957) - (xy 85.489771 100.480016) - (xy 85.580016 100.389771) - (xy 85.585946 100.378134) - (xy 85.617856 100.315506) - (xy 85.637957 100.276055) - (xy 85.657922 100.15) - (xy 87.092078 100.15) - (xy 87.112043 100.276055) - (xy 87.132144 100.315506) - (xy 87.164055 100.378134) - (xy 87.169984 100.389771) - (xy 87.260229 100.480016) - (xy 87.373945 100.537957) - (xy 87.411853 100.543961) - (xy 87.486899 100.555847) - (xy 87.5 100.557922) - (xy 87.513102 100.555847) - (xy 87.588147 100.543961) - (xy 87.626055 100.537957) - (xy 87.739771 100.480016) - (xy 87.819787 100.4) - (xy 100.142078 100.4) - (xy 100.143004 100.405847) - (xy 100.14704 100.431328) - (xy 100.162043 100.526055) - (xy 100.183056 100.567295) - (xy 100.216384 100.632705) - (xy 100.219984 100.639771) - (xy 100.310229 100.730016) - (xy 100.423945 100.787957) - (xy 100.55 100.807922) - (xy 100.676055 100.787957) - (xy 100.789771 100.730016) - (xy 100.880016 100.639771) - (xy 100.883617 100.632705) - (xy 100.916944 100.567295) - (xy 100.937957 100.526055) - (xy 100.95296 100.431328) - (xy 100.956996 100.405847) - (xy 100.957922 100.4) - (xy 102.442078 100.4) - (xy 102.443004 100.405847) - (xy 102.44704 100.431328) - (xy 102.462043 100.526055) - (xy 102.483056 100.567295) - (xy 102.516384 100.632705) - (xy 102.519984 100.639771) - (xy 102.610229 100.730016) - (xy 102.723945 100.787957) - (xy 102.85 100.807922) - (xy 102.976055 100.787957) - (xy 103.089771 100.730016) - (xy 103.180016 100.639771) - (xy 103.183617 100.632705) - (xy 103.216944 100.567295) - (xy 103.237957 100.526055) - (xy 103.25296 100.431328) - (xy 103.256996 100.405847) - (xy 103.257922 100.4) - (xy 103.237957 100.273945) - (xy 103.20028 100.2) - (xy 104.292078 100.2) - (xy 104.312043 100.326055) - (xy 104.341819 100.384494) - (xy 104.359234 100.418672) - (xy 104.369984 100.439771) - (xy 104.460229 100.530016) - (xy 104.465505 100.532704) - (xy 104.465506 100.532705) - (xy 104.49945 100.55) - (xy 104.573945 100.587957) - (xy 104.7 100.607922) - (xy 104.826055 100.587957) - (xy 104.90055 100.55) - (xy 104.934494 100.532705) - (xy 104.934495 100.532704) - (xy 104.939771 100.530016) - (xy 105.030016 100.439771) - (xy 105.040767 100.418672) - (xy 105.058181 100.384494) - (xy 105.087957 100.326055) - (xy 105.092084 100.3) - (xy 105.592078 100.3) - (xy 105.612043 100.426055) - (xy 105.625635 100.45273) - (xy 105.666384 100.532705) - (xy 105.669984 100.539771) - (xy 105.760229 100.630016) - (xy 105.765505 100.632704) - (xy 105.765506 100.632705) - (xy 105.79945 100.65) - (xy 105.873945 100.687957) - (xy 105.879792 100.688883) - (xy 105.992108 100.706672) - (xy 106 100.707922) - (xy 106.007893 100.706672) - (xy 106.120208 100.688883) - (xy 106.126055 100.687957) - (xy 106.20055 100.65) - (xy 106.234494 100.632705) - (xy 106.234495 100.632704) - (xy 106.239771 100.630016) - (xy 106.319787 100.55) - (xy 107.142078 100.55) - (xy 107.143004 100.555847) - (xy 107.147463 100.584) - (xy 107.162043 100.676055) - (xy 107.168579 100.688883) - (xy 107.209234 100.768672) - (xy 107.219984 100.789771) - (xy 107.310229 100.880016) - (xy 107.315505 100.882704) - (xy 107.315506 100.882705) - (xy 107.34945 100.9) - (xy 107.423945 100.937957) - (xy 107.55 100.957922) - (xy 107.676055 100.937957) - (xy 107.75055 100.9) - (xy 107.784494 100.882705) - (xy 107.784495 100.882704) - (xy 107.789771 100.880016) - (xy 107.880016 100.789771) - (xy 107.890767 100.768672) - (xy 107.931421 100.688883) - (xy 107.937957 100.676055) - (xy 107.952537 100.584) - (xy 107.956996 100.555847) - (xy 107.957922 100.55) - (xy 108.442078 100.55) - (xy 108.443004 100.555847) - (xy 108.447463 100.584) - (xy 108.462043 100.676055) - (xy 108.468579 100.688883) - (xy 108.509234 100.768672) - (xy 108.519984 100.789771) - (xy 108.610229 100.880016) - (xy 108.615505 100.882704) - (xy 108.615506 100.882705) - (xy 108.64945 100.9) - (xy 108.723945 100.937957) - (xy 108.85 100.957922) - (xy 108.976055 100.937957) - (xy 109.05055 100.9) - (xy 109.084494 100.882705) - (xy 109.084495 100.882704) - (xy 109.089771 100.880016) - (xy 109.180016 100.789771) - (xy 109.190767 100.768672) - (xy 109.231421 100.688883) - (xy 109.237957 100.676055) - (xy 109.242084 100.65) - (xy 122.192078 100.65) - (xy 122.212043 100.776055) - (xy 122.227808 100.806996) - (xy 122.266384 100.882705) - (xy 122.269984 100.889771) - (xy 122.360229 100.980016) - (xy 122.365505 100.982704) - (xy 122.365506 100.982705) - (xy 122.411302 101.006039) - (xy 122.473945 101.037957) - (xy 122.6 101.057922) - (xy 122.726055 101.037957) - (xy 122.788698 101.006039) - (xy 122.834494 100.982705) - (xy 122.834495 100.982704) - (xy 122.839771 100.980016) - (xy 122.854787 100.965) - (xy 127.735078 100.965) - (xy 127.736004 100.970847) - (xy 127.742382 101.011117) - (xy 127.755043 101.091055) - (xy 127.777176 101.134494) - (xy 127.795627 101.170705) - (xy 127.812984 101.204771) - (xy 127.903229 101.295016) - (xy 127.908505 101.297704) - (xy 127.908506 101.297705) - (xy 127.934601 101.311001) - (xy 128.016945 101.352957) - (xy 128.143 101.372922) - (xy 128.269055 101.352957) - (xy 128.351399 101.311001) - (xy 128.377494 101.297705) - (xy 128.377495 101.297704) - (xy 128.382771 101.295016) - (xy 128.473016 101.204771) - (xy 128.490374 101.170705) - (xy 128.508824 101.134494) - (xy 128.530957 101.091055) - (xy 128.543618 101.011117) - (xy 128.549996 100.970847) - (xy 128.550922 100.965) - (xy 132.815078 100.965) - (xy 132.816004 100.970847) - (xy 132.822382 101.011117) - (xy 132.835043 101.091055) - (xy 132.857176 101.134494) - (xy 132.875627 101.170705) - (xy 132.892984 101.204771) - (xy 132.983229 101.295016) - (xy 132.988505 101.297704) - (xy 132.988506 101.297705) - (xy 133.014601 101.311001) - (xy 133.096945 101.352957) - (xy 133.223 101.372922) - (xy 133.349055 101.352957) - (xy 133.431399 101.311001) - (xy 133.457494 101.297705) - (xy 133.457495 101.297704) - (xy 133.462771 101.295016) - (xy 133.553016 101.204771) - (xy 133.570374 101.170705) - (xy 133.588824 101.134494) - (xy 133.610957 101.091055) - (xy 133.623618 101.011117) - (xy 133.629996 100.970847) - (xy 133.630922 100.965) - (xy 133.610957 100.838945) - (xy 133.610476 100.838) - (xy 134.720078 100.838) - (xy 134.740043 100.964055) - (xy 134.754793 100.993004) - (xy 134.787399 101.056996) - (xy 134.797984 101.077771) - (xy 134.888229 101.168016) - (xy 135.001945 101.225957) - (xy 135.007792 101.226883) - (xy 135.10373 101.242078) - (xy 135.128 101.245922) - (xy 135.152271 101.242078) - (xy 135.248208 101.226883) - (xy 135.254055 101.225957) - (xy 135.367771 101.168016) - (xy 135.458016 101.077771) - (xy 135.468602 101.056996) - (xy 135.501207 100.993004) - (xy 135.515957 100.964055) - (xy 135.535922 100.838) - (xy 135.990078 100.838) - (xy 136.010043 100.964055) - (xy 136.024793 100.993004) - (xy 136.057399 101.056996) - (xy 136.067984 101.077771) - (xy 136.158229 101.168016) - (xy 136.271945 101.225957) - (xy 136.277792 101.226883) - (xy 136.37373 101.242078) - (xy 136.398 101.245922) - (xy 136.422271 101.242078) - (xy 136.518208 101.226883) - (xy 136.524055 101.225957) - (xy 136.637771 101.168016) - (xy 136.728016 101.077771) - (xy 136.738602 101.056996) - (xy 136.771207 100.993004) - (xy 136.785476 100.965) - (xy 137.895078 100.965) - (xy 137.896004 100.970847) - (xy 137.902382 101.011117) - (xy 137.915043 101.091055) - (xy 137.937176 101.134494) - (xy 137.955627 101.170705) - (xy 137.972984 101.204771) - (xy 138.063229 101.295016) - (xy 138.068505 101.297704) - (xy 138.068506 101.297705) - (xy 138.094601 101.311001) - (xy 138.176945 101.352957) - (xy 138.303 101.372922) - (xy 138.429055 101.352957) - (xy 138.511399 101.311001) - (xy 138.537494 101.297705) - (xy 138.537495 101.297704) - (xy 138.542771 101.295016) - (xy 138.633016 101.204771) - (xy 138.650374 101.170705) - (xy 138.668824 101.134494) - (xy 138.690957 101.091055) - (xy 138.703618 101.011117) - (xy 138.709996 100.970847) - (xy 138.710922 100.965) - (xy 142.975078 100.965) - (xy 142.976004 100.970847) - (xy 142.982382 101.011117) - (xy 142.995043 101.091055) - (xy 143.017176 101.134494) - (xy 143.035627 101.170705) - (xy 143.052984 101.204771) - (xy 143.143229 101.295016) - (xy 143.148505 101.297704) - (xy 143.148506 101.297705) - (xy 143.174601 101.311001) - (xy 143.256945 101.352957) - (xy 143.383 101.372922) - (xy 143.509055 101.352957) - (xy 143.591399 101.311001) - (xy 143.617494 101.297705) - (xy 143.617495 101.297704) - (xy 143.622771 101.295016) - (xy 143.713016 101.204771) - (xy 143.730374 101.170705) - (xy 143.748824 101.134494) - (xy 143.770957 101.091055) - (xy 143.783618 101.011117) - (xy 143.789996 100.970847) - (xy 143.790922 100.965) - (xy 143.770957 100.838945) - (xy 143.713016 100.725229) - (xy 143.622771 100.634984) - (xy 143.604798 100.625826) - (xy 143.550635 100.598229) - (xy 143.509055 100.577043) - (xy 143.383 100.557078) - (xy 143.256945 100.577043) - (xy 143.215365 100.598229) - (xy 143.161203 100.625826) - (xy 143.143229 100.634984) - (xy 143.052984 100.725229) - (xy 142.995043 100.838945) - (xy 142.975078 100.965) - (xy 138.710922 100.965) - (xy 138.690957 100.838945) - (xy 138.633016 100.725229) - (xy 138.542771 100.634984) - (xy 138.524798 100.625826) - (xy 138.470635 100.598229) - (xy 138.429055 100.577043) - (xy 138.303 100.557078) - (xy 138.176945 100.577043) - (xy 138.135365 100.598229) - (xy 138.081203 100.625826) - (xy 138.063229 100.634984) - (xy 137.972984 100.725229) - (xy 137.915043 100.838945) - (xy 137.895078 100.965) - (xy 136.785476 100.965) - (xy 136.785957 100.964055) - (xy 136.805922 100.838) - (xy 136.801012 100.806996) - (xy 136.788819 100.730016) - (xy 136.785957 100.711945) - (xy 136.749183 100.639771) - (xy 136.730705 100.603506) - (xy 136.730704 100.603505) - (xy 136.728016 100.598229) - (xy 136.637771 100.507984) - (xy 136.524055 100.450043) - (xy 136.425882 100.434494) - (xy 136.403847 100.431004) - (xy 136.398 100.430078) - (xy 136.392153 100.431004) - (xy 136.370118 100.434494) - (xy 136.271945 100.450043) - (xy 136.158229 100.507984) - (xy 136.067984 100.598229) - (xy 136.065296 100.603505) - (xy 136.065295 100.603506) - (xy 136.046817 100.639771) - (xy 136.010043 100.711945) - (xy 136.007181 100.730016) - (xy 135.994989 100.806996) - (xy 135.990078 100.838) - (xy 135.535922 100.838) - (xy 135.531012 100.806996) - (xy 135.518819 100.730016) - (xy 135.515957 100.711945) - (xy 135.479183 100.639771) - (xy 135.460705 100.603506) - (xy 135.460704 100.603505) - (xy 135.458016 100.598229) - (xy 135.367771 100.507984) - (xy 135.254055 100.450043) - (xy 135.155882 100.434494) - (xy 135.133847 100.431004) - (xy 135.128 100.430078) - (xy 135.122153 100.431004) - (xy 135.100118 100.434494) - (xy 135.001945 100.450043) - (xy 134.888229 100.507984) - (xy 134.797984 100.598229) - (xy 134.795296 100.603505) - (xy 134.795295 100.603506) - (xy 134.776817 100.639771) - (xy 134.740043 100.711945) - (xy 134.737181 100.730016) - (xy 134.724989 100.806996) - (xy 134.720078 100.838) - (xy 133.610476 100.838) - (xy 133.553016 100.725229) - (xy 133.462771 100.634984) - (xy 133.444798 100.625826) - (xy 133.390635 100.598229) - (xy 133.349055 100.577043) - (xy 133.223 100.557078) - (xy 133.096945 100.577043) - (xy 133.055365 100.598229) - (xy 133.001203 100.625826) - (xy 132.983229 100.634984) - (xy 132.892984 100.725229) - (xy 132.835043 100.838945) - (xy 132.815078 100.965) - (xy 128.550922 100.965) - (xy 128.530957 100.838945) - (xy 128.473016 100.725229) - (xy 128.382771 100.634984) - (xy 128.364798 100.625826) - (xy 128.310635 100.598229) - (xy 128.269055 100.577043) - (xy 128.143 100.557078) - (xy 128.016945 100.577043) - (xy 127.975365 100.598229) - (xy 127.921203 100.625826) - (xy 127.903229 100.634984) - (xy 127.812984 100.725229) - (xy 127.755043 100.838945) - (xy 127.735078 100.965) - (xy 122.854787 100.965) - (xy 122.930016 100.889771) - (xy 122.933617 100.882705) - (xy 122.972192 100.806996) - (xy 122.987957 100.776055) - (xy 123.007922 100.65) - (xy 122.987957 100.523945) - (xy 122.94238 100.434494) - (xy 122.932705 100.415506) - (xy 122.932704 100.415505) - (xy 122.930016 100.410229) - (xy 122.839771 100.319984) - (xy 122.830983 100.315506) - (xy 122.749414 100.273945) - (xy 122.726055 100.262043) - (xy 122.6 100.242078) - (xy 122.473945 100.262043) - (xy 122.450586 100.273945) - (xy 122.369018 100.315506) - (xy 122.360229 100.319984) - (xy 122.269984 100.410229) - (xy 122.267296 100.415505) - (xy 122.267295 100.415506) - (xy 122.25762 100.434494) - (xy 122.212043 100.523945) - (xy 122.192078 100.65) - (xy 109.242084 100.65) - (xy 109.252537 100.584) - (xy 109.256996 100.555847) - (xy 109.257922 100.55) - (xy 109.237957 100.423945) - (xy 109.207079 100.363344) - (xy 109.182705 100.315506) - (xy 109.182704 100.315505) - (xy 109.180016 100.310229) - (xy 109.089771 100.219984) - (xy 109.062026 100.205847) - (xy 109.021641 100.18527) - (xy 108.976055 100.162043) - (xy 108.900018 100.15) - (xy 108.855847 100.143004) - (xy 108.85 100.142078) - (xy 108.844153 100.143004) - (xy 108.799982 100.15) - (xy 108.723945 100.162043) - (xy 108.678359 100.18527) - (xy 108.637975 100.205847) - (xy 108.610229 100.219984) - (xy 108.519984 100.310229) - (xy 108.517296 100.315505) - (xy 108.517295 100.315506) - (xy 108.492921 100.363344) - (xy 108.462043 100.423945) - (xy 108.442078 100.55) - (xy 107.957922 100.55) - (xy 107.937957 100.423945) - (xy 107.907079 100.363344) - (xy 107.882705 100.315506) - (xy 107.882704 100.315505) - (xy 107.880016 100.310229) - (xy 107.789771 100.219984) - (xy 107.762026 100.205847) - (xy 107.721641 100.18527) - (xy 107.676055 100.162043) - (xy 107.600018 100.15) - (xy 107.555847 100.143004) - (xy 107.55 100.142078) - (xy 107.544153 100.143004) - (xy 107.499982 100.15) - (xy 107.423945 100.162043) - (xy 107.378359 100.18527) - (xy 107.337975 100.205847) - (xy 107.310229 100.219984) - (xy 107.219984 100.310229) - (xy 107.217296 100.315505) - (xy 107.217295 100.315506) - (xy 107.192921 100.363344) - (xy 107.162043 100.423945) - (xy 107.142078 100.55) - (xy 106.319787 100.55) - (xy 106.330016 100.539771) - (xy 106.333617 100.532705) - (xy 106.374365 100.45273) - (xy 106.387957 100.426055) - (xy 106.407922 100.3) - (xy 106.387957 100.173945) - (xy 106.339984 100.079792) - (xy 106.332705 100.065506) - (xy 106.332704 100.065505) - (xy 106.330016 100.060229) - (xy 106.239771 99.969984) - (xy 106.230983 99.965506) - (xy 106.153555 99.926055) - (xy 106.126055 99.912043) - (xy 106.050018 99.9) - (xy 106.005847 99.893004) - (xy 106 99.892078) - (xy 105.994153 99.893004) - (xy 105.949982 99.9) - (xy 105.873945 99.912043) - (xy 105.846445 99.926055) - (xy 105.769018 99.965506) - (xy 105.760229 99.969984) - (xy 105.669984 100.060229) - (xy 105.667296 100.065505) - (xy 105.667295 100.065506) - (xy 105.660016 100.079792) - (xy 105.612043 100.173945) - (xy 105.592078 100.3) - (xy 105.092084 100.3) - (xy 105.107922 100.2) - (xy 105.087957 100.073945) - (xy 105.041468 99.982705) - (xy 105.032705 99.965506) - (xy 105.032704 99.965505) - (xy 105.030016 99.960229) - (xy 104.939771 99.869984) - (xy 104.928004 99.863988) - (xy 104.871641 99.83527) - (xy 104.826055 99.812043) - (xy 104.750018 99.8) - (xy 104.705847 99.793004) - (xy 104.7 99.792078) - (xy 104.694153 99.793004) - (xy 104.649982 99.8) - (xy 104.573945 99.812043) - (xy 104.528359 99.83527) - (xy 104.471997 99.863988) - (xy 104.460229 99.869984) - (xy 104.369984 99.960229) - (xy 104.367296 99.965505) - (xy 104.367295 99.965506) - (xy 104.358532 99.982705) - (xy 104.312043 100.073945) - (xy 104.292078 100.2) - (xy 103.20028 100.2) - (xy 103.197301 100.194153) - (xy 103.182705 100.165506) - (xy 103.182704 100.165505) - (xy 103.180016 100.160229) - (xy 103.089771 100.069984) - (xy 103.080983 100.065506) - (xy 103.020118 100.034494) - (xy 102.976055 100.012043) - (xy 102.85 99.992078) - (xy 102.723945 100.012043) - (xy 102.679882 100.034494) - (xy 102.619018 100.065506) - (xy 102.610229 100.069984) - (xy 102.519984 100.160229) - (xy 102.517296 100.165505) - (xy 102.517295 100.165506) - (xy 102.502699 100.194153) - (xy 102.462043 100.273945) - (xy 102.442078 100.4) - (xy 100.957922 100.4) - (xy 100.937957 100.273945) - (xy 100.897301 100.194153) - (xy 100.882705 100.165506) - (xy 100.882704 100.165505) - (xy 100.880016 100.160229) - (xy 100.789771 100.069984) - (xy 100.780983 100.065506) - (xy 100.720118 100.034494) - (xy 100.676055 100.012043) - (xy 100.55 99.992078) - (xy 100.423945 100.012043) - (xy 100.379882 100.034494) - (xy 100.319018 100.065506) - (xy 100.310229 100.069984) - (xy 100.219984 100.160229) - (xy 100.217296 100.165505) - (xy 100.217295 100.165506) - (xy 100.202699 100.194153) - (xy 100.162043 100.273945) - (xy 100.142078 100.4) - (xy 87.819787 100.4) - (xy 87.830016 100.389771) - (xy 87.835946 100.378134) - (xy 87.867856 100.315506) - (xy 87.887957 100.276055) - (xy 87.907922 100.15) - (xy 87.887957 100.023945) - (xy 87.84238 99.934494) - (xy 87.832705 99.915506) - (xy 87.832704 99.915505) - (xy 87.830016 99.910229) - (xy 87.739771 99.819984) - (xy 87.72946 99.81473) - (xy 87.671641 99.78527) - (xy 87.626055 99.762043) - (xy 87.511889 99.743961) - (xy 87.505847 99.743004) - (xy 87.5 99.742078) - (xy 87.494153 99.743004) - (xy 87.488111 99.743961) - (xy 87.373945 99.762043) - (xy 87.328359 99.78527) - (xy 87.270541 99.81473) - (xy 87.260229 99.819984) - (xy 87.169984 99.910229) - (xy 87.167296 99.915505) - (xy 87.167295 99.915506) - (xy 87.15762 99.934494) - (xy 87.112043 100.023945) - (xy 87.092078 100.15) - (xy 85.657922 100.15) - (xy 85.637957 100.023945) - (xy 85.59238 99.934494) - (xy 85.582705 99.915506) - (xy 85.582704 99.915505) - (xy 85.580016 99.910229) - (xy 85.489771 99.819984) - (xy 85.47946 99.81473) - (xy 85.421641 99.78527) - (xy 85.376055 99.762043) - (xy 85.261889 99.743961) - (xy 85.255847 99.743004) - (xy 85.25 99.742078) - (xy 85.244153 99.743004) - (xy 85.238111 99.743961) - (xy 85.123945 99.762043) - (xy 85.078359 99.78527) - (xy 85.020541 99.81473) - (xy 85.010229 99.819984) - (xy 84.919984 99.910229) - (xy 84.917296 99.915505) - (xy 84.917295 99.915506) - (xy 84.90762 99.934494) - (xy 84.862043 100.023945) - (xy 84.842078 100.15) - (xy 83.875757 100.15) - (xy 83.839984 100.079792) - (xy 83.832705 100.065506) - (xy 83.832704 100.065505) - (xy 83.830016 100.060229) - (xy 83.739771 99.969984) - (xy 83.730983 99.965506) - (xy 83.653555 99.926055) - (xy 83.626055 99.912043) - (xy 83.550018 99.9) - (xy 83.505847 99.893004) - (xy 83.5 99.892078) - (xy 83.494153 99.893004) - (xy 83.449982 99.9) - (xy 83.373945 99.912043) - (xy 83.346445 99.926055) - (xy 83.269018 99.965506) - (xy 83.260229 99.969984) - (xy 83.169984 100.060229) - (xy 83.167296 100.065505) - (xy 83.167295 100.065506) - (xy 83.160016 100.079792) - (xy 83.112043 100.173945) - (xy 83.092078 100.3) - (xy 65.096914 100.3) - (xy 65.066714 100.199975) - (xy 64.974379 100.026318) - (xy 64.850072 99.873903) - (xy 64.751163 99.792078) - (xy 64.701359 99.750877) - (xy 64.698528 99.748535) - (xy 64.52552 99.65499) - (xy 64.337637 99.59683) - (xy 64.214766 99.583916) - (xy 64.14569 99.576656) - (xy 64.145689 99.576656) - (xy 64.142035 99.576272) - (xy 64.0441 99.585185) - (xy 63.949824 99.593764) - (xy 63.949823 99.593764) - (xy 63.946166 99.594097) - (xy 63.942641 99.595134) - (xy 63.942638 99.595135) - (xy 63.776091 99.644153) - (xy 63.757489 99.649628) - (xy 63.583192 99.740748) - (xy 63.516769 99.794153) - (xy 63.437457 99.857922) - (xy 63.429912 99.863988) - (xy 63.303489 100.014653) - (xy 63.301718 100.017875) - (xy 63.301717 100.017876) - (xy 63.218817 100.168672) - (xy 63.208739 100.187004) - (xy 63.149269 100.374476) - (xy 63.127345 100.56993) - (xy 62.601374 100.56993) - (xy 62.583921 100.391927) - (xy 62.58392 100.391922) - (xy 62.583561 100.38826) - (xy 62.526714 100.199975) - (xy 62.434379 100.026318) - (xy 62.310072 99.873903) - (xy 62.211163 99.792078) - (xy 62.161359 99.750877) - (xy 62.158528 99.748535) - (xy 61.98552 99.65499) - (xy 61.797637 99.59683) - (xy 61.674766 99.583916) - (xy 61.60569 99.576656) - (xy 61.605689 99.576656) - (xy 61.602035 99.576272) - (xy 61.5041 99.585185) - (xy 61.409824 99.593764) - (xy 61.409823 99.593764) - (xy 61.406166 99.594097) - (xy 61.402641 99.595134) - (xy 61.402638 99.595135) - (xy 61.236091 99.644153) - (xy 61.217489 99.649628) - (xy 61.043192 99.740748) - (xy 60.976769 99.794153) - (xy 60.897457 99.857922) - (xy 60.889912 99.863988) - (xy 60.763489 100.014653) - (xy 60.761718 100.017875) - (xy 60.761717 100.017876) - (xy 60.678817 100.168672) - (xy 60.668739 100.187004) - (xy 60.609269 100.374476) - (xy 60.587345 100.56993) - (xy 46.101 100.56993) - (xy 46.101 99.886481) - (xy 46.118593 99.838143) - (xy 46.163142 99.812423) - (xy 46.210341 99.819478) - (xy 46.219558 99.824174) - (xy 46.228945 99.828957) - (xy 46.355 99.848922) - (xy 46.481055 99.828957) - (xy 46.559705 99.788883) - (xy 46.589494 99.773705) - (xy 46.589495 99.773704) - (xy 46.594771 99.771016) - (xy 46.685016 99.680771) - (xy 46.697262 99.656738) - (xy 46.727786 99.59683) - (xy 46.742957 99.567055) - (xy 46.76054 99.456039) - (xy 46.761996 99.446847) - (xy 46.762922 99.441) - (xy 51.027078 99.441) - (xy 51.028004 99.446847) - (xy 51.02946 99.456039) - (xy 51.047043 99.567055) - (xy 51.062214 99.59683) - (xy 51.092739 99.656738) - (xy 51.104984 99.680771) - (xy 51.195229 99.771016) - (xy 51.200505 99.773704) - (xy 51.200506 99.773705) - (xy 51.230295 99.788883) - (xy 51.308945 99.828957) - (xy 51.435 99.848922) - (xy 51.561055 99.828957) - (xy 51.639705 99.788883) - (xy 51.669494 99.773705) - (xy 51.669495 99.773704) - (xy 51.674771 99.771016) - (xy 51.765016 99.680771) - (xy 51.777262 99.656738) - (xy 51.807786 99.59683) - (xy 51.822957 99.567055) - (xy 51.84054 99.456039) - (xy 51.841996 99.446847) - (xy 51.842922 99.441) - (xy 55.992078 99.441) - (xy 55.993004 99.446847) - (xy 55.99446 99.456039) - (xy 56.012043 99.567055) - (xy 56.027214 99.59683) - (xy 56.057739 99.656738) - (xy 56.069984 99.680771) - (xy 56.160229 99.771016) - (xy 56.165505 99.773704) - (xy 56.165506 99.773705) - (xy 56.195295 99.788883) - (xy 56.273945 99.828957) - (xy 56.4 99.848922) - (xy 56.526055 99.828957) - (xy 56.604705 99.788883) - (xy 56.634494 99.773705) - (xy 56.634495 99.773704) - (xy 56.639771 99.771016) - (xy 56.730016 99.680771) - (xy 56.742262 99.656738) - (xy 56.772786 99.59683) - (xy 56.787957 99.567055) - (xy 56.80554 99.456039) - (xy 56.806497 99.45) - (xy 82.542078 99.45) - (xy 82.562043 99.576055) - (xy 82.582144 99.615506) - (xy 82.61271 99.675494) - (xy 82.619984 99.689771) - (xy 82.710229 99.780016) - (xy 82.715505 99.782704) - (xy 82.715506 99.782705) - (xy 82.74945 99.8) - (xy 82.823945 99.837957) - (xy 82.829792 99.838883) - (xy 82.936899 99.855847) - (xy 82.95 99.857922) - (xy 82.963102 99.855847) - (xy 83.070208 99.838883) - (xy 83.076055 99.837957) - (xy 83.15055 99.8) - (xy 83.184494 99.782705) - (xy 83.184495 99.782704) - (xy 83.189771 99.780016) - (xy 83.280016 99.689771) - (xy 83.287291 99.675494) - (xy 83.300281 99.65) - (xy 88.092078 99.65) - (xy 88.112043 99.776055) - (xy 88.124244 99.8) - (xy 88.155674 99.861685) - (xy 88.169984 99.889771) - (xy 88.260229 99.980016) - (xy 88.373945 100.037957) - (xy 88.5 100.057922) - (xy 88.626055 100.037957) - (xy 88.739771 99.980016) - (xy 88.819787 99.9) - (xy 99.242078 99.9) - (xy 99.262043 100.026055) - (xy 99.282144 100.065506) - (xy 99.316384 100.132705) - (xy 99.319984 100.139771) - (xy 99.410229 100.230016) - (xy 99.415505 100.232704) - (xy 99.415506 100.232705) - (xy 99.433902 100.242078) - (xy 99.523945 100.287957) - (xy 99.529792 100.288883) - (xy 99.636899 100.305847) - (xy 99.65 100.307922) - (xy 99.663102 100.305847) - (xy 99.770208 100.288883) - (xy 99.776055 100.287957) - (xy 99.866098 100.242078) - (xy 99.884494 100.232705) - (xy 99.884495 100.232704) - (xy 99.889771 100.230016) - (xy 99.980016 100.139771) - (xy 99.983617 100.132705) - (xy 100.017856 100.065506) - (xy 100.037957 100.026055) - (xy 100.057922 99.9) - (xy 100.037957 99.773945) - (xy 99.980016 99.660229) - (xy 99.889771 99.569984) - (xy 99.884023 99.567055) - (xy 99.803555 99.526055) - (xy 99.776055 99.512043) - (xy 99.700018 99.5) - (xy 99.655847 99.493004) - (xy 99.65 99.492078) - (xy 99.644153 99.493004) - (xy 99.599982 99.5) - (xy 99.523945 99.512043) - (xy 99.496445 99.526055) - (xy 99.415978 99.567055) - (xy 99.410229 99.569984) - (xy 99.319984 99.660229) - (xy 99.262043 99.773945) - (xy 99.242078 99.9) - (xy 88.819787 99.9) - (xy 88.830016 99.889771) - (xy 88.844327 99.861685) - (xy 88.875756 99.8) - (xy 88.887957 99.776055) - (xy 88.907922 99.65) - (xy 88.887957 99.523945) - (xy 88.842775 99.43527) - (xy 88.832705 99.415506) - (xy 88.832704 99.415505) - (xy 88.830016 99.410229) - (xy 88.819787 99.4) - (xy 102.442078 99.4) - (xy 102.462043 99.526055) - (xy 102.483056 99.567295) - (xy 102.498105 99.59683) - (xy 102.519984 99.639771) - (xy 102.610229 99.730016) - (xy 102.615505 99.732704) - (xy 102.615506 99.732705) - (xy 102.643146 99.746788) - (xy 102.723945 99.787957) - (xy 102.729792 99.788883) - (xy 102.836899 99.805847) - (xy 102.85 99.807922) - (xy 102.863102 99.805847) - (xy 102.970208 99.788883) - (xy 102.976055 99.787957) - (xy 103.056854 99.746788) - (xy 103.084494 99.732705) - (xy 103.084495 99.732704) - (xy 103.089771 99.730016) - (xy 103.180016 99.639771) - (xy 103.201896 99.59683) - (xy 103.216944 99.567295) - (xy 103.237957 99.526055) - (xy 103.242084 99.5) - (xy 106.442078 99.5) - (xy 106.462043 99.626055) - (xy 106.482144 99.665506) - (xy 106.516384 99.732705) - (xy 106.519984 99.739771) - (xy 106.610229 99.830016) - (xy 106.615505 99.832704) - (xy 106.615506 99.832705) - (xy 106.645517 99.847996) - (xy 106.723945 99.887957) - (xy 106.749964 99.892078) - (xy 106.836899 99.905847) - (xy 106.85 99.907922) - (xy 106.863102 99.905847) - (xy 106.950036 99.892078) - (xy 106.976055 99.887957) - (xy 107.054483 99.847996) - (xy 107.084494 99.832705) - (xy 107.084495 99.832704) - (xy 107.089771 99.830016) - (xy 107.180016 99.739771) - (xy 107.183617 99.732705) - (xy 107.200281 99.7) - (xy 108.992078 99.7) - (xy 109.012043 99.826055) - (xy 109.069984 99.939771) - (xy 109.160229 100.030016) - (xy 109.165505 100.032704) - (xy 109.165506 100.032705) - (xy 109.211302 100.056039) - (xy 109.273945 100.087957) - (xy 109.4 100.107922) - (xy 109.526055 100.087957) - (xy 109.588698 100.056039) - (xy 109.634494 100.032705) - (xy 109.634495 100.032704) - (xy 109.639771 100.030016) - (xy 109.730016 99.939771) - (xy 109.787957 99.826055) - (xy 109.792084 99.8) - (xy 110.492078 99.8) - (xy 110.512043 99.926055) - (xy 110.532144 99.965506) - (xy 110.566384 100.032705) - (xy 110.569984 100.039771) - (xy 110.660229 100.130016) - (xy 110.665505 100.132704) - (xy 110.665506 100.132705) - (xy 110.69945 100.15) - (xy 110.773945 100.187957) - (xy 110.779792 100.188883) - (xy 110.886899 100.205847) - (xy 110.9 100.207922) - (xy 110.913102 100.205847) - (xy 111.020208 100.188883) - (xy 111.026055 100.187957) - (xy 111.10055 100.15) - (xy 111.134494 100.132705) - (xy 111.134495 100.132704) - (xy 111.139771 100.130016) - (xy 111.230016 100.039771) - (xy 111.233617 100.032705) - (xy 111.267856 99.965506) - (xy 111.287957 99.926055) - (xy 111.300003 99.85) - (xy 114.292078 99.85) - (xy 114.312043 99.976055) - (xy 114.320207 99.992078) - (xy 114.359234 100.068672) - (xy 114.369984 100.089771) - (xy 114.460229 100.180016) - (xy 114.465505 100.182704) - (xy 114.465506 100.182705) - (xy 114.493034 100.196731) - (xy 114.573945 100.237957) - (xy 114.7 100.257922) - (xy 114.826055 100.237957) - (xy 114.906966 100.196731) - (xy 114.934494 100.182705) - (xy 114.934495 100.182704) - (xy 114.939771 100.180016) - (xy 115.030016 100.089771) - (xy 115.040767 100.068672) - (xy 115.079793 99.992078) - (xy 115.087957 99.976055) - (xy 115.107922 99.85) - (xy 122.842078 99.85) - (xy 122.862043 99.976055) - (xy 122.870207 99.992078) - (xy 122.909234 100.068672) - (xy 122.919984 100.089771) - (xy 123.010229 100.180016) - (xy 123.015505 100.182704) - (xy 123.015506 100.182705) - (xy 123.043034 100.196731) - (xy 123.123945 100.237957) - (xy 123.25 100.257922) - (xy 123.376055 100.237957) - (xy 123.456966 100.196731) - (xy 123.484494 100.182705) - (xy 123.484495 100.182704) - (xy 123.489771 100.180016) - (xy 123.580016 100.089771) - (xy 123.590767 100.068672) - (xy 123.629793 99.992078) - (xy 123.637957 99.976055) - (xy 123.657922 99.85) - (xy 123.637957 99.723945) - (xy 123.602269 99.653903) - (xy 123.582705 99.615506) - (xy 123.582704 99.615505) - (xy 123.580016 99.610229) - (xy 123.489771 99.519984) - (xy 123.47946 99.51473) - (xy 123.435002 99.492078) - (xy 123.376055 99.462043) - (xy 123.280111 99.446847) - (xy 123.255847 99.443004) - (xy 123.25 99.442078) - (xy 123.244153 99.443004) - (xy 123.219889 99.446847) - (xy 123.123945 99.462043) - (xy 123.064998 99.492078) - (xy 123.020541 99.51473) - (xy 123.010229 99.519984) - (xy 122.919984 99.610229) - (xy 122.917296 99.615505) - (xy 122.917295 99.615506) - (xy 122.897731 99.653903) - (xy 122.862043 99.723945) - (xy 122.842078 99.85) - (xy 115.107922 99.85) - (xy 115.087957 99.723945) - (xy 115.052269 99.653903) - (xy 115.032705 99.615506) - (xy 115.032704 99.615505) - (xy 115.030016 99.610229) - (xy 114.939771 99.519984) - (xy 114.92946 99.51473) - (xy 114.885002 99.492078) - (xy 114.826055 99.462043) - (xy 114.730111 99.446847) - (xy 114.705847 99.443004) - (xy 114.7 99.442078) - (xy 114.694153 99.443004) - (xy 114.669889 99.446847) - (xy 114.573945 99.462043) - (xy 114.514998 99.492078) - (xy 114.470541 99.51473) - (xy 114.460229 99.519984) - (xy 114.369984 99.610229) - (xy 114.367296 99.615505) - (xy 114.367295 99.615506) - (xy 114.347731 99.653903) - (xy 114.312043 99.723945) - (xy 114.292078 99.85) - (xy 111.300003 99.85) - (xy 111.307922 99.8) - (xy 111.287957 99.673945) - (xy 111.248665 99.59683) - (xy 111.232705 99.565506) - (xy 111.232704 99.565505) - (xy 111.230016 99.560229) - (xy 111.139771 99.469984) - (xy 111.130983 99.465506) - (xy 111.085002 99.442078) - (xy 111.026055 99.412043) - (xy 110.950018 99.4) - (xy 110.905847 99.393004) - (xy 110.9 99.392078) - (xy 110.894153 99.393004) - (xy 110.849982 99.4) - (xy 110.773945 99.412043) - (xy 110.714998 99.442078) - (xy 110.669018 99.465506) - (xy 110.660229 99.469984) - (xy 110.569984 99.560229) - (xy 110.567296 99.565505) - (xy 110.567295 99.565506) - (xy 110.551335 99.59683) - (xy 110.512043 99.673945) - (xy 110.492078 99.8) - (xy 109.792084 99.8) - (xy 109.807922 99.7) - (xy 109.787957 99.573945) - (xy 109.746244 99.492078) - (xy 109.732705 99.465506) - (xy 109.732704 99.465505) - (xy 109.730016 99.460229) - (xy 109.639771 99.369984) - (xy 109.526055 99.312043) - (xy 109.4 99.292078) - (xy 109.273945 99.312043) - (xy 109.160229 99.369984) - (xy 109.069984 99.460229) - (xy 109.067296 99.465505) - (xy 109.067295 99.465506) - (xy 109.053756 99.492078) - (xy 109.012043 99.573945) - (xy 108.992078 99.7) - (xy 107.200281 99.7) - (xy 107.217856 99.665506) - (xy 107.237957 99.626055) - (xy 107.257922 99.5) - (xy 107.237957 99.373945) - (xy 107.180016 99.260229) - (xy 107.089771 99.169984) - (xy 107.080983 99.165506) - (xy 107.020118 99.134494) - (xy 106.976055 99.112043) - (xy 106.85 99.092078) - (xy 106.723945 99.112043) - (xy 106.679882 99.134494) - (xy 106.619018 99.165506) - (xy 106.610229 99.169984) - (xy 106.519984 99.260229) - (xy 106.462043 99.373945) - (xy 106.442078 99.5) - (xy 103.242084 99.5) - (xy 103.257922 99.4) - (xy 103.237957 99.273945) - (xy 103.203357 99.206039) - (xy 103.182705 99.165506) - (xy 103.182704 99.165505) - (xy 103.180016 99.160229) - (xy 103.089771 99.069984) - (xy 103.07946 99.06473) - (xy 103.019156 99.034004) - (xy 102.976055 99.012043) - (xy 102.85 98.992078) - (xy 102.723945 99.012043) - (xy 102.680844 99.034004) - (xy 102.620541 99.06473) - (xy 102.610229 99.069984) - (xy 102.519984 99.160229) - (xy 102.517296 99.165505) - (xy 102.517295 99.165506) - (xy 102.496643 99.206039) - (xy 102.462043 99.273945) - (xy 102.442078 99.4) - (xy 88.819787 99.4) - (xy 88.739771 99.319984) - (xy 88.729882 99.314945) - (xy 88.670118 99.284494) - (xy 88.626055 99.262043) - (xy 88.5 99.242078) - (xy 88.373945 99.262043) - (xy 88.329882 99.284494) - (xy 88.270119 99.314945) - (xy 88.260229 99.319984) - (xy 88.169984 99.410229) - (xy 88.167296 99.415505) - (xy 88.167295 99.415506) - (xy 88.157225 99.43527) - (xy 88.112043 99.523945) - (xy 88.092078 99.65) - (xy 83.300281 99.65) - (xy 83.317856 99.615506) - (xy 83.337957 99.576055) - (xy 83.357922 99.45) - (xy 83.355571 99.435153) - (xy 83.338883 99.329792) - (xy 83.337957 99.323945) - (xy 83.280016 99.210229) - (xy 83.189771 99.119984) - (xy 83.17946 99.11473) - (xy 83.103555 99.076055) - (xy 83.076055 99.062043) - (xy 83.000018 99.05) - (xy 82.955847 99.043004) - (xy 82.95 99.042078) - (xy 82.944153 99.043004) - (xy 82.899982 99.05) - (xy 82.823945 99.062043) - (xy 82.796445 99.076055) - (xy 82.720541 99.11473) - (xy 82.710229 99.119984) - (xy 82.619984 99.210229) - (xy 82.562043 99.323945) - (xy 82.561117 99.329792) - (xy 82.54443 99.435153) - (xy 82.542078 99.45) - (xy 56.806497 99.45) - (xy 56.806996 99.446847) - (xy 56.807922 99.441) - (xy 56.787957 99.314945) - (xy 56.742549 99.225826) - (xy 56.732705 99.206506) - (xy 56.732704 99.206505) - (xy 56.730016 99.201229) - (xy 56.639771 99.110984) - (xy 56.526055 99.053043) - (xy 56.448604 99.040776) - (xy 56.405847 99.034004) - (xy 56.4 99.033078) - (xy 56.394153 99.034004) - (xy 56.351396 99.040776) - (xy 56.273945 99.053043) - (xy 56.160229 99.110984) - (xy 56.069984 99.201229) - (xy 56.067296 99.206505) - (xy 56.067295 99.206506) - (xy 56.057451 99.225826) - (xy 56.012043 99.314945) - (xy 55.992078 99.441) - (xy 51.842922 99.441) - (xy 51.822957 99.314945) - (xy 51.777549 99.225826) - (xy 51.767705 99.206506) - (xy 51.767704 99.206505) - (xy 51.765016 99.201229) - (xy 51.674771 99.110984) - (xy 51.561055 99.053043) - (xy 51.483604 99.040776) - (xy 51.440847 99.034004) - (xy 51.435 99.033078) - (xy 51.429153 99.034004) - (xy 51.386396 99.040776) - (xy 51.308945 99.053043) - (xy 51.195229 99.110984) - (xy 51.104984 99.201229) - (xy 51.102296 99.206505) - (xy 51.102295 99.206506) - (xy 51.092451 99.225826) - (xy 51.047043 99.314945) - (xy 51.027078 99.441) - (xy 46.762922 99.441) - (xy 46.742957 99.314945) - (xy 46.697549 99.225826) - (xy 46.687705 99.206506) - (xy 46.687704 99.206505) - (xy 46.685016 99.201229) - (xy 46.594771 99.110984) - (xy 46.481055 99.053043) - (xy 46.403604 99.040776) - (xy 46.360847 99.034004) - (xy 46.355 99.033078) - (xy 46.349153 99.034004) - (xy 46.306396 99.040776) - (xy 46.228945 99.053043) - (xy 46.22367 99.055731) - (xy 46.223669 99.055731) - (xy 46.210341 99.062522) - (xy 46.159285 99.068792) - (xy 46.116143 99.040776) - (xy 46.101 98.995519) - (xy 46.101 98.02993) - (xy 60.587345 98.02993) - (xy 60.587653 98.033598) - (xy 60.587653 98.033601) - (xy 60.600615 98.187957) - (xy 60.603803 98.225919) - (xy 60.658015 98.414979) - (xy 60.747916 98.589908) - (xy 60.870083 98.744044) - (xy 60.872877 98.746422) - (xy 60.872878 98.746423) - (xy 60.957771 98.818672) - (xy 61.019862 98.871516) - (xy 61.023063 98.873305) - (xy 61.023066 98.873307) - (xy 61.060366 98.894153) - (xy 61.191547 98.967467) - (xy 61.195044 98.968603) - (xy 61.195048 98.968605) - (xy 61.267292 98.992078) - (xy 61.3786 99.028244) - (xy 61.483694 99.040776) - (xy 61.570237 99.051096) - (xy 61.570239 99.051096) - (xy 61.573895 99.051532) - (xy 61.769994 99.036443) - (xy 61.925575 98.993004) - (xy 61.955883 98.984542) - (xy 61.955885 98.984541) - (xy 61.959428 98.983552) - (xy 62.134981 98.894874) - (xy 62.289966 98.773786) - (xy 62.41848 98.624901) - (xy 62.452662 98.56473) - (xy 62.51381 98.457091) - (xy 62.513812 98.457088) - (xy 62.515628 98.45389) - (xy 62.546669 98.360578) - (xy 62.576548 98.27076) - (xy 62.576549 98.270757) - (xy 62.57771 98.267266) - (xy 62.60236 98.072138) - (xy 62.602753 98.044) - (xy 62.602548 98.041907) - (xy 62.601374 98.02993) - (xy 63.127345 98.02993) - (xy 63.127653 98.033598) - (xy 63.127653 98.033601) - (xy 63.140615 98.187957) - (xy 63.143803 98.225919) - (xy 63.198015 98.414979) - (xy 63.287916 98.589908) - (xy 63.410083 98.744044) - (xy 63.412877 98.746422) - (xy 63.412878 98.746423) - (xy 63.497771 98.818672) - (xy 63.559862 98.871516) - (xy 63.563063 98.873305) - (xy 63.563066 98.873307) - (xy 63.600366 98.894153) - (xy 63.731547 98.967467) - (xy 63.735044 98.968603) - (xy 63.735048 98.968605) - (xy 63.807292 98.992078) - (xy 63.9186 99.028244) - (xy 64.023694 99.040776) - (xy 64.110237 99.051096) - (xy 64.110239 99.051096) - (xy 64.113895 99.051532) - (xy 64.309994 99.036443) - (xy 64.465575 98.993004) - (xy 64.495883 98.984542) - (xy 64.495885 98.984541) - (xy 64.499428 98.983552) - (xy 64.664833 98.9) - (xy 100.142078 98.9) - (xy 100.162043 99.026055) - (xy 100.219984 99.139771) - (xy 100.310229 99.230016) - (xy 100.423945 99.287957) - (xy 100.55 99.307922) - (xy 100.676055 99.287957) - (xy 100.789771 99.230016) - (xy 100.880016 99.139771) - (xy 100.937957 99.026055) - (xy 100.950003 98.95) - (xy 104.642078 98.95) - (xy 104.662043 99.076055) - (xy 104.719984 99.189771) - (xy 104.810229 99.280016) - (xy 104.815505 99.282704) - (xy 104.815506 99.282705) - (xy 104.86318 99.306996) - (xy 104.923945 99.337957) - (xy 105.05 99.357922) - (xy 105.176055 99.337957) - (xy 105.23682 99.306996) - (xy 105.284494 99.282705) - (xy 105.284495 99.282704) - (xy 105.289771 99.280016) - (xy 105.380016 99.189771) - (xy 105.437957 99.076055) - (xy 105.442084 99.05) - (xy 113.642078 99.05) - (xy 113.662043 99.176055) - (xy 113.682144 99.215506) - (xy 113.716384 99.282705) - (xy 113.719984 99.289771) - (xy 113.810229 99.380016) - (xy 113.815505 99.382704) - (xy 113.815506 99.382705) - (xy 113.84945 99.4) - (xy 113.923945 99.437957) - (xy 113.929792 99.438883) - (xy 114.036899 99.455847) - (xy 114.05 99.457922) - (xy 114.063102 99.455847) - (xy 114.170208 99.438883) - (xy 114.176055 99.437957) - (xy 114.25055 99.4) - (xy 114.284494 99.382705) - (xy 114.284495 99.382704) - (xy 114.289771 99.380016) - (xy 114.380016 99.289771) - (xy 114.383617 99.282705) - (xy 114.417856 99.215506) - (xy 114.437957 99.176055) - (xy 114.457922 99.05) - (xy 122.192078 99.05) - (xy 122.212043 99.176055) - (xy 122.232144 99.215506) - (xy 122.266384 99.282705) - (xy 122.269984 99.289771) - (xy 122.360229 99.380016) - (xy 122.365505 99.382704) - (xy 122.365506 99.382705) - (xy 122.39945 99.4) - (xy 122.473945 99.437957) - (xy 122.479792 99.438883) - (xy 122.586899 99.455847) - (xy 122.6 99.457922) - (xy 122.613102 99.455847) - (xy 122.720208 99.438883) - (xy 122.726055 99.437957) - (xy 122.80055 99.4) - (xy 122.834494 99.382705) - (xy 122.834495 99.382704) - (xy 122.839771 99.380016) - (xy 122.930016 99.289771) - (xy 122.933617 99.282705) - (xy 122.967856 99.215506) - (xy 122.987957 99.176055) - (xy 123.007922 99.05) - (xy 123.00582 99.036725) - (xy 122.988883 98.929792) - (xy 122.987957 98.923945) - (xy 122.939984 98.829792) - (xy 122.932705 98.815506) - (xy 122.932704 98.815505) - (xy 122.930016 98.810229) - (xy 122.839771 98.719984) - (xy 122.830983 98.715506) - (xy 122.739632 98.668961) - (xy 122.726055 98.662043) - (xy 122.6 98.642078) - (xy 122.473945 98.662043) - (xy 122.460368 98.668961) - (xy 122.369018 98.715506) - (xy 122.360229 98.719984) - (xy 122.269984 98.810229) - (xy 122.267296 98.815505) - (xy 122.267295 98.815506) - (xy 122.260016 98.829792) - (xy 122.212043 98.923945) - (xy 122.211117 98.929792) - (xy 122.194181 99.036725) - (xy 122.192078 99.05) - (xy 114.457922 99.05) - (xy 114.45582 99.036725) - (xy 114.438883 98.929792) - (xy 114.437957 98.923945) - (xy 114.389984 98.829792) - (xy 114.382705 98.815506) - (xy 114.382704 98.815505) - (xy 114.380016 98.810229) - (xy 114.289771 98.719984) - (xy 114.280983 98.715506) - (xy 114.189632 98.668961) - (xy 114.176055 98.662043) - (xy 114.05 98.642078) - (xy 113.923945 98.662043) - (xy 113.910368 98.668961) - (xy 113.819018 98.715506) - (xy 113.810229 98.719984) - (xy 113.719984 98.810229) - (xy 113.717296 98.815505) - (xy 113.717295 98.815506) - (xy 113.710016 98.829792) - (xy 113.662043 98.923945) - (xy 113.661117 98.929792) - (xy 113.644181 99.036725) - (xy 113.642078 99.05) - (xy 105.442084 99.05) - (xy 105.457922 98.95) - (xy 105.437957 98.823945) - (xy 105.387963 98.725826) - (xy 105.382705 98.715506) - (xy 105.382704 98.715505) - (xy 105.380016 98.710229) - (xy 105.289771 98.619984) - (xy 105.176055 98.562043) - (xy 105.106679 98.551055) - (xy 105.055847 98.543004) - (xy 105.05 98.542078) - (xy 105.044153 98.543004) - (xy 104.993321 98.551055) - (xy 104.923945 98.562043) - (xy 104.810229 98.619984) - (xy 104.719984 98.710229) - (xy 104.717296 98.715505) - (xy 104.717295 98.715506) - (xy 104.712037 98.725826) - (xy 104.662043 98.823945) - (xy 104.642078 98.95) - (xy 100.950003 98.95) - (xy 100.957922 98.9) - (xy 100.937957 98.773945) - (xy 100.880016 98.660229) - (xy 100.789771 98.569984) - (xy 100.77946 98.56473) - (xy 100.703555 98.526055) - (xy 100.676055 98.512043) - (xy 100.55 98.492078) - (xy 100.423945 98.512043) - (xy 100.396445 98.526055) - (xy 100.320541 98.56473) - (xy 100.310229 98.569984) - (xy 100.219984 98.660229) - (xy 100.162043 98.773945) - (xy 100.142078 98.9) - (xy 64.664833 98.9) - (xy 64.674981 98.894874) - (xy 64.829966 98.773786) - (xy 64.95848 98.624901) - (xy 64.992662 98.56473) - (xy 65.05381 98.457091) - (xy 65.053812 98.457088) - (xy 65.055628 98.45389) - (xy 65.086669 98.360578) - (xy 65.107486 98.298) - (xy 76.808078 98.298) - (xy 76.828043 98.424055) - (xy 76.885984 98.537771) - (xy 76.976229 98.628016) - (xy 76.981505 98.630704) - (xy 76.981506 98.630705) - (xy 77.007523 98.643961) - (xy 77.089945 98.685957) - (xy 77.216 98.705922) - (xy 77.342055 98.685957) - (xy 77.424477 98.643961) - (xy 77.450494 98.630705) - (xy 77.450495 98.630704) - (xy 77.455771 98.628016) - (xy 77.546016 98.537771) - (xy 77.603957 98.424055) - (xy 77.607767 98.4) - (xy 88.042078 98.4) - (xy 88.043004 98.405847) - (xy 88.045888 98.424055) - (xy 88.062043 98.526055) - (xy 88.070207 98.542078) - (xy 88.115365 98.630705) - (xy 88.119984 98.639771) - (xy 88.210229 98.730016) - (xy 88.215505 98.732704) - (xy 88.215506 98.732705) - (xy 88.251071 98.750826) - (xy 88.323945 98.787957) - (xy 88.45 98.807922) - (xy 88.576055 98.787957) - (xy 88.648929 98.750826) - (xy 88.684494 98.732705) - (xy 88.684495 98.732704) - (xy 88.689771 98.730016) - (xy 88.780016 98.639771) - (xy 88.784636 98.630705) - (xy 88.829793 98.542078) - (xy 88.837957 98.526055) - (xy 88.854112 98.424055) - (xy 88.856996 98.405847) - (xy 88.857922 98.4) - (xy 102.442078 98.4) - (xy 102.443004 98.405847) - (xy 102.445888 98.424055) - (xy 102.462043 98.526055) - (xy 102.470207 98.542078) - (xy 102.515365 98.630705) - (xy 102.519984 98.639771) - (xy 102.610229 98.730016) - (xy 102.615505 98.732704) - (xy 102.615506 98.732705) - (xy 102.651071 98.750826) - (xy 102.723945 98.787957) - (xy 102.85 98.807922) - (xy 102.976055 98.787957) - (xy 103.048929 98.750826) - (xy 103.084494 98.732705) - (xy 103.084495 98.732704) - (xy 103.089771 98.730016) - (xy 103.180016 98.639771) - (xy 103.184636 98.630705) - (xy 103.229793 98.542078) - (xy 103.237957 98.526055) - (xy 103.254112 98.424055) - (xy 103.256996 98.405847) - (xy 103.257922 98.4) - (xy 103.237957 98.273945) - (xy 103.225756 98.25) - (xy 114.292078 98.25) - (xy 114.312043 98.376055) - (xy 114.3365 98.424055) - (xy 114.349922 98.450396) - (xy 114.369984 98.489771) - (xy 114.460229 98.580016) - (xy 114.465505 98.582704) - (xy 114.465506 98.582705) - (xy 114.479643 98.589908) - (xy 114.573945 98.637957) - (xy 114.7 98.657922) - (xy 114.826055 98.637957) - (xy 114.920357 98.589908) - (xy 114.934494 98.582705) - (xy 114.934495 98.582704) - (xy 114.939771 98.580016) - (xy 115.030016 98.489771) - (xy 115.050079 98.450396) - (xy 115.0635 98.424055) - (xy 115.087957 98.376055) - (xy 115.107922 98.25) - (xy 122.842078 98.25) - (xy 122.862043 98.376055) - (xy 122.8865 98.424055) - (xy 122.899922 98.450396) - (xy 122.919984 98.489771) - (xy 123.010229 98.580016) - (xy 123.015505 98.582704) - (xy 123.015506 98.582705) - (xy 123.029643 98.589908) - (xy 123.123945 98.637957) - (xy 123.25 98.657922) - (xy 123.376055 98.637957) - (xy 123.470357 98.589908) - (xy 123.484494 98.582705) - (xy 123.484495 98.582704) - (xy 123.489771 98.580016) - (xy 123.580016 98.489771) - (xy 123.600079 98.450396) - (xy 123.613019 98.425) - (xy 130.275078 98.425) - (xy 130.295043 98.551055) - (xy 130.325921 98.611656) - (xy 130.339322 98.637957) - (xy 130.352984 98.664771) - (xy 130.443229 98.755016) - (xy 130.556945 98.812957) - (xy 130.619972 98.822939) - (xy 130.663238 98.829792) - (xy 130.683 98.832922) - (xy 130.702763 98.829792) - (xy 130.746028 98.822939) - (xy 130.809055 98.812957) - (xy 130.922771 98.755016) - (xy 131.013016 98.664771) - (xy 131.026679 98.637957) - (xy 131.040079 98.611656) - (xy 131.070957 98.551055) - (xy 131.090922 98.425) - (xy 135.355078 98.425) - (xy 135.375043 98.551055) - (xy 135.405921 98.611656) - (xy 135.419322 98.637957) - (xy 135.432984 98.664771) - (xy 135.523229 98.755016) - (xy 135.636945 98.812957) - (xy 135.699972 98.822939) - (xy 135.743238 98.829792) - (xy 135.763 98.832922) - (xy 135.782763 98.829792) - (xy 135.826028 98.822939) - (xy 135.889055 98.812957) - (xy 136.002771 98.755016) - (xy 136.093016 98.664771) - (xy 136.106679 98.637957) - (xy 136.120079 98.611656) - (xy 136.150957 98.551055) - (xy 136.170922 98.425) - (xy 140.435078 98.425) - (xy 140.455043 98.551055) - (xy 140.485921 98.611656) - (xy 140.499322 98.637957) - (xy 140.512984 98.664771) - (xy 140.603229 98.755016) - (xy 140.716945 98.812957) - (xy 140.779972 98.822939) - (xy 140.823238 98.829792) - (xy 140.843 98.832922) - (xy 140.862763 98.829792) - (xy 140.906028 98.822939) - (xy 140.969055 98.812957) - (xy 141.082771 98.755016) - (xy 141.173016 98.664771) - (xy 141.186679 98.637957) - (xy 141.200079 98.611656) - (xy 141.230957 98.551055) - (xy 141.250922 98.425) - (xy 141.247889 98.405847) - (xy 141.231883 98.304792) - (xy 141.230957 98.298945) - (xy 141.173016 98.185229) - (xy 141.082771 98.094984) - (xy 140.969055 98.037043) - (xy 140.899679 98.026055) - (xy 140.848847 98.018004) - (xy 140.843 98.017078) - (xy 140.837153 98.018004) - (xy 140.786321 98.026055) - (xy 140.716945 98.037043) - (xy 140.603229 98.094984) - (xy 140.512984 98.185229) - (xy 140.455043 98.298945) - (xy 140.454117 98.304792) - (xy 140.438112 98.405847) - (xy 140.435078 98.425) - (xy 136.170922 98.425) - (xy 136.167889 98.405847) - (xy 136.151883 98.304792) - (xy 136.150957 98.298945) - (xy 136.093016 98.185229) - (xy 136.002771 98.094984) - (xy 135.889055 98.037043) - (xy 135.819679 98.026055) - (xy 135.768847 98.018004) - (xy 135.763 98.017078) - (xy 135.757153 98.018004) - (xy 135.706321 98.026055) - (xy 135.636945 98.037043) - (xy 135.523229 98.094984) - (xy 135.432984 98.185229) - (xy 135.375043 98.298945) - (xy 135.374117 98.304792) - (xy 135.358112 98.405847) - (xy 135.355078 98.425) - (xy 131.090922 98.425) - (xy 131.087889 98.405847) - (xy 131.071883 98.304792) - (xy 131.070957 98.298945) - (xy 131.013016 98.185229) - (xy 130.922771 98.094984) - (xy 130.809055 98.037043) - (xy 130.739679 98.026055) - (xy 130.688847 98.018004) - (xy 130.683 98.017078) - (xy 130.677153 98.018004) - (xy 130.626321 98.026055) - (xy 130.556945 98.037043) - (xy 130.443229 98.094984) - (xy 130.352984 98.185229) - (xy 130.295043 98.298945) - (xy 130.294117 98.304792) - (xy 130.278112 98.405847) - (xy 130.275078 98.425) - (xy 123.613019 98.425) - (xy 123.6135 98.424055) - (xy 123.637957 98.376055) - (xy 123.657922 98.25) - (xy 123.637957 98.123945) - (xy 123.595048 98.039731) - (xy 123.582705 98.015506) - (xy 123.582704 98.015505) - (xy 123.580016 98.010229) - (xy 123.489771 97.919984) - (xy 123.475535 97.91273) - (xy 123.403555 97.876055) - (xy 123.376055 97.862043) - (xy 123.266751 97.844731) - (xy 123.255847 97.843004) - (xy 123.25 97.842078) - (xy 123.244153 97.843004) - (xy 123.233249 97.844731) - (xy 123.123945 97.862043) - (xy 123.096445 97.876055) - (xy 123.024466 97.91273) - (xy 123.010229 97.919984) - (xy 122.919984 98.010229) - (xy 122.917296 98.015505) - (xy 122.917295 98.015506) - (xy 122.904952 98.039731) - (xy 122.862043 98.123945) - (xy 122.842078 98.25) - (xy 115.107922 98.25) - (xy 115.087957 98.123945) - (xy 115.045048 98.039731) - (xy 115.032705 98.015506) - (xy 115.032704 98.015505) - (xy 115.030016 98.010229) - (xy 114.939771 97.919984) - (xy 114.925535 97.91273) - (xy 114.853555 97.876055) - (xy 114.826055 97.862043) - (xy 114.716751 97.844731) - (xy 114.705847 97.843004) - (xy 114.7 97.842078) - (xy 114.694153 97.843004) - (xy 114.683249 97.844731) - (xy 114.573945 97.862043) - (xy 114.546445 97.876055) - (xy 114.474466 97.91273) - (xy 114.460229 97.919984) - (xy 114.369984 98.010229) - (xy 114.367296 98.015505) - (xy 114.367295 98.015506) - (xy 114.354952 98.039731) - (xy 114.312043 98.123945) - (xy 114.292078 98.25) - (xy 103.225756 98.25) - (xy 103.180016 98.160229) - (xy 103.089771 98.069984) - (xy 103.077058 98.063506) - (xy 103.003555 98.026055) - (xy 102.976055 98.012043) - (xy 102.85 97.992078) - (xy 102.723945 98.012043) - (xy 102.696445 98.026055) - (xy 102.622943 98.063506) - (xy 102.610229 98.069984) - (xy 102.519984 98.160229) - (xy 102.462043 98.273945) - (xy 102.442078 98.4) - (xy 88.857922 98.4) - (xy 88.837957 98.273945) - (xy 88.780016 98.160229) - (xy 88.689771 98.069984) - (xy 88.677058 98.063506) - (xy 88.603555 98.026055) - (xy 88.576055 98.012043) - (xy 88.45 97.992078) - (xy 88.323945 98.012043) - (xy 88.296445 98.026055) - (xy 88.222943 98.063506) - (xy 88.210229 98.069984) - (xy 88.119984 98.160229) - (xy 88.062043 98.273945) - (xy 88.042078 98.4) - (xy 77.607767 98.4) - (xy 77.623922 98.298) - (xy 77.603957 98.171945) - (xy 77.573079 98.111344) - (xy 77.548705 98.063506) - (xy 77.548704 98.063505) - (xy 77.546016 98.058229) - (xy 77.455771 97.967984) - (xy 77.342055 97.910043) - (xy 77.216 97.890078) - (xy 77.089945 97.910043) - (xy 76.976229 97.967984) - (xy 76.885984 98.058229) - (xy 76.883296 98.063505) - (xy 76.883295 98.063506) - (xy 76.858921 98.111344) - (xy 76.828043 98.171945) - (xy 76.808078 98.298) - (xy 65.107486 98.298) - (xy 65.116548 98.27076) - (xy 65.116549 98.270757) - (xy 65.11771 98.267266) - (xy 65.14236 98.072138) - (xy 65.142753 98.044) - (xy 65.142548 98.041907) - (xy 65.123921 97.851927) - (xy 65.12392 97.851922) - (xy 65.123732 97.85) - (xy 69.192078 97.85) - (xy 69.212043 97.976055) - (xy 69.229908 98.011117) - (xy 69.266384 98.082705) - (xy 69.269984 98.089771) - (xy 69.360229 98.180016) - (xy 69.365505 98.182704) - (xy 69.365506 98.182705) - (xy 69.380817 98.190506) - (xy 69.473945 98.237957) - (xy 69.479792 98.238883) - (xy 69.586899 98.255847) - (xy 69.6 98.257922) - (xy 69.613102 98.255847) - (xy 69.720208 98.238883) - (xy 69.726055 98.237957) - (xy 69.819183 98.190506) - (xy 69.834494 98.182705) - (xy 69.834495 98.182704) - (xy 69.839771 98.180016) - (xy 69.930016 98.089771) - (xy 69.933617 98.082705) - (xy 69.970092 98.011117) - (xy 69.987957 97.976055) - (xy 70.007922 97.85) - (xy 70.000003 97.8) - (xy 82.092078 97.8) - (xy 82.112043 97.926055) - (xy 82.11473 97.931328) - (xy 82.163914 98.027857) - (xy 82.169984 98.039771) - (xy 82.260229 98.130016) - (xy 82.265505 98.132704) - (xy 82.265506 98.132705) - (xy 82.311302 98.156039) - (xy 82.373945 98.187957) - (xy 82.5 98.207922) - (xy 82.626055 98.187957) - (xy 82.688698 98.156039) - (xy 82.734494 98.132705) - (xy 82.734495 98.132704) - (xy 82.739771 98.130016) - (xy 82.830016 98.039771) - (xy 82.836087 98.027857) - (xy 82.88527 97.931328) - (xy 82.887957 97.926055) - (xy 82.892084 97.9) - (xy 100.142078 97.9) - (xy 100.162043 98.026055) - (xy 100.181125 98.063506) - (xy 100.216384 98.132705) - (xy 100.219984 98.139771) - (xy 100.310229 98.230016) - (xy 100.315505 98.232704) - (xy 100.315506 98.232705) - (xy 100.34945 98.25) - (xy 100.423945 98.287957) - (xy 100.486972 98.297939) - (xy 100.530238 98.304792) - (xy 100.55 98.307922) - (xy 100.569763 98.304792) - (xy 100.613028 98.297939) - (xy 100.676055 98.287957) - (xy 100.75055 98.25) - (xy 100.784494 98.232705) - (xy 100.784495 98.232704) - (xy 100.789771 98.230016) - (xy 100.880016 98.139771) - (xy 100.883617 98.132705) - (xy 100.918875 98.063506) - (xy 100.937957 98.026055) - (xy 100.957922 97.9) - (xy 100.937957 97.773945) - (xy 100.880016 97.660229) - (xy 100.789771 97.569984) - (xy 100.780983 97.565506) - (xy 100.703555 97.526055) - (xy 100.676055 97.512043) - (xy 100.55 97.492078) - (xy 100.423945 97.512043) - (xy 100.396445 97.526055) - (xy 100.319018 97.565506) - (xy 100.310229 97.569984) - (xy 100.219984 97.660229) - (xy 100.162043 97.773945) - (xy 100.142078 97.9) - (xy 82.892084 97.9) - (xy 82.907922 97.8) - (xy 82.887957 97.673945) - (xy 82.853357 97.606039) - (xy 82.832705 97.565506) - (xy 82.832704 97.565505) - (xy 82.830016 97.560229) - (xy 82.739771 97.469984) - (xy 82.72946 97.46473) - (xy 82.649924 97.424205) - (xy 82.626055 97.412043) - (xy 82.550018 97.4) - (xy 83.092078 97.4) - (xy 83.112043 97.526055) - (xy 83.132144 97.565506) - (xy 83.159234 97.618672) - (xy 83.169984 97.639771) - (xy 83.260229 97.730016) - (xy 83.265505 97.732704) - (xy 83.265506 97.732705) - (xy 83.29945 97.75) - (xy 83.373945 97.787957) - (xy 83.379792 97.788883) - (xy 83.486899 97.805847) - (xy 83.5 97.807922) - (xy 83.513102 97.805847) - (xy 83.620208 97.788883) - (xy 83.626055 97.787957) - (xy 83.70055 97.75) - (xy 83.734494 97.732705) - (xy 83.734495 97.732704) - (xy 83.739771 97.730016) - (xy 83.830016 97.639771) - (xy 83.840767 97.618672) - (xy 83.867856 97.565506) - (xy 83.887957 97.526055) - (xy 83.907922 97.4) - (xy 83.887957 97.273945) - (xy 83.830016 97.160229) - (xy 83.819787 97.15) - (xy 84.792078 97.15) - (xy 84.812043 97.276055) - (xy 84.869984 97.389771) - (xy 84.960229 97.480016) - (xy 84.965505 97.482704) - (xy 84.965506 97.482705) - (xy 85.011302 97.506039) - (xy 85.073945 97.537957) - (xy 85.2 97.557922) - (xy 85.326055 97.537957) - (xy 85.388698 97.506039) - (xy 85.434494 97.482705) - (xy 85.434495 97.482704) - (xy 85.439771 97.480016) - (xy 85.519787 97.4) - (xy 88.042078 97.4) - (xy 88.062043 97.526055) - (xy 88.082144 97.565506) - (xy 88.109234 97.618672) - (xy 88.119984 97.639771) - (xy 88.210229 97.730016) - (xy 88.215505 97.732704) - (xy 88.215506 97.732705) - (xy 88.24945 97.75) - (xy 88.323945 97.787957) - (xy 88.329792 97.788883) - (xy 88.436899 97.805847) - (xy 88.45 97.807922) - (xy 88.463102 97.805847) - (xy 88.570208 97.788883) - (xy 88.576055 97.787957) - (xy 88.65055 97.75) - (xy 88.684494 97.732705) - (xy 88.684495 97.732704) - (xy 88.689771 97.730016) - (xy 88.780016 97.639771) - (xy 88.790767 97.618672) - (xy 88.817856 97.565506) - (xy 88.837957 97.526055) - (xy 88.857922 97.4) - (xy 102.442078 97.4) - (xy 102.462043 97.526055) - (xy 102.482144 97.565506) - (xy 102.509234 97.618672) - (xy 102.519984 97.639771) - (xy 102.610229 97.730016) - (xy 102.615505 97.732704) - (xy 102.615506 97.732705) - (xy 102.64945 97.75) - (xy 102.723945 97.787957) - (xy 102.729792 97.788883) - (xy 102.836899 97.805847) - (xy 102.85 97.807922) - (xy 102.863102 97.805847) - (xy 102.970208 97.788883) - (xy 102.976055 97.787957) - (xy 103.05055 97.75) - (xy 107.792078 97.75) - (xy 107.812043 97.876055) - (xy 107.869984 97.989771) - (xy 107.960229 98.080016) - (xy 107.965505 98.082704) - (xy 107.965506 98.082705) - (xy 107.989605 98.094984) - (xy 108.073945 98.137957) - (xy 108.2 98.157922) - (xy 108.326055 98.137957) - (xy 108.410395 98.094984) - (xy 108.434494 98.082705) - (xy 108.434495 98.082704) - (xy 108.439771 98.080016) - (xy 108.530016 97.989771) - (xy 108.587957 97.876055) - (xy 108.607922 97.75) - (xy 108.587957 97.623945) - (xy 108.542775 97.53527) - (xy 108.532705 97.515506) - (xy 108.532704 97.515505) - (xy 108.530016 97.510229) - (xy 108.469787 97.45) - (xy 113.642078 97.45) - (xy 113.662043 97.576055) - (xy 113.682144 97.615506) - (xy 113.709234 97.668672) - (xy 113.719984 97.689771) - (xy 113.810229 97.780016) - (xy 113.815505 97.782704) - (xy 113.815506 97.782705) - (xy 113.84945 97.8) - (xy 113.923945 97.837957) - (xy 113.929792 97.838883) - (xy 114.036899 97.855847) - (xy 114.05 97.857922) - (xy 114.063102 97.855847) - (xy 114.170208 97.838883) - (xy 114.176055 97.837957) - (xy 114.25055 97.8) - (xy 114.284494 97.782705) - (xy 114.284495 97.782704) - (xy 114.289771 97.780016) - (xy 114.380016 97.689771) - (xy 114.390767 97.668672) - (xy 114.417856 97.615506) - (xy 114.437957 97.576055) - (xy 114.457922 97.45) - (xy 122.192078 97.45) - (xy 122.212043 97.576055) - (xy 122.232144 97.615506) - (xy 122.259234 97.668672) - (xy 122.269984 97.689771) - (xy 122.360229 97.780016) - (xy 122.365505 97.782704) - (xy 122.365506 97.782705) - (xy 122.39945 97.8) - (xy 122.473945 97.837957) - (xy 122.479792 97.838883) - (xy 122.586899 97.855847) - (xy 122.6 97.857922) - (xy 122.613102 97.855847) - (xy 122.720208 97.838883) - (xy 122.726055 97.837957) - (xy 122.80055 97.8) - (xy 122.834494 97.782705) - (xy 122.834495 97.782704) - (xy 122.839771 97.780016) - (xy 122.930016 97.689771) - (xy 122.940767 97.668672) - (xy 122.967856 97.615506) - (xy 122.987957 97.576055) - (xy 123.007922 97.45) - (xy 122.987957 97.323945) - (xy 122.941468 97.232705) - (xy 122.932705 97.215506) - (xy 122.932704 97.215505) - (xy 122.930016 97.210229) - (xy 122.839771 97.119984) - (xy 122.833401 97.116738) - (xy 122.772392 97.085653) - (xy 122.726055 97.062043) - (xy 122.6 97.042078) - (xy 122.473945 97.062043) - (xy 122.427608 97.085653) - (xy 122.3666 97.116738) - (xy 122.360229 97.119984) - (xy 122.269984 97.210229) - (xy 122.267296 97.215505) - (xy 122.267295 97.215506) - (xy 122.258532 97.232705) - (xy 122.212043 97.323945) - (xy 122.192078 97.45) - (xy 114.457922 97.45) - (xy 114.437957 97.323945) - (xy 114.391468 97.232705) - (xy 114.382705 97.215506) - (xy 114.382704 97.215505) - (xy 114.380016 97.210229) - (xy 114.289771 97.119984) - (xy 114.283401 97.116738) - (xy 114.222392 97.085653) - (xy 114.176055 97.062043) - (xy 114.05 97.042078) - (xy 113.923945 97.062043) - (xy 113.877608 97.085653) - (xy 113.8166 97.116738) - (xy 113.810229 97.119984) - (xy 113.719984 97.210229) - (xy 113.717296 97.215505) - (xy 113.717295 97.215506) - (xy 113.708532 97.232705) - (xy 113.662043 97.323945) - (xy 113.642078 97.45) - (xy 108.469787 97.45) - (xy 108.439771 97.419984) - (xy 108.42946 97.41473) - (xy 108.370118 97.384494) - (xy 108.326055 97.362043) - (xy 108.2 97.342078) - (xy 108.073945 97.362043) - (xy 108.029882 97.384494) - (xy 107.970541 97.41473) - (xy 107.960229 97.419984) - (xy 107.869984 97.510229) - (xy 107.867296 97.515505) - (xy 107.867295 97.515506) - (xy 107.857225 97.53527) - (xy 107.812043 97.623945) - (xy 107.792078 97.75) - (xy 103.05055 97.75) - (xy 103.084494 97.732705) - (xy 103.084495 97.732704) - (xy 103.089771 97.730016) - (xy 103.180016 97.639771) - (xy 103.190767 97.618672) - (xy 103.217856 97.565506) - (xy 103.237957 97.526055) - (xy 103.257922 97.4) - (xy 103.237957 97.273945) - (xy 103.180016 97.160229) - (xy 103.089771 97.069984) - (xy 103.07946 97.06473) - (xy 103.021641 97.03527) - (xy 102.976055 97.012043) - (xy 102.900018 97) - (xy 106.342078 97) - (xy 106.362043 97.126055) - (xy 106.382144 97.165506) - (xy 106.416384 97.232705) - (xy 106.419984 97.239771) - (xy 106.510229 97.330016) - (xy 106.515505 97.332704) - (xy 106.515506 97.332705) - (xy 106.520915 97.335461) - (xy 106.623945 97.387957) - (xy 106.649964 97.392078) - (xy 106.736899 97.405847) - (xy 106.75 97.407922) - (xy 106.763102 97.405847) - (xy 106.850036 97.392078) - (xy 106.876055 97.387957) - (xy 106.979085 97.335461) - (xy 106.984494 97.332705) - (xy 106.984495 97.332704) - (xy 106.989771 97.330016) - (xy 107.080016 97.239771) - (xy 107.083617 97.232705) - (xy 107.117856 97.165506) - (xy 107.137957 97.126055) - (xy 107.157922 97) - (xy 107.137957 96.873945) - (xy 107.091468 96.782705) - (xy 107.082705 96.765506) - (xy 107.082704 96.765505) - (xy 107.080016 96.760229) - (xy 106.989771 96.669984) - (xy 106.980983 96.665506) - (xy 106.920118 96.634494) - (xy 106.876055 96.612043) - (xy 106.75 96.592078) - (xy 106.623945 96.612043) - (xy 106.579882 96.634494) - (xy 106.519018 96.665506) - (xy 106.510229 96.669984) - (xy 106.419984 96.760229) - (xy 106.417296 96.765505) - (xy 106.417295 96.765506) - (xy 106.408532 96.782705) - (xy 106.362043 96.873945) - (xy 106.342078 97) - (xy 102.900018 97) - (xy 102.855847 96.993004) - (xy 102.85 96.992078) - (xy 102.844153 96.993004) - (xy 102.799982 97) - (xy 102.723945 97.012043) - (xy 102.678359 97.03527) - (xy 102.620541 97.06473) - (xy 102.610229 97.069984) - (xy 102.519984 97.160229) - (xy 102.462043 97.273945) - (xy 102.442078 97.4) - (xy 88.857922 97.4) - (xy 88.837957 97.273945) - (xy 88.780016 97.160229) - (xy 88.689771 97.069984) - (xy 88.67946 97.06473) - (xy 88.621641 97.03527) - (xy 88.576055 97.012043) - (xy 88.500018 97) - (xy 88.455847 96.993004) - (xy 88.45 96.992078) - (xy 88.444153 96.993004) - (xy 88.399982 97) - (xy 88.323945 97.012043) - (xy 88.278359 97.03527) - (xy 88.220541 97.06473) - (xy 88.210229 97.069984) - (xy 88.119984 97.160229) - (xy 88.062043 97.273945) - (xy 88.042078 97.4) - (xy 85.519787 97.4) - (xy 85.530016 97.389771) - (xy 85.587957 97.276055) - (xy 85.607922 97.15) - (xy 85.605625 97.135494) - (xy 85.588883 97.029792) - (xy 85.587957 97.023945) - (xy 85.530016 96.910229) - (xy 85.519787 96.9) - (xy 96.792078 96.9) - (xy 96.812043 97.026055) - (xy 96.869984 97.139771) - (xy 96.960229 97.230016) - (xy 96.965505 97.232704) - (xy 96.965506 97.232705) - (xy 97.013344 97.257079) - (xy 97.073945 97.287957) - (xy 97.2 97.307922) - (xy 97.326055 97.287957) - (xy 97.386656 97.257079) - (xy 97.434494 97.232705) - (xy 97.434495 97.232704) - (xy 97.439771 97.230016) - (xy 97.530016 97.139771) - (xy 97.587957 97.026055) - (xy 97.607922 96.9) - (xy 100.142078 96.9) - (xy 100.162043 97.026055) - (xy 100.219984 97.139771) - (xy 100.310229 97.230016) - (xy 100.315505 97.232704) - (xy 100.315506 97.232705) - (xy 100.363344 97.257079) - (xy 100.423945 97.287957) - (xy 100.55 97.307922) - (xy 100.676055 97.287957) - (xy 100.736656 97.257079) - (xy 100.784494 97.232705) - (xy 100.784495 97.232704) - (xy 100.789771 97.230016) - (xy 100.880016 97.139771) - (xy 100.937957 97.026055) - (xy 100.957922 96.9) - (xy 100.937957 96.773945) - (xy 100.887268 96.674462) - (xy 100.882705 96.665506) - (xy 100.882704 96.665505) - (xy 100.880016 96.660229) - (xy 100.789771 96.569984) - (xy 100.676055 96.512043) - (xy 100.613027 96.50206) - (xy 100.555847 96.493004) - (xy 100.55 96.492078) - (xy 100.544153 96.493004) - (xy 100.486973 96.50206) - (xy 100.423945 96.512043) - (xy 100.310229 96.569984) - (xy 100.219984 96.660229) - (xy 100.217296 96.665505) - (xy 100.217295 96.665506) - (xy 100.212732 96.674462) - (xy 100.162043 96.773945) - (xy 100.142078 96.9) - (xy 97.607922 96.9) - (xy 97.587957 96.773945) - (xy 97.537268 96.674462) - (xy 97.532705 96.665506) - (xy 97.532704 96.665505) - (xy 97.530016 96.660229) - (xy 97.439771 96.569984) - (xy 97.326055 96.512043) - (xy 97.263027 96.50206) - (xy 97.205847 96.493004) - (xy 97.2 96.492078) - (xy 97.194153 96.493004) - (xy 97.136973 96.50206) - (xy 97.073945 96.512043) - (xy 96.960229 96.569984) - (xy 96.869984 96.660229) - (xy 96.867296 96.665505) - (xy 96.867295 96.665506) - (xy 96.862732 96.674462) - (xy 96.812043 96.773945) - (xy 96.792078 96.9) - (xy 85.519787 96.9) - (xy 85.439771 96.819984) - (xy 85.326055 96.762043) - (xy 85.2 96.742078) - (xy 85.073945 96.762043) - (xy 84.960229 96.819984) - (xy 84.869984 96.910229) - (xy 84.812043 97.023945) - (xy 84.811117 97.029792) - (xy 84.794376 97.135494) - (xy 84.792078 97.15) - (xy 83.819787 97.15) - (xy 83.739771 97.069984) - (xy 83.72946 97.06473) - (xy 83.671641 97.03527) - (xy 83.626055 97.012043) - (xy 83.550018 97) - (xy 83.505847 96.993004) - (xy 83.5 96.992078) - (xy 83.494153 96.993004) - (xy 83.449982 97) - (xy 83.373945 97.012043) - (xy 83.328359 97.03527) - (xy 83.270541 97.06473) - (xy 83.260229 97.069984) - (xy 83.169984 97.160229) - (xy 83.112043 97.273945) - (xy 83.092078 97.4) - (xy 82.550018 97.4) - (xy 82.505847 97.393004) - (xy 82.5 97.392078) - (xy 82.494153 97.393004) - (xy 82.449982 97.4) - (xy 82.373945 97.412043) - (xy 82.350076 97.424205) - (xy 82.270541 97.46473) - (xy 82.260229 97.469984) - (xy 82.169984 97.560229) - (xy 82.167296 97.565505) - (xy 82.167295 97.565506) - (xy 82.146643 97.606039) - (xy 82.112043 97.673945) - (xy 82.092078 97.8) - (xy 70.000003 97.8) - (xy 69.987957 97.723945) - (xy 69.930016 97.610229) - (xy 69.839771 97.519984) - (xy 69.830983 97.515506) - (xy 69.780062 97.489561) - (xy 69.726055 97.462043) - (xy 69.650018 97.45) - (xy 69.605847 97.443004) - (xy 69.6 97.442078) - (xy 69.594153 97.443004) - (xy 69.549982 97.45) - (xy 69.473945 97.462043) - (xy 69.419938 97.489561) - (xy 69.369018 97.515506) - (xy 69.360229 97.519984) - (xy 69.269984 97.610229) - (xy 69.212043 97.723945) - (xy 69.192078 97.85) - (xy 65.123732 97.85) - (xy 65.123561 97.84826) - (xy 65.066714 97.659975) - (xy 64.974379 97.486318) - (xy 64.850072 97.333903) - (xy 64.706955 97.215506) - (xy 64.701359 97.210877) - (xy 64.698528 97.208535) - (xy 64.52552 97.11499) - (xy 64.449637 97.0915) - (xy 76.046078 97.0915) - (xy 76.066043 97.217555) - (xy 76.092088 97.268672) - (xy 76.12121 97.325826) - (xy 76.123984 97.331271) - (xy 76.214229 97.421516) - (xy 76.327945 97.479457) - (xy 76.454 97.499422) - (xy 76.580055 97.479457) - (xy 76.693771 97.421516) - (xy 76.784016 97.331271) - (xy 76.786791 97.325826) - (xy 76.815912 97.268672) - (xy 76.841957 97.217555) - (xy 76.861922 97.0915) - (xy 76.841957 96.965445) - (xy 76.792649 96.868672) - (xy 76.786705 96.857006) - (xy 76.786704 96.857005) - (xy 76.784016 96.851729) - (xy 76.693771 96.761484) - (xy 76.655685 96.742078) - (xy 76.588649 96.707922) - (xy 76.580055 96.703543) - (xy 76.517028 96.693561) - (xy 76.459847 96.684504) - (xy 76.454 96.683578) - (xy 76.448153 96.684504) - (xy 76.390972 96.693561) - (xy 76.327945 96.703543) - (xy 76.319351 96.707922) - (xy 76.252316 96.742078) - (xy 76.214229 96.761484) - (xy 76.123984 96.851729) - (xy 76.121296 96.857005) - (xy 76.121295 96.857006) - (xy 76.115351 96.868672) - (xy 76.066043 96.965445) - (xy 76.046078 97.0915) - (xy 64.449637 97.0915) - (xy 64.337637 97.05683) - (xy 64.197277 97.042078) - (xy 64.14569 97.036656) - (xy 64.145689 97.036656) - (xy 64.142035 97.036272) - (xy 64.068061 97.043004) - (xy 63.949824 97.053764) - (xy 63.949823 97.053764) - (xy 63.946166 97.054097) - (xy 63.942641 97.055134) - (xy 63.942638 97.055135) - (xy 63.819082 97.0915) - (xy 63.757489 97.109628) - (xy 63.583192 97.200748) - (xy 63.534657 97.239771) - (xy 63.436524 97.318672) - (xy 63.429912 97.323988) - (xy 63.303489 97.474653) - (xy 63.301718 97.477875) - (xy 63.301717 97.477876) - (xy 63.221416 97.623945) - (xy 63.208739 97.647004) - (xy 63.149269 97.834476) - (xy 63.127345 98.02993) - (xy 62.601374 98.02993) - (xy 62.583921 97.851927) - (xy 62.58392 97.851922) - (xy 62.583561 97.84826) - (xy 62.526714 97.659975) - (xy 62.434379 97.486318) - (xy 62.310072 97.333903) - (xy 62.166955 97.215506) - (xy 62.161359 97.210877) - (xy 62.158528 97.208535) - (xy 61.98552 97.11499) - (xy 61.797637 97.05683) - (xy 61.657277 97.042078) - (xy 61.60569 97.036656) - (xy 61.605689 97.036656) - (xy 61.602035 97.036272) - (xy 61.528061 97.043004) - (xy 61.409824 97.053764) - (xy 61.409823 97.053764) - (xy 61.406166 97.054097) - (xy 61.402641 97.055134) - (xy 61.402638 97.055135) - (xy 61.279082 97.0915) - (xy 61.217489 97.109628) - (xy 61.043192 97.200748) - (xy 60.994657 97.239771) - (xy 60.896524 97.318672) - (xy 60.889912 97.323988) - (xy 60.763489 97.474653) - (xy 60.761718 97.477875) - (xy 60.761717 97.477876) - (xy 60.681416 97.623945) - (xy 60.668739 97.647004) - (xy 60.609269 97.834476) - (xy 60.587345 98.02993) - (xy 46.101 98.02993) - (xy 46.101 96.092591) - (xy 47.302922 96.092591) - (xy 47.303135 96.096658) - (xy 47.303135 96.096661) - (xy 47.307186 96.173945) - (xy 47.312321 96.271921) - (xy 47.313403 96.275848) - (xy 47.313403 96.27585) - (xy 47.356229 96.431328) - (xy 47.360008 96.445049) - (xy 47.361908 96.448653) - (xy 47.361909 96.448655) - (xy 47.425879 96.569984) - (xy 47.44376 96.603898) - (xy 47.446386 96.607005) - (xy 47.446389 96.60701) - (xy 47.519868 96.693961) - (xy 47.559668 96.741058) - (xy 47.5629 96.743529) - (xy 47.562901 96.74353) - (xy 47.647123 96.807922) - (xy 47.702326 96.850128) - (xy 47.865077 96.92602) - (xy 48.040328 96.965193) - (xy 48.045819 96.9655) - (xy 48.177866 96.9655) - (xy 48.179889 96.96528) - (xy 48.179893 96.96528) - (xy 48.246105 96.958087) - (xy 48.311525 96.95098) - (xy 48.460039 96.901) - (xy 53.567078 96.901) - (xy 53.568004 96.906847) - (xy 53.570768 96.924297) - (xy 53.587043 97.027055) - (xy 53.60487 97.062043) - (xy 53.641786 97.134494) - (xy 53.644984 97.140771) - (xy 53.735229 97.231016) - (xy 53.740505 97.233704) - (xy 53.740506 97.233705) - (xy 53.760635 97.243961) - (xy 53.848945 97.288957) - (xy 53.854792 97.289883) - (xy 53.96284 97.306996) - (xy 53.975 97.308922) - (xy 53.987161 97.306996) - (xy 54.095208 97.289883) - (xy 54.101055 97.288957) - (xy 54.189365 97.243961) - (xy 54.209494 97.233705) - (xy 54.209495 97.233704) - (xy 54.214771 97.231016) - (xy 54.305016 97.140771) - (xy 54.308215 97.134494) - (xy 54.34513 97.062043) - (xy 54.362957 97.027055) - (xy 54.379232 96.924297) - (xy 54.381996 96.906847) - (xy 54.382922 96.901) - (xy 54.362957 96.774945) - (xy 54.318635 96.687957) - (xy 54.307705 96.666506) - (xy 54.307704 96.666505) - (xy 54.305016 96.661229) - (xy 54.214771 96.570984) - (xy 54.101055 96.513043) - (xy 53.975 96.493078) - (xy 53.848945 96.513043) - (xy 53.735229 96.570984) - (xy 53.644984 96.661229) - (xy 53.642296 96.666505) - (xy 53.642295 96.666506) - (xy 53.631365 96.687957) - (xy 53.587043 96.774945) - (xy 53.567078 96.901) - (xy 48.460039 96.901) - (xy 48.481722 96.893703) - (xy 48.485208 96.891608) - (xy 48.485211 96.891607) - (xy 48.632158 96.803311) - (xy 48.635648 96.801214) - (xy 48.766123 96.67783) - (xy 48.86706 96.529306) - (xy 48.918778 96.4) - (xy 97.692078 96.4) - (xy 97.712043 96.526055) - (xy 97.71473 96.531328) - (xy 97.766384 96.632705) - (xy 97.769984 96.639771) - (xy 97.860229 96.730016) - (xy 97.973945 96.787957) - (xy 98.1 96.807922) - (xy 98.226055 96.787957) - (xy 98.339771 96.730016) - (xy 98.430016 96.639771) - (xy 98.433617 96.632705) - (xy 98.48527 96.531328) - (xy 98.487957 96.526055) - (xy 98.507922 96.4) - (xy 98.501358 96.358553) - (xy 98.492083 96.3) - (xy 98.742078 96.3) - (xy 98.762043 96.426055) - (xy 98.771721 96.445049) - (xy 98.809234 96.518672) - (xy 98.819984 96.539771) - (xy 98.910229 96.630016) - (xy 98.915505 96.632704) - (xy 98.915506 96.632705) - (xy 98.94945 96.65) - (xy 99.023945 96.687957) - (xy 99.15 96.707922) - (xy 99.276055 96.687957) - (xy 99.35055 96.65) - (xy 99.384494 96.632705) - (xy 99.384495 96.632704) - (xy 99.389771 96.630016) - (xy 99.480016 96.539771) - (xy 99.490767 96.518672) - (xy 99.528279 96.445049) - (xy 99.537957 96.426055) - (xy 99.542084 96.4) - (xy 102.442078 96.4) - (xy 102.462043 96.526055) - (xy 102.46473 96.531328) - (xy 102.516384 96.632705) - (xy 102.519984 96.639771) - (xy 102.610229 96.730016) - (xy 102.723945 96.787957) - (xy 102.85 96.807922) - (xy 102.976055 96.787957) - (xy 103.089771 96.730016) - (xy 103.180016 96.639771) - (xy 103.183617 96.632705) - (xy 103.23527 96.531328) - (xy 103.237957 96.526055) - (xy 103.250003 96.45) - (xy 110.342078 96.45) - (xy 110.362043 96.576055) - (xy 110.36473 96.581328) - (xy 110.416829 96.683578) - (xy 110.419984 96.689771) - (xy 110.510229 96.780016) - (xy 110.515505 96.782704) - (xy 110.515506 96.782705) - (xy 110.555948 96.803311) - (xy 110.623945 96.837957) - (xy 110.629792 96.838883) - (xy 110.710899 96.851729) - (xy 110.75 96.857922) - (xy 110.789102 96.851729) - (xy 110.870208 96.838883) - (xy 110.876055 96.837957) - (xy 110.944052 96.803311) - (xy 110.984494 96.782705) - (xy 110.984495 96.782704) - (xy 110.989771 96.780016) - (xy 111.080016 96.689771) - (xy 111.083172 96.683578) - (xy 111.100281 96.65) - (xy 114.292078 96.65) - (xy 114.312043 96.776055) - (xy 114.318579 96.788883) - (xy 114.359234 96.868672) - (xy 114.369984 96.889771) - (xy 114.460229 96.980016) - (xy 114.465505 96.982704) - (xy 114.465506 96.982705) - (xy 114.49945 97) - (xy 114.573945 97.037957) - (xy 114.579792 97.038883) - (xy 114.690681 97.056446) - (xy 114.7 97.057922) - (xy 114.70932 97.056446) - (xy 114.820208 97.038883) - (xy 114.826055 97.037957) - (xy 114.90055 97) - (xy 114.934494 96.982705) - (xy 114.934495 96.982704) - (xy 114.939771 96.980016) - (xy 115.030016 96.889771) - (xy 115.040767 96.868672) - (xy 115.081421 96.788883) - (xy 115.087957 96.776055) - (xy 115.107922 96.65) - (xy 122.842078 96.65) - (xy 122.862043 96.776055) - (xy 122.868579 96.788883) - (xy 122.909234 96.868672) - (xy 122.919984 96.889771) - (xy 123.010229 96.980016) - (xy 123.015505 96.982704) - (xy 123.015506 96.982705) - (xy 123.04945 97) - (xy 123.123945 97.037957) - (xy 123.129792 97.038883) - (xy 123.240681 97.056446) - (xy 123.25 97.057922) - (xy 123.25932 97.056446) - (xy 123.370208 97.038883) - (xy 123.376055 97.037957) - (xy 123.45055 97) - (xy 123.484494 96.982705) - (xy 123.484495 96.982704) - (xy 123.489771 96.980016) - (xy 123.580016 96.889771) - (xy 123.590767 96.868672) - (xy 123.631421 96.788883) - (xy 123.637957 96.776055) - (xy 123.657922 96.65) - (xy 123.637957 96.523945) - (xy 123.595757 96.441122) - (xy 123.582705 96.415506) - (xy 123.582704 96.415505) - (xy 123.580016 96.410229) - (xy 123.489771 96.319984) - (xy 123.462026 96.305847) - (xy 123.421641 96.28527) - (xy 123.376055 96.262043) - (xy 123.25 96.242078) - (xy 123.123945 96.262043) - (xy 123.078359 96.28527) - (xy 123.037975 96.305847) - (xy 123.010229 96.319984) - (xy 122.919984 96.410229) - (xy 122.917296 96.415505) - (xy 122.917295 96.415506) - (xy 122.904243 96.441122) - (xy 122.862043 96.523945) - (xy 122.842078 96.65) - (xy 115.107922 96.65) - (xy 115.087957 96.523945) - (xy 115.045757 96.441122) - (xy 115.032705 96.415506) - (xy 115.032704 96.415505) - (xy 115.030016 96.410229) - (xy 114.939771 96.319984) - (xy 114.912026 96.305847) - (xy 114.871641 96.28527) - (xy 114.826055 96.262043) - (xy 114.7 96.242078) - (xy 114.573945 96.262043) - (xy 114.528359 96.28527) - (xy 114.487975 96.305847) - (xy 114.460229 96.319984) - (xy 114.369984 96.410229) - (xy 114.367296 96.415505) - (xy 114.367295 96.415506) - (xy 114.354243 96.441122) - (xy 114.312043 96.523945) - (xy 114.292078 96.65) - (xy 111.100281 96.65) - (xy 111.13527 96.581328) - (xy 111.137957 96.576055) - (xy 111.157922 96.45) - (xy 111.137957 96.323945) - (xy 111.089795 96.229422) - (xy 111.082705 96.215506) - (xy 111.082704 96.215505) - (xy 111.080016 96.210229) - (xy 110.989771 96.119984) - (xy 110.876055 96.062043) - (xy 110.75 96.042078) - (xy 110.623945 96.062043) - (xy 110.510229 96.119984) - (xy 110.419984 96.210229) - (xy 110.417296 96.215505) - (xy 110.417295 96.215506) - (xy 110.410205 96.229422) - (xy 110.362043 96.323945) - (xy 110.342078 96.45) - (xy 103.250003 96.45) - (xy 103.257922 96.4) - (xy 103.251358 96.358553) - (xy 103.239344 96.282705) - (xy 103.237957 96.273945) - (xy 103.180016 96.160229) - (xy 103.089771 96.069984) - (xy 103.080983 96.065506) - (xy 103.003555 96.026055) - (xy 102.976055 96.012043) - (xy 102.912399 96.001961) - (xy 102.855847 95.993004) - (xy 102.85 95.992078) - (xy 102.844153 95.993004) - (xy 102.787601 96.001961) - (xy 102.723945 96.012043) - (xy 102.696445 96.026055) - (xy 102.619018 96.065506) - (xy 102.610229 96.069984) - (xy 102.519984 96.160229) - (xy 102.462043 96.273945) - (xy 102.460656 96.282705) - (xy 102.448643 96.358553) - (xy 102.442078 96.4) - (xy 99.542084 96.4) - (xy 99.557922 96.3) - (xy 99.537957 96.173945) - (xy 99.492039 96.083826) - (xy 99.482705 96.065506) - (xy 99.482704 96.065505) - (xy 99.480016 96.060229) - (xy 99.389771 95.969984) - (xy 99.362026 95.955847) - (xy 99.334276 95.941708) - (xy 99.276055 95.912043) - (xy 99.200018 95.9) - (xy 100.142078 95.9) - (xy 100.162043 96.026055) - (xy 100.219984 96.139771) - (xy 100.310229 96.230016) - (xy 100.315505 96.232704) - (xy 100.315506 96.232705) - (xy 100.333902 96.242078) - (xy 100.423945 96.287957) - (xy 100.455293 96.292922) - (xy 100.536899 96.305847) - (xy 100.55 96.307922) - (xy 100.563102 96.305847) - (xy 100.644707 96.292922) - (xy 100.676055 96.287957) - (xy 100.766098 96.242078) - (xy 100.784494 96.232705) - (xy 100.784495 96.232704) - (xy 100.789771 96.230016) - (xy 100.880016 96.139771) - (xy 100.937957 96.026055) - (xy 100.957922 95.9) - (xy 100.937957 95.773945) - (xy 100.890098 95.680016) - (xy 100.882705 95.665506) - (xy 100.882704 95.665505) - (xy 100.880016 95.660229) - (xy 100.789771 95.569984) - (xy 100.77946 95.56473) - (xy 100.724922 95.536942) - (xy 100.676055 95.512043) - (xy 100.598313 95.49973) - (xy 100.555847 95.493004) - (xy 100.55 95.492078) - (xy 100.544153 95.493004) - (xy 100.501687 95.49973) - (xy 100.423945 95.512043) - (xy 100.375078 95.536942) - (xy 100.320541 95.56473) - (xy 100.310229 95.569984) - (xy 100.219984 95.660229) - (xy 100.217296 95.665505) - (xy 100.217295 95.665506) - (xy 100.209902 95.680016) - (xy 100.162043 95.773945) - (xy 100.142078 95.9) - (xy 99.200018 95.9) - (xy 99.155847 95.893004) - (xy 99.15 95.892078) - (xy 99.144153 95.893004) - (xy 99.099982 95.9) - (xy 99.023945 95.912043) - (xy 98.965724 95.941708) - (xy 98.937975 95.955847) - (xy 98.910229 95.969984) - (xy 98.819984 96.060229) - (xy 98.817296 96.065505) - (xy 98.817295 96.065506) - (xy 98.807961 96.083826) - (xy 98.762043 96.173945) - (xy 98.742078 96.3) - (xy 98.492083 96.3) - (xy 98.489344 96.282705) - (xy 98.487957 96.273945) - (xy 98.430016 96.160229) - (xy 98.339771 96.069984) - (xy 98.330983 96.065506) - (xy 98.253555 96.026055) - (xy 98.226055 96.012043) - (xy 98.162399 96.001961) - (xy 98.105847 95.993004) - (xy 98.1 95.992078) - (xy 98.094153 95.993004) - (xy 98.037601 96.001961) - (xy 97.973945 96.012043) - (xy 97.946445 96.026055) - (xy 97.869018 96.065506) - (xy 97.860229 96.069984) - (xy 97.769984 96.160229) - (xy 97.712043 96.273945) - (xy 97.710656 96.282705) - (xy 97.698643 96.358553) - (xy 97.692078 96.4) - (xy 48.918778 96.4) - (xy 48.933748 96.362573) - (xy 48.963078 96.185409) - (xy 48.962796 96.180016) - (xy 48.954726 96.026055) - (xy 48.953679 96.006079) - (xy 48.949823 95.992078) - (xy 48.907074 95.836878) - (xy 48.907073 95.836875) - (xy 48.905992 95.832951) - (xy 48.903038 95.827347) - (xy 48.899955 95.8215) - (xy 76.808078 95.8215) - (xy 76.809004 95.827347) - (xy 76.809391 95.829792) - (xy 76.828043 95.947555) - (xy 76.8512 95.993004) - (xy 76.876677 96.043004) - (xy 76.885984 96.061271) - (xy 76.976229 96.151516) - (xy 76.981505 96.154204) - (xy 76.981506 96.154205) - (xy 77.02394 96.175826) - (xy 77.089945 96.209457) - (xy 77.128137 96.215506) - (xy 77.193296 96.225826) - (xy 77.216 96.229422) - (xy 77.238705 96.225826) - (xy 77.303863 96.215506) - (xy 77.342055 96.209457) - (xy 77.40806 96.175826) - (xy 77.450494 96.154205) - (xy 77.450495 96.154204) - (xy 77.455771 96.151516) - (xy 77.546016 96.061271) - (xy 77.555324 96.043004) - (xy 77.5808 95.993004) - (xy 77.603957 95.947555) - (xy 77.622609 95.829792) - (xy 77.622996 95.827347) - (xy 77.623922 95.8215) - (xy 77.621772 95.807922) - (xy 77.613865 95.758) - (xy 77.887578 95.758) - (xy 77.907543 95.884055) - (xy 77.918647 95.905847) - (xy 77.960484 95.987957) - (xy 77.965484 95.997771) - (xy 78.055729 96.088016) - (xy 78.061005 96.090704) - (xy 78.061006 96.090705) - (xy 78.108844 96.115079) - (xy 78.169445 96.145957) - (xy 78.221521 96.154205) - (xy 78.259556 96.160229) - (xy 78.2955 96.165922) - (xy 78.331445 96.160229) - (xy 78.369479 96.154205) - (xy 78.421555 96.145957) - (xy 78.482156 96.115079) - (xy 78.529994 96.090705) - (xy 78.529995 96.090704) - (xy 78.535271 96.088016) - (xy 78.625516 95.997771) - (xy 78.630517 95.987957) - (xy 78.672353 95.905847) - (xy 78.683457 95.884055) - (xy 78.703422 95.758) - (xy 79.538578 95.758) - (xy 79.558543 95.884055) - (xy 79.569647 95.905847) - (xy 79.611484 95.987957) - (xy 79.616484 95.997771) - (xy 79.706729 96.088016) - (xy 79.712005 96.090704) - (xy 79.712006 96.090705) - (xy 79.759844 96.115079) - (xy 79.820445 96.145957) - (xy 79.872521 96.154205) - (xy 79.910556 96.160229) - (xy 79.9465 96.165922) - (xy 79.982445 96.160229) - (xy 80.020479 96.154205) - (xy 80.072555 96.145957) - (xy 80.133156 96.115079) - (xy 80.180994 96.090705) - (xy 80.180995 96.090704) - (xy 80.186271 96.088016) - (xy 80.276516 95.997771) - (xy 80.281517 95.987957) - (xy 80.323353 95.905847) - (xy 80.334457 95.884055) - (xy 80.354422 95.758) - (xy 80.618078 95.758) - (xy 80.638043 95.884055) - (xy 80.649147 95.905847) - (xy 80.690984 95.987957) - (xy 80.695984 95.997771) - (xy 80.786229 96.088016) - (xy 80.791505 96.090704) - (xy 80.791506 96.090705) - (xy 80.839344 96.115079) - (xy 80.899945 96.145957) - (xy 80.952021 96.154205) - (xy 80.990056 96.160229) - (xy 81.026 96.165922) - (xy 81.061945 96.160229) - (xy 81.099979 96.154205) - (xy 81.152055 96.145957) - (xy 81.212656 96.115079) - (xy 81.260494 96.090705) - (xy 81.260495 96.090704) - (xy 81.265771 96.088016) - (xy 81.356016 95.997771) - (xy 81.361017 95.987957) - (xy 81.402853 95.905847) - (xy 81.413957 95.884055) - (xy 81.433922 95.758) - (xy 81.431699 95.743961) - (xy 81.420141 95.67099) - (xy 81.413957 95.631945) - (xy 81.39768 95.6) - (xy 88.592078 95.6) - (xy 88.593004 95.605847) - (xy 88.600077 95.650506) - (xy 88.612043 95.726055) - (xy 88.633757 95.768672) - (xy 88.666384 95.832705) - (xy 88.669984 95.839771) - (xy 88.760229 95.930016) - (xy 88.765505 95.932704) - (xy 88.765506 95.932705) - (xy 88.794651 95.947555) - (xy 88.873945 95.987957) - (xy 88.879792 95.988883) - (xy 88.988364 96.006079) - (xy 89 96.007922) - (xy 89.011637 96.006079) - (xy 89.120208 95.988883) - (xy 89.126055 95.987957) - (xy 89.20055 95.95) - (xy 96.292078 95.95) - (xy 96.312043 96.076055) - (xy 96.321167 96.093961) - (xy 96.366384 96.182705) - (xy 96.369984 96.189771) - (xy 96.460229 96.280016) - (xy 96.465505 96.282704) - (xy 96.465506 96.282705) - (xy 96.49945 96.3) - (xy 96.573945 96.337957) - (xy 96.7 96.357922) - (xy 96.826055 96.337957) - (xy 96.90055 96.3) - (xy 96.934494 96.282705) - (xy 96.934495 96.282704) - (xy 96.939771 96.280016) - (xy 97.030016 96.189771) - (xy 97.033617 96.182705) - (xy 97.078833 96.093961) - (xy 97.087957 96.076055) - (xy 97.107922 95.95) - (xy 97.087957 95.823945) - (xy 97.041468 95.732705) - (xy 97.032705 95.715506) - (xy 97.032704 95.715505) - (xy 97.030016 95.710229) - (xy 96.939771 95.619984) - (xy 96.930983 95.615506) - (xy 96.867101 95.582957) - (xy 96.826055 95.562043) - (xy 96.7 95.542078) - (xy 96.573945 95.562043) - (xy 96.532899 95.582957) - (xy 96.469018 95.615506) - (xy 96.460229 95.619984) - (xy 96.369984 95.710229) - (xy 96.367296 95.715505) - (xy 96.367295 95.715506) - (xy 96.358532 95.732705) - (xy 96.312043 95.823945) - (xy 96.292078 95.95) - (xy 89.20055 95.95) - (xy 89.205349 95.947555) - (xy 89.234494 95.932705) - (xy 89.234495 95.932704) - (xy 89.239771 95.930016) - (xy 89.330016 95.839771) - (xy 89.333617 95.832705) - (xy 89.366243 95.768672) - (xy 89.387957 95.726055) - (xy 89.399923 95.650506) - (xy 89.406996 95.605847) - (xy 89.407922 95.6) - (xy 89.387957 95.473945) - (xy 89.341618 95.382999) - (xy 89.332705 95.365506) - (xy 89.332704 95.365505) - (xy 89.330016 95.360229) - (xy 89.319787 95.35) - (xy 89.642078 95.35) - (xy 89.662043 95.476055) - (xy 89.672265 95.496117) - (xy 89.716384 95.582705) - (xy 89.719984 95.589771) - (xy 89.810229 95.680016) - (xy 89.923945 95.737957) - (xy 89.986973 95.74794) - (xy 90.013576 95.752153) - (xy 90.05 95.757922) - (xy 90.086425 95.752153) - (xy 90.113027 95.74794) - (xy 90.176055 95.737957) - (xy 90.289771 95.680016) - (xy 90.380016 95.589771) - (xy 90.383617 95.582705) - (xy 90.427735 95.496117) - (xy 90.437957 95.476055) - (xy 90.457922 95.35) - (xy 90.452018 95.31272) - (xy 90.439344 95.232705) - (xy 90.437957 95.223945) - (xy 90.39238 95.134494) - (xy 90.382705 95.115506) - (xy 90.382704 95.115505) - (xy 90.380016 95.110229) - (xy 90.289771 95.019984) - (xy 90.280983 95.015506) - (xy 90.203555 94.976055) - (xy 90.176055 94.962043) - (xy 90.05 94.942078) - (xy 89.923945 94.962043) - (xy 89.896445 94.976055) - (xy 89.819018 95.015506) - (xy 89.810229 95.019984) - (xy 89.719984 95.110229) - (xy 89.717296 95.115505) - (xy 89.717295 95.115506) - (xy 89.70762 95.134494) - (xy 89.662043 95.223945) - (xy 89.660656 95.232705) - (xy 89.647983 95.31272) - (xy 89.642078 95.35) - (xy 89.319787 95.35) - (xy 89.239771 95.269984) - (xy 89.230983 95.265506) - (xy 89.170118 95.234494) - (xy 89.126055 95.212043) - (xy 89 95.192078) - (xy 88.873945 95.212043) - (xy 88.829882 95.234494) - (xy 88.769018 95.265506) - (xy 88.760229 95.269984) - (xy 88.669984 95.360229) - (xy 88.667296 95.365505) - (xy 88.667295 95.365506) - (xy 88.658382 95.382999) - (xy 88.612043 95.473945) - (xy 88.592078 95.6) - (xy 81.39768 95.6) - (xy 81.37834 95.562043) - (xy 81.358705 95.523506) - (xy 81.358704 95.523505) - (xy 81.356016 95.518229) - (xy 81.265771 95.427984) - (xy 81.152055 95.370043) - (xy 81.063637 95.356039) - (xy 81.031847 95.351004) - (xy 81.026 95.350078) - (xy 81.020153 95.351004) - (xy 80.988363 95.356039) - (xy 80.899945 95.370043) - (xy 80.786229 95.427984) - (xy 80.695984 95.518229) - (xy 80.693296 95.523505) - (xy 80.693295 95.523506) - (xy 80.67366 95.562043) - (xy 80.638043 95.631945) - (xy 80.631859 95.67099) - (xy 80.620302 95.743961) - (xy 80.618078 95.758) - (xy 80.354422 95.758) - (xy 80.352199 95.743961) - (xy 80.340641 95.67099) - (xy 80.334457 95.631945) - (xy 80.29884 95.562043) - (xy 80.279205 95.523506) - (xy 80.279204 95.523505) - (xy 80.276516 95.518229) - (xy 80.186271 95.427984) - (xy 80.072555 95.370043) - (xy 79.984137 95.356039) - (xy 79.952347 95.351004) - (xy 79.9465 95.350078) - (xy 79.940653 95.351004) - (xy 79.908863 95.356039) - (xy 79.820445 95.370043) - (xy 79.706729 95.427984) - (xy 79.616484 95.518229) - (xy 79.613796 95.523505) - (xy 79.613795 95.523506) - (xy 79.59416 95.562043) - (xy 79.558543 95.631945) - (xy 79.552359 95.67099) - (xy 79.540802 95.743961) - (xy 79.538578 95.758) - (xy 78.703422 95.758) - (xy 78.701199 95.743961) - (xy 78.689641 95.67099) - (xy 78.683457 95.631945) - (xy 78.64784 95.562043) - (xy 78.628205 95.523506) - (xy 78.628204 95.523505) - (xy 78.625516 95.518229) - (xy 78.535271 95.427984) - (xy 78.421555 95.370043) - (xy 78.333137 95.356039) - (xy 78.301347 95.351004) - (xy 78.2955 95.350078) - (xy 78.289653 95.351004) - (xy 78.257863 95.356039) - (xy 78.169445 95.370043) - (xy 78.055729 95.427984) - (xy 77.965484 95.518229) - (xy 77.962796 95.523505) - (xy 77.962795 95.523506) - (xy 77.94316 95.562043) - (xy 77.907543 95.631945) - (xy 77.901359 95.67099) - (xy 77.889802 95.743961) - (xy 77.887578 95.758) - (xy 77.613865 95.758) - (xy 77.607134 95.715506) - (xy 77.603957 95.695445) - (xy 77.563226 95.615506) - (xy 77.548705 95.587006) - (xy 77.548704 95.587005) - (xy 77.546016 95.581729) - (xy 77.455771 95.491484) - (xy 77.442053 95.484494) - (xy 77.360623 95.443004) - (xy 77.342055 95.433543) - (xy 77.216 95.413578) - (xy 77.089945 95.433543) - (xy 77.071377 95.443004) - (xy 76.989948 95.484494) - (xy 76.976229 95.491484) - (xy 76.885984 95.581729) - (xy 76.883296 95.587005) - (xy 76.883295 95.587006) - (xy 76.868774 95.615506) - (xy 76.828043 95.695445) - (xy 76.824866 95.715506) - (xy 76.810229 95.807922) - (xy 76.808078 95.8215) - (xy 48.899955 95.8215) - (xy 48.824142 95.677709) - (xy 48.824141 95.677707) - (xy 48.82224 95.674102) - (xy 48.819614 95.670995) - (xy 48.819611 95.67099) - (xy 48.725119 95.559174) - (xy 48.706332 95.536942) - (xy 48.692093 95.526055) - (xy 48.566906 95.430343) - (xy 48.563674 95.427872) - (xy 48.400923 95.35198) - (xy 48.225672 95.312807) - (xy 48.220181 95.3125) - (xy 48.088134 95.3125) - (xy 48.086111 95.31272) - (xy 48.086107 95.31272) - (xy 48.019895 95.319913) - (xy 47.954475 95.32702) - (xy 47.784278 95.384297) - (xy 47.780792 95.386392) - (xy 47.780789 95.386393) - (xy 47.688115 95.442078) - (xy 47.630352 95.476786) - (xy 47.627393 95.479584) - (xy 47.627392 95.479585) - (xy 47.610378 95.495674) - (xy 47.499877 95.60017) - (xy 47.497589 95.603537) - (xy 47.497588 95.603538) - (xy 47.481866 95.626672) - (xy 47.39894 95.748694) - (xy 47.332252 95.915427) - (xy 47.302922 96.092591) - (xy 46.101 96.092591) - (xy 46.101 95.05) - (xy 69.192078 95.05) - (xy 69.212043 95.176055) - (xy 69.220207 95.192078) - (xy 69.259234 95.268672) - (xy 69.269984 95.289771) - (xy 69.360229 95.380016) - (xy 69.365505 95.382704) - (xy 69.365506 95.382705) - (xy 69.39945 95.4) - (xy 69.473945 95.437957) - (xy 69.6 95.457922) - (xy 69.726055 95.437957) - (xy 69.80055 95.4) - (xy 69.834494 95.382705) - (xy 69.834495 95.382704) - (xy 69.839771 95.380016) - (xy 69.930016 95.289771) - (xy 69.940767 95.268672) - (xy 69.979793 95.192078) - (xy 69.987957 95.176055) - (xy 70.007922 95.05) - (xy 69.987957 94.923945) - (xy 69.930016 94.810229) - (xy 69.839771 94.719984) - (xy 69.726055 94.662043) - (xy 69.6 94.642078) - (xy 69.473945 94.662043) - (xy 69.360229 94.719984) - (xy 69.269984 94.810229) - (xy 69.212043 94.923945) - (xy 69.192078 95.05) - (xy 46.101 95.05) - (xy 46.101 94.361) - (xy 51.027078 94.361) - (xy 51.047043 94.487055) - (xy 51.04973 94.492328) - (xy 51.101027 94.593004) - (xy 51.104984 94.600771) - (xy 51.195229 94.691016) - (xy 51.200505 94.693704) - (xy 51.200506 94.693705) - (xy 51.218099 94.702669) - (xy 51.308945 94.748957) - (xy 51.435 94.768922) - (xy 51.561055 94.748957) - (xy 51.651901 94.702669) - (xy 51.669494 94.693705) - (xy 51.669495 94.693704) - (xy 51.674771 94.691016) - (xy 51.765016 94.600771) - (xy 51.768974 94.593004) - (xy 51.82027 94.492328) - (xy 51.822957 94.487055) - (xy 51.842922 94.361) - (xy 56.107078 94.361) - (xy 56.127043 94.487055) - (xy 56.12973 94.492328) - (xy 56.181027 94.593004) - (xy 56.184984 94.600771) - (xy 56.275229 94.691016) - (xy 56.280505 94.693704) - (xy 56.280506 94.693705) - (xy 56.298099 94.702669) - (xy 56.388945 94.748957) - (xy 56.515 94.768922) - (xy 56.641055 94.748957) - (xy 56.731901 94.702669) - (xy 56.749494 94.693705) - (xy 56.749495 94.693704) - (xy 56.754771 94.691016) - (xy 56.845016 94.600771) - (xy 56.848974 94.593004) - (xy 56.90027 94.492328) - (xy 56.902957 94.487055) - (xy 56.908826 94.45) - (xy 85.992078 94.45) - (xy 86.012043 94.576055) - (xy 86.069984 94.689771) - (xy 86.160229 94.780016) - (xy 86.273945 94.837957) - (xy 86.279792 94.838883) - (xy 86.386899 94.855847) - (xy 86.4 94.857922) - (xy 86.413102 94.855847) - (xy 86.450018 94.85) - (xy 91.292078 94.85) - (xy 91.312043 94.976055) - (xy 91.369984 95.089771) - (xy 91.460229 95.180016) - (xy 91.573945 95.237957) - (xy 91.611853 95.243961) - (xy 91.686899 95.255847) - (xy 91.7 95.257922) - (xy 91.713102 95.255847) - (xy 91.750018 95.25) - (xy 95.542078 95.25) - (xy 95.562043 95.376055) - (xy 95.592214 95.43527) - (xy 95.613517 95.477078) - (xy 95.619984 95.489771) - (xy 95.710229 95.580016) - (xy 95.715505 95.582704) - (xy 95.715506 95.582705) - (xy 95.756394 95.603538) - (xy 95.823945 95.637957) - (xy 95.95 95.657922) - (xy 96.076055 95.637957) - (xy 96.143606 95.603538) - (xy 96.184494 95.582705) - (xy 96.184495 95.582704) - (xy 96.189771 95.580016) - (xy 96.280016 95.489771) - (xy 96.286484 95.477078) - (xy 96.307786 95.43527) - (xy 96.337957 95.376055) - (xy 96.357922 95.25) - (xy 96.337957 95.123945) - (xy 96.297301 95.044153) - (xy 96.282705 95.015506) - (xy 96.282704 95.015505) - (xy 96.280016 95.010229) - (xy 96.269787 95) - (xy 96.542078 95) - (xy 96.562043 95.126055) - (xy 96.582144 95.165506) - (xy 96.616384 95.232705) - (xy 96.619984 95.239771) - (xy 96.710229 95.330016) - (xy 96.715505 95.332704) - (xy 96.715506 95.332705) - (xy 96.760925 95.355847) - (xy 96.823945 95.387957) - (xy 96.829792 95.388883) - (xy 96.936899 95.405847) - (xy 96.95 95.407922) - (xy 96.963102 95.405847) - (xy 97.000018 95.4) - (xy 102.442078 95.4) - (xy 102.443004 95.405847) - (xy 102.444375 95.414504) - (xy 102.462043 95.526055) - (xy 102.519984 95.639771) - (xy 102.610229 95.730016) - (xy 102.615505 95.732704) - (xy 102.615506 95.732705) - (xy 102.646887 95.748694) - (xy 102.723945 95.787957) - (xy 102.85 95.807922) - (xy 102.976055 95.787957) - (xy 103.053113 95.748694) - (xy 103.084494 95.732705) - (xy 103.084495 95.732704) - (xy 103.089771 95.730016) - (xy 103.180016 95.639771) - (xy 103.237957 95.526055) - (xy 103.242084 95.5) - (xy 109.392078 95.5) - (xy 109.393004 95.505847) - (xy 109.394411 95.51473) - (xy 109.412043 95.626055) - (xy 109.436524 95.674102) - (xy 109.466384 95.732705) - (xy 109.469984 95.739771) - (xy 109.560229 95.830016) - (xy 109.565505 95.832704) - (xy 109.565506 95.832705) - (xy 109.59945 95.85) - (xy 109.673945 95.887957) - (xy 109.692192 95.890847) - (xy 109.786899 95.905847) - (xy 109.8 95.907922) - (xy 109.813102 95.905847) - (xy 109.907808 95.890847) - (xy 109.926055 95.887957) - (xy 110.00055 95.85) - (xy 113.642078 95.85) - (xy 113.662043 95.976055) - (xy 113.668579 95.988883) - (xy 113.705463 96.061271) - (xy 113.719984 96.089771) - (xy 113.810229 96.180016) - (xy 113.815505 96.182704) - (xy 113.815506 96.182705) - (xy 113.861302 96.206039) - (xy 113.923945 96.237957) - (xy 114.05 96.257922) - (xy 114.176055 96.237957) - (xy 114.238698 96.206039) - (xy 114.284494 96.182705) - (xy 114.284495 96.182704) - (xy 114.289771 96.180016) - (xy 114.380016 96.089771) - (xy 114.394538 96.061271) - (xy 114.431421 95.988883) - (xy 114.437957 95.976055) - (xy 114.457922 95.85) - (xy 122.192078 95.85) - (xy 122.212043 95.976055) - (xy 122.218579 95.988883) - (xy 122.255463 96.061271) - (xy 122.269984 96.089771) - (xy 122.360229 96.180016) - (xy 122.365505 96.182704) - (xy 122.365506 96.182705) - (xy 122.411302 96.206039) - (xy 122.473945 96.237957) - (xy 122.6 96.257922) - (xy 122.726055 96.237957) - (xy 122.788698 96.206039) - (xy 122.834494 96.182705) - (xy 122.834495 96.182704) - (xy 122.839771 96.180016) - (xy 122.930016 96.089771) - (xy 122.944538 96.061271) - (xy 122.981421 95.988883) - (xy 122.987957 95.976055) - (xy 123.002379 95.885) - (xy 127.735078 95.885) - (xy 127.755043 96.011055) - (xy 127.777963 96.056039) - (xy 127.797286 96.093961) - (xy 127.812984 96.124771) - (xy 127.903229 96.215016) - (xy 128.016945 96.272957) - (xy 128.035211 96.27585) - (xy 128.117499 96.288883) - (xy 128.143 96.292922) - (xy 128.168502 96.288883) - (xy 128.250789 96.27585) - (xy 128.269055 96.272957) - (xy 128.382771 96.215016) - (xy 128.473016 96.124771) - (xy 128.488715 96.093961) - (xy 128.508037 96.056039) - (xy 128.530957 96.011055) - (xy 128.550922 95.885) - (xy 132.815078 95.885) - (xy 132.835043 96.011055) - (xy 132.857963 96.056039) - (xy 132.877286 96.093961) - (xy 132.892984 96.124771) - (xy 132.983229 96.215016) - (xy 133.096945 96.272957) - (xy 133.115211 96.27585) - (xy 133.197499 96.288883) - (xy 133.223 96.292922) - (xy 133.248502 96.288883) - (xy 133.330789 96.27585) - (xy 133.349055 96.272957) - (xy 133.462771 96.215016) - (xy 133.553016 96.124771) - (xy 133.568715 96.093961) - (xy 133.588037 96.056039) - (xy 133.610957 96.011055) - (xy 133.630922 95.885) - (xy 137.895078 95.885) - (xy 137.915043 96.011055) - (xy 137.937963 96.056039) - (xy 137.957286 96.093961) - (xy 137.972984 96.124771) - (xy 138.063229 96.215016) - (xy 138.176945 96.272957) - (xy 138.195211 96.27585) - (xy 138.277499 96.288883) - (xy 138.303 96.292922) - (xy 138.328502 96.288883) - (xy 138.410789 96.27585) - (xy 138.429055 96.272957) - (xy 138.542771 96.215016) - (xy 138.633016 96.124771) - (xy 138.648715 96.093961) - (xy 138.668037 96.056039) - (xy 138.690957 96.011055) - (xy 138.710922 95.885) - (xy 142.975078 95.885) - (xy 142.995043 96.011055) - (xy 143.017963 96.056039) - (xy 143.037286 96.093961) - (xy 143.052984 96.124771) - (xy 143.143229 96.215016) - (xy 143.256945 96.272957) - (xy 143.275211 96.27585) - (xy 143.357499 96.288883) - (xy 143.383 96.292922) - (xy 143.408502 96.288883) - (xy 143.490789 96.27585) - (xy 143.509055 96.272957) - (xy 143.622771 96.215016) - (xy 143.713016 96.124771) - (xy 143.728715 96.093961) - (xy 143.748037 96.056039) - (xy 143.770957 96.011055) - (xy 143.790922 95.885) - (xy 143.783759 95.839771) - (xy 143.771883 95.764792) - (xy 143.770957 95.758945) - (xy 143.728606 95.675826) - (xy 143.715705 95.650506) - (xy 143.715704 95.650505) - (xy 143.713016 95.645229) - (xy 143.622771 95.554984) - (xy 143.597442 95.542078) - (xy 143.543768 95.51473) - (xy 143.509055 95.497043) - (xy 143.383 95.477078) - (xy 143.256945 95.497043) - (xy 143.222232 95.51473) - (xy 143.168559 95.542078) - (xy 143.143229 95.554984) - (xy 143.052984 95.645229) - (xy 143.050296 95.650505) - (xy 143.050295 95.650506) - (xy 143.037394 95.675826) - (xy 142.995043 95.758945) - (xy 142.994117 95.764792) - (xy 142.982242 95.839771) - (xy 142.975078 95.885) - (xy 138.710922 95.885) - (xy 138.703759 95.839771) - (xy 138.691883 95.764792) - (xy 138.690957 95.758945) - (xy 138.648606 95.675826) - (xy 138.635705 95.650506) - (xy 138.635704 95.650505) - (xy 138.633016 95.645229) - (xy 138.542771 95.554984) - (xy 138.517442 95.542078) - (xy 138.463768 95.51473) - (xy 138.429055 95.497043) - (xy 138.303 95.477078) - (xy 138.176945 95.497043) - (xy 138.142232 95.51473) - (xy 138.088559 95.542078) - (xy 138.063229 95.554984) - (xy 137.972984 95.645229) - (xy 137.970296 95.650505) - (xy 137.970295 95.650506) - (xy 137.957394 95.675826) - (xy 137.915043 95.758945) - (xy 137.914117 95.764792) - (xy 137.902242 95.839771) - (xy 137.895078 95.885) - (xy 133.630922 95.885) - (xy 133.623759 95.839771) - (xy 133.611883 95.764792) - (xy 133.610957 95.758945) - (xy 133.568606 95.675826) - (xy 133.555705 95.650506) - (xy 133.555704 95.650505) - (xy 133.553016 95.645229) - (xy 133.462771 95.554984) - (xy 133.437442 95.542078) - (xy 133.383768 95.51473) - (xy 133.349055 95.497043) - (xy 133.223 95.477078) - (xy 133.096945 95.497043) - (xy 133.062232 95.51473) - (xy 133.008559 95.542078) - (xy 132.983229 95.554984) - (xy 132.892984 95.645229) - (xy 132.890296 95.650505) - (xy 132.890295 95.650506) - (xy 132.877394 95.675826) - (xy 132.835043 95.758945) - (xy 132.834117 95.764792) - (xy 132.822242 95.839771) - (xy 132.815078 95.885) - (xy 128.550922 95.885) - (xy 128.543759 95.839771) - (xy 128.531883 95.764792) - (xy 128.530957 95.758945) - (xy 128.488606 95.675826) - (xy 128.475705 95.650506) - (xy 128.475704 95.650505) - (xy 128.473016 95.645229) - (xy 128.382771 95.554984) - (xy 128.357442 95.542078) - (xy 128.303768 95.51473) - (xy 128.269055 95.497043) - (xy 128.143 95.477078) - (xy 128.016945 95.497043) - (xy 127.982232 95.51473) - (xy 127.928559 95.542078) - (xy 127.903229 95.554984) - (xy 127.812984 95.645229) - (xy 127.810296 95.650505) - (xy 127.810295 95.650506) - (xy 127.797394 95.675826) - (xy 127.755043 95.758945) - (xy 127.754117 95.764792) - (xy 127.742242 95.839771) - (xy 127.735078 95.885) - (xy 123.002379 95.885) - (xy 123.007922 95.85) - (xy 122.987957 95.723945) - (xy 122.947203 95.643961) - (xy 122.932705 95.615506) - (xy 122.932704 95.615505) - (xy 122.930016 95.610229) - (xy 122.839771 95.519984) - (xy 122.82946 95.51473) - (xy 122.749414 95.473945) - (xy 122.726055 95.462043) - (xy 122.6 95.442078) - (xy 122.473945 95.462043) - (xy 122.450586 95.473945) - (xy 122.370541 95.51473) - (xy 122.360229 95.519984) - (xy 122.269984 95.610229) - (xy 122.267296 95.615505) - (xy 122.267295 95.615506) - (xy 122.252797 95.643961) - (xy 122.212043 95.723945) - (xy 122.192078 95.85) - (xy 114.457922 95.85) - (xy 114.437957 95.723945) - (xy 114.397203 95.643961) - (xy 114.382705 95.615506) - (xy 114.382704 95.615505) - (xy 114.380016 95.610229) - (xy 114.289771 95.519984) - (xy 114.27946 95.51473) - (xy 114.199414 95.473945) - (xy 114.176055 95.462043) - (xy 114.05 95.442078) - (xy 113.923945 95.462043) - (xy 113.900586 95.473945) - (xy 113.820541 95.51473) - (xy 113.810229 95.519984) - (xy 113.719984 95.610229) - (xy 113.717296 95.615505) - (xy 113.717295 95.615506) - (xy 113.702797 95.643961) - (xy 113.662043 95.723945) - (xy 113.642078 95.85) - (xy 110.00055 95.85) - (xy 110.034494 95.832705) - (xy 110.034495 95.832704) - (xy 110.039771 95.830016) - (xy 110.130016 95.739771) - (xy 110.133617 95.732705) - (xy 110.163476 95.674102) - (xy 110.187957 95.626055) - (xy 110.205589 95.51473) - (xy 110.206996 95.505847) - (xy 110.207922 95.5) - (xy 110.187957 95.373945) - (xy 110.139984 95.279792) - (xy 110.132705 95.265506) - (xy 110.132704 95.265505) - (xy 110.130016 95.260229) - (xy 110.039771 95.169984) - (xy 110.030983 95.165506) - (xy 109.949414 95.123945) - (xy 109.926055 95.112043) - (xy 109.850018 95.1) - (xy 109.805847 95.093004) - (xy 109.8 95.092078) - (xy 109.794153 95.093004) - (xy 109.749982 95.1) - (xy 109.673945 95.112043) - (xy 109.650586 95.123945) - (xy 109.569018 95.165506) - (xy 109.560229 95.169984) - (xy 109.469984 95.260229) - (xy 109.467296 95.265505) - (xy 109.467295 95.265506) - (xy 109.460016 95.279792) - (xy 109.412043 95.373945) - (xy 109.392078 95.5) - (xy 103.242084 95.5) - (xy 103.255625 95.414504) - (xy 103.256996 95.405847) - (xy 103.257922 95.4) - (xy 103.237957 95.273945) - (xy 103.191468 95.182705) - (xy 103.182705 95.165506) - (xy 103.182704 95.165505) - (xy 103.180016 95.160229) - (xy 103.089771 95.069984) - (xy 103.062026 95.055847) - (xy 103.05055 95.05) - (xy 114.292078 95.05) - (xy 114.312043 95.176055) - (xy 114.320207 95.192078) - (xy 114.359234 95.268672) - (xy 114.369984 95.289771) - (xy 114.460229 95.380016) - (xy 114.465505 95.382704) - (xy 114.465506 95.382705) - (xy 114.49945 95.4) - (xy 114.573945 95.437957) - (xy 114.7 95.457922) - (xy 114.826055 95.437957) - (xy 114.90055 95.4) - (xy 114.934494 95.382705) - (xy 114.934495 95.382704) - (xy 114.939771 95.380016) - (xy 115.030016 95.289771) - (xy 115.040767 95.268672) - (xy 115.079793 95.192078) - (xy 115.087957 95.176055) - (xy 115.107922 95.05) - (xy 122.842078 95.05) - (xy 122.862043 95.176055) - (xy 122.870207 95.192078) - (xy 122.909234 95.268672) - (xy 122.919984 95.289771) - (xy 123.010229 95.380016) - (xy 123.015505 95.382704) - (xy 123.015506 95.382705) - (xy 123.04945 95.4) - (xy 123.123945 95.437957) - (xy 123.25 95.457922) - (xy 123.376055 95.437957) - (xy 123.45055 95.4) - (xy 123.484494 95.382705) - (xy 123.484495 95.382704) - (xy 123.489771 95.380016) - (xy 123.580016 95.289771) - (xy 123.590767 95.268672) - (xy 123.629793 95.192078) - (xy 123.637957 95.176055) - (xy 123.650003 95.1) - (xy 125.892329 95.1) - (xy 125.911331 95.244336) - (xy 125.913216 95.248888) - (xy 125.913217 95.24889) - (xy 125.939566 95.3125) - (xy 125.967043 95.378835) - (xy 125.970039 95.382739) - (xy 125.970041 95.382743) - (xy 126.052166 95.489771) - (xy 126.055667 95.494333) - (xy 126.059574 95.497331) - (xy 126.063052 95.5) - (xy 126.171164 95.582957) - (xy 126.205965 95.597372) - (xy 126.30111 95.636783) - (xy 126.301112 95.636784) - (xy 126.305664 95.638669) - (xy 126.45 95.657671) - (xy 126.594336 95.638669) - (xy 126.598888 95.636784) - (xy 126.59889 95.636783) - (xy 126.694035 95.597372) - (xy 126.728836 95.582957) - (xy 126.836948 95.5) - (xy 126.840426 95.497331) - (xy 126.844333 95.494333) - (xy 126.847834 95.489771) - (xy 126.929959 95.382743) - (xy 126.929961 95.382739) - (xy 126.932957 95.378835) - (xy 126.960434 95.3125) - (xy 126.986783 95.24889) - (xy 126.986784 95.248888) - (xy 126.988669 95.244336) - (xy 127.007671 95.1) - (xy 126.988669 94.955664) - (xy 126.983042 94.942078) - (xy 126.942003 94.843004) - (xy 126.932957 94.821165) - (xy 126.929961 94.817261) - (xy 126.929959 94.817257) - (xy 126.847331 94.709574) - (xy 126.844333 94.705667) - (xy 126.829078 94.693961) - (xy 126.732743 94.620041) - (xy 126.728836 94.617043) - (xy 126.642613 94.581328) - (xy 126.59889 94.563217) - (xy 126.598888 94.563216) - (xy 126.594336 94.561331) - (xy 126.45 94.542329) - (xy 126.305664 94.561331) - (xy 126.301112 94.563216) - (xy 126.30111 94.563217) - (xy 126.254063 94.582705) - (xy 126.171165 94.617043) - (xy 126.167261 94.620039) - (xy 126.167257 94.620041) - (xy 126.059574 94.702669) - (xy 126.055667 94.705667) - (xy 126.052669 94.709574) - (xy 125.970041 94.817257) - (xy 125.970039 94.817261) - (xy 125.967043 94.821165) - (xy 125.957997 94.843004) - (xy 125.916959 94.942078) - (xy 125.911331 94.955664) - (xy 125.892329 95.1) - (xy 123.650003 95.1) - (xy 123.657922 95.05) - (xy 123.637957 94.923945) - (xy 123.580016 94.810229) - (xy 123.489771 94.719984) - (xy 123.376055 94.662043) - (xy 123.25 94.642078) - (xy 123.123945 94.662043) - (xy 123.010229 94.719984) - (xy 122.919984 94.810229) - (xy 122.862043 94.923945) - (xy 122.842078 95.05) - (xy 115.107922 95.05) - (xy 115.087957 94.923945) - (xy 115.030016 94.810229) - (xy 114.939771 94.719984) - (xy 114.826055 94.662043) - (xy 114.7 94.642078) - (xy 114.573945 94.662043) - (xy 114.460229 94.719984) - (xy 114.369984 94.810229) - (xy 114.312043 94.923945) - (xy 114.292078 95.05) - (xy 103.05055 95.05) - (xy 103.003555 95.026055) - (xy 102.976055 95.012043) - (xy 102.900018 95) - (xy 102.855847 94.993004) - (xy 102.85 94.992078) - (xy 102.844153 94.993004) - (xy 102.799982 95) - (xy 102.723945 95.012043) - (xy 102.696445 95.026055) - (xy 102.637975 95.055847) - (xy 102.610229 95.069984) - (xy 102.519984 95.160229) - (xy 102.517296 95.165505) - (xy 102.517295 95.165506) - (xy 102.508532 95.182705) - (xy 102.462043 95.273945) - (xy 102.442078 95.4) - (xy 97.000018 95.4) - (xy 97.070208 95.388883) - (xy 97.076055 95.387957) - (xy 97.139075 95.355847) - (xy 97.184494 95.332705) - (xy 97.184495 95.332704) - (xy 97.189771 95.330016) - (xy 97.280016 95.239771) - (xy 97.283617 95.232705) - (xy 97.317856 95.165506) - (xy 97.337957 95.126055) - (xy 97.357922 95) - (xy 97.342084 94.9) - (xy 98.242078 94.9) - (xy 98.262043 95.026055) - (xy 98.274244 95.05) - (xy 98.309234 95.118672) - (xy 98.319984 95.139771) - (xy 98.410229 95.230016) - (xy 98.415505 95.232704) - (xy 98.415506 95.232705) - (xy 98.447271 95.24889) - (xy 98.523945 95.287957) - (xy 98.65 95.307922) - (xy 98.776055 95.287957) - (xy 98.852729 95.24889) - (xy 98.884494 95.232705) - (xy 98.884495 95.232704) - (xy 98.889771 95.230016) - (xy 98.980016 95.139771) - (xy 98.990767 95.118672) - (xy 99.025756 95.05) - (xy 99.037957 95.026055) - (xy 99.057922 94.9) - (xy 99.242078 94.9) - (xy 99.262043 95.026055) - (xy 99.274244 95.05) - (xy 99.309234 95.118672) - (xy 99.319984 95.139771) - (xy 99.410229 95.230016) - (xy 99.415505 95.232704) - (xy 99.415506 95.232705) - (xy 99.447271 95.24889) - (xy 99.523945 95.287957) - (xy 99.65 95.307922) - (xy 99.776055 95.287957) - (xy 99.852729 95.24889) - (xy 99.884494 95.232705) - (xy 99.884495 95.232704) - (xy 99.889771 95.230016) - (xy 99.980016 95.139771) - (xy 99.990767 95.118672) - (xy 100.025756 95.05) - (xy 100.037957 95.026055) - (xy 100.057922 94.9) - (xy 100.037957 94.773945) - (xy 99.99238 94.684494) - (xy 99.982705 94.665506) - (xy 99.982704 94.665505) - (xy 99.980016 94.660229) - (xy 99.889771 94.569984) - (xy 99.872789 94.561331) - (xy 99.821641 94.53527) - (xy 99.776055 94.512043) - (xy 99.65 94.492078) - (xy 99.523945 94.512043) - (xy 99.478359 94.53527) - (xy 99.427212 94.561331) - (xy 99.410229 94.569984) - (xy 99.319984 94.660229) - (xy 99.317296 94.665505) - (xy 99.317295 94.665506) - (xy 99.30762 94.684494) - (xy 99.262043 94.773945) - (xy 99.242078 94.9) - (xy 99.057922 94.9) - (xy 99.037957 94.773945) - (xy 98.99238 94.684494) - (xy 98.982705 94.665506) - (xy 98.982704 94.665505) - (xy 98.980016 94.660229) - (xy 98.889771 94.569984) - (xy 98.872789 94.561331) - (xy 98.821641 94.53527) - (xy 98.776055 94.512043) - (xy 98.65 94.492078) - (xy 98.523945 94.512043) - (xy 98.478359 94.53527) - (xy 98.427212 94.561331) - (xy 98.410229 94.569984) - (xy 98.319984 94.660229) - (xy 98.317296 94.665505) - (xy 98.317295 94.665506) - (xy 98.30762 94.684494) - (xy 98.262043 94.773945) - (xy 98.242078 94.9) - (xy 97.342084 94.9) - (xy 97.337957 94.873945) - (xy 97.287005 94.773945) - (xy 97.282705 94.765506) - (xy 97.282704 94.765505) - (xy 97.280016 94.760229) - (xy 97.189771 94.669984) - (xy 97.180983 94.665506) - (xy 97.085868 94.617043) - (xy 97.076055 94.612043) - (xy 96.95 94.592078) - (xy 96.823945 94.612043) - (xy 96.814132 94.617043) - (xy 96.719018 94.665506) - (xy 96.710229 94.669984) - (xy 96.619984 94.760229) - (xy 96.617296 94.765505) - (xy 96.617295 94.765506) - (xy 96.612995 94.773945) - (xy 96.562043 94.873945) - (xy 96.542078 95) - (xy 96.269787 95) - (xy 96.189771 94.919984) - (xy 96.162026 94.905847) - (xy 96.089065 94.868672) - (xy 96.076055 94.862043) - (xy 96.000018 94.85) - (xy 95.955847 94.843004) - (xy 95.95 94.842078) - (xy 95.944153 94.843004) - (xy 95.899982 94.85) - (xy 95.823945 94.862043) - (xy 95.810935 94.868672) - (xy 95.737975 94.905847) - (xy 95.710229 94.919984) - (xy 95.619984 95.010229) - (xy 95.617296 95.015505) - (xy 95.617295 95.015506) - (xy 95.602699 95.044153) - (xy 95.562043 95.123945) - (xy 95.542078 95.25) - (xy 91.750018 95.25) - (xy 91.788147 95.243961) - (xy 91.826055 95.237957) - (xy 91.939771 95.180016) - (xy 92.030016 95.089771) - (xy 92.087957 94.976055) - (xy 92.107922 94.85) - (xy 92.087957 94.723945) - (xy 92.042775 94.63527) - (xy 92.032705 94.615506) - (xy 92.032704 94.615505) - (xy 92.030016 94.610229) - (xy 91.939771 94.519984) - (xy 91.92946 94.51473) - (xy 91.870118 94.484494) - (xy 91.826055 94.462043) - (xy 91.750018 94.45) - (xy 91.705847 94.443004) - (xy 91.7 94.442078) - (xy 91.694153 94.443004) - (xy 91.649982 94.45) - (xy 91.573945 94.462043) - (xy 91.529882 94.484494) - (xy 91.470541 94.51473) - (xy 91.460229 94.519984) - (xy 91.369984 94.610229) - (xy 91.367296 94.615505) - (xy 91.367295 94.615506) - (xy 91.357225 94.63527) - (xy 91.312043 94.723945) - (xy 91.292078 94.85) - (xy 86.450018 94.85) - (xy 86.520208 94.838883) - (xy 86.526055 94.837957) - (xy 86.639771 94.780016) - (xy 86.730016 94.689771) - (xy 86.787957 94.576055) - (xy 86.807922 94.45) - (xy 86.787957 94.323945) - (xy 86.750281 94.25) - (xy 113.642078 94.25) - (xy 113.662043 94.376055) - (xy 113.66473 94.381328) - (xy 113.716384 94.482705) - (xy 113.719984 94.489771) - (xy 113.810229 94.580016) - (xy 113.923945 94.637957) - (xy 114.05 94.657922) - (xy 114.176055 94.637957) - (xy 114.289771 94.580016) - (xy 114.380016 94.489771) - (xy 114.383617 94.482705) - (xy 114.43527 94.381328) - (xy 114.437957 94.376055) - (xy 114.457922 94.25) - (xy 122.192078 94.25) - (xy 122.212043 94.376055) - (xy 122.21473 94.381328) - (xy 122.266384 94.482705) - (xy 122.269984 94.489771) - (xy 122.360229 94.580016) - (xy 122.473945 94.637957) - (xy 122.6 94.657922) - (xy 122.726055 94.637957) - (xy 122.839771 94.580016) - (xy 122.930016 94.489771) - (xy 122.933617 94.482705) - (xy 122.98527 94.381328) - (xy 122.987957 94.376055) - (xy 123.007922 94.25) - (xy 122.992084 94.15) - (xy 125.192078 94.15) - (xy 125.212043 94.276055) - (xy 125.221356 94.294333) - (xy 125.264985 94.379959) - (xy 125.269984 94.389771) - (xy 125.360229 94.480016) - (xy 125.365505 94.482704) - (xy 125.365506 94.482705) - (xy 125.413344 94.507079) - (xy 125.473945 94.537957) - (xy 125.6 94.557922) - (xy 125.726055 94.537957) - (xy 125.786656 94.507079) - (xy 125.834494 94.482705) - (xy 125.834495 94.482704) - (xy 125.839771 94.480016) - (xy 125.930016 94.389771) - (xy 125.935016 94.379959) - (xy 125.978644 94.294333) - (xy 125.987957 94.276055) - (xy 126.007922 94.15) - (xy 125.987957 94.023945) - (xy 125.951849 93.953078) - (xy 125.932705 93.915506) - (xy 125.932704 93.915505) - (xy 125.930016 93.910229) - (xy 125.919787 93.9) - (xy 126.892329 93.9) - (xy 126.911331 94.044336) - (xy 126.913216 94.048888) - (xy 126.913217 94.04889) - (xy 126.941446 94.117039) - (xy 126.967043 94.178835) - (xy 126.970039 94.182739) - (xy 126.970041 94.182743) - (xy 126.989362 94.207922) - (xy 127.055667 94.294333) - (xy 127.171164 94.382957) - (xy 127.19773 94.393961) - (xy 127.30111 94.436783) - (xy 127.301112 94.436784) - (xy 127.305664 94.438669) - (xy 127.45 94.457671) - (xy 127.594336 94.438669) - (xy 127.598888 94.436784) - (xy 127.59889 94.436783) - (xy 127.70227 94.393961) - (xy 127.728836 94.382957) - (xy 127.844333 94.294333) - (xy 127.910638 94.207922) - (xy 127.929959 94.182743) - (xy 127.929961 94.182739) - (xy 127.932957 94.178835) - (xy 127.958554 94.117039) - (xy 127.986783 94.04889) - (xy 127.986784 94.048888) - (xy 127.988669 94.044336) - (xy 128.007671 93.9) - (xy 127.988669 93.755664) - (xy 127.983042 93.742078) - (xy 127.954819 93.673945) - (xy 127.932957 93.621165) - (xy 127.929961 93.617261) - (xy 127.929959 93.617257) - (xy 127.847331 93.509574) - (xy 127.844333 93.505667) - (xy 127.728836 93.417043) - (xy 127.65213 93.38527) - (xy 127.59889 93.363217) - (xy 127.598888 93.363216) - (xy 127.594336 93.361331) - (xy 127.470288 93.345) - (xy 130.275078 93.345) - (xy 130.295043 93.471055) - (xy 130.352984 93.584771) - (xy 130.443229 93.675016) - (xy 130.448505 93.677704) - (xy 130.448506 93.677705) - (xy 130.472187 93.689771) - (xy 130.556945 93.732957) - (xy 130.683 93.752922) - (xy 130.809055 93.732957) - (xy 130.893813 93.689771) - (xy 130.917494 93.677705) - (xy 130.917495 93.677704) - (xy 130.922771 93.675016) - (xy 131.013016 93.584771) - (xy 131.070957 93.471055) - (xy 131.090922 93.345) - (xy 135.355078 93.345) - (xy 135.375043 93.471055) - (xy 135.432984 93.584771) - (xy 135.523229 93.675016) - (xy 135.528505 93.677704) - (xy 135.528506 93.677705) - (xy 135.552187 93.689771) - (xy 135.636945 93.732957) - (xy 135.763 93.752922) - (xy 135.889055 93.732957) - (xy 135.973813 93.689771) - (xy 135.997494 93.677705) - (xy 135.997495 93.677704) - (xy 136.002771 93.675016) - (xy 136.093016 93.584771) - (xy 136.150957 93.471055) - (xy 136.170922 93.345) - (xy 140.435078 93.345) - (xy 140.455043 93.471055) - (xy 140.512984 93.584771) - (xy 140.603229 93.675016) - (xy 140.608505 93.677704) - (xy 140.608506 93.677705) - (xy 140.632187 93.689771) - (xy 140.716945 93.732957) - (xy 140.843 93.752922) - (xy 140.969055 93.732957) - (xy 141.053813 93.689771) - (xy 141.077494 93.677705) - (xy 141.077495 93.677704) - (xy 141.082771 93.675016) - (xy 141.173016 93.584771) - (xy 141.230957 93.471055) - (xy 141.250922 93.345) - (xy 141.230957 93.218945) - (xy 141.200079 93.158344) - (xy 141.175705 93.110506) - (xy 141.175704 93.110505) - (xy 141.173016 93.105229) - (xy 141.082771 93.014984) - (xy 141.068892 93.007912) - (xy 141.005919 92.975826) - (xy 140.969055 92.957043) - (xy 140.906027 92.94706) - (xy 140.848847 92.938004) - (xy 140.843 92.937078) - (xy 140.837153 92.938004) - (xy 140.779973 92.94706) - (xy 140.716945 92.957043) - (xy 140.680081 92.975826) - (xy 140.617109 93.007912) - (xy 140.603229 93.014984) - (xy 140.512984 93.105229) - (xy 140.510296 93.110505) - (xy 140.510295 93.110506) - (xy 140.485921 93.158344) - (xy 140.455043 93.218945) - (xy 140.435078 93.345) - (xy 136.170922 93.345) - (xy 136.150957 93.218945) - (xy 136.120079 93.158344) - (xy 136.095705 93.110506) - (xy 136.095704 93.110505) - (xy 136.093016 93.105229) - (xy 136.002771 93.014984) - (xy 135.988892 93.007912) - (xy 135.925919 92.975826) - (xy 135.889055 92.957043) - (xy 135.826027 92.94706) - (xy 135.768847 92.938004) - (xy 135.763 92.937078) - (xy 135.757153 92.938004) - (xy 135.699973 92.94706) - (xy 135.636945 92.957043) - (xy 135.600081 92.975826) - (xy 135.537109 93.007912) - (xy 135.523229 93.014984) - (xy 135.432984 93.105229) - (xy 135.430296 93.110505) - (xy 135.430295 93.110506) - (xy 135.405921 93.158344) - (xy 135.375043 93.218945) - (xy 135.355078 93.345) - (xy 131.090922 93.345) - (xy 131.070957 93.218945) - (xy 131.040079 93.158344) - (xy 131.015705 93.110506) - (xy 131.015704 93.110505) - (xy 131.013016 93.105229) - (xy 130.922771 93.014984) - (xy 130.908892 93.007912) - (xy 130.845919 92.975826) - (xy 130.809055 92.957043) - (xy 130.746027 92.94706) - (xy 130.688847 92.938004) - (xy 130.683 92.937078) - (xy 130.677153 92.938004) - (xy 130.619973 92.94706) - (xy 130.556945 92.957043) - (xy 130.520081 92.975826) - (xy 130.457109 93.007912) - (xy 130.443229 93.014984) - (xy 130.352984 93.105229) - (xy 130.350296 93.110505) - (xy 130.350295 93.110506) - (xy 130.325921 93.158344) - (xy 130.295043 93.218945) - (xy 130.275078 93.345) - (xy 127.470288 93.345) - (xy 127.45 93.342329) - (xy 127.305664 93.361331) - (xy 127.301112 93.363216) - (xy 127.30111 93.363217) - (xy 127.247871 93.38527) - (xy 127.171165 93.417043) - (xy 127.167261 93.420039) - (xy 127.167257 93.420041) - (xy 127.091923 93.477847) - (xy 127.055667 93.505667) - (xy 127.052669 93.509574) - (xy 126.970041 93.617257) - (xy 126.970039 93.617261) - (xy 126.967043 93.621165) - (xy 126.945181 93.673945) - (xy 126.916959 93.742078) - (xy 126.911331 93.755664) - (xy 126.892329 93.9) - (xy 125.919787 93.9) - (xy 125.839771 93.819984) - (xy 125.812026 93.805847) - (xy 125.781868 93.790481) - (xy 125.726055 93.762043) - (xy 125.657026 93.75111) - (xy 125.605847 93.743004) - (xy 125.6 93.742078) - (xy 125.594153 93.743004) - (xy 125.542974 93.75111) - (xy 125.473945 93.762043) - (xy 125.418132 93.790481) - (xy 125.387975 93.805847) - (xy 125.360229 93.819984) - (xy 125.269984 93.910229) - (xy 125.267296 93.915505) - (xy 125.267295 93.915506) - (xy 125.248151 93.953078) - (xy 125.212043 94.023945) - (xy 125.192078 94.15) - (xy 122.992084 94.15) - (xy 122.987957 94.123945) - (xy 122.930016 94.010229) - (xy 122.839771 93.919984) - (xy 122.830983 93.915506) - (xy 122.786656 93.892921) - (xy 122.726055 93.862043) - (xy 122.6 93.842078) - (xy 122.473945 93.862043) - (xy 122.413344 93.892921) - (xy 122.369018 93.915506) - (xy 122.360229 93.919984) - (xy 122.269984 94.010229) - (xy 122.212043 94.123945) - (xy 122.192078 94.25) - (xy 114.457922 94.25) - (xy 114.437957 94.123945) - (xy 114.380016 94.010229) - (xy 114.289771 93.919984) - (xy 114.280983 93.915506) - (xy 114.236656 93.892921) - (xy 114.176055 93.862043) - (xy 114.05 93.842078) - (xy 113.923945 93.862043) - (xy 113.863344 93.892921) - (xy 113.819018 93.915506) - (xy 113.810229 93.919984) - (xy 113.719984 94.010229) - (xy 113.662043 94.123945) - (xy 113.642078 94.25) - (xy 86.750281 94.25) - (xy 86.745589 94.240792) - (xy 86.732705 94.215506) - (xy 86.732704 94.215505) - (xy 86.730016 94.210229) - (xy 86.639771 94.119984) - (xy 86.633992 94.117039) - (xy 86.586656 94.092921) - (xy 86.526055 94.062043) - (xy 86.4 94.042078) - (xy 86.273945 94.062043) - (xy 86.213344 94.092921) - (xy 86.166009 94.117039) - (xy 86.160229 94.119984) - (xy 86.069984 94.210229) - (xy 86.067296 94.215505) - (xy 86.067295 94.215506) - (xy 86.054411 94.240792) - (xy 86.012043 94.323945) - (xy 85.992078 94.45) - (xy 56.908826 94.45) - (xy 56.922922 94.361) - (xy 56.902957 94.234945) - (xy 56.856696 94.144153) - (xy 56.847705 94.126506) - (xy 56.847704 94.126505) - (xy 56.845016 94.121229) - (xy 56.754771 94.030984) - (xy 56.740957 94.023945) - (xy 56.701656 94.003921) - (xy 56.641055 93.973043) - (xy 56.515 93.953078) - (xy 56.388945 93.973043) - (xy 56.328344 94.003921) - (xy 56.289044 94.023945) - (xy 56.275229 94.030984) - (xy 56.184984 94.121229) - (xy 56.182296 94.126505) - (xy 56.182295 94.126506) - (xy 56.173304 94.144153) - (xy 56.127043 94.234945) - (xy 56.107078 94.361) - (xy 51.842922 94.361) - (xy 51.822957 94.234945) - (xy 51.776696 94.144153) - (xy 51.767705 94.126506) - (xy 51.767704 94.126505) - (xy 51.765016 94.121229) - (xy 51.674771 94.030984) - (xy 51.660957 94.023945) - (xy 51.621656 94.003921) - (xy 51.561055 93.973043) - (xy 51.435 93.953078) - (xy 51.308945 93.973043) - (xy 51.248344 94.003921) - (xy 51.209044 94.023945) - (xy 51.195229 94.030984) - (xy 51.104984 94.121229) - (xy 51.102296 94.126505) - (xy 51.102295 94.126506) - (xy 51.093304 94.144153) - (xy 51.047043 94.234945) - (xy 51.027078 94.361) - (xy 46.101 94.361) - (xy 46.101 93.917481) - (xy 46.118593 93.869143) - (xy 46.163142 93.843423) - (xy 46.210341 93.850478) - (xy 46.223134 93.856996) - (xy 46.228945 93.859957) - (xy 46.355 93.879922) - (xy 46.481055 93.859957) - (xy 46.564784 93.817295) - (xy 46.589494 93.804705) - (xy 46.589495 93.804704) - (xy 46.594771 93.802016) - (xy 46.596787 93.8) - (xy 82.742078 93.8) - (xy 82.762043 93.926055) - (xy 82.76473 93.931328) - (xy 82.814137 94.028295) - (xy 82.819984 94.039771) - (xy 82.910229 94.130016) - (xy 82.915505 94.132704) - (xy 82.915506 94.132705) - (xy 82.94945 94.15) - (xy 83.023945 94.187957) - (xy 83.15 94.207922) - (xy 83.276055 94.187957) - (xy 83.35055 94.15) - (xy 83.384494 94.132705) - (xy 83.384495 94.132704) - (xy 83.389771 94.130016) - (xy 83.480016 94.039771) - (xy 83.485864 94.028295) - (xy 83.53527 93.931328) - (xy 83.537957 93.926055) - (xy 83.557922 93.8) - (xy 83.537957 93.673945) - (xy 83.491254 93.582285) - (xy 83.482705 93.565506) - (xy 83.482704 93.565505) - (xy 83.480016 93.560229) - (xy 83.389771 93.469984) - (xy 83.382253 93.466153) - (xy 83.350551 93.45) - (xy 114.292078 93.45) - (xy 114.312043 93.576055) - (xy 114.323253 93.598055) - (xy 114.359234 93.668672) - (xy 114.369984 93.689771) - (xy 114.460229 93.780016) - (xy 114.465505 93.782704) - (xy 114.465506 93.782705) - (xy 114.508684 93.804705) - (xy 114.573945 93.837957) - (xy 114.7 93.857922) - (xy 114.826055 93.837957) - (xy 114.891316 93.804705) - (xy 114.934494 93.782705) - (xy 114.934495 93.782704) - (xy 114.939771 93.780016) - (xy 115.030016 93.689771) - (xy 115.040767 93.668672) - (xy 115.076747 93.598055) - (xy 115.087957 93.576055) - (xy 115.107922 93.45) - (xy 121.542078 93.45) - (xy 121.562043 93.576055) - (xy 121.573253 93.598055) - (xy 121.609234 93.668672) - (xy 121.619984 93.689771) - (xy 121.710229 93.780016) - (xy 121.715505 93.782704) - (xy 121.715506 93.782705) - (xy 121.758684 93.804705) - (xy 121.823945 93.837957) - (xy 121.95 93.857922) - (xy 122.076055 93.837957) - (xy 122.141316 93.804705) - (xy 122.184494 93.782705) - (xy 122.184495 93.782704) - (xy 122.189771 93.780016) - (xy 122.280016 93.689771) - (xy 122.290767 93.668672) - (xy 122.326747 93.598055) - (xy 122.337957 93.576055) - (xy 122.357922 93.45) - (xy 122.337957 93.323945) - (xy 122.280016 93.210229) - (xy 122.189771 93.119984) - (xy 122.17117 93.110506) - (xy 122.124506 93.08673) - (xy 122.076055 93.062043) - (xy 121.95 93.042078) - (xy 121.823945 93.062043) - (xy 121.775494 93.08673) - (xy 121.728831 93.110506) - (xy 121.710229 93.119984) - (xy 121.619984 93.210229) - (xy 121.562043 93.323945) - (xy 121.542078 93.45) - (xy 115.107922 93.45) - (xy 115.087957 93.323945) - (xy 115.030016 93.210229) - (xy 114.939771 93.119984) - (xy 114.92117 93.110506) - (xy 114.874506 93.08673) - (xy 114.826055 93.062043) - (xy 114.7 93.042078) - (xy 114.573945 93.062043) - (xy 114.525494 93.08673) - (xy 114.478831 93.110506) - (xy 114.460229 93.119984) - (xy 114.369984 93.210229) - (xy 114.312043 93.323945) - (xy 114.292078 93.45) - (xy 83.350551 93.45) - (xy 83.285868 93.417043) - (xy 83.276055 93.412043) - (xy 83.15 93.392078) - (xy 83.023945 93.412043) - (xy 83.014132 93.417043) - (xy 82.917748 93.466153) - (xy 82.910229 93.469984) - (xy 82.819984 93.560229) - (xy 82.817296 93.565505) - (xy 82.817295 93.565506) - (xy 82.808746 93.582285) - (xy 82.762043 93.673945) - (xy 82.742078 93.8) - (xy 46.596787 93.8) - (xy 46.685016 93.711771) - (xy 46.702374 93.677705) - (xy 46.74027 93.603328) - (xy 46.742957 93.598055) - (xy 46.762922 93.472) - (xy 46.742957 93.345945) - (xy 46.742476 93.345) - (xy 59.155078 93.345) - (xy 59.175043 93.471055) - (xy 59.232984 93.584771) - (xy 59.323229 93.675016) - (xy 59.328505 93.677704) - (xy 59.328506 93.677705) - (xy 59.352187 93.689771) - (xy 59.436945 93.732957) - (xy 59.563 93.752922) - (xy 59.689055 93.732957) - (xy 59.773813 93.689771) - (xy 59.797494 93.677705) - (xy 59.797495 93.677704) - (xy 59.802771 93.675016) - (xy 59.893016 93.584771) - (xy 59.950957 93.471055) - (xy 59.970922 93.345) - (xy 64.235078 93.345) - (xy 64.255043 93.471055) - (xy 64.312984 93.584771) - (xy 64.403229 93.675016) - (xy 64.408505 93.677704) - (xy 64.408506 93.677705) - (xy 64.432187 93.689771) - (xy 64.516945 93.732957) - (xy 64.643 93.752922) - (xy 64.769055 93.732957) - (xy 64.853813 93.689771) - (xy 64.877494 93.677705) - (xy 64.877495 93.677704) - (xy 64.882771 93.675016) - (xy 64.973016 93.584771) - (xy 65.030957 93.471055) - (xy 65.050922 93.345) - (xy 69.315078 93.345) - (xy 69.335043 93.471055) - (xy 69.392984 93.584771) - (xy 69.483229 93.675016) - (xy 69.488505 93.677704) - (xy 69.488506 93.677705) - (xy 69.512187 93.689771) - (xy 69.596945 93.732957) - (xy 69.723 93.752922) - (xy 69.849055 93.732957) - (xy 69.933813 93.689771) - (xy 69.957494 93.677705) - (xy 69.957495 93.677704) - (xy 69.962771 93.675016) - (xy 70.053016 93.584771) - (xy 70.110957 93.471055) - (xy 70.130922 93.345) - (xy 70.110957 93.218945) - (xy 70.080079 93.158344) - (xy 70.055705 93.110506) - (xy 70.055704 93.110505) - (xy 70.053016 93.105229) - (xy 69.962771 93.014984) - (xy 69.948892 93.007912) - (xy 69.885919 92.975826) - (xy 69.849055 92.957043) - (xy 69.786027 92.94706) - (xy 69.728847 92.938004) - (xy 69.723 92.937078) - (xy 69.717153 92.938004) - (xy 69.659973 92.94706) - (xy 69.596945 92.957043) - (xy 69.560081 92.975826) - (xy 69.497109 93.007912) - (xy 69.483229 93.014984) - (xy 69.392984 93.105229) - (xy 69.390296 93.110505) - (xy 69.390295 93.110506) - (xy 69.365921 93.158344) - (xy 69.335043 93.218945) - (xy 69.315078 93.345) - (xy 65.050922 93.345) - (xy 65.030957 93.218945) - (xy 65.000079 93.158344) - (xy 64.975705 93.110506) - (xy 64.975704 93.110505) - (xy 64.973016 93.105229) - (xy 64.882771 93.014984) - (xy 64.868892 93.007912) - (xy 64.805919 92.975826) - (xy 64.769055 92.957043) - (xy 64.706027 92.94706) - (xy 64.648847 92.938004) - (xy 64.643 92.937078) - (xy 64.637153 92.938004) - (xy 64.579973 92.94706) - (xy 64.516945 92.957043) - (xy 64.480081 92.975826) - (xy 64.417109 93.007912) - (xy 64.403229 93.014984) - (xy 64.312984 93.105229) - (xy 64.310296 93.110505) - (xy 64.310295 93.110506) - (xy 64.285921 93.158344) - (xy 64.255043 93.218945) - (xy 64.235078 93.345) - (xy 59.970922 93.345) - (xy 59.950957 93.218945) - (xy 59.920079 93.158344) - (xy 59.895705 93.110506) - (xy 59.895704 93.110505) - (xy 59.893016 93.105229) - (xy 59.802771 93.014984) - (xy 59.788892 93.007912) - (xy 59.725919 92.975826) - (xy 59.689055 92.957043) - (xy 59.626027 92.94706) - (xy 59.568847 92.938004) - (xy 59.563 92.937078) - (xy 59.557153 92.938004) - (xy 59.499973 92.94706) - (xy 59.436945 92.957043) - (xy 59.400081 92.975826) - (xy 59.337109 93.007912) - (xy 59.323229 93.014984) - (xy 59.232984 93.105229) - (xy 59.230296 93.110505) - (xy 59.230295 93.110506) - (xy 59.205921 93.158344) - (xy 59.175043 93.218945) - (xy 59.155078 93.345) - (xy 46.742476 93.345) - (xy 46.685016 93.232229) - (xy 46.594771 93.141984) - (xy 46.573858 93.131328) - (xy 46.522635 93.105229) - (xy 46.481055 93.084043) - (xy 46.355 93.064078) - (xy 46.309863 93.071227) - (xy 46.259368 93.061412) - (xy 46.226996 93.021436) - (xy 46.224359 92.982208) - (xy 46.226025 92.973876) - (xy 46.232502 92.954996) - (xy 46.26 92.9) - (xy 86.742078 92.9) - (xy 86.762043 93.026055) - (xy 86.819984 93.139771) - (xy 86.910229 93.230016) - (xy 86.915505 93.232704) - (xy 86.915506 93.232705) - (xy 86.963344 93.257079) - (xy 87.023945 93.287957) - (xy 87.15 93.307922) - (xy 87.276055 93.287957) - (xy 87.336656 93.257079) - (xy 87.384494 93.232705) - (xy 87.384495 93.232704) - (xy 87.389771 93.230016) - (xy 87.480016 93.139771) - (xy 87.537957 93.026055) - (xy 87.557922 92.9) - (xy 87.537957 92.773945) - (xy 87.507079 92.713344) - (xy 87.482705 92.665506) - (xy 87.482704 92.665505) - (xy 87.480016 92.660229) - (xy 87.389771 92.569984) - (xy 87.362026 92.555847) - (xy 87.35055 92.55) - (xy 88.892078 92.55) - (xy 88.893004 92.555847) - (xy 88.899071 92.594153) - (xy 88.912043 92.676055) - (xy 88.91473 92.681328) - (xy 88.959234 92.768672) - (xy 88.969984 92.789771) - (xy 89.060229 92.880016) - (xy 89.065505 92.882704) - (xy 89.065506 92.882705) - (xy 89.098506 92.899519) - (xy 89.173945 92.937957) - (xy 89.179792 92.938883) - (xy 89.288604 92.956117) - (xy 89.3 92.957922) - (xy 89.311397 92.956117) - (xy 89.420208 92.938883) - (xy 89.426055 92.937957) - (xy 89.501494 92.899519) - (xy 89.534494 92.882705) - (xy 89.534495 92.882704) - (xy 89.539771 92.880016) - (xy 89.630016 92.789771) - (xy 89.640767 92.768672) - (xy 89.68527 92.681328) - (xy 89.687957 92.676055) - (xy 89.700003 92.6) - (xy 91.192078 92.6) - (xy 91.212043 92.726055) - (xy 91.232144 92.765506) - (xy 91.266384 92.832705) - (xy 91.269984 92.839771) - (xy 91.360229 92.930016) - (xy 91.365505 92.932704) - (xy 91.365506 92.932705) - (xy 91.395244 92.947857) - (xy 91.473945 92.987957) - (xy 91.479792 92.988883) - (xy 91.586899 93.005847) - (xy 91.6 93.007922) - (xy 91.613102 93.005847) - (xy 91.720208 92.988883) - (xy 91.726055 92.987957) - (xy 91.804756 92.947857) - (xy 91.834494 92.932705) - (xy 91.834495 92.932704) - (xy 91.839771 92.930016) - (xy 91.930016 92.839771) - (xy 91.933617 92.832705) - (xy 91.967856 92.765506) - (xy 91.987957 92.726055) - (xy 92.007922 92.6) - (xy 92.00792 92.59999) - (xy 95.892078 92.59999) - (xy 95.912043 92.726045) - (xy 95.934545 92.770208) - (xy 95.965019 92.830016) - (xy 95.969984 92.839761) - (xy 96.060229 92.930006) - (xy 96.065505 92.932694) - (xy 96.065506 92.932695) - (xy 96.109275 92.954996) - (xy 96.173945 92.987947) - (xy 96.3 93.007912) - (xy 96.426055 92.987947) - (xy 96.490725 92.954996) - (xy 96.534494 92.932695) - (xy 96.534495 92.932694) - (xy 96.539771 92.930006) - (xy 96.630016 92.839761) - (xy 96.634982 92.830016) - (xy 96.665455 92.770208) - (xy 96.687957 92.726045) - (xy 96.70792 92.6) - (xy 98.292078 92.6) - (xy 98.312043 92.726055) - (xy 98.332144 92.765506) - (xy 98.366384 92.832705) - (xy 98.369984 92.839771) - (xy 98.460229 92.930016) - (xy 98.465505 92.932704) - (xy 98.465506 92.932705) - (xy 98.495244 92.947857) - (xy 98.573945 92.987957) - (xy 98.579792 92.988883) - (xy 98.686899 93.005847) - (xy 98.7 93.007922) - (xy 98.713102 93.005847) - (xy 98.820208 92.988883) - (xy 98.826055 92.987957) - (xy 98.904756 92.947857) - (xy 98.934494 92.932705) - (xy 98.934495 92.932704) - (xy 98.939771 92.930016) - (xy 99.030016 92.839771) - (xy 99.033617 92.832705) - (xy 99.067856 92.765506) - (xy 99.087957 92.726055) - (xy 99.107922 92.6) - (xy 99.642078 92.6) - (xy 99.662043 92.726055) - (xy 99.682144 92.765506) - (xy 99.716384 92.832705) - (xy 99.719984 92.839771) - (xy 99.810229 92.930016) - (xy 99.815505 92.932704) - (xy 99.815506 92.932705) - (xy 99.845244 92.947857) - (xy 99.923945 92.987957) - (xy 99.929792 92.988883) - (xy 100.036899 93.005847) - (xy 100.05 93.007922) - (xy 100.063102 93.005847) - (xy 100.100018 93) - (xy 100.742078 93) - (xy 100.762043 93.126055) - (xy 100.76473 93.131328) - (xy 100.816384 93.232705) - (xy 100.819984 93.239771) - (xy 100.910229 93.330016) - (xy 100.915505 93.332704) - (xy 100.915506 93.332705) - (xy 100.951112 93.350847) - (xy 101.023945 93.387957) - (xy 101.15 93.407922) - (xy 101.276055 93.387957) - (xy 101.348888 93.350847) - (xy 101.384494 93.332705) - (xy 101.384495 93.332704) - (xy 101.389771 93.330016) - (xy 101.480016 93.239771) - (xy 101.483617 93.232705) - (xy 101.53527 93.131328) - (xy 101.537957 93.126055) - (xy 101.557922 93) - (xy 101.537957 92.873945) - (xy 101.49238 92.784494) - (xy 101.482705 92.765506) - (xy 101.482704 92.765505) - (xy 101.480016 92.760229) - (xy 101.389771 92.669984) - (xy 101.380983 92.665506) - (xy 101.303555 92.626055) - (xy 101.276055 92.612043) - (xy 101.199955 92.59999) - (xy 101.155847 92.593004) - (xy 101.15 92.592078) - (xy 101.144153 92.593004) - (xy 101.100045 92.59999) - (xy 101.023945 92.612043) - (xy 100.996445 92.626055) - (xy 100.919018 92.665506) - (xy 100.910229 92.669984) - (xy 100.819984 92.760229) - (xy 100.817296 92.765505) - (xy 100.817295 92.765506) - (xy 100.80762 92.784494) - (xy 100.762043 92.873945) - (xy 100.742078 93) - (xy 100.100018 93) - (xy 100.170208 92.988883) - (xy 100.176055 92.987957) - (xy 100.254756 92.947857) - (xy 100.284494 92.932705) - (xy 100.284495 92.932704) - (xy 100.289771 92.930016) - (xy 100.380016 92.839771) - (xy 100.383617 92.832705) - (xy 100.417856 92.765506) - (xy 100.437957 92.726055) - (xy 100.457922 92.6) - (xy 100.442084 92.5) - (xy 111.342078 92.5) - (xy 111.362043 92.626055) - (xy 111.419984 92.739771) - (xy 111.510229 92.830016) - (xy 111.515505 92.832704) - (xy 111.515506 92.832705) - (xy 111.537623 92.843974) - (xy 111.623945 92.887957) - (xy 111.661853 92.893961) - (xy 111.736899 92.905847) - (xy 111.75 92.907922) - (xy 111.763102 92.905847) - (xy 111.838147 92.893961) - (xy 111.876055 92.887957) - (xy 111.962377 92.843974) - (xy 111.984494 92.832705) - (xy 111.984495 92.832704) - (xy 111.989771 92.830016) - (xy 112.080016 92.739771) - (xy 112.125756 92.65) - (xy 113.642078 92.65) - (xy 113.662043 92.776055) - (xy 113.66473 92.781328) - (xy 113.716384 92.882705) - (xy 113.719984 92.889771) - (xy 113.810229 92.980016) - (xy 113.815505 92.982704) - (xy 113.815506 92.982705) - (xy 113.84945 93) - (xy 113.923945 93.037957) - (xy 114.05 93.057922) - (xy 114.176055 93.037957) - (xy 114.25055 93) - (xy 114.284494 92.982705) - (xy 114.284495 92.982704) - (xy 114.289771 92.980016) - (xy 114.380016 92.889771) - (xy 114.383617 92.882705) - (xy 114.43527 92.781328) - (xy 114.437957 92.776055) - (xy 114.457922 92.65) - (xy 114.437957 92.523945) - (xy 114.380016 92.410229) - (xy 114.289771 92.319984) - (xy 114.280983 92.315506) - (xy 114.22503 92.286997) - (xy 114.176055 92.262043) - (xy 114.05 92.242078) - (xy 113.923945 92.262043) - (xy 113.87497 92.286997) - (xy 113.819018 92.315506) - (xy 113.810229 92.319984) - (xy 113.719984 92.410229) - (xy 113.662043 92.523945) - (xy 113.642078 92.65) - (xy 112.125756 92.65) - (xy 112.137957 92.626055) - (xy 112.157922 92.5) - (xy 112.137957 92.373945) - (xy 112.097257 92.294066) - (xy 112.082705 92.265506) - (xy 112.082704 92.265505) - (xy 112.080016 92.260229) - (xy 111.989771 92.169984) - (xy 111.97946 92.16473) - (xy 111.935002 92.142078) - (xy 111.876055 92.112043) - (xy 111.75 92.092078) - (xy 111.623945 92.112043) - (xy 111.564998 92.142078) - (xy 111.520541 92.16473) - (xy 111.510229 92.169984) - (xy 111.419984 92.260229) - (xy 111.417296 92.265505) - (xy 111.417295 92.265506) - (xy 111.402743 92.294066) - (xy 111.362043 92.373945) - (xy 111.342078 92.5) - (xy 100.442084 92.5) - (xy 100.437957 92.473945) - (xy 100.401132 92.401671) - (xy 100.382705 92.365506) - (xy 100.382704 92.365505) - (xy 100.380016 92.360229) - (xy 100.289771 92.269984) - (xy 100.280983 92.265506) - (xy 100.209182 92.228922) - (xy 100.176055 92.212043) - (xy 100.05 92.192078) - (xy 99.923945 92.212043) - (xy 99.890818 92.228922) - (xy 99.819018 92.265506) - (xy 99.810229 92.269984) - (xy 99.719984 92.360229) - (xy 99.717296 92.365505) - (xy 99.717295 92.365506) - (xy 99.698868 92.401671) - (xy 99.662043 92.473945) - (xy 99.642078 92.6) - (xy 99.107922 92.6) - (xy 99.087957 92.473945) - (xy 99.051132 92.401671) - (xy 99.032705 92.365506) - (xy 99.032704 92.365505) - (xy 99.030016 92.360229) - (xy 98.939771 92.269984) - (xy 98.930983 92.265506) - (xy 98.859182 92.228922) - (xy 98.826055 92.212043) - (xy 98.7 92.192078) - (xy 98.573945 92.212043) - (xy 98.540818 92.228922) - (xy 98.469018 92.265506) - (xy 98.460229 92.269984) - (xy 98.369984 92.360229) - (xy 98.367296 92.365505) - (xy 98.367295 92.365506) - (xy 98.348868 92.401671) - (xy 98.312043 92.473945) - (xy 98.292078 92.6) - (xy 96.70792 92.6) - (xy 96.707922 92.59999) - (xy 96.700931 92.555847) - (xy 96.690977 92.493004) - (xy 96.687957 92.473935) - (xy 96.652298 92.40395) - (xy 96.632705 92.365496) - (xy 96.632704 92.365495) - (xy 96.630016 92.360219) - (xy 96.539771 92.269974) - (xy 96.524206 92.262043) - (xy 96.485022 92.242078) - (xy 96.426055 92.212033) - (xy 96.3 92.192068) - (xy 96.173945 92.212033) - (xy 96.114978 92.242078) - (xy 96.075795 92.262043) - (xy 96.060229 92.269974) - (xy 95.969984 92.360219) - (xy 95.967296 92.365495) - (xy 95.967295 92.365496) - (xy 95.947702 92.40395) - (xy 95.912043 92.473935) - (xy 95.909023 92.493004) - (xy 95.89907 92.555847) - (xy 95.892078 92.59999) - (xy 92.00792 92.59999) - (xy 91.987957 92.473945) - (xy 91.951132 92.401671) - (xy 91.932705 92.365506) - (xy 91.932704 92.365505) - (xy 91.930016 92.360229) - (xy 91.839771 92.269984) - (xy 91.830983 92.265506) - (xy 91.759182 92.228922) - (xy 91.726055 92.212043) - (xy 91.6 92.192078) - (xy 91.473945 92.212043) - (xy 91.440818 92.228922) - (xy 91.369018 92.265506) - (xy 91.360229 92.269984) - (xy 91.269984 92.360229) - (xy 91.267296 92.365505) - (xy 91.267295 92.365506) - (xy 91.248868 92.401671) - (xy 91.212043 92.473945) - (xy 91.192078 92.6) - (xy 89.700003 92.6) - (xy 89.700929 92.594153) - (xy 89.706996 92.555847) - (xy 89.707922 92.55) - (xy 89.687957 92.423945) - (xy 89.653352 92.356029) - (xy 89.632705 92.315506) - (xy 89.632704 92.315505) - (xy 89.630016 92.310229) - (xy 89.539771 92.219984) - (xy 89.52946 92.21473) - (xy 89.474818 92.186889) - (xy 89.426055 92.162043) - (xy 89.3 92.142078) - (xy 89.173945 92.162043) - (xy 89.125182 92.186889) - (xy 89.070541 92.21473) - (xy 89.060229 92.219984) - (xy 88.969984 92.310229) - (xy 88.967296 92.315505) - (xy 88.967295 92.315506) - (xy 88.946648 92.356029) - (xy 88.912043 92.423945) - (xy 88.892078 92.55) - (xy 87.35055 92.55) - (xy 87.289065 92.518672) - (xy 87.276055 92.512043) - (xy 87.200018 92.5) - (xy 87.155847 92.493004) - (xy 87.15 92.492078) - (xy 87.144153 92.493004) - (xy 87.099982 92.5) - (xy 87.023945 92.512043) - (xy 87.010935 92.518672) - (xy 86.937975 92.555847) - (xy 86.910229 92.569984) - (xy 86.819984 92.660229) - (xy 86.817296 92.665505) - (xy 86.817295 92.665506) - (xy 86.792921 92.713344) - (xy 86.762043 92.773945) - (xy 86.742078 92.9) - (xy 46.26 92.9) - (xy 46.476545 92.466911) - (xy 46.49063 92.44737) - (xy 47.117 91.821) - (xy 53.567078 91.821) - (xy 53.587043 91.947055) - (xy 53.607551 91.987305) - (xy 53.641966 92.054847) - (xy 53.644984 92.060771) - (xy 53.735229 92.151016) - (xy 53.740505 92.153704) - (xy 53.740506 92.153705) - (xy 53.762144 92.16473) - (xy 53.848945 92.208957) - (xy 53.975 92.228922) - (xy 54.101055 92.208957) - (xy 54.187856 92.16473) - (xy 54.209494 92.153705) - (xy 54.209495 92.153704) - (xy 54.214771 92.151016) - (xy 54.305016 92.060771) - (xy 54.308035 92.054847) - (xy 54.342449 91.987305) - (xy 54.362957 91.947055) - (xy 54.382922 91.821) - (xy 54.377872 91.789112) - (xy 54.363883 91.700792) - (xy 54.362957 91.694945) - (xy 54.362684 91.69441) - (xy 88.092477 91.69441) - (xy 88.093171 91.699717) - (xy 88.093171 91.69972) - (xy 88.095045 91.714049) - (xy 88.109298 91.823046) - (xy 88.111457 91.827952) - (xy 88.111457 91.827953) - (xy 88.143616 91.901039) - (xy 88.161547 91.941791) - (xy 88.245023 92.041098) - (xy 88.274578 92.060771) - (xy 88.336022 92.101671) - (xy 88.353017 92.112984) - (xy 88.476845 92.151671) - (xy 88.543716 92.152896) - (xy 88.601193 92.15395) - (xy 88.601195 92.15395) - (xy 88.606555 92.154048) - (xy 88.611726 92.152638) - (xy 88.611728 92.152638) - (xy 88.679058 92.134282) - (xy 88.731718 92.119925) - (xy 88.842273 92.052044) - (xy 88.845865 92.048076) - (xy 88.845867 92.048074) - (xy 88.901151 91.986997) - (xy 88.929332 91.955863) - (xy 88.985897 91.839112) - (xy 89.00742 91.711179) - (xy 89.007557 91.7) - (xy 89.006809 91.694776) - (xy 89.006757 91.69441) - (xy 95.092477 91.69441) - (xy 95.093171 91.699717) - (xy 95.093171 91.69972) - (xy 95.095045 91.714049) - (xy 95.109298 91.823046) - (xy 95.111457 91.827952) - (xy 95.111457 91.827953) - (xy 95.143616 91.901039) - (xy 95.161547 91.941791) - (xy 95.245023 92.041098) - (xy 95.274578 92.060771) - (xy 95.336022 92.101671) - (xy 95.353017 92.112984) - (xy 95.476845 92.151671) - (xy 95.543716 92.152896) - (xy 95.601193 92.15395) - (xy 95.601195 92.15395) - (xy 95.606555 92.154048) - (xy 95.611726 92.152638) - (xy 95.611728 92.152638) - (xy 95.679058 92.134282) - (xy 95.731718 92.119925) - (xy 95.842273 92.052044) - (xy 95.845865 92.048076) - (xy 95.845867 92.048074) - (xy 95.901151 91.986997) - (xy 95.929332 91.955863) - (xy 95.934881 91.94441) - (xy 112.442477 91.94441) - (xy 112.443171 91.949717) - (xy 112.443171 91.94972) - (xy 112.445045 91.964049) - (xy 112.459298 92.073046) - (xy 112.461457 92.077952) - (xy 112.461457 92.077953) - (xy 112.501811 92.169665) - (xy 112.511547 92.191791) - (xy 112.595023 92.291098) - (xy 112.631691 92.315506) - (xy 112.692584 92.356039) - (xy 112.703017 92.362984) - (xy 112.826845 92.401671) - (xy 112.893716 92.402896) - (xy 112.951193 92.40395) - (xy 112.951195 92.40395) - (xy 112.956555 92.404048) - (xy 112.961726 92.402638) - (xy 112.961728 92.402638) - (xy 113.047939 92.379134) - (xy 113.081718 92.369925) - (xy 113.192273 92.302044) - (xy 113.195865 92.298076) - (xy 113.195867 92.298074) - (xy 113.233915 92.256039) - (xy 113.279332 92.205863) - (xy 113.323485 92.11473) - (xy 113.333559 92.093938) - (xy 113.333559 92.093937) - (xy 113.335897 92.089112) - (xy 113.35742 91.961179) - (xy 113.357557 91.95) - (xy 113.356969 91.945891) - (xy 113.339925 91.826876) - (xy 113.339924 91.826873) - (xy 113.339166 91.821579) - (xy 113.28547 91.703482) - (xy 113.277969 91.694776) - (xy 113.234571 91.64441) - (xy 122.592477 91.64441) - (xy 122.593171 91.649717) - (xy 122.593171 91.64972) - (xy 122.600222 91.70364) - (xy 122.609298 91.773046) - (xy 122.611457 91.777952) - (xy 122.611457 91.777953) - (xy 122.632971 91.826847) - (xy 122.661547 91.891791) - (xy 122.745023 91.991098) - (xy 122.749483 91.994066) - (xy 122.749482 91.994066) - (xy 122.824597 92.044066) - (xy 122.853017 92.062984) - (xy 122.976845 92.101671) - (xy 123.043716 92.102896) - (xy 123.101193 92.10395) - (xy 123.101195 92.10395) - (xy 123.106555 92.104048) - (xy 123.111726 92.102638) - (xy 123.111728 92.102638) - (xy 123.202271 92.077953) - (xy 123.231718 92.069925) - (xy 123.342273 92.002044) - (xy 123.345865 91.998076) - (xy 123.345867 91.998074) - (xy 123.387274 91.952328) - (xy 123.429332 91.905863) - (xy 123.470198 91.821516) - (xy 123.483559 91.793938) - (xy 123.483559 91.793937) - (xy 123.485897 91.789112) - (xy 123.50742 91.661179) - (xy 123.507557 91.65) - (xy 123.507145 91.647122) - (xy 123.489925 91.526876) - (xy 123.489924 91.526873) - (xy 123.489166 91.521579) - (xy 123.478902 91.499004) - (xy 123.437689 91.408362) - (xy 123.437688 91.408361) - (xy 123.43547 91.403482) - (xy 123.427969 91.394776) - (xy 123.354282 91.309258) - (xy 123.354281 91.309257) - (xy 123.350787 91.305202) - (xy 123.340865 91.298771) - (xy 123.246416 91.237552) - (xy 123.246415 91.237551) - (xy 123.241923 91.23464) - (xy 123.169303 91.212922) - (xy 123.122765 91.199004) - (xy 123.122762 91.199004) - (xy 123.117631 91.197469) - (xy 123.112276 91.197436) - (xy 123.112274 91.197436) - (xy 123.054164 91.197081) - (xy 122.987902 91.196676) - (xy 122.863166 91.232326) - (xy 122.753448 91.301553) - (xy 122.66757 91.398791) - (xy 122.665294 91.403638) - (xy 122.665293 91.40364) - (xy 122.625548 91.488295) - (xy 122.612436 91.516223) - (xy 122.592477 91.64441) - (xy 113.234571 91.64441) - (xy 113.204282 91.609258) - (xy 113.204281 91.609257) - (xy 113.200787 91.605202) - (xy 113.195157 91.601553) - (xy 113.096416 91.537552) - (xy 113.096415 91.537551) - (xy 113.091923 91.53464) - (xy 113.031952 91.516705) - (xy 112.972765 91.499004) - (xy 112.972762 91.499004) - (xy 112.967631 91.497469) - (xy 112.962276 91.497436) - (xy 112.962274 91.497436) - (xy 112.904164 91.497081) - (xy 112.837902 91.496676) - (xy 112.713166 91.532326) - (xy 112.603448 91.601553) - (xy 112.51757 91.698791) - (xy 112.515294 91.703638) - (xy 112.515293 91.70364) - (xy 112.472899 91.793938) - (xy 112.462436 91.816223) - (xy 112.442477 91.94441) - (xy 95.934881 91.94441) - (xy 95.985897 91.839112) - (xy 96.00742 91.711179) - (xy 96.007557 91.7) - (xy 96.006809 91.694776) - (xy 95.989925 91.576876) - (xy 95.989924 91.576873) - (xy 95.989166 91.571579) - (xy 95.955109 91.496676) - (xy 95.937689 91.458362) - (xy 95.937688 91.458361) - (xy 95.93547 91.453482) - (xy 95.927969 91.444776) - (xy 95.854282 91.359258) - (xy 95.854281 91.359257) - (xy 95.850787 91.355202) - (xy 95.845157 91.351553) - (xy 95.746416 91.287552) - (xy 95.746415 91.287551) - (xy 95.741923 91.28464) - (xy 95.675265 91.264705) - (xy 95.622765 91.249004) - (xy 95.622762 91.249004) - (xy 95.617631 91.247469) - (xy 95.612276 91.247436) - (xy 95.612274 91.247436) - (xy 95.554164 91.247081) - (xy 95.487902 91.246676) - (xy 95.363166 91.282326) - (xy 95.253448 91.351553) - (xy 95.16757 91.448791) - (xy 95.165294 91.453638) - (xy 95.165293 91.45364) - (xy 95.127984 91.533106) - (xy 95.112436 91.566223) - (xy 95.092477 91.69441) - (xy 89.006757 91.69441) - (xy 88.989925 91.576876) - (xy 88.989924 91.576873) - (xy 88.989166 91.571579) - (xy 88.955109 91.496676) - (xy 88.937689 91.458362) - (xy 88.937688 91.458361) - (xy 88.93547 91.453482) - (xy 88.927969 91.444776) - (xy 88.854282 91.359258) - (xy 88.854281 91.359257) - (xy 88.850787 91.355202) - (xy 88.845157 91.351553) - (xy 88.746416 91.287552) - (xy 88.746415 91.287551) - (xy 88.741923 91.28464) - (xy 88.675265 91.264705) - (xy 88.622765 91.249004) - (xy 88.622762 91.249004) - (xy 88.617631 91.247469) - (xy 88.612276 91.247436) - (xy 88.612274 91.247436) - (xy 88.554164 91.247081) - (xy 88.487902 91.246676) - (xy 88.363166 91.282326) - (xy 88.253448 91.351553) - (xy 88.16757 91.448791) - (xy 88.165294 91.453638) - (xy 88.165293 91.45364) - (xy 88.127984 91.533106) - (xy 88.112436 91.566223) - (xy 88.092477 91.69441) - (xy 54.362684 91.69441) - (xy 54.332079 91.634344) - (xy 54.307705 91.586506) - (xy 54.307704 91.586505) - (xy 54.305016 91.581229) - (xy 54.214771 91.490984) - (xy 54.101055 91.433043) - (xy 53.975 91.413078) - (xy 53.848945 91.433043) - (xy 53.735229 91.490984) - (xy 53.644984 91.581229) - (xy 53.642296 91.586505) - (xy 53.642295 91.586506) - (xy 53.617921 91.634344) - (xy 53.587043 91.694945) - (xy 53.586117 91.700792) - (xy 53.572129 91.789112) - (xy 53.567078 91.821) - (xy 47.117 91.821) - (xy 48.428934 90.509066) - (xy 48.475554 90.487326) - (xy 48.525241 90.50064) - (xy 48.554746 90.542777) - (xy 48.549112 90.59638) - (xy 48.507043 90.678945) - (xy 48.506117 90.684792) - (xy 48.494019 90.761179) - (xy 48.487078 90.805) - (xy 48.507043 90.931055) - (xy 48.50973 90.936328) - (xy 48.542702 91.001039) - (xy 48.564984 91.044771) - (xy 48.655229 91.135016) - (xy 48.660505 91.137704) - (xy 48.660506 91.137705) - (xy 48.708344 91.162079) - (xy 48.768945 91.192957) - (xy 48.895 91.212922) - (xy 49.021055 91.192957) - (xy 49.081656 91.162079) - (xy 49.129494 91.137705) - (xy 49.129495 91.137704) - (xy 49.134771 91.135016) - (xy 49.210787 91.059) - (xy 57.758078 91.059) - (xy 57.778043 91.185055) - (xy 57.808921 91.245656) - (xy 57.830268 91.287552) - (xy 57.835984 91.298771) - (xy 57.926229 91.389016) - (xy 57.931505 91.391704) - (xy 57.931506 91.391705) - (xy 57.94665 91.399421) - (xy 58.039945 91.446957) - (xy 58.166 91.466922) - (xy 58.292055 91.446957) - (xy 58.38535 91.399421) - (xy 58.400494 91.391705) - (xy 58.400495 91.391704) - (xy 58.405771 91.389016) - (xy 58.496016 91.298771) - (xy 58.501733 91.287552) - (xy 58.523079 91.245656) - (xy 58.553957 91.185055) - (xy 58.573922 91.059) - (xy 58.553957 90.932945) - (xy 58.496016 90.819229) - (xy 58.481787 90.805) - (xy 61.695078 90.805) - (xy 61.715043 90.931055) - (xy 61.71773 90.936328) - (xy 61.750702 91.001039) - (xy 61.772984 91.044771) - (xy 61.863229 91.135016) - (xy 61.868505 91.137704) - (xy 61.868506 91.137705) - (xy 61.916344 91.162079) - (xy 61.976945 91.192957) - (xy 62.103 91.212922) - (xy 62.229055 91.192957) - (xy 62.289656 91.162079) - (xy 62.337494 91.137705) - (xy 62.337495 91.137704) - (xy 62.342771 91.135016) - (xy 62.433016 91.044771) - (xy 62.455299 91.001039) - (xy 62.48827 90.936328) - (xy 62.490957 90.931055) - (xy 62.510922 90.805) - (xy 66.775078 90.805) - (xy 66.795043 90.931055) - (xy 66.79773 90.936328) - (xy 66.830702 91.001039) - (xy 66.852984 91.044771) - (xy 66.943229 91.135016) - (xy 66.948505 91.137704) - (xy 66.948506 91.137705) - (xy 66.996344 91.162079) - (xy 67.056945 91.192957) - (xy 67.183 91.212922) - (xy 67.309055 91.192957) - (xy 67.369656 91.162079) - (xy 67.417494 91.137705) - (xy 67.417495 91.137704) - (xy 67.422771 91.135016) - (xy 67.513016 91.044771) - (xy 67.535299 91.001039) - (xy 67.56827 90.936328) - (xy 67.570957 90.931055) - (xy 67.590922 90.805) - (xy 71.855078 90.805) - (xy 71.875043 90.931055) - (xy 71.87773 90.936328) - (xy 71.910702 91.001039) - (xy 71.932984 91.044771) - (xy 72.023229 91.135016) - (xy 72.028505 91.137704) - (xy 72.028506 91.137705) - (xy 72.076344 91.162079) - (xy 72.136945 91.192957) - (xy 72.263 91.212922) - (xy 72.389055 91.192957) - (xy 72.449656 91.162079) - (xy 72.497494 91.137705) - (xy 72.497495 91.137704) - (xy 72.502771 91.135016) - (xy 72.593016 91.044771) - (xy 72.615299 91.001039) - (xy 72.64827 90.936328) - (xy 72.650957 90.931055) - (xy 72.670922 90.805) - (xy 72.669245 90.79441) - (xy 89.092477 90.79441) - (xy 89.093171 90.799717) - (xy 89.093171 90.79972) - (xy 89.095722 90.819229) - (xy 89.109298 90.923046) - (xy 89.111457 90.927952) - (xy 89.111457 90.927953) - (xy 89.143616 91.001039) - (xy 89.161547 91.041791) - (xy 89.245023 91.141098) - (xy 89.277902 91.162984) - (xy 89.336022 91.201671) - (xy 89.353017 91.212984) - (xy 89.476845 91.251671) - (xy 89.543716 91.252896) - (xy 89.601193 91.25395) - (xy 89.601195 91.25395) - (xy 89.606555 91.254048) - (xy 89.611726 91.252638) - (xy 89.611728 91.252638) - (xy 89.691627 91.230855) - (xy 89.731718 91.219925) - (xy 89.842273 91.152044) - (xy 89.845865 91.148076) - (xy 89.845867 91.148074) - (xy 89.9212 91.064847) - (xy 89.929332 91.055863) - (xy 89.985897 90.939112) - (xy 90.00742 90.811179) - (xy 90.007557 90.8) - (xy 90.007145 90.797122) - (xy 90.006757 90.79441) - (xy 96.092477 90.79441) - (xy 96.093171 90.799717) - (xy 96.093171 90.79972) - (xy 96.095722 90.819229) - (xy 96.109298 90.923046) - (xy 96.111457 90.927952) - (xy 96.111457 90.927953) - (xy 96.143616 91.001039) - (xy 96.161547 91.041791) - (xy 96.245023 91.141098) - (xy 96.277902 91.162984) - (xy 96.336022 91.201671) - (xy 96.353017 91.212984) - (xy 96.476845 91.251671) - (xy 96.543716 91.252896) - (xy 96.601193 91.25395) - (xy 96.601195 91.25395) - (xy 96.606555 91.254048) - (xy 96.611726 91.252638) - (xy 96.611728 91.252638) - (xy 96.691627 91.230855) - (xy 96.731718 91.219925) - (xy 96.842273 91.152044) - (xy 96.845865 91.148076) - (xy 96.845867 91.148074) - (xy 96.9212 91.064847) - (xy 96.929332 91.055863) - (xy 96.985897 90.939112) - (xy 97.00742 90.811179) - (xy 97.007496 90.805) - (xy 102.335078 90.805) - (xy 102.355043 90.931055) - (xy 102.35773 90.936328) - (xy 102.390702 91.001039) - (xy 102.412984 91.044771) - (xy 102.503229 91.135016) - (xy 102.508505 91.137704) - (xy 102.508506 91.137705) - (xy 102.556344 91.162079) - (xy 102.616945 91.192957) - (xy 102.743 91.212922) - (xy 102.869055 91.192957) - (xy 102.929656 91.162079) - (xy 102.977494 91.137705) - (xy 102.977495 91.137704) - (xy 102.982771 91.135016) - (xy 103.073016 91.044771) - (xy 103.095299 91.001039) - (xy 103.12827 90.936328) - (xy 103.130957 90.931055) - (xy 103.150922 90.805) - (xy 107.415078 90.805) - (xy 107.435043 90.931055) - (xy 107.43773 90.936328) - (xy 107.470702 91.001039) - (xy 107.492984 91.044771) - (xy 107.583229 91.135016) - (xy 107.588505 91.137704) - (xy 107.588506 91.137705) - (xy 107.636344 91.162079) - (xy 107.696945 91.192957) - (xy 107.823 91.212922) - (xy 107.949055 91.192957) - (xy 108.009656 91.162079) - (xy 108.057494 91.137705) - (xy 108.057495 91.137704) - (xy 108.062771 91.135016) - (xy 108.153016 91.044771) - (xy 108.175299 91.001039) - (xy 108.20827 90.936328) - (xy 108.210957 90.931055) - (xy 108.230922 90.805) - (xy 108.223982 90.761179) - (xy 108.221326 90.74441) - (xy 111.592477 90.74441) - (xy 111.593171 90.749717) - (xy 111.593171 90.74972) - (xy 111.601208 90.811179) - (xy 111.609298 90.873046) - (xy 111.611457 90.877952) - (xy 111.611457 90.877953) - (xy 111.635238 90.932) - (xy 111.661547 90.991791) - (xy 111.745023 91.091098) - (xy 111.749483 91.094066) - (xy 111.749482 91.094066) - (xy 111.824597 91.144066) - (xy 111.853017 91.162984) - (xy 111.976845 91.201671) - (xy 112.043716 91.202896) - (xy 112.101193 91.20395) - (xy 112.101195 91.20395) - (xy 112.106555 91.204048) - (xy 112.111726 91.202638) - (xy 112.111728 91.202638) - (xy 112.197668 91.179208) - (xy 112.231718 91.169925) - (xy 112.342273 91.102044) - (xy 112.345865 91.098076) - (xy 112.345867 91.098074) - (xy 112.387382 91.052208) - (xy 112.429332 91.005863) - (xy 112.485897 90.889112) - (xy 112.500048 90.805) - (xy 117.575078 90.805) - (xy 117.595043 90.931055) - (xy 117.59773 90.936328) - (xy 117.630702 91.001039) - (xy 117.652984 91.044771) - (xy 117.743229 91.135016) - (xy 117.748505 91.137704) - (xy 117.748506 91.137705) - (xy 117.796344 91.162079) - (xy 117.856945 91.192957) - (xy 117.983 91.212922) - (xy 118.109055 91.192957) - (xy 118.169656 91.162079) - (xy 118.217494 91.137705) - (xy 118.217495 91.137704) - (xy 118.222771 91.135016) - (xy 118.313016 91.044771) - (xy 118.335299 91.001039) - (xy 118.36827 90.936328) - (xy 118.370957 90.931055) - (xy 118.390922 90.805) - (xy 118.383982 90.761179) - (xy 118.381326 90.74441) - (xy 121.592477 90.74441) - (xy 121.593171 90.749717) - (xy 121.593171 90.74972) - (xy 121.601208 90.811179) - (xy 121.609298 90.873046) - (xy 121.611457 90.877952) - (xy 121.611457 90.877953) - (xy 121.635238 90.932) - (xy 121.661547 90.991791) - (xy 121.745023 91.091098) - (xy 121.749483 91.094066) - (xy 121.749482 91.094066) - (xy 121.824597 91.144066) - (xy 121.853017 91.162984) - (xy 121.976845 91.201671) - (xy 122.043716 91.202896) - (xy 122.101193 91.20395) - (xy 122.101195 91.20395) - (xy 122.106555 91.204048) - (xy 122.111726 91.202638) - (xy 122.111728 91.202638) - (xy 122.197668 91.179208) - (xy 122.231718 91.169925) - (xy 122.342273 91.102044) - (xy 122.345865 91.098076) - (xy 122.345867 91.098074) - (xy 122.387382 91.052208) - (xy 122.429332 91.005863) - (xy 122.485897 90.889112) - (xy 122.500048 90.805) - (xy 127.735078 90.805) - (xy 127.755043 90.931055) - (xy 127.75773 90.936328) - (xy 127.790702 91.001039) - (xy 127.812984 91.044771) - (xy 127.903229 91.135016) - (xy 127.908505 91.137704) - (xy 127.908506 91.137705) - (xy 127.956344 91.162079) - (xy 128.016945 91.192957) - (xy 128.143 91.212922) - (xy 128.269055 91.192957) - (xy 128.329656 91.162079) - (xy 128.377494 91.137705) - (xy 128.377495 91.137704) - (xy 128.382771 91.135016) - (xy 128.473016 91.044771) - (xy 128.495299 91.001039) - (xy 128.52827 90.936328) - (xy 128.530957 90.931055) - (xy 128.550922 90.805) - (xy 132.815078 90.805) - (xy 132.835043 90.931055) - (xy 132.83773 90.936328) - (xy 132.870702 91.001039) - (xy 132.892984 91.044771) - (xy 132.983229 91.135016) - (xy 132.988505 91.137704) - (xy 132.988506 91.137705) - (xy 133.036344 91.162079) - (xy 133.096945 91.192957) - (xy 133.223 91.212922) - (xy 133.349055 91.192957) - (xy 133.409656 91.162079) - (xy 133.457494 91.137705) - (xy 133.457495 91.137704) - (xy 133.462771 91.135016) - (xy 133.553016 91.044771) - (xy 133.575299 91.001039) - (xy 133.60827 90.936328) - (xy 133.610475 90.932) - (xy 134.720078 90.932) - (xy 134.740043 91.058055) - (xy 134.763885 91.104847) - (xy 134.794322 91.164583) - (xy 134.797984 91.171771) - (xy 134.888229 91.262016) - (xy 134.893505 91.264704) - (xy 134.893506 91.264705) - (xy 134.932631 91.28464) - (xy 135.001945 91.319957) - (xy 135.128 91.339922) - (xy 135.254055 91.319957) - (xy 135.323369 91.28464) - (xy 135.362494 91.264705) - (xy 135.362495 91.264704) - (xy 135.367771 91.262016) - (xy 135.458016 91.171771) - (xy 135.461679 91.164583) - (xy 135.492115 91.104847) - (xy 135.515957 91.058055) - (xy 135.535922 90.932) - (xy 135.990078 90.932) - (xy 136.010043 91.058055) - (xy 136.033885 91.104847) - (xy 136.064322 91.164583) - (xy 136.067984 91.171771) - (xy 136.158229 91.262016) - (xy 136.163505 91.264704) - (xy 136.163506 91.264705) - (xy 136.202631 91.28464) - (xy 136.271945 91.319957) - (xy 136.398 91.339922) - (xy 136.524055 91.319957) - (xy 136.593369 91.28464) - (xy 136.632494 91.264705) - (xy 136.632495 91.264704) - (xy 136.637771 91.262016) - (xy 136.728016 91.171771) - (xy 136.731679 91.164583) - (xy 136.762115 91.104847) - (xy 136.785957 91.058055) - (xy 136.805922 90.932) - (xy 136.785957 90.805945) - (xy 136.785475 90.805) - (xy 137.895078 90.805) - (xy 137.915043 90.931055) - (xy 137.91773 90.936328) - (xy 137.950702 91.001039) - (xy 137.972984 91.044771) - (xy 138.063229 91.135016) - (xy 138.068505 91.137704) - (xy 138.068506 91.137705) - (xy 138.116344 91.162079) - (xy 138.176945 91.192957) - (xy 138.303 91.212922) - (xy 138.429055 91.192957) - (xy 138.489656 91.162079) - (xy 138.537494 91.137705) - (xy 138.537495 91.137704) - (xy 138.542771 91.135016) - (xy 138.633016 91.044771) - (xy 138.655299 91.001039) - (xy 138.68827 90.936328) - (xy 138.690957 90.931055) - (xy 138.710922 90.805) - (xy 142.975078 90.805) - (xy 142.995043 90.931055) - (xy 142.99773 90.936328) - (xy 143.030702 91.001039) - (xy 143.052984 91.044771) - (xy 143.143229 91.135016) - (xy 143.148505 91.137704) - (xy 143.148506 91.137705) - (xy 143.196344 91.162079) - (xy 143.256945 91.192957) - (xy 143.383 91.212922) - (xy 143.509055 91.192957) - (xy 143.569656 91.162079) - (xy 143.617494 91.137705) - (xy 143.617495 91.137704) - (xy 143.622771 91.135016) - (xy 143.713016 91.044771) - (xy 143.735299 91.001039) - (xy 143.76827 90.936328) - (xy 143.770957 90.931055) - (xy 143.790922 90.805) - (xy 143.783982 90.761179) - (xy 143.771883 90.684792) - (xy 143.770957 90.678945) - (xy 143.736527 90.611373) - (xy 143.715705 90.570506) - (xy 143.715704 90.570505) - (xy 143.713016 90.565229) - (xy 143.622771 90.474984) - (xy 143.591621 90.459112) - (xy 143.548939 90.437365) - (xy 143.509055 90.417043) - (xy 143.415914 90.402291) - (xy 143.388847 90.398004) - (xy 143.383 90.397078) - (xy 143.377153 90.398004) - (xy 143.350086 90.402291) - (xy 143.256945 90.417043) - (xy 143.217061 90.437365) - (xy 143.17438 90.459112) - (xy 143.143229 90.474984) - (xy 143.052984 90.565229) - (xy 143.050296 90.570505) - (xy 143.050295 90.570506) - (xy 143.029473 90.611373) - (xy 142.995043 90.678945) - (xy 142.994117 90.684792) - (xy 142.982019 90.761179) - (xy 142.975078 90.805) - (xy 138.710922 90.805) - (xy 138.703982 90.761179) - (xy 138.691883 90.684792) - (xy 138.690957 90.678945) - (xy 138.656527 90.611373) - (xy 138.635705 90.570506) - (xy 138.635704 90.570505) - (xy 138.633016 90.565229) - (xy 138.542771 90.474984) - (xy 138.511621 90.459112) - (xy 138.468939 90.437365) - (xy 138.429055 90.417043) - (xy 138.335914 90.402291) - (xy 138.308847 90.398004) - (xy 138.303 90.397078) - (xy 138.297153 90.398004) - (xy 138.270086 90.402291) - (xy 138.176945 90.417043) - (xy 138.137061 90.437365) - (xy 138.09438 90.459112) - (xy 138.063229 90.474984) - (xy 137.972984 90.565229) - (xy 137.970296 90.570505) - (xy 137.970295 90.570506) - (xy 137.949473 90.611373) - (xy 137.915043 90.678945) - (xy 137.914117 90.684792) - (xy 137.902019 90.761179) - (xy 137.895078 90.805) - (xy 136.785475 90.805) - (xy 136.751908 90.73912) - (xy 136.730705 90.697506) - (xy 136.730704 90.697505) - (xy 136.728016 90.692229) - (xy 136.637771 90.601984) - (xy 136.626773 90.59638) - (xy 136.565635 90.565229) - (xy 136.524055 90.544043) - (xy 136.398 90.524078) - (xy 136.271945 90.544043) - (xy 136.230365 90.565229) - (xy 136.169228 90.59638) - (xy 136.158229 90.601984) - (xy 136.067984 90.692229) - (xy 136.065296 90.697505) - (xy 136.065295 90.697506) - (xy 136.044092 90.73912) - (xy 136.010043 90.805945) - (xy 135.990078 90.932) - (xy 135.535922 90.932) - (xy 135.515957 90.805945) - (xy 135.481908 90.73912) - (xy 135.460705 90.697506) - (xy 135.460704 90.697505) - (xy 135.458016 90.692229) - (xy 135.367771 90.601984) - (xy 135.356773 90.59638) - (xy 135.295635 90.565229) - (xy 135.254055 90.544043) - (xy 135.128 90.524078) - (xy 135.001945 90.544043) - (xy 134.960365 90.565229) - (xy 134.899228 90.59638) - (xy 134.888229 90.601984) - (xy 134.797984 90.692229) - (xy 134.795296 90.697505) - (xy 134.795295 90.697506) - (xy 134.774092 90.73912) - (xy 134.740043 90.805945) - (xy 134.720078 90.932) - (xy 133.610475 90.932) - (xy 133.610957 90.931055) - (xy 133.630922 90.805) - (xy 133.623982 90.761179) - (xy 133.611883 90.684792) - (xy 133.610957 90.678945) - (xy 133.576527 90.611373) - (xy 133.555705 90.570506) - (xy 133.555704 90.570505) - (xy 133.553016 90.565229) - (xy 133.462771 90.474984) - (xy 133.431621 90.459112) - (xy 133.388939 90.437365) - (xy 133.349055 90.417043) - (xy 133.255914 90.402291) - (xy 133.228847 90.398004) - (xy 133.223 90.397078) - (xy 133.217153 90.398004) - (xy 133.190086 90.402291) - (xy 133.096945 90.417043) - (xy 133.057061 90.437365) - (xy 133.01438 90.459112) - (xy 132.983229 90.474984) - (xy 132.892984 90.565229) - (xy 132.890296 90.570505) - (xy 132.890295 90.570506) - (xy 132.869473 90.611373) - (xy 132.835043 90.678945) - (xy 132.834117 90.684792) - (xy 132.822019 90.761179) - (xy 132.815078 90.805) - (xy 128.550922 90.805) - (xy 128.543982 90.761179) - (xy 128.531883 90.684792) - (xy 128.530957 90.678945) - (xy 128.496527 90.611373) - (xy 128.475705 90.570506) - (xy 128.475704 90.570505) - (xy 128.473016 90.565229) - (xy 128.382771 90.474984) - (xy 128.351621 90.459112) - (xy 128.308939 90.437365) - (xy 128.269055 90.417043) - (xy 128.175914 90.402291) - (xy 128.148847 90.398004) - (xy 128.143 90.397078) - (xy 128.137153 90.398004) - (xy 128.110086 90.402291) - (xy 128.016945 90.417043) - (xy 127.977061 90.437365) - (xy 127.93438 90.459112) - (xy 127.903229 90.474984) - (xy 127.812984 90.565229) - (xy 127.810296 90.570505) - (xy 127.810295 90.570506) - (xy 127.789473 90.611373) - (xy 127.755043 90.678945) - (xy 127.754117 90.684792) - (xy 127.742019 90.761179) - (xy 127.735078 90.805) - (xy 122.500048 90.805) - (xy 122.50742 90.761179) - (xy 122.507557 90.75) - (xy 122.507145 90.747122) - (xy 122.489925 90.626876) - (xy 122.489924 90.626873) - (xy 122.489166 90.621579) - (xy 122.458204 90.553482) - (xy 122.437689 90.508362) - (xy 122.437688 90.508361) - (xy 122.43547 90.503482) - (xy 122.427969 90.494776) - (xy 122.354282 90.409258) - (xy 122.354281 90.409257) - (xy 122.350787 90.405202) - (xy 122.345157 90.401553) - (xy 122.246416 90.337552) - (xy 122.246415 90.337551) - (xy 122.241923 90.33464) - (xy 122.199367 90.321913) - (xy 122.122765 90.299004) - (xy 122.122762 90.299004) - (xy 122.117631 90.297469) - (xy 122.112276 90.297436) - (xy 122.112274 90.297436) - (xy 122.054164 90.297081) - (xy 121.987902 90.296676) - (xy 121.863166 90.332326) - (xy 121.753448 90.401553) - (xy 121.66757 90.498791) - (xy 121.665294 90.503638) - (xy 121.665293 90.50364) - (xy 121.6339 90.570506) - (xy 121.612436 90.616223) - (xy 121.592477 90.74441) - (xy 118.381326 90.74441) - (xy 118.371883 90.684792) - (xy 118.370957 90.678945) - (xy 118.336527 90.611373) - (xy 118.315705 90.570506) - (xy 118.315704 90.570505) - (xy 118.313016 90.565229) - (xy 118.222771 90.474984) - (xy 118.191621 90.459112) - (xy 118.148939 90.437365) - (xy 118.109055 90.417043) - (xy 118.015914 90.402291) - (xy 117.988847 90.398004) - (xy 117.983 90.397078) - (xy 117.977153 90.398004) - (xy 117.950086 90.402291) - (xy 117.856945 90.417043) - (xy 117.817061 90.437365) - (xy 117.77438 90.459112) - (xy 117.743229 90.474984) - (xy 117.652984 90.565229) - (xy 117.650296 90.570505) - (xy 117.650295 90.570506) - (xy 117.629473 90.611373) - (xy 117.595043 90.678945) - (xy 117.594117 90.684792) - (xy 117.582019 90.761179) - (xy 117.575078 90.805) - (xy 112.500048 90.805) - (xy 112.50742 90.761179) - (xy 112.507557 90.75) - (xy 112.507145 90.747122) - (xy 112.489925 90.626876) - (xy 112.489924 90.626873) - (xy 112.489166 90.621579) - (xy 112.458204 90.553482) - (xy 112.437689 90.508362) - (xy 112.437688 90.508361) - (xy 112.43547 90.503482) - (xy 112.427969 90.494776) - (xy 112.354282 90.409258) - (xy 112.354281 90.409257) - (xy 112.350787 90.405202) - (xy 112.345157 90.401553) - (xy 112.246416 90.337552) - (xy 112.246415 90.337551) - (xy 112.241923 90.33464) - (xy 112.199367 90.321913) - (xy 112.122765 90.299004) - (xy 112.122762 90.299004) - (xy 112.117631 90.297469) - (xy 112.112276 90.297436) - (xy 112.112274 90.297436) - (xy 112.054164 90.297081) - (xy 111.987902 90.296676) - (xy 111.863166 90.332326) - (xy 111.753448 90.401553) - (xy 111.66757 90.498791) - (xy 111.665294 90.503638) - (xy 111.665293 90.50364) - (xy 111.6339 90.570506) - (xy 111.612436 90.616223) - (xy 111.592477 90.74441) - (xy 108.221326 90.74441) - (xy 108.211883 90.684792) - (xy 108.210957 90.678945) - (xy 108.176527 90.611373) - (xy 108.155705 90.570506) - (xy 108.155704 90.570505) - (xy 108.153016 90.565229) - (xy 108.062771 90.474984) - (xy 108.031621 90.459112) - (xy 107.988939 90.437365) - (xy 107.949055 90.417043) - (xy 107.855914 90.402291) - (xy 107.828847 90.398004) - (xy 107.823 90.397078) - (xy 107.817153 90.398004) - (xy 107.790086 90.402291) - (xy 107.696945 90.417043) - (xy 107.657061 90.437365) - (xy 107.61438 90.459112) - (xy 107.583229 90.474984) - (xy 107.492984 90.565229) - (xy 107.490296 90.570505) - (xy 107.490295 90.570506) - (xy 107.469473 90.611373) - (xy 107.435043 90.678945) - (xy 107.434117 90.684792) - (xy 107.422019 90.761179) - (xy 107.415078 90.805) - (xy 103.150922 90.805) - (xy 103.143982 90.761179) - (xy 103.131883 90.684792) - (xy 103.130957 90.678945) - (xy 103.096527 90.611373) - (xy 103.075705 90.570506) - (xy 103.075704 90.570505) - (xy 103.073016 90.565229) - (xy 102.982771 90.474984) - (xy 102.951621 90.459112) - (xy 102.908939 90.437365) - (xy 102.869055 90.417043) - (xy 102.775914 90.402291) - (xy 102.748847 90.398004) - (xy 102.743 90.397078) - (xy 102.737153 90.398004) - (xy 102.710086 90.402291) - (xy 102.616945 90.417043) - (xy 102.577061 90.437365) - (xy 102.53438 90.459112) - (xy 102.503229 90.474984) - (xy 102.412984 90.565229) - (xy 102.410296 90.570505) - (xy 102.410295 90.570506) - (xy 102.389473 90.611373) - (xy 102.355043 90.678945) - (xy 102.354117 90.684792) - (xy 102.342019 90.761179) - (xy 102.335078 90.805) - (xy 97.007496 90.805) - (xy 97.007557 90.8) - (xy 97.007145 90.797122) - (xy 96.989925 90.676876) - (xy 96.989924 90.676873) - (xy 96.989166 90.671579) - (xy 96.954975 90.59638) - (xy 96.937689 90.558362) - (xy 96.937688 90.558361) - (xy 96.93547 90.553482) - (xy 96.927969 90.544776) - (xy 96.854282 90.459258) - (xy 96.854281 90.459257) - (xy 96.850787 90.455202) - (xy 96.845157 90.451553) - (xy 96.746416 90.387552) - (xy 96.746415 90.387551) - (xy 96.741923 90.38464) - (xy 96.699367 90.371913) - (xy 96.622765 90.349004) - (xy 96.622762 90.349004) - (xy 96.617631 90.347469) - (xy 96.612276 90.347436) - (xy 96.612274 90.347436) - (xy 96.554164 90.347081) - (xy 96.487902 90.346676) - (xy 96.363166 90.382326) - (xy 96.253448 90.451553) - (xy 96.16757 90.548791) - (xy 96.165294 90.553638) - (xy 96.165293 90.55364) - (xy 96.119112 90.652004) - (xy 96.112436 90.666223) - (xy 96.092477 90.79441) - (xy 90.006757 90.79441) - (xy 89.989925 90.676876) - (xy 89.989924 90.676873) - (xy 89.989166 90.671579) - (xy 89.954975 90.59638) - (xy 89.937689 90.558362) - (xy 89.937688 90.558361) - (xy 89.93547 90.553482) - (xy 89.927969 90.544776) - (xy 89.854282 90.459258) - (xy 89.854281 90.459257) - (xy 89.850787 90.455202) - (xy 89.845157 90.451553) - (xy 89.746416 90.387552) - (xy 89.746415 90.387551) - (xy 89.741923 90.38464) - (xy 89.699367 90.371913) - (xy 89.622765 90.349004) - (xy 89.622762 90.349004) - (xy 89.617631 90.347469) - (xy 89.612276 90.347436) - (xy 89.612274 90.347436) - (xy 89.554164 90.347081) - (xy 89.487902 90.346676) - (xy 89.363166 90.382326) - (xy 89.253448 90.451553) - (xy 89.16757 90.548791) - (xy 89.165294 90.553638) - (xy 89.165293 90.55364) - (xy 89.119112 90.652004) - (xy 89.112436 90.666223) - (xy 89.092477 90.79441) - (xy 72.669245 90.79441) - (xy 72.663982 90.761179) - (xy 72.651883 90.684792) - (xy 72.650957 90.678945) - (xy 72.616527 90.611373) - (xy 72.595705 90.570506) - (xy 72.595704 90.570505) - (xy 72.593016 90.565229) - (xy 72.502771 90.474984) - (xy 72.471621 90.459112) - (xy 72.428939 90.437365) - (xy 72.389055 90.417043) - (xy 72.295914 90.402291) - (xy 72.268847 90.398004) - (xy 72.263 90.397078) - (xy 72.257153 90.398004) - (xy 72.230086 90.402291) - (xy 72.136945 90.417043) - (xy 72.097061 90.437365) - (xy 72.05438 90.459112) - (xy 72.023229 90.474984) - (xy 71.932984 90.565229) - (xy 71.930296 90.570505) - (xy 71.930295 90.570506) - (xy 71.909473 90.611373) - (xy 71.875043 90.678945) - (xy 71.874117 90.684792) - (xy 71.862019 90.761179) - (xy 71.855078 90.805) - (xy 67.590922 90.805) - (xy 67.583982 90.761179) - (xy 67.571883 90.684792) - (xy 67.570957 90.678945) - (xy 67.536527 90.611373) - (xy 67.515705 90.570506) - (xy 67.515704 90.570505) - (xy 67.513016 90.565229) - (xy 67.422771 90.474984) - (xy 67.391621 90.459112) - (xy 67.348939 90.437365) - (xy 67.309055 90.417043) - (xy 67.215914 90.402291) - (xy 67.188847 90.398004) - (xy 67.183 90.397078) - (xy 67.177153 90.398004) - (xy 67.150086 90.402291) - (xy 67.056945 90.417043) - (xy 67.017061 90.437365) - (xy 66.97438 90.459112) - (xy 66.943229 90.474984) - (xy 66.852984 90.565229) - (xy 66.850296 90.570505) - (xy 66.850295 90.570506) - (xy 66.829473 90.611373) - (xy 66.795043 90.678945) - (xy 66.794117 90.684792) - (xy 66.782019 90.761179) - (xy 66.775078 90.805) - (xy 62.510922 90.805) - (xy 62.503982 90.761179) - (xy 62.491883 90.684792) - (xy 62.490957 90.678945) - (xy 62.456527 90.611373) - (xy 62.435705 90.570506) - (xy 62.435704 90.570505) - (xy 62.433016 90.565229) - (xy 62.342771 90.474984) - (xy 62.311621 90.459112) - (xy 62.268939 90.437365) - (xy 62.229055 90.417043) - (xy 62.135914 90.402291) - (xy 62.108847 90.398004) - (xy 62.103 90.397078) - (xy 62.097153 90.398004) - (xy 62.070086 90.402291) - (xy 61.976945 90.417043) - (xy 61.937061 90.437365) - (xy 61.89438 90.459112) - (xy 61.863229 90.474984) - (xy 61.772984 90.565229) - (xy 61.770296 90.570505) - (xy 61.770295 90.570506) - (xy 61.749473 90.611373) - (xy 61.715043 90.678945) - (xy 61.714117 90.684792) - (xy 61.702019 90.761179) - (xy 61.695078 90.805) - (xy 58.481787 90.805) - (xy 58.405771 90.728984) - (xy 58.292055 90.671043) - (xy 58.166 90.651078) - (xy 58.039945 90.671043) - (xy 57.926229 90.728984) - (xy 57.835984 90.819229) - (xy 57.778043 90.932945) - (xy 57.758078 91.059) - (xy 49.210787 91.059) - (xy 49.225016 91.044771) - (xy 49.247299 91.001039) - (xy 49.28027 90.936328) - (xy 49.282957 90.931055) - (xy 49.302922 90.805) - (xy 49.295982 90.761179) - (xy 49.283883 90.684792) - (xy 49.282957 90.678945) - (xy 49.248527 90.611373) - (xy 49.227705 90.570506) - (xy 49.227704 90.570505) - (xy 49.225016 90.565229) - (xy 49.134771 90.474984) - (xy 49.103621 90.459112) - (xy 49.060939 90.437365) - (xy 49.021055 90.417043) - (xy 48.927914 90.402291) - (xy 48.900847 90.398004) - (xy 48.895 90.397078) - (xy 48.889153 90.398004) - (xy 48.862086 90.402291) - (xy 48.768945 90.417043) - (xy 48.693339 90.455566) - (xy 48.68638 90.459112) - (xy 48.635324 90.465381) - (xy 48.592183 90.437365) - (xy 48.577143 90.388172) - (xy 48.599066 90.338934) - (xy 49.09359 89.84441) - (xy 112.592477 89.84441) - (xy 112.593171 89.849717) - (xy 112.593171 89.84972) - (xy 112.595045 89.864049) - (xy 112.609298 89.973046) - (xy 112.661547 90.091791) - (xy 112.745023 90.191098) - (xy 112.853017 90.262984) - (xy 112.976845 90.301671) - (xy 113.043716 90.302896) - (xy 113.101193 90.30395) - (xy 113.101195 90.30395) - (xy 113.106555 90.304048) - (xy 113.111726 90.302638) - (xy 113.111728 90.302638) - (xy 113.179058 90.284282) - (xy 113.231718 90.269925) - (xy 113.342273 90.202044) - (xy 113.345865 90.198076) - (xy 113.345867 90.198074) - (xy 113.382481 90.157623) - (xy 113.429332 90.105863) - (xy 113.485897 89.989112) - (xy 113.50742 89.861179) - (xy 113.507557 89.85) - (xy 113.507145 89.847122) - (xy 113.506757 89.84441) - (xy 122.592477 89.84441) - (xy 122.593171 89.849717) - (xy 122.593171 89.84972) - (xy 122.595045 89.864049) - (xy 122.609298 89.973046) - (xy 122.661547 90.091791) - (xy 122.745023 90.191098) - (xy 122.853017 90.262984) - (xy 122.976845 90.301671) - (xy 123.043716 90.302896) - (xy 123.101193 90.30395) - (xy 123.101195 90.30395) - (xy 123.106555 90.304048) - (xy 123.111726 90.302638) - (xy 123.111728 90.302638) - (xy 123.179058 90.284282) - (xy 123.231718 90.269925) - (xy 123.342273 90.202044) - (xy 123.345865 90.198076) - (xy 123.345867 90.198074) - (xy 123.382481 90.157623) - (xy 123.429332 90.105863) - (xy 123.485897 89.989112) - (xy 123.50742 89.861179) - (xy 123.507557 89.85) - (xy 123.507145 89.847122) - (xy 123.489925 89.726876) - (xy 123.489924 89.726873) - (xy 123.489166 89.721579) - (xy 123.43547 89.603482) - (xy 123.427969 89.594776) - (xy 123.354282 89.509258) - (xy 123.354281 89.509257) - (xy 123.350787 89.505202) - (xy 123.345157 89.501553) - (xy 123.246416 89.437552) - (xy 123.246415 89.437551) - (xy 123.241923 89.43464) - (xy 123.199367 89.421913) - (xy 123.122765 89.399004) - (xy 123.122762 89.399004) - (xy 123.117631 89.397469) - (xy 123.112276 89.397436) - (xy 123.112274 89.397436) - (xy 123.054164 89.397081) - (xy 122.987902 89.396676) - (xy 122.863166 89.432326) - (xy 122.753448 89.501553) - (xy 122.66757 89.598791) - (xy 122.612436 89.716223) - (xy 122.592477 89.84441) - (xy 113.506757 89.84441) - (xy 113.489925 89.726876) - (xy 113.489924 89.726873) - (xy 113.489166 89.721579) - (xy 113.43547 89.603482) - (xy 113.427969 89.594776) - (xy 113.354282 89.509258) - (xy 113.354281 89.509257) - (xy 113.350787 89.505202) - (xy 113.345157 89.501553) - (xy 113.246416 89.437552) - (xy 113.246415 89.437551) - (xy 113.241923 89.43464) - (xy 113.199367 89.421913) - (xy 113.122765 89.399004) - (xy 113.122762 89.399004) - (xy 113.117631 89.397469) - (xy 113.112276 89.397436) - (xy 113.112274 89.397436) - (xy 113.054164 89.397081) - (xy 112.987902 89.396676) - (xy 112.863166 89.432326) - (xy 112.753448 89.501553) - (xy 112.66757 89.598791) - (xy 112.612436 89.716223) - (xy 112.592477 89.84441) - (xy 49.09359 89.84441) - (xy 50.968934 87.969066) - (xy 51.015554 87.947326) - (xy 51.065241 87.96064) - (xy 51.094746 88.002777) - (xy 51.089112 88.05638) - (xy 51.047043 88.138945) - (xy 51.027078 88.265) - (xy 51.047043 88.391055) - (xy 51.104984 88.504771) - (xy 51.195229 88.595016) - (xy 51.308945 88.652957) - (xy 51.435 88.672922) - (xy 51.561055 88.652957) - (xy 51.674771 88.595016) - (xy 51.750787 88.519) - (xy 55.472078 88.519) - (xy 55.492043 88.645055) - (xy 55.496541 88.653883) - (xy 55.532627 88.724705) - (xy 55.549984 88.758771) - (xy 55.640229 88.849016) - (xy 55.753945 88.906957) - (xy 55.88 88.926922) - (xy 56.006055 88.906957) - (xy 56.119771 88.849016) - (xy 56.210016 88.758771) - (xy 56.227374 88.724705) - (xy 56.263459 88.653883) - (xy 56.267957 88.645055) - (xy 56.287922 88.519) - (xy 56.267957 88.392945) - (xy 56.210016 88.279229) - (xy 56.195787 88.265) - (xy 59.155078 88.265) - (xy 59.175043 88.391055) - (xy 59.232984 88.504771) - (xy 59.323229 88.595016) - (xy 59.436945 88.652957) - (xy 59.563 88.672922) - (xy 59.689055 88.652957) - (xy 59.802771 88.595016) - (xy 59.893016 88.504771) - (xy 59.950957 88.391055) - (xy 59.970922 88.265) - (xy 64.235078 88.265) - (xy 64.255043 88.391055) - (xy 64.312984 88.504771) - (xy 64.403229 88.595016) - (xy 64.516945 88.652957) - (xy 64.643 88.672922) - (xy 64.769055 88.652957) - (xy 64.882771 88.595016) - (xy 64.973016 88.504771) - (xy 65.030957 88.391055) - (xy 65.050922 88.265) - (xy 69.315078 88.265) - (xy 69.335043 88.391055) - (xy 69.392984 88.504771) - (xy 69.483229 88.595016) - (xy 69.596945 88.652957) - (xy 69.723 88.672922) - (xy 69.849055 88.652957) - (xy 69.962771 88.595016) - (xy 70.053016 88.504771) - (xy 70.110957 88.391055) - (xy 70.130922 88.265) - (xy 74.395078 88.265) - (xy 74.415043 88.391055) - (xy 74.472984 88.504771) - (xy 74.563229 88.595016) - (xy 74.676945 88.652957) - (xy 74.803 88.672922) - (xy 74.929055 88.652957) - (xy 75.042771 88.595016) - (xy 75.133016 88.504771) - (xy 75.190957 88.391055) - (xy 75.210922 88.265) - (xy 79.475078 88.265) - (xy 79.495043 88.391055) - (xy 79.552984 88.504771) - (xy 79.643229 88.595016) - (xy 79.756945 88.652957) - (xy 79.883 88.672922) - (xy 80.009055 88.652957) - (xy 80.122771 88.595016) - (xy 80.213016 88.504771) - (xy 80.270476 88.392) - (xy 84.555078 88.392) - (xy 84.575043 88.518055) - (xy 84.57773 88.523328) - (xy 84.615627 88.597705) - (xy 84.632984 88.631771) - (xy 84.723229 88.722016) - (xy 84.836945 88.779957) - (xy 84.963 88.799922) - (xy 85.089055 88.779957) - (xy 85.202771 88.722016) - (xy 85.293016 88.631771) - (xy 85.310374 88.597705) - (xy 85.34827 88.523328) - (xy 85.350957 88.518055) - (xy 85.370922 88.392) - (xy 85.350957 88.265945) - (xy 85.350475 88.265) - (xy 94.715078 88.265) - (xy 94.735043 88.391055) - (xy 94.792984 88.504771) - (xy 94.883229 88.595016) - (xy 94.996945 88.652957) - (xy 95.123 88.672922) - (xy 95.249055 88.652957) - (xy 95.362771 88.595016) - (xy 95.453016 88.504771) - (xy 95.510957 88.391055) - (xy 95.530922 88.265) - (xy 99.795078 88.265) - (xy 99.815043 88.391055) - (xy 99.872984 88.504771) - (xy 99.963229 88.595016) - (xy 100.076945 88.652957) - (xy 100.203 88.672922) - (xy 100.329055 88.652957) - (xy 100.442771 88.595016) - (xy 100.533016 88.504771) - (xy 100.590957 88.391055) - (xy 100.610922 88.265) - (xy 104.875078 88.265) - (xy 104.895043 88.391055) - (xy 104.952984 88.504771) - (xy 105.043229 88.595016) - (xy 105.156945 88.652957) - (xy 105.283 88.672922) - (xy 105.409055 88.652957) - (xy 105.522771 88.595016) - (xy 105.613016 88.504771) - (xy 105.670957 88.391055) - (xy 105.690922 88.265) - (xy 109.955078 88.265) - (xy 109.975043 88.391055) - (xy 110.032984 88.504771) - (xy 110.123229 88.595016) - (xy 110.236945 88.652957) - (xy 110.363 88.672922) - (xy 110.489055 88.652957) - (xy 110.602771 88.595016) - (xy 110.693016 88.504771) - (xy 110.750957 88.391055) - (xy 110.770922 88.265) - (xy 115.035078 88.265) - (xy 115.055043 88.391055) - (xy 115.112984 88.504771) - (xy 115.203229 88.595016) - (xy 115.316945 88.652957) - (xy 115.443 88.672922) - (xy 115.569055 88.652957) - (xy 115.682771 88.595016) - (xy 115.773016 88.504771) - (xy 115.830957 88.391055) - (xy 115.850922 88.265) - (xy 120.115078 88.265) - (xy 120.135043 88.391055) - (xy 120.192984 88.504771) - (xy 120.283229 88.595016) - (xy 120.396945 88.652957) - (xy 120.523 88.672922) - (xy 120.649055 88.652957) - (xy 120.762771 88.595016) - (xy 120.853016 88.504771) - (xy 120.910957 88.391055) - (xy 120.930922 88.265) - (xy 125.195078 88.265) - (xy 125.215043 88.391055) - (xy 125.272984 88.504771) - (xy 125.363229 88.595016) - (xy 125.476945 88.652957) - (xy 125.603 88.672922) - (xy 125.729055 88.652957) - (xy 125.842771 88.595016) - (xy 125.933016 88.504771) - (xy 125.990957 88.391055) - (xy 126.010922 88.265) - (xy 130.275078 88.265) - (xy 130.295043 88.391055) - (xy 130.352984 88.504771) - (xy 130.443229 88.595016) - (xy 130.556945 88.652957) - (xy 130.683 88.672922) - (xy 130.809055 88.652957) - (xy 130.922771 88.595016) - (xy 131.013016 88.504771) - (xy 131.070957 88.391055) - (xy 131.090922 88.265) - (xy 135.355078 88.265) - (xy 135.375043 88.391055) - (xy 135.432984 88.504771) - (xy 135.523229 88.595016) - (xy 135.636945 88.652957) - (xy 135.763 88.672922) - (xy 135.889055 88.652957) - (xy 136.002771 88.595016) - (xy 136.093016 88.504771) - (xy 136.150957 88.391055) - (xy 136.170922 88.265) - (xy 140.435078 88.265) - (xy 140.455043 88.391055) - (xy 140.512984 88.504771) - (xy 140.603229 88.595016) - (xy 140.716945 88.652957) - (xy 140.843 88.672922) - (xy 140.969055 88.652957) - (xy 141.082771 88.595016) - (xy 141.173016 88.504771) - (xy 141.230957 88.391055) - (xy 141.250922 88.265) - (xy 141.230957 88.138945) - (xy 141.200079 88.078344) - (xy 141.175705 88.030506) - (xy 141.175704 88.030505) - (xy 141.173016 88.025229) - (xy 141.082771 87.934984) - (xy 141.051621 87.919112) - (xy 141.001505 87.893577) - (xy 140.969055 87.877043) - (xy 140.843 87.857078) - (xy 140.716945 87.877043) - (xy 140.684495 87.893577) - (xy 140.63438 87.919112) - (xy 140.603229 87.934984) - (xy 140.512984 88.025229) - (xy 140.510296 88.030505) - (xy 140.510295 88.030506) - (xy 140.485921 88.078344) - (xy 140.455043 88.138945) - (xy 140.435078 88.265) - (xy 136.170922 88.265) - (xy 136.150957 88.138945) - (xy 136.120079 88.078344) - (xy 136.095705 88.030506) - (xy 136.095704 88.030505) - (xy 136.093016 88.025229) - (xy 136.002771 87.934984) - (xy 135.971621 87.919112) - (xy 135.921505 87.893577) - (xy 135.889055 87.877043) - (xy 135.763 87.857078) - (xy 135.636945 87.877043) - (xy 135.604495 87.893577) - (xy 135.55438 87.919112) - (xy 135.523229 87.934984) - (xy 135.432984 88.025229) - (xy 135.430296 88.030505) - (xy 135.430295 88.030506) - (xy 135.405921 88.078344) - (xy 135.375043 88.138945) - (xy 135.355078 88.265) - (xy 131.090922 88.265) - (xy 131.070957 88.138945) - (xy 131.040079 88.078344) - (xy 131.015705 88.030506) - (xy 131.015704 88.030505) - (xy 131.013016 88.025229) - (xy 130.922771 87.934984) - (xy 130.891621 87.919112) - (xy 130.841505 87.893577) - (xy 130.809055 87.877043) - (xy 130.683 87.857078) - (xy 130.556945 87.877043) - (xy 130.524495 87.893577) - (xy 130.47438 87.919112) - (xy 130.443229 87.934984) - (xy 130.352984 88.025229) - (xy 130.350296 88.030505) - (xy 130.350295 88.030506) - (xy 130.325921 88.078344) - (xy 130.295043 88.138945) - (xy 130.275078 88.265) - (xy 126.010922 88.265) - (xy 125.990957 88.138945) - (xy 125.960079 88.078344) - (xy 125.935705 88.030506) - (xy 125.935704 88.030505) - (xy 125.933016 88.025229) - (xy 125.842771 87.934984) - (xy 125.811621 87.919112) - (xy 125.761505 87.893577) - (xy 125.729055 87.877043) - (xy 125.603 87.857078) - (xy 125.476945 87.877043) - (xy 125.444495 87.893577) - (xy 125.39438 87.919112) - (xy 125.363229 87.934984) - (xy 125.272984 88.025229) - (xy 125.270296 88.030505) - (xy 125.270295 88.030506) - (xy 125.245921 88.078344) - (xy 125.215043 88.138945) - (xy 125.195078 88.265) - (xy 120.930922 88.265) - (xy 120.910957 88.138945) - (xy 120.880079 88.078344) - (xy 120.855705 88.030506) - (xy 120.855704 88.030505) - (xy 120.853016 88.025229) - (xy 120.762771 87.934984) - (xy 120.731621 87.919112) - (xy 120.681505 87.893577) - (xy 120.649055 87.877043) - (xy 120.523 87.857078) - (xy 120.396945 87.877043) - (xy 120.364495 87.893577) - (xy 120.31438 87.919112) - (xy 120.283229 87.934984) - (xy 120.192984 88.025229) - (xy 120.190296 88.030505) - (xy 120.190295 88.030506) - (xy 120.165921 88.078344) - (xy 120.135043 88.138945) - (xy 120.115078 88.265) - (xy 115.850922 88.265) - (xy 115.830957 88.138945) - (xy 115.800079 88.078344) - (xy 115.775705 88.030506) - (xy 115.775704 88.030505) - (xy 115.773016 88.025229) - (xy 115.682771 87.934984) - (xy 115.651621 87.919112) - (xy 115.601505 87.893577) - (xy 115.569055 87.877043) - (xy 115.443 87.857078) - (xy 115.316945 87.877043) - (xy 115.284495 87.893577) - (xy 115.23438 87.919112) - (xy 115.203229 87.934984) - (xy 115.112984 88.025229) - (xy 115.110296 88.030505) - (xy 115.110295 88.030506) - (xy 115.085921 88.078344) - (xy 115.055043 88.138945) - (xy 115.035078 88.265) - (xy 110.770922 88.265) - (xy 110.750957 88.138945) - (xy 110.720079 88.078344) - (xy 110.695705 88.030506) - (xy 110.695704 88.030505) - (xy 110.693016 88.025229) - (xy 110.602771 87.934984) - (xy 110.571621 87.919112) - (xy 110.521505 87.893577) - (xy 110.489055 87.877043) - (xy 110.363 87.857078) - (xy 110.236945 87.877043) - (xy 110.204495 87.893577) - (xy 110.15438 87.919112) - (xy 110.123229 87.934984) - (xy 110.032984 88.025229) - (xy 110.030296 88.030505) - (xy 110.030295 88.030506) - (xy 110.005921 88.078344) - (xy 109.975043 88.138945) - (xy 109.955078 88.265) - (xy 105.690922 88.265) - (xy 105.670957 88.138945) - (xy 105.640079 88.078344) - (xy 105.615705 88.030506) - (xy 105.615704 88.030505) - (xy 105.613016 88.025229) - (xy 105.522771 87.934984) - (xy 105.491621 87.919112) - (xy 105.441505 87.893577) - (xy 105.409055 87.877043) - (xy 105.283 87.857078) - (xy 105.156945 87.877043) - (xy 105.124495 87.893577) - (xy 105.07438 87.919112) - (xy 105.043229 87.934984) - (xy 104.952984 88.025229) - (xy 104.950296 88.030505) - (xy 104.950295 88.030506) - (xy 104.925921 88.078344) - (xy 104.895043 88.138945) - (xy 104.875078 88.265) - (xy 100.610922 88.265) - (xy 100.590957 88.138945) - (xy 100.560079 88.078344) - (xy 100.535705 88.030506) - (xy 100.535704 88.030505) - (xy 100.533016 88.025229) - (xy 100.442771 87.934984) - (xy 100.411621 87.919112) - (xy 100.361505 87.893577) - (xy 100.329055 87.877043) - (xy 100.203 87.857078) - (xy 100.076945 87.877043) - (xy 100.044495 87.893577) - (xy 99.99438 87.919112) - (xy 99.963229 87.934984) - (xy 99.872984 88.025229) - (xy 99.870296 88.030505) - (xy 99.870295 88.030506) - (xy 99.845921 88.078344) - (xy 99.815043 88.138945) - (xy 99.795078 88.265) - (xy 95.530922 88.265) - (xy 95.510957 88.138945) - (xy 95.480079 88.078344) - (xy 95.455705 88.030506) - (xy 95.455704 88.030505) - (xy 95.453016 88.025229) - (xy 95.362771 87.934984) - (xy 95.331621 87.919112) - (xy 95.281505 87.893577) - (xy 95.249055 87.877043) - (xy 95.123 87.857078) - (xy 94.996945 87.877043) - (xy 94.964495 87.893577) - (xy 94.91438 87.919112) - (xy 94.883229 87.934984) - (xy 94.792984 88.025229) - (xy 94.790296 88.030505) - (xy 94.790295 88.030506) - (xy 94.765921 88.078344) - (xy 94.735043 88.138945) - (xy 94.715078 88.265) - (xy 85.350475 88.265) - (xy 85.320079 88.205344) - (xy 85.295705 88.157506) - (xy 85.295704 88.157505) - (xy 85.293016 88.152229) - (xy 85.202771 88.061984) - (xy 85.191773 88.05638) - (xy 85.130635 88.025229) - (xy 85.089055 88.004043) - (xy 84.963 87.984078) - (xy 84.836945 88.004043) - (xy 84.795365 88.025229) - (xy 84.734228 88.05638) - (xy 84.723229 88.061984) - (xy 84.632984 88.152229) - (xy 84.630296 88.157505) - (xy 84.630295 88.157506) - (xy 84.605921 88.205344) - (xy 84.575043 88.265945) - (xy 84.555078 88.392) - (xy 80.270476 88.392) - (xy 80.270957 88.391055) - (xy 80.290922 88.265) - (xy 80.270957 88.138945) - (xy 80.240079 88.078344) - (xy 80.215705 88.030506) - (xy 80.215704 88.030505) - (xy 80.213016 88.025229) - (xy 80.122771 87.934984) - (xy 80.091621 87.919112) - (xy 80.041505 87.893577) - (xy 80.009055 87.877043) - (xy 79.883 87.857078) - (xy 79.756945 87.877043) - (xy 79.724495 87.893577) - (xy 79.67438 87.919112) - (xy 79.643229 87.934984) - (xy 79.552984 88.025229) - (xy 79.550296 88.030505) - (xy 79.550295 88.030506) - (xy 79.525921 88.078344) - (xy 79.495043 88.138945) - (xy 79.475078 88.265) - (xy 75.210922 88.265) - (xy 75.190957 88.138945) - (xy 75.160079 88.078344) - (xy 75.135705 88.030506) - (xy 75.135704 88.030505) - (xy 75.133016 88.025229) - (xy 75.042771 87.934984) - (xy 75.011621 87.919112) - (xy 74.961505 87.893577) - (xy 74.929055 87.877043) - (xy 74.803 87.857078) - (xy 74.676945 87.877043) - (xy 74.644495 87.893577) - (xy 74.59438 87.919112) - (xy 74.563229 87.934984) - (xy 74.472984 88.025229) - (xy 74.470296 88.030505) - (xy 74.470295 88.030506) - (xy 74.445921 88.078344) - (xy 74.415043 88.138945) - (xy 74.395078 88.265) - (xy 70.130922 88.265) - (xy 70.110957 88.138945) - (xy 70.080079 88.078344) - (xy 70.055705 88.030506) - (xy 70.055704 88.030505) - (xy 70.053016 88.025229) - (xy 69.962771 87.934984) - (xy 69.931621 87.919112) - (xy 69.881505 87.893577) - (xy 69.849055 87.877043) - (xy 69.723 87.857078) - (xy 69.596945 87.877043) - (xy 69.564495 87.893577) - (xy 69.51438 87.919112) - (xy 69.483229 87.934984) - (xy 69.392984 88.025229) - (xy 69.390296 88.030505) - (xy 69.390295 88.030506) - (xy 69.365921 88.078344) - (xy 69.335043 88.138945) - (xy 69.315078 88.265) - (xy 65.050922 88.265) - (xy 65.030957 88.138945) - (xy 65.000079 88.078344) - (xy 64.975705 88.030506) - (xy 64.975704 88.030505) - (xy 64.973016 88.025229) - (xy 64.882771 87.934984) - (xy 64.851621 87.919112) - (xy 64.801505 87.893577) - (xy 64.769055 87.877043) - (xy 64.643 87.857078) - (xy 64.516945 87.877043) - (xy 64.484495 87.893577) - (xy 64.43438 87.919112) - (xy 64.403229 87.934984) - (xy 64.312984 88.025229) - (xy 64.310296 88.030505) - (xy 64.310295 88.030506) - (xy 64.285921 88.078344) - (xy 64.255043 88.138945) - (xy 64.235078 88.265) - (xy 59.970922 88.265) - (xy 59.950957 88.138945) - (xy 59.920079 88.078344) - (xy 59.895705 88.030506) - (xy 59.895704 88.030505) - (xy 59.893016 88.025229) - (xy 59.802771 87.934984) - (xy 59.771621 87.919112) - (xy 59.721505 87.893577) - (xy 59.689055 87.877043) - (xy 59.563 87.857078) - (xy 59.436945 87.877043) - (xy 59.404495 87.893577) - (xy 59.35438 87.919112) - (xy 59.323229 87.934984) - (xy 59.232984 88.025229) - (xy 59.230296 88.030505) - (xy 59.230295 88.030506) - (xy 59.205921 88.078344) - (xy 59.175043 88.138945) - (xy 59.155078 88.265) - (xy 56.195787 88.265) - (xy 56.119771 88.188984) - (xy 56.006055 88.131043) - (xy 55.88 88.111078) - (xy 55.753945 88.131043) - (xy 55.640229 88.188984) - (xy 55.549984 88.279229) - (xy 55.492043 88.392945) - (xy 55.472078 88.519) - (xy 51.750787 88.519) - (xy 51.765016 88.504771) - (xy 51.822957 88.391055) - (xy 51.842922 88.265) - (xy 51.822957 88.138945) - (xy 51.792079 88.078344) - (xy 51.767705 88.030506) - (xy 51.767704 88.030505) - (xy 51.765016 88.025229) - (xy 51.674771 87.934984) - (xy 51.643621 87.919112) - (xy 51.593505 87.893577) - (xy 51.561055 87.877043) - (xy 51.435 87.857078) - (xy 51.308945 87.877043) - (xy 51.269061 87.897365) - (xy 51.22638 87.919112) - (xy 51.175324 87.925381) - (xy 51.132183 87.897365) - (xy 51.117143 87.848172) - (xy 51.139066 87.798934) - (xy 52.578 86.36) - (xy 57.504078 86.36) - (xy 57.524043 86.486055) - (xy 57.581984 86.599771) - (xy 57.672229 86.690016) - (xy 57.785945 86.747957) - (xy 57.912 86.767922) - (xy 58.038055 86.747957) - (xy 58.151771 86.690016) - (xy 58.242016 86.599771) - (xy 58.299476 86.487) - (xy 87.095078 86.487) - (xy 87.115043 86.613055) - (xy 87.11773 86.618328) - (xy 87.155627 86.692705) - (xy 87.172984 86.726771) - (xy 87.263229 86.817016) - (xy 87.376945 86.874957) - (xy 87.503 86.894922) - (xy 87.629055 86.874957) - (xy 87.742771 86.817016) - (xy 87.833016 86.726771) - (xy 87.850374 86.692705) - (xy 87.88827 86.618328) - (xy 87.890957 86.613055) - (xy 87.910922 86.487) - (xy 92.175078 86.487) - (xy 92.195043 86.613055) - (xy 92.19773 86.618328) - (xy 92.235627 86.692705) - (xy 92.252984 86.726771) - (xy 92.343229 86.817016) - (xy 92.456945 86.874957) - (xy 92.583 86.894922) - (xy 92.709055 86.874957) - (xy 92.822771 86.817016) - (xy 92.913016 86.726771) - (xy 92.930374 86.692705) - (xy 92.96827 86.618328) - (xy 92.970957 86.613055) - (xy 92.990922 86.487) - (xy 97.255078 86.487) - (xy 97.275043 86.613055) - (xy 97.27773 86.618328) - (xy 97.315627 86.692705) - (xy 97.332984 86.726771) - (xy 97.423229 86.817016) - (xy 97.536945 86.874957) - (xy 97.663 86.894922) - (xy 97.789055 86.874957) - (xy 97.902771 86.817016) - (xy 97.993016 86.726771) - (xy 98.010374 86.692705) - (xy 98.04827 86.618328) - (xy 98.050957 86.613055) - (xy 98.070922 86.487) - (xy 102.335078 86.487) - (xy 102.355043 86.613055) - (xy 102.35773 86.618328) - (xy 102.395627 86.692705) - (xy 102.412984 86.726771) - (xy 102.503229 86.817016) - (xy 102.616945 86.874957) - (xy 102.743 86.894922) - (xy 102.869055 86.874957) - (xy 102.982771 86.817016) - (xy 103.073016 86.726771) - (xy 103.090374 86.692705) - (xy 103.12827 86.618328) - (xy 103.130957 86.613055) - (xy 103.150922 86.487) - (xy 107.415078 86.487) - (xy 107.435043 86.613055) - (xy 107.43773 86.618328) - (xy 107.475627 86.692705) - (xy 107.492984 86.726771) - (xy 107.583229 86.817016) - (xy 107.696945 86.874957) - (xy 107.823 86.894922) - (xy 107.949055 86.874957) - (xy 108.062771 86.817016) - (xy 108.153016 86.726771) - (xy 108.170374 86.692705) - (xy 108.20827 86.618328) - (xy 108.210957 86.613055) - (xy 108.230922 86.487) - (xy 112.495078 86.487) - (xy 112.515043 86.613055) - (xy 112.51773 86.618328) - (xy 112.555627 86.692705) - (xy 112.572984 86.726771) - (xy 112.663229 86.817016) - (xy 112.776945 86.874957) - (xy 112.903 86.894922) - (xy 113.029055 86.874957) - (xy 113.142771 86.817016) - (xy 113.233016 86.726771) - (xy 113.250374 86.692705) - (xy 113.28827 86.618328) - (xy 113.290957 86.613055) - (xy 113.310922 86.487) - (xy 117.575078 86.487) - (xy 117.595043 86.613055) - (xy 117.59773 86.618328) - (xy 117.635627 86.692705) - (xy 117.652984 86.726771) - (xy 117.743229 86.817016) - (xy 117.856945 86.874957) - (xy 117.983 86.894922) - (xy 118.109055 86.874957) - (xy 118.222771 86.817016) - (xy 118.313016 86.726771) - (xy 118.330374 86.692705) - (xy 118.36827 86.618328) - (xy 118.370957 86.613055) - (xy 118.390922 86.487) - (xy 118.370957 86.360945) - (xy 118.313016 86.247229) - (xy 118.222771 86.156984) - (xy 118.109055 86.099043) - (xy 117.983 86.079078) - (xy 117.856945 86.099043) - (xy 117.743229 86.156984) - (xy 117.652984 86.247229) - (xy 117.595043 86.360945) - (xy 117.575078 86.487) - (xy 113.310922 86.487) - (xy 113.290957 86.360945) - (xy 113.233016 86.247229) - (xy 113.142771 86.156984) - (xy 113.029055 86.099043) - (xy 112.903 86.079078) - (xy 112.776945 86.099043) - (xy 112.663229 86.156984) - (xy 112.572984 86.247229) - (xy 112.515043 86.360945) - (xy 112.495078 86.487) - (xy 108.230922 86.487) - (xy 108.210957 86.360945) - (xy 108.153016 86.247229) - (xy 108.062771 86.156984) - (xy 107.949055 86.099043) - (xy 107.823 86.079078) - (xy 107.696945 86.099043) - (xy 107.583229 86.156984) - (xy 107.492984 86.247229) - (xy 107.435043 86.360945) - (xy 107.415078 86.487) - (xy 103.150922 86.487) - (xy 103.130957 86.360945) - (xy 103.073016 86.247229) - (xy 102.982771 86.156984) - (xy 102.869055 86.099043) - (xy 102.743 86.079078) - (xy 102.616945 86.099043) - (xy 102.503229 86.156984) - (xy 102.412984 86.247229) - (xy 102.355043 86.360945) - (xy 102.335078 86.487) - (xy 98.070922 86.487) - (xy 98.050957 86.360945) - (xy 97.993016 86.247229) - (xy 97.902771 86.156984) - (xy 97.789055 86.099043) - (xy 97.663 86.079078) - (xy 97.536945 86.099043) - (xy 97.423229 86.156984) - (xy 97.332984 86.247229) - (xy 97.275043 86.360945) - (xy 97.255078 86.487) - (xy 92.990922 86.487) - (xy 92.970957 86.360945) - (xy 92.913016 86.247229) - (xy 92.822771 86.156984) - (xy 92.709055 86.099043) - (xy 92.583 86.079078) - (xy 92.456945 86.099043) - (xy 92.343229 86.156984) - (xy 92.252984 86.247229) - (xy 92.195043 86.360945) - (xy 92.175078 86.487) - (xy 87.910922 86.487) - (xy 87.890957 86.360945) - (xy 87.833016 86.247229) - (xy 87.742771 86.156984) - (xy 87.629055 86.099043) - (xy 87.503 86.079078) - (xy 87.376945 86.099043) - (xy 87.263229 86.156984) - (xy 87.172984 86.247229) - (xy 87.115043 86.360945) - (xy 87.095078 86.487) - (xy 58.299476 86.487) - (xy 58.299957 86.486055) - (xy 58.319922 86.36) - (xy 58.299957 86.233945) - (xy 58.269079 86.173344) - (xy 58.244705 86.125506) - (xy 58.244704 86.125505) - (xy 58.242016 86.120229) - (xy 58.151771 86.029984) - (xy 58.038055 85.972043) - (xy 57.912 85.952078) - (xy 57.785945 85.972043) - (xy 57.672229 86.029984) - (xy 57.581984 86.120229) - (xy 57.579296 86.125505) - (xy 57.579295 86.125506) - (xy 57.554921 86.173344) - (xy 57.524043 86.233945) - (xy 57.504078 86.36) - (xy 52.578 86.36) - (xy 53.508934 85.429066) - (xy 53.555554 85.407326) - (xy 53.605241 85.42064) - (xy 53.634746 85.462777) - (xy 53.629112 85.51638) - (xy 53.587043 85.598945) - (xy 53.567078 85.725) - (xy 53.587043 85.851055) - (xy 53.58973 85.856328) - (xy 53.638517 85.952078) - (xy 53.644984 85.964771) - (xy 53.735229 86.055016) - (xy 53.848945 86.112957) - (xy 53.975 86.132922) - (xy 54.101055 86.112957) - (xy 54.214771 86.055016) - (xy 54.305016 85.964771) - (xy 54.311484 85.952078) - (xy 54.36027 85.856328) - (xy 54.362957 85.851055) - (xy 54.382922 85.725) - (xy 61.695078 85.725) - (xy 61.715043 85.851055) - (xy 61.71773 85.856328) - (xy 61.766517 85.952078) - (xy 61.772984 85.964771) - (xy 61.863229 86.055016) - (xy 61.976945 86.112957) - (xy 62.103 86.132922) - (xy 62.229055 86.112957) - (xy 62.342771 86.055016) - (xy 62.433016 85.964771) - (xy 62.439484 85.952078) - (xy 62.48827 85.856328) - (xy 62.490957 85.851055) - (xy 62.510922 85.725) - (xy 66.775078 85.725) - (xy 66.795043 85.851055) - (xy 66.79773 85.856328) - (xy 66.846517 85.952078) - (xy 66.852984 85.964771) - (xy 66.943229 86.055016) - (xy 67.056945 86.112957) - (xy 67.183 86.132922) - (xy 67.309055 86.112957) - (xy 67.422771 86.055016) - (xy 67.513016 85.964771) - (xy 67.519484 85.952078) - (xy 67.56827 85.856328) - (xy 67.570957 85.851055) - (xy 67.590922 85.725) - (xy 71.855078 85.725) - (xy 71.875043 85.851055) - (xy 71.87773 85.856328) - (xy 71.926517 85.952078) - (xy 71.932984 85.964771) - (xy 72.023229 86.055016) - (xy 72.136945 86.112957) - (xy 72.263 86.132922) - (xy 72.389055 86.112957) - (xy 72.502771 86.055016) - (xy 72.593016 85.964771) - (xy 72.599484 85.952078) - (xy 72.64827 85.856328) - (xy 72.650957 85.851055) - (xy 72.670922 85.725) - (xy 76.935078 85.725) - (xy 76.955043 85.851055) - (xy 76.95773 85.856328) - (xy 77.006517 85.952078) - (xy 77.012984 85.964771) - (xy 77.103229 86.055016) - (xy 77.216945 86.112957) - (xy 77.343 86.132922) - (xy 77.469055 86.112957) - (xy 77.582771 86.055016) - (xy 77.673016 85.964771) - (xy 77.679484 85.952078) - (xy 77.72827 85.856328) - (xy 77.730957 85.851055) - (xy 77.750922 85.725) - (xy 82.015078 85.725) - (xy 82.035043 85.851055) - (xy 82.03773 85.856328) - (xy 82.086517 85.952078) - (xy 82.092984 85.964771) - (xy 82.183229 86.055016) - (xy 82.296945 86.112957) - (xy 82.423 86.132922) - (xy 82.549055 86.112957) - (xy 82.662771 86.055016) - (xy 82.753016 85.964771) - (xy 82.759484 85.952078) - (xy 82.80827 85.856328) - (xy 82.810957 85.851055) - (xy 82.830922 85.725) - (xy 122.655078 85.725) - (xy 122.675043 85.851055) - (xy 122.67773 85.856328) - (xy 122.726517 85.952078) - (xy 122.732984 85.964771) - (xy 122.823229 86.055016) - (xy 122.936945 86.112957) - (xy 123.063 86.132922) - (xy 123.189055 86.112957) - (xy 123.302771 86.055016) - (xy 123.393016 85.964771) - (xy 123.399484 85.952078) - (xy 123.44827 85.856328) - (xy 123.450957 85.851055) - (xy 123.470922 85.725) - (xy 127.735078 85.725) - (xy 127.755043 85.851055) - (xy 127.75773 85.856328) - (xy 127.806517 85.952078) - (xy 127.812984 85.964771) - (xy 127.903229 86.055016) - (xy 128.016945 86.112957) - (xy 128.143 86.132922) - (xy 128.269055 86.112957) - (xy 128.382771 86.055016) - (xy 128.473016 85.964771) - (xy 128.479484 85.952078) - (xy 128.52827 85.856328) - (xy 128.530957 85.851055) - (xy 128.550922 85.725) - (xy 132.815078 85.725) - (xy 132.835043 85.851055) - (xy 132.83773 85.856328) - (xy 132.886517 85.952078) - (xy 132.892984 85.964771) - (xy 132.983229 86.055016) - (xy 133.096945 86.112957) - (xy 133.223 86.132922) - (xy 133.349055 86.112957) - (xy 133.462771 86.055016) - (xy 133.553016 85.964771) - (xy 133.559484 85.952078) - (xy 133.60827 85.856328) - (xy 133.610957 85.851055) - (xy 133.630922 85.725) - (xy 137.895078 85.725) - (xy 137.915043 85.851055) - (xy 137.91773 85.856328) - (xy 137.966517 85.952078) - (xy 137.972984 85.964771) - (xy 138.063229 86.055016) - (xy 138.176945 86.112957) - (xy 138.303 86.132922) - (xy 138.429055 86.112957) - (xy 138.542771 86.055016) - (xy 138.633016 85.964771) - (xy 138.639484 85.952078) - (xy 138.68827 85.856328) - (xy 138.690957 85.851055) - (xy 138.710922 85.725) - (xy 142.975078 85.725) - (xy 142.995043 85.851055) - (xy 142.99773 85.856328) - (xy 143.046517 85.952078) - (xy 143.052984 85.964771) - (xy 143.143229 86.055016) - (xy 143.256945 86.112957) - (xy 143.383 86.132922) - (xy 143.509055 86.112957) - (xy 143.622771 86.055016) - (xy 143.713016 85.964771) - (xy 143.719484 85.952078) - (xy 143.76827 85.856328) - (xy 143.770957 85.851055) - (xy 143.790922 85.725) - (xy 143.770957 85.598945) - (xy 143.718514 85.49602) - (xy 143.715705 85.490506) - (xy 143.715704 85.490505) - (xy 143.713016 85.485229) - (xy 143.622771 85.394984) - (xy 143.591621 85.379112) - (xy 143.548939 85.357365) - (xy 143.509055 85.337043) - (xy 143.383 85.317078) - (xy 143.256945 85.337043) - (xy 143.217061 85.357365) - (xy 143.17438 85.379112) - (xy 143.143229 85.394984) - (xy 143.052984 85.485229) - (xy 143.050296 85.490505) - (xy 143.050295 85.490506) - (xy 143.047486 85.49602) - (xy 142.995043 85.598945) - (xy 142.975078 85.725) - (xy 138.710922 85.725) - (xy 138.690957 85.598945) - (xy 138.638514 85.49602) - (xy 138.635705 85.490506) - (xy 138.635704 85.490505) - (xy 138.633016 85.485229) - (xy 138.542771 85.394984) - (xy 138.511621 85.379112) - (xy 138.468939 85.357365) - (xy 138.429055 85.337043) - (xy 138.303 85.317078) - (xy 138.176945 85.337043) - (xy 138.137061 85.357365) - (xy 138.09438 85.379112) - (xy 138.063229 85.394984) - (xy 137.972984 85.485229) - (xy 137.970296 85.490505) - (xy 137.970295 85.490506) - (xy 137.967486 85.49602) - (xy 137.915043 85.598945) - (xy 137.895078 85.725) - (xy 133.630922 85.725) - (xy 133.610957 85.598945) - (xy 133.558514 85.49602) - (xy 133.555705 85.490506) - (xy 133.555704 85.490505) - (xy 133.553016 85.485229) - (xy 133.462771 85.394984) - (xy 133.431621 85.379112) - (xy 133.388939 85.357365) - (xy 133.349055 85.337043) - (xy 133.223 85.317078) - (xy 133.096945 85.337043) - (xy 133.057061 85.357365) - (xy 133.01438 85.379112) - (xy 132.983229 85.394984) - (xy 132.892984 85.485229) - (xy 132.890296 85.490505) - (xy 132.890295 85.490506) - (xy 132.887486 85.49602) - (xy 132.835043 85.598945) - (xy 132.815078 85.725) - (xy 128.550922 85.725) - (xy 128.530957 85.598945) - (xy 128.478514 85.49602) - (xy 128.475705 85.490506) - (xy 128.475704 85.490505) - (xy 128.473016 85.485229) - (xy 128.382771 85.394984) - (xy 128.351621 85.379112) - (xy 128.308939 85.357365) - (xy 128.269055 85.337043) - (xy 128.143 85.317078) - (xy 128.016945 85.337043) - (xy 127.977061 85.357365) - (xy 127.93438 85.379112) - (xy 127.903229 85.394984) - (xy 127.812984 85.485229) - (xy 127.810296 85.490505) - (xy 127.810295 85.490506) - (xy 127.807486 85.49602) - (xy 127.755043 85.598945) - (xy 127.735078 85.725) - (xy 123.470922 85.725) - (xy 123.450957 85.598945) - (xy 123.398514 85.49602) - (xy 123.395705 85.490506) - (xy 123.395704 85.490505) - (xy 123.393016 85.485229) - (xy 123.302771 85.394984) - (xy 123.271621 85.379112) - (xy 123.228939 85.357365) - (xy 123.189055 85.337043) - (xy 123.063 85.317078) - (xy 122.936945 85.337043) - (xy 122.897061 85.357365) - (xy 122.85438 85.379112) - (xy 122.823229 85.394984) - (xy 122.732984 85.485229) - (xy 122.730296 85.490505) - (xy 122.730295 85.490506) - (xy 122.727486 85.49602) - (xy 122.675043 85.598945) - (xy 122.655078 85.725) - (xy 82.830922 85.725) - (xy 82.810957 85.598945) - (xy 82.758514 85.49602) - (xy 82.755705 85.490506) - (xy 82.755704 85.490505) - (xy 82.753016 85.485229) - (xy 82.662771 85.394984) - (xy 82.631621 85.379112) - (xy 82.588939 85.357365) - (xy 82.549055 85.337043) - (xy 82.423 85.317078) - (xy 82.296945 85.337043) - (xy 82.257061 85.357365) - (xy 82.21438 85.379112) - (xy 82.183229 85.394984) - (xy 82.092984 85.485229) - (xy 82.090296 85.490505) - (xy 82.090295 85.490506) - (xy 82.087486 85.49602) - (xy 82.035043 85.598945) - (xy 82.015078 85.725) - (xy 77.750922 85.725) - (xy 77.730957 85.598945) - (xy 77.678514 85.49602) - (xy 77.675705 85.490506) - (xy 77.675704 85.490505) - (xy 77.673016 85.485229) - (xy 77.582771 85.394984) - (xy 77.551621 85.379112) - (xy 77.508939 85.357365) - (xy 77.469055 85.337043) - (xy 77.343 85.317078) - (xy 77.216945 85.337043) - (xy 77.177061 85.357365) - (xy 77.13438 85.379112) - (xy 77.103229 85.394984) - (xy 77.012984 85.485229) - (xy 77.010296 85.490505) - (xy 77.010295 85.490506) - (xy 77.007486 85.49602) - (xy 76.955043 85.598945) - (xy 76.935078 85.725) - (xy 72.670922 85.725) - (xy 72.650957 85.598945) - (xy 72.598514 85.49602) - (xy 72.595705 85.490506) - (xy 72.595704 85.490505) - (xy 72.593016 85.485229) - (xy 72.502771 85.394984) - (xy 72.471621 85.379112) - (xy 72.428939 85.357365) - (xy 72.389055 85.337043) - (xy 72.263 85.317078) - (xy 72.136945 85.337043) - (xy 72.097061 85.357365) - (xy 72.05438 85.379112) - (xy 72.023229 85.394984) - (xy 71.932984 85.485229) - (xy 71.930296 85.490505) - (xy 71.930295 85.490506) - (xy 71.927486 85.49602) - (xy 71.875043 85.598945) - (xy 71.855078 85.725) - (xy 67.590922 85.725) - (xy 67.570957 85.598945) - (xy 67.518514 85.49602) - (xy 67.515705 85.490506) - (xy 67.515704 85.490505) - (xy 67.513016 85.485229) - (xy 67.422771 85.394984) - (xy 67.391621 85.379112) - (xy 67.348939 85.357365) - (xy 67.309055 85.337043) - (xy 67.183 85.317078) - (xy 67.056945 85.337043) - (xy 67.017061 85.357365) - (xy 66.97438 85.379112) - (xy 66.943229 85.394984) - (xy 66.852984 85.485229) - (xy 66.850296 85.490505) - (xy 66.850295 85.490506) - (xy 66.847486 85.49602) - (xy 66.795043 85.598945) - (xy 66.775078 85.725) - (xy 62.510922 85.725) - (xy 62.490957 85.598945) - (xy 62.438514 85.49602) - (xy 62.435705 85.490506) - (xy 62.435704 85.490505) - (xy 62.433016 85.485229) - (xy 62.342771 85.394984) - (xy 62.311621 85.379112) - (xy 62.268939 85.357365) - (xy 62.229055 85.337043) - (xy 62.103 85.317078) - (xy 61.976945 85.337043) - (xy 61.937061 85.357365) - (xy 61.89438 85.379112) - (xy 61.863229 85.394984) - (xy 61.772984 85.485229) - (xy 61.770296 85.490505) - (xy 61.770295 85.490506) - (xy 61.767486 85.49602) - (xy 61.715043 85.598945) - (xy 61.695078 85.725) - (xy 54.382922 85.725) - (xy 54.362957 85.598945) - (xy 54.310514 85.49602) - (xy 54.307705 85.490506) - (xy 54.307704 85.490505) - (xy 54.305016 85.485229) - (xy 54.214771 85.394984) - (xy 54.183621 85.379112) - (xy 54.140939 85.357365) - (xy 54.101055 85.337043) - (xy 53.975 85.317078) - (xy 53.848945 85.337043) - (xy 53.777765 85.373311) - (xy 53.76638 85.379112) - (xy 53.715324 85.385381) - (xy 53.672183 85.357365) - (xy 53.657143 85.308172) - (xy 53.679066 85.258934) - (xy 54.275409 84.662591) - (xy 56.192922 84.662591) - (xy 56.193135 84.666658) - (xy 56.193135 84.666661) - (xy 56.196717 84.734996) - (xy 56.202321 84.841921) - (xy 56.203403 84.845848) - (xy 56.203403 84.84585) - (xy 56.227291 84.932573) - (xy 56.250008 85.015049) - (xy 56.251908 85.018653) - (xy 56.251909 85.018655) - (xy 56.331858 85.170291) - (xy 56.33376 85.173898) - (xy 56.336386 85.177005) - (xy 56.336389 85.17701) - (xy 56.396237 85.24783) - (xy 56.449668 85.311058) - (xy 56.4529 85.313529) - (xy 56.452901 85.31353) - (xy 56.510235 85.357365) - (xy 56.592326 85.420128) - (xy 56.755077 85.49602) - (xy 56.930328 85.535193) - (xy 56.935819 85.5355) - (xy 57.067866 85.5355) - (xy 57.069889 85.53528) - (xy 57.069893 85.53528) - (xy 57.136105 85.528087) - (xy 57.201525 85.52098) - (xy 57.371722 85.463703) - (xy 57.375208 85.461608) - (xy 57.375211 85.461607) - (xy 57.522158 85.373311) - (xy 57.525648 85.371214) - (xy 57.656123 85.24783) - (xy 57.75706 85.099306) - (xy 57.823748 84.932573) - (xy 57.853078 84.755409) - (xy 57.85087 84.71327) - (xy 57.843892 84.580148) - (xy 57.843679 84.576079) - (xy 57.819817 84.489447) - (xy 57.797074 84.406878) - (xy 57.797073 84.406875) - (xy 57.795992 84.402951) - (xy 57.759558 84.333847) - (xy 57.756475 84.328) - (xy 59.536078 84.328) - (xy 59.537004 84.333847) - (xy 59.540195 84.353996) - (xy 59.556043 84.454055) - (xy 59.613984 84.567771) - (xy 59.704229 84.658016) - (xy 59.709505 84.660704) - (xy 59.709506 84.660705) - (xy 59.757344 84.685079) - (xy 59.817945 84.715957) - (xy 59.944 84.735922) - (xy 60.070055 84.715957) - (xy 60.130656 84.685079) - (xy 60.178494 84.660705) - (xy 60.178495 84.660704) - (xy 60.183771 84.658016) - (xy 60.274016 84.567771) - (xy 60.331957 84.454055) - (xy 60.347805 84.353996) - (xy 60.350996 84.333847) - (xy 60.351922 84.328) - (xy 60.331957 84.201945) - (xy 60.274016 84.088229) - (xy 60.259787 84.074) - (xy 84.555078 84.074) - (xy 84.575043 84.200055) - (xy 84.579541 84.208883) - (xy 84.615627 84.279705) - (xy 84.632984 84.313771) - (xy 84.723229 84.404016) - (xy 84.836945 84.461957) - (xy 84.963 84.481922) - (xy 85.089055 84.461957) - (xy 85.202771 84.404016) - (xy 85.293016 84.313771) - (xy 85.310374 84.279705) - (xy 85.346459 84.208883) - (xy 85.350957 84.200055) - (xy 85.370922 84.074) - (xy 85.350957 83.947945) - (xy 85.293016 83.834229) - (xy 85.278787 83.82) - (xy 89.635078 83.82) - (xy 89.655043 83.946055) - (xy 89.712984 84.059771) - (xy 89.803229 84.150016) - (xy 89.808505 84.152704) - (xy 89.808506 84.152705) - (xy 89.849394 84.173538) - (xy 89.916945 84.207957) - (xy 90.043 84.227922) - (xy 90.169055 84.207957) - (xy 90.236606 84.173538) - (xy 90.277494 84.152705) - (xy 90.277495 84.152704) - (xy 90.282771 84.150016) - (xy 90.373016 84.059771) - (xy 90.430957 83.946055) - (xy 90.450922 83.82) - (xy 94.715078 83.82) - (xy 94.735043 83.946055) - (xy 94.792984 84.059771) - (xy 94.883229 84.150016) - (xy 94.888505 84.152704) - (xy 94.888506 84.152705) - (xy 94.929394 84.173538) - (xy 94.996945 84.207957) - (xy 95.123 84.227922) - (xy 95.249055 84.207957) - (xy 95.316606 84.173538) - (xy 95.357494 84.152705) - (xy 95.357495 84.152704) - (xy 95.362771 84.150016) - (xy 95.453016 84.059771) - (xy 95.510476 83.947) - (xy 99.795078 83.947) - (xy 99.815043 84.073055) - (xy 99.81773 84.078328) - (xy 99.855627 84.152705) - (xy 99.872984 84.186771) - (xy 99.963229 84.277016) - (xy 100.076945 84.334957) - (xy 100.203 84.354922) - (xy 100.329055 84.334957) - (xy 100.442771 84.277016) - (xy 100.533016 84.186771) - (xy 100.550374 84.152705) - (xy 100.58827 84.078328) - (xy 100.590957 84.073055) - (xy 100.610922 83.947) - (xy 104.875078 83.947) - (xy 104.895043 84.073055) - (xy 104.89773 84.078328) - (xy 104.935627 84.152705) - (xy 104.952984 84.186771) - (xy 105.043229 84.277016) - (xy 105.156945 84.334957) - (xy 105.283 84.354922) - (xy 105.409055 84.334957) - (xy 105.522771 84.277016) - (xy 105.613016 84.186771) - (xy 105.630374 84.152705) - (xy 105.66827 84.078328) - (xy 105.670957 84.073055) - (xy 105.690922 83.947) - (xy 109.955078 83.947) - (xy 109.975043 84.073055) - (xy 109.97773 84.078328) - (xy 110.015627 84.152705) - (xy 110.032984 84.186771) - (xy 110.123229 84.277016) - (xy 110.236945 84.334957) - (xy 110.363 84.354922) - (xy 110.489055 84.334957) - (xy 110.602771 84.277016) - (xy 110.693016 84.186771) - (xy 110.710374 84.152705) - (xy 110.74827 84.078328) - (xy 110.750957 84.073055) - (xy 110.770922 83.947) - (xy 115.035078 83.947) - (xy 115.055043 84.073055) - (xy 115.05773 84.078328) - (xy 115.095627 84.152705) - (xy 115.112984 84.186771) - (xy 115.203229 84.277016) - (xy 115.316945 84.334957) - (xy 115.443 84.354922) - (xy 115.569055 84.334957) - (xy 115.682771 84.277016) - (xy 115.773016 84.186771) - (xy 115.790374 84.152705) - (xy 115.82827 84.078328) - (xy 115.830957 84.073055) - (xy 115.850922 83.947) - (xy 115.849674 83.939117) - (xy 115.831883 83.826792) - (xy 115.830957 83.820945) - (xy 115.800079 83.760344) - (xy 115.775705 83.712506) - (xy 115.775704 83.712505) - (xy 115.773016 83.707229) - (xy 115.682771 83.616984) - (xy 115.569055 83.559043) - (xy 115.443 83.539078) - (xy 115.316945 83.559043) - (xy 115.203229 83.616984) - (xy 115.112984 83.707229) - (xy 115.110296 83.712505) - (xy 115.110295 83.712506) - (xy 115.085921 83.760344) - (xy 115.055043 83.820945) - (xy 115.054117 83.826792) - (xy 115.036327 83.939117) - (xy 115.035078 83.947) - (xy 110.770922 83.947) - (xy 110.769674 83.939117) - (xy 110.751883 83.826792) - (xy 110.750957 83.820945) - (xy 110.720079 83.760344) - (xy 110.695705 83.712506) - (xy 110.695704 83.712505) - (xy 110.693016 83.707229) - (xy 110.602771 83.616984) - (xy 110.489055 83.559043) - (xy 110.363 83.539078) - (xy 110.236945 83.559043) - (xy 110.123229 83.616984) - (xy 110.032984 83.707229) - (xy 110.030296 83.712505) - (xy 110.030295 83.712506) - (xy 110.005921 83.760344) - (xy 109.975043 83.820945) - (xy 109.974117 83.826792) - (xy 109.956327 83.939117) - (xy 109.955078 83.947) - (xy 105.690922 83.947) - (xy 105.689674 83.939117) - (xy 105.671883 83.826792) - (xy 105.670957 83.820945) - (xy 105.640079 83.760344) - (xy 105.615705 83.712506) - (xy 105.615704 83.712505) - (xy 105.613016 83.707229) - (xy 105.522771 83.616984) - (xy 105.409055 83.559043) - (xy 105.283 83.539078) - (xy 105.156945 83.559043) - (xy 105.043229 83.616984) - (xy 104.952984 83.707229) - (xy 104.950296 83.712505) - (xy 104.950295 83.712506) - (xy 104.925921 83.760344) - (xy 104.895043 83.820945) - (xy 104.894117 83.826792) - (xy 104.876327 83.939117) - (xy 104.875078 83.947) - (xy 100.610922 83.947) - (xy 100.609674 83.939117) - (xy 100.591883 83.826792) - (xy 100.590957 83.820945) - (xy 100.560079 83.760344) - (xy 100.535705 83.712506) - (xy 100.535704 83.712505) - (xy 100.533016 83.707229) - (xy 100.442771 83.616984) - (xy 100.329055 83.559043) - (xy 100.203 83.539078) - (xy 100.076945 83.559043) - (xy 99.963229 83.616984) - (xy 99.872984 83.707229) - (xy 99.870296 83.712505) - (xy 99.870295 83.712506) - (xy 99.845921 83.760344) - (xy 99.815043 83.820945) - (xy 99.814117 83.826792) - (xy 99.796327 83.939117) - (xy 99.795078 83.947) - (xy 95.510476 83.947) - (xy 95.510957 83.946055) - (xy 95.530922 83.82) - (xy 95.510957 83.693945) - (xy 95.480079 83.633344) - (xy 95.455705 83.585506) - (xy 95.455704 83.585505) - (xy 95.453016 83.580229) - (xy 95.362771 83.489984) - (xy 95.249055 83.432043) - (xy 95.123 83.412078) - (xy 94.996945 83.432043) - (xy 94.883229 83.489984) - (xy 94.792984 83.580229) - (xy 94.790296 83.585505) - (xy 94.790295 83.585506) - (xy 94.765921 83.633344) - (xy 94.735043 83.693945) - (xy 94.715078 83.82) - (xy 90.450922 83.82) - (xy 90.430957 83.693945) - (xy 90.400079 83.633344) - (xy 90.375705 83.585506) - (xy 90.375704 83.585505) - (xy 90.373016 83.580229) - (xy 90.282771 83.489984) - (xy 90.169055 83.432043) - (xy 90.043 83.412078) - (xy 89.916945 83.432043) - (xy 89.803229 83.489984) - (xy 89.712984 83.580229) - (xy 89.710296 83.585505) - (xy 89.710295 83.585506) - (xy 89.685921 83.633344) - (xy 89.655043 83.693945) - (xy 89.635078 83.82) - (xy 85.278787 83.82) - (xy 85.202771 83.743984) - (xy 85.089055 83.686043) - (xy 84.963 83.666078) - (xy 84.836945 83.686043) - (xy 84.723229 83.743984) - (xy 84.632984 83.834229) - (xy 84.575043 83.947945) - (xy 84.555078 84.074) - (xy 60.259787 84.074) - (xy 60.183771 83.997984) - (xy 60.070055 83.940043) - (xy 59.944 83.920078) - (xy 59.817945 83.940043) - (xy 59.704229 83.997984) - (xy 59.613984 84.088229) - (xy 59.556043 84.201945) - (xy 59.536078 84.328) - (xy 57.756475 84.328) - (xy 57.714142 84.247709) - (xy 57.714141 84.247707) - (xy 57.71224 84.244102) - (xy 57.709614 84.240995) - (xy 57.709611 84.24099) - (xy 57.598959 84.110051) - (xy 57.596332 84.106942) - (xy 57.578759 84.093506) - (xy 57.456906 84.000343) - (xy 57.453674 83.997872) - (xy 57.290923 83.92198) - (xy 57.115672 83.882807) - (xy 57.110181 83.8825) - (xy 56.978134 83.8825) - (xy 56.976111 83.88272) - (xy 56.976107 83.88272) - (xy 56.909895 83.889913) - (xy 56.844475 83.89702) - (xy 56.674278 83.954297) - (xy 56.670792 83.956392) - (xy 56.670789 83.956393) - (xy 56.601571 83.997984) - (xy 56.520352 84.046786) - (xy 56.389877 84.17017) - (xy 56.28894 84.318694) - (xy 56.222252 84.485427) - (xy 56.192922 84.662591) - (xy 54.275409 84.662591) - (xy 56.048934 82.889066) - (xy 56.095554 82.867326) - (xy 56.145241 82.88064) - (xy 56.174746 82.922777) - (xy 56.169112 82.97638) - (xy 56.127043 83.058945) - (xy 56.107078 83.185) - (xy 56.127043 83.311055) - (xy 56.12973 83.316328) - (xy 56.178517 83.412078) - (xy 56.184984 83.424771) - (xy 56.275229 83.515016) - (xy 56.388945 83.572957) - (xy 56.515 83.592922) - (xy 56.641055 83.572957) - (xy 56.754771 83.515016) - (xy 56.845016 83.424771) - (xy 56.851484 83.412078) - (xy 56.90027 83.316328) - (xy 56.902957 83.311055) - (xy 56.922922 83.185) - (xy 64.235078 83.185) - (xy 64.255043 83.311055) - (xy 64.25773 83.316328) - (xy 64.306517 83.412078) - (xy 64.312984 83.424771) - (xy 64.403229 83.515016) - (xy 64.516945 83.572957) - (xy 64.643 83.592922) - (xy 64.769055 83.572957) - (xy 64.882771 83.515016) - (xy 64.973016 83.424771) - (xy 64.979484 83.412078) - (xy 65.02827 83.316328) - (xy 65.030957 83.311055) - (xy 65.050922 83.185) - (xy 69.315078 83.185) - (xy 69.335043 83.311055) - (xy 69.33773 83.316328) - (xy 69.386517 83.412078) - (xy 69.392984 83.424771) - (xy 69.483229 83.515016) - (xy 69.596945 83.572957) - (xy 69.723 83.592922) - (xy 69.849055 83.572957) - (xy 69.962771 83.515016) - (xy 70.053016 83.424771) - (xy 70.059484 83.412078) - (xy 70.10827 83.316328) - (xy 70.110957 83.311055) - (xy 70.130922 83.185) - (xy 74.395078 83.185) - (xy 74.415043 83.311055) - (xy 74.41773 83.316328) - (xy 74.466517 83.412078) - (xy 74.472984 83.424771) - (xy 74.563229 83.515016) - (xy 74.676945 83.572957) - (xy 74.803 83.592922) - (xy 74.929055 83.572957) - (xy 75.042771 83.515016) - (xy 75.133016 83.424771) - (xy 75.139484 83.412078) - (xy 75.18827 83.316328) - (xy 75.190957 83.311055) - (xy 75.210922 83.185) - (xy 79.475078 83.185) - (xy 79.495043 83.311055) - (xy 79.49773 83.316328) - (xy 79.546517 83.412078) - (xy 79.552984 83.424771) - (xy 79.643229 83.515016) - (xy 79.756945 83.572957) - (xy 79.883 83.592922) - (xy 80.009055 83.572957) - (xy 80.122771 83.515016) - (xy 80.213016 83.424771) - (xy 80.219484 83.412078) - (xy 80.26827 83.316328) - (xy 80.270957 83.311055) - (xy 80.290922 83.185) - (xy 120.115078 83.185) - (xy 120.135043 83.311055) - (xy 120.13773 83.316328) - (xy 120.186517 83.412078) - (xy 120.192984 83.424771) - (xy 120.283229 83.515016) - (xy 120.396945 83.572957) - (xy 120.523 83.592922) - (xy 120.649055 83.572957) - (xy 120.762771 83.515016) - (xy 120.853016 83.424771) - (xy 120.859484 83.412078) - (xy 120.90827 83.316328) - (xy 120.910957 83.311055) - (xy 120.930922 83.185) - (xy 125.195078 83.185) - (xy 125.215043 83.311055) - (xy 125.21773 83.316328) - (xy 125.266517 83.412078) - (xy 125.272984 83.424771) - (xy 125.363229 83.515016) - (xy 125.476945 83.572957) - (xy 125.603 83.592922) - (xy 125.729055 83.572957) - (xy 125.842771 83.515016) - (xy 125.933016 83.424771) - (xy 125.939484 83.412078) - (xy 125.98827 83.316328) - (xy 125.990957 83.311055) - (xy 126.010922 83.185) - (xy 130.275078 83.185) - (xy 130.295043 83.311055) - (xy 130.29773 83.316328) - (xy 130.346517 83.412078) - (xy 130.352984 83.424771) - (xy 130.443229 83.515016) - (xy 130.556945 83.572957) - (xy 130.683 83.592922) - (xy 130.809055 83.572957) - (xy 130.922771 83.515016) - (xy 131.013016 83.424771) - (xy 131.019484 83.412078) - (xy 131.06827 83.316328) - (xy 131.070957 83.311055) - (xy 131.090922 83.185) - (xy 135.355078 83.185) - (xy 135.375043 83.311055) - (xy 135.37773 83.316328) - (xy 135.426517 83.412078) - (xy 135.432984 83.424771) - (xy 135.523229 83.515016) - (xy 135.636945 83.572957) - (xy 135.763 83.592922) - (xy 135.889055 83.572957) - (xy 136.002771 83.515016) - (xy 136.093016 83.424771) - (xy 136.099484 83.412078) - (xy 136.14827 83.316328) - (xy 136.150957 83.311055) - (xy 136.170922 83.185) - (xy 136.150957 83.058945) - (xy 136.093016 82.945229) - (xy 136.002771 82.854984) - (xy 135.971621 82.839112) - (xy 135.897759 82.801478) - (xy 135.889055 82.797043) - (xy 135.763 82.777078) - (xy 135.636945 82.797043) - (xy 135.628241 82.801478) - (xy 135.55438 82.839112) - (xy 135.523229 82.854984) - (xy 135.432984 82.945229) - (xy 135.375043 83.058945) - (xy 135.355078 83.185) - (xy 131.090922 83.185) - (xy 131.070957 83.058945) - (xy 131.013016 82.945229) - (xy 130.922771 82.854984) - (xy 130.891621 82.839112) - (xy 130.817759 82.801478) - (xy 130.809055 82.797043) - (xy 130.683 82.777078) - (xy 130.556945 82.797043) - (xy 130.548241 82.801478) - (xy 130.47438 82.839112) - (xy 130.443229 82.854984) - (xy 130.352984 82.945229) - (xy 130.295043 83.058945) - (xy 130.275078 83.185) - (xy 126.010922 83.185) - (xy 125.990957 83.058945) - (xy 125.933016 82.945229) - (xy 125.842771 82.854984) - (xy 125.811621 82.839112) - (xy 125.737759 82.801478) - (xy 125.729055 82.797043) - (xy 125.603 82.777078) - (xy 125.476945 82.797043) - (xy 125.468241 82.801478) - (xy 125.39438 82.839112) - (xy 125.363229 82.854984) - (xy 125.272984 82.945229) - (xy 125.215043 83.058945) - (xy 125.195078 83.185) - (xy 120.930922 83.185) - (xy 120.910957 83.058945) - (xy 120.853016 82.945229) - (xy 120.762771 82.854984) - (xy 120.731621 82.839112) - (xy 120.657759 82.801478) - (xy 120.649055 82.797043) - (xy 120.523 82.777078) - (xy 120.396945 82.797043) - (xy 120.388241 82.801478) - (xy 120.31438 82.839112) - (xy 120.283229 82.854984) - (xy 120.192984 82.945229) - (xy 120.135043 83.058945) - (xy 120.115078 83.185) - (xy 80.290922 83.185) - (xy 80.270957 83.058945) - (xy 80.213016 82.945229) - (xy 80.122771 82.854984) - (xy 80.091621 82.839112) - (xy 80.017759 82.801478) - (xy 80.009055 82.797043) - (xy 79.883 82.777078) - (xy 79.756945 82.797043) - (xy 79.748241 82.801478) - (xy 79.67438 82.839112) - (xy 79.643229 82.854984) - (xy 79.552984 82.945229) - (xy 79.495043 83.058945) - (xy 79.475078 83.185) - (xy 75.210922 83.185) - (xy 75.190957 83.058945) - (xy 75.133016 82.945229) - (xy 75.042771 82.854984) - (xy 75.011621 82.839112) - (xy 74.937759 82.801478) - (xy 74.929055 82.797043) - (xy 74.803 82.777078) - (xy 74.676945 82.797043) - (xy 74.668241 82.801478) - (xy 74.59438 82.839112) - (xy 74.563229 82.854984) - (xy 74.472984 82.945229) - (xy 74.415043 83.058945) - (xy 74.395078 83.185) - (xy 70.130922 83.185) - (xy 70.110957 83.058945) - (xy 70.053016 82.945229) - (xy 69.962771 82.854984) - (xy 69.931621 82.839112) - (xy 69.857759 82.801478) - (xy 69.849055 82.797043) - (xy 69.723 82.777078) - (xy 69.596945 82.797043) - (xy 69.588241 82.801478) - (xy 69.51438 82.839112) - (xy 69.483229 82.854984) - (xy 69.392984 82.945229) - (xy 69.335043 83.058945) - (xy 69.315078 83.185) - (xy 65.050922 83.185) - (xy 65.030957 83.058945) - (xy 64.973016 82.945229) - (xy 64.882771 82.854984) - (xy 64.851621 82.839112) - (xy 64.777759 82.801478) - (xy 64.769055 82.797043) - (xy 64.643 82.777078) - (xy 64.516945 82.797043) - (xy 64.508241 82.801478) - (xy 64.43438 82.839112) - (xy 64.403229 82.854984) - (xy 64.312984 82.945229) - (xy 64.255043 83.058945) - (xy 64.235078 83.185) - (xy 56.922922 83.185) - (xy 56.902957 83.058945) - (xy 56.845016 82.945229) - (xy 56.754771 82.854984) - (xy 56.723621 82.839112) - (xy 56.649759 82.801478) - (xy 56.641055 82.797043) - (xy 56.515 82.777078) - (xy 56.388945 82.797043) - (xy 56.30638 82.839112) - (xy 56.255324 82.845381) - (xy 56.212183 82.817365) - (xy 56.197143 82.768172) - (xy 56.219066 82.718934) - (xy 56.561409 82.376591) - (xy 139.631922 82.376591) - (xy 139.632135 82.380658) - (xy 139.632135 82.380661) - (xy 139.634048 82.417153) - (xy 139.641321 82.555921) - (xy 139.642403 82.559848) - (xy 139.642403 82.55985) - (xy 139.669299 82.657494) - (xy 139.689008 82.729049) - (xy 139.690908 82.732653) - (xy 139.690909 82.732655) - (xy 139.768933 82.88064) - (xy 139.77276 82.887898) - (xy 139.775386 82.891005) - (xy 139.775389 82.89101) - (xy 139.835237 82.96183) - (xy 139.888668 83.025058) - (xy 139.8919 83.027529) - (xy 139.891901 83.02753) - (xy 139.93299 83.058945) - (xy 140.031326 83.134128) - (xy 140.194077 83.21002) - (xy 140.369328 83.249193) - (xy 140.374819 83.2495) - (xy 140.506866 83.2495) - (xy 140.508889 83.24928) - (xy 140.508893 83.24928) - (xy 140.575105 83.242087) - (xy 140.640525 83.23498) - (xy 140.810722 83.177703) - (xy 140.814208 83.175608) - (xy 140.814211 83.175607) - (xy 140.961158 83.087311) - (xy 140.964648 83.085214) - (xy 141.095123 82.96183) - (xy 141.19606 82.813306) - (xy 141.262748 82.646573) - (xy 141.292078 82.469409) - (xy 141.282679 82.290079) - (xy 141.258817 82.203447) - (xy 141.236074 82.120878) - (xy 141.236073 82.120875) - (xy 141.234992 82.116951) - (xy 141.193224 82.03773) - (xy 141.153142 81.961709) - (xy 141.153141 81.961707) - (xy 141.15124 81.958102) - (xy 141.148614 81.954995) - (xy 141.148611 81.95499) - (xy 141.037959 81.824051) - (xy 141.035332 81.820942) - (xy 140.892674 81.711872) - (xy 140.729923 81.63598) - (xy 140.554672 81.596807) - (xy 140.549181 81.5965) - (xy 140.417134 81.5965) - (xy 140.415111 81.59672) - (xy 140.415107 81.59672) - (xy 140.348895 81.603913) - (xy 140.283475 81.61102) - (xy 140.113278 81.668297) - (xy 140.109792 81.670392) - (xy 140.109789 81.670393) - (xy 140.036645 81.714343) - (xy 139.959352 81.760786) - (xy 139.828877 81.88417) - (xy 139.72794 82.032694) - (xy 139.661252 82.199427) - (xy 139.631922 82.376591) - (xy 56.561409 82.376591) - (xy 58.15737 80.78063) - (xy 58.176911 80.766545) - (xy 58.664996 80.522502) - (xy 58.683876 80.516025) - (xy 58.692208 80.514359) - (xy 58.743057 80.522133) - (xy 58.777013 80.560774) - (xy 58.781227 80.599863) - (xy 58.774078 80.645) - (xy 58.794043 80.771055) - (xy 58.851984 80.884771) - (xy 58.942229 80.975016) - (xy 59.055945 81.032957) - (xy 59.182 81.052922) - (xy 59.308055 81.032957) - (xy 59.421771 80.975016) - (xy 59.512016 80.884771) - (xy 59.569957 80.771055) - (xy 59.589922 80.645) - (xy 59.569957 80.518945) - (xy 59.562143 80.503608) - (xy 59.560478 80.500341) - (xy 59.554208 80.449285) - (xy 59.582224 80.406143) - (xy 59.627481 80.391) - (xy 61.657519 80.391) - ) - ) - ) - (zone (net 2) (net_name "GND") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005ff5f1ce) (hatch edge 0.508) - (connect_pads (clearance 0.1524)) - (min_thickness 0.1524) (filled_areas_thickness no) - (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) - (polygon - (pts - (xy 143.637 80.518) - (xy 144.272 80.899) - (xy 144.653 81.28) - (xy 144.907 81.788) - (xy 145.034 82.423) - (xy 145.034 129.54) - (xy 144.907 130.175) - (xy 144.653 130.683) - (xy 144.145 131.191) - (xy 143.637 131.445) - (xy 143.002 131.572) - (xy 48.133 131.572) - (xy 47.498 131.445) - (xy 46.99 131.191) - (xy 46.482 130.683) - (xy 46.228 130.175) - (xy 46.101 129.54) - (xy 46.101 93.599) - (xy 46.228 92.964) - (xy 46.482 92.456) - (xy 58.166 80.772) - (xy 58.674 80.518) - (xy 59.182 80.391) - (xy 143.002 80.391) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 81.6207 128.809622) - (xy 81.636274 128.821573) - (xy 83.570074 130.755373) - (xy 83.591814 130.801993) - (xy 83.5921 130.808547) - (xy 83.5921 131.3839) - (xy 83.574507 131.432238) - (xy 83.529958 131.457958) - (xy 83.5169 131.4591) - (xy 83.397516 131.4591) - (xy 83.31045 131.469636) - (xy 83.241841 131.4968) - (xy 83.17921 131.521597) - (xy 83.179209 131.521598) - (xy 83.174442 131.523485) - (xy 83.170361 131.526583) - (xy 83.170357 131.526585) - (xy 83.130684 131.556699) - (xy 83.085218 131.572) - (xy 82.014782 131.572) - (xy 81.969316 131.556699) - (xy 81.929643 131.526585) - (xy 81.929639 131.526583) - (xy 81.925558 131.523485) - (xy 81.920791 131.521598) - (xy 81.92079 131.521597) - (xy 81.858159 131.4968) - (xy 81.78955 131.469636) - (xy 81.702484 131.4591) - (xy 81.5831 131.4591) - (xy 81.534762 131.441507) - (xy 81.509042 131.396958) - (xy 81.5079 131.3839) - (xy 81.5079 128.874747) - (xy 81.525493 128.826409) - (xy 81.570042 128.800689) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 93.801791 128.795493) - (xy 93.806627 128.799926) - (xy 96.270074 131.263374) - (xy 96.291814 131.309994) - (xy 96.2921 131.316548) - (xy 96.2921 131.3839) - (xy 96.274507 131.432238) - (xy 96.229958 131.457958) - (xy 96.2169 131.4591) - (xy 96.097516 131.4591) - (xy 96.01045 131.469636) - (xy 95.941841 131.4968) - (xy 95.87921 131.521597) - (xy 95.879209 131.521598) - (xy 95.874442 131.523485) - (xy 95.870361 131.526583) - (xy 95.870357 131.526585) - (xy 95.830684 131.556699) - (xy 95.785218 131.572) - (xy 94.714782 131.572) - (xy 94.669316 131.556699) - (xy 94.629643 131.526585) - (xy 94.629639 131.526583) - (xy 94.625558 131.523485) - (xy 94.620791 131.521598) - (xy 94.62079 131.521597) - (xy 94.558159 131.4968) - (xy 94.48955 131.469636) - (xy 94.402484 131.4591) - (xy 94.2831 131.4591) - (xy 94.234762 131.441507) - (xy 94.209042 131.396958) - (xy 94.2079 131.3839) - (xy 94.2079 131.198936) - (xy 94.208003 131.195) - (xy 94.209152 131.173069) - (xy 94.210123 131.154552) - (xy 94.20131 131.131592) - (xy 94.19796 131.120284) - (xy 94.194491 131.103965) - (xy 94.192848 131.096234) - (xy 94.187829 131.089325) - (xy 94.178465 131.07208) - (xy 94.178236 131.071483) - (xy 94.178235 131.071482) - (xy 94.175403 131.064104) - (xy 94.158019 131.04672) - (xy 94.150355 131.037748) - (xy 94.140546 131.024247) - (xy 94.140545 131.024247) - (xy 94.135901 131.017854) - (xy 94.128507 131.013585) - (xy 94.112933 131.001634) - (xy 92.017574 128.906274) - (xy 91.995834 128.859654) - (xy 92.009148 128.809967) - (xy 92.051285 128.780462) - (xy 92.070748 128.7779) - (xy 93.753453 128.7779) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 91.561791 129.095493) - (xy 91.566627 129.099926) - (xy 93.730074 131.263374) - (xy 93.751814 131.309994) - (xy 93.7521 131.316548) - (xy 93.7521 131.3839) - (xy 93.734507 131.432238) - (xy 93.689958 131.457958) - (xy 93.6769 131.4591) - (xy 93.557516 131.4591) - (xy 93.47045 131.469636) - (xy 93.401841 131.4968) - (xy 93.33921 131.521597) - (xy 93.339209 131.521598) - (xy 93.334442 131.523485) - (xy 93.330361 131.526583) - (xy 93.330357 131.526585) - (xy 93.290684 131.556699) - (xy 93.245218 131.572) - (xy 92.174782 131.572) - (xy 92.129316 131.556699) - (xy 92.089643 131.526585) - (xy 92.089639 131.526583) - (xy 92.085558 131.523485) - (xy 92.080791 131.521598) - (xy 92.08079 131.521597) - (xy 92.018159 131.4968) - (xy 91.94955 131.469636) - (xy 91.862484 131.4591) - (xy 91.7431 131.4591) - (xy 91.694762 131.441507) - (xy 91.669042 131.396958) - (xy 91.6679 131.3839) - (xy 91.6679 131.198936) - (xy 91.668003 131.195) - (xy 91.669152 131.173069) - (xy 91.670123 131.154552) - (xy 91.66131 131.131592) - (xy 91.65796 131.120284) - (xy 91.654491 131.103965) - (xy 91.652848 131.096234) - (xy 91.647829 131.089325) - (xy 91.638465 131.07208) - (xy 91.638236 131.071483) - (xy 91.638235 131.071482) - (xy 91.635403 131.064104) - (xy 91.618019 131.04672) - (xy 91.610355 131.037748) - (xy 91.600546 131.024247) - (xy 91.600545 131.024247) - (xy 91.595901 131.017854) - (xy 91.588507 131.013585) - (xy 91.572933 131.001634) - (xy 89.777574 129.206274) - (xy 89.755834 129.159654) - (xy 89.769148 129.109967) - (xy 89.811285 129.080462) - (xy 89.830748 129.0779) - (xy 91.513453 129.0779) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 89.321791 129.395493) - (xy 89.326627 129.399926) - (xy 91.190074 131.263374) - (xy 91.211814 131.309994) - (xy 91.2121 131.316548) - (xy 91.2121 131.3839) - (xy 91.194507 131.432238) - (xy 91.149958 131.457958) - (xy 91.1369 131.4591) - (xy 91.017516 131.4591) - (xy 90.93045 131.469636) - (xy 90.861841 131.4968) - (xy 90.79921 131.521597) - (xy 90.799209 131.521598) - (xy 90.794442 131.523485) - (xy 90.790361 131.526583) - (xy 90.790357 131.526585) - (xy 90.750684 131.556699) - (xy 90.705218 131.572) - (xy 89.634782 131.572) - (xy 89.589316 131.556699) - (xy 89.549643 131.526585) - (xy 89.549639 131.526583) - (xy 89.545558 131.523485) - (xy 89.540791 131.521598) - (xy 89.54079 131.521597) - (xy 89.478159 131.4968) - (xy 89.40955 131.469636) - (xy 89.322484 131.4591) - (xy 89.2031 131.4591) - (xy 89.154762 131.441507) - (xy 89.129042 131.396958) - (xy 89.1279 131.3839) - (xy 89.1279 131.198936) - (xy 89.128003 131.195) - (xy 89.129152 131.173069) - (xy 89.130123 131.154552) - (xy 89.12131 131.131592) - (xy 89.11796 131.120284) - (xy 89.114491 131.103965) - (xy 89.112848 131.096234) - (xy 89.107829 131.089325) - (xy 89.098465 131.07208) - (xy 89.098236 131.071483) - (xy 89.098235 131.071482) - (xy 89.095403 131.064104) - (xy 89.078019 131.04672) - (xy 89.070355 131.037748) - (xy 89.060546 131.024247) - (xy 89.060545 131.024247) - (xy 89.055901 131.017854) - (xy 89.048507 131.013585) - (xy 89.032933 131.001634) - (xy 87.537574 129.506274) - (xy 87.515834 129.459654) - (xy 87.529148 129.409967) - (xy 87.571285 129.380462) - (xy 87.590748 129.3779) - (xy 89.273453 129.3779) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 143.0093 80.39246) - (xy 143.624229 80.515446) - (xy 143.648171 80.524703) - (xy 144.264063 80.894238) - (xy 144.278547 80.905547) - (xy 144.64437 81.27137) - (xy 144.658455 81.290911) - (xy 144.902498 81.778996) - (xy 144.908974 81.79787) - (xy 145.03254 82.4157) - (xy 145.034 82.430448) - (xy 145.034 129.532552) - (xy 145.03254 129.5473) - (xy 144.911302 130.153493) - (xy 144.908975 130.165127) - (xy 144.902498 130.184004) - (xy 144.658457 130.672087) - (xy 144.64437 130.69163) - (xy 144.15363 131.18237) - (xy 144.134089 131.196455) - (xy 143.646004 131.440498) - (xy 143.62713 131.446974) - (xy 143.0093 131.57054) - (xy 142.994552 131.572) - (xy 137.893954 131.572) - (xy 137.848488 131.556699) - (xy 137.809394 131.527025) - (xy 137.800542 131.522037) - (xy 137.67392 131.471905) - (xy 137.664635 131.469547) - (xy 137.584701 131.459874) - (xy 137.580167 131.4596) - (xy 137.323259 131.4596) - (xy 137.313102 131.463297) - (xy 137.31 131.468669) - (xy 137.31 131.572) - (xy 137.01 131.572) - (xy 137.01 131.47286) - (xy 137.006303 131.462703) - (xy 137.000931 131.459601) - (xy 136.739818 131.459601) - (xy 136.735314 131.459873) - (xy 136.655372 131.469546) - (xy 136.646074 131.471907) - (xy 136.519458 131.522037) - (xy 136.510606 131.527025) - (xy 136.471512 131.556699) - (xy 136.426046 131.572) - (xy 135.354782 131.572) - (xy 135.309316 131.556699) - (xy 135.269643 131.526585) - (xy 135.269639 131.526583) - (xy 135.265558 131.523485) - (xy 135.260791 131.521598) - (xy 135.26079 131.521597) - (xy 135.198159 131.4968) - (xy 135.12955 131.469636) - (xy 135.066193 131.461969) - (xy 135.048608 131.459841) - (xy 135.002734 131.436568) - (xy 134.982552 131.389253) - (xy 134.990638 131.351046) - (xy 135.00527 131.322329) - (xy 135.00527 131.322328) - (xy 135.007957 131.317055) - (xy 135.027922 131.191) - (xy 135.007957 131.064945) - (xy 134.950016 130.951229) - (xy 134.859771 130.860984) - (xy 134.746055 130.803043) - (xy 134.62 130.783078) - (xy 134.493945 130.803043) - (xy 134.380229 130.860984) - (xy 134.289984 130.951229) - (xy 134.232043 131.064945) - (xy 134.212078 131.191) - (xy 134.232043 131.317055) - (xy 134.23473 131.322328) - (xy 134.23473 131.322329) - (xy 134.249362 131.351046) - (xy 134.255631 131.402103) - (xy 134.227614 131.445244) - (xy 134.191392 131.459841) - (xy 134.173807 131.461969) - (xy 134.11045 131.469636) - (xy 134.041841 131.4968) - (xy 133.97921 131.521597) - (xy 133.979209 131.521598) - (xy 133.974442 131.523485) - (xy 133.970361 131.526583) - (xy 133.970357 131.526585) - (xy 133.930684 131.556699) - (xy 133.885218 131.572) - (xy 132.814782 131.572) - (xy 132.769316 131.556699) - (xy 132.729643 131.526585) - (xy 132.729639 131.526583) - (xy 132.725558 131.523485) - (xy 132.720791 131.521598) - (xy 132.72079 131.521597) - (xy 132.658159 131.4968) - (xy 132.58955 131.469636) - (xy 132.526193 131.461969) - (xy 132.508608 131.459841) - (xy 132.462734 131.436568) - (xy 132.442552 131.389253) - (xy 132.450638 131.351046) - (xy 132.46527 131.322329) - (xy 132.46527 131.322328) - (xy 132.467957 131.317055) - (xy 132.487922 131.191) - (xy 132.467957 131.064945) - (xy 132.410016 130.951229) - (xy 132.319771 130.860984) - (xy 132.206055 130.803043) - (xy 132.08 130.783078) - (xy 131.953945 130.803043) - (xy 131.840229 130.860984) - (xy 131.749984 130.951229) - (xy 131.692043 131.064945) - (xy 131.672078 131.191) - (xy 131.692043 131.317055) - (xy 131.69473 131.322328) - (xy 131.69473 131.322329) - (xy 131.709362 131.351046) - (xy 131.715631 131.402103) - (xy 131.687614 131.445244) - (xy 131.651392 131.459841) - (xy 131.633807 131.461969) - (xy 131.57045 131.469636) - (xy 131.501841 131.4968) - (xy 131.43921 131.521597) - (xy 131.439209 131.521598) - (xy 131.434442 131.523485) - (xy 131.430361 131.526583) - (xy 131.430357 131.526585) - (xy 131.390684 131.556699) - (xy 131.345218 131.572) - (xy 130.274782 131.572) - (xy 130.229316 131.556699) - (xy 130.189643 131.526585) - (xy 130.189639 131.526583) - (xy 130.185558 131.523485) - (xy 130.180791 131.521598) - (xy 130.18079 131.521597) - (xy 130.118159 131.4968) - (xy 130.04955 131.469636) - (xy 129.962484 131.4591) - (xy 129.117516 131.4591) - (xy 129.03045 131.469636) - (xy 128.961841 131.4968) - (xy 128.89921 131.521597) - (xy 128.899209 131.521598) - (xy 128.894442 131.523485) - (xy 128.890361 131.526583) - (xy 128.890357 131.526585) - (xy 128.850684 131.556699) - (xy 128.805218 131.572) - (xy 127.734782 131.572) - (xy 127.689316 131.556699) - (xy 127.649643 131.526585) - (xy 127.649639 131.526583) - (xy 127.645558 131.523485) - (xy 127.640791 131.521598) - (xy 127.64079 131.521597) - (xy 127.578159 131.4968) - (xy 127.50955 131.469636) - (xy 127.422484 131.4591) - (xy 126.577516 131.4591) - (xy 126.49045 131.469636) - (xy 126.421841 131.4968) - (xy 126.35921 131.521597) - (xy 126.359209 131.521598) - (xy 126.354442 131.523485) - (xy 126.350361 131.526583) - (xy 126.350357 131.526585) - (xy 126.310684 131.556699) - (xy 126.265218 131.572) - (xy 125.194782 131.572) - (xy 125.149316 131.556699) - (xy 125.109643 131.526585) - (xy 125.109639 131.526583) - (xy 125.105558 131.523485) - (xy 125.100791 131.521598) - (xy 125.10079 131.521597) - (xy 125.038159 131.4968) - (xy 124.96955 131.469636) - (xy 124.882484 131.4591) - (xy 124.7631 131.4591) - (xy 124.714762 131.441507) - (xy 124.689042 131.396958) - (xy 124.6879 131.3839) - (xy 124.6879 129.794) - (xy 136.24006 129.794) - (xy 136.240472 129.79792) - (xy 136.240472 129.797922) - (xy 136.241966 129.81214) - (xy 136.242275 129.823933) - (xy 136.241321 129.842146) - (xy 136.245682 129.869677) - (xy 136.252308 129.911514) - (xy 136.252822 129.915418) - (xy 136.259688 129.980745) - (xy 136.260163 129.985266) - (xy 136.26138 129.989011) - (xy 136.26138 129.989012) - (xy 136.265799 130.002614) - (xy 136.268553 130.014086) - (xy 136.271406 130.032098) - (xy 136.296581 130.097681) - (xy 136.297888 130.101371) - (xy 136.319593 130.168173) - (xy 136.321559 130.171579) - (xy 136.321562 130.171585) - (xy 136.328711 130.183968) - (xy 136.333789 130.194615) - (xy 136.338911 130.207958) - (xy 136.338915 130.207966) - (xy 136.340327 130.211644) - (xy 136.342474 130.21495) - (xy 136.378583 130.270552) - (xy 136.38064 130.273909) - (xy 136.415753 130.334727) - (xy 136.418392 130.337657) - (xy 136.418392 130.337658) - (xy 136.427958 130.348282) - (xy 136.435141 130.357643) - (xy 136.445073 130.372937) - (xy 136.494711 130.422575) - (xy 136.497422 130.42543) - (xy 136.541804 130.474722) - (xy 136.541806 130.474724) - (xy 136.54444 130.477649) - (xy 136.559199 130.488372) - (xy 136.568172 130.496036) - (xy 136.581063 130.508927) - (xy 136.596482 130.51894) - (xy 136.639971 130.547183) - (xy 136.643215 130.549413) - (xy 136.70003 130.590691) - (xy 136.716699 130.598113) - (xy 136.727052 130.603734) - (xy 136.742356 130.613673) - (xy 136.807928 130.638843) - (xy 136.811555 130.640346) - (xy 136.872117 130.66731) - (xy 136.872121 130.667311) - (xy 136.875723 130.668915) - (xy 136.879578 130.669735) - (xy 136.879583 130.669736) - (xy 136.893562 130.672708) - (xy 136.904871 130.676058) - (xy 136.918219 130.681181) - (xy 136.918225 130.681183) - (xy 136.921902 130.682594) - (xy 136.991283 130.693583) - (xy 136.995124 130.694294) - (xy 137.06384 130.7089) - (xy 137.082077 130.7089) - (xy 137.09384 130.709826) - (xy 137.111854 130.712679) - (xy 137.115788 130.712473) - (xy 137.115791 130.712473) - (xy 137.181998 130.709003) - (xy 137.185934 130.7089) - (xy 137.25616 130.7089) - (xy 137.260011 130.708081) - (xy 137.260015 130.708081) - (xy 137.273996 130.705109) - (xy 137.285697 130.703568) - (xy 137.295486 130.703055) - (xy 137.29998 130.70282) - (xy 137.299981 130.70282) - (xy 137.30391 130.702614) - (xy 137.307708 130.701596) - (xy 137.30771 130.701596) - (xy 137.371752 130.684436) - (xy 137.37558 130.683517) - (xy 137.440425 130.669734) - (xy 137.440427 130.669733) - (xy 137.444277 130.668915) - (xy 137.460939 130.661497) - (xy 137.472058 130.657559) - (xy 137.485874 130.653857) - (xy 137.489677 130.652838) - (xy 137.55227 130.620946) - (xy 137.55582 130.619253) - (xy 137.565177 130.615087) - (xy 137.61997 130.590691) - (xy 137.634734 130.579965) - (xy 137.644787 130.573805) - (xy 137.657527 130.567314) - (xy 137.657531 130.567312) - (xy 137.661035 130.565526) - (xy 137.715606 130.521336) - (xy 137.718728 130.51894) - (xy 137.772298 130.480019) - (xy 137.77556 130.477649) - (xy 137.822578 130.42543) - (xy 137.825289 130.422575) - (xy 138.894828 129.353036) - (xy 138.903801 129.345372) - (xy 138.911284 129.339935) - (xy 138.91856 129.334649) - (xy 138.921193 129.331725) - (xy 138.921196 129.331722) - (xy 138.965578 129.28243) - (xy 138.968289 129.279575) - (xy 138.983839 129.264025) - (xy 138.985077 129.262496) - (xy 138.985088 129.262484) - (xy 138.997701 129.246909) - (xy 139.000256 129.243917) - (xy 139.038818 129.201088) - (xy 139.047247 129.191727) - (xy 139.049218 129.188313) - (xy 139.049221 129.188309) - (xy 139.05637 129.175928) - (xy 139.063051 129.166206) - (xy 139.072043 129.155101) - (xy 139.074526 129.152035) - (xy 139.101077 129.099926) - (xy 139.106412 129.089455) - (xy 139.108291 129.085995) - (xy 139.141438 129.028583) - (xy 139.143407 129.025173) - (xy 139.144625 129.021425) - (xy 139.149044 129.007826) - (xy 139.153559 128.996926) - (xy 139.160049 128.984189) - (xy 139.160051 128.984185) - (xy 139.161838 128.980677) - (xy 139.180021 128.912816) - (xy 139.181139 128.909045) - (xy 139.201619 128.846015) - (xy 139.201619 128.846014) - (xy 139.202837 128.842266) - (xy 139.204743 128.824132) - (xy 139.206893 128.812529) - (xy 139.211614 128.79491) - (xy 139.215291 128.724758) - (xy 139.215599 128.720844) - (xy 139.215654 128.720328) - (xy 139.2179 128.698952) - (xy 139.2179 128.676934) - (xy 139.218003 128.672998) - (xy 139.221473 128.60679) - (xy 139.221473 128.606787) - (xy 139.221679 128.602854) - (xy 139.218826 128.584841) - (xy 139.2179 128.573077) - (xy 139.2179 128.220923) - (xy 139.218826 128.209159) - (xy 139.221062 128.195041) - (xy 139.221679 128.191146) - (xy 139.221331 128.184494) - (xy 139.218003 128.121002) - (xy 139.2179 128.117066) - (xy 139.2179 128.095048) - (xy 139.215598 128.073141) - (xy 139.21529 128.069232) - (xy 139.214339 128.051074) - (xy 139.211614 127.99909) - (xy 139.206893 127.98147) - (xy 139.204743 127.969868) - (xy 139.203249 127.955653) - (xy 139.203249 127.955652) - (xy 139.202837 127.951734) - (xy 139.181138 127.884951) - (xy 139.18002 127.88118) - (xy 139.17141 127.849048) - (xy 139.161838 127.813323) - (xy 139.157681 127.805164) - (xy 139.150968 127.785888) - (xy 139.148568 127.773984) - (xy 139.147555 127.76896) - (xy 139.14523 127.764397) - (xy 139.145229 127.764394) - (xy 139.081109 127.638553) - (xy 139.078782 127.633986) - (xy 139.075313 127.630214) - (xy 139.07531 127.630209) - (xy 138.990596 127.538084) - (xy 138.987532 127.534535) - (xy 138.983839 127.529975) - (xy 138.968289 127.514425) - (xy 138.965578 127.51157) - (xy 138.921196 127.462278) - (xy 138.921193 127.462275) - (xy 138.91856 127.459351) - (xy 138.903801 127.448628) - (xy 138.894828 127.440964) - (xy 138.407455 126.953591) - (xy 142.171922 126.953591) - (xy 142.172135 126.957658) - (xy 142.172135 126.957661) - (xy 142.17561 127.023963) - (xy 142.181321 127.132921) - (xy 142.182403 127.136848) - (xy 142.182403 127.13685) - (xy 142.216882 127.262024) - (xy 142.229008 127.306049) - (xy 142.230908 127.309653) - (xy 142.230909 127.309655) - (xy 142.308613 127.457033) - (xy 142.31276 127.464898) - (xy 142.315386 127.468005) - (xy 142.315389 127.46801) - (xy 142.394853 127.562043) - (xy 142.428668 127.602058) - (xy 142.4319 127.604529) - (xy 142.431901 127.60453) - (xy 142.522746 127.673986) - (xy 142.571326 127.711128) - (xy 142.734077 127.78702) - (xy 142.909328 127.826193) - (xy 142.914819 127.8265) - (xy 143.046866 127.8265) - (xy 143.048889 127.82628) - (xy 143.048893 127.82628) - (xy 143.133146 127.817127) - (xy 143.180525 127.81198) - (xy 143.350722 127.754703) - (xy 143.354208 127.752608) - (xy 143.354211 127.752607) - (xy 143.501158 127.664311) - (xy 143.504648 127.662214) - (xy 143.509495 127.657631) - (xy 143.59926 127.572744) - (xy 143.635123 127.53883) - (xy 143.675591 127.479284) - (xy 143.708756 127.430483) - (xy 143.73606 127.390306) - (xy 143.745127 127.367638) - (xy 143.784372 127.269517) - (xy 143.802748 127.223573) - (xy 143.832078 127.046409) - (xy 143.830111 127.008868) - (xy 143.826903 126.94767) - (xy 143.822679 126.867079) - (xy 143.81388 126.835133) - (xy 143.776074 126.697878) - (xy 143.776073 126.697875) - (xy 143.774992 126.693951) - (xy 143.738927 126.625547) - (xy 143.693142 126.538709) - (xy 143.693141 126.538707) - (xy 143.69124 126.535102) - (xy 143.688614 126.531995) - (xy 143.688611 126.53199) - (xy 143.600133 126.42729) - (xy 143.575332 126.397942) - (xy 143.566147 126.390919) - (xy 143.435906 126.291343) - (xy 143.432674 126.288872) - (xy 143.269923 126.21298) - (xy 143.094672 126.173807) - (xy 143.089181 126.1735) - (xy 142.957134 126.1735) - (xy 142.955111 126.17372) - (xy 142.955107 126.17372) - (xy 142.907306 126.178913) - (xy 142.823475 126.18802) - (xy 142.653278 126.245297) - (xy 142.649792 126.247392) - (xy 142.649789 126.247393) - (xy 142.514249 126.328835) - (xy 142.499352 126.337786) - (xy 142.496393 126.340584) - (xy 142.496392 126.340585) - (xy 142.460754 126.374286) - (xy 142.368877 126.46117) - (xy 142.366589 126.464537) - (xy 142.366588 126.464538) - (xy 142.350043 126.488883) - (xy 142.26794 126.609694) - (xy 142.201252 126.776427) - (xy 142.171922 126.953591) - (xy 138.407455 126.953591) - (xy 137.825289 126.371425) - (xy 137.822578 126.36857) - (xy 137.811171 126.355901) - (xy 137.77556 126.316351) - (xy 137.718729 126.27506) - (xy 137.715606 126.272664) - (xy 137.664094 126.230951) - (xy 137.664093 126.230951) - (xy 137.661035 126.228474) - (xy 137.657531 126.226688) - (xy 137.657527 126.226686) - (xy 137.644787 126.220195) - (xy 137.634734 126.214035) - (xy 137.61997 126.203309) - (xy 137.555816 126.174745) - (xy 137.552267 126.173053) - (xy 137.493184 126.142949) - (xy 137.493185 126.142949) - (xy 137.489677 126.141162) - (xy 137.472059 126.136441) - (xy 137.460939 126.132503) - (xy 137.444277 126.125085) - (xy 137.440427 126.124267) - (xy 137.440425 126.124266) - (xy 137.37558 126.110483) - (xy 137.371752 126.109564) - (xy 137.30771 126.092404) - (xy 137.307708 126.092404) - (xy 137.30391 126.091386) - (xy 137.299981 126.09118) - (xy 137.29998 126.09118) - (xy 137.295486 126.090945) - (xy 137.285697 126.090432) - (xy 137.273996 126.088891) - (xy 137.260015 126.085919) - (xy 137.260011 126.085919) - (xy 137.25616 126.0851) - (xy 137.185934 126.0851) - (xy 137.181998 126.084997) - (xy 137.115791 126.081527) - (xy 137.115788 126.081527) - (xy 137.111854 126.081321) - (xy 137.093841 126.084174) - (xy 137.082077 126.0851) - (xy 137.06384 126.0851) - (xy 136.995124 126.099706) - (xy 136.991283 126.100417) - (xy 136.921902 126.111406) - (xy 136.918225 126.112817) - (xy 136.918219 126.112819) - (xy 136.904871 126.117942) - (xy 136.893562 126.121292) - (xy 136.879583 126.124264) - (xy 136.879578 126.124265) - (xy 136.875723 126.125085) - (xy 136.872121 126.126689) - (xy 136.872117 126.12669) - (xy 136.811555 126.153654) - (xy 136.807928 126.155157) - (xy 136.742356 126.180327) - (xy 136.727052 126.190266) - (xy 136.716699 126.195887) - (xy 136.70003 126.203309) - (xy 136.668723 126.226055) - (xy 136.643215 126.244587) - (xy 136.639971 126.246817) - (xy 136.581063 126.285073) - (xy 136.568172 126.297964) - (xy 136.559199 126.305628) - (xy 136.54444 126.316351) - (xy 136.541807 126.319275) - (xy 136.541804 126.319278) - (xy 136.497422 126.36857) - (xy 136.494711 126.371425) - (xy 136.445073 126.421063) - (xy 136.437535 126.432671) - (xy 136.435141 126.436357) - (xy 136.427958 126.445718) - (xy 136.415753 126.459273) - (xy 136.398658 126.488883) - (xy 136.38064 126.520091) - (xy 136.378583 126.523448) - (xy 136.340327 126.582356) - (xy 136.338915 126.586034) - (xy 136.338911 126.586042) - (xy 136.333789 126.599385) - (xy 136.328711 126.610032) - (xy 136.321562 126.622415) - (xy 136.321559 126.622421) - (xy 136.319593 126.625827) - (xy 136.315212 126.639312) - (xy 136.297888 126.692629) - (xy 136.296581 126.696319) - (xy 136.271406 126.761902) - (xy 136.27079 126.765792) - (xy 136.268553 126.779914) - (xy 136.265799 126.791386) - (xy 136.26138 126.804988) - (xy 136.260163 126.808734) - (xy 136.259752 126.812646) - (xy 136.259751 126.81265) - (xy 136.252822 126.878582) - (xy 136.252309 126.882478) - (xy 136.241321 126.951854) - (xy 136.241527 126.955787) - (xy 136.241527 126.95579) - (xy 136.244997 127.021998) - (xy 136.2451 127.025934) - (xy 136.2451 129.742107) - (xy 136.244688 129.749968) - (xy 136.24006 129.794) - (xy 124.6879 129.794) - (xy 124.6879 129.467936) - (xy 124.688003 129.464) - (xy 124.689709 129.431445) - (xy 124.690123 129.423552) - (xy 124.68131 129.400592) - (xy 124.67796 129.389284) - (xy 124.672848 129.365234) - (xy 124.667829 129.358325) - (xy 124.658465 129.34108) - (xy 124.658236 129.340483) - (xy 124.658235 129.340482) - (xy 124.655403 129.333104) - (xy 124.638019 129.31572) - (xy 124.630355 129.306748) - (xy 124.620546 129.293247) - (xy 124.620545 129.293247) - (xy 124.615901 129.286854) - (xy 124.608507 129.282585) - (xy 124.592933 129.270634) - (xy 122.581982 127.259682) - (xy 121.4223 126.1) - (xy 129.792329 126.1) - (xy 129.811331 126.244336) - (xy 129.813216 126.248888) - (xy 129.813217 126.24889) - (xy 129.830802 126.291343) - (xy 129.867043 126.378835) - (xy 129.870039 126.382739) - (xy 129.870041 126.382743) - (xy 129.951485 126.488883) - (xy 129.955667 126.494333) - (xy 129.959574 126.497331) - (xy 129.978944 126.512194) - (xy 130.071164 126.582957) - (xy 130.129276 126.607028) - (xy 130.20111 126.636783) - (xy 130.201112 126.636784) - (xy 130.205664 126.638669) - (xy 130.35 126.657671) - (xy 130.494336 126.638669) - (xy 130.498888 126.636784) - (xy 130.49889 126.636783) - (xy 130.570724 126.607028) - (xy 130.628836 126.582957) - (xy 130.721056 126.512194) - (xy 130.740426 126.497331) - (xy 130.744333 126.494333) - (xy 130.748515 126.488883) - (xy 130.829959 126.382743) - (xy 130.829961 126.382739) - (xy 130.832957 126.378835) - (xy 130.869198 126.291343) - (xy 130.886783 126.24889) - (xy 130.886784 126.248888) - (xy 130.888669 126.244336) - (xy 130.907671 126.1) - (xy 132.192329 126.1) - (xy 132.211331 126.244336) - (xy 132.213216 126.248888) - (xy 132.213217 126.24889) - (xy 132.230802 126.291343) - (xy 132.267043 126.378835) - (xy 132.270039 126.382739) - (xy 132.270041 126.382743) - (xy 132.351485 126.488883) - (xy 132.355667 126.494333) - (xy 132.359574 126.497331) - (xy 132.378944 126.512194) - (xy 132.471164 126.582957) - (xy 132.529276 126.607028) - (xy 132.60111 126.636783) - (xy 132.601112 126.636784) - (xy 132.605664 126.638669) - (xy 132.75 126.657671) - (xy 132.894336 126.638669) - (xy 132.898888 126.636784) - (xy 132.89889 126.636783) - (xy 132.970724 126.607028) - (xy 133.028836 126.582957) - (xy 133.121056 126.512194) - (xy 133.140426 126.497331) - (xy 133.144333 126.494333) - (xy 133.148515 126.488883) - (xy 133.229959 126.382743) - (xy 133.229961 126.382739) - (xy 133.232957 126.378835) - (xy 133.269198 126.291343) - (xy 133.286783 126.24889) - (xy 133.286784 126.248888) - (xy 133.288669 126.244336) - (xy 133.307671 126.1) - (xy 133.301088 126.05) - (xy 134.642329 126.05) - (xy 134.661331 126.194336) - (xy 134.663216 126.198888) - (xy 134.663217 126.19889) - (xy 134.676654 126.231328) - (xy 134.717043 126.328835) - (xy 134.720039 126.332739) - (xy 134.720041 126.332743) - (xy 134.798285 126.434713) - (xy 134.805667 126.444333) - (xy 134.921164 126.532957) - (xy 134.938718 126.540228) - (xy 135.05111 126.586783) - (xy 135.051112 126.586784) - (xy 135.055664 126.588669) - (xy 135.2 126.607671) - (xy 135.344336 126.588669) - (xy 135.348888 126.586784) - (xy 135.34889 126.586783) - (xy 135.461282 126.540228) - (xy 135.478836 126.532957) - (xy 135.594333 126.444333) - (xy 135.601715 126.434713) - (xy 135.679959 126.332743) - (xy 135.679961 126.332739) - (xy 135.682957 126.328835) - (xy 135.723346 126.231328) - (xy 135.736783 126.19889) - (xy 135.736784 126.198888) - (xy 135.738669 126.194336) - (xy 135.757671 126.05) - (xy 135.738669 125.905664) - (xy 135.718114 125.856039) - (xy 135.690296 125.788883) - (xy 135.682957 125.771165) - (xy 135.679961 125.767261) - (xy 135.679959 125.767257) - (xy 135.597331 125.659574) - (xy 135.594333 125.655667) - (xy 135.579078 125.643961) - (xy 135.482743 125.570041) - (xy 135.478836 125.567043) - (xy 135.365767 125.520208) - (xy 135.34889 125.513217) - (xy 135.348888 125.513216) - (xy 135.344336 125.511331) - (xy 135.2 125.492329) - (xy 135.055664 125.511331) - (xy 135.051112 125.513216) - (xy 135.05111 125.513217) - (xy 134.981368 125.542106) - (xy 134.921165 125.567043) - (xy 134.917261 125.570039) - (xy 134.917257 125.570041) - (xy 134.818926 125.645493) - (xy 134.805667 125.655667) - (xy 134.802669 125.659574) - (xy 134.720041 125.767257) - (xy 134.720039 125.767261) - (xy 134.717043 125.771165) - (xy 134.709704 125.788883) - (xy 134.681887 125.856039) - (xy 134.661331 125.905664) - (xy 134.642329 126.05) - (xy 133.301088 126.05) - (xy 133.288669 125.955664) - (xy 133.232957 125.821165) - (xy 133.229961 125.817261) - (xy 133.229959 125.817257) - (xy 133.147331 125.709574) - (xy 133.144333 125.705667) - (xy 133.126624 125.692078) - (xy 133.032743 125.620041) - (xy 133.028836 125.617043) - (xy 132.915364 125.570041) - (xy 132.89889 125.563217) - (xy 132.898888 125.563216) - (xy 132.894336 125.561331) - (xy 132.75 125.542329) - (xy 132.605664 125.561331) - (xy 132.601112 125.563216) - (xy 132.60111 125.563217) - (xy 132.584636 125.570041) - (xy 132.471165 125.617043) - (xy 132.467261 125.620039) - (xy 132.467257 125.620041) - (xy 132.37217 125.693004) - (xy 132.355667 125.705667) - (xy 132.352669 125.709574) - (xy 132.270041 125.817257) - (xy 132.270039 125.817261) - (xy 132.267043 125.821165) - (xy 132.211331 125.955664) - (xy 132.192329 126.1) - (xy 130.907671 126.1) - (xy 130.888669 125.955664) - (xy 130.832957 125.821165) - (xy 130.829961 125.817261) - (xy 130.829959 125.817257) - (xy 130.747331 125.709574) - (xy 130.744333 125.705667) - (xy 130.726624 125.692078) - (xy 130.632743 125.620041) - (xy 130.628836 125.617043) - (xy 130.515364 125.570041) - (xy 130.49889 125.563217) - (xy 130.498888 125.563216) - (xy 130.494336 125.561331) - (xy 130.35 125.542329) - (xy 130.205664 125.561331) - (xy 130.201112 125.563216) - (xy 130.20111 125.563217) - (xy 130.184636 125.570041) - (xy 130.071165 125.617043) - (xy 130.067261 125.620039) - (xy 130.067257 125.620041) - (xy 129.97217 125.693004) - (xy 129.955667 125.705667) - (xy 129.952669 125.709574) - (xy 129.870041 125.817257) - (xy 129.870039 125.817261) - (xy 129.867043 125.821165) - (xy 129.811331 125.955664) - (xy 129.792329 126.1) - (xy 121.4223 126.1) - (xy 120.566758 125.244458) - (xy 120.564047 125.241602) - (xy 120.542237 125.217379) - (xy 120.536949 125.211506) - (xy 120.514478 125.201501) - (xy 120.504121 125.195877) - (xy 120.490125 125.186788) - (xy 120.490124 125.186788) - (xy 120.483497 125.182484) - (xy 120.475061 125.181148) - (xy 120.456245 125.175574) - (xy 120.448442 125.1721) - (xy 120.423847 125.1721) - (xy 120.412084 125.171174) - (xy 120.395613 125.168565) - (xy 120.395611 125.168565) - (xy 120.387806 125.167329) - (xy 120.380174 125.169374) - (xy 120.380173 125.169374) - (xy 120.379562 125.169538) - (xy 120.360099 125.1721) - (xy 116.973035 125.1721) - (xy 116.924697 125.154507) - (xy 116.919861 125.150074) - (xy 116.839771 125.069984) - (xy 116.726055 125.012043) - (xy 116.6 124.992078) - (xy 116.594153 124.993004) - (xy 116.594152 124.993004) - (xy 116.563927 124.997791) - (xy 116.513433 124.987976) - (xy 116.49899 124.976691) - (xy 116.372299 124.85) - (xy 128.592329 124.85) - (xy 128.611331 124.994336) - (xy 128.613216 124.998888) - (xy 128.613217 124.99889) - (xy 128.620192 125.015728) - (xy 128.667043 125.128835) - (xy 128.670039 125.132739) - (xy 128.670041 125.132743) - (xy 128.752669 125.240426) - (xy 128.755667 125.244333) - (xy 128.871164 125.332957) - (xy 128.931042 125.357759) - (xy 129.00111 125.386783) - (xy 129.001112 125.386784) - (xy 129.005664 125.388669) - (xy 129.15 125.407671) - (xy 129.294336 125.388669) - (xy 129.298888 125.386784) - (xy 129.29889 125.386783) - (xy 129.368958 125.357759) - (xy 129.428836 125.332957) - (xy 129.544333 125.244333) - (xy 129.547331 125.240426) - (xy 129.629959 125.132743) - (xy 129.629961 125.132739) - (xy 129.632957 125.128835) - (xy 129.679808 125.015728) - (xy 129.686783 124.99889) - (xy 129.686784 124.998888) - (xy 129.688669 124.994336) - (xy 129.707671 124.85) - (xy 130.992329 124.85) - (xy 131.011331 124.994336) - (xy 131.013216 124.998888) - (xy 131.013217 124.99889) - (xy 131.020192 125.015728) - (xy 131.067043 125.128835) - (xy 131.070039 125.132739) - (xy 131.070041 125.132743) - (xy 131.152669 125.240426) - (xy 131.155667 125.244333) - (xy 131.271164 125.332957) - (xy 131.331042 125.357759) - (xy 131.40111 125.386783) - (xy 131.401112 125.386784) - (xy 131.405664 125.388669) - (xy 131.55 125.407671) - (xy 131.694336 125.388669) - (xy 131.698888 125.386784) - (xy 131.69889 125.386783) - (xy 131.768958 125.357759) - (xy 131.828836 125.332957) - (xy 131.944333 125.244333) - (xy 131.947331 125.240426) - (xy 132.029959 125.132743) - (xy 132.029961 125.132739) - (xy 132.032957 125.128835) - (xy 132.079808 125.015728) - (xy 132.086783 124.99889) - (xy 132.086784 124.998888) - (xy 132.088669 124.994336) - (xy 132.101088 124.9) - (xy 133.442329 124.9) - (xy 133.461331 125.044336) - (xy 133.517043 125.178835) - (xy 133.520039 125.182739) - (xy 133.520041 125.182743) - (xy 133.567301 125.244333) - (xy 133.605667 125.294333) - (xy 133.721164 125.382957) - (xy 133.762309 125.4) - (xy 133.85111 125.436783) - (xy 133.851112 125.436784) - (xy 133.855664 125.438669) - (xy 134 125.457671) - (xy 134.144336 125.438669) - (xy 134.148888 125.436784) - (xy 134.14889 125.436783) - (xy 134.237691 125.4) - (xy 134.278836 125.382957) - (xy 134.394333 125.294333) - (xy 134.432699 125.244333) - (xy 134.479959 125.182743) - (xy 134.479961 125.182739) - (xy 134.482957 125.178835) - (xy 134.538669 125.044336) - (xy 134.557671 124.9) - (xy 134.538669 124.755664) - (xy 134.522494 124.716613) - (xy 134.484841 124.625714) - (xy 134.482957 124.621165) - (xy 134.479961 124.617261) - (xy 134.479959 124.617257) - (xy 134.397331 124.509574) - (xy 134.394333 124.505667) - (xy 134.278836 124.417043) - (xy 134.165364 124.370041) - (xy 134.14889 124.363217) - (xy 134.148888 124.363216) - (xy 134.144336 124.361331) - (xy 134 124.342329) - (xy 133.855664 124.361331) - (xy 133.851112 124.363216) - (xy 133.85111 124.363217) - (xy 133.834636 124.370041) - (xy 133.721165 124.417043) - (xy 133.717261 124.420039) - (xy 133.717257 124.420041) - (xy 133.665737 124.459574) - (xy 133.605667 124.505667) - (xy 133.602669 124.509574) - (xy 133.520041 124.617257) - (xy 133.520039 124.617261) - (xy 133.517043 124.621165) - (xy 133.515159 124.625714) - (xy 133.477507 124.716613) - (xy 133.461331 124.755664) - (xy 133.442329 124.9) - (xy 132.101088 124.9) - (xy 132.107671 124.85) - (xy 132.088669 124.705664) - (xy 132.082594 124.690996) - (xy 132.034841 124.575714) - (xy 132.032957 124.571165) - (xy 132.029961 124.567261) - (xy 132.029959 124.567257) - (xy 131.947331 124.459574) - (xy 131.944333 124.455667) - (xy 131.828836 124.367043) - (xy 131.768958 124.342241) - (xy 131.69889 124.313217) - (xy 131.698888 124.313216) - (xy 131.694336 124.311331) - (xy 131.55 124.292329) - (xy 131.405664 124.311331) - (xy 131.401112 124.313216) - (xy 131.40111 124.313217) - (xy 131.331368 124.342106) - (xy 131.271165 124.367043) - (xy 131.267261 124.370039) - (xy 131.267257 124.370041) - (xy 131.159574 124.452669) - (xy 131.155667 124.455667) - (xy 131.152669 124.459574) - (xy 131.070041 124.567257) - (xy 131.070039 124.567261) - (xy 131.067043 124.571165) - (xy 131.065159 124.575714) - (xy 131.017407 124.690996) - (xy 131.011331 124.705664) - (xy 130.992329 124.85) - (xy 129.707671 124.85) - (xy 129.688669 124.705664) - (xy 129.682594 124.690996) - (xy 129.634841 124.575714) - (xy 129.632957 124.571165) - (xy 129.629961 124.567261) - (xy 129.629959 124.567257) - (xy 129.547331 124.459574) - (xy 129.544333 124.455667) - (xy 129.428836 124.367043) - (xy 129.368958 124.342241) - (xy 129.29889 124.313217) - (xy 129.298888 124.313216) - (xy 129.294336 124.311331) - (xy 129.15 124.292329) - (xy 129.005664 124.311331) - (xy 129.001112 124.313216) - (xy 129.00111 124.313217) - (xy 128.931368 124.342106) - (xy 128.871165 124.367043) - (xy 128.867261 124.370039) - (xy 128.867257 124.370041) - (xy 128.759574 124.452669) - (xy 128.755667 124.455667) - (xy 128.752669 124.459574) - (xy 128.670041 124.567257) - (xy 128.670039 124.567261) - (xy 128.667043 124.571165) - (xy 128.665159 124.575714) - (xy 128.617407 124.690996) - (xy 128.611331 124.705664) - (xy 128.592329 124.85) - (xy 116.372299 124.85) - (xy 115.461666 123.939366) - (xy 115.1723 123.65) - (xy 117.392078 123.65) - (xy 117.412043 123.776055) - (xy 117.424244 123.8) - (xy 117.466272 123.882485) - (xy 117.469984 123.889771) - (xy 117.560229 123.980016) - (xy 117.673945 124.037957) - (xy 117.8 124.057922) - (xy 117.926055 124.037957) - (xy 118.039771 123.980016) - (xy 118.130016 123.889771) - (xy 118.133729 123.882485) - (xy 118.175756 123.8) - (xy 118.187957 123.776055) - (xy 118.207922 123.65) - (xy 118.187957 123.523945) - (xy 118.143018 123.435746) - (xy 118.132705 123.415506) - (xy 118.132704 123.415505) - (xy 118.130016 123.410229) - (xy 118.039771 123.319984) - (xy 117.926055 123.262043) - (xy 117.8 123.242078) - (xy 117.673945 123.262043) - (xy 117.560229 123.319984) - (xy 117.469984 123.410229) - (xy 117.467296 123.415505) - (xy 117.467295 123.415506) - (xy 117.456982 123.435746) - (xy 117.412043 123.523945) - (xy 117.392078 123.65) - (xy 115.1723 123.65) - (xy 114.416758 122.894458) - (xy 114.414047 122.891602) - (xy 114.392237 122.867379) - (xy 114.386949 122.861506) - (xy 114.364478 122.851501) - (xy 114.354121 122.845877) - (xy 114.340125 122.836788) - (xy 114.340124 122.836788) - (xy 114.333497 122.832484) - (xy 114.325061 122.831148) - (xy 114.306245 122.825574) - (xy 114.298442 122.8221) - (xy 114.273847 122.8221) - (xy 114.262084 122.821174) - (xy 114.245613 122.818565) - (xy 114.245611 122.818565) - (xy 114.237806 122.817329) - (xy 114.230174 122.819374) - (xy 114.230173 122.819374) - (xy 114.229562 122.819538) - (xy 114.210099 122.8221) - (xy 109.323035 122.8221) - (xy 109.274697 122.804507) - (xy 109.269861 122.800074) - (xy 109.189771 122.719984) - (xy 109.162026 122.705847) - (xy 109.15055 122.7) - (xy 116.442078 122.7) - (xy 116.462043 122.826055) - (xy 116.487465 122.875949) - (xy 116.509234 122.918672) - (xy 116.519984 122.939771) - (xy 116.610229 123.030016) - (xy 116.615505 123.032704) - (xy 116.615506 123.032705) - (xy 116.64724 123.048874) - (xy 116.723945 123.087957) - (xy 116.85 123.107922) - (xy 116.976055 123.087957) - (xy 117.05276 123.048874) - (xy 117.084494 123.032705) - (xy 117.084495 123.032704) - (xy 117.089771 123.030016) - (xy 117.180016 122.939771) - (xy 117.190767 122.918672) - (xy 117.212535 122.875949) - (xy 117.237957 122.826055) - (xy 117.257922 122.7) - (xy 118.242078 122.7) - (xy 118.262043 122.826055) - (xy 118.287465 122.875949) - (xy 118.309234 122.918672) - (xy 118.319984 122.939771) - (xy 118.410229 123.030016) - (xy 118.415505 123.032704) - (xy 118.415506 123.032705) - (xy 118.44724 123.048874) - (xy 118.523945 123.087957) - (xy 118.65 123.107922) - (xy 118.776055 123.087957) - (xy 118.85276 123.048874) - (xy 118.884494 123.032705) - (xy 118.884495 123.032704) - (xy 118.889771 123.030016) - (xy 118.980016 122.939771) - (xy 118.990767 122.918672) - (xy 119.012535 122.875949) - (xy 119.037957 122.826055) - (xy 119.057922 122.7) - (xy 119.037957 122.573945) - (xy 118.980016 122.460229) - (xy 118.889771 122.369984) - (xy 118.776055 122.312043) - (xy 118.65 122.292078) - (xy 118.523945 122.312043) - (xy 118.410229 122.369984) - (xy 118.319984 122.460229) - (xy 118.262043 122.573945) - (xy 118.242078 122.7) - (xy 117.257922 122.7) - (xy 117.237957 122.573945) - (xy 117.180016 122.460229) - (xy 117.089771 122.369984) - (xy 116.976055 122.312043) - (xy 116.85 122.292078) - (xy 116.723945 122.312043) - (xy 116.610229 122.369984) - (xy 116.519984 122.460229) - (xy 116.462043 122.573945) - (xy 116.442078 122.7) - (xy 109.15055 122.7) - (xy 109.136656 122.692921) - (xy 109.076055 122.662043) - (xy 108.95 122.642078) - (xy 108.823945 122.662043) - (xy 108.763344 122.692921) - (xy 108.737975 122.705847) - (xy 108.710229 122.719984) - (xy 108.619984 122.810229) - (xy 108.617296 122.815505) - (xy 108.617295 122.815506) - (xy 108.600179 122.849098) - (xy 108.562043 122.923945) - (xy 108.542078 123.05) - (xy 108.562043 123.176055) - (xy 108.619984 123.289771) - (xy 108.710229 123.380016) - (xy 108.715505 123.382704) - (xy 108.715506 123.382705) - (xy 108.746445 123.398469) - (xy 108.823945 123.437957) - (xy 108.95 123.457922) - (xy 109.076055 123.437957) - (xy 109.153555 123.398469) - (xy 109.184494 123.382705) - (xy 109.184495 123.382704) - (xy 109.189771 123.380016) - (xy 109.269861 123.299926) - (xy 109.316481 123.278186) - (xy 109.323035 123.2779) - (xy 114.124453 123.2779) - (xy 114.172791 123.295493) - (xy 114.177627 123.299926) - (xy 116.176691 125.298991) - (xy 116.198431 125.345611) - (xy 116.197791 125.363929) - (xy 116.192078 125.4) - (xy 116.193004 125.405847) - (xy 116.198304 125.439312) - (xy 116.212043 125.526055) - (xy 116.232927 125.567043) - (xy 116.258404 125.617043) - (xy 116.269984 125.639771) - (xy 116.360229 125.730016) - (xy 116.473945 125.787957) - (xy 116.6 125.807922) - (xy 116.726055 125.787957) - (xy 116.839771 125.730016) - (xy 116.919861 125.649926) - (xy 116.966481 125.628186) - (xy 116.973035 125.6279) - (xy 120.274453 125.6279) - (xy 120.322791 125.645493) - (xy 120.327627 125.649926) - (xy 124.210074 129.532374) - (xy 124.231814 129.578994) - (xy 124.2321 129.585548) - (xy 124.2321 131.3839) - (xy 124.214507 131.432238) - (xy 124.169958 131.457958) - (xy 124.1569 131.4591) - (xy 124.037516 131.4591) - (xy 123.95045 131.469636) - (xy 123.881841 131.4968) - (xy 123.81921 131.521597) - (xy 123.819209 131.521598) - (xy 123.814442 131.523485) - (xy 123.810361 131.526583) - (xy 123.810357 131.526585) - (xy 123.770684 131.556699) - (xy 123.725218 131.572) - (xy 122.654782 131.572) - (xy 122.609316 131.556699) - (xy 122.569643 131.526585) - (xy 122.569639 131.526583) - (xy 122.565558 131.523485) - (xy 122.560791 131.521598) - (xy 122.56079 131.521597) - (xy 122.498159 131.4968) - (xy 122.42955 131.469636) - (xy 122.342484 131.4591) - (xy 121.497516 131.4591) - (xy 121.41045 131.469636) - (xy 121.341841 131.4968) - (xy 121.27921 131.521597) - (xy 121.279209 131.521598) - (xy 121.274442 131.523485) - (xy 121.270361 131.526583) - (xy 121.270357 131.526585) - (xy 121.230684 131.556699) - (xy 121.185218 131.572) - (xy 120.3701 131.572) - (xy 120.321762 131.554407) - (xy 120.296042 131.509858) - (xy 120.2949 131.4968) - (xy 120.2949 129.871923) - (xy 120.295826 129.860159) - (xy 120.298062 129.846041) - (xy 120.298679 129.842146) - (xy 120.296362 129.797922) - (xy 120.295003 129.772001) - (xy 120.2949 129.768065) - (xy 120.2949 129.746048) - (xy 120.292598 129.724148) - (xy 120.29229 129.720231) - (xy 120.290994 129.695493) - (xy 120.288614 129.650089) - (xy 120.283892 129.632465) - (xy 120.281743 129.620868) - (xy 120.280249 129.606652) - (xy 120.280249 129.606651) - (xy 120.279837 129.602734) - (xy 120.25813 129.535924) - (xy 120.257013 129.532151) - (xy 120.239858 129.46813) - (xy 120.239857 129.468127) - (xy 120.238838 129.464323) - (xy 120.237051 129.460815) - (xy 120.237049 129.460811) - (xy 120.230559 129.448074) - (xy 120.226044 129.437174) - (xy 120.221625 129.423575) - (xy 120.221624 129.423573) - (xy 120.220407 129.419827) - (xy 120.193351 129.372965) - (xy 120.185294 129.359009) - (xy 120.183415 129.355549) - (xy 120.153316 129.296477) - (xy 120.151526 129.292964) - (xy 120.140042 129.278783) - (xy 120.133365 129.269066) - (xy 120.126219 129.256689) - (xy 120.124247 129.253273) - (xy 120.081929 129.206274) - (xy 120.07726 129.201088) - (xy 120.074704 129.198096) - (xy 120.062078 129.182505) - (xy 120.062078 129.182504) - (xy 120.060839 129.180975) - (xy 120.045289 129.165425) - (xy 120.042578 129.16257) - (xy 119.998196 129.113278) - (xy 119.998193 129.113275) - (xy 119.99556 129.110351) - (xy 119.980801 129.099628) - (xy 119.971828 129.091964) - (xy 119.739025 128.859161) - (xy 119.737503 128.857929) - (xy 119.737498 128.857924) - (xy 119.630093 128.77095) - (xy 119.630091 128.770949) - (xy 119.627035 128.768474) - (xy 119.455677 128.681162) - (xy 119.451881 128.680145) - (xy 119.451876 128.680143) - (xy 119.349899 128.652819) - (xy 119.26991 128.631386) - (xy 119.260314 128.630883) - (xy 119.081791 128.621527) - (xy 119.081788 128.621527) - (xy 119.077854 128.621321) - (xy 118.887902 128.651406) - (xy 118.850352 128.66582) - (xy 118.712039 128.718913) - (xy 118.712037 128.718914) - (xy 118.708356 128.720327) - (xy 118.547063 128.825073) - (xy 118.411073 128.961063) - (xy 118.306327 129.122356) - (xy 118.304914 129.126037) - (xy 118.304913 129.126039) - (xy 118.27667 129.199616) - (xy 118.237406 129.301902) - (xy 118.207321 129.491854) - (xy 118.207527 129.495787) - (xy 118.207527 129.49579) - (xy 118.209632 129.535955) - (xy 118.217386 129.68391) - (xy 118.240437 129.769938) - (xy 118.266143 129.865876) - (xy 118.266145 129.865881) - (xy 118.267162 129.869677) - (xy 118.326058 129.985266) - (xy 118.352685 130.037523) - (xy 118.354474 130.041035) - (xy 118.356949 130.044091) - (xy 118.35695 130.044093) - (xy 118.379449 130.071877) - (xy 118.445161 130.153025) - (xy 118.445831 130.153695) - (xy 118.4651 130.203882) - (xy 118.4651 131.4968) - (xy 118.447507 131.545138) - (xy 118.402958 131.570858) - (xy 118.3899 131.572) - (xy 117.8301 131.572) - (xy 117.781762 131.554407) - (xy 117.756042 131.509858) - (xy 117.7549 131.4968) - (xy 117.7549 130.203881) - (xy 117.774167 130.153697) - (xy 117.774839 130.153025) - (xy 117.776079 130.151494) - (xy 117.776086 130.151486) - (xy 117.848229 130.062396) - (xy 117.865526 130.041036) - (xy 117.952838 129.869677) - (xy 117.953855 129.86588) - (xy 117.953857 129.865876) - (xy 117.97901 129.772001) - (xy 118.002614 129.683911) - (xy 118.004386 129.65009) - (xy 118.012473 129.49579) - (xy 118.012473 129.495787) - (xy 118.012679 129.491854) - (xy 117.982593 129.301902) - (xy 117.943329 129.199616) - (xy 117.915086 129.126039) - (xy 117.915085 129.126037) - (xy 117.913672 129.122356) - (xy 117.808927 128.961064) - (xy 117.672936 128.825073) - (xy 117.511644 128.720328) - (xy 117.507963 128.718915) - (xy 117.507961 128.718914) - (xy 117.335776 128.652819) - (xy 117.335777 128.652819) - (xy 117.332098 128.651407) - (xy 117.142146 128.621321) - (xy 117.138212 128.621527) - (xy 117.138209 128.621527) - (xy 116.959128 128.630912) - (xy 116.950089 128.631386) - (xy 116.877673 128.65079) - (xy 116.768124 128.680143) - (xy 116.76812 128.680145) - (xy 116.764323 128.681162) - (xy 116.592964 128.768474) - (xy 116.554124 128.799926) - (xy 116.501839 128.842266) - (xy 116.480975 128.859161) - (xy 116.248172 129.091964) - (xy 116.239199 129.099628) - (xy 116.22444 129.110351) - (xy 116.221807 129.113275) - (xy 116.221804 129.113278) - (xy 116.177422 129.16257) - (xy 116.174711 129.165425) - (xy 116.159161 129.180975) - (xy 116.157923 129.182504) - (xy 116.157912 129.182516) - (xy 116.145299 129.198091) - (xy 116.142744 129.201083) - (xy 116.13807 129.206274) - (xy 116.095753 129.253273) - (xy 116.093782 129.256687) - (xy 116.093779 129.256691) - (xy 116.08663 129.269072) - (xy 116.079949 129.278794) - (xy 116.068474 129.292965) - (xy 116.066685 129.296477) - (xy 116.066683 129.29648) - (xy 116.036588 129.355545) - (xy 116.034709 129.359005) - (xy 115.999593 129.419827) - (xy 115.998376 129.423573) - (xy 115.998375 129.423575) - (xy 115.993956 129.437174) - (xy 115.989441 129.448074) - (xy 115.982951 129.460811) - (xy 115.982949 129.460815) - (xy 115.981162 129.464323) - (xy 115.962988 129.532151) - (xy 115.96298 129.53218) - (xy 115.961862 129.535951) - (xy 115.940163 129.602734) - (xy 115.939751 129.606652) - (xy 115.939751 129.606653) - (xy 115.938257 129.620868) - (xy 115.936107 129.63247) - (xy 115.931386 129.65009) - (xy 115.929007 129.695493) - (xy 115.92771 129.720232) - (xy 115.927402 129.724141) - (xy 115.9251 129.746048) - (xy 115.9251 129.768066) - (xy 115.924997 129.772002) - (xy 115.922319 129.823112) - (xy 115.921321 129.842146) - (xy 115.921938 129.846041) - (xy 115.924174 129.860159) - (xy 115.9251 129.871923) - (xy 115.9251 131.4968) - (xy 115.907507 131.545138) - (xy 115.862958 131.570858) - (xy 115.8499 131.572) - (xy 115.034782 131.572) - (xy 114.989316 131.556699) - (xy 114.949643 131.526585) - (xy 114.949639 131.526583) - (xy 114.945558 131.523485) - (xy 114.940791 131.521598) - (xy 114.94079 131.521597) - (xy 114.878159 131.4968) - (xy 114.80955 131.469636) - (xy 114.722484 131.4591) - (xy 113.877516 131.4591) - (xy 113.79045 131.469636) - (xy 113.721841 131.4968) - (xy 113.65921 131.521597) - (xy 113.659209 131.521598) - (xy 113.654442 131.523485) - (xy 113.650361 131.526583) - (xy 113.650357 131.526585) - (xy 113.610684 131.556699) - (xy 113.565218 131.572) - (xy 112.494782 131.572) - (xy 112.449316 131.556699) - (xy 112.409643 131.526585) - (xy 112.409639 131.526583) - (xy 112.405558 131.523485) - (xy 112.400791 131.521598) - (xy 112.40079 131.521597) - (xy 112.338159 131.4968) - (xy 112.26955 131.469636) - (xy 112.182484 131.4591) - (xy 111.337516 131.4591) - (xy 111.25045 131.469636) - (xy 111.181841 131.4968) - (xy 111.11921 131.521597) - (xy 111.119209 131.521598) - (xy 111.114442 131.523485) - (xy 111.110361 131.526583) - (xy 111.110357 131.526585) - (xy 111.070684 131.556699) - (xy 111.025218 131.572) - (xy 109.954782 131.572) - (xy 109.909316 131.556699) - (xy 109.869643 131.526585) - (xy 109.869639 131.526583) - (xy 109.865558 131.523485) - (xy 109.860791 131.521598) - (xy 109.86079 131.521597) - (xy 109.798159 131.4968) - (xy 109.72955 131.469636) - (xy 109.642484 131.4591) - (xy 108.797516 131.4591) - (xy 108.71045 131.469636) - (xy 108.641841 131.4968) - (xy 108.57921 131.521597) - (xy 108.579209 131.521598) - (xy 108.574442 131.523485) - (xy 108.570361 131.526583) - (xy 108.570357 131.526585) - (xy 108.530684 131.556699) - (xy 108.485218 131.572) - (xy 107.414782 131.572) - (xy 107.369316 131.556699) - (xy 107.329643 131.526585) - (xy 107.329639 131.526583) - (xy 107.325558 131.523485) - (xy 107.320791 131.521598) - (xy 107.32079 131.521597) - (xy 107.258159 131.4968) - (xy 107.18955 131.469636) - (xy 107.102484 131.4591) - (xy 106.257516 131.4591) - (xy 106.17045 131.469636) - (xy 106.101841 131.4968) - (xy 106.03921 131.521597) - (xy 106.039209 131.521598) - (xy 106.034442 131.523485) - (xy 106.030361 131.526583) - (xy 106.030357 131.526585) - (xy 105.990684 131.556699) - (xy 105.945218 131.572) - (xy 104.874782 131.572) - (xy 104.829316 131.556699) - (xy 104.789643 131.526585) - (xy 104.789639 131.526583) - (xy 104.785558 131.523485) - (xy 104.780791 131.521598) - (xy 104.78079 131.521597) - (xy 104.718159 131.4968) - (xy 104.64955 131.469636) - (xy 104.562484 131.4591) - (xy 103.717516 131.4591) - (xy 103.63045 131.469636) - (xy 103.561841 131.4968) - (xy 103.49921 131.521597) - (xy 103.499209 131.521598) - (xy 103.494442 131.523485) - (xy 103.490361 131.526583) - (xy 103.490357 131.526585) - (xy 103.450684 131.556699) - (xy 103.405218 131.572) - (xy 102.334782 131.572) - (xy 102.289316 131.556699) - (xy 102.249643 131.526585) - (xy 102.249639 131.526583) - (xy 102.245558 131.523485) - (xy 102.240791 131.521598) - (xy 102.24079 131.521597) - (xy 102.178159 131.4968) - (xy 102.10955 131.469636) - (xy 102.022484 131.4591) - (xy 101.9031 131.4591) - (xy 101.854762 131.441507) - (xy 101.829042 131.396958) - (xy 101.8279 131.3839) - (xy 101.8279 131.316547) - (xy 101.845493 131.268209) - (xy 101.849926 131.263373) - (xy 102.561374 130.551926) - (xy 102.607994 130.530186) - (xy 102.614548 130.5299) - (xy 104.386064 130.5299) - (xy 104.39 130.530003) - (xy 104.430448 130.532123) - (xy 104.453408 130.52331) - (xy 104.464715 130.51996) - (xy 104.488766 130.514848) - (xy 104.495675 130.509829) - (xy 104.51292 130.500465) - (xy 104.513517 130.500236) - (xy 104.513518 130.500235) - (xy 104.520896 130.497403) - (xy 104.53828 130.480019) - (xy 104.547252 130.472355) - (xy 104.560753 130.462546) - (xy 104.560753 130.462545) - (xy 104.567146 130.457901) - (xy 104.571415 130.450507) - (xy 104.583366 130.434933) - (xy 107.955532 127.062767) - (xy 107.958388 127.060056) - (xy 107.982623 127.038235) - (xy 107.988494 127.032949) - (xy 107.989592 127.030483) - (xy 108.029619 127.003488) - (xy 108.080777 127.008868) - (xy 108.100981 127.023281) - (xy 109.183242 128.105542) - (xy 109.185952 128.108397) - (xy 109.213051 128.138494) - (xy 109.235522 128.148499) - (xy 109.245879 128.154123) - (xy 109.266503 128.167516) - (xy 109.274939 128.168852) - (xy 109.293755 128.174426) - (xy 109.301558 128.1779) - (xy 109.326153 128.1779) - (xy 109.337916 128.178826) - (xy 109.354387 128.181435) - (xy 109.354389 128.181435) - (xy 109.362194 128.182671) - (xy 109.369826 128.180626) - (xy 109.369827 128.180626) - (xy 109.370438 128.180462) - (xy 109.389901 128.1779) - (xy 112.776965 128.1779) - (xy 112.825303 128.195493) - (xy 112.830139 128.199926) - (xy 112.910229 128.280016) - (xy 112.915505 128.282704) - (xy 112.915506 128.282705) - (xy 112.94147 128.295934) - (xy 113.023945 128.337957) - (xy 113.15 128.357922) - (xy 113.276055 128.337957) - (xy 113.35853 128.295934) - (xy 113.384494 128.282705) - (xy 113.384495 128.282704) - (xy 113.389771 128.280016) - (xy 113.480016 128.189771) - (xy 113.484264 128.181435) - (xy 113.527275 128.09702) - (xy 113.537957 128.076055) - (xy 113.557922 127.95) - (xy 113.537957 127.823945) - (xy 113.480016 127.710229) - (xy 113.389771 127.619984) - (xy 113.368018 127.6089) - (xy 113.302071 127.575299) - (xy 113.276055 127.562043) - (xy 113.15 127.542078) - (xy 113.023945 127.562043) - (xy 112.997929 127.575299) - (xy 112.931983 127.6089) - (xy 112.910229 127.619984) - (xy 112.830139 127.700074) - (xy 112.783519 127.721814) - (xy 112.776965 127.7221) - (xy 109.475547 127.7221) - (xy 109.427209 127.704507) - (xy 109.422373 127.700074) - (xy 108.349926 126.627626) - (xy 108.328186 126.581006) - (xy 108.3279 126.574452) - (xy 108.3279 124.26773) - (xy 108.345493 124.219392) - (xy 108.391336 124.193456) - (xy 108.420208 124.188883) - (xy 108.426055 124.187957) - (xy 108.539771 124.130016) - (xy 108.630016 124.039771) - (xy 108.687957 123.926055) - (xy 108.707922 123.8) - (xy 108.704526 123.778555) - (xy 108.688883 123.679792) - (xy 108.687957 123.673945) - (xy 108.630016 123.560229) - (xy 108.539771 123.469984) - (xy 108.426055 123.412043) - (xy 108.363028 123.402061) - (xy 108.305847 123.393004) - (xy 108.3 123.392078) - (xy 108.294153 123.393004) - (xy 108.236972 123.402061) - (xy 108.173945 123.412043) - (xy 108.168672 123.41473) - (xy 108.168671 123.41473) - (xy 108.13724 123.430745) - (xy 108.086183 123.437014) - (xy 108.043042 123.408997) - (xy 108.0279 123.363741) - (xy 108.0279 123.213847) - (xy 108.03429 123.187234) - (xy 108.033441 123.186958) - (xy 108.03527 123.181328) - (xy 108.037957 123.176055) - (xy 108.057922 123.05) - (xy 108.037957 122.923945) - (xy 107.999821 122.849098) - (xy 107.982705 122.815506) - (xy 107.982704 122.815505) - (xy 107.980016 122.810229) - (xy 107.889771 122.719984) - (xy 107.862026 122.705847) - (xy 107.836656 122.692921) - (xy 107.776055 122.662043) - (xy 107.65 122.642078) - (xy 107.523945 122.662043) - (xy 107.463344 122.692921) - (xy 107.437975 122.705847) - (xy 107.410229 122.719984) - (xy 107.319984 122.810229) - (xy 107.317296 122.815505) - (xy 107.317295 122.815506) - (xy 107.300179 122.849098) - (xy 107.262043 122.923945) - (xy 107.242078 123.05) - (xy 107.262043 123.176055) - (xy 107.319984 123.289771) - (xy 107.410229 123.380016) - (xy 107.415505 123.382704) - (xy 107.415506 123.382705) - (xy 107.446445 123.398469) - (xy 107.521127 123.436521) - (xy 107.521128 123.436522) - (xy 107.523945 123.437957) - (xy 107.523666 123.438504) - (xy 107.560672 123.467414) - (xy 107.5721 123.507266) - (xy 107.5721 126.770453) - (xy 107.554507 126.818791) - (xy 107.550074 126.823627) - (xy 104.321626 130.052074) - (xy 104.275006 130.073814) - (xy 104.268452 130.0741) - (xy 102.496936 130.0741) - (xy 102.493 130.073997) - (xy 102.489508 130.073814) - (xy 102.452552 130.071877) - (xy 102.429592 130.08069) - (xy 102.418285 130.08404) - (xy 102.394234 130.089152) - (xy 102.38784 130.093798) - (xy 102.387839 130.093798) - (xy 102.387326 130.094171) - (xy 102.37008 130.103535) - (xy 102.369483 130.103764) - (xy 102.369482 130.103765) - (xy 102.362104 130.106597) - (xy 102.34472 130.123981) - (xy 102.335747 130.131645) - (xy 102.315854 130.146099) - (xy 102.311902 130.152944) - (xy 102.311585 130.153493) - (xy 102.299634 130.169067) - (xy 101.870592 130.598108) - (xy 101.444458 131.024242) - (xy 101.441602 131.026953) - (xy 101.424301 131.042531) - (xy 101.411506 131.054051) - (xy 101.401501 131.076522) - (xy 101.395877 131.086879) - (xy 101.382484 131.107503) - (xy 101.381148 131.115939) - (xy 101.375574 131.134755) - (xy 101.3721 131.142558) - (xy 101.3721 131.167153) - (xy 101.371174 131.178916) - (xy 101.368627 131.195) - (xy 101.367329 131.203194) - (xy 101.369374 131.210826) - (xy 101.369374 131.210827) - (xy 101.369538 131.211438) - (xy 101.3721 131.230901) - (xy 101.3721 131.3839) - (xy 101.354507 131.432238) - (xy 101.309958 131.457958) - (xy 101.2969 131.4591) - (xy 101.177516 131.4591) - (xy 101.09045 131.469636) - (xy 101.021841 131.4968) - (xy 100.95921 131.521597) - (xy 100.959209 131.521598) - (xy 100.954442 131.523485) - (xy 100.950361 131.526583) - (xy 100.950357 131.526585) - (xy 100.910684 131.556699) - (xy 100.865218 131.572) - (xy 99.794782 131.572) - (xy 99.749316 131.556699) - (xy 99.709643 131.526585) - (xy 99.709639 131.526583) - (xy 99.705558 131.523485) - (xy 99.700791 131.521598) - (xy 99.70079 131.521597) - (xy 99.638159 131.4968) - (xy 99.56955 131.469636) - (xy 99.482484 131.4591) - (xy 99.3631 131.4591) - (xy 99.314762 131.441507) - (xy 99.289042 131.396958) - (xy 99.2879 131.3839) - (xy 99.2879 131.316547) - (xy 99.305493 131.268209) - (xy 99.309926 131.263373) - (xy 101.123373 129.449926) - (xy 101.169993 129.428186) - (xy 101.176547 129.4279) - (xy 102.313064 129.4279) - (xy 102.317 129.428003) - (xy 102.357448 129.430123) - (xy 102.380408 129.42131) - (xy 102.391715 129.41796) - (xy 102.415766 129.412848) - (xy 102.422675 129.407829) - (xy 102.43992 129.398465) - (xy 102.440517 129.398236) - (xy 102.440518 129.398235) - (xy 102.447896 129.395403) - (xy 102.46528 129.378019) - (xy 102.474252 129.370355) - (xy 102.487753 129.360546) - (xy 102.487753 129.360545) - (xy 102.494146 129.355901) - (xy 102.498415 129.348507) - (xy 102.510366 129.332933) - (xy 106.955532 124.887767) - (xy 106.958388 124.885056) - (xy 106.982619 124.863239) - (xy 106.982619 124.863238) - (xy 106.988494 124.857949) - (xy 106.998499 124.835477) - (xy 107.004129 124.825109) - (xy 107.01321 124.811127) - (xy 107.013211 124.811126) - (xy 107.017516 124.804496) - (xy 107.018852 124.796062) - (xy 107.024429 124.777237) - (xy 107.024686 124.77666) - (xy 107.0279 124.769442) - (xy 107.0279 124.744853) - (xy 107.028826 124.733089) - (xy 107.031436 124.716613) - (xy 107.031436 124.716611) - (xy 107.032672 124.708806) - (xy 107.030462 124.700558) - (xy 107.0279 124.681095) - (xy 107.0279 124.26773) - (xy 107.045493 124.219392) - (xy 107.091336 124.193456) - (xy 107.120208 124.188883) - (xy 107.126055 124.187957) - (xy 107.239771 124.130016) - (xy 107.330016 124.039771) - (xy 107.387957 123.926055) - (xy 107.407922 123.8) - (xy 107.404526 123.778555) - (xy 107.388883 123.679792) - (xy 107.387957 123.673945) - (xy 107.330016 123.560229) - (xy 107.239771 123.469984) - (xy 107.126055 123.412043) - (xy 107.063028 123.402061) - (xy 107.005847 123.393004) - (xy 107 123.392078) - (xy 106.994153 123.393004) - (xy 106.936972 123.402061) - (xy 106.873945 123.412043) - (xy 106.868672 123.41473) - (xy 106.868671 123.41473) - (xy 106.83724 123.430745) - (xy 106.786183 123.437014) - (xy 106.743042 123.408997) - (xy 106.7279 123.363741) - (xy 106.7279 123.213847) - (xy 106.73429 123.187234) - (xy 106.733441 123.186958) - (xy 106.73527 123.181328) - (xy 106.737957 123.176055) - (xy 106.757922 123.05) - (xy 106.737957 122.923945) - (xy 106.699821 122.849098) - (xy 106.682705 122.815506) - (xy 106.682704 122.815505) - (xy 106.680016 122.810229) - (xy 106.589771 122.719984) - (xy 106.562026 122.705847) - (xy 106.536656 122.692921) - (xy 106.476055 122.662043) - (xy 106.35 122.642078) - (xy 106.223945 122.662043) - (xy 106.163344 122.692921) - (xy 106.137975 122.705847) - (xy 106.110229 122.719984) - (xy 106.019984 122.810229) - (xy 106.017296 122.815505) - (xy 106.017295 122.815506) - (xy 106.000179 122.849098) - (xy 105.962043 122.923945) - (xy 105.942078 123.05) - (xy 105.962043 123.176055) - (xy 106.019984 123.289771) - (xy 106.110229 123.380016) - (xy 106.115505 123.382704) - (xy 106.115506 123.382705) - (xy 106.146445 123.398469) - (xy 106.221127 123.436521) - (xy 106.221128 123.436522) - (xy 106.223945 123.437957) - (xy 106.223666 123.438504) - (xy 106.260672 123.467414) - (xy 106.2721 123.507266) - (xy 106.2721 124.424452) - (xy 106.254507 124.47279) - (xy 106.250074 124.477626) - (xy 103.596626 127.131074) - (xy 103.550006 127.152814) - (xy 103.543452 127.1531) - (xy 85.573035 127.1531) - (xy 85.524697 127.135507) - (xy 85.519861 127.131074) - (xy 85.439771 127.050984) - (xy 85.422805 127.042339) - (xy 85.349677 127.005079) - (xy 85.326055 126.993043) - (xy 85.240528 126.979497) - (xy 85.205847 126.974004) - (xy 85.2 126.973078) - (xy 85.194153 126.974004) - (xy 85.159472 126.979497) - (xy 85.073945 126.993043) - (xy 85.050323 127.005079) - (xy 84.977196 127.042339) - (xy 84.960229 127.050984) - (xy 84.869984 127.141229) - (xy 84.867296 127.146505) - (xy 84.867295 127.146506) - (xy 84.850221 127.180016) - (xy 84.812043 127.254945) - (xy 84.808566 127.276896) - (xy 84.795185 127.361386) - (xy 84.792078 127.381) - (xy 84.793004 127.386847) - (xy 84.799581 127.428375) - (xy 84.812043 127.507055) - (xy 84.82157 127.525753) - (xy 84.853242 127.587912) - (xy 84.869984 127.620771) - (xy 84.960229 127.711016) - (xy 84.965505 127.713704) - (xy 84.965506 127.713705) - (xy 85.011763 127.737274) - (xy 85.073945 127.768957) - (xy 85.136972 127.778939) - (xy 85.168766 127.783975) - (xy 85.2 127.788922) - (xy 85.231235 127.783975) - (xy 85.263028 127.778939) - (xy 85.326055 127.768957) - (xy 85.388237 127.737274) - (xy 85.434494 127.713705) - (xy 85.434495 127.713704) - (xy 85.439771 127.711016) - (xy 85.519861 127.630926) - (xy 85.566481 127.609186) - (xy 85.573035 127.6089) - (xy 103.408252 127.6089) - (xy 103.45659 127.626493) - (xy 103.48231 127.671042) - (xy 103.473377 127.7217) - (xy 103.461426 127.737274) - (xy 102.248626 128.950074) - (xy 102.202006 128.971814) - (xy 102.195452 128.9721) - (xy 101.058929 128.9721) - (xy 101.054992 128.971997) - (xy 101.051501 128.971814) - (xy 101.014552 128.969877) - (xy 100.991592 128.97869) - (xy 100.980285 128.98204) - (xy 100.956234 128.987152) - (xy 100.94984 128.991798) - (xy 100.949839 128.991798) - (xy 100.949326 128.992171) - (xy 100.93208 129.001535) - (xy 100.931483 129.001764) - (xy 100.931482 129.001765) - (xy 100.924104 129.004597) - (xy 100.90672 129.021981) - (xy 100.897747 129.029645) - (xy 100.877854 129.044099) - (xy 100.873902 129.050944) - (xy 100.873585 129.051493) - (xy 100.861634 129.067067) - (xy 99.876626 130.052074) - (xy 98.904458 131.024242) - (xy 98.901602 131.026953) - (xy 98.884301 131.042531) - (xy 98.871506 131.054051) - (xy 98.861501 131.076522) - (xy 98.855877 131.086879) - (xy 98.842484 131.107503) - (xy 98.841148 131.115939) - (xy 98.835574 131.134755) - (xy 98.8321 131.142558) - (xy 98.8321 131.167153) - (xy 98.831174 131.178916) - (xy 98.828627 131.195) - (xy 98.827329 131.203194) - (xy 98.829374 131.210826) - (xy 98.829374 131.210827) - (xy 98.829538 131.211438) - (xy 98.8321 131.230901) - (xy 98.8321 131.3839) - (xy 98.814507 131.432238) - (xy 98.769958 131.457958) - (xy 98.7569 131.4591) - (xy 98.637516 131.4591) - (xy 98.55045 131.469636) - (xy 98.481841 131.4968) - (xy 98.41921 131.521597) - (xy 98.419209 131.521598) - (xy 98.414442 131.523485) - (xy 98.410361 131.526583) - (xy 98.410357 131.526585) - (xy 98.370684 131.556699) - (xy 98.325218 131.572) - (xy 97.254782 131.572) - (xy 97.209316 131.556699) - (xy 97.169643 131.526585) - (xy 97.169639 131.526583) - (xy 97.165558 131.523485) - (xy 97.160791 131.521598) - (xy 97.16079 131.521597) - (xy 97.098159 131.4968) - (xy 97.02955 131.469636) - (xy 96.942484 131.4591) - (xy 96.8231 131.4591) - (xy 96.774762 131.441507) - (xy 96.749042 131.396958) - (xy 96.7479 131.3839) - (xy 96.7479 131.198936) - (xy 96.748003 131.195) - (xy 96.749152 131.173069) - (xy 96.750123 131.154552) - (xy 96.74131 131.131592) - (xy 96.73796 131.120284) - (xy 96.734491 131.103965) - (xy 96.732848 131.096234) - (xy 96.727829 131.089325) - (xy 96.718465 131.07208) - (xy 96.718236 131.071483) - (xy 96.718235 131.071482) - (xy 96.715403 131.064104) - (xy 96.698019 131.04672) - (xy 96.690355 131.037748) - (xy 96.680546 131.024247) - (xy 96.680545 131.024247) - (xy 96.675901 131.017854) - (xy 96.668507 131.013585) - (xy 96.652933 131.001634) - (xy 95.351226 129.699926) - (xy 94.045758 128.394458) - (xy 94.043047 128.391602) - (xy 94.021237 128.367379) - (xy 94.015949 128.361506) - (xy 93.993478 128.351501) - (xy 93.983121 128.345877) - (xy 93.969125 128.336788) - (xy 93.969124 128.336788) - (xy 93.962497 128.332484) - (xy 93.954061 128.331148) - (xy 93.935245 128.325574) - (xy 93.927442 128.3221) - (xy 93.902847 128.3221) - (xy 93.891084 128.321174) - (xy 93.874613 128.318565) - (xy 93.874611 128.318565) - (xy 93.866806 128.317329) - (xy 93.859174 128.319374) - (xy 93.859173 128.319374) - (xy 93.858562 128.319538) - (xy 93.839099 128.3221) - (xy 85.125547 128.3221) - (xy 85.077209 128.304507) - (xy 85.072373 128.300074) - (xy 83.723309 126.951009) - (xy 83.701569 126.904389) - (xy 83.702209 126.886071) - (xy 83.706996 126.855847) - (xy 83.707922 126.85) - (xy 83.687957 126.723945) - (xy 83.653861 126.657028) - (xy 83.632705 126.615506) - (xy 83.632704 126.615505) - (xy 83.630016 126.610229) - (xy 83.539771 126.519984) - (xy 83.524483 126.512194) - (xy 83.465358 126.482069) - (xy 83.426055 126.462043) - (xy 83.359855 126.451558) - (xy 83.305847 126.443004) - (xy 83.3 126.442078) - (xy 83.294153 126.443004) - (xy 83.240145 126.451558) - (xy 83.173945 126.462043) - (xy 83.134642 126.482069) - (xy 83.075518 126.512194) - (xy 83.060229 126.519984) - (xy 83.006274 126.573939) - (xy 82.959654 126.595679) - (xy 82.909967 126.582365) - (xy 82.880462 126.540228) - (xy 82.8779 126.520765) - (xy 82.8779 126.473035) - (xy 82.895493 126.424697) - (xy 82.899926 126.419861) - (xy 82.980016 126.339771) - (xy 83.037957 126.226055) - (xy 83.055892 126.112819) - (xy 83.056996 126.105847) - (xy 83.057922 126.1) - (xy 83.056358 126.090122) - (xy 83.038883 125.979792) - (xy 83.037957 125.973945) - (xy 82.980016 125.860229) - (xy 82.889771 125.769984) - (xy 82.776055 125.712043) - (xy 82.65 125.692078) - (xy 82.523945 125.712043) - (xy 82.410229 125.769984) - (xy 82.319984 125.860229) - (xy 82.262043 125.973945) - (xy 82.261117 125.979792) - (xy 82.243643 126.090122) - (xy 82.242078 126.1) - (xy 82.243004 126.105847) - (xy 82.244108 126.112819) - (xy 82.262043 126.226055) - (xy 82.319984 126.339771) - (xy 82.400074 126.419861) - (xy 82.421814 126.466481) - (xy 82.4221 126.473035) - (xy 82.4221 126.520765) - (xy 82.404507 126.569103) - (xy 82.359958 126.594823) - (xy 82.3093 126.58589) - (xy 82.293726 126.573939) - (xy 82.239771 126.519984) - (xy 82.224483 126.512194) - (xy 82.165358 126.482069) - (xy 82.126055 126.462043) - (xy 82.059855 126.451558) - (xy 82.005847 126.443004) - (xy 82 126.442078) - (xy 81.994153 126.443004) - (xy 81.940145 126.451558) - (xy 81.873945 126.462043) - (xy 81.834642 126.482069) - (xy 81.775518 126.512194) - (xy 81.760229 126.519984) - (xy 81.706274 126.573939) - (xy 81.659654 126.595679) - (xy 81.609967 126.582365) - (xy 81.580462 126.540228) - (xy 81.5779 126.520765) - (xy 81.5779 126.473035) - (xy 81.595493 126.424697) - (xy 81.599926 126.419861) - (xy 81.680016 126.339771) - (xy 81.737957 126.226055) - (xy 81.755892 126.112819) - (xy 81.756996 126.105847) - (xy 81.757922 126.1) - (xy 81.756358 126.090122) - (xy 81.738883 125.979792) - (xy 81.737957 125.973945) - (xy 81.680016 125.860229) - (xy 81.589771 125.769984) - (xy 81.476055 125.712043) - (xy 81.35 125.692078) - (xy 81.223945 125.712043) - (xy 81.110229 125.769984) - (xy 81.019984 125.860229) - (xy 80.962043 125.973945) - (xy 80.961117 125.979792) - (xy 80.943643 126.090122) - (xy 80.942078 126.1) - (xy 80.943004 126.105847) - (xy 80.944108 126.112819) - (xy 80.962043 126.226055) - (xy 81.019984 126.339771) - (xy 81.100074 126.419861) - (xy 81.121814 126.466481) - (xy 81.1221 126.473035) - (xy 81.1221 126.520765) - (xy 81.104507 126.569103) - (xy 81.059958 126.594823) - (xy 81.0093 126.58589) - (xy 80.993726 126.573939) - (xy 80.939771 126.519984) - (xy 80.924483 126.512194) - (xy 80.865358 126.482069) - (xy 80.826055 126.462043) - (xy 80.759855 126.451558) - (xy 80.705847 126.443004) - (xy 80.7 126.442078) - (xy 80.694153 126.443004) - (xy 80.640145 126.451558) - (xy 80.573945 126.462043) - (xy 80.534642 126.482069) - (xy 80.475518 126.512194) - (xy 80.460229 126.519984) - (xy 80.406274 126.573939) - (xy 80.359654 126.595679) - (xy 80.309967 126.582365) - (xy 80.280462 126.540228) - (xy 80.2779 126.520765) - (xy 80.2779 126.473035) - (xy 80.295493 126.424697) - (xy 80.299926 126.419861) - (xy 80.380016 126.339771) - (xy 80.437957 126.226055) - (xy 80.455892 126.112819) - (xy 80.456996 126.105847) - (xy 80.457922 126.1) - (xy 80.456358 126.090122) - (xy 80.438883 125.979792) - (xy 80.437957 125.973945) - (xy 80.380016 125.860229) - (xy 80.289771 125.769984) - (xy 80.176055 125.712043) - (xy 80.05 125.692078) - (xy 79.923945 125.712043) - (xy 79.810229 125.769984) - (xy 79.719984 125.860229) - (xy 79.662043 125.973945) - (xy 79.661117 125.979792) - (xy 79.643643 126.090122) - (xy 79.642078 126.1) - (xy 79.643004 126.105847) - (xy 79.644108 126.112819) - (xy 79.662043 126.226055) - (xy 79.719984 126.339771) - (xy 79.800074 126.419861) - (xy 79.821814 126.466481) - (xy 79.8221 126.473035) - (xy 79.8221 126.520765) - (xy 79.804507 126.569103) - (xy 79.759958 126.594823) - (xy 79.7093 126.58589) - (xy 79.693726 126.573939) - (xy 79.639771 126.519984) - (xy 79.624483 126.512194) - (xy 79.565358 126.482069) - (xy 79.526055 126.462043) - (xy 79.459855 126.451558) - (xy 79.405847 126.443004) - (xy 79.4 126.442078) - (xy 79.394153 126.443004) - (xy 79.340145 126.451558) - (xy 79.273945 126.462043) - (xy 79.234642 126.482069) - (xy 79.175518 126.512194) - (xy 79.160229 126.519984) - (xy 79.069984 126.610229) - (xy 79.067296 126.615505) - (xy 79.067295 126.615506) - (xy 79.046139 126.657028) - (xy 79.012043 126.723945) - (xy 78.992078 126.85) - (xy 79.012043 126.976055) - (xy 79.028762 127.008868) - (xy 79.054844 127.060056) - (xy 79.069984 127.089771) - (xy 79.160229 127.180016) - (xy 79.165505 127.182704) - (xy 79.165506 127.182705) - (xy 79.213344 127.207079) - (xy 79.273945 127.237957) - (xy 79.279792 127.238883) - (xy 79.381204 127.254945) - (xy 79.4 127.257922) - (xy 79.405847 127.256996) - (xy 79.405848 127.256996) - (xy 79.436073 127.252209) - (xy 79.486567 127.262024) - (xy 79.50101 127.273309) - (xy 81.030074 128.802373) - (xy 81.051814 128.848993) - (xy 81.0521 128.855547) - (xy 81.0521 131.3839) - (xy 81.034507 131.432238) - (xy 80.989958 131.457958) - (xy 80.9769 131.4591) - (xy 80.857516 131.4591) - (xy 80.77045 131.469636) - (xy 80.701841 131.4968) - (xy 80.63921 131.521597) - (xy 80.639209 131.521598) - (xy 80.634442 131.523485) - (xy 80.630361 131.526583) - (xy 80.630357 131.526585) - (xy 80.590684 131.556699) - (xy 80.545218 131.572) - (xy 79.474782 131.572) - (xy 79.429316 131.556699) - (xy 79.389643 131.526585) - (xy 79.389639 131.526583) - (xy 79.385558 131.523485) - (xy 79.380791 131.521598) - (xy 79.38079 131.521597) - (xy 79.318159 131.4968) - (xy 79.24955 131.469636) - (xy 79.162484 131.4591) - (xy 79.0531 131.4591) - (xy 79.004762 131.441507) - (xy 78.979042 131.396958) - (xy 78.9779 131.3839) - (xy 78.9779 127.557928) - (xy 78.978003 127.553991) - (xy 78.978579 127.543004) - (xy 78.980123 127.513552) - (xy 78.97131 127.490592) - (xy 78.96796 127.479284) - (xy 78.962848 127.455234) - (xy 78.957829 127.448325) - (xy 78.948465 127.43108) - (xy 78.948236 127.430483) - (xy 78.948235 127.430482) - (xy 78.945403 127.423104) - (xy 78.928019 127.40572) - (xy 78.920355 127.396748) - (xy 78.910546 127.383247) - (xy 78.910545 127.383247) - (xy 78.905901 127.376854) - (xy 78.898508 127.372585) - (xy 78.882935 127.360636) - (xy 78.599925 127.077625) - (xy 78.578186 127.031005) - (xy 78.5779 127.024451) - (xy 78.5779 126.625547) - (xy 78.595493 126.577209) - (xy 78.599926 126.572373) - (xy 78.64899 126.523309) - (xy 78.69561 126.501569) - (xy 78.713927 126.502209) - (xy 78.744152 126.506996) - (xy 78.744153 126.506996) - (xy 78.75 126.507922) - (xy 78.77232 126.504387) - (xy 78.870208 126.488883) - (xy 78.876055 126.487957) - (xy 78.977326 126.436357) - (xy 78.984494 126.432705) - (xy 78.984495 126.432704) - (xy 78.989771 126.430016) - (xy 79.080016 126.339771) - (xy 79.137957 126.226055) - (xy 79.155892 126.112819) - (xy 79.156996 126.105847) - (xy 79.157922 126.1) - (xy 79.156358 126.090122) - (xy 79.138883 125.979792) - (xy 79.137957 125.973945) - (xy 79.080016 125.860229) - (xy 78.989771 125.769984) - (xy 78.876055 125.712043) - (xy 78.75 125.692078) - (xy 78.623945 125.712043) - (xy 78.510229 125.769984) - (xy 78.419984 125.860229) - (xy 78.362043 125.973945) - (xy 78.361117 125.979792) - (xy 78.343643 126.090122) - (xy 78.342078 126.1) - (xy 78.343004 126.105847) - (xy 78.347791 126.136071) - (xy 78.337976 126.186566) - (xy 78.326691 126.201009) - (xy 78.194459 126.333241) - (xy 78.191604 126.335951) - (xy 78.161506 126.363051) - (xy 78.151501 126.385522) - (xy 78.145877 126.395879) - (xy 78.137875 126.408202) - (xy 78.132484 126.416503) - (xy 78.131148 126.424939) - (xy 78.125574 126.443755) - (xy 78.1221 126.451558) - (xy 78.1221 126.476153) - (xy 78.121174 126.487916) - (xy 78.121116 126.48828) - (xy 78.119012 126.501569) - (xy 78.117329 126.512194) - (xy 78.119374 126.519826) - (xy 78.119374 126.519827) - (xy 78.119538 126.520438) - (xy 78.1221 126.539901) - (xy 78.1221 127.142064) - (xy 78.121997 127.146) - (xy 78.119877 127.186448) - (xy 78.12869 127.209408) - (xy 78.13204 127.220715) - (xy 78.137152 127.244766) - (xy 78.141798 127.25116) - (xy 78.141798 127.251161) - (xy 78.142171 127.251674) - (xy 78.151535 127.26892) - (xy 78.154597 127.276896) - (xy 78.171981 127.29428) - (xy 78.179645 127.303252) - (xy 78.194099 127.323146) - (xy 78.200944 127.327098) - (xy 78.201493 127.327415) - (xy 78.217067 127.339366) - (xy 78.500074 127.622373) - (xy 78.521814 127.668993) - (xy 78.5221 127.675547) - (xy 78.5221 131.3839) - (xy 78.504507 131.432238) - (xy 78.459958 131.457958) - (xy 78.4469 131.4591) - (xy 78.317516 131.4591) - (xy 78.23045 131.469636) - (xy 78.161841 131.4968) - (xy 78.09921 131.521597) - (xy 78.099209 131.521598) - (xy 78.094442 131.523485) - (xy 78.090361 131.526583) - (xy 78.090357 131.526585) - (xy 78.050684 131.556699) - (xy 78.005218 131.572) - (xy 77.1901 131.572) - (xy 77.141762 131.554407) - (xy 77.116042 131.509858) - (xy 77.1149 131.4968) - (xy 77.1149 129.823112) - (xy 77.132493 129.774774) - (xy 77.136926 129.769938) - (xy 77.971575 128.935289) - (xy 77.97443 128.932578) - (xy 78.026649 128.88556) - (xy 78.06794 128.828728) - (xy 78.070336 128.825606) - (xy 78.112049 128.774094) - (xy 78.112049 128.774093) - (xy 78.114526 128.771035) - (xy 78.116312 128.767531) - (xy 78.116314 128.767527) - (xy 78.122805 128.754787) - (xy 78.128965 128.744734) - (xy 78.139691 128.72997) - (xy 78.168255 128.665816) - (xy 78.169947 128.662267) - (xy 78.174761 128.652819) - (xy 78.201838 128.599677) - (xy 78.206559 128.582058) - (xy 78.210497 128.570939) - (xy 78.217915 128.554277) - (xy 78.232517 128.48558) - (xy 78.233436 128.481752) - (xy 78.250596 128.41771) - (xy 78.250596 128.417708) - (xy 78.251614 128.41391) - (xy 78.252568 128.395697) - (xy 78.254109 128.383996) - (xy 78.257081 128.370015) - (xy 78.257081 128.370011) - (xy 78.2579 128.36616) - (xy 78.2579 128.295934) - (xy 78.258003 128.291998) - (xy 78.261473 128.22579) - (xy 78.261473 128.225787) - (xy 78.261679 128.221854) - (xy 78.259805 128.210021) - (xy 78.258826 128.203841) - (xy 78.2579 128.192077) - (xy 78.2579 128.17384) - (xy 78.246251 128.119037) - (xy 78.243295 128.105127) - (xy 78.242578 128.101256) - (xy 78.236647 128.063811) - (xy 78.231593 128.031902) - (xy 78.225056 128.014873) - (xy 78.221708 128.003568) - (xy 78.218734 127.989577) - (xy 78.217915 127.985723) - (xy 78.189343 127.921549) - (xy 78.187838 127.917915) - (xy 78.164085 127.856037) - (xy 78.162672 127.852356) - (xy 78.15274 127.837061) - (xy 78.147111 127.826695) - (xy 78.146888 127.826193) - (xy 78.139691 127.81003) - (xy 78.136159 127.805168) - (xy 78.098403 127.7532) - (xy 78.096173 127.749956) - (xy 78.069353 127.708657) - (xy 78.057927 127.691063) - (xy 78.045036 127.678172) - (xy 78.037372 127.669199) - (xy 78.032297 127.662214) - (xy 78.026649 127.65444) - (xy 78.023725 127.651807) - (xy 78.023722 127.651804) - (xy 77.97443 127.607422) - (xy 77.971575 127.604711) - (xy 77.921937 127.555073) - (xy 77.906643 127.545141) - (xy 77.897282 127.537958) - (xy 77.886658 127.528392) - (xy 77.886657 127.528392) - (xy 77.883727 127.525753) - (xy 77.836478 127.498474) - (xy 77.8229 127.490635) - (xy 77.819543 127.488578) - (xy 77.76395 127.452475) - (xy 77.760644 127.450328) - (xy 77.756216 127.448628) - (xy 77.74362 127.443793) - (xy 77.732973 127.438714) - (xy 77.720588 127.431564) - (xy 77.720583 127.431562) - (xy 77.717173 127.429593) - (xy 77.660449 127.411162) - (xy 77.65037 127.407887) - (xy 77.646659 127.406573) - (xy 77.611247 127.39298) - (xy 77.581098 127.381407) - (xy 77.56309 127.378555) - (xy 77.551614 127.3758) - (xy 77.549623 127.375153) - (xy 77.534266 127.370163) - (xy 77.530354 127.369752) - (xy 77.53035 127.369751) - (xy 77.497718 127.366322) - (xy 77.464393 127.362819) - (xy 77.46053 127.36231) - (xy 77.391146 127.351321) - (xy 77.387212 127.351527) - (xy 77.387209 127.351527) - (xy 77.321002 127.354997) - (xy 77.317066 127.3551) - (xy 76.404923 127.3551) - (xy 76.393159 127.354174) - (xy 76.379041 127.351938) - (xy 76.379042 127.351938) - (xy 76.375146 127.351321) - (xy 76.371212 127.351527) - (xy 76.371209 127.351527) - (xy 76.305002 127.354997) - (xy 76.301066 127.3551) - (xy 76.279048 127.3551) - (xy 76.277089 127.355306) - (xy 76.277087 127.355306) - (xy 76.273753 127.355656) - (xy 76.257141 127.357402) - (xy 76.253242 127.357709) - (xy 76.18309 127.361386) - (xy 76.165471 127.366107) - (xy 76.153868 127.368257) - (xy 76.139653 127.369751) - (xy 76.139652 127.369751) - (xy 76.135734 127.370163) - (xy 76.131986 127.371381) - (xy 76.131985 127.371381) - (xy 76.127298 127.372904) - (xy 76.073741 127.390306) - (xy 76.068955 127.391861) - (xy 76.065184 127.392979) - (xy 75.997323 127.411162) - (xy 75.993815 127.412949) - (xy 75.993811 127.412951) - (xy 75.981074 127.419441) - (xy 75.970174 127.423956) - (xy 75.956575 127.428375) - (xy 75.956573 127.428376) - (xy 75.952827 127.429593) - (xy 75.919359 127.448916) - (xy 75.892005 127.464709) - (xy 75.888545 127.466588) - (xy 75.825965 127.498474) - (xy 75.822899 127.500957) - (xy 75.811794 127.509949) - (xy 75.802072 127.51663) - (xy 75.789691 127.523779) - (xy 75.789687 127.523782) - (xy 75.786273 127.525753) - (xy 75.768642 127.541628) - (xy 75.734083 127.572744) - (xy 75.731091 127.575299) - (xy 75.715516 127.587912) - (xy 75.715504 127.587923) - (xy 75.713975 127.589161) - (xy 75.698425 127.604711) - (xy 75.69557 127.607422) - (xy 75.646278 127.651804) - (xy 75.646275 127.651807) - (xy 75.643351 127.65444) - (xy 75.637703 127.662214) - (xy 75.632628 127.669199) - (xy 75.624964 127.678172) - (xy 75.608172 127.694964) - (xy 75.599199 127.702628) - (xy 75.58444 127.713351) - (xy 75.581807 127.716275) - (xy 75.581804 127.716278) - (xy 75.537422 127.76557) - (xy 75.534711 127.768425) - (xy 75.519161 127.783975) - (xy 75.517923 127.785504) - (xy 75.517912 127.785516) - (xy 75.505299 127.801091) - (xy 75.502744 127.804083) - (xy 75.455753 127.856273) - (xy 75.453782 127.859687) - (xy 75.453779 127.859691) - (xy 75.44663 127.872072) - (xy 75.439949 127.881794) - (xy 75.428474 127.895965) - (xy 75.426683 127.89948) - (xy 75.396588 127.958545) - (xy 75.394709 127.962005) - (xy 75.359593 128.022827) - (xy 75.358376 128.026573) - (xy 75.358375 128.026575) - (xy 75.353956 128.040174) - (xy 75.349441 128.051074) - (xy 75.342951 128.063811) - (xy 75.342949 128.063815) - (xy 75.341162 128.067323) - (xy 75.326779 128.121002) - (xy 75.32298 128.13518) - (xy 75.321862 128.138951) - (xy 75.313979 128.163212) - (xy 75.302678 128.197995) - (xy 75.300163 128.205734) - (xy 75.299751 128.209652) - (xy 75.299751 128.209653) - (xy 75.298257 128.223868) - (xy 75.296107 128.23547) - (xy 75.291386 128.25309) - (xy 75.287904 128.319538) - (xy 75.28771 128.323232) - (xy 75.287402 128.327141) - (xy 75.2851 128.349048) - (xy 75.2851 128.371066) - (xy 75.284997 128.375002) - (xy 75.281321 128.445146) - (xy 75.281938 128.449041) - (xy 75.284174 128.463159) - (xy 75.2851 128.474923) - (xy 75.2851 129.387066) - (xy 75.284997 129.391002) - (xy 75.282878 129.431445) - (xy 75.281321 129.461146) - (xy 75.282427 129.468127) - (xy 75.284174 129.479159) - (xy 75.2851 129.490923) - (xy 75.2851 131.4968) - (xy 75.267507 131.545138) - (xy 75.222958 131.570858) - (xy 75.2099 131.572) - (xy 48.140448 131.572) - (xy 48.1257 131.57054) - (xy 47.50787 131.446974) - (xy 47.488996 131.440498) - (xy 47.000911 131.196455) - (xy 46.98137 131.18237) - (xy 46.49063 130.69163) - (xy 46.476543 130.672087) - (xy 46.232502 130.184004) - (xy 46.226025 130.165127) - (xy 46.223699 130.153493) - (xy 46.10246 129.5473) - (xy 46.101 129.532552) - (xy 46.101 126.953591) - (xy 47.302922 126.953591) - (xy 47.303135 126.957658) - (xy 47.303135 126.957661) - (xy 47.30661 127.023963) - (xy 47.312321 127.132921) - (xy 47.313403 127.136848) - (xy 47.313403 127.13685) - (xy 47.347882 127.262024) - (xy 47.360008 127.306049) - (xy 47.361908 127.309653) - (xy 47.361909 127.309655) - (xy 47.439613 127.457033) - (xy 47.44376 127.464898) - (xy 47.446386 127.468005) - (xy 47.446389 127.46801) - (xy 47.525853 127.562043) - (xy 47.559668 127.602058) - (xy 47.5629 127.604529) - (xy 47.562901 127.60453) - (xy 47.653746 127.673986) - (xy 47.702326 127.711128) - (xy 47.865077 127.78702) - (xy 48.040328 127.826193) - (xy 48.045819 127.8265) - (xy 48.177866 127.8265) - (xy 48.179889 127.82628) - (xy 48.179893 127.82628) - (xy 48.264146 127.817127) - (xy 48.311525 127.81198) - (xy 48.481722 127.754703) - (xy 48.485208 127.752608) - (xy 48.485211 127.752607) - (xy 48.632158 127.664311) - (xy 48.635648 127.662214) - (xy 48.640495 127.657631) - (xy 48.73026 127.572744) - (xy 48.766123 127.53883) - (xy 48.806591 127.479284) - (xy 48.839756 127.430483) - (xy 48.86706 127.390306) - (xy 48.876127 127.367638) - (xy 48.915372 127.269517) - (xy 48.933748 127.223573) - (xy 48.963078 127.046409) - (xy 48.961111 127.008868) - (xy 48.957903 126.94767) - (xy 48.953679 126.867079) - (xy 48.94488 126.835133) - (xy 48.907074 126.697878) - (xy 48.907073 126.697875) - (xy 48.905992 126.693951) - (xy 48.869927 126.625547) - (xy 48.824142 126.538709) - (xy 48.824141 126.538707) - (xy 48.82224 126.535102) - (xy 48.819614 126.531995) - (xy 48.819611 126.53199) - (xy 48.731133 126.42729) - (xy 48.706332 126.397942) - (xy 48.697147 126.390919) - (xy 48.566906 126.291343) - (xy 48.563674 126.288872) - (xy 48.400923 126.21298) - (xy 48.225672 126.173807) - (xy 48.220181 126.1735) - (xy 48.088134 126.1735) - (xy 48.086111 126.17372) - (xy 48.086107 126.17372) - (xy 48.038306 126.178913) - (xy 47.954475 126.18802) - (xy 47.784278 126.245297) - (xy 47.780792 126.247392) - (xy 47.780789 126.247393) - (xy 47.645249 126.328835) - (xy 47.630352 126.337786) - (xy 47.627393 126.340584) - (xy 47.627392 126.340585) - (xy 47.591754 126.374286) - (xy 47.499877 126.46117) - (xy 47.497589 126.464537) - (xy 47.497588 126.464538) - (xy 47.481043 126.488883) - (xy 47.39894 126.609694) - (xy 47.332252 126.776427) - (xy 47.302922 126.953591) - (xy 46.101 126.953591) - (xy 46.101 96.092591) - (xy 47.302922 96.092591) - (xy 47.303135 96.096658) - (xy 47.303135 96.096661) - (xy 47.307485 96.179645) - (xy 47.312321 96.271921) - (xy 47.313403 96.275848) - (xy 47.313403 96.27585) - (xy 47.342984 96.383242) - (xy 47.360008 96.445049) - (xy 47.361908 96.448653) - (xy 47.361909 96.448655) - (xy 47.43116 96.58) - (xy 47.44376 96.603898) - (xy 47.446386 96.607005) - (xy 47.446389 96.60701) - (xy 47.519868 96.693961) - (xy 47.559668 96.741058) - (xy 47.5629 96.743529) - (xy 47.562901 96.74353) - (xy 47.67335 96.827974) - (xy 47.702326 96.850128) - (xy 47.865077 96.92602) - (xy 48.040328 96.965193) - (xy 48.045819 96.9655) - (xy 48.177866 96.9655) - (xy 48.179889 96.96528) - (xy 48.179893 96.96528) - (xy 48.253891 96.957241) - (xy 48.311525 96.95098) - (xy 48.481722 96.893703) - (xy 48.485208 96.891608) - (xy 48.485211 96.891607) - (xy 48.632158 96.803311) - (xy 48.635648 96.801214) - (xy 48.766123 96.67783) - (xy 48.86706 96.529306) - (xy 48.933748 96.362573) - (xy 48.963078 96.185409) - (xy 48.96087 96.14327) - (xy 48.953892 96.010148) - (xy 48.953679 96.006079) - (xy 48.949937 95.992494) - (xy 48.907074 95.836878) - (xy 48.907073 95.836875) - (xy 48.905992 95.832951) - (xy 48.869558 95.763847) - (xy 48.824142 95.677709) - (xy 48.824141 95.677707) - (xy 48.82224 95.674102) - (xy 48.819614 95.670995) - (xy 48.819611 95.67099) - (xy 48.708959 95.540051) - (xy 48.706332 95.536942) - (xy 48.692131 95.526084) - (xy 48.566906 95.430343) - (xy 48.563674 95.427872) - (xy 48.400923 95.35198) - (xy 48.225672 95.312807) - (xy 48.220181 95.3125) - (xy 48.088134 95.3125) - (xy 48.086111 95.31272) - (xy 48.086107 95.31272) - (xy 48.020688 95.319827) - (xy 47.954475 95.32702) - (xy 47.784278 95.384297) - (xy 47.780792 95.386392) - (xy 47.780789 95.386393) - (xy 47.675971 95.449375) - (xy 47.630352 95.476786) - (xy 47.499877 95.60017) - (xy 47.39894 95.748694) - (xy 47.332252 95.915427) - (xy 47.302922 96.092591) - (xy 46.101 96.092591) - (xy 46.101 93.912194) - (xy 54.367329 93.912194) - (xy 54.369374 93.919826) - (xy 54.369374 93.919827) - (xy 54.369538 93.920438) - (xy 54.3721 93.939901) - (xy 54.3721 123.742064) - (xy 54.371997 123.746) - (xy 54.369877 123.786448) - (xy 54.37869 123.809408) - (xy 54.38204 123.820715) - (xy 54.387152 123.844766) - (xy 54.391798 123.85116) - (xy 54.391798 123.851161) - (xy 54.392171 123.851674) - (xy 54.401535 123.86892) - (xy 54.404597 123.876896) - (xy 54.421981 123.89428) - (xy 54.429645 123.903252) - (xy 54.444099 123.923146) - (xy 54.450944 123.927098) - (xy 54.451493 123.927415) - (xy 54.467067 123.939366) - (xy 56.883233 126.355532) - (xy 56.885943 126.358387) - (xy 56.913051 126.388494) - (xy 56.920268 126.391707) - (xy 56.920269 126.391708) - (xy 56.935515 126.398496) - (xy 56.945884 126.404126) - (xy 56.966503 126.417516) - (xy 56.974939 126.418852) - (xy 56.993755 126.424426) - (xy 57.001558 126.4279) - (xy 57.026153 126.4279) - (xy 57.037916 126.428826) - (xy 57.054387 126.431435) - (xy 57.054389 126.431435) - (xy 57.062194 126.432671) - (xy 57.069826 126.430626) - (xy 57.069827 126.430626) - (xy 57.070438 126.430462) - (xy 57.089901 126.4279) - (xy 66.242064 126.4279) - (xy 66.246 126.428003) - (xy 66.286448 126.430123) - (xy 66.309408 126.42131) - (xy 66.320715 126.41796) - (xy 66.344766 126.412848) - (xy 66.351675 126.407829) - (xy 66.36892 126.398465) - (xy 66.369517 126.398236) - (xy 66.369518 126.398235) - (xy 66.376896 126.395403) - (xy 66.39428 126.378019) - (xy 66.403252 126.370355) - (xy 66.416753 126.360546) - (xy 66.416753 126.360545) - (xy 66.423146 126.355901) - (xy 66.427415 126.348507) - (xy 66.439366 126.332933) - (xy 67.719532 125.052767) - (xy 67.722388 125.050056) - (xy 67.746619 125.028239) - (xy 67.746619 125.028238) - (xy 67.752494 125.022949) - (xy 67.762499 125.000477) - (xy 67.768129 124.990109) - (xy 67.769515 124.987976) - (xy 67.77296 124.982671) - (xy 67.77721 124.976127) - (xy 67.777211 124.976126) - (xy 67.781516 124.969496) - (xy 67.782852 124.961062) - (xy 67.788429 124.942237) - (xy 67.788686 124.94166) - (xy 67.7919 124.934442) - (xy 67.7919 124.909853) - (xy 67.792826 124.898089) - (xy 67.795436 124.881613) - (xy 67.795436 124.881611) - (xy 67.796672 124.873806) - (xy 67.794462 124.865558) - (xy 67.7919 124.846095) - (xy 67.7919 123.8) - (xy 77.692078 123.8) - (xy 77.712043 123.926055) - (xy 77.769984 124.039771) - (xy 77.860229 124.130016) - (xy 77.973945 124.187957) - (xy 78.1 124.207922) - (xy 78.226055 124.187957) - (xy 78.339771 124.130016) - (xy 78.430016 124.039771) - (xy 78.487957 123.926055) - (xy 78.507922 123.8) - (xy 86.892078 123.8) - (xy 86.912043 123.926055) - (xy 86.969984 124.039771) - (xy 87.060229 124.130016) - (xy 87.173945 124.187957) - (xy 87.3 124.207922) - (xy 87.426055 124.187957) - (xy 87.539771 124.130016) - (xy 87.630016 124.039771) - (xy 87.687957 123.926055) - (xy 87.707922 123.8) - (xy 96.092078 123.8) - (xy 96.112043 123.926055) - (xy 96.169984 124.039771) - (xy 96.260229 124.130016) - (xy 96.373945 124.187957) - (xy 96.5 124.207922) - (xy 96.626055 124.187957) - (xy 96.739771 124.130016) - (xy 96.830016 124.039771) - (xy 96.887957 123.926055) - (xy 96.907922 123.8) - (xy 105.292078 123.8) - (xy 105.312043 123.926055) - (xy 105.369984 124.039771) - (xy 105.460229 124.130016) - (xy 105.573945 124.187957) - (xy 105.7 124.207922) - (xy 105.826055 124.187957) - (xy 105.939771 124.130016) - (xy 106.030016 124.039771) - (xy 106.087957 123.926055) - (xy 106.107922 123.8) - (xy 106.104526 123.778555) - (xy 106.088883 123.679792) - (xy 106.087957 123.673945) - (xy 106.030016 123.560229) - (xy 105.939771 123.469984) - (xy 105.826055 123.412043) - (xy 105.763028 123.402061) - (xy 105.705847 123.393004) - (xy 105.7 123.392078) - (xy 105.694153 123.393004) - (xy 105.636972 123.402061) - (xy 105.573945 123.412043) - (xy 105.460229 123.469984) - (xy 105.369984 123.560229) - (xy 105.312043 123.673945) - (xy 105.311117 123.679792) - (xy 105.295475 123.778555) - (xy 105.292078 123.8) - (xy 96.907922 123.8) - (xy 96.904526 123.778555) - (xy 96.888883 123.679792) - (xy 96.887957 123.673945) - (xy 96.830016 123.560229) - (xy 96.739771 123.469984) - (xy 96.626055 123.412043) - (xy 96.563028 123.402061) - (xy 96.505847 123.393004) - (xy 96.5 123.392078) - (xy 96.494153 123.393004) - (xy 96.436972 123.402061) - (xy 96.373945 123.412043) - (xy 96.260229 123.469984) - (xy 96.169984 123.560229) - (xy 96.112043 123.673945) - (xy 96.111117 123.679792) - (xy 96.095475 123.778555) - (xy 96.092078 123.8) - (xy 87.707922 123.8) - (xy 87.704526 123.778555) - (xy 87.688883 123.679792) - (xy 87.687957 123.673945) - (xy 87.630016 123.560229) - (xy 87.539771 123.469984) - (xy 87.426055 123.412043) - (xy 87.363028 123.402061) - (xy 87.305847 123.393004) - (xy 87.3 123.392078) - (xy 87.294153 123.393004) - (xy 87.236972 123.402061) - (xy 87.173945 123.412043) - (xy 87.060229 123.469984) - (xy 86.969984 123.560229) - (xy 86.912043 123.673945) - (xy 86.911117 123.679792) - (xy 86.895475 123.778555) - (xy 86.892078 123.8) - (xy 78.507922 123.8) - (xy 78.504965 123.781328) - (xy 78.502209 123.763927) - (xy 78.512024 123.713433) - (xy 78.523309 123.69899) - (xy 82.072299 120.15) - (xy 86.892078 120.15) - (xy 86.912043 120.276055) - (xy 86.91473 120.281328) - (xy 86.964964 120.379918) - (xy 86.969984 120.389771) - (xy 87.060229 120.480016) - (xy 87.065505 120.482704) - (xy 87.065506 120.482705) - (xy 87.097311 120.49891) - (xy 87.173945 120.537957) - (xy 87.3 120.557922) - (xy 87.426055 120.537957) - (xy 87.502689 120.49891) - (xy 87.534494 120.482705) - (xy 87.534495 120.482704) - (xy 87.539771 120.480016) - (xy 87.630016 120.389771) - (xy 87.635037 120.379918) - (xy 87.68527 120.281328) - (xy 87.687957 120.276055) - (xy 87.707922 120.15) - (xy 96.092078 120.15) - (xy 96.112043 120.276055) - (xy 96.11473 120.281328) - (xy 96.164964 120.379918) - (xy 96.169984 120.389771) - (xy 96.260229 120.480016) - (xy 96.265505 120.482704) - (xy 96.265506 120.482705) - (xy 96.297311 120.49891) - (xy 96.373945 120.537957) - (xy 96.5 120.557922) - (xy 96.626055 120.537957) - (xy 96.702689 120.49891) - (xy 96.734494 120.482705) - (xy 96.734495 120.482704) - (xy 96.739771 120.480016) - (xy 96.830016 120.389771) - (xy 96.835037 120.379918) - (xy 96.88527 120.281328) - (xy 96.887957 120.276055) - (xy 96.907922 120.15) - (xy 105.292078 120.15) - (xy 105.312043 120.276055) - (xy 105.31473 120.281328) - (xy 105.364964 120.379918) - (xy 105.369984 120.389771) - (xy 105.460229 120.480016) - (xy 105.465505 120.482704) - (xy 105.465506 120.482705) - (xy 105.497311 120.49891) - (xy 105.573945 120.537957) - (xy 105.7 120.557922) - (xy 105.826055 120.537957) - (xy 105.902689 120.49891) - (xy 105.934494 120.482705) - (xy 105.934495 120.482704) - (xy 105.939771 120.480016) - (xy 106.030016 120.389771) - (xy 106.035037 120.379918) - (xy 106.08527 120.281328) - (xy 106.087957 120.276055) - (xy 106.107922 120.15) - (xy 106.087957 120.023945) - (xy 106.037996 119.925891) - (xy 106.032705 119.915506) - (xy 106.032704 119.915505) - (xy 106.030016 119.910229) - (xy 105.939771 119.819984) - (xy 105.901267 119.800365) - (xy 105.862381 119.780552) - (xy 105.826055 119.762043) - (xy 105.7 119.742078) - (xy 105.573945 119.762043) - (xy 105.537619 119.780552) - (xy 105.498734 119.800365) - (xy 105.460229 119.819984) - (xy 105.369984 119.910229) - (xy 105.367296 119.915505) - (xy 105.367295 119.915506) - (xy 105.362004 119.925891) - (xy 105.312043 120.023945) - (xy 105.292078 120.15) - (xy 96.907922 120.15) - (xy 96.887957 120.023945) - (xy 96.837996 119.925891) - (xy 96.832705 119.915506) - (xy 96.832704 119.915505) - (xy 96.830016 119.910229) - (xy 96.739771 119.819984) - (xy 96.701267 119.800365) - (xy 96.662381 119.780552) - (xy 96.626055 119.762043) - (xy 96.5 119.742078) - (xy 96.373945 119.762043) - (xy 96.337619 119.780552) - (xy 96.298734 119.800365) - (xy 96.260229 119.819984) - (xy 96.169984 119.910229) - (xy 96.167296 119.915505) - (xy 96.167295 119.915506) - (xy 96.162004 119.925891) - (xy 96.112043 120.023945) - (xy 96.092078 120.15) - (xy 87.707922 120.15) - (xy 87.687957 120.023945) - (xy 87.637996 119.925891) - (xy 87.632705 119.915506) - (xy 87.632704 119.915505) - (xy 87.630016 119.910229) - (xy 87.539771 119.819984) - (xy 87.501267 119.800365) - (xy 87.462381 119.780552) - (xy 87.426055 119.762043) - (xy 87.3 119.742078) - (xy 87.173945 119.762043) - (xy 87.137619 119.780552) - (xy 87.098734 119.800365) - (xy 87.060229 119.819984) - (xy 86.969984 119.910229) - (xy 86.967296 119.915505) - (xy 86.967295 119.915506) - (xy 86.962004 119.925891) - (xy 86.912043 120.023945) - (xy 86.892078 120.15) - (xy 82.072299 120.15) - (xy 83.072299 119.15) - (xy 84.542078 119.15) - (xy 84.562043 119.276055) - (xy 84.583277 119.31773) - (xy 84.616384 119.382705) - (xy 84.619984 119.389771) - (xy 84.710229 119.480016) - (xy 84.823945 119.537957) - (xy 84.95 119.557922) - (xy 85.076055 119.537957) - (xy 85.189771 119.480016) - (xy 85.280016 119.389771) - (xy 85.283617 119.382705) - (xy 85.316723 119.31773) - (xy 85.337957 119.276055) - (xy 85.357922 119.15) - (xy 85.342084 119.05) - (xy 86.267078 119.05) - (xy 86.287043 119.176055) - (xy 86.344984 119.289771) - (xy 86.435229 119.380016) - (xy 86.440505 119.382704) - (xy 86.440506 119.382705) - (xy 86.488344 119.407079) - (xy 86.548945 119.437957) - (xy 86.675 119.457922) - (xy 86.801055 119.437957) - (xy 86.861656 119.407079) - (xy 86.909494 119.382705) - (xy 86.909495 119.382704) - (xy 86.914771 119.380016) - (xy 87.005016 119.289771) - (xy 87.062957 119.176055) - (xy 87.067084 119.15) - (xy 93.742078 119.15) - (xy 93.762043 119.276055) - (xy 93.783277 119.31773) - (xy 93.816384 119.382705) - (xy 93.819984 119.389771) - (xy 93.910229 119.480016) - (xy 94.023945 119.537957) - (xy 94.15 119.557922) - (xy 94.276055 119.537957) - (xy 94.389771 119.480016) - (xy 94.480016 119.389771) - (xy 94.483617 119.382705) - (xy 94.516723 119.31773) - (xy 94.537957 119.276055) - (xy 94.557922 119.15) - (xy 94.542084 119.05) - (xy 95.467078 119.05) - (xy 95.487043 119.176055) - (xy 95.544984 119.289771) - (xy 95.635229 119.380016) - (xy 95.640505 119.382704) - (xy 95.640506 119.382705) - (xy 95.688344 119.407079) - (xy 95.748945 119.437957) - (xy 95.875 119.457922) - (xy 96.001055 119.437957) - (xy 96.061656 119.407079) - (xy 96.109494 119.382705) - (xy 96.109495 119.382704) - (xy 96.114771 119.380016) - (xy 96.205016 119.289771) - (xy 96.262957 119.176055) - (xy 96.267084 119.15) - (xy 102.942078 119.15) - (xy 102.962043 119.276055) - (xy 102.983277 119.31773) - (xy 103.016384 119.382705) - (xy 103.019984 119.389771) - (xy 103.110229 119.480016) - (xy 103.223945 119.537957) - (xy 103.35 119.557922) - (xy 103.476055 119.537957) - (xy 103.589771 119.480016) - (xy 103.680016 119.389771) - (xy 103.683617 119.382705) - (xy 103.716723 119.31773) - (xy 103.737957 119.276055) - (xy 103.757922 119.15) - (xy 103.742084 119.05) - (xy 104.667078 119.05) - (xy 104.687043 119.176055) - (xy 104.744984 119.289771) - (xy 104.835229 119.380016) - (xy 104.840505 119.382704) - (xy 104.840506 119.382705) - (xy 104.888344 119.407079) - (xy 104.948945 119.437957) - (xy 105.075 119.457922) - (xy 105.201055 119.437957) - (xy 105.261656 119.407079) - (xy 105.309494 119.382705) - (xy 105.309495 119.382704) - (xy 105.314771 119.380016) - (xy 105.405016 119.289771) - (xy 105.462957 119.176055) - (xy 105.482922 119.05) - (xy 105.462957 118.923945) - (xy 105.418997 118.837668) - (xy 105.407705 118.815506) - (xy 105.407704 118.815505) - (xy 105.405016 118.810229) - (xy 105.314771 118.719984) - (xy 105.201055 118.662043) - (xy 105.075 118.642078) - (xy 104.948945 118.662043) - (xy 104.835229 118.719984) - (xy 104.744984 118.810229) - (xy 104.742296 118.815505) - (xy 104.742295 118.815506) - (xy 104.731003 118.837668) - (xy 104.687043 118.923945) - (xy 104.667078 119.05) - (xy 103.742084 119.05) - (xy 103.737957 119.023945) - (xy 103.680016 118.910229) - (xy 103.589771 118.819984) - (xy 103.580983 118.815506) - (xy 103.520404 118.78464) - (xy 103.476055 118.762043) - (xy 103.394317 118.749097) - (xy 103.355847 118.743004) - (xy 103.35 118.742078) - (xy 103.344153 118.743004) - (xy 103.305683 118.749097) - (xy 103.223945 118.762043) - (xy 103.179596 118.78464) - (xy 103.119018 118.815506) - (xy 103.110229 118.819984) - (xy 103.019984 118.910229) - (xy 102.962043 119.023945) - (xy 102.942078 119.15) - (xy 96.267084 119.15) - (xy 96.282922 119.05) - (xy 96.262957 118.923945) - (xy 96.218997 118.837668) - (xy 96.207705 118.815506) - (xy 96.207704 118.815505) - (xy 96.205016 118.810229) - (xy 96.114771 118.719984) - (xy 96.001055 118.662043) - (xy 95.875 118.642078) - (xy 95.748945 118.662043) - (xy 95.635229 118.719984) - (xy 95.544984 118.810229) - (xy 95.542296 118.815505) - (xy 95.542295 118.815506) - (xy 95.531003 118.837668) - (xy 95.487043 118.923945) - (xy 95.467078 119.05) - (xy 94.542084 119.05) - (xy 94.537957 119.023945) - (xy 94.480016 118.910229) - (xy 94.389771 118.819984) - (xy 94.380983 118.815506) - (xy 94.320404 118.78464) - (xy 94.276055 118.762043) - (xy 94.194317 118.749097) - (xy 94.155847 118.743004) - (xy 94.15 118.742078) - (xy 94.144153 118.743004) - (xy 94.105683 118.749097) - (xy 94.023945 118.762043) - (xy 93.979596 118.78464) - (xy 93.919018 118.815506) - (xy 93.910229 118.819984) - (xy 93.819984 118.910229) - (xy 93.762043 119.023945) - (xy 93.742078 119.15) - (xy 87.067084 119.15) - (xy 87.082922 119.05) - (xy 87.062957 118.923945) - (xy 87.018997 118.837668) - (xy 87.007705 118.815506) - (xy 87.007704 118.815505) - (xy 87.005016 118.810229) - (xy 86.914771 118.719984) - (xy 86.801055 118.662043) - (xy 86.675 118.642078) - (xy 86.548945 118.662043) - (xy 86.435229 118.719984) - (xy 86.344984 118.810229) - (xy 86.342296 118.815505) - (xy 86.342295 118.815506) - (xy 86.331003 118.837668) - (xy 86.287043 118.923945) - (xy 86.267078 119.05) - (xy 85.342084 119.05) - (xy 85.337957 119.023945) - (xy 85.280016 118.910229) - (xy 85.189771 118.819984) - (xy 85.180983 118.815506) - (xy 85.120404 118.78464) - (xy 85.076055 118.762043) - (xy 84.994317 118.749097) - (xy 84.955847 118.743004) - (xy 84.95 118.742078) - (xy 84.944153 118.743004) - (xy 84.905683 118.749097) - (xy 84.823945 118.762043) - (xy 84.779596 118.78464) - (xy 84.719018 118.815506) - (xy 84.710229 118.819984) - (xy 84.619984 118.910229) - (xy 84.562043 119.023945) - (xy 84.542078 119.15) - (xy 83.072299 119.15) - (xy 84.122299 118.1) - (xy 85.242329 118.1) - (xy 85.261331 118.244336) - (xy 85.263216 118.248888) - (xy 85.263217 118.24889) - (xy 85.275112 118.277607) - (xy 85.317043 118.378835) - (xy 85.320039 118.382739) - (xy 85.320041 118.382743) - (xy 85.401144 118.488438) - (xy 85.405667 118.494333) - (xy 85.521164 118.582957) - (xy 85.581042 118.607759) - (xy 85.65111 118.636783) - (xy 85.651112 118.636784) - (xy 85.655664 118.638669) - (xy 85.8 118.657671) - (xy 85.944336 118.638669) - (xy 85.948888 118.636784) - (xy 85.94889 118.636783) - (xy 86.018958 118.607759) - (xy 86.078836 118.582957) - (xy 86.194333 118.494333) - (xy 86.198856 118.488438) - (xy 86.279959 118.382743) - (xy 86.279961 118.382739) - (xy 86.282957 118.378835) - (xy 86.324888 118.277607) - (xy 86.336783 118.24889) - (xy 86.336784 118.248888) - (xy 86.338669 118.244336) - (xy 86.357671 118.1) - (xy 86.338669 117.955664) - (xy 86.336323 117.95) - (xy 86.892078 117.95) - (xy 86.912043 118.076055) - (xy 86.969984 118.189771) - (xy 87.060229 118.280016) - (xy 87.173945 118.337957) - (xy 87.3 118.357922) - (xy 87.426055 118.337957) - (xy 87.539771 118.280016) - (xy 87.630016 118.189771) - (xy 87.675756 118.1) - (xy 94.442329 118.1) - (xy 94.461331 118.244336) - (xy 94.463216 118.248888) - (xy 94.463217 118.24889) - (xy 94.475112 118.277607) - (xy 94.517043 118.378835) - (xy 94.520039 118.382739) - (xy 94.520041 118.382743) - (xy 94.601144 118.488438) - (xy 94.605667 118.494333) - (xy 94.721164 118.582957) - (xy 94.781042 118.607759) - (xy 94.85111 118.636783) - (xy 94.851112 118.636784) - (xy 94.855664 118.638669) - (xy 95 118.657671) - (xy 95.144336 118.638669) - (xy 95.148888 118.636784) - (xy 95.14889 118.636783) - (xy 95.218958 118.607759) - (xy 95.278836 118.582957) - (xy 95.394333 118.494333) - (xy 95.398856 118.488438) - (xy 95.479959 118.382743) - (xy 95.479961 118.382739) - (xy 95.482957 118.378835) - (xy 95.524888 118.277607) - (xy 95.536783 118.24889) - (xy 95.536784 118.248888) - (xy 95.538669 118.244336) - (xy 95.557671 118.1) - (xy 95.538669 117.955664) - (xy 95.536323 117.95) - (xy 96.092078 117.95) - (xy 96.112043 118.076055) - (xy 96.169984 118.189771) - (xy 96.260229 118.280016) - (xy 96.373945 118.337957) - (xy 96.5 118.357922) - (xy 96.626055 118.337957) - (xy 96.739771 118.280016) - (xy 96.830016 118.189771) - (xy 96.875756 118.1) - (xy 103.642329 118.1) - (xy 103.661331 118.244336) - (xy 103.663216 118.248888) - (xy 103.663217 118.24889) - (xy 103.675112 118.277607) - (xy 103.717043 118.378835) - (xy 103.720039 118.382739) - (xy 103.720041 118.382743) - (xy 103.801144 118.488438) - (xy 103.805667 118.494333) - (xy 103.921164 118.582957) - (xy 103.981042 118.607759) - (xy 104.05111 118.636783) - (xy 104.051112 118.636784) - (xy 104.055664 118.638669) - (xy 104.2 118.657671) - (xy 104.344336 118.638669) - (xy 104.348888 118.636784) - (xy 104.34889 118.636783) - (xy 104.418958 118.607759) - (xy 104.478836 118.582957) - (xy 104.594333 118.494333) - (xy 104.598856 118.488438) - (xy 104.679959 118.382743) - (xy 104.679961 118.382739) - (xy 104.682957 118.378835) - (xy 104.724888 118.277607) - (xy 104.736783 118.24889) - (xy 104.736784 118.248888) - (xy 104.738669 118.244336) - (xy 104.757671 118.1) - (xy 104.738669 117.955664) - (xy 104.736323 117.95) - (xy 105.292078 117.95) - (xy 105.312043 118.076055) - (xy 105.369984 118.189771) - (xy 105.460229 118.280016) - (xy 105.573945 118.337957) - (xy 105.7 118.357922) - (xy 105.826055 118.337957) - (xy 105.939771 118.280016) - (xy 106.030016 118.189771) - (xy 106.087957 118.076055) - (xy 106.107922 117.95) - (xy 106.100762 117.90479) - (xy 106.092733 117.854099) - (xy 106.087957 117.823945) - (xy 106.048974 117.747436) - (xy 106.032705 117.715506) - (xy 106.032704 117.715505) - (xy 106.030016 117.710229) - (xy 105.939771 117.619984) - (xy 105.930302 117.615159) - (xy 105.846774 117.5726) - (xy 105.826055 117.562043) - (xy 105.7 117.542078) - (xy 105.573945 117.562043) - (xy 105.553226 117.5726) - (xy 105.469699 117.615159) - (xy 105.460229 117.619984) - (xy 105.369984 117.710229) - (xy 105.367296 117.715505) - (xy 105.367295 117.715506) - (xy 105.351026 117.747436) - (xy 105.312043 117.823945) - (xy 105.307267 117.854099) - (xy 105.299239 117.90479) - (xy 105.292078 117.95) - (xy 104.736323 117.95) - (xy 104.733049 117.942095) - (xy 104.698155 117.857855) - (xy 104.682957 117.821165) - (xy 104.679961 117.817261) - (xy 104.679959 117.817257) - (xy 104.597331 117.709574) - (xy 104.594333 117.705667) - (xy 104.549109 117.670965) - (xy 104.482743 117.620041) - (xy 104.478836 117.617043) - (xy 104.371542 117.5726) - (xy 104.34889 117.563217) - (xy 104.348888 117.563216) - (xy 104.344336 117.561331) - (xy 104.2 117.542329) - (xy 104.055664 117.561331) - (xy 104.051112 117.563216) - (xy 104.05111 117.563217) - (xy 103.981368 117.592106) - (xy 103.921165 117.617043) - (xy 103.917261 117.620039) - (xy 103.917257 117.620041) - (xy 103.848109 117.6731) - (xy 103.805667 117.705667) - (xy 103.802669 117.709574) - (xy 103.720041 117.817257) - (xy 103.720039 117.817261) - (xy 103.717043 117.821165) - (xy 103.701845 117.857855) - (xy 103.666952 117.942095) - (xy 103.661331 117.955664) - (xy 103.642329 118.1) - (xy 96.875756 118.1) - (xy 96.887957 118.076055) - (xy 96.907922 117.95) - (xy 96.900762 117.90479) - (xy 96.892733 117.854099) - (xy 96.887957 117.823945) - (xy 96.848974 117.747436) - (xy 96.832705 117.715506) - (xy 96.832704 117.715505) - (xy 96.830016 117.710229) - (xy 96.739771 117.619984) - (xy 96.730302 117.615159) - (xy 96.646774 117.5726) - (xy 96.626055 117.562043) - (xy 96.5 117.542078) - (xy 96.373945 117.562043) - (xy 96.353226 117.5726) - (xy 96.269699 117.615159) - (xy 96.260229 117.619984) - (xy 96.169984 117.710229) - (xy 96.167296 117.715505) - (xy 96.167295 117.715506) - (xy 96.151026 117.747436) - (xy 96.112043 117.823945) - (xy 96.107267 117.854099) - (xy 96.099239 117.90479) - (xy 96.092078 117.95) - (xy 95.536323 117.95) - (xy 95.533049 117.942095) - (xy 95.498155 117.857855) - (xy 95.482957 117.821165) - (xy 95.479961 117.817261) - (xy 95.479959 117.817257) - (xy 95.397331 117.709574) - (xy 95.394333 117.705667) - (xy 95.349109 117.670965) - (xy 95.282743 117.620041) - (xy 95.278836 117.617043) - (xy 95.171542 117.5726) - (xy 95.14889 117.563217) - (xy 95.148888 117.563216) - (xy 95.144336 117.561331) - (xy 95 117.542329) - (xy 94.855664 117.561331) - (xy 94.851112 117.563216) - (xy 94.85111 117.563217) - (xy 94.781368 117.592106) - (xy 94.721165 117.617043) - (xy 94.717261 117.620039) - (xy 94.717257 117.620041) - (xy 94.648109 117.6731) - (xy 94.605667 117.705667) - (xy 94.602669 117.709574) - (xy 94.520041 117.817257) - (xy 94.520039 117.817261) - (xy 94.517043 117.821165) - (xy 94.501845 117.857855) - (xy 94.466952 117.942095) - (xy 94.461331 117.955664) - (xy 94.442329 118.1) - (xy 87.675756 118.1) - (xy 87.687957 118.076055) - (xy 87.707922 117.95) - (xy 87.700762 117.90479) - (xy 87.692733 117.854099) - (xy 87.687957 117.823945) - (xy 87.648974 117.747436) - (xy 87.632705 117.715506) - (xy 87.632704 117.715505) - (xy 87.630016 117.710229) - (xy 87.539771 117.619984) - (xy 87.530302 117.615159) - (xy 87.446774 117.5726) - (xy 87.426055 117.562043) - (xy 87.3 117.542078) - (xy 87.173945 117.562043) - (xy 87.153226 117.5726) - (xy 87.069699 117.615159) - (xy 87.060229 117.619984) - (xy 86.969984 117.710229) - (xy 86.967296 117.715505) - (xy 86.967295 117.715506) - (xy 86.951026 117.747436) - (xy 86.912043 117.823945) - (xy 86.907267 117.854099) - (xy 86.899239 117.90479) - (xy 86.892078 117.95) - (xy 86.336323 117.95) - (xy 86.333049 117.942095) - (xy 86.298155 117.857855) - (xy 86.282957 117.821165) - (xy 86.279961 117.817261) - (xy 86.279959 117.817257) - (xy 86.197331 117.709574) - (xy 86.194333 117.705667) - (xy 86.149109 117.670965) - (xy 86.082743 117.620041) - (xy 86.078836 117.617043) - (xy 85.971542 117.5726) - (xy 85.94889 117.563217) - (xy 85.948888 117.563216) - (xy 85.944336 117.561331) - (xy 85.8 117.542329) - (xy 85.655664 117.561331) - (xy 85.651112 117.563216) - (xy 85.65111 117.563217) - (xy 85.581368 117.592106) - (xy 85.521165 117.617043) - (xy 85.517261 117.620039) - (xy 85.517257 117.620041) - (xy 85.448109 117.6731) - (xy 85.405667 117.705667) - (xy 85.402669 117.709574) - (xy 85.320041 117.817257) - (xy 85.320039 117.817261) - (xy 85.317043 117.821165) - (xy 85.301845 117.857855) - (xy 85.266952 117.942095) - (xy 85.261331 117.955664) - (xy 85.242329 118.1) - (xy 84.122299 118.1) - (xy 87.055532 115.166767) - (xy 87.058388 115.164056) - (xy 87.082622 115.142236) - (xy 87.088494 115.136949) - (xy 87.098496 115.114485) - (xy 87.104126 115.104116) - (xy 87.11321 115.090127) - (xy 87.117516 115.083497) - (xy 87.118852 115.075061) - (xy 87.124426 115.056245) - (xy 87.1279 115.048442) - (xy 87.1279 115.023847) - (xy 87.128826 115.012084) - (xy 87.131435 114.995613) - (xy 87.131435 114.995611) - (xy 87.132671 114.987806) - (xy 87.130462 114.979561) - (xy 87.1279 114.960099) - (xy 87.1279 111.99441) - (xy 89.742477 111.99441) - (xy 89.743171 111.999717) - (xy 89.743171 111.99972) - (xy 89.7542 112.084058) - (xy 89.759298 112.123046) - (xy 89.761457 112.127952) - (xy 89.761457 112.127953) - (xy 89.796497 112.207587) - (xy 89.811547 112.241791) - (xy 89.895023 112.341098) - (xy 90.003017 112.412984) - (xy 90.126845 112.451671) - (xy 90.193716 112.452896) - (xy 90.251193 112.45395) - (xy 90.251195 112.45395) - (xy 90.256555 112.454048) - (xy 90.261726 112.452638) - (xy 90.261728 112.452638) - (xy 90.360073 112.425826) - (xy 90.381718 112.419925) - (xy 90.492273 112.352044) - (xy 90.495865 112.348076) - (xy 90.495867 112.348074) - (xy 90.543542 112.295403) - (xy 90.579332 112.255863) - (xy 90.635897 112.139112) - (xy 90.65742 112.011179) - (xy 90.657557 112) - (xy 90.657145 111.997122) - (xy 90.639925 111.876876) - (xy 90.639924 111.876873) - (xy 90.639166 111.871579) - (xy 90.634409 111.861117) - (xy 90.587689 111.758362) - (xy 90.587688 111.758361) - (xy 90.58547 111.753482) - (xy 90.577969 111.744776) - (xy 90.504282 111.659258) - (xy 90.504281 111.659257) - (xy 90.500787 111.655202) - (xy 90.495157 111.651553) - (xy 90.396416 111.587552) - (xy 90.396415 111.587551) - (xy 90.391923 111.58464) - (xy 90.349367 111.571913) - (xy 90.272765 111.549004) - (xy 90.272762 111.549004) - (xy 90.267631 111.547469) - (xy 90.262276 111.547436) - (xy 90.262274 111.547436) - (xy 90.204164 111.547081) - (xy 90.137902 111.546676) - (xy 90.013166 111.582326) - (xy 89.903448 111.651553) - (xy 89.81757 111.748791) - (xy 89.815294 111.753638) - (xy 89.815293 111.75364) - (xy 89.76525 111.860229) - (xy 89.762436 111.866223) - (xy 89.742477 111.99441) - (xy 87.1279 111.99441) - (xy 87.1279 111.09441) - (xy 88.742477 111.09441) - (xy 88.743171 111.099717) - (xy 88.743171 111.09972) - (xy 88.746863 111.127953) - (xy 88.759298 111.223046) - (xy 88.811547 111.341791) - (xy 88.895023 111.441098) - (xy 89.003017 111.512984) - (xy 89.126845 111.551671) - (xy 89.193716 111.552896) - (xy 89.251193 111.55395) - (xy 89.251195 111.55395) - (xy 89.256555 111.554048) - (xy 89.261726 111.552638) - (xy 89.261728 111.552638) - (xy 89.359233 111.526055) - (xy 89.381718 111.519925) - (xy 89.492273 111.452044) - (xy 89.495865 111.448076) - (xy 89.495867 111.448074) - (xy 89.539381 111.4) - (xy 89.579332 111.355863) - (xy 89.627781 111.255863) - (xy 89.633559 111.243938) - (xy 89.633559 111.243937) - (xy 89.635897 111.239112) - (xy 89.65742 111.111179) - (xy 89.657557 111.1) - (xy 89.657145 111.097122) - (xy 89.639925 110.976876) - (xy 89.639924 110.976873) - (xy 89.639166 110.971579) - (xy 89.60197 110.889771) - (xy 89.587689 110.858362) - (xy 89.587688 110.858361) - (xy 89.58547 110.853482) - (xy 89.577969 110.844776) - (xy 89.504282 110.759258) - (xy 89.504281 110.759257) - (xy 89.500787 110.755202) - (xy 89.490896 110.748791) - (xy 89.396416 110.687552) - (xy 89.396415 110.687551) - (xy 89.391923 110.68464) - (xy 89.349367 110.671913) - (xy 89.272765 110.649004) - (xy 89.272762 110.649004) - (xy 89.267631 110.647469) - (xy 89.262276 110.647436) - (xy 89.262274 110.647436) - (xy 89.204164 110.647081) - (xy 89.137902 110.646676) - (xy 89.013166 110.682326) - (xy 88.903448 110.751553) - (xy 88.81757 110.848791) - (xy 88.815294 110.853638) - (xy 88.815293 110.85364) - (xy 88.79833 110.889771) - (xy 88.762436 110.966223) - (xy 88.742477 111.09441) - (xy 87.1279 111.09441) - (xy 87.1279 110.2) - (xy 89.992078 110.2) - (xy 90.012043 110.326055) - (xy 90.069984 110.439771) - (xy 90.160229 110.530016) - (xy 90.165505 110.532704) - (xy 90.165506 110.532705) - (xy 90.202735 110.551674) - (xy 90.273945 110.587957) - (xy 90.279792 110.588883) - (xy 90.370515 110.603252) - (xy 90.4 110.607922) - (xy 90.429486 110.603252) - (xy 90.520208 110.588883) - (xy 90.526055 110.587957) - (xy 90.597265 110.551674) - (xy 90.634494 110.532705) - (xy 90.634495 110.532704) - (xy 90.639771 110.530016) - (xy 90.730016 110.439771) - (xy 90.787957 110.326055) - (xy 90.807922 110.2) - (xy 90.787957 110.073945) - (xy 90.747084 109.993726) - (xy 90.732705 109.965506) - (xy 90.732704 109.965505) - (xy 90.730016 109.960229) - (xy 90.639771 109.869984) - (xy 90.603836 109.851674) - (xy 90.545793 109.8221) - (xy 90.526055 109.812043) - (xy 90.450018 109.8) - (xy 90.405847 109.793004) - (xy 90.4 109.792078) - (xy 90.394153 109.793004) - (xy 90.349982 109.8) - (xy 90.273945 109.812043) - (xy 90.254207 109.8221) - (xy 90.196165 109.851674) - (xy 90.160229 109.869984) - (xy 90.069984 109.960229) - (xy 90.067296 109.965505) - (xy 90.067295 109.965506) - (xy 90.052916 109.993726) - (xy 90.012043 110.073945) - (xy 89.992078 110.2) - (xy 87.1279 110.2) - (xy 87.1279 107.9) - (xy 90.042078 107.9) - (xy 90.062043 108.026055) - (xy 90.119984 108.139771) - (xy 90.210229 108.230016) - (xy 90.323945 108.287957) - (xy 90.45 108.307922) - (xy 90.576055 108.287957) - (xy 90.689771 108.230016) - (xy 90.780016 108.139771) - (xy 90.837957 108.026055) - (xy 90.857922 107.9) - (xy 90.837957 107.773945) - (xy 90.79892 107.697331) - (xy 90.782705 107.665506) - (xy 90.782704 107.665505) - (xy 90.780016 107.660229) - (xy 90.689771 107.569984) - (xy 90.678577 107.56428) - (xy 90.610889 107.529792) - (xy 90.576055 107.512043) - (xy 90.45 107.492078) - (xy 90.323945 107.512043) - (xy 90.289111 107.529792) - (xy 90.221424 107.56428) - (xy 90.210229 107.569984) - (xy 90.119984 107.660229) - (xy 90.117296 107.665505) - (xy 90.117295 107.665506) - (xy 90.10108 107.697331) - (xy 90.062043 107.773945) - (xy 90.042078 107.9) - (xy 87.1279 107.9) - (xy 87.1279 106.907936) - (xy 87.128003 106.904) - (xy 87.128213 106.9) - (xy 89.642078 106.9) - (xy 89.662043 107.026055) - (xy 89.674244 107.05) - (xy 89.709023 107.118258) - (xy 89.719984 107.139771) - (xy 89.810229 107.230016) - (xy 89.923945 107.287957) - (xy 89.976084 107.296215) - (xy 90.030819 107.304884) - (xy 90.05 107.307922) - (xy 90.069182 107.304884) - (xy 90.123916 107.296215) - (xy 90.176055 107.287957) - (xy 90.289771 107.230016) - (xy 90.369861 107.149926) - (xy 90.416481 107.128186) - (xy 90.423035 107.1279) - (xy 90.724453 107.1279) - (xy 90.772791 107.145493) - (xy 90.777627 107.149926) - (xy 93.000074 109.372373) - (xy 93.021814 109.418993) - (xy 93.0221 109.425547) - (xy 93.0221 112.542064) - (xy 93.021997 112.546) - (xy 93.019877 112.586448) - (xy 93.02869 112.609408) - (xy 93.03204 112.620715) - (xy 93.037152 112.644766) - (xy 93.041798 112.65116) - (xy 93.041798 112.651161) - (xy 93.042171 112.651674) - (xy 93.051535 112.66892) - (xy 93.054597 112.676896) - (xy 93.071981 112.69428) - (xy 93.079645 112.703252) - (xy 93.094099 112.723146) - (xy 93.100944 112.727098) - (xy 93.101493 112.727415) - (xy 93.117067 112.739366) - (xy 97.483233 117.105532) - (xy 97.485943 117.108387) - (xy 97.488992 117.111773) - (xy 97.500763 117.124846) - (xy 97.513051 117.138494) - (xy 97.535523 117.148499) - (xy 97.545888 117.154127) - (xy 97.549626 117.156555) - (xy 97.559873 117.16321) - (xy 97.559874 117.163211) - (xy 97.566504 117.167516) - (xy 97.574938 117.168852) - (xy 97.59376 117.174428) - (xy 97.601558 117.1779) - (xy 97.626147 117.1779) - (xy 97.637911 117.178826) - (xy 97.654387 117.181436) - (xy 97.654389 117.181436) - (xy 97.662194 117.182672) - (xy 97.669826 117.180627) - (xy 97.669828 117.180627) - (xy 97.670442 117.180462) - (xy 97.689905 117.1779) - (xy 113.024453 117.1779) - (xy 113.072791 117.195493) - (xy 113.077627 117.199926) - (xy 115.876691 119.998991) - (xy 115.898431 120.045611) - (xy 115.897791 120.063929) - (xy 115.892078 120.1) - (xy 115.912043 120.226055) - (xy 115.969984 120.339771) - (xy 116.060229 120.430016) - (xy 116.065505 120.432704) - (xy 116.065506 120.432705) - (xy 116.075983 120.438043) - (xy 116.173945 120.487957) - (xy 116.3 120.507922) - (xy 116.426055 120.487957) - (xy 116.524017 120.438043) - (xy 116.534494 120.432705) - (xy 116.534495 120.432704) - (xy 116.539771 120.430016) - (xy 116.630016 120.339771) - (xy 116.687957 120.226055) - (xy 116.707922 120.1) - (xy 116.687957 119.973945) - (xy 116.646943 119.893451) - (xy 116.632705 119.865506) - (xy 116.632704 119.865505) - (xy 116.630016 119.860229) - (xy 116.539771 119.769984) - (xy 116.52946 119.76473) - (xy 116.48241 119.740757) - (xy 116.426055 119.712043) - (xy 116.3 119.692078) - (xy 116.294153 119.693004) - (xy 116.294152 119.693004) - (xy 116.263927 119.697791) - (xy 116.213433 119.687976) - (xy 116.19899 119.676691) - (xy 114.766636 118.244336) - (xy 113.316758 116.794458) - (xy 113.314047 116.791602) - (xy 113.292237 116.767379) - (xy 113.286949 116.761506) - (xy 113.264478 116.751501) - (xy 113.254121 116.745877) - (xy 113.240125 116.736788) - (xy 113.240124 116.736788) - (xy 113.233497 116.732484) - (xy 113.225061 116.731148) - (xy 113.206245 116.725574) - (xy 113.198442 116.7221) - (xy 113.173847 116.7221) - (xy 113.162084 116.721174) - (xy 113.145613 116.718565) - (xy 113.145611 116.718565) - (xy 113.137806 116.717329) - (xy 113.130174 116.719374) - (xy 113.130173 116.719374) - (xy 113.129562 116.719538) - (xy 113.110099 116.7221) - (xy 97.775548 116.7221) - (xy 97.72721 116.704507) - (xy 97.722374 116.700074) - (xy 97.26671 116.24441) - (xy 113.792477 116.24441) - (xy 113.793171 116.249717) - (xy 113.793171 116.24972) - (xy 113.795045 116.264049) - (xy 113.809298 116.373046) - (xy 113.811457 116.377952) - (xy 113.811457 116.377953) - (xy 113.835577 116.43277) - (xy 113.861547 116.491791) - (xy 113.945023 116.591098) - (xy 113.949483 116.594066) - (xy 113.949482 116.594066) - (xy 114.022309 116.642543) - (xy 114.053017 116.662984) - (xy 114.176845 116.701671) - (xy 114.243716 116.702896) - (xy 114.301193 116.70395) - (xy 114.301195 116.70395) - (xy 114.306555 116.704048) - (xy 114.311726 116.702638) - (xy 114.311728 116.702638) - (xy 114.385861 116.682427) - (xy 114.431718 116.669925) - (xy 114.542273 116.602044) - (xy 114.545865 116.598076) - (xy 114.545867 116.598074) - (xy 114.582481 116.557623) - (xy 114.629332 116.505863) - (xy 114.685897 116.389112) - (xy 114.70742 116.261179) - (xy 114.707557 116.25) - (xy 114.707145 116.247122) - (xy 114.706757 116.24441) - (xy 123.792477 116.24441) - (xy 123.793171 116.249717) - (xy 123.793171 116.24972) - (xy 123.795045 116.264049) - (xy 123.809298 116.373046) - (xy 123.811457 116.377952) - (xy 123.811457 116.377953) - (xy 123.835577 116.43277) - (xy 123.861547 116.491791) - (xy 123.945023 116.591098) - (xy 123.949483 116.594066) - (xy 123.949482 116.594066) - (xy 124.022309 116.642543) - (xy 124.053017 116.662984) - (xy 124.176845 116.701671) - (xy 124.243716 116.702896) - (xy 124.301193 116.70395) - (xy 124.301195 116.70395) - (xy 124.306555 116.704048) - (xy 124.311726 116.702638) - (xy 124.311728 116.702638) - (xy 124.385861 116.682427) - (xy 124.431718 116.669925) - (xy 124.542273 116.602044) - (xy 124.545865 116.598076) - (xy 124.545867 116.598074) - (xy 124.582481 116.557623) - (xy 124.629332 116.505863) - (xy 124.685897 116.389112) - (xy 124.70742 116.261179) - (xy 124.707557 116.25) - (xy 124.707145 116.247122) - (xy 124.689925 116.126876) - (xy 124.689924 116.126873) - (xy 124.689166 116.121579) - (xy 124.63547 116.003482) - (xy 124.627969 115.994776) - (xy 124.554282 115.909258) - (xy 124.554281 115.909257) - (xy 124.550787 115.905202) - (xy 124.545157 115.901553) - (xy 124.446416 115.837552) - (xy 124.446415 115.837551) - (xy 124.441923 115.83464) - (xy 124.367708 115.812445) - (xy 124.322765 115.799004) - (xy 124.322762 115.799004) - (xy 124.317631 115.797469) - (xy 124.312276 115.797436) - (xy 124.312274 115.797436) - (xy 124.254164 115.797081) - (xy 124.187902 115.796676) - (xy 124.063166 115.832326) - (xy 123.953448 115.901553) - (xy 123.86757 115.998791) - (xy 123.865294 116.003638) - (xy 123.865293 116.00364) - (xy 123.834141 116.069993) - (xy 123.812436 116.116223) - (xy 123.792477 116.24441) - (xy 114.706757 116.24441) - (xy 114.689925 116.126876) - (xy 114.689924 116.126873) - (xy 114.689166 116.121579) - (xy 114.63547 116.003482) - (xy 114.627969 115.994776) - (xy 114.554282 115.909258) - (xy 114.554281 115.909257) - (xy 114.550787 115.905202) - (xy 114.545157 115.901553) - (xy 114.446416 115.837552) - (xy 114.446415 115.837551) - (xy 114.441923 115.83464) - (xy 114.367708 115.812445) - (xy 114.322765 115.799004) - (xy 114.322762 115.799004) - (xy 114.317631 115.797469) - (xy 114.312276 115.797436) - (xy 114.312274 115.797436) - (xy 114.254164 115.797081) - (xy 114.187902 115.796676) - (xy 114.063166 115.832326) - (xy 113.953448 115.901553) - (xy 113.86757 115.998791) - (xy 113.865294 116.003638) - (xy 113.865293 116.00364) - (xy 113.834141 116.069993) - (xy 113.812436 116.116223) - (xy 113.792477 116.24441) - (xy 97.26671 116.24441) - (xy 96.36671 115.34441) - (xy 114.792477 115.34441) - (xy 114.793171 115.349717) - (xy 114.793171 115.34972) - (xy 114.79498 115.363552) - (xy 114.809298 115.473046) - (xy 114.811457 115.477952) - (xy 114.811457 115.477953) - (xy 114.851881 115.569823) - (xy 114.861547 115.591791) - (xy 114.945023 115.691098) - (xy 114.979585 115.714104) - (xy 115.027854 115.746234) - (xy 115.053017 115.762984) - (xy 115.176845 115.801671) - (xy 115.243716 115.802896) - (xy 115.301193 115.80395) - (xy 115.301195 115.80395) - (xy 115.306555 115.804048) - (xy 115.311726 115.802638) - (xy 115.311728 115.802638) - (xy 115.388909 115.781596) - (xy 115.431718 115.769925) - (xy 115.542273 115.702044) - (xy 115.545865 115.698076) - (xy 115.545867 115.698074) - (xy 115.587902 115.651634) - (xy 115.629332 115.605863) - (xy 115.67142 115.518993) - (xy 115.683559 115.493938) - (xy 115.683559 115.493937) - (xy 115.685897 115.489112) - (xy 115.70742 115.361179) - (xy 115.707459 115.358043) - (xy 115.707521 115.352913) - (xy 115.707557 115.35) - (xy 115.707034 115.346348) - (xy 115.706756 115.34441) - (xy 124.792477 115.34441) - (xy 124.793171 115.349717) - (xy 124.793171 115.34972) - (xy 124.79498 115.363552) - (xy 124.809298 115.473046) - (xy 124.811457 115.477952) - (xy 124.811457 115.477953) - (xy 124.851881 115.569823) - (xy 124.861547 115.591791) - (xy 124.945023 115.691098) - (xy 124.979585 115.714104) - (xy 125.027854 115.746234) - (xy 125.053017 115.762984) - (xy 125.176845 115.801671) - (xy 125.243716 115.802896) - (xy 125.301193 115.80395) - (xy 125.301195 115.80395) - (xy 125.306555 115.804048) - (xy 125.311726 115.802638) - (xy 125.311728 115.802638) - (xy 125.388909 115.781596) - (xy 125.431718 115.769925) - (xy 125.542273 115.702044) - (xy 125.545865 115.698076) - (xy 125.545867 115.698074) - (xy 125.587902 115.651634) - (xy 125.629332 115.605863) - (xy 125.67142 115.518993) - (xy 125.683559 115.493938) - (xy 125.683559 115.493937) - (xy 125.685897 115.489112) - (xy 125.70742 115.361179) - (xy 125.707459 115.358043) - (xy 125.707521 115.352913) - (xy 125.707557 115.35) - (xy 125.707034 115.346348) - (xy 125.689925 115.226876) - (xy 125.689924 115.226873) - (xy 125.689166 115.221579) - (xy 125.63547 115.103482) - (xy 125.627969 115.094776) - (xy 125.554282 115.009258) - (xy 125.554281 115.009257) - (xy 125.550787 115.005202) - (xy 125.545157 115.001553) - (xy 125.446416 114.937552) - (xy 125.446415 114.937551) - (xy 125.441923 114.93464) - (xy 125.399367 114.921913) - (xy 125.322765 114.899004) - (xy 125.322762 114.899004) - (xy 125.317631 114.897469) - (xy 125.312276 114.897436) - (xy 125.312274 114.897436) - (xy 125.254164 114.897081) - (xy 125.187902 114.896676) - (xy 125.063166 114.932326) - (xy 124.953448 115.001553) - (xy 124.86757 115.098791) - (xy 124.865294 115.103638) - (xy 124.865293 115.10364) - (xy 124.836928 115.164056) - (xy 124.812436 115.216223) - (xy 124.792477 115.34441) - (xy 115.706756 115.34441) - (xy 115.689925 115.226876) - (xy 115.689924 115.226873) - (xy 115.689166 115.221579) - (xy 115.63547 115.103482) - (xy 115.627969 115.094776) - (xy 115.554282 115.009258) - (xy 115.554281 115.009257) - (xy 115.550787 115.005202) - (xy 115.545157 115.001553) - (xy 115.446416 114.937552) - (xy 115.446415 114.937551) - (xy 115.441923 114.93464) - (xy 115.399367 114.921913) - (xy 115.322765 114.899004) - (xy 115.322762 114.899004) - (xy 115.317631 114.897469) - (xy 115.312276 114.897436) - (xy 115.312274 114.897436) - (xy 115.254164 114.897081) - (xy 115.187902 114.896676) - (xy 115.063166 114.932326) - (xy 114.953448 115.001553) - (xy 114.86757 115.098791) - (xy 114.865294 115.103638) - (xy 114.865293 115.10364) - (xy 114.836928 115.164056) - (xy 114.812436 115.216223) - (xy 114.792477 115.34441) - (xy 96.36671 115.34441) - (xy 95.46671 114.44441) - (xy 113.792477 114.44441) - (xy 113.793171 114.449717) - (xy 113.793171 114.44972) - (xy 113.801518 114.513552) - (xy 113.809298 114.573046) - (xy 113.861547 114.691791) - (xy 113.945023 114.791098) - (xy 114.053017 114.862984) - (xy 114.176845 114.901671) - (xy 114.243716 114.902896) - (xy 114.301193 114.90395) - (xy 114.301195 114.90395) - (xy 114.306555 114.904048) - (xy 114.311726 114.902638) - (xy 114.311728 114.902638) - (xy 114.415109 114.874453) - (xy 114.431718 114.869925) - (xy 114.542273 114.802044) - (xy 114.545865 114.798076) - (xy 114.545867 114.798074) - (xy 114.582481 114.757623) - (xy 114.629332 114.705863) - (xy 114.685897 114.589112) - (xy 114.70742 114.461179) - (xy 114.707557 114.45) - (xy 114.707145 114.447122) - (xy 114.689925 114.326876) - (xy 114.689924 114.326873) - (xy 114.689166 114.321579) - (xy 114.63547 114.203482) - (xy 114.627969 114.194776) - (xy 114.554282 114.109258) - (xy 114.554281 114.109257) - (xy 114.550787 114.105202) - (xy 114.545157 114.101553) - (xy 114.465621 114.05) - (xy 123.992078 114.05) - (xy 124.012043 114.176055) - (xy 124.069984 114.289771) - (xy 124.160229 114.380016) - (xy 124.165505 114.382704) - (xy 124.165506 114.382705) - (xy 124.202455 114.401531) - (xy 124.273945 114.437957) - (xy 124.279792 114.438883) - (xy 124.383029 114.455234) - (xy 124.4 114.457922) - (xy 124.416972 114.455234) - (xy 124.520208 114.438883) - (xy 124.526055 114.437957) - (xy 124.597545 114.401531) - (xy 124.634494 114.382705) - (xy 124.634495 114.382704) - (xy 124.639771 114.380016) - (xy 124.730016 114.289771) - (xy 124.787957 114.176055) - (xy 124.807922 114.05) - (xy 124.805951 114.037552) - (xy 124.788883 113.929792) - (xy 124.787957 113.923945) - (xy 124.730016 113.810229) - (xy 124.639771 113.719984) - (xy 124.526055 113.662043) - (xy 124.4 113.642078) - (xy 124.273945 113.662043) - (xy 124.160229 113.719984) - (xy 124.069984 113.810229) - (xy 124.012043 113.923945) - (xy 124.011117 113.929792) - (xy 123.99405 114.037552) - (xy 123.992078 114.05) - (xy 114.465621 114.05) - (xy 114.446416 114.037552) - (xy 114.446415 114.037551) - (xy 114.441923 114.03464) - (xy 114.359422 114.009967) - (xy 114.322765 113.999004) - (xy 114.322762 113.999004) - (xy 114.317631 113.997469) - (xy 114.312276 113.997436) - (xy 114.312274 113.997436) - (xy 114.254164 113.997081) - (xy 114.187902 113.996676) - (xy 114.063166 114.032326) - (xy 113.953448 114.101553) - (xy 113.86757 114.198791) - (xy 113.812436 114.316223) - (xy 113.792477 114.44441) - (xy 95.46671 114.44441) - (xy 93.499926 112.477626) - (xy 93.478186 112.431006) - (xy 93.4779 112.424452) - (xy 93.4779 111.99441) - (xy 96.742477 111.99441) - (xy 96.743171 111.999717) - (xy 96.743171 111.99972) - (xy 96.7542 112.084058) - (xy 96.759298 112.123046) - (xy 96.761457 112.127952) - (xy 96.761457 112.127953) - (xy 96.796497 112.207587) - (xy 96.811547 112.241791) - (xy 96.895023 112.341098) - (xy 97.003017 112.412984) - (xy 97.126845 112.451671) - (xy 97.193716 112.452896) - (xy 97.251193 112.45395) - (xy 97.251195 112.45395) - (xy 97.256555 112.454048) - (xy 97.261726 112.452638) - (xy 97.261728 112.452638) - (xy 97.360073 112.425826) - (xy 97.381718 112.419925) - (xy 97.492273 112.352044) - (xy 97.495865 112.348076) - (xy 97.495867 112.348074) - (xy 97.543542 112.295403) - (xy 97.579332 112.255863) - (xy 97.635897 112.139112) - (xy 97.65742 112.011179) - (xy 97.657557 112) - (xy 97.657145 111.997122) - (xy 97.639925 111.876876) - (xy 97.639924 111.876873) - (xy 97.639166 111.871579) - (xy 97.634409 111.861117) - (xy 97.587689 111.758362) - (xy 97.587688 111.758361) - (xy 97.58547 111.753482) - (xy 97.577969 111.744776) - (xy 97.504282 111.659258) - (xy 97.504281 111.659257) - (xy 97.500787 111.655202) - (xy 97.495157 111.651553) - (xy 97.396416 111.587552) - (xy 97.396415 111.587551) - (xy 97.391923 111.58464) - (xy 97.349367 111.571913) - (xy 97.272765 111.549004) - (xy 97.272762 111.549004) - (xy 97.267631 111.547469) - (xy 97.262276 111.547436) - (xy 97.262274 111.547436) - (xy 97.204164 111.547081) - (xy 97.137902 111.546676) - (xy 97.013166 111.582326) - (xy 96.903448 111.651553) - (xy 96.81757 111.748791) - (xy 96.815294 111.753638) - (xy 96.815293 111.75364) - (xy 96.76525 111.860229) - (xy 96.762436 111.866223) - (xy 96.742477 111.99441) - (xy 93.4779 111.99441) - (xy 93.4779 111.09441) - (xy 95.742477 111.09441) - (xy 95.743171 111.099717) - (xy 95.743171 111.09972) - (xy 95.746863 111.127953) - (xy 95.759298 111.223046) - (xy 95.811547 111.341791) - (xy 95.895023 111.441098) - (xy 96.003017 111.512984) - (xy 96.126845 111.551671) - (xy 96.193716 111.552896) - (xy 96.251193 111.55395) - (xy 96.251195 111.55395) - (xy 96.256555 111.554048) - (xy 96.261726 111.552638) - (xy 96.261728 111.552638) - (xy 96.359233 111.526055) - (xy 96.381718 111.519925) - (xy 96.492273 111.452044) - (xy 96.495865 111.448076) - (xy 96.495867 111.448074) - (xy 96.539381 111.4) - (xy 96.579332 111.355863) - (xy 96.627781 111.255863) - (xy 96.633559 111.243938) - (xy 96.633559 111.243937) - (xy 96.635897 111.239112) - (xy 96.65742 111.111179) - (xy 96.657557 111.1) - (xy 96.657145 111.097122) - (xy 96.639925 110.976876) - (xy 96.639924 110.976873) - (xy 96.639166 110.971579) - (xy 96.60197 110.889771) - (xy 96.587689 110.858362) - (xy 96.587688 110.858361) - (xy 96.58547 110.853482) - (xy 96.577969 110.844776) - (xy 96.504282 110.759258) - (xy 96.504281 110.759257) - (xy 96.500787 110.755202) - (xy 96.490896 110.748791) - (xy 96.396416 110.687552) - (xy 96.396415 110.687551) - (xy 96.391923 110.68464) - (xy 96.349367 110.671913) - (xy 96.272765 110.649004) - (xy 96.272762 110.649004) - (xy 96.267631 110.647469) - (xy 96.262276 110.647436) - (xy 96.262274 110.647436) - (xy 96.204164 110.647081) - (xy 96.137902 110.646676) - (xy 96.013166 110.682326) - (xy 95.903448 110.751553) - (xy 95.81757 110.848791) - (xy 95.815294 110.853638) - (xy 95.815293 110.85364) - (xy 95.79833 110.889771) - (xy 95.762436 110.966223) - (xy 95.742477 111.09441) - (xy 93.4779 111.09441) - (xy 93.4779 110.2) - (xy 96.992078 110.2) - (xy 97.012043 110.326055) - (xy 97.069984 110.439771) - (xy 97.160229 110.530016) - (xy 97.165505 110.532704) - (xy 97.165506 110.532705) - (xy 97.202735 110.551674) - (xy 97.273945 110.587957) - (xy 97.279792 110.588883) - (xy 97.370515 110.603252) - (xy 97.4 110.607922) - (xy 97.429486 110.603252) - (xy 97.520208 110.588883) - (xy 97.526055 110.587957) - (xy 97.597265 110.551674) - (xy 97.634494 110.532705) - (xy 97.634495 110.532704) - (xy 97.639771 110.530016) - (xy 97.730016 110.439771) - (xy 97.787957 110.326055) - (xy 97.807922 110.2) - (xy 97.787957 110.073945) - (xy 97.747084 109.993726) - (xy 97.732705 109.965506) - (xy 97.732704 109.965505) - (xy 97.730016 109.960229) - (xy 97.639771 109.869984) - (xy 97.603836 109.851674) - (xy 97.545793 109.8221) - (xy 97.526055 109.812043) - (xy 97.450018 109.8) - (xy 97.405847 109.793004) - (xy 97.4 109.792078) - (xy 97.394153 109.793004) - (xy 97.349982 109.8) - (xy 97.273945 109.812043) - (xy 97.254207 109.8221) - (xy 97.196165 109.851674) - (xy 97.160229 109.869984) - (xy 97.069984 109.960229) - (xy 97.067296 109.965505) - (xy 97.067295 109.965506) - (xy 97.052916 109.993726) - (xy 97.012043 110.073945) - (xy 96.992078 110.2) - (xy 93.4779 110.2) - (xy 93.4779 109.307936) - (xy 93.478003 109.304) - (xy 93.479709 109.271445) - (xy 93.480123 109.263552) - (xy 93.471311 109.240596) - (xy 93.467959 109.229281) - (xy 93.464491 109.212965) - (xy 93.462848 109.205234) - (xy 93.457832 109.19833) - (xy 93.448466 109.18108) - (xy 93.445404 109.173104) - (xy 93.428017 109.155717) - (xy 93.420353 109.146745) - (xy 93.410546 109.133247) - (xy 93.405901 109.126854) - (xy 93.399059 109.122903) - (xy 93.399057 109.122902) - (xy 93.398508 109.122585) - (xy 93.382934 109.110634) - (xy 92.1723 107.9) - (xy 97.042078 107.9) - (xy 97.062043 108.026055) - (xy 97.119984 108.139771) - (xy 97.210229 108.230016) - (xy 97.323945 108.287957) - (xy 97.45 108.307922) - (xy 97.576055 108.287957) - (xy 97.689771 108.230016) - (xy 97.780016 108.139771) - (xy 97.837957 108.026055) - (xy 97.857922 107.9) - (xy 97.837957 107.773945) - (xy 97.79892 107.697331) - (xy 97.782705 107.665506) - (xy 97.782704 107.665505) - (xy 97.780016 107.660229) - (xy 97.689771 107.569984) - (xy 97.678577 107.56428) - (xy 97.610889 107.529792) - (xy 97.576055 107.512043) - (xy 97.45 107.492078) - (xy 97.323945 107.512043) - (xy 97.289111 107.529792) - (xy 97.221424 107.56428) - (xy 97.210229 107.569984) - (xy 97.119984 107.660229) - (xy 97.117296 107.665505) - (xy 97.117295 107.665506) - (xy 97.10108 107.697331) - (xy 97.062043 107.773945) - (xy 97.042078 107.9) - (xy 92.1723 107.9) - (xy 91.2223 106.95) - (xy 97.392078 106.95) - (xy 97.412043 107.076055) - (xy 97.435306 107.121711) - (xy 97.458215 107.166672) - (xy 97.469984 107.189771) - (xy 97.560229 107.280016) - (xy 97.565505 107.282704) - (xy 97.565506 107.282705) - (xy 97.592021 107.296215) - (xy 97.673945 107.337957) - (xy 97.8 107.357922) - (xy 97.922399 107.338536) - (xy 97.972894 107.348351) - (xy 97.987337 107.359636) - (xy 99.800074 109.172373) - (xy 99.821814 109.218993) - (xy 99.8221 109.225547) - (xy 99.8221 112.526965) - (xy 99.804507 112.575303) - (xy 99.800074 112.580139) - (xy 99.719984 112.660229) - (xy 99.717296 112.665505) - (xy 99.717295 112.665506) - (xy 99.711492 112.676896) - (xy 99.662043 112.773945) - (xy 99.642078 112.9) - (xy 99.662043 113.026055) - (xy 99.719984 113.139771) - (xy 99.810229 113.230016) - (xy 99.923945 113.287957) - (xy 100.05 113.307922) - (xy 100.176055 113.287957) - (xy 100.289771 113.230016) - (xy 100.380016 113.139771) - (xy 100.40028 113.1) - (xy 103.792078 113.1) - (xy 103.812043 113.226055) - (xy 103.869984 113.339771) - (xy 103.960229 113.430016) - (xy 104.073945 113.487957) - (xy 104.2 113.507922) - (xy 104.326055 113.487957) - (xy 104.439771 113.430016) - (xy 104.530016 113.339771) - (xy 104.587957 113.226055) - (xy 104.607922 113.1) - (xy 104.587957 112.973945) - (xy 104.530016 112.860229) - (xy 104.439771 112.769984) - (xy 104.326055 112.712043) - (xy 104.263028 112.702061) - (xy 104.205847 112.693004) - (xy 104.2 112.692078) - (xy 104.194153 112.693004) - (xy 104.136972 112.702061) - (xy 104.073945 112.712043) - (xy 103.960229 112.769984) - (xy 103.869984 112.860229) - (xy 103.812043 112.973945) - (xy 103.792078 113.1) - (xy 100.40028 113.1) - (xy 100.437957 113.026055) - (xy 100.457922 112.9) - (xy 100.437957 112.773945) - (xy 100.388508 112.676896) - (xy 100.382705 112.665506) - (xy 100.382704 112.665505) - (xy 100.380016 112.660229) - (xy 100.299926 112.580139) - (xy 100.278186 112.533519) - (xy 100.2779 112.526965) - (xy 100.2779 112.25) - (xy 102.842078 112.25) - (xy 102.843004 112.255847) - (xy 102.847245 112.282622) - (xy 102.862043 112.376055) - (xy 102.919984 112.489771) - (xy 103.010229 112.580016) - (xy 103.015505 112.582704) - (xy 103.015506 112.582705) - (xy 103.063344 112.607079) - (xy 103.123945 112.637957) - (xy 103.25 112.657922) - (xy 103.376055 112.637957) - (xy 103.436656 112.607079) - (xy 103.484494 112.582705) - (xy 103.484495 112.582704) - (xy 103.489771 112.580016) - (xy 103.580016 112.489771) - (xy 103.637957 112.376055) - (xy 103.652755 112.282622) - (xy 103.656996 112.255847) - (xy 103.657922 112.25) - (xy 103.651205 112.207587) - (xy 103.638883 112.129792) - (xy 103.637957 112.123945) - (xy 103.580016 112.010229) - (xy 103.489771 111.919984) - (xy 103.376055 111.862043) - (xy 103.300018 111.85) - (xy 103.255847 111.843004) - (xy 103.25 111.842078) - (xy 103.244153 111.843004) - (xy 103.199982 111.85) - (xy 103.123945 111.862043) - (xy 103.010229 111.919984) - (xy 102.919984 112.010229) - (xy 102.862043 112.123945) - (xy 102.861117 112.129792) - (xy 102.848796 112.207587) - (xy 102.842078 112.25) - (xy 100.2779 112.25) - (xy 100.2779 111.4) - (xy 103.792078 111.4) - (xy 103.812043 111.526055) - (xy 103.869984 111.639771) - (xy 103.960229 111.730016) - (xy 103.965505 111.732704) - (xy 103.965506 111.732705) - (xy 104.006284 111.753482) - (xy 104.073945 111.787957) - (xy 104.2 111.807922) - (xy 104.326055 111.787957) - (xy 104.393716 111.753482) - (xy 104.434494 111.732705) - (xy 104.434495 111.732704) - (xy 104.439771 111.730016) - (xy 104.530016 111.639771) - (xy 104.587957 111.526055) - (xy 104.607922 111.4) - (xy 104.587957 111.273945) - (xy 104.530016 111.160229) - (xy 104.439771 111.069984) - (xy 104.326055 111.012043) - (xy 104.2 110.992078) - (xy 104.073945 111.012043) - (xy 103.960229 111.069984) - (xy 103.869984 111.160229) - (xy 103.812043 111.273945) - (xy 103.792078 111.4) - (xy 100.2779 111.4) - (xy 100.2779 109.107929) - (xy 100.278003 109.103992) - (xy 100.280123 109.063552) - (xy 100.27131 109.040592) - (xy 100.26796 109.029284) - (xy 100.265526 109.017833) - (xy 100.262848 109.005234) - (xy 100.257829 108.998325) - (xy 100.248465 108.98108) - (xy 100.248236 108.980483) - (xy 100.248235 108.980482) - (xy 100.245403 108.973104) - (xy 100.228019 108.95572) - (xy 100.220355 108.946748) - (xy 100.210546 108.933247) - (xy 100.210545 108.933247) - (xy 100.205901 108.926854) - (xy 100.198507 108.922585) - (xy 100.182933 108.910634) - (xy 98.222494 106.950194) - (xy 98.201394 106.908784) - (xy 98.188883 106.829792) - (xy 98.187957 106.823945) - (xy 98.148623 106.746748) - (xy 98.132705 106.715506) - (xy 98.132704 106.715505) - (xy 98.130016 106.710229) - (xy 98.039771 106.619984) - (xy 98.034153 106.617121) - (xy 97.986656 106.592921) - (xy 97.926055 106.562043) - (xy 97.851937 106.550304) - (xy 97.805847 106.543004) - (xy 97.8 106.542078) - (xy 97.794153 106.543004) - (xy 97.748063 106.550304) - (xy 97.673945 106.562043) - (xy 97.613344 106.592921) - (xy 97.565848 106.617121) - (xy 97.560229 106.619984) - (xy 97.469984 106.710229) - (xy 97.467296 106.715505) - (xy 97.467295 106.715506) - (xy 97.451377 106.746748) - (xy 97.412043 106.823945) - (xy 97.406418 106.85946) - (xy 97.393678 106.939901) - (xy 97.392078 106.95) - (xy 91.2223 106.95) - (xy 91.016758 106.744458) - (xy 91.014047 106.741602) - (xy 90.992237 106.717379) - (xy 90.986949 106.711506) - (xy 90.964478 106.701501) - (xy 90.954121 106.695877) - (xy 90.940125 106.686788) - (xy 90.940124 106.686788) - (xy 90.933497 106.682484) - (xy 90.925061 106.681148) - (xy 90.906245 106.675574) - (xy 90.898442 106.6721) - (xy 90.873847 106.6721) - (xy 90.862084 106.671174) - (xy 90.845613 106.668565) - (xy 90.845611 106.668565) - (xy 90.837806 106.667329) - (xy 90.830174 106.669374) - (xy 90.830173 106.669374) - (xy 90.829562 106.669538) - (xy 90.810099 106.6721) - (xy 90.423035 106.6721) - (xy 90.374697 106.654507) - (xy 90.369861 106.650074) - (xy 90.289771 106.569984) - (xy 90.27946 106.56473) - (xy 90.206966 106.527793) - (xy 90.176055 106.512043) - (xy 90.076089 106.49621) - (xy 90.055847 106.493004) - (xy 90.05 106.492078) - (xy 90.044153 106.493004) - (xy 90.023911 106.49621) - (xy 89.923945 106.512043) - (xy 89.893034 106.527793) - (xy 89.820541 106.56473) - (xy 89.810229 106.569984) - (xy 89.719984 106.660229) - (xy 89.717296 106.665505) - (xy 89.717295 106.665506) - (xy 89.705877 106.687916) - (xy 89.662043 106.773945) - (xy 89.642078 106.9) - (xy 87.128213 106.9) - (xy 87.129152 106.882069) - (xy 87.130123 106.863552) - (xy 87.12131 106.840592) - (xy 87.11796 106.829284) - (xy 87.115031 106.815506) - (xy 87.112848 106.805234) - (xy 87.107829 106.798325) - (xy 87.098465 106.78108) - (xy 87.098236 106.780483) - (xy 87.098235 106.780482) - (xy 87.095403 106.773104) - (xy 87.078019 106.75572) - (xy 87.070355 106.746748) - (xy 87.060546 106.733247) - (xy 87.060545 106.733247) - (xy 87.055901 106.726854) - (xy 87.048507 106.722585) - (xy 87.032933 106.710634) - (xy 86.649926 106.327626) - (xy 86.628186 106.281006) - (xy 86.6279 106.274452) - (xy 86.6279 104.975547) - (xy 86.645493 104.927209) - (xy 86.649926 104.922373) - (xy 87.705532 103.866767) - (xy 87.708388 103.864056) - (xy 87.732619 103.842239) - (xy 87.732619 103.842238) - (xy 87.738494 103.836949) - (xy 87.748499 103.814477) - (xy 87.754129 103.804109) - (xy 87.76321 103.790127) - (xy 87.763211 103.790126) - (xy 87.767516 103.783496) - (xy 87.768852 103.775062) - (xy 87.774425 103.756246) - (xy 87.777496 103.74935) - (xy 87.793016 103.726771) - (xy 87.880016 103.639771) - (xy 87.937957 103.526055) - (xy 87.957922 103.4) - (xy 87.937957 103.273945) - (xy 87.899218 103.197915) - (xy 87.882705 103.165506) - (xy 87.882704 103.165505) - (xy 87.880016 103.160229) - (xy 87.789771 103.069984) - (xy 87.762026 103.055847) - (xy 87.705096 103.02684) - (xy 87.676055 103.012043) - (xy 87.55 102.992078) - (xy 87.423945 103.012043) - (xy 87.394904 103.02684) - (xy 87.337975 103.055847) - (xy 87.310229 103.069984) - (xy 87.219984 103.160229) - (xy 87.217296 103.165505) - (xy 87.217295 103.165506) - (xy 87.200782 103.197915) - (xy 87.162043 103.273945) - (xy 87.142078 103.4) - (xy 87.162043 103.526055) - (xy 87.217296 103.634494) - (xy 87.218115 103.636102) - (xy 87.224384 103.687158) - (xy 87.204285 103.723416) - (xy 86.722992 104.204708) - (xy 86.244458 104.683242) - (xy 86.241603 104.685952) - (xy 86.211506 104.713051) - (xy 86.201501 104.735522) - (xy 86.195877 104.745879) - (xy 86.182484 104.766503) - (xy 86.181148 104.774939) - (xy 86.175574 104.793755) - (xy 86.1721 104.801558) - (xy 86.1721 104.826153) - (xy 86.171174 104.837916) - (xy 86.167329 104.862194) - (xy 86.169374 104.869826) - (xy 86.169374 104.869827) - (xy 86.169538 104.870438) - (xy 86.1721 104.889901) - (xy 86.1721 106.392064) - (xy 86.171997 106.396) - (xy 86.169877 106.436448) - (xy 86.17869 106.459408) - (xy 86.18204 106.470716) - (xy 86.184508 106.482325) - (xy 86.187152 106.494766) - (xy 86.191798 106.50116) - (xy 86.191798 106.501161) - (xy 86.192171 106.501674) - (xy 86.201535 106.51892) - (xy 86.204597 106.526896) - (xy 86.221981 106.54428) - (xy 86.229645 106.553252) - (xy 86.238367 106.565256) - (xy 86.244099 106.573146) - (xy 86.250944 106.577098) - (xy 86.251493 106.577415) - (xy 86.267067 106.589366) - (xy 86.650074 106.972374) - (xy 86.671814 107.018994) - (xy 86.6721 107.025548) - (xy 86.6721 114.874453) - (xy 86.654507 114.922791) - (xy 86.650074 114.927627) - (xy 78.201009 123.376691) - (xy 78.154389 123.398431) - (xy 78.136071 123.397791) - (xy 78.105847 123.393004) - (xy 78.1 123.392078) - (xy 78.094153 123.393004) - (xy 78.036972 123.402061) - (xy 77.973945 123.412043) - (xy 77.860229 123.469984) - (xy 77.769984 123.560229) - (xy 77.712043 123.673945) - (xy 77.711117 123.679792) - (xy 77.695475 123.778555) - (xy 77.692078 123.8) - (xy 67.7919 123.8) - (xy 67.7919 121.356436) - (xy 67.792003 121.3525) - (xy 67.792852 121.336306) - (xy 67.794123 121.312052) - (xy 67.78531 121.289092) - (xy 67.78196 121.277784) - (xy 67.778491 121.261465) - (xy 67.776848 121.253734) - (xy 67.771829 121.246825) - (xy 67.762465 121.22958) - (xy 67.762236 121.228983) - (xy 67.762235 121.228982) - (xy 67.759403 121.221604) - (xy 67.742019 121.20422) - (xy 67.734355 121.195248) - (xy 67.724546 121.181747) - (xy 67.724545 121.181747) - (xy 67.719901 121.175354) - (xy 67.712507 121.171085) - (xy 67.696933 121.159134) - (xy 67.680973 121.143174) - (xy 67.659233 121.096554) - (xy 67.672547 121.046867) - (xy 67.714684 121.017362) - (xy 67.730208 121.015319) - (xy 67.730185 121.014927) - (xy 67.732371 121.0148) - (xy 67.734547 121.0148) - (xy 67.736707 121.014548) - (xy 67.73671 121.014548) - (xy 67.791878 121.008116) - (xy 67.863739 120.999738) - (xy 67.867848 120.998246) - (xy 67.86785 120.998246) - (xy 68.023105 120.941891) - (xy 68.023107 120.94189) - (xy 68.027212 120.9404) - (xy 68.17265 120.845047) - (xy 68.292251 120.718793) - (xy 68.319621 120.671673) - (xy 68.3774 120.572198) - (xy 68.3774 120.572197) - (xy 68.379599 120.568412) - (xy 68.389565 120.535509) - (xy 68.428743 120.406153) - (xy 68.428743 120.406151) - (xy 68.43001 120.401969) - (xy 68.43028 120.397613) - (xy 68.430281 120.397609) - (xy 68.440508 120.232752) - (xy 68.440508 120.232748) - (xy 68.440778 120.228393) - (xy 68.428872 120.159104) - (xy 68.427308 120.15) - (xy 77.692078 120.15) - (xy 77.712043 120.276055) - (xy 77.71473 120.281328) - (xy 77.764964 120.379918) - (xy 77.769984 120.389771) - (xy 77.860229 120.480016) - (xy 77.865505 120.482704) - (xy 77.865506 120.482705) - (xy 77.897311 120.49891) - (xy 77.973945 120.537957) - (xy 78.1 120.557922) - (xy 78.226055 120.537957) - (xy 78.302689 120.49891) - (xy 78.334494 120.482705) - (xy 78.334495 120.482704) - (xy 78.339771 120.480016) - (xy 78.430016 120.389771) - (xy 78.435037 120.379918) - (xy 78.48527 120.281328) - (xy 78.487957 120.276055) - (xy 78.507922 120.15) - (xy 78.487957 120.023945) - (xy 78.437996 119.925891) - (xy 78.432705 119.915506) - (xy 78.432704 119.915505) - (xy 78.430016 119.910229) - (xy 78.339771 119.819984) - (xy 78.301267 119.800365) - (xy 78.262381 119.780552) - (xy 78.226055 119.762043) - (xy 78.1 119.742078) - (xy 77.973945 119.762043) - (xy 77.937619 119.780552) - (xy 77.898734 119.800365) - (xy 77.860229 119.819984) - (xy 77.769984 119.910229) - (xy 77.767296 119.915505) - (xy 77.767295 119.915506) - (xy 77.762004 119.925891) - (xy 77.712043 120.023945) - (xy 77.692078 120.15) - (xy 68.427308 120.15) - (xy 68.412067 120.0613) - (xy 68.412066 120.061296) - (xy 68.411327 120.056996) - (xy 68.399752 120.029792) - (xy 68.344946 119.900992) - (xy 68.343235 119.896971) - (xy 68.252867 119.774174) - (xy 68.242747 119.760422) - (xy 68.242745 119.76042) - (xy 68.240157 119.756903) - (xy 68.10762 119.644305) - (xy 68.020842 119.599994) - (xy 67.956623 119.567202) - (xy 67.956621 119.567201) - (xy 67.952735 119.565217) - (xy 67.78381 119.523881) - (xy 67.780469 119.523674) - (xy 67.780464 119.523673) - (xy 67.777183 119.52347) - (xy 67.772833 119.5232) - (xy 67.647453 119.5232) - (xy 67.645293 119.523452) - (xy 67.64529 119.523452) - (xy 67.602947 119.528389) - (xy 67.518261 119.538262) - (xy 67.514152 119.539754) - (xy 67.51415 119.539754) - (xy 67.358895 119.596109) - (xy 67.358893 119.59611) - (xy 67.354788 119.5976) - (xy 67.320737 119.619925) - (xy 67.229136 119.679981) - (xy 67.20935 119.692953) - (xy 67.206346 119.696124) - (xy 67.186118 119.717477) - (xy 67.089749 119.819207) - (xy 67.088915 119.818417) - (xy 67.049905 119.843948) - (xy 66.998826 119.837861) - (xy 66.963611 119.800365) - (xy 66.958171 119.780552) - (xy 66.954174 119.750192) - (xy 66.954174 119.750191) - (xy 66.953531 119.745309) - (xy 66.939369 119.711117) - (xy 66.90371 119.625029) - (xy 66.898455 119.612342) - (xy 66.81084 119.49816) - (xy 66.760893 119.459834) - (xy 66.700569 119.413545) - (xy 66.700568 119.413544) - (xy 66.696659 119.410545) - (xy 66.622954 119.380016) - (xy 66.594423 119.368198) - (xy 66.556497 119.333446) - (xy 66.548 119.298722) - (xy 66.548 119.207278) - (xy 66.552683 119.19441) - (xy 75.242477 119.19441) - (xy 75.243171 119.199717) - (xy 75.243171 119.19972) - (xy 75.252389 119.270208) - (xy 75.259298 119.323046) - (xy 75.261457 119.327952) - (xy 75.261457 119.327953) - (xy 75.296969 119.40866) - (xy 75.311547 119.441791) - (xy 75.395023 119.541098) - (xy 75.420298 119.557922) - (xy 75.496179 119.608432) - (xy 75.503017 119.612984) - (xy 75.626845 119.651671) - (xy 75.693716 119.652896) - (xy 75.751193 119.65395) - (xy 75.751195 119.65395) - (xy 75.756555 119.654048) - (xy 75.761726 119.652638) - (xy 75.761728 119.652638) - (xy 75.850085 119.628549) - (xy 75.881718 119.619925) - (xy 75.992273 119.552044) - (xy 75.995865 119.548076) - (xy 75.995867 119.548074) - (xy 76.03751 119.502067) - (xy 76.079332 119.455863) - (xy 76.135897 119.339112) - (xy 76.15742 119.211179) - (xy 76.157557 119.2) - (xy 76.157145 119.197122) - (xy 76.139925 119.076876) - (xy 76.139924 119.076873) - (xy 76.139166 119.071579) - (xy 76.129355 119.05) - (xy 77.067078 119.05) - (xy 77.087043 119.176055) - (xy 77.144984 119.289771) - (xy 77.235229 119.380016) - (xy 77.240505 119.382704) - (xy 77.240506 119.382705) - (xy 77.288344 119.407079) - (xy 77.348945 119.437957) - (xy 77.475 119.457922) - (xy 77.601055 119.437957) - (xy 77.661656 119.407079) - (xy 77.709494 119.382705) - (xy 77.709495 119.382704) - (xy 77.714771 119.380016) - (xy 77.805016 119.289771) - (xy 77.862957 119.176055) - (xy 77.882922 119.05) - (xy 77.862957 118.923945) - (xy 77.818997 118.837668) - (xy 77.807705 118.815506) - (xy 77.807704 118.815505) - (xy 77.805016 118.810229) - (xy 77.714771 118.719984) - (xy 77.601055 118.662043) - (xy 77.475 118.642078) - (xy 77.348945 118.662043) - (xy 77.235229 118.719984) - (xy 77.144984 118.810229) - (xy 77.142296 118.815505) - (xy 77.142295 118.815506) - (xy 77.131003 118.837668) - (xy 77.087043 118.923945) - (xy 77.067078 119.05) - (xy 76.129355 119.05) - (xy 76.12278 119.03554) - (xy 76.087689 118.958362) - (xy 76.087688 118.958361) - (xy 76.08547 118.953482) - (xy 76.077969 118.944776) - (xy 76.004282 118.859258) - (xy 76.004281 118.859257) - (xy 76.000787 118.855202) - (xy 75.995157 118.851553) - (xy 75.896416 118.787552) - (xy 75.896415 118.787551) - (xy 75.891923 118.78464) - (xy 75.827064 118.765243) - (xy 75.772765 118.749004) - (xy 75.772762 118.749004) - (xy 75.767631 118.747469) - (xy 75.762276 118.747436) - (xy 75.762274 118.747436) - (xy 75.704164 118.747081) - (xy 75.637902 118.746676) - (xy 75.513166 118.782326) - (xy 75.403448 118.851553) - (xy 75.31757 118.948791) - (xy 75.315294 118.953638) - (xy 75.315293 118.95364) - (xy 75.264713 119.061373) - (xy 75.262436 119.066223) - (xy 75.242477 119.19441) - (xy 66.552683 119.19441) - (xy 66.565593 119.15894) - (xy 66.594423 119.137802) - (xy 66.647606 119.115773) - (xy 66.696659 119.095455) - (xy 66.720872 119.076876) - (xy 66.806933 119.010838) - (xy 66.81084 119.00784) - (xy 66.898455 118.893658) - (xy 66.934355 118.806987) - (xy 66.951646 118.765243) - (xy 66.951647 118.76524) - (xy 66.953531 118.760691) - (xy 66.954685 118.751927) - (xy 66.959016 118.719031) - (xy 66.982769 118.673403) - (xy 67.030293 118.653719) - (xy 67.079352 118.669187) - (xy 67.09414 118.684275) - (xy 67.138403 118.744422) - (xy 67.141843 118.749097) - (xy 67.27438 118.861695) - (xy 67.336976 118.893658) - (xy 67.425377 118.938798) - (xy 67.425379 118.938799) - (xy 67.429265 118.940783) - (xy 67.59819 118.982119) - (xy 67.601531 118.982326) - (xy 67.601536 118.982327) - (xy 67.604817 118.98253) - (xy 67.609167 118.9828) - (xy 67.734547 118.9828) - (xy 67.736707 118.982548) - (xy 67.73671 118.982548) - (xy 67.779053 118.977611) - (xy 67.863739 118.967738) - (xy 67.867848 118.966246) - (xy 67.86785 118.966246) - (xy 68.023105 118.909891) - (xy 68.023107 118.90989) - (xy 68.027212 118.9084) - (xy 68.118276 118.848696) - (xy 68.168999 118.815441) - (xy 68.169001 118.81544) - (xy 68.17265 118.813047) - (xy 68.181972 118.803207) - (xy 68.289246 118.689965) - (xy 68.292251 118.686793) - (xy 68.302478 118.669187) - (xy 68.3774 118.540198) - (xy 68.3774 118.540197) - (xy 68.379599 118.536412) - (xy 68.388241 118.507881) - (xy 68.428743 118.374153) - (xy 68.428743 118.374151) - (xy 68.43001 118.369969) - (xy 68.43028 118.365613) - (xy 68.430281 118.365609) - (xy 68.440508 118.200752) - (xy 68.440508 118.200748) - (xy 68.440778 118.196393) - (xy 68.440437 118.19441) - (xy 76.142477 118.19441) - (xy 76.143171 118.199717) - (xy 76.143171 118.19972) - (xy 76.149601 118.24889) - (xy 76.159298 118.323046) - (xy 76.161457 118.327952) - (xy 76.161457 118.327953) - (xy 76.181844 118.374286) - (xy 76.211547 118.441791) - (xy 76.295023 118.541098) - (xy 76.403017 118.612984) - (xy 76.526845 118.651671) - (xy 76.593716 118.652896) - (xy 76.651193 118.65395) - (xy 76.651195 118.65395) - (xy 76.656555 118.654048) - (xy 76.661726 118.652638) - (xy 76.661728 118.652638) - (xy 76.75098 118.628305) - (xy 76.781718 118.619925) - (xy 76.892273 118.552044) - (xy 76.895865 118.548076) - (xy 76.895867 118.548074) - (xy 76.941797 118.497331) - (xy 76.979332 118.455863) - (xy 77.014758 118.382743) - (xy 77.033559 118.343938) - (xy 77.033559 118.343937) - (xy 77.035897 118.339112) - (xy 77.05742 118.211179) - (xy 77.057557 118.2) - (xy 77.057145 118.197122) - (xy 77.039925 118.076876) - (xy 77.039924 118.076873) - (xy 77.039166 118.071579) - (xy 77.016869 118.02254) - (xy 76.987689 117.958362) - (xy 76.987688 117.958361) - (xy 76.98547 117.953482) - (xy 76.98247 117.95) - (xy 77.692078 117.95) - (xy 77.712043 118.076055) - (xy 77.769984 118.189771) - (xy 77.860229 118.280016) - (xy 77.973945 118.337957) - (xy 78.1 118.357922) - (xy 78.226055 118.337957) - (xy 78.339771 118.280016) - (xy 78.430016 118.189771) - (xy 78.487957 118.076055) - (xy 78.507922 117.95) - (xy 78.500762 117.90479) - (xy 78.492733 117.854099) - (xy 78.487957 117.823945) - (xy 78.448974 117.747436) - (xy 78.432705 117.715506) - (xy 78.432704 117.715505) - (xy 78.430016 117.710229) - (xy 78.339771 117.619984) - (xy 78.330302 117.615159) - (xy 78.246774 117.5726) - (xy 78.226055 117.562043) - (xy 78.1 117.542078) - (xy 77.973945 117.562043) - (xy 77.953226 117.5726) - (xy 77.869699 117.615159) - (xy 77.860229 117.619984) - (xy 77.769984 117.710229) - (xy 77.767296 117.715505) - (xy 77.767295 117.715506) - (xy 77.751026 117.747436) - (xy 77.712043 117.823945) - (xy 77.707267 117.854099) - (xy 77.699239 117.90479) - (xy 77.692078 117.95) - (xy 76.98247 117.95) - (xy 76.977969 117.944776) - (xy 76.904282 117.859258) - (xy 76.904281 117.859257) - (xy 76.900787 117.855202) - (xy 76.895157 117.851553) - (xy 76.796416 117.787552) - (xy 76.796415 117.787551) - (xy 76.791923 117.78464) - (xy 76.749367 117.771913) - (xy 76.672765 117.749004) - (xy 76.672762 117.749004) - (xy 76.667631 117.747469) - (xy 76.662276 117.747436) - (xy 76.662274 117.747436) - (xy 76.604164 117.747081) - (xy 76.537902 117.746676) - (xy 76.413166 117.782326) - (xy 76.303448 117.851553) - (xy 76.21757 117.948791) - (xy 76.215294 117.953638) - (xy 76.215293 117.95364) - (xy 76.183679 118.020977) - (xy 76.162436 118.066223) - (xy 76.142477 118.19441) - (xy 68.440437 118.19441) - (xy 68.421388 118.083549) - (xy 68.412067 118.0293) - (xy 68.412066 118.029296) - (xy 68.411327 118.024996) - (xy 68.403182 118.005853) - (xy 68.344946 117.868992) - (xy 68.343235 117.864971) - (xy 68.257893 117.749004) - (xy 68.242747 117.728422) - (xy 68.242745 117.72842) - (xy 68.240157 117.724903) - (xy 68.10762 117.612305) - (xy 68.011487 117.563217) - (xy 67.956623 117.535202) - (xy 67.956621 117.535201) - (xy 67.952735 117.533217) - (xy 67.78381 117.491881) - (xy 67.780469 117.491674) - (xy 67.780464 117.491673) - (xy 67.777183 117.49147) - (xy 67.772833 117.4912) - (xy 67.670647 117.4912) - (xy 67.622309 117.473607) - (xy 67.596589 117.429058) - (xy 67.605522 117.3784) - (xy 67.617473 117.362826) - (xy 67.846532 117.133767) - (xy 67.849388 117.131056) - (xy 67.873622 117.109236) - (xy 67.879494 117.103949) - (xy 67.883785 117.094313) - (xy 67.889496 117.081485) - (xy 67.895126 117.071116) - (xy 67.90421 117.057127) - (xy 67.908516 117.050497) - (xy 67.909852 117.042061) - (xy 67.915426 117.023245) - (xy 67.9189 117.015442) - (xy 67.9189 116.990847) - (xy 67.919826 116.979084) - (xy 67.922435 116.962613) - (xy 67.922435 116.962611) - (xy 67.923671 116.954806) - (xy 67.921462 116.946561) - (xy 67.9189 116.927099) - (xy 67.9189 115.848936) - (xy 67.919003 115.845) - (xy 67.919393 115.837552) - (xy 67.921123 115.804552) - (xy 67.912311 115.781596) - (xy 67.908959 115.770281) - (xy 67.906243 115.757504) - (xy 67.903848 115.746234) - (xy 67.898832 115.73933) - (xy 67.889466 115.72208) - (xy 67.886404 115.714104) - (xy 67.869017 115.696717) - (xy 67.861353 115.687745) - (xy 67.851546 115.674247) - (xy 67.846901 115.667854) - (xy 67.840059 115.663903) - (xy 67.840057 115.663902) - (xy 67.839508 115.663585) - (xy 67.823934 115.651634) - (xy 65.766758 113.594458) - (xy 65.764047 113.591602) - (xy 65.742237 113.567379) - (xy 65.736949 113.561506) - (xy 65.714478 113.551501) - (xy 65.704121 113.545877) - (xy 65.690125 113.536788) - (xy 65.690124 113.536788) - (xy 65.683497 113.532484) - (xy 65.675061 113.531148) - (xy 65.656245 113.525574) - (xy 65.648442 113.5221) - (xy 65.623847 113.5221) - (xy 65.612084 113.521174) - (xy 65.595613 113.518565) - (xy 65.595611 113.518565) - (xy 65.587806 113.517329) - (xy 65.580174 113.519374) - (xy 65.580173 113.519374) - (xy 65.579562 113.519538) - (xy 65.560099 113.5221) - (xy 63.975547 113.5221) - (xy 63.927209 113.504507) - (xy 63.922373 113.500074) - (xy 59.949926 109.527626) - (xy 59.928186 109.481006) - (xy 59.9279 109.474452) - (xy 59.9279 109.013079) - (xy 61.003283 109.013079) - (xy 61.004557 109.017833) - (xy 61.017355 109.028725) - (xy 61.023353 109.032894) - (xy 61.188537 109.125211) - (xy 61.19525 109.128144) - (xy 61.37521 109.186616) - (xy 61.382358 109.188188) - (xy 61.570248 109.210593) - (xy 61.577581 109.210746) - (xy 61.766239 109.19623) - (xy 61.773447 109.194959) - (xy 61.955701 109.144073) - (xy 61.962534 109.141422) - (xy 62.131428 109.056108) - (xy 62.137611 109.052184) - (xy 62.17962 109.019363) - (xy 62.185348 109.010196) - (xy 62.18474 109.005872) - (xy 61.604377 108.425509) - (xy 61.594578 108.42094) - (xy 61.588587 108.422545) - (xy 61.007852 109.00328) - (xy 61.003283 109.013079) - (xy 59.9279 109.013079) - (xy 59.9279 108.193608) - (xy 60.588156 108.193608) - (xy 60.60399 108.382161) - (xy 60.605312 108.389363) - (xy 60.65747 108.571261) - (xy 60.660164 108.578063) - (xy 60.746657 108.746363) - (xy 60.750623 108.752518) - (xy 60.779406 108.788832) - (xy 60.788614 108.794497) - (xy 60.793022 108.793846) - (xy 61.373491 108.213377) - (xy 61.377666 108.204422) - (xy 61.81194 108.204422) - (xy 61.813545 108.210413) - (xy 62.394643 108.791511) - (xy 62.404442 108.79608) - (xy 62.409291 108.794781) - (xy 62.415668 108.787393) - (xy 62.419884 108.781417) - (xy 62.513354 108.61688) - (xy 62.51633 108.610195) - (xy 62.576059 108.430641) - (xy 62.57768 108.423507) - (xy 62.601593 108.234215) - (xy 62.601886 108.23002) - (xy 62.602221 108.206099) - (xy 62.602045 108.201907) - (xy 62.583427 108.012024) - (xy 62.582001 108.004828) - (xy 62.527312 107.823688) - (xy 62.524524 107.816922) - (xy 62.435686 107.649843) - (xy 62.431635 107.643745) - (xy 62.411154 107.618633) - (xy 62.40187 107.613099) - (xy 62.397292 107.61384) - (xy 61.816509 108.194623) - (xy 61.81194 108.204422) - (xy 61.377666 108.204422) - (xy 61.37806 108.203578) - (xy 61.376455 108.197587) - (xy 60.796049 107.617181) - (xy 60.78625 107.612612) - (xy 60.78159 107.613861) - (xy 60.766269 107.632119) - (xy 60.76213 107.638163) - (xy 60.670975 107.803975) - (xy 60.668088 107.810711) - (xy 60.610874 107.991074) - (xy 60.609351 107.998239) - (xy 60.588259 108.186275) - (xy 60.588156 108.193608) - (xy 59.9279 108.193608) - (xy 59.9279 107.397389) - (xy 61.004319 107.397389) - (xy 61.005014 107.401882) - (xy 61.585623 107.982491) - (xy 61.595422 107.98706) - (xy 61.601413 107.985455) - (xy 62.181525 107.405343) - (xy 62.186094 107.395544) - (xy 62.18487 107.390976) - (xy 62.161078 107.371294) - (xy 62.155016 107.367205) - (xy 61.988557 107.277201) - (xy 61.981813 107.274366) - (xy 61.801047 107.21841) - (xy 61.79388 107.216939) - (xy 61.605687 107.197159) - (xy 61.598374 107.197108) - (xy 61.409918 107.214258) - (xy 61.402732 107.215629) - (xy 61.221208 107.269055) - (xy 61.214421 107.271797) - (xy 61.046721 107.359468) - (xy 61.040603 107.363471) - (xy 61.009918 107.388143) - (xy 61.004319 107.397389) - (xy 59.9279 107.397389) - (xy 59.9279 105.64993) - (xy 60.587345 105.64993) - (xy 60.587653 105.653598) - (xy 60.587653 105.653601) - (xy 60.597316 105.768672) - (xy 60.603803 105.845919) - (xy 60.658015 106.034979) - (xy 60.747916 106.209908) - (xy 60.870083 106.364044) - (xy 60.872877 106.366422) - (xy 60.872878 106.366423) - (xy 60.961435 106.441791) - (xy 61.019862 106.491516) - (xy 61.023063 106.493305) - (xy 61.023066 106.493307) - (xy 61.061656 106.514874) - (xy 61.191547 106.587467) - (xy 61.195044 106.588603) - (xy 61.195048 106.588605) - (xy 61.275002 106.614583) - (xy 61.3786 106.648244) - (xy 61.479107 106.660229) - (xy 61.570237 106.671096) - (xy 61.570239 106.671096) - (xy 61.573895 106.671532) - (xy 61.769994 106.656443) - (xy 61.910206 106.617295) - (xy 61.955883 106.604542) - (xy 61.955885 106.604541) - (xy 61.959428 106.603552) - (xy 62.109406 106.527793) - (xy 62.131697 106.516533) - (xy 62.131698 106.516532) - (xy 62.134981 106.514874) - (xy 62.289966 106.393786) - (xy 62.296657 106.386035) - (xy 62.313585 106.366423) - (xy 62.41848 106.244901) - (xy 62.496515 106.107535) - (xy 62.535688 106.074197) - (xy 62.587126 106.073838) - (xy 62.626761 106.106627) - (xy 62.6371 106.144681) - (xy 62.6371 106.291064) - (xy 62.636997 106.295) - (xy 62.634877 106.335448) - (xy 62.64369 106.358408) - (xy 62.64704 106.369715) - (xy 62.652152 106.393766) - (xy 62.656798 106.40016) - (xy 62.656798 106.400161) - (xy 62.657171 106.400674) - (xy 62.666535 106.41792) - (xy 62.669597 106.425896) - (xy 62.686981 106.44328) - (xy 62.694645 106.452252) - (xy 62.709099 106.472146) - (xy 62.715944 106.476098) - (xy 62.716493 106.476415) - (xy 62.732067 106.488366) - (xy 63.333233 107.089532) - (xy 63.335943 107.092387) - (xy 63.362346 107.121711) - (xy 63.363051 107.122494) - (xy 63.362941 107.122593) - (xy 63.388781 107.160904) - (xy 63.383404 107.212062) - (xy 63.349955 107.2461) - (xy 63.304903 107.269055) - (xy 63.295229 107.273984) - (xy 63.204984 107.364229) - (xy 63.147043 107.477945) - (xy 63.146117 107.483792) - (xy 63.137386 107.53892) - (xy 63.1321 107.572292) - (xy 63.1321 108.835708) - (xy 63.132562 108.838624) - (xy 63.132562 108.838626) - (xy 63.138929 108.878826) - (xy 63.147043 108.930055) - (xy 63.170364 108.975826) - (xy 63.202022 109.037957) - (xy 63.204984 109.043771) - (xy 63.295229 109.134016) - (xy 63.408945 109.191957) - (xy 63.427899 109.194959) - (xy 63.500374 109.206438) - (xy 63.500376 109.206438) - (xy 63.503292 109.2069) - (xy 63.8319 109.2069) - (xy 63.880238 109.224493) - (xy 63.905958 109.269042) - (xy 63.9071 109.2821) - (xy 63.9071 109.627064) - (xy 63.906997 109.631) - (xy 63.904877 109.671448) - (xy 63.91369 109.694408) - (xy 63.91704 109.705715) - (xy 63.922152 109.729766) - (xy 63.926798 109.73616) - (xy 63.926798 109.736161) - (xy 63.927171 109.736674) - (xy 63.936535 109.75392) - (xy 63.939597 109.761896) - (xy 63.956981 109.77928) - (xy 63.964645 109.788252) - (xy 63.973181 109.8) - (xy 63.979099 109.808146) - (xy 63.985944 109.812098) - (xy 63.986493 109.812415) - (xy 64.002067 109.824366) - (xy 66.433233 112.255532) - (xy 66.435943 112.258387) - (xy 66.463051 112.288494) - (xy 66.470268 112.291707) - (xy 66.470269 112.291708) - (xy 66.485515 112.298496) - (xy 66.495884 112.304126) - (xy 66.516503 112.317516) - (xy 66.524939 112.318852) - (xy 66.543755 112.324426) - (xy 66.551558 112.3279) - (xy 66.576153 112.3279) - (xy 66.587916 112.328826) - (xy 66.604387 112.331435) - (xy 66.604389 112.331435) - (xy 66.612194 112.332671) - (xy 66.619826 112.330626) - (xy 66.619827 112.330626) - (xy 66.620438 112.330462) - (xy 66.639901 112.3279) - (xy 71.726965 112.3279) - (xy 71.775303 112.345493) - (xy 71.780139 112.349926) - (xy 71.860229 112.430016) - (xy 71.973945 112.487957) - (xy 72.1 112.507922) - (xy 72.226055 112.487957) - (xy 72.339771 112.430016) - (xy 72.419861 112.349926) - (xy 72.466481 112.328186) - (xy 72.473035 112.3279) - (xy 83.442064 112.3279) - (xy 83.446 112.328003) - (xy 83.486448 112.330123) - (xy 83.509408 112.32131) - (xy 83.520715 112.31796) - (xy 83.544766 112.312848) - (xy 83.551675 112.307829) - (xy 83.56892 112.298465) - (xy 83.569517 112.298236) - (xy 83.569518 112.298235) - (xy 83.576896 112.295403) - (xy 83.59428 112.278019) - (xy 83.603252 112.270355) - (xy 83.616753 112.260546) - (xy 83.616753 112.260545) - (xy 83.623146 112.255901) - (xy 83.627415 112.248507) - (xy 83.639366 112.232933) - (xy 84.8723 111) - (xy 86.105542 109.766758) - (xy 86.108398 109.764047) - (xy 86.132621 109.742237) - (xy 86.132622 109.742236) - (xy 86.138494 109.736949) - (xy 86.148499 109.714478) - (xy 86.154123 109.704121) - (xy 86.163212 109.690125) - (xy 86.163212 109.690124) - (xy 86.167516 109.683497) - (xy 86.168852 109.675061) - (xy 86.174426 109.656245) - (xy 86.1779 109.648442) - (xy 86.1779 109.623847) - (xy 86.178826 109.612084) - (xy 86.181435 109.595613) - (xy 86.181435 109.595611) - (xy 86.182671 109.587806) - (xy 86.180462 109.579561) - (xy 86.1779 109.560099) - (xy 86.1779 107.607928) - (xy 86.178003 107.603991) - (xy 86.178364 107.597098) - (xy 86.180123 107.563552) - (xy 86.17131 107.540592) - (xy 86.16796 107.529284) - (xy 86.166825 107.523945) - (xy 86.162848 107.505234) - (xy 86.157829 107.498325) - (xy 86.148465 107.48108) - (xy 86.148236 107.480483) - (xy 86.148235 107.480482) - (xy 86.145403 107.473104) - (xy 86.128019 107.45572) - (xy 86.120355 107.446748) - (xy 86.110546 107.433247) - (xy 86.110545 107.433247) - (xy 86.105901 107.426854) - (xy 86.098507 107.422585) - (xy 86.082933 107.410634) - (xy 85.673309 107.001009) - (xy 85.651569 106.954389) - (xy 85.652209 106.936071) - (xy 85.656996 106.905847) - (xy 85.657922 106.9) - (xy 85.637957 106.773945) - (xy 85.594123 106.687916) - (xy 85.582705 106.665506) - (xy 85.582704 106.665505) - (xy 85.580016 106.660229) - (xy 85.489771 106.569984) - (xy 85.47946 106.56473) - (xy 85.391566 106.519946) - (xy 85.356484 106.482325) - (xy 85.353792 106.430956) - (xy 85.372532 106.399768) - (xy 85.405541 106.366759) - (xy 85.408397 106.364048) - (xy 85.432622 106.342236) - (xy 85.438494 106.336949) - (xy 85.4425 106.327953) - (xy 85.448497 106.314483) - (xy 85.454126 106.304116) - (xy 85.463211 106.290126) - (xy 85.463212 106.290125) - (xy 85.467516 106.283496) - (xy 85.468852 106.275062) - (xy 85.474425 106.256246) - (xy 85.477496 106.24935) - (xy 85.493016 106.226771) - (xy 85.580016 106.139771) - (xy 85.596441 106.107536) - (xy 85.612426 106.076162) - (xy 85.637957 106.026055) - (xy 85.655716 105.913926) - (xy 85.656996 105.905847) - (xy 85.657922 105.9) - (xy 85.637957 105.773945) - (xy 85.607079 105.713344) - (xy 85.582705 105.665506) - (xy 85.582704 105.665505) - (xy 85.580016 105.660229) - (xy 85.489771 105.569984) - (xy 85.376055 105.512043) - (xy 85.25 105.492078) - (xy 85.123945 105.512043) - (xy 85.010229 105.569984) - (xy 84.919984 105.660229) - (xy 84.917296 105.665505) - (xy 84.917295 105.665506) - (xy 84.892921 105.713344) - (xy 84.862043 105.773945) - (xy 84.842078 105.9) - (xy 84.843004 105.905847) - (xy 84.844284 105.913926) - (xy 84.862043 106.026055) - (xy 84.86473 106.031328) - (xy 84.86473 106.031329) - (xy 84.918114 106.136102) - (xy 84.924383 106.187159) - (xy 84.904284 106.223417) - (xy 84.741665 106.386035) - (xy 84.594449 106.533251) - (xy 84.591594 106.53596) - (xy 84.561506 106.563051) - (xy 84.551501 106.585522) - (xy 84.545877 106.595879) - (xy 84.532484 106.616503) - (xy 84.531148 106.624939) - (xy 84.525574 106.643755) - (xy 84.5221 106.651558) - (xy 84.5221 106.676153) - (xy 84.521174 106.687916) - (xy 84.5187 106.703538) - (xy 84.517329 106.712194) - (xy 84.519374 106.719826) - (xy 84.519374 106.719827) - (xy 84.519538 106.720438) - (xy 84.5221 106.739901) - (xy 84.5221 106.931288) - (xy 84.504507 106.979626) - (xy 84.459958 107.005346) - (xy 84.435136 107.005562) - (xy 84.355847 106.993004) - (xy 84.35 106.992078) - (xy 84.223945 107.012043) - (xy 84.206042 107.021165) - (xy 84.137975 107.055847) - (xy 84.110229 107.069984) - (xy 84.019984 107.160229) - (xy 84.017296 107.165505) - (xy 84.017295 107.165506) - (xy 84.00791 107.183926) - (xy 83.962043 107.273945) - (xy 83.960656 107.282705) - (xy 83.947744 107.364229) - (xy 83.942078 107.4) - (xy 83.962043 107.526055) - (xy 83.968598 107.53892) - (xy 84.006772 107.61384) - (xy 84.019984 107.639771) - (xy 84.110229 107.730016) - (xy 84.223945 107.787957) - (xy 84.229792 107.788883) - (xy 84.344153 107.806996) - (xy 84.35 107.807922) - (xy 84.34997 107.808113) - (xy 84.395238 107.824589) - (xy 84.420958 107.869138) - (xy 84.4221 107.882196) - (xy 84.4221 107.963741) - (xy 84.404507 108.012079) - (xy 84.359958 108.037799) - (xy 84.31276 108.030745) - (xy 84.281329 108.01473) - (xy 84.281328 108.01473) - (xy 84.276055 108.012043) - (xy 84.15 107.992078) - (xy 84.023945 108.012043) - (xy 83.978756 108.035068) - (xy 83.920541 108.06473) - (xy 83.910229 108.069984) - (xy 83.819984 108.160229) - (xy 83.762043 108.273945) - (xy 83.742078 108.4) - (xy 83.743004 108.405847) - (xy 83.745533 108.421814) - (xy 83.762043 108.526055) - (xy 83.76473 108.531328) - (xy 83.815014 108.630016) - (xy 83.819984 108.639771) - (xy 83.910229 108.730016) - (xy 83.915505 108.732704) - (xy 83.915506 108.732705) - (xy 83.942312 108.746363) - (xy 84.023945 108.787957) - (xy 84.029792 108.788883) - (xy 84.058664 108.793456) - (xy 84.103654 108.818394) - (xy 84.1221 108.86773) - (xy 84.1221 109.174453) - (xy 84.104507 109.222791) - (xy 84.100074 109.227627) - (xy 83.527626 109.800074) - (xy 83.481006 109.821814) - (xy 83.474452 109.8221) - (xy 76.266547 109.8221) - (xy 76.218209 109.804507) - (xy 76.213373 109.800074) - (xy 75.613299 109.2) - (xy 81.792078 109.2) - (xy 81.812043 109.326055) - (xy 81.81473 109.331328) - (xy 81.862737 109.425547) - (xy 81.869984 109.439771) - (xy 81.960229 109.530016) - (xy 82.073945 109.587957) - (xy 82.2 109.607922) - (xy 82.326055 109.587957) - (xy 82.439771 109.530016) - (xy 82.530016 109.439771) - (xy 82.537264 109.425547) - (xy 82.58527 109.331328) - (xy 82.587957 109.326055) - (xy 82.607922 109.2) - (xy 82.587957 109.073945) - (xy 82.536576 108.973104) - (xy 82.532705 108.965506) - (xy 82.532704 108.965505) - (xy 82.530016 108.960229) - (xy 82.449926 108.880139) - (xy 82.428186 108.833519) - (xy 82.4279 108.826965) - (xy 82.4279 108.736259) - (xy 82.445493 108.687921) - (xy 82.490042 108.662201) - (xy 82.53724 108.669255) - (xy 82.573945 108.687957) - (xy 82.7 108.707922) - (xy 82.826055 108.687957) - (xy 82.86276 108.669255) - (xy 82.913817 108.662986) - (xy 82.956958 108.691003) - (xy 82.9721 108.736259) - (xy 82.9721 108.826965) - (xy 82.954507 108.875303) - (xy 82.950074 108.880139) - (xy 82.869984 108.960229) - (xy 82.867296 108.965505) - (xy 82.867295 108.965506) - (xy 82.863424 108.973104) - (xy 82.812043 109.073945) - (xy 82.792078 109.2) - (xy 82.812043 109.326055) - (xy 82.81473 109.331328) - (xy 82.862737 109.425547) - (xy 82.869984 109.439771) - (xy 82.960229 109.530016) - (xy 83.073945 109.587957) - (xy 83.2 109.607922) - (xy 83.326055 109.587957) - (xy 83.439771 109.530016) - (xy 83.530016 109.439771) - (xy 83.537264 109.425547) - (xy 83.58527 109.331328) - (xy 83.587957 109.326055) - (xy 83.607922 109.2) - (xy 83.587957 109.073945) - (xy 83.536576 108.973104) - (xy 83.532705 108.965506) - (xy 83.532704 108.965505) - (xy 83.530016 108.960229) - (xy 83.449926 108.880139) - (xy 83.428186 108.833519) - (xy 83.4279 108.826965) - (xy 83.4279 108.107936) - (xy 83.428003 108.104) - (xy 83.429152 108.082069) - (xy 83.430123 108.063552) - (xy 83.421311 108.040596) - (xy 83.417959 108.029281) - (xy 83.414491 108.012965) - (xy 83.412848 108.005234) - (xy 83.407832 107.99833) - (xy 83.398466 107.98108) - (xy 83.395404 107.973104) - (xy 83.378017 107.955717) - (xy 83.370353 107.946745) - (xy 83.360546 107.933247) - (xy 83.355901 107.926854) - (xy 83.349059 107.922903) - (xy 83.349057 107.922902) - (xy 83.348508 107.922585) - (xy 83.332934 107.910634) - (xy 83.249926 107.827626) - (xy 83.228186 107.781006) - (xy 83.2279 107.774452) - (xy 83.2279 107.325547) - (xy 83.245493 107.277209) - (xy 83.249926 107.272373) - (xy 83.817913 106.704387) - (xy 84.405542 106.116758) - (xy 84.408398 106.114047) - (xy 84.432621 106.092237) - (xy 84.438494 106.086949) - (xy 84.448499 106.064478) - (xy 84.454123 106.054121) - (xy 84.463212 106.040125) - (xy 84.463212 106.040124) - (xy 84.467516 106.033497) - (xy 84.468852 106.025061) - (xy 84.474426 106.006245) - (xy 84.4779 105.998442) - (xy 84.4779 105.973847) - (xy 84.478826 105.962084) - (xy 84.481435 105.945613) - (xy 84.481435 105.945611) - (xy 84.482671 105.937806) - (xy 84.480462 105.929561) - (xy 84.4779 105.910099) - (xy 84.4779 104.675547) - (xy 84.495493 104.627209) - (xy 84.499926 104.622373) - (xy 86.572373 102.549926) - (xy 86.618993 102.528186) - (xy 86.625547 102.5279) - (xy 88.042064 102.5279) - (xy 88.046 102.528003) - (xy 88.086448 102.530123) - (xy 88.109408 102.52131) - (xy 88.120715 102.51796) - (xy 88.144766 102.512848) - (xy 88.151675 102.507829) - (xy 88.16892 102.498465) - (xy 88.169517 102.498236) - (xy 88.169518 102.498235) - (xy 88.176896 102.495403) - (xy 88.19428 102.478019) - (xy 88.203252 102.470355) - (xy 88.216753 102.460546) - (xy 88.216753 102.460545) - (xy 88.223146 102.455901) - (xy 88.227415 102.448507) - (xy 88.239366 102.432933) - (xy 88.34899 102.323309) - (xy 88.39561 102.301569) - (xy 88.413927 102.302209) - (xy 88.444152 102.306996) - (xy 88.444153 102.306996) - (xy 88.45 102.307922) - (xy 88.576055 102.287957) - (xy 88.652729 102.24889) - (xy 88.684494 102.232705) - (xy 88.684495 102.232704) - (xy 88.689771 102.230016) - (xy 88.780016 102.139771) - (xy 88.837957 102.026055) - (xy 88.854754 101.92) - (xy 88.856996 101.905847) - (xy 88.857922 101.9) - (xy 88.837957 101.773945) - (xy 88.80164 101.702669) - (xy 88.782705 101.665506) - (xy 88.782704 101.665505) - (xy 88.780016 101.660229) - (xy 88.689771 101.569984) - (xy 88.672789 101.561331) - (xy 88.603555 101.526055) - (xy 88.576055 101.512043) - (xy 88.500018 101.5) - (xy 88.455847 101.493004) - (xy 88.45 101.492078) - (xy 88.444153 101.493004) - (xy 88.399982 101.5) - (xy 88.323945 101.512043) - (xy 88.296445 101.526055) - (xy 88.227212 101.561331) - (xy 88.210229 101.569984) - (xy 88.119984 101.660229) - (xy 88.117296 101.665505) - (xy 88.117295 101.665506) - (xy 88.09836 101.702669) - (xy 88.062043 101.773945) - (xy 88.042078 101.9) - (xy 88.043004 101.905847) - (xy 88.047791 101.936071) - (xy 88.037976 101.986566) - (xy 88.026691 102.001009) - (xy 87.977626 102.050074) - (xy 87.931006 102.071814) - (xy 87.924452 102.0721) - (xy 86.7031 102.0721) - (xy 86.654762 102.054507) - (xy 86.629042 102.009958) - (xy 86.6279 101.9969) - (xy 86.6279 101.973847) - (xy 86.628826 101.962084) - (xy 86.631435 101.945613) - (xy 86.631435 101.945611) - (xy 86.632671 101.937806) - (xy 86.630462 101.929561) - (xy 86.6279 101.910099) - (xy 86.6279 101.4) - (xy 87.092078 101.4) - (xy 87.112043 101.526055) - (xy 87.11473 101.531328) - (xy 87.158404 101.617043) - (xy 87.169984 101.639771) - (xy 87.260229 101.730016) - (xy 87.265505 101.732704) - (xy 87.265506 101.732705) - (xy 87.313344 101.757079) - (xy 87.373945 101.787957) - (xy 87.5 101.807922) - (xy 87.626055 101.787957) - (xy 87.686656 101.757079) - (xy 87.734494 101.732705) - (xy 87.734495 101.732704) - (xy 87.739771 101.730016) - (xy 87.830016 101.639771) - (xy 87.841597 101.617043) - (xy 87.88527 101.531328) - (xy 87.887957 101.526055) - (xy 87.907922 101.4) - (xy 87.887957 101.273945) - (xy 87.857079 101.213344) - (xy 87.832705 101.165506) - (xy 87.832704 101.165505) - (xy 87.830016 101.160229) - (xy 87.739771 101.069984) - (xy 87.626055 101.012043) - (xy 87.5 100.992078) - (xy 87.373945 101.012043) - (xy 87.260229 101.069984) - (xy 87.169984 101.160229) - (xy 87.167296 101.165505) - (xy 87.167295 101.165506) - (xy 87.142921 101.213344) - (xy 87.112043 101.273945) - (xy 87.092078 101.4) - (xy 86.6279 101.4) - (xy 86.6279 99.375547) - (xy 86.637198 99.35) - (xy 87.092078 99.35) - (xy 87.112043 99.476055) - (xy 87.118579 99.488883) - (xy 87.163302 99.576656) - (xy 87.169984 99.589771) - (xy 87.260229 99.680016) - (xy 87.265505 99.682704) - (xy 87.265506 99.682705) - (xy 87.299305 99.699926) - (xy 87.373945 99.737957) - (xy 87.5 99.757922) - (xy 87.626055 99.737957) - (xy 87.700695 99.699926) - (xy 87.734494 99.682705) - (xy 87.734495 99.682704) - (xy 87.739771 99.680016) - (xy 87.830016 99.589771) - (xy 87.836699 99.576656) - (xy 87.881421 99.488883) - (xy 87.887957 99.476055) - (xy 87.907922 99.35) - (xy 87.887957 99.223945) - (xy 87.84009 99.13) - (xy 87.832705 99.115506) - (xy 87.832704 99.115505) - (xy 87.830016 99.110229) - (xy 87.739771 99.019984) - (xy 87.73294 99.016503) - (xy 87.686656 98.992921) - (xy 87.626055 98.962043) - (xy 87.5 98.942078) - (xy 87.373945 98.962043) - (xy 87.313344 98.992921) - (xy 87.267061 99.016503) - (xy 87.260229 99.019984) - (xy 87.169984 99.110229) - (xy 87.167296 99.115505) - (xy 87.167295 99.115506) - (xy 87.15991 99.13) - (xy 87.112043 99.223945) - (xy 87.092078 99.35) - (xy 86.637198 99.35) - (xy 86.645493 99.327209) - (xy 86.649926 99.322373) - (xy 87.172373 98.799926) - (xy 87.218993 98.778186) - (xy 87.225547 98.7779) - (xy 87.774453 98.7779) - (xy 87.822791 98.795493) - (xy 87.827627 98.799926) - (xy 88.250074 99.222373) - (xy 88.271814 99.268993) - (xy 88.2721 99.275547) - (xy 88.2721 99.276965) - (xy 88.254507 99.325303) - (xy 88.250074 99.330139) - (xy 88.169984 99.410229) - (xy 88.112043 99.523945) - (xy 88.092078 99.65) - (xy 88.112043 99.776055) - (xy 88.132144 99.815506) - (xy 88.155674 99.861685) - (xy 88.169984 99.889771) - (xy 88.260229 99.980016) - (xy 88.373945 100.037957) - (xy 88.379792 100.038883) - (xy 88.486899 100.055847) - (xy 88.5 100.057922) - (xy 88.513102 100.055847) - (xy 88.620208 100.038883) - (xy 88.626055 100.037957) - (xy 88.739771 99.980016) - (xy 88.830016 99.889771) - (xy 88.844327 99.861685) - (xy 88.867856 99.815506) - (xy 88.887957 99.776055) - (xy 88.907922 99.65) - (xy 88.887957 99.523945) - (xy 88.830016 99.410229) - (xy 88.749926 99.330139) - (xy 88.728186 99.283519) - (xy 88.7279 99.276965) - (xy 88.7279 99.157929) - (xy 88.728003 99.153992) - (xy 88.729709 99.121445) - (xy 88.730123 99.113552) - (xy 88.72131 99.090592) - (xy 88.71796 99.079284) - (xy 88.712848 99.055234) - (xy 88.707829 99.048325) - (xy 88.698462 99.031074) - (xy 88.698234 99.03048) - (xy 88.695403 99.023104) - (xy 88.678019 99.00572) - (xy 88.670355 98.996748) - (xy 88.660546 98.983247) - (xy 88.660545 98.983247) - (xy 88.655901 98.976854) - (xy 88.648507 98.972585) - (xy 88.632933 98.960634) - (xy 88.572531 98.900232) - (xy 88.550791 98.853612) - (xy 88.564105 98.803925) - (xy 88.591564 98.780055) - (xy 88.64186 98.754428) - (xy 88.684494 98.732705) - (xy 88.684495 98.732704) - (xy 88.689771 98.730016) - (xy 88.780016 98.639771) - (xy 88.789058 98.622026) - (xy 88.83527 98.531328) - (xy 88.837957 98.526055) - (xy 88.85611 98.411443) - (xy 88.856996 98.405847) - (xy 88.857922 98.4) - (xy 88.837957 98.273945) - (xy 88.795918 98.191438) - (xy 88.782705 98.165506) - (xy 88.782704 98.165505) - (xy 88.780016 98.160229) - (xy 88.689771 98.069984) - (xy 88.683678 98.066879) - (xy 88.60887 98.028763) - (xy 88.576055 98.012043) - (xy 88.45 97.992078) - (xy 88.444153 97.993004) - (xy 88.329791 98.011117) - (xy 88.32979 98.011117) - (xy 88.323945 98.012043) - (xy 88.318671 98.01473) - (xy 88.318447 98.014803) - (xy 88.299141 98.018381) - (xy 88.295614 98.018566) - (xy 88.287806 98.017329) - (xy 88.280174 98.019374) - (xy 88.280172 98.019374) - (xy 88.279562 98.019538) - (xy 88.260099 98.0221) - (xy 86.957937 98.0221) - (xy 86.954001 98.021997) - (xy 86.953366 98.021964) - (xy 86.913553 98.019877) - (xy 86.906175 98.022709) - (xy 86.890594 98.028689) - (xy 86.879283 98.032039) - (xy 86.86297 98.035507) - (xy 86.862967 98.035508) - (xy 86.855234 98.037152) - (xy 86.848837 98.041799) - (xy 86.848838 98.041799) - (xy 86.848331 98.042167) - (xy 86.831079 98.051534) - (xy 86.830484 98.051762) - (xy 86.830481 98.051764) - (xy 86.823104 98.054596) - (xy 86.805717 98.071983) - (xy 86.796745 98.079647) - (xy 86.776854 98.094099) - (xy 86.772903 98.100941) - (xy 86.772902 98.100943) - (xy 86.772585 98.101492) - (xy 86.760634 98.117066) - (xy 85.944458 98.933242) - (xy 85.941603 98.935952) - (xy 85.911506 98.963051) - (xy 85.901501 98.985522) - (xy 85.895877 98.995879) - (xy 85.882484 99.016503) - (xy 85.881148 99.024939) - (xy 85.875574 99.043755) - (xy 85.8721 99.051558) - (xy 85.8721 99.076153) - (xy 85.871174 99.087916) - (xy 85.867329 99.112194) - (xy 85.869374 99.119826) - (xy 85.869374 99.119827) - (xy 85.869538 99.120438) - (xy 85.8721 99.139901) - (xy 85.8721 101.674453) - (xy 85.854507 101.722791) - (xy 85.850074 101.727627) - (xy 83.494458 104.083242) - (xy 83.491603 104.085952) - (xy 83.461506 104.113051) - (xy 83.451501 104.135522) - (xy 83.445877 104.145879) - (xy 83.432484 104.166503) - (xy 83.431148 104.174939) - (xy 83.425574 104.193755) - (xy 83.4221 104.201558) - (xy 83.4221 104.226153) - (xy 83.421174 104.237916) - (xy 83.420142 104.244435) - (xy 83.417329 104.262194) - (xy 83.419374 104.269826) - (xy 83.419374 104.269827) - (xy 83.419538 104.270438) - (xy 83.4221 104.289901) - (xy 83.4221 105.524453) - (xy 83.404507 105.572791) - (xy 83.400074 105.577627) - (xy 82.244458 106.733242) - (xy 82.241602 106.735953) - (xy 82.224301 106.751531) - (xy 82.211506 106.763051) - (xy 82.201501 106.785522) - (xy 82.195877 106.795879) - (xy 82.182484 106.816503) - (xy 82.181148 106.824939) - (xy 82.175574 106.843755) - (xy 82.1721 106.851558) - (xy 82.1721 106.876153) - (xy 82.171174 106.887916) - (xy 82.168627 106.904) - (xy 82.167329 106.912194) - (xy 82.169374 106.919826) - (xy 82.169374 106.919827) - (xy 82.169538 106.920438) - (xy 82.1721 106.939901) - (xy 82.1721 107.774452) - (xy 82.154507 107.82279) - (xy 82.150074 107.827626) - (xy 82.044458 107.933242) - (xy 82.041603 107.935952) - (xy 82.011506 107.963051) - (xy 82.001501 107.985522) - (xy 81.995877 107.995879) - (xy 81.982484 108.016503) - (xy 81.981148 108.024939) - (xy 81.975574 108.043755) - (xy 81.9721 108.051558) - (xy 81.9721 108.076153) - (xy 81.971174 108.087916) - (xy 81.968627 108.104) - (xy 81.967329 108.112194) - (xy 81.969374 108.119826) - (xy 81.969374 108.119827) - (xy 81.969538 108.120438) - (xy 81.9721 108.139901) - (xy 81.9721 108.826965) - (xy 81.954507 108.875303) - (xy 81.950074 108.880139) - (xy 81.869984 108.960229) - (xy 81.867296 108.965505) - (xy 81.867295 108.965506) - (xy 81.863424 108.973104) - (xy 81.812043 109.073945) - (xy 81.792078 109.2) - (xy 75.613299 109.2) - (xy 69.168494 102.755194) - (xy 76.094329 102.755194) - (xy 76.096374 102.762826) - (xy 76.096374 102.762827) - (xy 76.096538 102.763438) - (xy 76.0991 102.782901) - (xy 76.0991 103.624064) - (xy 76.098997 103.628) - (xy 76.096877 103.668448) - (xy 76.10569 103.691408) - (xy 76.10904 103.702715) - (xy 76.114152 103.726766) - (xy 76.118798 103.73316) - (xy 76.118798 103.733161) - (xy 76.119171 103.733674) - (xy 76.128535 103.75092) - (xy 76.131597 103.758896) - (xy 76.148981 103.77628) - (xy 76.156645 103.785252) - (xy 76.165441 103.797358) - (xy 76.171099 103.805146) - (xy 76.177944 103.809098) - (xy 76.178493 103.809415) - (xy 76.194067 103.821366) - (xy 77.220074 104.847373) - (xy 77.241814 104.893993) - (xy 77.2421 104.900547) - (xy 77.2421 107.412064) - (xy 77.241997 107.416) - (xy 77.239877 107.456448) - (xy 77.24869 107.479408) - (xy 77.25204 107.490715) - (xy 77.257152 107.514766) - (xy 77.261798 107.52116) - (xy 77.261798 107.521161) - (xy 77.262171 107.521674) - (xy 77.271535 107.53892) - (xy 77.274597 107.546896) - (xy 77.291981 107.56428) - (xy 77.299645 107.573252) - (xy 77.314099 107.593146) - (xy 77.320944 107.597098) - (xy 77.321493 107.597415) - (xy 77.337067 107.609366) - (xy 78.533233 108.805532) - (xy 78.535943 108.808387) - (xy 78.563051 108.838494) - (xy 78.585523 108.848499) - (xy 78.595888 108.854127) - (xy 78.601731 108.857922) - (xy 78.609873 108.86321) - (xy 78.609874 108.863211) - (xy 78.616504 108.867516) - (xy 78.624938 108.868852) - (xy 78.64376 108.874428) - (xy 78.651558 108.8779) - (xy 78.676147 108.8779) - (xy 78.687911 108.878826) - (xy 78.704387 108.881436) - (xy 78.704389 108.881436) - (xy 78.712194 108.882672) - (xy 78.719826 108.880627) - (xy 78.719828 108.880627) - (xy 78.720442 108.880462) - (xy 78.739905 108.8779) - (xy 79.426965 108.8779) - (xy 79.475303 108.895493) - (xy 79.480139 108.899926) - (xy 79.560229 108.980016) - (xy 79.565505 108.982704) - (xy 79.565506 108.982705) - (xy 79.597171 108.998839) - (xy 79.673945 109.037957) - (xy 79.736973 109.04794) - (xy 79.78897 109.056175) - (xy 79.8 109.057922) - (xy 79.811031 109.056175) - (xy 79.863027 109.04794) - (xy 79.926055 109.037957) - (xy 80.002829 108.998839) - (xy 80.034494 108.982705) - (xy 80.034495 108.982704) - (xy 80.039771 108.980016) - (xy 80.130016 108.889771) - (xy 80.141247 108.86773) - (xy 80.158678 108.833519) - (xy 80.187957 108.776055) - (xy 80.207922 108.65) - (xy 80.199637 108.597687) - (xy 80.197939 108.586972) - (xy 80.187957 108.523945) - (xy 80.147301 108.444153) - (xy 80.132705 108.415506) - (xy 80.132704 108.415505) - (xy 80.130016 108.410229) - (xy 80.039771 108.319984) - (xy 79.926055 108.262043) - (xy 79.8 108.242078) - (xy 79.673945 108.262043) - (xy 79.560229 108.319984) - (xy 79.480139 108.400074) - (xy 79.433519 108.421814) - (xy 79.426965 108.4221) - (xy 78.825548 108.4221) - (xy 78.77721 108.404507) - (xy 78.772374 108.400074) - (xy 77.719926 107.347626) - (xy 77.698186 107.301006) - (xy 77.6979 107.294452) - (xy 77.6979 104.782936) - (xy 77.698003 104.779) - (xy 77.698256 104.774174) - (xy 77.700123 104.738552) - (xy 77.69131 104.715592) - (xy 77.68796 104.704284) - (xy 77.68711 104.700286) - (xy 77.682848 104.680234) - (xy 77.677829 104.673325) - (xy 77.668465 104.65608) - (xy 77.668236 104.655483) - (xy 77.668235 104.655482) - (xy 77.665403 104.648104) - (xy 77.648019 104.63072) - (xy 77.640355 104.621748) - (xy 77.630546 104.608247) - (xy 77.630545 104.608247) - (xy 77.625901 104.601854) - (xy 77.618507 104.597585) - (xy 77.602933 104.585634) - (xy 76.576926 103.559626) - (xy 76.555186 103.513006) - (xy 76.5549 103.506452) - (xy 76.5549 102.868547) - (xy 76.572493 102.820209) - (xy 76.576926 102.815373) - (xy 76.907373 102.484926) - (xy 76.953993 102.463186) - (xy 76.960547 102.4629) - (xy 77.471453 102.4629) - (xy 77.519791 102.480493) - (xy 77.524627 102.484926) - (xy 78.062691 103.022991) - (xy 78.084431 103.069611) - (xy 78.083791 103.087927) - (xy 78.078078 103.124) - (xy 78.098043 103.250055) - (xy 78.118279 103.289771) - (xy 78.149355 103.35076) - (xy 78.155984 103.363771) - (xy 78.246229 103.454016) - (xy 78.359945 103.511957) - (xy 78.486 103.531922) - (xy 78.612055 103.511957) - (xy 78.725771 103.454016) - (xy 78.816016 103.363771) - (xy 78.822646 103.35076) - (xy 78.853721 103.289771) - (xy 78.873957 103.250055) - (xy 78.893922 103.124) - (xy 78.873957 102.997945) - (xy 78.836252 102.923945) - (xy 78.818705 102.889506) - (xy 78.818704 102.889505) - (xy 78.816016 102.884229) - (xy 78.725771 102.793984) - (xy 78.70402 102.782901) - (xy 78.664622 102.762827) - (xy 78.612055 102.736043) - (xy 78.486 102.716078) - (xy 78.480153 102.717004) - (xy 78.480152 102.717004) - (xy 78.449927 102.721791) - (xy 78.399433 102.711976) - (xy 78.38499 102.700691) - (xy 78.085985 102.401685) - (xy 77.763758 102.079458) - (xy 77.761047 102.076602) - (xy 77.739237 102.052379) - (xy 77.733949 102.046506) - (xy 77.711478 102.036501) - (xy 77.701121 102.030877) - (xy 77.687125 102.021788) - (xy 77.687124 102.021788) - (xy 77.680497 102.017484) - (xy 77.672061 102.016148) - (xy 77.653245 102.010574) - (xy 77.645442 102.0071) - (xy 77.620847 102.0071) - (xy 77.609084 102.006174) - (xy 77.592613 102.003565) - (xy 77.592611 102.003565) - (xy 77.584806 102.002329) - (xy 77.577174 102.004374) - (xy 77.577173 102.004374) - (xy 77.576562 102.004538) - (xy 77.557099 102.0071) - (xy 76.842928 102.0071) - (xy 76.838991 102.006997) - (xy 76.798552 102.004877) - (xy 76.775592 102.01369) - (xy 76.764285 102.01704) - (xy 76.740234 102.022152) - (xy 76.73384 102.026798) - (xy 76.733839 102.026798) - (xy 76.733326 102.027171) - (xy 76.71608 102.036535) - (xy 76.715483 102.036764) - (xy 76.715482 102.036765) - (xy 76.708104 102.039597) - (xy 76.69072 102.056981) - (xy 76.681747 102.064645) - (xy 76.661854 102.079099) - (xy 76.657902 102.085944) - (xy 76.657585 102.086493) - (xy 76.645634 102.102067) - (xy 76.171459 102.576241) - (xy 76.168604 102.578951) - (xy 76.138506 102.606051) - (xy 76.128501 102.628522) - (xy 76.122877 102.638879) - (xy 76.109484 102.659503) - (xy 76.108148 102.667939) - (xy 76.102574 102.686755) - (xy 76.0991 102.694558) - (xy 76.0991 102.719153) - (xy 76.098174 102.730916) - (xy 76.096182 102.743496) - (xy 76.094329 102.755194) - (xy 69.168494 102.755194) - (xy 66.4633 100.05) - (xy 67.742078 100.05) - (xy 67.762043 100.176055) - (xy 67.819984 100.289771) - (xy 67.910229 100.380016) - (xy 67.915505 100.382704) - (xy 67.915506 100.382705) - (xy 67.963344 100.407079) - (xy 68.023945 100.437957) - (xy 68.15 100.457922) - (xy 68.276055 100.437957) - (xy 68.336656 100.407079) - (xy 68.384494 100.382705) - (xy 68.384495 100.382704) - (xy 68.389771 100.380016) - (xy 68.480016 100.289771) - (xy 68.537957 100.176055) - (xy 68.557922 100.05) - (xy 69.442078 100.05) - (xy 69.462043 100.176055) - (xy 69.519984 100.289771) - (xy 69.610229 100.380016) - (xy 69.615505 100.382704) - (xy 69.615506 100.382705) - (xy 69.663344 100.407079) - (xy 69.723945 100.437957) - (xy 69.85 100.457922) - (xy 69.976055 100.437957) - (xy 70.036656 100.407079) - (xy 70.084494 100.382705) - (xy 70.084495 100.382704) - (xy 70.089771 100.380016) - (xy 70.180016 100.289771) - (xy 70.237957 100.176055) - (xy 70.257922 100.05) - (xy 70.237957 99.923945) - (xy 70.180016 99.810229) - (xy 70.089771 99.719984) - (xy 69.976055 99.662043) - (xy 69.890326 99.648465) - (xy 69.855847 99.643004) - (xy 69.85 99.642078) - (xy 69.844153 99.643004) - (xy 69.809674 99.648465) - (xy 69.723945 99.662043) - (xy 69.610229 99.719984) - (xy 69.519984 99.810229) - (xy 69.462043 99.923945) - (xy 69.442078 100.05) - (xy 68.557922 100.05) - (xy 68.537957 99.923945) - (xy 68.480016 99.810229) - (xy 68.389771 99.719984) - (xy 68.276055 99.662043) - (xy 68.190326 99.648465) - (xy 68.155847 99.643004) - (xy 68.15 99.642078) - (xy 68.144153 99.643004) - (xy 68.109674 99.648465) - (xy 68.023945 99.662043) - (xy 67.910229 99.719984) - (xy 67.819984 99.810229) - (xy 67.762043 99.923945) - (xy 67.742078 100.05) - (xy 66.4633 100.05) - (xy 65.5133 99.1) - (xy 68.592078 99.1) - (xy 68.593004 99.105847) - (xy 68.595907 99.124174) - (xy 68.612043 99.226055) - (xy 68.61473 99.231328) - (xy 68.659234 99.318672) - (xy 68.669984 99.339771) - (xy 68.760229 99.430016) - (xy 68.765505 99.432704) - (xy 68.765506 99.432705) - (xy 68.79945 99.45) - (xy 68.873945 99.487957) - (xy 69 99.507922) - (xy 69.126055 99.487957) - (xy 69.20055 99.45) - (xy 69.234494 99.432705) - (xy 69.234495 99.432704) - (xy 69.239771 99.430016) - (xy 69.330016 99.339771) - (xy 69.340767 99.318672) - (xy 69.38527 99.231328) - (xy 69.387957 99.226055) - (xy 69.404093 99.124174) - (xy 69.406996 99.105847) - (xy 69.407922 99.1) - (xy 69.387957 98.973945) - (xy 69.357079 98.913344) - (xy 69.332705 98.865506) - (xy 69.332704 98.865505) - (xy 69.330016 98.860229) - (xy 69.239771 98.769984) - (xy 69.126055 98.712043) - (xy 69 98.692078) - (xy 68.873945 98.712043) - (xy 68.760229 98.769984) - (xy 68.669984 98.860229) - (xy 68.667296 98.865505) - (xy 68.667295 98.865506) - (xy 68.642921 98.913344) - (xy 68.612043 98.973945) - (xy 68.592078 99.1) - (xy 65.5133 99.1) - (xy 65.027869 98.614569) - (xy 65.006129 98.567949) - (xy 65.015657 98.524252) - (xy 65.055628 98.45389) - (xy 65.088179 98.356039) - (xy 65.116548 98.27076) - (xy 65.116549 98.270757) - (xy 65.11771 98.267266) - (xy 65.14236 98.072138) - (xy 65.142657 98.050902) - (xy 65.142724 98.046099) - (xy 65.142724 98.046093) - (xy 65.142753 98.044) - (xy 65.141734 98.033608) - (xy 65.123921 97.851927) - (xy 65.12392 97.851922) - (xy 65.123561 97.84826) - (xy 65.066714 97.659975) - (xy 64.974379 97.486318) - (xy 64.850072 97.333903) - (xy 64.698528 97.208535) - (xy 64.52552 97.11499) - (xy 64.337637 97.05683) - (xy 64.208295 97.043236) - (xy 64.14569 97.036656) - (xy 64.145689 97.036656) - (xy 64.142035 97.036272) - (xy 64.059765 97.043759) - (xy 63.949824 97.053764) - (xy 63.949823 97.053764) - (xy 63.946166 97.054097) - (xy 63.942641 97.055134) - (xy 63.942638 97.055135) - (xy 63.761019 97.108589) - (xy 63.757489 97.109628) - (xy 63.583192 97.200748) - (xy 63.53762 97.237389) - (xy 63.437866 97.317593) - (xy 63.429912 97.323988) - (xy 63.303489 97.474653) - (xy 63.301718 97.477875) - (xy 63.301717 97.477876) - (xy 63.278568 97.519984) - (xy 63.208739 97.647004) - (xy 63.149269 97.834476) - (xy 63.127345 98.02993) - (xy 63.127653 98.033598) - (xy 63.127653 98.033601) - (xy 63.132887 98.095932) - (xy 63.143803 98.225919) - (xy 63.198015 98.414979) - (xy 63.287916 98.589908) - (xy 63.290201 98.592791) - (xy 63.31289 98.621417) - (xy 63.410083 98.744044) - (xy 63.412877 98.746422) - (xy 63.412878 98.746423) - (xy 63.470535 98.795493) - (xy 63.559862 98.871516) - (xy 63.563063 98.873305) - (xy 63.563066 98.873307) - (xy 63.636586 98.914396) - (xy 63.731547 98.967467) - (xy 63.735044 98.968603) - (xy 63.735048 98.968605) - (xy 63.803725 98.990919) - (xy 63.9186 99.028244) - (xy 64.019854 99.040318) - (xy 64.110237 99.051096) - (xy 64.110239 99.051096) - (xy 64.113895 99.051532) - (xy 64.309994 99.036443) - (xy 64.435035 99.001531) - (xy 64.495883 98.984542) - (xy 64.495885 98.984541) - (xy 64.499428 98.983552) - (xy 64.618816 98.923245) - (xy 64.669893 98.917155) - (xy 64.705895 98.937194) - (xy 75.762426 109.993726) - (xy 75.784166 110.040346) - (xy 75.770852 110.090033) - (xy 75.728715 110.119538) - (xy 75.709252 110.1221) - (xy 74.475547 110.1221) - (xy 74.427209 110.104507) - (xy 74.422373 110.100074) - (xy 72.133011 107.810711) - (xy 69.830758 105.508458) - (xy 69.828047 105.505602) - (xy 69.806237 105.481379) - (xy 69.800949 105.475506) - (xy 69.778478 105.465501) - (xy 69.768121 105.459877) - (xy 69.754125 105.450788) - (xy 69.754124 105.450788) - (xy 69.747497 105.446484) - (xy 69.739061 105.445148) - (xy 69.720245 105.439574) - (xy 69.712442 105.4361) - (xy 69.687847 105.4361) - (xy 69.676084 105.435174) - (xy 69.659613 105.432565) - (xy 69.659611 105.432565) - (xy 69.651806 105.431329) - (xy 69.644174 105.433374) - (xy 69.644173 105.433374) - (xy 69.643562 105.433538) - (xy 69.624099 105.4361) - (xy 65.169699 105.4361) - (xy 65.121361 105.418507) - (xy 65.097709 105.382635) - (xy 65.067777 105.283496) - (xy 65.066714 105.279975) - (xy 64.974379 105.106318) - (xy 64.850072 104.953903) - (xy 64.817805 104.927209) - (xy 64.701359 104.830877) - (xy 64.698528 104.828535) - (xy 64.52552 104.73499) - (xy 64.337637 104.67683) - (xy 64.214766 104.663916) - (xy 64.14569 104.656656) - (xy 64.145689 104.656656) - (xy 64.142035 104.656272) - (xy 64.044101 104.665184) - (xy 63.949824 104.673764) - (xy 63.949823 104.673764) - (xy 63.946166 104.674097) - (xy 63.942641 104.675134) - (xy 63.942638 104.675135) - (xy 63.79838 104.717593) - (xy 63.757489 104.729628) - (xy 63.583192 104.820748) - (xy 63.527524 104.865506) - (xy 63.456796 104.922373) - (xy 63.429912 104.943988) - (xy 63.303489 105.094653) - (xy 63.245575 105.2) - (xy 63.233998 105.221058) - (xy 63.195294 105.254941) - (xy 63.143866 105.256018) - (xy 63.103777 105.223786) - (xy 63.0929 105.18483) - (xy 63.0929 104.519547) - (xy 63.110493 104.471209) - (xy 63.114926 104.466373) - (xy 63.564499 104.0168) - (xy 63.611119 103.99506) - (xy 63.654359 104.00433) - (xy 63.728331 104.04567) - (xy 63.728334 104.045671) - (xy 63.731547 104.047467) - (xy 63.735044 104.048603) - (xy 63.735048 104.048605) - (xy 63.827615 104.078681) - (xy 63.9186 104.108244) - (xy 64.019434 104.120268) - (xy 64.110237 104.131096) - (xy 64.110239 104.131096) - (xy 64.113895 104.131532) - (xy 64.309994 104.116443) - (xy 64.49243 104.065506) - (xy 64.495883 104.064542) - (xy 64.495885 104.064541) - (xy 64.499428 104.063552) - (xy 64.616668 104.00433) - (xy 64.671697 103.976533) - (xy 64.671698 103.976532) - (xy 64.674981 103.974874) - (xy 64.829966 103.853786) - (xy 64.84371 103.837864) - (xy 64.897375 103.775692) - (xy 64.95848 103.704901) - (xy 64.96615 103.6914) - (xy 65.05381 103.537091) - (xy 65.053812 103.537088) - (xy 65.055628 103.53389) - (xy 65.08897 103.43366) - (xy 65.116548 103.35076) - (xy 65.116549 103.350757) - (xy 65.11771 103.347266) - (xy 65.14236 103.152138) - (xy 65.142573 103.136877) - (xy 65.142724 103.126099) - (xy 65.142724 103.126093) - (xy 65.142753 103.124) - (xy 65.14218 103.118152) - (xy 65.123921 102.931927) - (xy 65.12392 102.931922) - (xy 65.123561 102.92826) - (xy 65.066714 102.739975) - (xy 64.974379 102.566318) - (xy 64.850072 102.413903) - (xy 64.698528 102.288535) - (xy 64.52552 102.19499) - (xy 64.337637 102.13683) - (xy 64.214766 102.123916) - (xy 64.14569 102.116656) - (xy 64.145689 102.116656) - (xy 64.142035 102.116272) - (xy 64.055204 102.124174) - (xy 63.949824 102.133764) - (xy 63.949823 102.133764) - (xy 63.946166 102.134097) - (xy 63.942641 102.135134) - (xy 63.942638 102.135135) - (xy 63.761019 102.188589) - (xy 63.757489 102.189628) - (xy 63.583192 102.280748) - (xy 63.506552 102.342368) - (xy 63.456336 102.382743) - (xy 63.429912 102.403988) - (xy 63.371308 102.47383) - (xy 63.32442 102.529709) - (xy 63.303489 102.554653) - (xy 63.301718 102.557875) - (xy 63.301717 102.557876) - (xy 63.213055 102.719153) - (xy 63.208739 102.727004) - (xy 63.149269 102.914476) - (xy 63.127345 103.10993) - (xy 63.127653 103.113598) - (xy 63.127653 103.113601) - (xy 63.134733 103.197915) - (xy 63.143803 103.305919) - (xy 63.198015 103.494979) - (xy 63.199697 103.498252) - (xy 63.199699 103.498256) - (xy 63.255679 103.607184) - (xy 63.262126 103.658218) - (xy 63.241969 103.694731) - (xy 62.709458 104.227242) - (xy 62.706603 104.229952) - (xy 62.676506 104.257051) - (xy 62.666501 104.279522) - (xy 62.660877 104.289879) - (xy 62.654305 104.3) - (xy 62.647484 104.310503) - (xy 62.646148 104.318939) - (xy 62.640574 104.337755) - (xy 62.6371 104.345558) - (xy 62.6371 104.370153) - (xy 62.636174 104.381916) - (xy 62.632329 104.406194) - (xy 62.634374 104.413826) - (xy 62.634374 104.413827) - (xy 62.634538 104.414438) - (xy 62.6371 104.433901) - (xy 62.6371 105.18597) - (xy 62.619507 105.234308) - (xy 62.574958 105.260028) - (xy 62.5243 105.251095) - (xy 62.495502 105.221274) - (xy 62.490367 105.211617) - (xy 62.434379 105.106318) - (xy 62.310072 104.953903) - (xy 62.277805 104.927209) - (xy 62.161359 104.830877) - (xy 62.158528 104.828535) - (xy 61.98552 104.73499) - (xy 61.797637 104.67683) - (xy 61.674766 104.663916) - (xy 61.60569 104.656656) - (xy 61.605689 104.656656) - (xy 61.602035 104.656272) - (xy 61.504101 104.665184) - (xy 61.409824 104.673764) - (xy 61.409823 104.673764) - (xy 61.406166 104.674097) - (xy 61.402641 104.675134) - (xy 61.402638 104.675135) - (xy 61.25838 104.717593) - (xy 61.217489 104.729628) - (xy 61.043192 104.820748) - (xy 60.987524 104.865506) - (xy 60.916796 104.922373) - (xy 60.889912 104.943988) - (xy 60.763489 105.094653) - (xy 60.668739 105.267004) - (xy 60.609269 105.454476) - (xy 60.587345 105.64993) - (xy 59.9279 105.64993) - (xy 59.9279 103.10993) - (xy 60.587345 103.10993) - (xy 60.587653 103.113598) - (xy 60.587653 103.113601) - (xy 60.594733 103.197915) - (xy 60.603803 103.305919) - (xy 60.658015 103.494979) - (xy 60.747916 103.669908) - (xy 60.750201 103.672791) - (xy 60.769333 103.69693) - (xy 60.870083 103.824044) - (xy 60.872877 103.826422) - (xy 60.872878 103.826423) - (xy 60.907691 103.856051) - (xy 61.019862 103.951516) - (xy 61.023063 103.953305) - (xy 61.023066 103.953307) - (xy 61.060404 103.974174) - (xy 61.191547 104.047467) - (xy 61.195044 104.048603) - (xy 61.195048 104.048605) - (xy 61.287615 104.078681) - (xy 61.3786 104.108244) - (xy 61.479434 104.120268) - (xy 61.570237 104.131096) - (xy 61.570239 104.131096) - (xy 61.573895 104.131532) - (xy 61.769994 104.116443) - (xy 61.95243 104.065506) - (xy 61.955883 104.064542) - (xy 61.955885 104.064541) - (xy 61.959428 104.063552) - (xy 62.076668 104.00433) - (xy 62.131697 103.976533) - (xy 62.131698 103.976532) - (xy 62.134981 103.974874) - (xy 62.289966 103.853786) - (xy 62.30371 103.837864) - (xy 62.357375 103.775692) - (xy 62.41848 103.704901) - (xy 62.42615 103.6914) - (xy 62.51381 103.537091) - (xy 62.513812 103.537088) - (xy 62.515628 103.53389) - (xy 62.54897 103.43366) - (xy 62.576548 103.35076) - (xy 62.576549 103.350757) - (xy 62.57771 103.347266) - (xy 62.60236 103.152138) - (xy 62.602573 103.136877) - (xy 62.602724 103.126099) - (xy 62.602724 103.126093) - (xy 62.602753 103.124) - (xy 62.60218 103.118152) - (xy 62.583921 102.931927) - (xy 62.58392 102.931922) - (xy 62.583561 102.92826) - (xy 62.526714 102.739975) - (xy 62.434379 102.566318) - (xy 62.310072 102.413903) - (xy 62.158528 102.288535) - (xy 61.98552 102.19499) - (xy 61.797637 102.13683) - (xy 61.674766 102.123916) - (xy 61.60569 102.116656) - (xy 61.605689 102.116656) - (xy 61.602035 102.116272) - (xy 61.515204 102.124174) - (xy 61.409824 102.133764) - (xy 61.409823 102.133764) - (xy 61.406166 102.134097) - (xy 61.402641 102.135134) - (xy 61.402638 102.135135) - (xy 61.221019 102.188589) - (xy 61.217489 102.189628) - (xy 61.043192 102.280748) - (xy 60.966552 102.342368) - (xy 60.916336 102.382743) - (xy 60.889912 102.403988) - (xy 60.831308 102.47383) - (xy 60.78442 102.529709) - (xy 60.763489 102.554653) - (xy 60.761718 102.557875) - (xy 60.761717 102.557876) - (xy 60.673055 102.719153) - (xy 60.668739 102.727004) - (xy 60.609269 102.914476) - (xy 60.587345 103.10993) - (xy 59.9279 103.10993) - (xy 59.9279 100.56993) - (xy 60.587345 100.56993) - (xy 60.587653 100.573598) - (xy 60.587653 100.573601) - (xy 60.590889 100.612138) - (xy 60.603803 100.765919) - (xy 60.658015 100.954979) - (xy 60.747916 101.129908) - (xy 60.870083 101.284044) - (xy 60.872877 101.286422) - (xy 60.872878 101.286423) - (xy 60.972848 101.371504) - (xy 61.019862 101.411516) - (xy 61.023063 101.413305) - (xy 61.023066 101.413307) - (xy 61.061656 101.434874) - (xy 61.191547 101.507467) - (xy 61.195044 101.508603) - (xy 61.195048 101.508605) - (xy 61.248755 101.526055) - (xy 61.3786 101.568244) - (xy 61.485984 101.581049) - (xy 61.570237 101.591096) - (xy 61.570239 101.591096) - (xy 61.573895 101.591532) - (xy 61.769994 101.576443) - (xy 61.884061 101.544595) - (xy 61.955883 101.524542) - (xy 61.955885 101.524541) - (xy 61.959428 101.523552) - (xy 62.134981 101.434874) - (xy 62.289966 101.313786) - (xy 62.41848 101.164901) - (xy 62.459323 101.093004) - (xy 62.51381 100.997091) - (xy 62.513812 100.997088) - (xy 62.515628 100.99389) - (xy 62.57771 100.807266) - (xy 62.60236 100.612138) - (xy 62.602753 100.584) - (xy 62.602548 100.581907) - (xy 62.601374 100.56993) - (xy 63.127345 100.56993) - (xy 63.127653 100.573598) - (xy 63.127653 100.573601) - (xy 63.130889 100.612138) - (xy 63.143803 100.765919) - (xy 63.198015 100.954979) - (xy 63.287916 101.129908) - (xy 63.410083 101.284044) - (xy 63.412877 101.286422) - (xy 63.412878 101.286423) - (xy 63.512848 101.371504) - (xy 63.559862 101.411516) - (xy 63.563063 101.413305) - (xy 63.563066 101.413307) - (xy 63.601656 101.434874) - (xy 63.731547 101.507467) - (xy 63.735044 101.508603) - (xy 63.735048 101.508605) - (xy 63.788755 101.526055) - (xy 63.9186 101.568244) - (xy 64.025984 101.581049) - (xy 64.110237 101.591096) - (xy 64.110239 101.591096) - (xy 64.113895 101.591532) - (xy 64.309994 101.576443) - (xy 64.424061 101.544595) - (xy 64.495883 101.524542) - (xy 64.495885 101.524541) - (xy 64.499428 101.523552) - (xy 64.674981 101.434874) - (xy 64.829966 101.313786) - (xy 64.95848 101.164901) - (xy 64.999323 101.093004) - (xy 65.05381 100.997091) - (xy 65.053812 100.997088) - (xy 65.055628 100.99389) - (xy 65.11771 100.807266) - (xy 65.14236 100.612138) - (xy 65.142753 100.584) - (xy 65.142548 100.581907) - (xy 65.123921 100.391927) - (xy 65.12392 100.391922) - (xy 65.123561 100.38826) - (xy 65.066714 100.199975) - (xy 64.974379 100.026318) - (xy 64.850072 99.873903) - (xy 64.698528 99.748535) - (xy 64.52552 99.65499) - (xy 64.337637 99.59683) - (xy 64.205412 99.582933) - (xy 64.14569 99.576656) - (xy 64.145689 99.576656) - (xy 64.142035 99.576272) - (xy 64.068841 99.582933) - (xy 63.949824 99.593764) - (xy 63.949823 99.593764) - (xy 63.946166 99.594097) - (xy 63.942641 99.595134) - (xy 63.942638 99.595135) - (xy 63.761019 99.648589) - (xy 63.757489 99.649628) - (xy 63.583192 99.740748) - (xy 63.580328 99.743051) - (xy 63.437457 99.857922) - (xy 63.429912 99.863988) - (xy 63.303489 100.014653) - (xy 63.301718 100.017875) - (xy 63.301717 100.017876) - (xy 63.280211 100.056996) - (xy 63.208739 100.187004) - (xy 63.149269 100.374476) - (xy 63.127345 100.56993) - (xy 62.601374 100.56993) - (xy 62.583921 100.391927) - (xy 62.58392 100.391922) - (xy 62.583561 100.38826) - (xy 62.526714 100.199975) - (xy 62.434379 100.026318) - (xy 62.310072 99.873903) - (xy 62.158528 99.748535) - (xy 61.98552 99.65499) - (xy 61.797637 99.59683) - (xy 61.665412 99.582933) - (xy 61.60569 99.576656) - (xy 61.605689 99.576656) - (xy 61.602035 99.576272) - (xy 61.528841 99.582933) - (xy 61.409824 99.593764) - (xy 61.409823 99.593764) - (xy 61.406166 99.594097) - (xy 61.402641 99.595134) - (xy 61.402638 99.595135) - (xy 61.221019 99.648589) - (xy 61.217489 99.649628) - (xy 61.043192 99.740748) - (xy 61.040328 99.743051) - (xy 60.897457 99.857922) - (xy 60.889912 99.863988) - (xy 60.763489 100.014653) - (xy 60.761718 100.017875) - (xy 60.761717 100.017876) - (xy 60.740211 100.056996) - (xy 60.668739 100.187004) - (xy 60.609269 100.374476) - (xy 60.587345 100.56993) - (xy 59.9279 100.56993) - (xy 59.9279 98.853079) - (xy 61.003283 98.853079) - (xy 61.004557 98.857833) - (xy 61.017355 98.868725) - (xy 61.023353 98.872894) - (xy 61.188537 98.965211) - (xy 61.19525 98.968144) - (xy 61.37521 99.026616) - (xy 61.382358 99.028188) - (xy 61.570248 99.050593) - (xy 61.577581 99.050746) - (xy 61.766239 99.03623) - (xy 61.773447 99.034959) - (xy 61.955701 98.984073) - (xy 61.962534 98.981422) - (xy 62.131428 98.896108) - (xy 62.137611 98.892184) - (xy 62.17962 98.859363) - (xy 62.185348 98.850196) - (xy 62.18474 98.845872) - (xy 61.604377 98.265509) - (xy 61.594578 98.26094) - (xy 61.588587 98.262545) - (xy 61.007852 98.84328) - (xy 61.003283 98.853079) - (xy 59.9279 98.853079) - (xy 59.9279 98.033608) - (xy 60.588156 98.033608) - (xy 60.60399 98.222161) - (xy 60.605312 98.229363) - (xy 60.65747 98.411261) - (xy 60.660164 98.418063) - (xy 60.746657 98.586363) - (xy 60.750623 98.592518) - (xy 60.779406 98.628832) - (xy 60.788614 98.634497) - (xy 60.793022 98.633846) - (xy 61.373491 98.053377) - (xy 61.377666 98.044422) - (xy 61.81194 98.044422) - (xy 61.813545 98.050413) - (xy 62.394643 98.631511) - (xy 62.404442 98.63608) - (xy 62.409291 98.634781) - (xy 62.415668 98.627393) - (xy 62.419884 98.621417) - (xy 62.513354 98.45688) - (xy 62.516328 98.4502) - (xy 62.576059 98.270641) - (xy 62.57768 98.263507) - (xy 62.601593 98.074215) - (xy 62.601886 98.07002) - (xy 62.602221 98.046099) - (xy 62.602045 98.041907) - (xy 62.583427 97.852024) - (xy 62.582001 97.844828) - (xy 62.527312 97.663688) - (xy 62.524524 97.656922) - (xy 62.435686 97.489843) - (xy 62.431635 97.483745) - (xy 62.411154 97.458633) - (xy 62.40187 97.453099) - (xy 62.397292 97.45384) - (xy 61.816509 98.034623) - (xy 61.81194 98.044422) - (xy 61.377666 98.044422) - (xy 61.37806 98.043578) - (xy 61.376455 98.037587) - (xy 60.796049 97.457181) - (xy 60.78625 97.452612) - (xy 60.78159 97.453861) - (xy 60.766269 97.472119) - (xy 60.76213 97.478163) - (xy 60.670975 97.643975) - (xy 60.668088 97.650711) - (xy 60.610874 97.831074) - (xy 60.609351 97.838236) - (xy 60.588259 98.026275) - (xy 60.588156 98.033608) - (xy 59.9279 98.033608) - (xy 59.9279 97.375547) - (xy 59.945493 97.327209) - (xy 59.949926 97.322373) - (xy 60.03491 97.237389) - (xy 61.004319 97.237389) - (xy 61.005014 97.241882) - (xy 61.585623 97.822491) - (xy 61.595422 97.82706) - (xy 61.601413 97.825455) - (xy 62.181525 97.245343) - (xy 62.186094 97.235544) - (xy 62.18487 97.230976) - (xy 62.161078 97.211294) - (xy 62.155016 97.207205) - (xy 61.988557 97.117201) - (xy 61.981813 97.114366) - (xy 61.801047 97.05841) - (xy 61.79388 97.056939) - (xy 61.605687 97.037159) - (xy 61.598374 97.037108) - (xy 61.409918 97.054258) - (xy 61.402732 97.055629) - (xy 61.221208 97.109055) - (xy 61.214421 97.111797) - (xy 61.046721 97.199468) - (xy 61.040603 97.203471) - (xy 61.009918 97.228143) - (xy 61.004319 97.237389) - (xy 60.03491 97.237389) - (xy 61.672374 95.599926) - (xy 61.718994 95.578186) - (xy 61.725548 95.5779) - (xy 65.474453 95.5779) - (xy 65.522791 95.595493) - (xy 65.527627 95.599926) - (xy 66.731011 96.803311) - (xy 67.933242 98.005542) - (xy 67.935952 98.008397) - (xy 67.963051 98.038494) - (xy 67.985522 98.048499) - (xy 67.995879 98.054123) - (xy 68.016503 98.067516) - (xy 68.024939 98.068852) - (xy 68.043755 98.074426) - (xy 68.051558 98.0779) - (xy 68.076153 98.0779) - (xy 68.087916 98.078826) - (xy 68.104387 98.081435) - (xy 68.104389 98.081435) - (xy 68.112194 98.082671) - (xy 68.119826 98.080626) - (xy 68.119827 98.080626) - (xy 68.120438 98.080462) - (xy 68.139901 98.0779) - (xy 69.226965 98.0779) - (xy 69.275303 98.095493) - (xy 69.280139 98.099926) - (xy 69.360229 98.180016) - (xy 69.365505 98.182704) - (xy 69.365506 98.182705) - (xy 69.413344 98.207079) - (xy 69.473945 98.237957) - (xy 69.6 98.257922) - (xy 69.726055 98.237957) - (xy 69.786656 98.207079) - (xy 69.834494 98.182705) - (xy 69.834495 98.182704) - (xy 69.839771 98.180016) - (xy 69.930016 98.089771) - (xy 69.934264 98.081435) - (xy 69.98527 97.981328) - (xy 69.987957 97.976055) - (xy 70.007922 97.85) - (xy 70.006043 97.838134) - (xy 70.002209 97.813927) - (xy 70.012024 97.763433) - (xy 70.023309 97.74899) - (xy 71.172373 96.599926) - (xy 71.218993 96.578186) - (xy 71.225547 96.5779) - (xy 77.695564 96.5779) - (xy 77.6995 96.578003) - (xy 77.739948 96.580123) - (xy 77.762908 96.57131) - (xy 77.774215 96.56796) - (xy 77.798266 96.562848) - (xy 77.805175 96.557829) - (xy 77.82242 96.548465) - (xy 77.823017 96.548236) - (xy 77.823018 96.548235) - (xy 77.830396 96.545403) - (xy 77.84778 96.528019) - (xy 77.856752 96.520355) - (xy 77.870253 96.510546) - (xy 77.870253 96.510545) - (xy 77.876646 96.505901) - (xy 77.880915 96.498507) - (xy 77.892866 96.482933) - (xy 78.19449 96.181309) - (xy 78.24111 96.159569) - (xy 78.259427 96.160209) - (xy 78.289652 96.164996) - (xy 78.289653 96.164996) - (xy 78.2955 96.165922) - (xy 78.421555 96.145957) - (xy 78.511604 96.100075) - (xy 78.529994 96.090705) - (xy 78.529995 96.090704) - (xy 78.535271 96.088016) - (xy 78.625516 95.997771) - (xy 78.630517 95.987957) - (xy 78.68077 95.889328) - (xy 78.683457 95.884055) - (xy 78.703422 95.758) - (xy 78.683457 95.631945) - (xy 78.633791 95.53447) - (xy 78.628205 95.523506) - (xy 78.628204 95.523505) - (xy 78.625516 95.518229) - (xy 78.535271 95.427984) - (xy 78.5234 95.421935) - (xy 78.482156 95.400921) - (xy 78.421555 95.370043) - (xy 78.333137 95.356039) - (xy 78.301347 95.351004) - (xy 78.2955 95.350078) - (xy 78.289653 95.351004) - (xy 78.257863 95.356039) - (xy 78.169445 95.370043) - (xy 78.108844 95.400921) - (xy 78.067601 95.421935) - (xy 78.055729 95.427984) - (xy 77.965484 95.518229) - (xy 77.962796 95.523505) - (xy 77.962795 95.523506) - (xy 77.957209 95.53447) - (xy 77.907543 95.631945) - (xy 77.887578 95.758) - (xy 77.888504 95.763847) - (xy 77.893291 95.794071) - (xy 77.883476 95.844566) - (xy 77.872191 95.85901) - (xy 77.631125 96.100075) - (xy 77.584505 96.121814) - (xy 77.577951 96.1221) - (xy 71.107929 96.1221) - (xy 71.103992 96.121997) - (xy 71.100501 96.121814) - (xy 71.063552 96.119877) - (xy 71.040592 96.12869) - (xy 71.029285 96.13204) - (xy 71.005234 96.137152) - (xy 70.99884 96.141798) - (xy 70.998839 96.141798) - (xy 70.998326 96.142171) - (xy 70.98108 96.151535) - (xy 70.980483 96.151764) - (xy 70.980482 96.151765) - (xy 70.973104 96.154597) - (xy 70.95572 96.171981) - (xy 70.946748 96.179645) - (xy 70.938815 96.185409) - (xy 70.926854 96.194099) - (xy 70.922902 96.200944) - (xy 70.922585 96.201493) - (xy 70.910634 96.217067) - (xy 69.701009 97.426691) - (xy 69.654389 97.448431) - (xy 69.636071 97.447791) - (xy 69.605847 97.443004) - (xy 69.6 97.442078) - (xy 69.473945 97.462043) - (xy 69.360229 97.519984) - (xy 69.280139 97.600074) - (xy 69.233519 97.621814) - (xy 69.226965 97.6221) - (xy 68.225547 97.6221) - (xy 68.177209 97.604507) - (xy 68.172373 97.600074) - (xy 67.0223 96.45) - (xy 67.492078 96.45) - (xy 67.493004 96.455847) - (xy 67.493642 96.459875) - (xy 67.512043 96.576055) - (xy 67.51473 96.581328) - (xy 67.562737 96.675547) - (xy 67.569984 96.689771) - (xy 67.660229 96.780016) - (xy 67.665505 96.782704) - (xy 67.665506 96.782705) - (xy 67.705948 96.803311) - (xy 67.773945 96.837957) - (xy 67.9 96.857922) - (xy 68.026055 96.837957) - (xy 68.094052 96.803311) - (xy 68.134494 96.782705) - (xy 68.134495 96.782704) - (xy 68.139771 96.780016) - (xy 68.230016 96.689771) - (xy 68.237264 96.675547) - (xy 68.28527 96.581328) - (xy 68.287957 96.576055) - (xy 68.306358 96.459875) - (xy 68.306996 96.455847) - (xy 68.307922 96.45) - (xy 68.287957 96.323945) - (xy 68.230016 96.210229) - (xy 68.139771 96.119984) - (xy 68.026055 96.062043) - (xy 67.9 96.042078) - (xy 67.773945 96.062043) - (xy 67.660229 96.119984) - (xy 67.569984 96.210229) - (xy 67.512043 96.323945) - (xy 67.492078 96.45) - (xy 67.0223 96.45) - (xy 65.978574 95.406274) - (xy 65.956834 95.359654) - (xy 65.970148 95.309967) - (xy 66.012285 95.280462) - (xy 66.031748 95.2779) - (xy 69.226965 95.2779) - (xy 69.275303 95.295493) - (xy 69.280139 95.299926) - (xy 69.360229 95.380016) - (xy 69.365505 95.382704) - (xy 69.365506 95.382705) - (xy 69.388457 95.394399) - (xy 69.473945 95.437957) - (xy 69.6 95.457922) - (xy 69.726055 95.437957) - (xy 69.811543 95.394399) - (xy 69.834494 95.382705) - (xy 69.834495 95.382704) - (xy 69.839771 95.380016) - (xy 69.930016 95.289771) - (xy 69.933857 95.282234) - (xy 69.977856 95.195879) - (xy 69.987957 95.176055) - (xy 69.988504 95.176334) - (xy 70.017414 95.139328) - (xy 70.057266 95.1279) - (xy 78.518452 95.1279) - (xy 78.56679 95.145493) - (xy 78.571627 95.149926) - (xy 78.871075 95.449375) - (xy 78.892814 95.495995) - (xy 78.8931 95.502549) - (xy 78.8931 102.481064) - (xy 78.892997 102.485) - (xy 78.890877 102.525448) - (xy 78.89969 102.548408) - (xy 78.90304 102.559715) - (xy 78.908152 102.583766) - (xy 78.912798 102.59016) - (xy 78.912798 102.590161) - (xy 78.913171 102.590674) - (xy 78.922535 102.60792) - (xy 78.925597 102.615896) - (xy 78.942981 102.63328) - (xy 78.950645 102.642252) - (xy 78.965099 102.662146) - (xy 78.971944 102.666098) - (xy 78.972493 102.666415) - (xy 78.988067 102.678366) - (xy 79.332691 103.02299) - (xy 79.354431 103.06961) - (xy 79.353791 103.087927) - (xy 79.348078 103.124) - (xy 79.368043 103.250055) - (xy 79.388279 103.289771) - (xy 79.419355 103.35076) - (xy 79.425984 103.363771) - (xy 79.516229 103.454016) - (xy 79.629945 103.511957) - (xy 79.756 103.531922) - (xy 79.882055 103.511957) - (xy 79.995771 103.454016) - (xy 80.086016 103.363771) - (xy 80.092646 103.35076) - (xy 80.123721 103.289771) - (xy 80.143957 103.250055) - (xy 80.163922 103.124) - (xy 80.143957 102.997945) - (xy 80.106252 102.923945) - (xy 80.088705 102.889506) - (xy 80.088704 102.889505) - (xy 80.086016 102.884229) - (xy 79.995771 102.793984) - (xy 79.97402 102.782901) - (xy 79.934622 102.762827) - (xy 79.882055 102.736043) - (xy 79.756 102.716078) - (xy 79.750153 102.717004) - (xy 79.719929 102.721791) - (xy 79.669434 102.711976) - (xy 79.654991 102.700691) - (xy 79.370926 102.416626) - (xy 79.349186 102.370006) - (xy 79.3489 102.363452) - (xy 79.3489 95.384936) - (xy 79.349003 95.381) - (xy 79.349815 95.365506) - (xy 79.351123 95.340552) - (xy 79.34231 95.317592) - (xy 79.33896 95.306284) - (xy 79.338557 95.304387) - (xy 79.333848 95.282234) - (xy 79.328829 95.275325) - (xy 79.319465 95.25808) - (xy 79.319236 95.257483) - (xy 79.319235 95.257482) - (xy 79.316403 95.250104) - (xy 79.299019 95.23272) - (xy 79.291355 95.223748) - (xy 79.281546 95.210247) - (xy 79.281545 95.210247) - (xy 79.276901 95.203854) - (xy 79.269507 95.199585) - (xy 79.253933 95.187634) - (xy 79.033745 94.967445) - (xy 78.810759 94.744459) - (xy 78.808048 94.741603) - (xy 78.786237 94.717379) - (xy 78.780949 94.711506) - (xy 78.758478 94.701501) - (xy 78.748121 94.695877) - (xy 78.734125 94.686788) - (xy 78.734124 94.686788) - (xy 78.727497 94.682484) - (xy 78.719061 94.681148) - (xy 78.700245 94.675574) - (xy 78.692442 94.6721) - (xy 78.667847 94.6721) - (xy 78.656084 94.671174) - (xy 78.639613 94.668565) - (xy 78.639611 94.668565) - (xy 78.631806 94.667329) - (xy 78.624174 94.669374) - (xy 78.624173 94.669374) - (xy 78.623562 94.669538) - (xy 78.604099 94.6721) - (xy 69.763847 94.6721) - (xy 69.737234 94.66571) - (xy 69.736958 94.666559) - (xy 69.731328 94.66473) - (xy 69.726055 94.662043) - (xy 69.663027 94.65206) - (xy 69.605847 94.643004) - (xy 69.6 94.642078) - (xy 69.594153 94.643004) - (xy 69.536973 94.65206) - (xy 69.473945 94.662043) - (xy 69.360229 94.719984) - (xy 69.280139 94.800074) - (xy 69.233519 94.821814) - (xy 69.226965 94.8221) - (xy 61.457936 94.8221) - (xy 61.454 94.821997) - (xy 61.450508 94.821814) - (xy 61.413552 94.819877) - (xy 61.390592 94.82869) - (xy 61.379285 94.83204) - (xy 61.355234 94.837152) - (xy 61.34884 94.841798) - (xy 61.348839 94.841798) - (xy 61.348326 94.842171) - (xy 61.33108 94.851535) - (xy 61.330483 94.851764) - (xy 61.330482 94.851765) - (xy 61.323104 94.854597) - (xy 61.30572 94.871981) - (xy 61.296748 94.879645) - (xy 61.287564 94.886318) - (xy 61.276854 94.894099) - (xy 61.272902 94.900944) - (xy 61.272585 94.901493) - (xy 61.260634 94.917067) - (xy 59.244458 96.933242) - (xy 59.241603 96.935952) - (xy 59.211506 96.963051) - (xy 59.201501 96.985522) - (xy 59.195877 96.995879) - (xy 59.189879 97.005116) - (xy 59.182484 97.016503) - (xy 59.181148 97.024939) - (xy 59.175574 97.043755) - (xy 59.1721 97.051558) - (xy 59.1721 97.076153) - (xy 59.171174 97.087916) - (xy 59.167329 97.112194) - (xy 59.169374 97.119826) - (xy 59.169374 97.119827) - (xy 59.169538 97.120438) - (xy 59.1721 97.139901) - (xy 59.1721 109.742064) - (xy 59.171997 109.746) - (xy 59.169877 109.786448) - (xy 59.17869 109.809408) - (xy 59.18204 109.820715) - (xy 59.187152 109.844766) - (xy 59.191798 109.85116) - (xy 59.191798 109.851161) - (xy 59.192171 109.851674) - (xy 59.201535 109.86892) - (xy 59.204597 109.876896) - (xy 59.221981 109.89428) - (xy 59.229645 109.903252) - (xy 59.244099 109.923146) - (xy 59.250944 109.927098) - (xy 59.251493 109.927415) - (xy 59.267067 109.939366) - (xy 63.950074 114.622373) - (xy 63.971814 114.668993) - (xy 63.9721 114.675547) - (xy 63.9721 115.538253) - (xy 63.954507 115.586591) - (xy 63.909958 115.612311) - (xy 63.8593 115.603378) - (xy 63.843726 115.591427) - (xy 61.032092 112.779792) - (xy 58.149926 109.897626) - (xy 58.128186 109.851006) - (xy 58.1279 109.844452) - (xy 58.1279 96.675547) - (xy 58.145493 96.627209) - (xy 58.149926 96.622373) - (xy 60.672373 94.099926) - (xy 60.718993 94.078186) - (xy 60.725547 94.0779) - (xy 78.611453 94.0779) - (xy 78.659791 94.095493) - (xy 78.664627 94.099926) - (xy 79.696574 95.131873) - (xy 79.718314 95.178493) - (xy 79.7186 95.185047) - (xy 79.7186 95.384965) - (xy 79.701007 95.433303) - (xy 79.696574 95.438139) - (xy 79.616484 95.518229) - (xy 79.613796 95.523505) - (xy 79.613795 95.523506) - (xy 79.608209 95.53447) - (xy 79.558543 95.631945) - (xy 79.538578 95.758) - (xy 79.558543 95.884055) - (xy 79.56123 95.889328) - (xy 79.611484 95.987957) - (xy 79.616484 95.997771) - (xy 79.706729 96.088016) - (xy 79.712005 96.090704) - (xy 79.712006 96.090705) - (xy 79.730396 96.100075) - (xy 79.820445 96.145957) - (xy 79.9465 96.165922) - (xy 80.072555 96.145957) - (xy 80.162604 96.100075) - (xy 80.180994 96.090705) - (xy 80.180995 96.090704) - (xy 80.186271 96.088016) - (xy 80.276516 95.997771) - (xy 80.281517 95.987957) - (xy 80.33177 95.889328) - (xy 80.334457 95.884055) - (xy 80.354422 95.758) - (xy 80.334457 95.631945) - (xy 80.284791 95.53447) - (xy 80.279205 95.523506) - (xy 80.279204 95.523505) - (xy 80.276516 95.518229) - (xy 80.196426 95.438139) - (xy 80.174686 95.391519) - (xy 80.1744 95.384965) - (xy 80.1744 95.067429) - (xy 80.174503 95.063492) - (xy 80.176209 95.030945) - (xy 80.176623 95.023052) - (xy 80.16781 95.000092) - (xy 80.16446 94.988784) - (xy 80.160991 94.972465) - (xy 80.159348 94.964734) - (xy 80.154329 94.957825) - (xy 80.144965 94.94058) - (xy 80.144736 94.939983) - (xy 80.144735 94.939982) - (xy 80.141903 94.932604) - (xy 80.124519 94.91522) - (xy 80.116855 94.906248) - (xy 80.107046 94.892747) - (xy 80.107045 94.892747) - (xy 80.102401 94.886354) - (xy 80.095007 94.882085) - (xy 80.079433 94.870134) - (xy 78.903758 93.694458) - (xy 78.901047 93.691602) - (xy 78.879237 93.667379) - (xy 78.873949 93.661506) - (xy 78.851478 93.651501) - (xy 78.841121 93.645877) - (xy 78.827125 93.636788) - (xy 78.827124 93.636788) - (xy 78.820497 93.632484) - (xy 78.812061 93.631148) - (xy 78.793245 93.625574) - (xy 78.785442 93.6221) - (xy 78.760847 93.6221) - (xy 78.749084 93.621174) - (xy 78.732613 93.618565) - (xy 78.732611 93.618565) - (xy 78.724806 93.617329) - (xy 78.717174 93.619374) - (xy 78.717173 93.619374) - (xy 78.716562 93.619538) - (xy 78.697099 93.6221) - (xy 60.607936 93.6221) - (xy 60.604 93.621997) - (xy 60.603151 93.621952) - (xy 60.563552 93.619877) - (xy 60.540596 93.628689) - (xy 60.529281 93.632041) - (xy 60.505234 93.637152) - (xy 60.49884 93.641798) - (xy 60.498839 93.641798) - (xy 60.49833 93.642168) - (xy 60.48108 93.651534) - (xy 60.473104 93.654596) - (xy 60.455717 93.671983) - (xy 60.446745 93.679647) - (xy 60.426854 93.694099) - (xy 60.422903 93.700941) - (xy 60.422902 93.700943) - (xy 60.422585 93.701492) - (xy 60.410634 93.717066) - (xy 57.744458 96.383242) - (xy 57.741603 96.385952) - (xy 57.711506 96.413051) - (xy 57.701501 96.435522) - (xy 57.695877 96.445879) - (xy 57.682484 96.466503) - (xy 57.681148 96.474939) - (xy 57.675574 96.493755) - (xy 57.6721 96.501558) - (xy 57.6721 96.526153) - (xy 57.671174 96.537916) - (xy 57.668942 96.552011) - (xy 57.667329 96.562194) - (xy 57.669374 96.569826) - (xy 57.669374 96.569827) - (xy 57.669538 96.570438) - (xy 57.6721 96.589901) - (xy 57.6721 109.962064) - (xy 57.671997 109.966) - (xy 57.669877 110.006448) - (xy 57.67869 110.029408) - (xy 57.68204 110.040715) - (xy 57.687152 110.064766) - (xy 57.691798 110.07116) - (xy 57.691798 110.071161) - (xy 57.692171 110.071674) - (xy 57.701535 110.08892) - (xy 57.704597 110.096896) - (xy 57.721981 110.11428) - (xy 57.729645 110.123252) - (xy 57.744099 110.143146) - (xy 57.750944 110.147098) - (xy 57.751493 110.147415) - (xy 57.767067 110.159366) - (xy 63.631074 116.023373) - (xy 63.652814 116.069993) - (xy 63.6531 116.076547) - (xy 63.6531 118.070516) - (xy 63.635507 118.118854) - (xy 63.613478 118.135002) - (xy 63.614165 118.136193) - (xy 63.609891 118.138661) - (xy 63.605342 118.140545) - (xy 63.591782 118.15095) - (xy 63.524063 118.202913) - (xy 63.49116 118.22816) - (xy 63.403545 118.342342) - (xy 63.40166 118.346893) - (xy 63.361198 118.444578) - (xy 63.326446 118.482503) - (xy 63.291722 118.491) - (xy 63.200278 118.491) - (xy 63.15194 118.473407) - (xy 63.130802 118.444578) - (xy 63.105189 118.382743) - (xy 63.088455 118.342342) - (xy 63.087117 118.340599) - (xy 63.0774 118.304331) - (xy 63.0774 117.482535) - (xy 63.094993 117.434197) - (xy 63.099426 117.42936) - (xy 63.165961 117.362826) - (xy 63.258516 117.270271) - (xy 63.261203 117.264998) - (xy 63.261205 117.264995) - (xy 63.270408 117.246933) - (xy 63.276573 117.236873) - (xy 63.284032 117.226606) - (xy 63.291961 117.215693) - (xy 63.293789 117.210067) - (xy 63.293791 117.210063) - (xy 63.300054 117.190787) - (xy 63.304569 117.179886) - (xy 63.313771 117.161826) - (xy 63.316457 117.156555) - (xy 63.318809 117.141708) - (xy 63.320553 117.130693) - (xy 63.323308 117.119217) - (xy 63.329571 117.099943) - (xy 63.329571 117.099941) - (xy 63.3314 117.094313) - (xy 63.3314 117.068125) - (xy 63.332326 117.056361) - (xy 63.335496 117.036347) - (xy 63.336422 117.0305) - (xy 63.332326 117.004638) - (xy 63.3314 116.992875) - (xy 63.3314 116.966687) - (xy 63.323308 116.941783) - (xy 63.320553 116.930307) - (xy 63.317383 116.91029) - (xy 63.317382 116.910288) - (xy 63.316457 116.904445) - (xy 63.304569 116.881114) - (xy 63.300054 116.870213) - (xy 63.293791 116.850937) - (xy 63.293789 116.850933) - (xy 63.291961 116.845307) - (xy 63.27657 116.824123) - (xy 63.270404 116.814062) - (xy 63.268621 116.810562) - (xy 63.258516 116.790729) - (xy 63.24 116.772213) - (xy 63.232336 116.763241) - (xy 63.220419 116.746839) - (xy 63.216944 116.742056) - (xy 63.195759 116.726664) - (xy 63.186787 116.719) - (xy 63.168271 116.700484) - (xy 63.144931 116.688592) - (xy 63.134876 116.68243) - (xy 63.119604 116.671334) - (xy 63.113693 116.667039) - (xy 63.108067 116.665211) - (xy 63.108063 116.665209) - (xy 63.088787 116.658946) - (xy 63.077886 116.654431) - (xy 63.059826 116.645229) - (xy 63.059827 116.645229) - (xy 63.054555 116.642543) - (xy 63.048712 116.641618) - (xy 63.04871 116.641617) - (xy 63.028693 116.638447) - (xy 63.017217 116.635692) - (xy 62.997943 116.629429) - (xy 62.997941 116.629429) - (xy 62.992313 116.6276) - (xy 62.966125 116.6276) - (xy 62.954361 116.626674) - (xy 62.934347 116.623504) - (xy 62.9285 116.622578) - (xy 62.922653 116.623504) - (xy 62.902639 116.626674) - (xy 62.890875 116.6276) - (xy 62.864687 116.6276) - (xy 62.859059 116.629429) - (xy 62.859057 116.629429) - (xy 62.839783 116.635692) - (xy 62.828307 116.638447) - (xy 62.80829 116.641617) - (xy 62.808288 116.641618) - (xy 62.802445 116.642543) - (xy 62.797173 116.645229) - (xy 62.797174 116.645229) - (xy 62.779114 116.654431) - (xy 62.768213 116.658946) - (xy 62.748937 116.665209) - (xy 62.748933 116.665211) - (xy 62.743307 116.667039) - (xy 62.727139 116.678786) - (xy 62.722127 116.682427) - (xy 62.712067 116.688592) - (xy 62.694008 116.697793) - (xy 62.694002 116.697797) - (xy 62.688729 116.700484) - (xy 62.666027 116.723186) - (xy 62.434731 116.954483) - (xy 62.434729 116.954484) - (xy 62.344484 117.044729) - (xy 62.332596 117.068062) - (xy 62.32643 117.078123) - (xy 62.311039 117.099307) - (xy 62.309211 117.104933) - (xy 62.309209 117.104937) - (xy 62.302946 117.124213) - (xy 62.298431 117.135114) - (xy 62.286543 117.158445) - (xy 62.285618 117.164288) - (xy 62.285617 117.16429) - (xy 62.282447 117.184307) - (xy 62.279692 117.195783) - (xy 62.2716 117.220687) - (xy 62.2716 118.152372) - (xy 62.254007 118.20071) - (xy 62.242182 118.21203) - (xy 62.22116 118.22816) - (xy 62.133545 118.342342) - (xy 62.13166 118.346893) - (xy 62.091198 118.444578) - (xy 62.056446 118.482503) - (xy 62.021722 118.491) - (xy 61.930278 118.491) - (xy 61.88194 118.473407) - (xy 61.860802 118.444578) - (xy 61.83578 118.38417) - (xy 61.833536 118.332779) - (xy 61.852082 118.302218) - (xy 62.005541 118.148759) - (xy 62.008397 118.146048) - (xy 62.011335 118.143403) - (xy 62.038494 118.118949) - (xy 62.044512 118.105434) - (xy 62.048497 118.096483) - (xy 62.054126 118.086116) - (xy 62.063211 118.072126) - (xy 62.063212 118.072125) - (xy 62.067516 118.065496) - (xy 62.068852 118.057062) - (xy 62.074429 118.038237) - (xy 62.074686 118.03766) - (xy 62.0779 118.030442) - (xy 62.0779 118.005853) - (xy 62.078826 117.994089) - (xy 62.081436 117.977613) - (xy 62.081436 117.977611) - (xy 62.082672 117.969806) - (xy 62.080462 117.961558) - (xy 62.0779 117.942095) - (xy 62.0779 115.407929) - (xy 62.078003 115.403992) - (xy 62.079709 115.371445) - (xy 62.080123 115.363552) - (xy 62.07131 115.340592) - (xy 62.06796 115.329284) - (xy 62.064491 115.312965) - (xy 62.062848 115.305234) - (xy 62.057829 115.298325) - (xy 62.048465 115.28108) - (xy 62.048236 115.280483) - (xy 62.048235 115.280482) - (xy 62.045403 115.273104) - (xy 62.028019 115.25572) - (xy 62.020355 115.246748) - (xy 62.010546 115.233247) - (xy 62.010545 115.233247) - (xy 62.005901 115.226854) - (xy 61.998507 115.222585) - (xy 61.982933 115.210634) - (xy 57.149926 110.377626) - (xy 57.128186 110.331006) - (xy 57.1279 110.324452) - (xy 57.1279 95.425547) - (xy 57.145493 95.377209) - (xy 57.149926 95.372373) - (xy 59.472374 93.049926) - (xy 59.518994 93.028186) - (xy 59.525548 93.0279) - (xy 78.704453 93.0279) - (xy 78.752791 93.045493) - (xy 78.757627 93.049926) - (xy 80.776074 95.068374) - (xy 80.797814 95.114994) - (xy 80.7981 95.121548) - (xy 80.7981 95.384965) - (xy 80.780507 95.433303) - (xy 80.776074 95.438139) - (xy 80.695984 95.518229) - (xy 80.693296 95.523505) - (xy 80.693295 95.523506) - (xy 80.687709 95.53447) - (xy 80.638043 95.631945) - (xy 80.618078 95.758) - (xy 80.638043 95.884055) - (xy 80.64073 95.889328) - (xy 80.690984 95.987957) - (xy 80.695984 95.997771) - (xy 80.786229 96.088016) - (xy 80.791505 96.090704) - (xy 80.791506 96.090705) - (xy 80.809896 96.100075) - (xy 80.899945 96.145957) - (xy 81.026 96.165922) - (xy 81.152055 96.145957) - (xy 81.242104 96.100075) - (xy 81.260494 96.090705) - (xy 81.260495 96.090704) - (xy 81.265771 96.088016) - (xy 81.356016 95.997771) - (xy 81.361017 95.987957) - (xy 81.41127 95.889328) - (xy 81.413957 95.884055) - (xy 81.433922 95.758) - (xy 81.413957 95.631945) - (xy 81.364291 95.53447) - (xy 81.358705 95.523506) - (xy 81.358704 95.523505) - (xy 81.356016 95.518229) - (xy 81.275926 95.438139) - (xy 81.254186 95.391519) - (xy 81.2539 95.384965) - (xy 81.2539 95.003936) - (xy 81.254003 95) - (xy 81.254867 94.983519) - (xy 81.256123 94.959552) - (xy 81.247311 94.936596) - (xy 81.243959 94.925281) - (xy 81.240491 94.908963) - (xy 81.240491 94.908962) - (xy 81.238848 94.901234) - (xy 81.233829 94.894325) - (xy 81.224465 94.87708) - (xy 81.224236 94.876483) - (xy 81.224235 94.876482) - (xy 81.221403 94.869104) - (xy 81.204019 94.85172) - (xy 81.196355 94.842748) - (xy 81.186546 94.829247) - (xy 81.186545 94.829247) - (xy 81.181901 94.822854) - (xy 81.174507 94.818585) - (xy 81.158933 94.806634) - (xy 78.996758 92.644458) - (xy 78.994047 92.641602) - (xy 78.972237 92.617379) - (xy 78.966949 92.611506) - (xy 78.944478 92.601501) - (xy 78.934121 92.595877) - (xy 78.920125 92.586788) - (xy 78.920124 92.586788) - (xy 78.913497 92.582484) - (xy 78.905061 92.581148) - (xy 78.886245 92.575574) - (xy 78.878442 92.5721) - (xy 78.853847 92.5721) - (xy 78.842084 92.571174) - (xy 78.825613 92.568565) - (xy 78.825611 92.568565) - (xy 78.817806 92.567329) - (xy 78.810174 92.569374) - (xy 78.810173 92.569374) - (xy 78.809562 92.569538) - (xy 78.790099 92.5721) - (xy 59.407936 92.5721) - (xy 59.404 92.571997) - (xy 59.403151 92.571952) - (xy 59.363552 92.569877) - (xy 59.340592 92.57869) - (xy 59.329285 92.58204) - (xy 59.305234 92.587152) - (xy 59.29884 92.591798) - (xy 59.298839 92.591798) - (xy 59.298326 92.592171) - (xy 59.28108 92.601535) - (xy 59.280483 92.601764) - (xy 59.280482 92.601765) - (xy 59.273104 92.604597) - (xy 59.25572 92.621981) - (xy 59.246748 92.629645) - (xy 59.242947 92.632407) - (xy 59.226854 92.644099) - (xy 59.222902 92.650944) - (xy 59.222585 92.651493) - (xy 59.210634 92.667067) - (xy 56.744458 95.133242) - (xy 56.741603 95.135952) - (xy 56.711506 95.163051) - (xy 56.701501 95.185522) - (xy 56.695877 95.195879) - (xy 56.693265 95.199902) - (xy 56.682484 95.216503) - (xy 56.681148 95.224939) - (xy 56.675574 95.243755) - (xy 56.6721 95.251558) - (xy 56.6721 95.276153) - (xy 56.671174 95.287916) - (xy 56.670217 95.293961) - (xy 56.667329 95.312194) - (xy 56.669374 95.319826) - (xy 56.669374 95.319827) - (xy 56.669538 95.320438) - (xy 56.6721 95.339901) - (xy 56.6721 110.442064) - (xy 56.671997 110.446) - (xy 56.669877 110.486448) - (xy 56.67869 110.509408) - (xy 56.68204 110.520715) - (xy 56.687152 110.544766) - (xy 56.691798 110.55116) - (xy 56.691798 110.551161) - (xy 56.692171 110.551674) - (xy 56.701535 110.56892) - (xy 56.704597 110.576896) - (xy 56.721981 110.59428) - (xy 56.729645 110.603252) - (xy 56.744099 110.623146) - (xy 56.750944 110.627098) - (xy 56.751493 110.627415) - (xy 56.767067 110.639366) - (xy 61.600074 115.472373) - (xy 61.621814 115.518993) - (xy 61.6221 115.525547) - (xy 61.6221 116.358712) - (xy 61.604507 116.40705) - (xy 61.559958 116.43277) - (xy 61.5093 116.423837) - (xy 61.476235 116.384432) - (xy 61.474708 116.379342) - (xy 61.474597 116.378616) - (xy 61.401136 116.153862) - (xy 61.325394 116.008362) - (xy 61.29338 115.946864) - (xy 61.293379 115.946862) - (xy 61.291954 115.944125) - (xy 61.20975 115.83464) - (xy 61.151835 115.757504) - (xy 61.151834 115.757502) - (xy 61.149981 115.755035) - (xy 61.115491 115.722075) - (xy 60.981261 115.593802) - (xy 60.98126 115.593801) - (xy 60.979033 115.591673) - (xy 60.950049 115.571901) - (xy 60.828684 115.489112) - (xy 60.783698 115.458425) - (xy 60.596316 115.371445) - (xy 60.572024 115.360169) - (xy 60.572022 115.360168) - (xy 60.569223 115.358869) - (xy 60.483407 115.33507) - (xy 60.344341 115.296503) - (xy 60.344335 115.296502) - (xy 60.341368 115.295679) - (xy 60.338302 115.295351) - (xy 60.3383 115.295351) - (xy 60.15032 115.275262) - (xy 60.150314 115.275262) - (xy 60.148333 115.27505) - (xy 60.011061 115.27505) - (xy 60.009519 115.275177) - (xy 60.009514 115.275177) - (xy 59.912336 115.283167) - (xy 59.835341 115.289497) - (xy 59.741911 115.312965) - (xy 59.609007 115.346348) - (xy 59.609005 115.346349) - (xy 59.60601 115.347101) - (xy 59.603182 115.348331) - (xy 59.603178 115.348332) - (xy 59.47065 115.405957) - (xy 59.389167 115.441387) - (xy 59.386574 115.443064) - (xy 59.386573 115.443065) - (xy 59.193228 115.568145) - (xy 59.193224 115.568148) - (xy 59.190635 115.569823) - (xy 59.015746 115.72896) - (xy 58.869196 115.914524) - (xy 58.867705 115.917225) - (xy 58.867703 115.917228) - (xy 58.777287 116.081017) - (xy 58.754922 116.121531) - (xy 58.675992 116.344423) - (xy 58.675452 116.347457) - (xy 58.675451 116.347459) - (xy 58.648095 116.501039) - (xy 58.634526 116.577213) - (xy 58.634488 116.580301) - (xy 58.634488 116.580304) - (xy 58.634188 116.604847) - (xy 58.631637 116.81365) - (xy 58.632103 116.816693) - (xy 58.632103 116.816698) - (xy 58.662515 117.015442) - (xy 58.667403 117.047384) - (xy 58.668361 117.050316) - (xy 58.668362 117.050319) - (xy 58.694353 117.129839) - (xy 58.740864 117.272138) - (xy 58.742293 117.274883) - (xy 58.838153 117.459028) - (xy 58.850046 117.481875) - (xy 58.851898 117.484342) - (xy 58.851899 117.484343) - (xy 58.98892 117.666837) - (xy 58.992019 117.670965) - (xy 58.994252 117.673099) - (xy 58.994253 117.6731) - (xy 59.072786 117.748148) - (xy 59.162967 117.834327) - (xy 59.165508 117.83606) - (xy 59.16551 117.836062) - (xy 59.2566 117.898199) - (xy 59.358302 117.967575) - (xy 59.406662 117.990023) - (xy 59.569255 118.065496) - (xy 59.572777 118.067131) - (xy 59.596504 118.073711) - (xy 59.797659 118.129497) - (xy 59.797665 118.129498) - (xy 59.800632 118.130321) - (xy 59.803698 118.130649) - (xy 59.8037 118.130649) - (xy 59.99168 118.150738) - (xy 59.991686 118.150738) - (xy 59.993667 118.15095) - (xy 60.130939 118.15095) - (xy 60.132481 118.150823) - (xy 60.132486 118.150823) - (xy 60.229664 118.142833) - (xy 60.306659 118.136503) - (xy 60.431078 118.105251) - (xy 60.532993 118.079652) - (xy 60.532995 118.079651) - (xy 60.53599 118.078899) - (xy 60.538818 118.077669) - (xy 60.538822 118.077668) - (xy 60.750002 117.985844) - (xy 60.752833 117.984613) - (xy 60.755427 117.982935) - (xy 60.948772 117.857855) - (xy 60.948776 117.857852) - (xy 60.951365 117.856177) - (xy 61.126254 117.69704) - (xy 61.272804 117.511476) - (xy 61.275683 117.506262) - (xy 61.385588 117.307168) - (xy 61.387078 117.304469) - (xy 61.466008 117.081577) - (xy 61.466988 117.076079) - (xy 61.472865 117.043082) - (xy 61.498663 116.998579) - (xy 61.547031 116.98107) - (xy 61.595338 116.998748) - (xy 61.62098 117.043341) - (xy 61.6221 117.05627) - (xy 61.6221 117.856452) - (xy 61.604507 117.90479) - (xy 61.600074 117.909626) - (xy 61.457372 118.052328) - (xy 61.410752 118.074068) - (xy 61.394382 118.073711) - (xy 61.345884 118.067326) - (xy 61.341 118.066683) - (xy 61.336116 118.067326) - (xy 61.203192 118.084826) - (xy 61.203191 118.084826) - (xy 61.198309 118.085469) - (xy 61.19376 118.087353) - (xy 61.193757 118.087354) - (xy 61.134906 118.111731) - (xy 61.065342 118.140545) - (xy 60.95116 118.22816) - (xy 60.863545 118.342342) - (xy 60.861659 118.346896) - (xy 60.814879 118.459834) - (xy 60.808469 118.475309) - (xy 60.807826 118.480191) - (xy 60.807826 118.480192) - (xy 60.799417 118.544066) - (xy 60.789683 118.618) - (xy 60.790326 118.622884) - (xy 60.808164 118.758376) - (xy 60.79703 118.808597) - (xy 60.75622 118.839911) - (xy 60.704829 118.837668) - (xy 60.673041 118.812765) - (xy 60.62443 118.746709) - (xy 60.622747 118.744422) - (xy 60.622745 118.74442) - (xy 60.620157 118.740903) - (xy 60.48762 118.628305) - (xy 60.398811 118.582957) - (xy 60.336623 118.551202) - (xy 60.336621 118.551201) - (xy 60.332735 118.549217) - (xy 60.16381 118.507881) - (xy 60.160469 118.507674) - (xy 60.160464 118.507673) - (xy 60.157183 118.50747) - (xy 60.152833 118.5072) - (xy 60.027453 118.5072) - (xy 60.025293 118.507452) - (xy 60.02529 118.507452) - (xy 59.982947 118.512389) - (xy 59.898261 118.522262) - (xy 59.894152 118.523754) - (xy 59.89415 118.523754) - (xy 59.738895 118.580109) - (xy 59.738893 118.58011) - (xy 59.734788 118.5816) - (xy 59.674183 118.621334) - (xy 59.594765 118.673403) - (xy 59.58935 118.676953) - (xy 59.586346 118.680124) - (xy 59.577024 118.689965) - (xy 59.469749 118.803207) - (xy 59.467555 118.806985) - (xy 59.467553 118.806987) - (xy 59.408648 118.9084) - (xy 59.382401 118.953588) - (xy 59.381133 118.957775) - (xy 59.381132 118.957777) - (xy 59.349756 119.061373) - (xy 59.33199 119.120031) - (xy 59.33172 119.124387) - (xy 59.331719 119.124391) - (xy 59.321787 119.284494) - (xy 59.321222 119.293607) - (xy 59.327124 119.327953) - (xy 59.349785 119.459834) - (xy 59.350673 119.465004) - (xy 59.352383 119.469022) - (xy 59.352383 119.469023) - (xy 59.385881 119.547748) - (xy 59.418765 119.625029) - (xy 59.468107 119.692078) - (xy 59.518403 119.760422) - (xy 59.521843 119.765097) - (xy 59.65438 119.877695) - (xy 59.69213 119.896971) - (xy 59.805377 119.954798) - (xy 59.805379 119.954799) - (xy 59.809265 119.956783) - (xy 59.97819 119.998119) - (xy 59.981531 119.998326) - (xy 59.981536 119.998327) - (xy 59.984817 119.99853) - (xy 59.989167 119.9988) - (xy 60.114547 119.9988) - (xy 60.116707 119.998548) - (xy 60.11671 119.998548) - (xy 60.159053 119.993611) - (xy 60.243739 119.983738) - (xy 60.247848 119.982246) - (xy 60.24785 119.982246) - (xy 60.403105 119.925891) - (xy 60.403107 119.92589) - (xy 60.407212 119.9244) - (xy 60.497041 119.865506) - (xy 60.548999 119.831441) - (xy 60.549001 119.83144) - (xy 60.55265 119.829047) - (xy 60.561972 119.819207) - (xy 60.582872 119.797144) - (xy 60.672251 119.702793) - (xy 60.672989 119.701523) - (xy 60.715207 119.673893) - (xy 60.766285 119.679981) - (xy 60.8015 119.717477) - (xy 60.80694 119.756921) - (xy 60.798992 119.817295) - (xy 60.789683 119.888) - (xy 60.790326 119.892884) - (xy 60.804296 119.998991) - (xy 60.808469 120.030691) - (xy 60.810353 120.03524) - (xy 60.810354 120.035243) - (xy 60.821147 120.0613) - (xy 60.863545 120.163658) - (xy 60.95116 120.27784) - (xy 60.955067 120.280838) - (xy 61.051947 120.355177) - (xy 61.065341 120.365455) - (xy 61.111306 120.384494) - (xy 61.193757 120.418646) - (xy 61.19376 120.418647) - (xy 61.198309 120.420531) - (xy 61.203191 120.421174) - (xy 61.203192 120.421174) - (xy 61.336116 120.438674) - (xy 61.341 120.439317) - (xy 61.345884 120.438674) - (xy 61.478808 120.421174) - (xy 61.478809 120.421174) - (xy 61.483691 120.420531) - (xy 61.48824 120.418647) - (xy 61.488243 120.418646) - (xy 61.570694 120.384494) - (xy 61.616659 120.365455) - (xy 61.630054 120.355177) - (xy 61.726933 120.280838) - (xy 61.73084 120.27784) - (xy 61.818455 120.163658) - (xy 61.860802 120.061422) - (xy 61.895554 120.023497) - (xy 61.930278 120.015) - (xy 62.021722 120.015) - (xy 62.07006 120.032593) - (xy 62.091198 120.061422) - (xy 62.133545 120.163658) - (xy 62.22116 120.27784) - (xy 62.225067 120.280838) - (xy 62.321947 120.355177) - (xy 62.335341 120.365455) - (xy 62.381306 120.384494) - (xy 62.463757 120.418646) - (xy 62.46376 120.418647) - (xy 62.468309 120.420531) - (xy 62.473191 120.421174) - (xy 62.473192 120.421174) - (xy 62.606116 120.438674) - (xy 62.611 120.439317) - (xy 62.615884 120.438674) - (xy 62.748808 120.421174) - (xy 62.748809 120.421174) - (xy 62.753691 120.420531) - (xy 62.75824 120.418647) - (xy 62.758243 120.418646) - (xy 62.840694 120.384494) - (xy 62.867116 120.37355) - (xy 62.918505 120.371307) - (xy 62.959315 120.402621) - (xy 62.970449 120.452842) - (xy 62.946211 120.49891) - (xy 62.930506 120.513051) - (xy 62.920501 120.535522) - (xy 62.914877 120.545879) - (xy 62.901484 120.566503) - (xy 62.900148 120.574939) - (xy 62.894574 120.593755) - (xy 62.8911 120.601558) - (xy 62.8911 120.626153) - (xy 62.890174 120.637916) - (xy 62.886329 120.662194) - (xy 62.888374 120.669826) - (xy 62.888374 120.669827) - (xy 62.888538 120.670438) - (xy 62.8911 120.689901) - (xy 62.8911 120.778453) - (xy 62.873507 120.826791) - (xy 62.869075 120.831626) - (xy 62.659751 121.040949) - (xy 62.455459 121.245241) - (xy 62.452604 121.247951) - (xy 62.422506 121.275051) - (xy 62.412501 121.297522) - (xy 62.406877 121.307879) - (xy 62.400581 121.317575) - (xy 62.393484 121.328503) - (xy 62.392148 121.336939) - (xy 62.386574 121.355755) - (xy 62.3831 121.363558) - (xy 62.3831 121.388153) - (xy 62.382174 121.399916) - (xy 62.378329 121.424194) - (xy 62.380374 121.431826) - (xy 62.380374 121.431827) - (xy 62.380538 121.432438) - (xy 62.3831 121.451901) - (xy 62.3831 122.613453) - (xy 62.365507 122.661791) - (xy 62.361074 122.666627) - (xy 60.527626 124.500074) - (xy 60.481006 124.521814) - (xy 60.474452 124.5221) - (xy 57.525547 124.5221) - (xy 57.477209 124.504507) - (xy 57.472373 124.500074) - (xy 56.149926 123.177626) - (xy 56.128186 123.131006) - (xy 56.1279 123.124452) - (xy 56.1279 121.89365) - (xy 58.631637 121.89365) - (xy 58.632103 121.896693) - (xy 58.632103 121.896698) - (xy 58.659222 122.073922) - (xy 58.667403 122.127384) - (xy 58.668361 122.130316) - (xy 58.668362 122.130319) - (xy 58.678579 122.161577) - (xy 58.740864 122.352138) - (xy 58.742293 122.354883) - (xy 58.79988 122.465506) - (xy 58.850046 122.561875) - (xy 58.851899 122.564343) - (xy 58.979742 122.734613) - (xy 58.992019 122.750965) - (xy 58.994252 122.753099) - (xy 58.994253 122.7531) - (xy 59.160739 122.912198) - (xy 59.162967 122.914327) - (xy 59.165508 122.91606) - (xy 59.16551 122.916062) - (xy 59.206409 122.943961) - (xy 59.358302 123.047575) - (xy 59.482777 123.105354) - (xy 59.538039 123.131006) - (xy 59.572777 123.147131) - (xy 59.603315 123.1556) - (xy 59.797659 123.209497) - (xy 59.797665 123.209498) - (xy 59.800632 123.210321) - (xy 59.803698 123.210649) - (xy 59.8037 123.210649) - (xy 59.99168 123.230738) - (xy 59.991686 123.230738) - (xy 59.993667 123.23095) - (xy 60.130939 123.23095) - (xy 60.132481 123.230823) - (xy 60.132486 123.230823) - (xy 60.229664 123.222833) - (xy 60.306659 123.216503) - (xy 60.424283 123.186958) - (xy 60.532993 123.159652) - (xy 60.532995 123.159651) - (xy 60.53599 123.158899) - (xy 60.538818 123.157669) - (xy 60.538822 123.157668) - (xy 60.750002 123.065844) - (xy 60.752833 123.064613) - (xy 60.766383 123.055847) - (xy 60.948772 122.937855) - (xy 60.948776 122.937852) - (xy 60.951365 122.936177) - (xy 61.126254 122.77704) - (xy 61.272804 122.591476) - (xy 61.285393 122.568672) - (xy 61.385588 122.387168) - (xy 61.387078 122.384469) - (xy 61.466008 122.161577) - (xy 61.472642 122.124333) - (xy 61.506933 121.931826) - (xy 61.506933 121.931822) - (xy 61.507474 121.928787) - (xy 61.510363 121.69235) - (xy 61.50546 121.660304) - (xy 61.475064 121.461668) - (xy 61.474597 121.458616) - (xy 61.464414 121.427459) - (xy 61.435884 121.340174) - (xy 61.401136 121.233862) - (xy 61.316234 121.070767) - (xy 61.29338 121.026864) - (xy 61.293379 121.026862) - (xy 61.291954 121.024125) - (xy 61.273186 120.999128) - (xy 61.151835 120.837504) - (xy 61.151834 120.837502) - (xy 61.149981 120.835035) - (xy 61.031661 120.721965) - (xy 60.981261 120.673802) - (xy 60.98126 120.673801) - (xy 60.979033 120.671673) - (xy 60.965138 120.662194) - (xy 60.836298 120.574306) - (xy 60.783698 120.538425) - (xy 60.64884 120.475826) - (xy 60.572024 120.440169) - (xy 60.572022 120.440168) - (xy 60.569223 120.438869) - (xy 60.496471 120.418693) - (xy 60.344341 120.376503) - (xy 60.344335 120.376502) - (xy 60.341368 120.375679) - (xy 60.338302 120.375351) - (xy 60.3383 120.375351) - (xy 60.15032 120.355262) - (xy 60.150314 120.355262) - (xy 60.148333 120.35505) - (xy 60.011061 120.35505) - (xy 60.009519 120.355177) - (xy 60.009514 120.355177) - (xy 59.920993 120.362455) - (xy 59.835341 120.369497) - (xy 59.772805 120.385205) - (xy 59.609007 120.426348) - (xy 59.609005 120.426349) - (xy 59.60601 120.427101) - (xy 59.603182 120.428331) - (xy 59.603178 120.428332) - (xy 59.422264 120.506996) - (xy 59.389167 120.521387) - (xy 59.386574 120.523064) - (xy 59.386573 120.523065) - (xy 59.193228 120.648145) - (xy 59.193224 120.648148) - (xy 59.190635 120.649823) - (xy 59.015746 120.80896) - (xy 58.869196 120.994524) - (xy 58.867705 120.997225) - (xy 58.867703 120.997228) - (xy 58.812872 121.096554) - (xy 58.754922 121.201531) - (xy 58.675992 121.424423) - (xy 58.675452 121.427457) - (xy 58.675451 121.427459) - (xy 58.655415 121.539945) - (xy 58.634526 121.657213) - (xy 58.634488 121.660301) - (xy 58.634488 121.660304) - (xy 58.634347 121.671847) - (xy 58.631637 121.89365) - (xy 56.1279 121.89365) - (xy 56.1279 94.375547) - (xy 56.145493 94.327209) - (xy 56.149926 94.322373) - (xy 58.622374 91.849926) - (xy 58.668994 91.828186) - (xy 58.675548 91.8279) - (xy 78.774453 91.8279) - (xy 78.822791 91.845493) - (xy 78.827627 91.849926) - (xy 81.665074 94.687374) - (xy 81.686814 94.733994) - (xy 81.6871 94.740548) - (xy 81.6871 96.267453) - (xy 81.669507 96.315791) - (xy 81.665074 96.320627) - (xy 80.489458 97.496242) - (xy 80.486603 97.498952) - (xy 80.456506 97.526051) - (xy 80.446501 97.548522) - (xy 80.440877 97.558879) - (xy 80.427484 97.579503) - (xy 80.426148 97.587939) - (xy 80.420574 97.606755) - (xy 80.4171 97.614558) - (xy 80.4171 97.639153) - (xy 80.416174 97.650916) - (xy 80.414182 97.663496) - (xy 80.412329 97.675194) - (xy 80.414374 97.682826) - (xy 80.414374 97.682827) - (xy 80.414538 97.683438) - (xy 80.4171 97.702901) - (xy 80.4171 103.252452) - (xy 80.399507 103.30079) - (xy 80.395074 103.305626) - (xy 79.937626 103.763074) - (xy 79.891006 103.784814) - (xy 79.884452 103.7851) - (xy 78.230547 103.7851) - (xy 78.182209 103.767507) - (xy 78.177373 103.763074) - (xy 77.639309 103.225009) - (xy 77.617569 103.178389) - (xy 77.618209 103.160071) - (xy 77.622996 103.129847) - (xy 77.623922 103.124) - (xy 77.603957 102.997945) - (xy 77.566252 102.923945) - (xy 77.548705 102.889506) - (xy 77.548704 102.889505) - (xy 77.546016 102.884229) - (xy 77.455771 102.793984) - (xy 77.43402 102.782901) - (xy 77.394622 102.762827) - (xy 77.342055 102.736043) - (xy 77.216 102.716078) - (xy 77.089945 102.736043) - (xy 77.037378 102.762827) - (xy 76.997981 102.782901) - (xy 76.976229 102.793984) - (xy 76.885984 102.884229) - (xy 76.883296 102.889505) - (xy 76.883295 102.889506) - (xy 76.865748 102.923945) - (xy 76.828043 102.997945) - (xy 76.808078 103.124) - (xy 76.828043 103.250055) - (xy 76.848279 103.289771) - (xy 76.879355 103.35076) - (xy 76.885984 103.363771) - (xy 76.976229 103.454016) - (xy 77.089945 103.511957) - (xy 77.216 103.531922) - (xy 77.221847 103.530996) - (xy 77.221848 103.530996) - (xy 77.252073 103.526209) - (xy 77.302567 103.536024) - (xy 77.31701 103.547309) - (xy 77.633756 103.864056) - (xy 77.938242 104.168542) - (xy 77.940952 104.171397) - (xy 77.968051 104.201494) - (xy 77.975268 104.204707) - (xy 77.975269 104.204708) - (xy 77.990517 104.211497) - (xy 78.000884 104.217126) - (xy 78.014874 104.226211) - (xy 78.014875 104.226212) - (xy 78.021504 104.230516) - (xy 78.029939 104.231852) - (xy 78.048752 104.237425) - (xy 78.056558 104.2409) - (xy 78.081153 104.2409) - (xy 78.092916 104.241826) - (xy 78.109387 104.244435) - (xy 78.109389 104.244435) - (xy 78.117194 104.245671) - (xy 78.124826 104.243626) - (xy 78.124827 104.243626) - (xy 78.125438 104.243462) - (xy 78.144901 104.2409) - (xy 80.002064 104.2409) - (xy 80.006 104.241003) - (xy 80.046448 104.243123) - (xy 80.069408 104.23431) - (xy 80.080715 104.23096) - (xy 80.104766 104.225848) - (xy 80.111675 104.220829) - (xy 80.12892 104.211465) - (xy 80.129517 104.211236) - (xy 80.129518 104.211235) - (xy 80.136896 104.208403) - (xy 80.15428 104.191019) - (xy 80.163252 104.183355) - (xy 80.176753 104.173546) - (xy 80.176753 104.173545) - (xy 80.183146 104.168901) - (xy 80.187415 104.161507) - (xy 80.199366 104.145933) - (xy 80.800532 103.544767) - (xy 80.803388 103.542056) - (xy 80.827619 103.520239) - (xy 80.827619 103.520238) - (xy 80.833494 103.514949) - (xy 80.843499 103.492477) - (xy 80.849129 103.482109) - (xy 80.85821 103.468127) - (xy 80.858211 103.468126) - (xy 80.862516 103.461496) - (xy 80.863852 103.453062) - (xy 80.869429 103.434237) - (xy 80.869686 103.43366) - (xy 80.8729 103.426442) - (xy 80.8729 103.401853) - (xy 80.873826 103.390089) - (xy 80.876436 103.373613) - (xy 80.876436 103.373611) - (xy 80.877672 103.365806) - (xy 80.875462 103.357558) - (xy 80.8729 103.338095) - (xy 80.8729 97.788547) - (xy 80.890493 97.740209) - (xy 80.894926 97.735373) - (xy 82.070532 96.559767) - (xy 82.073388 96.557056) - (xy 82.097622 96.535236) - (xy 82.103494 96.529949) - (xy 82.113496 96.507485) - (xy 82.119126 96.497116) - (xy 82.123789 96.489935) - (xy 82.132516 96.476497) - (xy 82.133852 96.468061) - (xy 82.139426 96.449245) - (xy 82.1429 96.441442) - (xy 82.1429 96.416847) - (xy 82.143826 96.405084) - (xy 82.146435 96.388613) - (xy 82.146435 96.388611) - (xy 82.147671 96.380806) - (xy 82.145462 96.372561) - (xy 82.1429 96.353099) - (xy 82.1429 94.622936) - (xy 82.143003 94.619) - (xy 82.144709 94.586445) - (xy 82.145123 94.578552) - (xy 82.13631 94.555592) - (xy 82.13296 94.544284) - (xy 82.129491 94.527965) - (xy 82.127848 94.520234) - (xy 82.122829 94.513325) - (xy 82.113465 94.49608) - (xy 82.113236 94.495483) - (xy 82.113235 94.495482) - (xy 82.110403 94.488104) - (xy 82.093019 94.47072) - (xy 82.085355 94.461748) - (xy 82.075546 94.448247) - (xy 82.075545 94.448247) - (xy 82.070901 94.441854) - (xy 82.063507 94.437585) - (xy 82.047933 94.425634) - (xy 79.066758 91.444458) - (xy 79.064047 91.441602) - (xy 79.042237 91.417379) - (xy 79.036949 91.411506) - (xy 79.014478 91.401501) - (xy 79.004121 91.395877) - (xy 78.990125 91.386788) - (xy 78.990124 91.386788) - (xy 78.983497 91.382484) - (xy 78.975061 91.381148) - (xy 78.956245 91.375574) - (xy 78.948442 91.3721) - (xy 78.923847 91.3721) - (xy 78.912084 91.371174) - (xy 78.895613 91.368565) - (xy 78.895611 91.368565) - (xy 78.887806 91.367329) - (xy 78.880174 91.369374) - (xy 78.880173 91.369374) - (xy 78.879562 91.369538) - (xy 78.860099 91.3721) - (xy 58.557936 91.3721) - (xy 58.554 91.371997) - (xy 58.553151 91.371952) - (xy 58.513552 91.369877) - (xy 58.490592 91.37869) - (xy 58.479285 91.38204) - (xy 58.455234 91.387152) - (xy 58.44884 91.391798) - (xy 58.448839 91.391798) - (xy 58.448326 91.392171) - (xy 58.43108 91.401535) - (xy 58.430483 91.401764) - (xy 58.430482 91.401765) - (xy 58.423104 91.404597) - (xy 58.40572 91.421981) - (xy 58.396747 91.429645) - (xy 58.376854 91.444099) - (xy 58.372902 91.450944) - (xy 58.372585 91.451493) - (xy 58.360634 91.467067) - (xy 55.744458 94.083242) - (xy 55.741603 94.085952) - (xy 55.711506 94.113051) - (xy 55.701501 94.135522) - (xy 55.695877 94.145879) - (xy 55.682484 94.166503) - (xy 55.681148 94.174939) - (xy 55.675574 94.193755) - (xy 55.6721 94.201558) - (xy 55.6721 94.226153) - (xy 55.671174 94.237916) - (xy 55.667329 94.262194) - (xy 55.669374 94.269826) - (xy 55.669374 94.269827) - (xy 55.669538 94.270438) - (xy 55.6721 94.289901) - (xy 55.6721 123.242064) - (xy 55.671997 123.246) - (xy 55.669877 123.286448) - (xy 55.67869 123.309408) - (xy 55.68204 123.320715) - (xy 55.687152 123.344766) - (xy 55.691798 123.35116) - (xy 55.691798 123.351161) - (xy 55.692171 123.351674) - (xy 55.701535 123.36892) - (xy 55.704597 123.376896) - (xy 55.721981 123.39428) - (xy 55.729645 123.403252) - (xy 55.744099 123.423146) - (xy 55.750944 123.427098) - (xy 55.751493 123.427415) - (xy 55.767067 123.439366) - (xy 57.233233 124.905532) - (xy 57.235943 124.908387) - (xy 57.263051 124.938494) - (xy 57.270268 124.941707) - (xy 57.270269 124.941708) - (xy 57.285515 124.948496) - (xy 57.295884 124.954126) - (xy 57.316503 124.967516) - (xy 57.324939 124.968852) - (xy 57.343755 124.974426) - (xy 57.351558 124.9779) - (xy 57.376153 124.9779) - (xy 57.387916 124.978826) - (xy 57.404387 124.981435) - (xy 57.404389 124.981435) - (xy 57.412194 124.982671) - (xy 57.419826 124.980626) - (xy 57.419827 124.980626) - (xy 57.420438 124.980462) - (xy 57.439901 124.9779) - (xy 60.592064 124.9779) - (xy 60.596 124.978003) - (xy 60.636448 124.980123) - (xy 60.659408 124.97131) - (xy 60.670715 124.96796) - (xy 60.694766 124.962848) - (xy 60.701675 124.957829) - (xy 60.71892 124.948465) - (xy 60.719517 124.948236) - (xy 60.719518 124.948235) - (xy 60.726896 124.945403) - (xy 60.74428 124.928019) - (xy 60.753252 124.920355) - (xy 60.766753 124.910546) - (xy 60.766753 124.910545) - (xy 60.773146 124.905901) - (xy 60.777415 124.898507) - (xy 60.789366 124.882933) - (xy 62.766532 122.905767) - (xy 62.769388 122.903056) - (xy 62.793622 122.881236) - (xy 62.799494 122.875949) - (xy 62.809496 122.853485) - (xy 62.815126 122.843116) - (xy 62.822832 122.831249) - (xy 62.828516 122.822497) - (xy 62.829852 122.814061) - (xy 62.835426 122.795245) - (xy 62.8389 122.787442) - (xy 62.8389 122.762847) - (xy 62.839826 122.751084) - (xy 62.842435 122.734613) - (xy 62.842435 122.734611) - (xy 62.843671 122.726806) - (xy 62.841462 122.718561) - (xy 62.8389 122.699099) - (xy 62.8389 121.537547) - (xy 62.856493 121.489209) - (xy 62.860926 121.484373) - (xy 63.274532 121.070767) - (xy 63.277388 121.068056) - (xy 63.301622 121.046236) - (xy 63.307494 121.040949) - (xy 63.317496 121.018485) - (xy 63.323126 121.008116) - (xy 63.328238 121.000244) - (xy 63.336516 120.987497) - (xy 63.337852 120.979061) - (xy 63.343426 120.960245) - (xy 63.3469 120.952442) - (xy 63.3469 120.927847) - (xy 63.347826 120.916084) - (xy 63.350435 120.899613) - (xy 63.350435 120.899611) - (xy 63.351671 120.891806) - (xy 63.349462 120.883561) - (xy 63.3469 120.864099) - (xy 63.3469 120.775547) - (xy 63.364493 120.727209) - (xy 63.368926 120.722373) - (xy 63.65502 120.436279) - (xy 63.70164 120.414539) - (xy 63.728642 120.418693) - (xy 63.728997 120.41737) - (xy 63.733757 120.418646) - (xy 63.738309 120.420531) - (xy 63.743191 120.421174) - (xy 63.743192 120.421174) - (xy 63.876116 120.438674) - (xy 63.881 120.439317) - (xy 63.885884 120.438674) - (xy 64.018808 120.421174) - (xy 64.018809 120.421174) - (xy 64.023691 120.420531) - (xy 64.02824 120.418647) - (xy 64.028243 120.418646) - (xy 64.110694 120.384494) - (xy 64.156659 120.365455) - (xy 64.170054 120.355177) - (xy 64.266933 120.280838) - (xy 64.27084 120.27784) - (xy 64.358455 120.163658) - (xy 64.400802 120.061422) - (xy 64.435554 120.023497) - (xy 64.470278 120.015) - (xy 64.562263 120.015) - (xy 64.610601 120.032593) - (xy 64.631739 120.061422) - (xy 64.665827 120.143718) - (xy 64.672904 120.151441) - (xy 64.678494 120.148374) - (xy 64.789842 120.037026) - (xy 64.836462 120.015286) - (xy 64.843016 120.015) - (xy 65.054584 120.015) - (xy 65.102922 120.032593) - (xy 65.128642 120.077142) - (xy 65.119709 120.1278) - (xy 65.107758 120.143374) - (xy 64.89241 120.358722) - (xy 64.887982 120.368219) - (xy 64.892951 120.372207) - (xy 65.003885 120.418158) - (xy 65.013327 120.420688) - (xy 65.015503 120.420974) - (xy 65.016677 120.421585) - (xy 65.018087 120.421963) - (xy 65.018003 120.422276) - (xy 65.061131 120.444726) - (xy 65.080817 120.49225) - (xy 65.06535 120.541309) - (xy 65.046536 120.55867) - (xy 64.908228 120.648145) - (xy 64.908224 120.648148) - (xy 64.905635 120.649823) - (xy 64.730746 120.80896) - (xy 64.584196 120.994524) - (xy 64.582705 120.997225) - (xy 64.582703 120.997228) - (xy 64.527872 121.096554) - (xy 64.469922 121.201531) - (xy 64.468893 121.204437) - (xy 64.443667 121.275673) - (xy 64.410947 121.315365) - (xy 64.360368 121.32474) - (xy 64.338643 121.317576) - (xy 64.261055 121.278043) - (xy 64.135 121.258078) - (xy 64.008945 121.278043) - (xy 63.970741 121.297509) - (xy 63.917297 121.32474) - (xy 63.895229 121.335984) - (xy 63.804984 121.426229) - (xy 63.802296 121.431505) - (xy 63.802295 121.431506) - (xy 63.788482 121.458616) - (xy 63.747043 121.539945) - (xy 63.727078 121.666) - (xy 63.747043 121.792055) - (xy 63.74973 121.797328) - (xy 63.797235 121.890562) - (xy 63.804984 121.905771) - (xy 63.895229 121.996016) - (xy 63.900505 121.998704) - (xy 63.900506 121.998705) - (xy 63.948344 122.023079) - (xy 64.008945 122.053957) - (xy 64.135 122.073922) - (xy 64.261055 122.053957) - (xy 64.266328 122.05127) - (xy 64.266332 122.051269) - (xy 64.270471 122.04916) - (xy 64.321527 122.042892) - (xy 64.364668 122.070908) - (xy 64.378945 122.104788) - (xy 64.382403 122.127384) - (xy 64.383361 122.130316) - (xy 64.383362 122.130319) - (xy 64.393579 122.161577) - (xy 64.455864 122.352138) - (xy 64.457293 122.354883) - (xy 64.51488 122.465506) - (xy 64.565046 122.561875) - (xy 64.566899 122.564343) - (xy 64.694742 122.734613) - (xy 64.707019 122.750965) - (xy 64.709252 122.753099) - (xy 64.709253 122.7531) - (xy 64.875739 122.912198) - (xy 64.877967 122.914327) - (xy 64.880508 122.91606) - (xy 64.88051 122.916062) - (xy 64.921409 122.943961) - (xy 65.073302 123.047575) - (xy 65.197777 123.105354) - (xy 65.253039 123.131006) - (xy 65.287777 123.147131) - (xy 65.318315 123.1556) - (xy 65.512659 123.209497) - (xy 65.512665 123.209498) - (xy 65.515632 123.210321) - (xy 65.518698 123.210649) - (xy 65.5187 123.210649) - (xy 65.70668 123.230738) - (xy 65.706686 123.230738) - (xy 65.708667 123.23095) - (xy 65.845939 123.23095) - (xy 65.847481 123.230823) - (xy 65.847486 123.230823) - (xy 65.944664 123.222833) - (xy 66.021659 123.216503) - (xy 66.139283 123.186958) - (xy 66.247993 123.159652) - (xy 66.247995 123.159651) - (xy 66.25099 123.158899) - (xy 66.253818 123.157669) - (xy 66.253822 123.157668) - (xy 66.465002 123.065844) - (xy 66.467833 123.064613) - (xy 66.481383 123.055847) - (xy 66.663772 122.937855) - (xy 66.663776 122.937852) - (xy 66.666365 122.936177) - (xy 66.841254 122.77704) - (xy 66.987804 122.591476) - (xy 67.000393 122.568672) - (xy 67.100588 122.387168) - (xy 67.102078 122.384469) - (xy 67.181008 122.161577) - (xy 67.186865 122.128696) - (xy 67.212663 122.084193) - (xy 67.261031 122.066684) - (xy 67.309338 122.084362) - (xy 67.33498 122.128955) - (xy 67.3361 122.141884) - (xy 67.3361 124.760452) - (xy 67.318507 124.80879) - (xy 67.314074 124.813626) - (xy 66.177626 125.950074) - (xy 66.131006 125.971814) - (xy 66.124452 125.9721) - (xy 57.175547 125.9721) - (xy 57.127209 125.954507) - (xy 57.122373 125.950074) - (xy 54.849926 123.677626) - (xy 54.828186 123.631006) - (xy 54.8279 123.624452) - (xy 54.8279 94.025547) - (xy 54.845493 93.977209) - (xy 54.849926 93.972373) - (xy 58.272374 90.549926) - (xy 58.318994 90.528186) - (xy 58.325548 90.5279) - (xy 78.617453 90.5279) - (xy 78.665791 90.545493) - (xy 78.670627 90.549926) - (xy 82.427074 94.306373) - (xy 82.448814 94.352993) - (xy 82.4491 94.359547) - (xy 82.4491 96.775453) - (xy 82.431507 96.823791) - (xy 82.427074 96.828627) - (xy 81.251458 98.004242) - (xy 81.248614 98.006942) - (xy 81.218506 98.034051) - (xy 81.208501 98.056522) - (xy 81.202877 98.066879) - (xy 81.194586 98.079647) - (xy 81.189484 98.087503) - (xy 81.188148 98.095939) - (xy 81.182574 98.114755) - (xy 81.1791 98.122558) - (xy 81.1791 98.147153) - (xy 81.178174 98.158916) - (xy 81.174329 98.183194) - (xy 81.176374 98.190826) - (xy 81.176374 98.190827) - (xy 81.176538 98.191438) - (xy 81.1791 98.210901) - (xy 81.1791 103.506453) - (xy 81.161507 103.554791) - (xy 81.157075 103.559626) - (xy 80.525681 104.191019) - (xy 79.894458 104.822242) - (xy 79.891603 104.824952) - (xy 79.861506 104.852051) - (xy 79.851501 104.874522) - (xy 79.845877 104.884879) - (xy 79.832484 104.905503) - (xy 79.831148 104.913939) - (xy 79.825574 104.932755) - (xy 79.8221 104.940558) - (xy 79.8221 104.965153) - (xy 79.821174 104.976916) - (xy 79.820719 104.979792) - (xy 79.817329 105.001194) - (xy 79.819374 105.008826) - (xy 79.819374 105.008827) - (xy 79.819538 105.009438) - (xy 79.8221 105.028901) - (xy 79.8221 107.276965) - (xy 79.804507 107.325303) - (xy 79.800074 107.330139) - (xy 79.719984 107.410229) - (xy 79.717296 107.415505) - (xy 79.717295 107.415506) - (xy 79.71621 107.417636) - (xy 79.662043 107.523945) - (xy 79.661117 107.529792) - (xy 79.647923 107.613099) - (xy 79.642078 107.65) - (xy 79.643004 107.655847) - (xy 79.648481 107.690426) - (xy 79.662043 107.776055) - (xy 79.719984 107.889771) - (xy 79.810229 107.980016) - (xy 79.815505 107.982704) - (xy 79.815506 107.982705) - (xy 79.833902 107.992078) - (xy 79.923945 108.037957) - (xy 79.929792 108.038883) - (xy 80.038951 108.056172) - (xy 80.05 108.057922) - (xy 80.06105 108.056172) - (xy 80.170208 108.038883) - (xy 80.176055 108.037957) - (xy 80.266098 107.992078) - (xy 80.284494 107.982705) - (xy 80.284495 107.982704) - (xy 80.289771 107.980016) - (xy 80.380016 107.889771) - (xy 80.437957 107.776055) - (xy 80.451519 107.690426) - (xy 80.456996 107.655847) - (xy 80.457922 107.65) - (xy 80.452078 107.613099) - (xy 80.438883 107.529792) - (xy 80.437957 107.523945) - (xy 80.38379 107.417636) - (xy 80.382705 107.415506) - (xy 80.382704 107.415505) - (xy 80.380016 107.410229) - (xy 80.299926 107.330139) - (xy 80.278186 107.283519) - (xy 80.2779 107.276965) - (xy 80.2779 105.6005) - (xy 80.618078 105.6005) - (xy 80.638043 105.726555) - (xy 80.695984 105.840271) - (xy 80.786229 105.930516) - (xy 80.791505 105.933204) - (xy 80.791506 105.933205) - (xy 80.839344 105.957579) - (xy 80.899945 105.988457) - (xy 80.905792 105.989383) - (xy 81.01223 106.006241) - (xy 81.026 106.008422) - (xy 81.039771 106.006241) - (xy 81.146208 105.989383) - (xy 81.152055 105.988457) - (xy 81.212656 105.957579) - (xy 81.260494 105.933205) - (xy 81.260495 105.933204) - (xy 81.265771 105.930516) - (xy 81.356016 105.840271) - (xy 81.413957 105.726555) - (xy 81.433922 105.6005) - (xy 81.413957 105.474445) - (xy 81.356016 105.360729) - (xy 81.265771 105.270484) - (xy 81.258942 105.267004) - (xy 81.175706 105.224594) - (xy 81.152055 105.212543) - (xy 81.026 105.192578) - (xy 80.899945 105.212543) - (xy 80.876294 105.224594) - (xy 80.793059 105.267004) - (xy 80.786229 105.270484) - (xy 80.695984 105.360729) - (xy 80.638043 105.474445) - (xy 80.618078 105.6005) - (xy 80.2779 105.6005) - (xy 80.2779 105.114547) - (xy 80.283194 105.1) - (xy 82.392078 105.1) - (xy 82.412043 105.226055) - (xy 82.469984 105.339771) - (xy 82.560229 105.430016) - (xy 82.565505 105.432704) - (xy 82.565506 105.432705) - (xy 82.592549 105.446484) - (xy 82.673945 105.487957) - (xy 82.8 105.507922) - (xy 82.926055 105.487957) - (xy 83.007451 105.446484) - (xy 83.034494 105.432705) - (xy 83.034495 105.432704) - (xy 83.039771 105.430016) - (xy 83.130016 105.339771) - (xy 83.187957 105.226055) - (xy 83.207922 105.1) - (xy 83.187957 104.973945) - (xy 83.135218 104.870438) - (xy 83.132705 104.865506) - (xy 83.132704 104.865505) - (xy 83.130016 104.860229) - (xy 83.039771 104.769984) - (xy 83.03294 104.766503) - (xy 82.986656 104.742921) - (xy 82.926055 104.712043) - (xy 82.8 104.692078) - (xy 82.673945 104.712043) - (xy 82.613344 104.742921) - (xy 82.567061 104.766503) - (xy 82.560229 104.769984) - (xy 82.469984 104.860229) - (xy 82.467296 104.865505) - (xy 82.467295 104.865506) - (xy 82.464782 104.870438) - (xy 82.412043 104.973945) - (xy 82.392078 105.1) - (xy 80.283194 105.1) - (xy 80.295493 105.066209) - (xy 80.299926 105.061373) - (xy 80.927399 104.433901) - (xy 81.0613 104.3) - (xy 81.542078 104.3) - (xy 81.543004 104.305847) - (xy 81.546626 104.328713) - (xy 81.562043 104.426055) - (xy 81.619984 104.539771) - (xy 81.710229 104.630016) - (xy 81.715505 104.632704) - (xy 81.715506 104.632705) - (xy 81.738457 104.644399) - (xy 81.823945 104.687957) - (xy 81.95 104.707922) - (xy 82.076055 104.687957) - (xy 82.161543 104.644399) - (xy 82.184494 104.632705) - (xy 82.184495 104.632704) - (xy 82.189771 104.630016) - (xy 82.280016 104.539771) - (xy 82.337957 104.426055) - (xy 82.353374 104.328713) - (xy 82.356996 104.305847) - (xy 82.357922 104.3) - (xy 82.356323 104.289901) - (xy 82.347128 104.23185) - (xy 82.337957 104.173945) - (xy 82.280016 104.060229) - (xy 82.189771 103.969984) - (xy 82.157041 103.953307) - (xy 82.136656 103.942921) - (xy 82.076055 103.912043) - (xy 81.95 103.892078) - (xy 81.823945 103.912043) - (xy 81.763344 103.942921) - (xy 81.74296 103.953307) - (xy 81.710229 103.969984) - (xy 81.619984 104.060229) - (xy 81.562043 104.173945) - (xy 81.552872 104.23185) - (xy 81.543678 104.289901) - (xy 81.542078 104.3) - (xy 81.0613 104.3) - (xy 81.562547 103.798753) - (xy 81.565398 103.796047) - (xy 81.589622 103.774236) - (xy 81.595494 103.768949) - (xy 81.605497 103.746483) - (xy 81.611126 103.736116) - (xy 81.620211 103.722126) - (xy 81.620212 103.722125) - (xy 81.624516 103.715496) - (xy 81.625852 103.707062) - (xy 81.631429 103.688237) - (xy 81.631686 103.68766) - (xy 81.6349 103.680442) - (xy 81.6349 103.655853) - (xy 81.635826 103.644089) - (xy 81.638436 103.627613) - (xy 81.638436 103.627611) - (xy 81.639672 103.619806) - (xy 81.637462 103.611558) - (xy 81.6349 103.592095) - (xy 81.6349 102.45) - (xy 83.842078 102.45) - (xy 83.862043 102.576055) - (xy 83.891526 102.633919) - (xy 83.908857 102.667932) - (xy 83.919984 102.689771) - (xy 84.010229 102.780016) - (xy 84.123945 102.837957) - (xy 84.25 102.857922) - (xy 84.376055 102.837957) - (xy 84.489771 102.780016) - (xy 84.580016 102.689771) - (xy 84.591144 102.667932) - (xy 84.608474 102.633919) - (xy 84.637957 102.576055) - (xy 84.657922 102.45) - (xy 84.637957 102.323945) - (xy 84.580016 102.210229) - (xy 84.489771 102.119984) - (xy 84.48324 102.116656) - (xy 84.424041 102.086493) - (xy 84.376055 102.062043) - (xy 84.277958 102.046506) - (xy 84.255847 102.043004) - (xy 84.25 102.042078) - (xy 84.244153 102.043004) - (xy 84.222042 102.046506) - (xy 84.123945 102.062043) - (xy 84.075959 102.086493) - (xy 84.016761 102.116656) - (xy 84.010229 102.119984) - (xy 83.919984 102.210229) - (xy 83.862043 102.323945) - (xy 83.842078 102.45) - (xy 81.6349 102.45) - (xy 81.6349 101.5) - (xy 83.092078 101.5) - (xy 83.112043 101.626055) - (xy 83.132144 101.665506) - (xy 83.166384 101.732705) - (xy 83.169984 101.739771) - (xy 83.260229 101.830016) - (xy 83.373945 101.887957) - (xy 83.379792 101.888883) - (xy 83.486899 101.905847) - (xy 83.5 101.907922) - (xy 83.513102 101.905847) - (xy 83.620208 101.888883) - (xy 83.626055 101.887957) - (xy 83.739771 101.830016) - (xy 83.830016 101.739771) - (xy 83.833617 101.732705) - (xy 83.867856 101.665506) - (xy 83.887957 101.626055) - (xy 83.907922 101.5) - (xy 83.892084 101.4) - (xy 84.842078 101.4) - (xy 84.862043 101.526055) - (xy 84.86473 101.531328) - (xy 84.908404 101.617043) - (xy 84.919984 101.639771) - (xy 85.010229 101.730016) - (xy 85.015505 101.732704) - (xy 85.015506 101.732705) - (xy 85.063344 101.757079) - (xy 85.123945 101.787957) - (xy 85.25 101.807922) - (xy 85.376055 101.787957) - (xy 85.436656 101.757079) - (xy 85.484494 101.732705) - (xy 85.484495 101.732704) - (xy 85.489771 101.730016) - (xy 85.580016 101.639771) - (xy 85.591597 101.617043) - (xy 85.63527 101.531328) - (xy 85.637957 101.526055) - (xy 85.657922 101.4) - (xy 85.637957 101.273945) - (xy 85.607079 101.213344) - (xy 85.582705 101.165506) - (xy 85.582704 101.165505) - (xy 85.580016 101.160229) - (xy 85.489771 101.069984) - (xy 85.376055 101.012043) - (xy 85.25 100.992078) - (xy 85.123945 101.012043) - (xy 85.010229 101.069984) - (xy 84.919984 101.160229) - (xy 84.917296 101.165505) - (xy 84.917295 101.165506) - (xy 84.892921 101.213344) - (xy 84.862043 101.273945) - (xy 84.842078 101.4) - (xy 83.892084 101.4) - (xy 83.887957 101.373945) - (xy 83.855886 101.311001) - (xy 83.832705 101.265506) - (xy 83.832704 101.265505) - (xy 83.830016 101.260229) - (xy 83.739771 101.169984) - (xy 83.730983 101.165506) - (xy 83.654695 101.126636) - (xy 83.626055 101.112043) - (xy 83.5 101.092078) - (xy 83.373945 101.112043) - (xy 83.345305 101.126636) - (xy 83.269018 101.165506) - (xy 83.260229 101.169984) - (xy 83.169984 101.260229) - (xy 83.167296 101.265505) - (xy 83.167295 101.265506) - (xy 83.144114 101.311001) - (xy 83.112043 101.373945) - (xy 83.092078 101.5) - (xy 81.6349 101.5) - (xy 81.6349 99.45) - (xy 82.542078 99.45) - (xy 82.562043 99.576055) - (xy 82.58852 99.628019) - (xy 82.616384 99.682705) - (xy 82.619984 99.689771) - (xy 82.710229 99.780016) - (xy 82.823945 99.837957) - (xy 82.95 99.857922) - (xy 83.076055 99.837957) - (xy 83.189771 99.780016) - (xy 83.269861 99.699926) - (xy 83.316481 99.678186) - (xy 83.323035 99.6779) - (xy 83.892064 99.6779) - (xy 83.896 99.678003) - (xy 83.936448 99.680123) - (xy 83.959408 99.67131) - (xy 83.970715 99.66796) - (xy 83.994766 99.662848) - (xy 84.001675 99.657829) - (xy 84.01892 99.648465) - (xy 84.019517 99.648236) - (xy 84.019518 99.648235) - (xy 84.026896 99.645403) - (xy 84.04428 99.628019) - (xy 84.053252 99.620355) - (xy 84.066753 99.610546) - (xy 84.066753 99.610545) - (xy 84.073146 99.605901) - (xy 84.077415 99.598507) - (xy 84.089366 99.582933) - (xy 84.322299 99.35) - (xy 84.842078 99.35) - (xy 84.862043 99.476055) - (xy 84.868579 99.488883) - (xy 84.913302 99.576656) - (xy 84.919984 99.589771) - (xy 85.010229 99.680016) - (xy 85.015505 99.682704) - (xy 85.015506 99.682705) - (xy 85.049305 99.699926) - (xy 85.123945 99.737957) - (xy 85.25 99.757922) - (xy 85.376055 99.737957) - (xy 85.450695 99.699926) - (xy 85.484494 99.682705) - (xy 85.484495 99.682704) - (xy 85.489771 99.680016) - (xy 85.580016 99.589771) - (xy 85.586699 99.576656) - (xy 85.631421 99.488883) - (xy 85.637957 99.476055) - (xy 85.657922 99.35) - (xy 85.637957 99.223945) - (xy 85.59009 99.13) - (xy 85.582705 99.115506) - (xy 85.582704 99.115505) - (xy 85.580016 99.110229) - (xy 85.489771 99.019984) - (xy 85.48294 99.016503) - (xy 85.436656 98.992921) - (xy 85.376055 98.962043) - (xy 85.25 98.942078) - (xy 85.123945 98.962043) - (xy 85.063344 98.992921) - (xy 85.017061 99.016503) - (xy 85.010229 99.019984) - (xy 84.919984 99.110229) - (xy 84.917296 99.115505) - (xy 84.917295 99.115506) - (xy 84.90991 99.13) - (xy 84.862043 99.223945) - (xy 84.842078 99.35) - (xy 84.322299 99.35) - (xy 86.022374 97.649926) - (xy 86.068994 97.628186) - (xy 86.075548 97.6279) - (xy 88.076965 97.6279) - (xy 88.125303 97.645493) - (xy 88.130139 97.649926) - (xy 88.210229 97.730016) - (xy 88.215505 97.732704) - (xy 88.215506 97.732705) - (xy 88.230234 97.740209) - (xy 88.323945 97.787957) - (xy 88.45 97.807922) - (xy 88.576055 97.787957) - (xy 88.669766 97.740209) - (xy 88.684494 97.732705) - (xy 88.684495 97.732704) - (xy 88.689771 97.730016) - (xy 88.780016 97.639771) - (xy 88.788837 97.62246) - (xy 88.821232 97.558879) - (xy 88.837957 97.526055) - (xy 88.857922 97.4) - (xy 88.837957 97.273945) - (xy 88.789421 97.178688) - (xy 88.782705 97.165506) - (xy 88.782704 97.165505) - (xy 88.780016 97.160229) - (xy 88.689771 97.069984) - (xy 88.682673 97.066367) - (xy 88.636656 97.042921) - (xy 88.576055 97.012043) - (xy 88.45 96.992078) - (xy 88.323945 97.012043) - (xy 88.263344 97.042921) - (xy 88.217328 97.066367) - (xy 88.210229 97.069984) - (xy 88.130139 97.150074) - (xy 88.083519 97.171814) - (xy 88.076965 97.1721) - (xy 85.957936 97.1721) - (xy 85.954 97.171997) - (xy 85.950508 97.171814) - (xy 85.913552 97.169877) - (xy 85.890592 97.17869) - (xy 85.879285 97.18204) - (xy 85.855234 97.187152) - (xy 85.84884 97.191798) - (xy 85.848839 97.191798) - (xy 85.848326 97.192171) - (xy 85.83108 97.201535) - (xy 85.830483 97.201764) - (xy 85.830482 97.201765) - (xy 85.823104 97.204597) - (xy 85.80572 97.221981) - (xy 85.796747 97.229645) - (xy 85.776854 97.244099) - (xy 85.772902 97.250944) - (xy 85.772585 97.251493) - (xy 85.760634 97.267067) - (xy 83.827626 99.200074) - (xy 83.781006 99.221814) - (xy 83.774452 99.2221) - (xy 83.323035 99.2221) - (xy 83.274697 99.204507) - (xy 83.269861 99.200074) - (xy 83.189771 99.119984) - (xy 83.180983 99.115506) - (xy 83.109892 99.079284) - (xy 83.076055 99.062043) - (xy 82.964288 99.044341) - (xy 82.955847 99.043004) - (xy 82.95 99.042078) - (xy 82.944153 99.043004) - (xy 82.935712 99.044341) - (xy 82.823945 99.062043) - (xy 82.790108 99.079284) - (xy 82.719018 99.115506) - (xy 82.710229 99.119984) - (xy 82.619984 99.210229) - (xy 82.617296 99.215505) - (xy 82.617295 99.215506) - (xy 82.592921 99.263344) - (xy 82.562043 99.323945) - (xy 82.542078 99.45) - (xy 81.6349 99.45) - (xy 81.6349 98.6) - (xy 83.092078 98.6) - (xy 83.093004 98.605847) - (xy 83.097069 98.631511) - (xy 83.112043 98.726055) - (xy 83.169984 98.839771) - (xy 83.260229 98.930016) - (xy 83.265505 98.932704) - (xy 83.265506 98.932705) - (xy 83.283902 98.942078) - (xy 83.373945 98.987957) - (xy 83.423963 98.995879) - (xy 83.459649 99.001531) - (xy 83.5 99.007922) - (xy 83.540352 99.001531) - (xy 83.576037 98.995879) - (xy 83.626055 98.987957) - (xy 83.716098 98.942078) - (xy 83.734494 98.932705) - (xy 83.734495 98.932704) - (xy 83.739771 98.930016) - (xy 83.830016 98.839771) - (xy 83.887957 98.726055) - (xy 83.902931 98.631511) - (xy 83.906996 98.605847) - (xy 83.907922 98.6) - (xy 83.887957 98.473945) - (xy 83.830016 98.360229) - (xy 83.739771 98.269984) - (xy 83.730989 98.265509) - (xy 83.660226 98.229454) - (xy 83.626055 98.212043) - (xy 83.5 98.192078) - (xy 83.373945 98.212043) - (xy 83.339774 98.229454) - (xy 83.269012 98.265509) - (xy 83.260229 98.269984) - (xy 83.169984 98.360229) - (xy 83.112043 98.473945) - (xy 83.092078 98.6) - (xy 81.6349 98.6) - (xy 81.6349 98.296547) - (xy 81.652493 98.248209) - (xy 81.656926 98.243373) - (xy 82.832532 97.067767) - (xy 82.835388 97.065056) - (xy 82.859622 97.043236) - (xy 82.865494 97.037949) - (xy 82.871569 97.024306) - (xy 82.875496 97.015485) - (xy 82.881126 97.005116) - (xy 82.881469 97.004588) - (xy 82.894516 96.984497) - (xy 82.895852 96.976061) - (xy 82.901426 96.957245) - (xy 82.9049 96.949442) - (xy 82.9049 96.924847) - (xy 82.905826 96.913084) - (xy 82.908435 96.896613) - (xy 82.908435 96.896611) - (xy 82.909671 96.888806) - (xy 82.907462 96.880561) - (xy 82.9049 96.861099) - (xy 82.9049 95.6) - (xy 88.592078 95.6) - (xy 88.612043 95.726055) - (xy 88.618579 95.738883) - (xy 88.665982 95.831916) - (xy 88.669984 95.839771) - (xy 88.760229 95.930016) - (xy 88.873945 95.987957) - (xy 88.879792 95.988883) - (xy 88.988364 96.006079) - (xy 89 96.007922) - (xy 89.011637 96.006079) - (xy 89.120208 95.988883) - (xy 89.126055 95.987957) - (xy 89.239771 95.930016) - (xy 89.330016 95.839771) - (xy 89.334019 95.831916) - (xy 89.381421 95.738883) - (xy 89.387957 95.726055) - (xy 89.407922 95.6) - (xy 89.402209 95.563927) - (xy 89.412024 95.513433) - (xy 89.423309 95.49899) - (xy 89.528177 95.394122) - (xy 89.574797 95.372382) - (xy 89.624484 95.385696) - (xy 89.653989 95.427833) - (xy 89.655625 95.435533) - (xy 89.662043 95.476055) - (xy 89.719984 95.589771) - (xy 89.810229 95.680016) - (xy 89.923945 95.737957) - (xy 89.986973 95.74794) - (xy 90.013576 95.752153) - (xy 90.05 95.757922) - (xy 90.086425 95.752153) - (xy 90.113027 95.74794) - (xy 90.176055 95.737957) - (xy 90.289771 95.680016) - (xy 90.369787 95.6) - (xy 95.35 95.6) - (xy 96.577974 96.827974) - (xy 96.599714 96.874594) - (xy 96.6 96.881148) - (xy 96.6 97.1) - (xy 97 97.5) - (xy 97.45 97.5) - (xy 97.627974 97.322026) - (xy 97.674594 97.300286) - (xy 97.681148 97.3) - (xy 99.318852 97.3) - (xy 99.36719 97.317593) - (xy 99.372026 97.322026) - (xy 99.627974 97.577974) - (xy 99.649714 97.624594) - (xy 99.65 97.631148) - (xy 99.65 98.568852) - (xy 99.632407 98.61719) - (xy 99.627974 98.622026) - (xy 98.7 99.55) - (xy 98.7 104.4) - (xy 100.05 105.75) - (xy 102.118852 105.75) - (xy 102.16719 105.767593) - (xy 102.172026 105.772026) - (xy 103.15 106.75) - (xy 104.75 106.75) - (xy 106.777974 104.722026) - (xy 106.824594 104.700286) - (xy 106.831148 104.7) - (xy 107.568852 104.7) - (xy 107.61719 104.717593) - (xy 107.622026 104.722026) - (xy 108.077974 105.177974) - (xy 108.099714 105.224594) - (xy 108.1 105.231148) - (xy 108.1 107.977851) - (xy 108.082407 108.026189) - (xy 108.036564 108.052125) - (xy 107.999964 108.057922) - (xy 107.973945 108.062043) - (xy 107.934642 108.082069) - (xy 107.875518 108.112194) - (xy 107.860229 108.119984) - (xy 107.769984 108.210229) - (xy 107.767296 108.215505) - (xy 107.767295 108.215506) - (xy 107.753756 108.242078) - (xy 107.712043 108.323945) - (xy 107.692078 108.45) - (xy 107.712043 108.576055) - (xy 107.71473 108.581328) - (xy 107.759531 108.669255) - (xy 107.769984 108.689771) - (xy 107.860229 108.780016) - (xy 107.865505 108.782704) - (xy 107.865506 108.782705) - (xy 107.889207 108.794781) - (xy 107.973945 108.837957) - (xy 107.979792 108.838883) - (xy 108.036564 108.847875) - (xy 108.081554 108.872813) - (xy 108.1 108.922149) - (xy 108.1 112.2) - (xy 109.35 113.45) - (xy 122.773801 113.45) - (xy 122.822139 113.467593) - (xy 122.848075 113.513436) - (xy 122.849301 113.521174) - (xy 122.858191 113.577306) - (xy 122.916708 113.692151) - (xy 123.007849 113.783292) - (xy 123.122694 113.841809) - (xy 123.25 113.861972) - (xy 123.377306 113.841809) - (xy 123.492151 113.783292) - (xy 123.583292 113.692151) - (xy 123.641809 113.577306) - (xy 123.650699 113.521174) - (xy 123.651925 113.513436) - (xy 123.676863 113.468446) - (xy 123.726199 113.45) - (xy 125.5 113.45) - (xy 125.5 112.330068) - (xy 125.517593 112.28173) - (xy 125.563436 112.255794) - (xy 125.625961 112.245891) - (xy 125.676055 112.237957) - (xy 125.75055 112.2) - (xy 125.784494 112.182705) - (xy 125.784495 112.182704) - (xy 125.789771 112.180016) - (xy 125.880016 112.089771) - (xy 125.928605 111.99441) - (xy 126.892477 111.99441) - (xy 126.893171 111.999717) - (xy 126.893171 111.99972) - (xy 126.9042 112.084058) - (xy 126.909298 112.123046) - (xy 126.911457 112.127952) - (xy 126.911457 112.127953) - (xy 126.946497 112.207587) - (xy 126.961547 112.241791) - (xy 127.045023 112.341098) - (xy 127.153017 112.412984) - (xy 127.276845 112.451671) - (xy 127.343716 112.452896) - (xy 127.401193 112.45395) - (xy 127.401195 112.45395) - (xy 127.406555 112.454048) - (xy 127.411726 112.452638) - (xy 127.411728 112.452638) - (xy 127.510073 112.425826) - (xy 127.531718 112.419925) - (xy 127.642273 112.352044) - (xy 127.645865 112.348076) - (xy 127.645867 112.348074) - (xy 127.693542 112.295403) - (xy 127.729332 112.255863) - (xy 127.785897 112.139112) - (xy 127.80742 112.011179) - (xy 127.807557 112) - (xy 127.807145 111.997122) - (xy 127.789925 111.876876) - (xy 127.789924 111.876873) - (xy 127.789166 111.871579) - (xy 127.784409 111.861117) - (xy 127.737689 111.758362) - (xy 127.737688 111.758361) - (xy 127.73547 111.753482) - (xy 127.727969 111.744776) - (xy 127.654282 111.659258) - (xy 127.654281 111.659257) - (xy 127.650787 111.655202) - (xy 127.645157 111.651553) - (xy 127.546416 111.587552) - (xy 127.546415 111.587551) - (xy 127.541923 111.58464) - (xy 127.499367 111.571913) - (xy 127.422765 111.549004) - (xy 127.422762 111.549004) - (xy 127.417631 111.547469) - (xy 127.412276 111.547436) - (xy 127.412274 111.547436) - (xy 127.354164 111.547081) - (xy 127.287902 111.546676) - (xy 127.163166 111.582326) - (xy 127.053448 111.651553) - (xy 126.96757 111.748791) - (xy 126.965294 111.753638) - (xy 126.965293 111.75364) - (xy 126.91525 111.860229) - (xy 126.912436 111.866223) - (xy 126.892477 111.99441) - (xy 125.928605 111.99441) - (xy 125.937957 111.976055) - (xy 125.957922 111.85) - (xy 125.937957 111.723945) - (xy 125.880016 111.610229) - (xy 125.789771 111.519984) - (xy 125.784153 111.517121) - (xy 125.736656 111.492921) - (xy 125.676055 111.462043) - (xy 125.563436 111.444206) - (xy 125.518446 111.419268) - (xy 125.5 111.369932) - (xy 125.5 110.99441) - (xy 125.992477 110.99441) - (xy 125.993171 110.999717) - (xy 125.993171 110.99972) - (xy 125.995045 111.014049) - (xy 126.009298 111.123046) - (xy 126.061547 111.241791) - (xy 126.145023 111.341098) - (xy 126.253017 111.412984) - (xy 126.376845 111.451671) - (xy 126.443716 111.452896) - (xy 126.501193 111.45395) - (xy 126.501195 111.45395) - (xy 126.506555 111.454048) - (xy 126.511726 111.452638) - (xy 126.511728 111.452638) - (xy 126.579058 111.434282) - (xy 126.631718 111.419925) - (xy 126.742273 111.352044) - (xy 126.745865 111.348076) - (xy 126.745867 111.348074) - (xy 126.807672 111.279792) - (xy 126.829332 111.255863) - (xy 126.885897 111.139112) - (xy 126.90742 111.011179) - (xy 126.907557 111) - (xy 126.907145 110.997122) - (xy 126.889925 110.876876) - (xy 126.889924 110.876873) - (xy 126.889166 110.871579) - (xy 126.878657 110.848465) - (xy 126.837689 110.758362) - (xy 126.837688 110.758361) - (xy 126.83547 110.753482) - (xy 126.827969 110.744776) - (xy 126.754282 110.659258) - (xy 126.754281 110.659257) - (xy 126.750787 110.655202) - (xy 126.741225 110.649004) - (xy 126.646416 110.587552) - (xy 126.646415 110.587551) - (xy 126.641923 110.58464) - (xy 126.558469 110.559682) - (xy 126.522765 110.549004) - (xy 126.522762 110.549004) - (xy 126.517631 110.547469) - (xy 126.512276 110.547436) - (xy 126.512274 110.547436) - (xy 126.454164 110.547081) - (xy 126.387902 110.546676) - (xy 126.263166 110.582326) - (xy 126.153448 110.651553) - (xy 126.06757 110.748791) - (xy 126.065294 110.753638) - (xy 126.065293 110.75364) - (xy 126.040757 110.805901) - (xy 126.012436 110.866223) - (xy 125.992477 110.99441) - (xy 125.5 110.99441) - (xy 125.5 107.530068) - (xy 125.517593 107.48173) - (xy 125.563436 107.455794) - (xy 125.635779 107.444336) - (xy 125.676055 107.437957) - (xy 125.740064 107.405343) - (xy 125.784494 107.382705) - (xy 125.784495 107.382704) - (xy 125.789771 107.380016) - (xy 125.869787 107.3) - (xy 126.892329 107.3) - (xy 126.911331 107.444336) - (xy 126.913216 107.448888) - (xy 126.913217 107.44889) - (xy 126.940504 107.514766) - (xy 126.967043 107.578835) - (xy 126.970039 107.582739) - (xy 126.970041 107.582743) - (xy 127.052669 107.690426) - (xy 127.055667 107.694333) - (xy 127.059574 107.697331) - (xy 127.096346 107.725547) - (xy 127.171164 107.782957) - (xy 127.185471 107.788883) - (xy 127.30111 107.836783) - (xy 127.301112 107.836784) - (xy 127.305664 107.838669) - (xy 127.45 107.857671) - (xy 127.594336 107.838669) - (xy 127.598888 107.836784) - (xy 127.59889 107.836783) - (xy 127.714529 107.788883) - (xy 127.728836 107.782957) - (xy 127.803654 107.725547) - (xy 127.840426 107.697331) - (xy 127.844333 107.694333) - (xy 127.847331 107.690426) - (xy 127.929959 107.582743) - (xy 127.929961 107.582739) - (xy 127.932957 107.578835) - (xy 127.959496 107.514766) - (xy 127.986783 107.44889) - (xy 127.986784 107.448888) - (xy 127.988669 107.444336) - (xy 128.007671 107.3) - (xy 127.988669 107.155664) - (xy 127.986293 107.149926) - (xy 127.954914 107.074174) - (xy 127.932957 107.021165) - (xy 127.929961 107.017261) - (xy 127.929959 107.017257) - (xy 127.847331 106.909574) - (xy 127.844333 106.905667) - (xy 127.81358 106.882069) - (xy 127.732743 106.820041) - (xy 127.728836 106.817043) - (xy 127.665767 106.790919) - (xy 127.59889 106.763217) - (xy 127.598888 106.763216) - (xy 127.594336 106.761331) - (xy 127.45 106.742329) - (xy 127.305664 106.761331) - (xy 127.301112 106.763216) - (xy 127.30111 106.763217) - (xy 127.257986 106.78108) - (xy 127.171165 106.817043) - (xy 127.167261 106.820039) - (xy 127.167257 106.820041) - (xy 127.078801 106.887916) - (xy 127.055667 106.905667) - (xy 127.052669 106.909574) - (xy 126.970041 107.017257) - (xy 126.970039 107.017261) - (xy 126.967043 107.021165) - (xy 126.945086 107.074174) - (xy 126.913708 107.149926) - (xy 126.911331 107.155664) - (xy 126.892329 107.3) - (xy 125.869787 107.3) - (xy 125.880016 107.289771) - (xy 125.883617 107.282705) - (xy 125.918492 107.214258) - (xy 125.937957 107.176055) - (xy 125.957922 107.05) - (xy 125.937957 106.923945) - (xy 125.887005 106.823945) - (xy 125.882705 106.815506) - (xy 125.882704 106.815505) - (xy 125.880016 106.810229) - (xy 125.789771 106.719984) - (xy 125.780983 106.715506) - (xy 125.713749 106.681249) - (xy 125.676055 106.662043) - (xy 125.581752 106.647107) - (xy 125.563436 106.644206) - (xy 125.518446 106.619268) - (xy 125.5 106.569932) - (xy 125.5 106.19441) - (xy 125.992477 106.19441) - (xy 125.993171 106.199717) - (xy 125.993171 106.19972) - (xy 125.997133 106.230016) - (xy 126.009298 106.323046) - (xy 126.011457 106.327952) - (xy 126.011457 106.327953) - (xy 126.051306 106.418517) - (xy 126.061547 106.441791) - (xy 126.145023 106.541098) - (xy 126.183564 106.566753) - (xy 126.240335 106.604542) - (xy 126.253017 106.612984) - (xy 126.376845 106.651671) - (xy 126.443716 106.652896) - (xy 126.501193 106.65395) - (xy 126.501195 106.65395) - (xy 126.506555 106.654048) - (xy 126.511726 106.652638) - (xy 126.511728 106.652638) - (xy 126.613352 106.624932) - (xy 126.631718 106.619925) - (xy 126.742273 106.552044) - (xy 126.745865 106.548076) - (xy 126.745867 106.548074) - (xy 126.78833 106.501161) - (xy 126.829332 106.455863) - (xy 126.885897 106.339112) - (xy 126.90742 106.211179) - (xy 126.907557 106.2) - (xy 126.907145 106.197122) - (xy 126.889925 106.076876) - (xy 126.889924 106.076873) - (xy 126.889166 106.071579) - (xy 126.884526 106.061373) - (xy 126.837689 105.958362) - (xy 126.837688 105.958361) - (xy 126.83547 105.953482) - (xy 126.827969 105.944776) - (xy 126.754282 105.859258) - (xy 126.754281 105.859257) - (xy 126.750787 105.855202) - (xy 126.741919 105.849454) - (xy 126.646416 105.787552) - (xy 126.646415 105.787551) - (xy 126.641923 105.78464) - (xy 126.599367 105.771913) - (xy 126.522765 105.749004) - (xy 126.522762 105.749004) - (xy 126.517631 105.747469) - (xy 126.512276 105.747436) - (xy 126.512274 105.747436) - (xy 126.454164 105.747081) - (xy 126.387902 105.746676) - (xy 126.263166 105.782326) - (xy 126.153448 105.851553) - (xy 126.06757 105.948791) - (xy 126.065294 105.953638) - (xy 126.065293 105.95364) - (xy 126.028765 106.031443) - (xy 126.012436 106.066223) - (xy 125.992477 106.19441) - (xy 125.5 106.19441) - (xy 125.5 103.530068) - (xy 125.517593 103.48173) - (xy 125.563436 103.455794) - (xy 125.601671 103.449738) - (xy 125.676055 103.437957) - (xy 125.758528 103.395935) - (xy 125.784494 103.382705) - (xy 125.784495 103.382704) - (xy 125.789771 103.380016) - (xy 125.869787 103.3) - (xy 126.911493 103.3) - (xy 126.929842 103.439376) - (xy 126.931727 103.443928) - (xy 126.931728 103.44393) - (xy 126.93702 103.456705) - (xy 126.983639 103.569253) - (xy 127.069218 103.680782) - (xy 127.073125 103.68378) - (xy 127.078933 103.688237) - (xy 127.180746 103.766361) - (xy 127.204693 103.77628) - (xy 127.30607 103.818272) - (xy 127.306072 103.818273) - (xy 127.310624 103.820158) - (xy 127.45 103.838507) - (xy 127.589376 103.820158) - (xy 127.593928 103.818273) - (xy 127.59393 103.818272) - (xy 127.695307 103.77628) - (xy 127.719254 103.766361) - (xy 127.821067 103.688237) - (xy 127.826875 103.68378) - (xy 127.830782 103.680782) - (xy 127.916361 103.569253) - (xy 127.96298 103.456705) - (xy 127.968272 103.44393) - (xy 127.968273 103.443928) - (xy 127.970158 103.439376) - (xy 127.988507 103.3) - (xy 127.970158 103.160624) - (xy 127.965772 103.150034) - (xy 127.934349 103.074174) - (xy 127.916361 103.030747) - (xy 127.830782 102.919218) - (xy 127.719254 102.833639) - (xy 127.661846 102.80986) - (xy 127.59393 102.781728) - (xy 127.593928 102.781727) - (xy 127.589376 102.779842) - (xy 127.45 102.761493) - (xy 127.310624 102.779842) - (xy 127.306072 102.781727) - (xy 127.30607 102.781728) - (xy 127.247379 102.806039) - (xy 127.180747 102.833639) - (xy 127.069218 102.919218) - (xy 126.983639 103.030747) - (xy 126.965651 103.074174) - (xy 126.934229 103.150034) - (xy 126.929842 103.160624) - (xy 126.911493 103.3) - (xy 125.869787 103.3) - (xy 125.880016 103.289771) - (xy 125.883161 103.2836) - (xy 125.93527 103.181328) - (xy 125.937957 103.176055) - (xy 125.957922 103.05) - (xy 125.937957 102.923945) - (xy 125.880016 102.810229) - (xy 125.789771 102.719984) - (xy 125.783923 102.717004) - (xy 125.706809 102.677713) - (xy 125.676055 102.662043) - (xy 125.563436 102.644206) - (xy 125.518446 102.619268) - (xy 125.5 102.569932) - (xy 125.5 102.1) - (xy 125.892329 102.1) - (xy 125.911331 102.244336) - (xy 125.913216 102.248888) - (xy 125.913217 102.24889) - (xy 125.942106 102.318632) - (xy 125.967043 102.378835) - (xy 125.970039 102.382739) - (xy 125.970041 102.382743) - (xy 126.052199 102.489814) - (xy 126.055667 102.494333) - (xy 126.171164 102.582957) - (xy 126.214151 102.600763) - (xy 126.30111 102.636783) - (xy 126.301112 102.636784) - (xy 126.305664 102.638669) - (xy 126.45 102.657671) - (xy 126.594336 102.638669) - (xy 126.598888 102.636784) - (xy 126.59889 102.636783) - (xy 126.685849 102.600763) - (xy 126.728836 102.582957) - (xy 126.844333 102.494333) - (xy 126.847801 102.489814) - (xy 126.929959 102.382743) - (xy 126.929961 102.382739) - (xy 126.932957 102.378835) - (xy 126.957894 102.318632) - (xy 126.986783 102.24889) - (xy 126.986784 102.248888) - (xy 126.988669 102.244336) - (xy 127.007671 102.1) - (xy 126.988669 101.955664) - (xy 126.968894 101.907922) - (xy 126.936623 101.830016) - (xy 126.932957 101.821165) - (xy 126.929961 101.817261) - (xy 126.929959 101.817257) - (xy 126.847331 101.709574) - (xy 126.844333 101.705667) - (xy 126.728836 101.617043) - (xy 126.666195 101.591096) - (xy 126.59889 101.563217) - (xy 126.598888 101.563216) - (xy 126.594336 101.561331) - (xy 126.45 101.542329) - (xy 126.305664 101.561331) - (xy 126.301112 101.563216) - (xy 126.30111 101.563217) - (xy 126.2685 101.576725) - (xy 126.171165 101.617043) - (xy 126.167261 101.620039) - (xy 126.167257 101.620041) - (xy 126.114883 101.660229) - (xy 126.055667 101.705667) - (xy 126.052669 101.709574) - (xy 125.970041 101.817257) - (xy 125.970039 101.817261) - (xy 125.967043 101.821165) - (xy 125.963377 101.830016) - (xy 125.931107 101.907922) - (xy 125.911331 101.955664) - (xy 125.892329 102.1) - (xy 125.5 102.1) - (xy 125.5 93.130068) - (xy 125.517593 93.08173) - (xy 125.563436 93.055794) - (xy 125.635779 93.044336) - (xy 125.676055 93.037957) - (xy 125.73682 93.006996) - (xy 125.784494 92.982705) - (xy 125.784495 92.982704) - (xy 125.789771 92.980016) - (xy 125.869787 92.9) - (xy 126.892329 92.9) - (xy 126.911331 93.044336) - (xy 126.913216 93.048888) - (xy 126.913217 93.04889) - (xy 126.92682 93.08173) - (xy 126.967043 93.178835) - (xy 126.970039 93.182739) - (xy 126.970041 93.182743) - (xy 127.052669 93.290426) - (xy 127.055667 93.294333) - (xy 127.171164 93.382957) - (xy 127.216015 93.401535) - (xy 127.30111 93.436783) - (xy 127.301112 93.436784) - (xy 127.305664 93.438669) - (xy 127.45 93.457671) - (xy 127.594336 93.438669) - (xy 127.598888 93.436784) - (xy 127.59889 93.436783) - (xy 127.683985 93.401535) - (xy 127.728836 93.382957) - (xy 127.844333 93.294333) - (xy 127.847331 93.290426) - (xy 127.929959 93.182743) - (xy 127.929961 93.182739) - (xy 127.932957 93.178835) - (xy 127.97318 93.08173) - (xy 127.986783 93.04889) - (xy 127.986784 93.048888) - (xy 127.988669 93.044336) - (xy 128.007671 92.9) - (xy 127.988669 92.755664) - (xy 127.976405 92.726055) - (xy 127.941422 92.641602) - (xy 127.932957 92.621165) - (xy 127.929961 92.617261) - (xy 127.929959 92.617257) - (xy 127.847331 92.509574) - (xy 127.844333 92.505667) - (xy 127.728836 92.417043) - (xy 127.637316 92.379134) - (xy 127.59889 92.363217) - (xy 127.598888 92.363216) - (xy 127.594336 92.361331) - (xy 127.45 92.342329) - (xy 127.305664 92.361331) - (xy 127.301112 92.363216) - (xy 127.30111 92.363217) - (xy 127.262684 92.379134) - (xy 127.171165 92.417043) - (xy 127.167261 92.420039) - (xy 127.167257 92.420041) - (xy 127.106175 92.466911) - (xy 127.055667 92.505667) - (xy 127.052669 92.509574) - (xy 126.970041 92.617257) - (xy 126.970039 92.617261) - (xy 126.967043 92.621165) - (xy 126.958578 92.641602) - (xy 126.923596 92.726055) - (xy 126.911331 92.755664) - (xy 126.892329 92.9) - (xy 125.869787 92.9) - (xy 125.880016 92.889771) - (xy 125.937957 92.776055) - (xy 125.957922 92.65) - (xy 125.955001 92.631554) - (xy 125.938883 92.529792) - (xy 125.937957 92.523945) - (xy 125.89894 92.44737) - (xy 125.882705 92.415506) - (xy 125.882704 92.415505) - (xy 125.880016 92.410229) - (xy 125.789771 92.319984) - (xy 125.676055 92.262043) - (xy 125.55 92.242078) - (xy 125.423945 92.262043) - (xy 125.310229 92.319984) - (xy 125.219984 92.410229) - (xy 125.217296 92.415505) - (xy 125.217295 92.415506) - (xy 125.20106 92.44737) - (xy 125.162043 92.523945) - (xy 125.161117 92.529792) - (xy 125.152125 92.586564) - (xy 125.127187 92.631554) - (xy 125.077851 92.65) - (xy 123.722149 92.65) - (xy 123.673811 92.632407) - (xy 123.647875 92.586564) - (xy 123.638883 92.529792) - (xy 123.637957 92.523945) - (xy 123.59894 92.44737) - (xy 123.582705 92.415506) - (xy 123.582704 92.415505) - (xy 123.580016 92.410229) - (xy 123.489771 92.319984) - (xy 123.376055 92.262043) - (xy 123.25 92.242078) - (xy 123.123945 92.262043) - (xy 123.010229 92.319984) - (xy 122.919984 92.410229) - (xy 122.917296 92.415505) - (xy 122.917295 92.415506) - (xy 122.90106 92.44737) - (xy 122.862043 92.523945) - (xy 122.861117 92.529792) - (xy 122.852125 92.586564) - (xy 122.827187 92.631554) - (xy 122.777851 92.65) - (xy 111.931148 92.65) - (xy 111.88281 92.632407) - (xy 111.877974 92.627974) - (xy 111.1 91.85) - (xy 99.85 91.85) - (xy 99.122026 92.577974) - (xy 99.075406 92.599714) - (xy 99.068852 92.6) - (xy 98.372149 92.6) - (xy 98.323811 92.582407) - (xy 98.297875 92.536564) - (xy 98.288883 92.479792) - (xy 98.287957 92.473945) - (xy 98.230016 92.360229) - (xy 98.139771 92.269984) - (xy 98.12946 92.26473) - (xy 98.044527 92.221455) - (xy 98.026055 92.212043) - (xy 97.9 92.192078) - (xy 97.773945 92.212043) - (xy 97.755473 92.221455) - (xy 97.670541 92.26473) - (xy 97.660229 92.269984) - (xy 97.569984 92.360229) - (xy 97.512043 92.473945) - (xy 97.511117 92.479792) - (xy 97.50077 92.545118) - (xy 97.475831 92.590108) - (xy 97.459039 92.6) - (xy 97.45 92.6) - (xy 95.35 94.7) - (xy 95.35 95.6) - (xy 90.369787 95.6) - (xy 90.380016 95.589771) - (xy 90.437957 95.476055) - (xy 90.454377 95.372382) - (xy 90.456996 95.355847) - (xy 90.457922 95.35) - (xy 90.456323 95.339901) - (xy 90.438883 95.229792) - (xy 90.437957 95.223945) - (xy 90.38902 95.1279) - (xy 90.382705 95.115506) - (xy 90.382704 95.115505) - (xy 90.380016 95.110229) - (xy 90.299926 95.030139) - (xy 90.278186 94.983519) - (xy 90.2779 94.976965) - (xy 90.2779 94.85) - (xy 90.592078 94.85) - (xy 90.593004 94.855847) - (xy 90.595104 94.869104) - (xy 90.612043 94.976055) - (xy 90.669984 95.089771) - (xy 90.760229 95.180016) - (xy 90.765505 95.182704) - (xy 90.765506 95.182705) - (xy 90.806878 95.203785) - (xy 90.873945 95.237957) - (xy 91 95.257922) - (xy 91.126055 95.237957) - (xy 91.193122 95.203785) - (xy 91.234494 95.182705) - (xy 91.234495 95.182704) - (xy 91.239771 95.180016) - (xy 91.330016 95.089771) - (xy 91.387957 94.976055) - (xy 91.404896 94.869104) - (xy 91.406996 94.855847) - (xy 91.407922 94.85) - (xy 91.387957 94.723945) - (xy 91.355945 94.661117) - (xy 91.332705 94.615506) - (xy 91.332704 94.615505) - (xy 91.330016 94.610229) - (xy 91.239771 94.519984) - (xy 91.126055 94.462043) - (xy 91 94.442078) - (xy 90.873945 94.462043) - (xy 90.760229 94.519984) - (xy 90.669984 94.610229) - (xy 90.667296 94.615505) - (xy 90.667295 94.615506) - (xy 90.644055 94.661117) - (xy 90.612043 94.723945) - (xy 90.592078 94.85) - (xy 90.2779 94.85) - (xy 90.2779 94.675547) - (xy 90.295493 94.627209) - (xy 90.299926 94.622373) - (xy 90.772374 94.149926) - (xy 90.818994 94.128186) - (xy 90.825548 94.1279) - (xy 92.542064 94.1279) - (xy 92.546 94.128003) - (xy 92.586448 94.130123) - (xy 92.609408 94.12131) - (xy 92.620715 94.11796) - (xy 92.644766 94.112848) - (xy 92.651675 94.107829) - (xy 92.66892 94.098465) - (xy 92.669517 94.098236) - (xy 92.669518 94.098235) - (xy 92.676896 94.095403) - (xy 92.69428 94.078019) - (xy 92.703252 94.070355) - (xy 92.716753 94.060546) - (xy 92.716753 94.060545) - (xy 92.723146 94.055901) - (xy 92.727415 94.048507) - (xy 92.739366 94.032933) - (xy 95.077889 91.69441) - (xy 98.292477 91.69441) - (xy 98.293171 91.699717) - (xy 98.293171 91.69972) - (xy 98.302065 91.76773) - (xy 98.309298 91.823046) - (xy 98.311457 91.827952) - (xy 98.311457 91.827953) - (xy 98.343616 91.901039) - (xy 98.361547 91.941791) - (xy 98.445023 92.041098) - (xy 98.477902 92.062984) - (xy 98.536022 92.101671) - (xy 98.553017 92.112984) - (xy 98.676845 92.151671) - (xy 98.743716 92.152896) - (xy 98.801193 92.15395) - (xy 98.801195 92.15395) - (xy 98.806555 92.154048) - (xy 98.811726 92.152638) - (xy 98.811728 92.152638) - (xy 98.879058 92.134282) - (xy 98.931718 92.119925) - (xy 99.042273 92.052044) - (xy 99.045865 92.048076) - (xy 99.045867 92.048074) - (xy 99.101151 91.986997) - (xy 99.129332 91.955863) - (xy 99.180658 91.849926) - (xy 99.183559 91.843938) - (xy 99.183559 91.843937) - (xy 99.185897 91.839112) - (xy 99.20742 91.711179) - (xy 99.207557 91.7) - (xy 99.207145 91.697122) - (xy 99.199596 91.64441) - (xy 113.792477 91.64441) - (xy 113.793171 91.649717) - (xy 113.793171 91.64972) - (xy 113.799746 91.7) - (xy 113.809298 91.773046) - (xy 113.811457 91.777952) - (xy 113.811457 91.777953) - (xy 113.85939 91.886889) - (xy 113.861547 91.891791) - (xy 113.945023 91.991098) - (xy 113.949483 91.994066) - (xy 113.949482 91.994066) - (xy 114.024597 92.044066) - (xy 114.053017 92.062984) - (xy 114.176845 92.101671) - (xy 114.243716 92.102896) - (xy 114.301193 92.10395) - (xy 114.301195 92.10395) - (xy 114.306555 92.104048) - (xy 114.311726 92.102638) - (xy 114.311728 92.102638) - (xy 114.409233 92.076055) - (xy 114.431718 92.069925) - (xy 114.542273 92.002044) - (xy 114.545865 91.998076) - (xy 114.545867 91.998074) - (xy 114.584089 91.955847) - (xy 114.589381 91.95) - (xy 123.992078 91.95) - (xy 123.993004 91.955847) - (xy 123.993783 91.960766) - (xy 124.012043 92.076055) - (xy 124.01473 92.081328) - (xy 124.05974 92.169665) - (xy 124.069984 92.189771) - (xy 124.160229 92.280016) - (xy 124.273945 92.337957) - (xy 124.4 92.357922) - (xy 124.526055 92.337957) - (xy 124.639771 92.280016) - (xy 124.730016 92.189771) - (xy 124.740261 92.169665) - (xy 124.78527 92.081328) - (xy 124.787957 92.076055) - (xy 124.806217 91.960766) - (xy 124.806996 91.955847) - (xy 124.807922 91.95) - (xy 124.787957 91.823945) - (xy 124.772908 91.79441) - (xy 125.992477 91.79441) - (xy 125.993171 91.799717) - (xy 125.993171 91.79972) - (xy 125.999746 91.85) - (xy 126.009298 91.923046) - (xy 126.011457 91.927952) - (xy 126.011457 91.927953) - (xy 126.042311 91.998074) - (xy 126.061547 92.041791) - (xy 126.145023 92.141098) - (xy 126.253017 92.212984) - (xy 126.376845 92.251671) - (xy 126.443716 92.252896) - (xy 126.501193 92.25395) - (xy 126.501195 92.25395) - (xy 126.506555 92.254048) - (xy 126.511726 92.252638) - (xy 126.511728 92.252638) - (xy 126.579058 92.234282) - (xy 126.631718 92.219925) - (xy 126.742273 92.152044) - (xy 126.745865 92.148076) - (xy 126.745867 92.148074) - (xy 126.78778 92.101769) - (xy 126.829332 92.055863) - (xy 126.877789 91.955847) - (xy 126.883559 91.943938) - (xy 126.883559 91.943937) - (xy 126.885897 91.939112) - (xy 126.90742 91.811179) - (xy 126.907557 91.8) - (xy 126.907145 91.797122) - (xy 126.889925 91.676876) - (xy 126.889924 91.676873) - (xy 126.889166 91.671579) - (xy 126.840584 91.56473) - (xy 126.837689 91.558362) - (xy 126.837688 91.558361) - (xy 126.83547 91.553482) - (xy 126.827969 91.544776) - (xy 126.754282 91.459258) - (xy 126.754281 91.459257) - (xy 126.750787 91.455202) - (xy 126.740896 91.448791) - (xy 126.646416 91.387552) - (xy 126.646415 91.387551) - (xy 126.641923 91.38464) - (xy 126.584039 91.367329) - (xy 126.522765 91.349004) - (xy 126.522762 91.349004) - (xy 126.517631 91.347469) - (xy 126.512276 91.347436) - (xy 126.512274 91.347436) - (xy 126.454164 91.347081) - (xy 126.387902 91.346676) - (xy 126.263166 91.382326) - (xy 126.153448 91.451553) - (xy 126.06757 91.548791) - (xy 126.065294 91.553638) - (xy 126.065293 91.55364) - (xy 126.034145 91.619984) - (xy 126.012436 91.666223) - (xy 125.992477 91.79441) - (xy 124.772908 91.79441) - (xy 124.730016 91.710229) - (xy 124.639771 91.619984) - (xy 124.526055 91.562043) - (xy 124.4 91.542078) - (xy 124.273945 91.562043) - (xy 124.160229 91.619984) - (xy 124.069984 91.710229) - (xy 124.012043 91.823945) - (xy 123.992078 91.95) - (xy 114.589381 91.95) - (xy 114.629332 91.905863) - (xy 114.667079 91.827953) - (xy 114.683559 91.793938) - (xy 114.683559 91.793937) - (xy 114.685897 91.789112) - (xy 114.70742 91.661179) - (xy 114.707557 91.65) - (xy 114.707145 91.647122) - (xy 114.689925 91.526876) - (xy 114.689924 91.526873) - (xy 114.689166 91.521579) - (xy 114.660423 91.458362) - (xy 114.637689 91.408362) - (xy 114.637688 91.408361) - (xy 114.63547 91.403482) - (xy 114.627969 91.394776) - (xy 114.554282 91.309258) - (xy 114.554281 91.309257) - (xy 114.550787 91.305202) - (xy 114.545157 91.301553) - (xy 114.446416 91.237552) - (xy 114.446415 91.237551) - (xy 114.441923 91.23464) - (xy 114.36951 91.212984) - (xy 114.322765 91.199004) - (xy 114.322762 91.199004) - (xy 114.317631 91.197469) - (xy 114.312276 91.197436) - (xy 114.312274 91.197436) - (xy 114.254164 91.197081) - (xy 114.187902 91.196676) - (xy 114.063166 91.232326) - (xy 113.953448 91.301553) - (xy 113.86757 91.398791) - (xy 113.865294 91.403638) - (xy 113.865293 91.40364) - (xy 113.814713 91.511373) - (xy 113.812436 91.516223) - (xy 113.792477 91.64441) - (xy 99.199596 91.64441) - (xy 99.189925 91.576876) - (xy 99.189924 91.576873) - (xy 99.189166 91.571579) - (xy 99.13547 91.453482) - (xy 99.127969 91.444776) - (xy 99.054282 91.359258) - (xy 99.054281 91.359257) - (xy 99.050787 91.355202) - (xy 99.041225 91.349004) - (xy 98.946416 91.287552) - (xy 98.946415 91.287551) - (xy 98.941923 91.28464) - (xy 98.875265 91.264705) - (xy 98.822765 91.249004) - (xy 98.822762 91.249004) - (xy 98.817631 91.247469) - (xy 98.812276 91.247436) - (xy 98.812274 91.247436) - (xy 98.754164 91.247081) - (xy 98.687902 91.246676) - (xy 98.563166 91.282326) - (xy 98.453448 91.351553) - (xy 98.36757 91.448791) - (xy 98.365294 91.453638) - (xy 98.365293 91.45364) - (xy 98.333426 91.521516) - (xy 98.312436 91.566223) - (xy 98.292477 91.69441) - (xy 95.077889 91.69441) - (xy 95.977889 90.79441) - (xy 97.292477 90.79441) - (xy 97.293171 90.799717) - (xy 97.293171 90.79972) - (xy 97.29475 90.811792) - (xy 97.309298 90.923046) - (xy 97.311457 90.927952) - (xy 97.311457 90.927953) - (xy 97.343616 91.001039) - (xy 97.361547 91.041791) - (xy 97.445023 91.141098) - (xy 97.47466 91.160826) - (xy 97.536022 91.201671) - (xy 97.553017 91.212984) - (xy 97.676845 91.251671) - (xy 97.743716 91.252896) - (xy 97.801193 91.25395) - (xy 97.801195 91.25395) - (xy 97.806555 91.254048) - (xy 97.811726 91.252638) - (xy 97.811728 91.252638) - (xy 97.891627 91.230855) - (xy 97.931718 91.219925) - (xy 98.042273 91.152044) - (xy 98.045865 91.148076) - (xy 98.045867 91.148074) - (xy 98.099399 91.088932) - (xy 98.129332 91.055863) - (xy 98.185897 90.939112) - (xy 98.20742 90.811179) - (xy 98.207557 90.8) - (xy 98.207145 90.797122) - (xy 98.199596 90.74441) - (xy 114.792477 90.74441) - (xy 114.793171 90.749717) - (xy 114.793171 90.74972) - (xy 114.800827 90.808266) - (xy 114.809298 90.873046) - (xy 114.811457 90.877952) - (xy 114.811457 90.877953) - (xy 114.832666 90.926153) - (xy 114.861547 90.991791) - (xy 114.945023 91.091098) - (xy 114.994013 91.123708) - (xy 115.043556 91.156686) - (xy 115.053017 91.162984) - (xy 115.176845 91.201671) - (xy 115.243716 91.202896) - (xy 115.301193 91.20395) - (xy 115.301195 91.20395) - (xy 115.306555 91.204048) - (xy 115.311726 91.202638) - (xy 115.311728 91.202638) - (xy 115.387698 91.181926) - (xy 115.431718 91.169925) - (xy 115.542273 91.102044) - (xy 115.545865 91.098076) - (xy 115.545867 91.098074) - (xy 115.587678 91.051881) - (xy 115.629332 91.005863) - (xy 115.685897 90.889112) - (xy 115.70742 90.761179) - (xy 115.707557 90.75) - (xy 115.707145 90.747122) - (xy 115.706757 90.74441) - (xy 124.792477 90.74441) - (xy 124.793171 90.749717) - (xy 124.793171 90.74972) - (xy 124.800827 90.808266) - (xy 124.809298 90.873046) - (xy 124.811457 90.877952) - (xy 124.811457 90.877953) - (xy 124.832666 90.926153) - (xy 124.861547 90.991791) - (xy 124.945023 91.091098) - (xy 124.994013 91.123708) - (xy 125.043556 91.156686) - (xy 125.053017 91.162984) - (xy 125.176845 91.201671) - (xy 125.243716 91.202896) - (xy 125.301193 91.20395) - (xy 125.301195 91.20395) - (xy 125.306555 91.204048) - (xy 125.311726 91.202638) - (xy 125.311728 91.202638) - (xy 125.387698 91.181926) - (xy 125.431718 91.169925) - (xy 125.542273 91.102044) - (xy 125.545865 91.098076) - (xy 125.545867 91.098074) - (xy 125.587678 91.051881) - (xy 125.629332 91.005863) - (xy 125.685897 90.889112) - (xy 125.70742 90.761179) - (xy 125.707557 90.75) - (xy 125.707145 90.747122) - (xy 125.689925 90.626876) - (xy 125.689924 90.626873) - (xy 125.689166 90.621579) - (xy 125.656587 90.549926) - (xy 125.637689 90.508362) - (xy 125.637688 90.508361) - (xy 125.63547 90.503482) - (xy 125.627969 90.494776) - (xy 125.554282 90.409258) - (xy 125.554281 90.409257) - (xy 125.550787 90.405202) - (xy 125.545157 90.401553) - (xy 125.446416 90.337552) - (xy 125.446415 90.337551) - (xy 125.441923 90.33464) - (xy 125.399367 90.321913) - (xy 125.322765 90.299004) - (xy 125.322762 90.299004) - (xy 125.317631 90.297469) - (xy 125.312276 90.297436) - (xy 125.312274 90.297436) - (xy 125.254164 90.297081) - (xy 125.187902 90.296676) - (xy 125.063166 90.332326) - (xy 124.953448 90.401553) - (xy 124.86757 90.498791) - (xy 124.865294 90.503638) - (xy 124.865293 90.50364) - (xy 124.820384 90.599295) - (xy 124.812436 90.616223) - (xy 124.792477 90.74441) - (xy 115.706757 90.74441) - (xy 115.689925 90.626876) - (xy 115.689924 90.626873) - (xy 115.689166 90.621579) - (xy 115.656587 90.549926) - (xy 115.637689 90.508362) - (xy 115.637688 90.508361) - (xy 115.63547 90.503482) - (xy 115.627969 90.494776) - (xy 115.554282 90.409258) - (xy 115.554281 90.409257) - (xy 115.550787 90.405202) - (xy 115.545157 90.401553) - (xy 115.446416 90.337552) - (xy 115.446415 90.337551) - (xy 115.441923 90.33464) - (xy 115.399367 90.321913) - (xy 115.322765 90.299004) - (xy 115.322762 90.299004) - (xy 115.317631 90.297469) - (xy 115.312276 90.297436) - (xy 115.312274 90.297436) - (xy 115.254164 90.297081) - (xy 115.187902 90.296676) - (xy 115.063166 90.332326) - (xy 114.953448 90.401553) - (xy 114.86757 90.498791) - (xy 114.865294 90.503638) - (xy 114.865293 90.50364) - (xy 114.820384 90.599295) - (xy 114.812436 90.616223) - (xy 114.792477 90.74441) - (xy 98.199596 90.74441) - (xy 98.189925 90.676876) - (xy 98.189924 90.676873) - (xy 98.189166 90.671579) - (xy 98.13547 90.553482) - (xy 98.127969 90.544776) - (xy 98.054282 90.459258) - (xy 98.054281 90.459257) - (xy 98.050787 90.455202) - (xy 98.045157 90.451553) - (xy 97.946416 90.387552) - (xy 97.946415 90.387551) - (xy 97.941923 90.38464) - (xy 97.899367 90.371913) - (xy 97.822765 90.349004) - (xy 97.822762 90.349004) - (xy 97.817631 90.347469) - (xy 97.812276 90.347436) - (xy 97.812274 90.347436) - (xy 97.754164 90.347081) - (xy 97.687902 90.346676) - (xy 97.563166 90.382326) - (xy 97.453448 90.451553) - (xy 97.36757 90.548791) - (xy 97.365294 90.553638) - (xy 97.365293 90.55364) - (xy 97.333426 90.621516) - (xy 97.312436 90.666223) - (xy 97.292477 90.79441) - (xy 95.977889 90.79441) - (xy 96.927889 89.84441) - (xy 113.792477 89.84441) - (xy 113.793171 89.849717) - (xy 113.793171 89.84972) - (xy 113.795045 89.864049) - (xy 113.809298 89.973046) - (xy 113.811457 89.977952) - (xy 113.811457 89.977953) - (xy 113.856183 90.0796) - (xy 113.861547 90.091791) - (xy 113.945023 90.191098) - (xy 114.053017 90.262984) - (xy 114.176845 90.301671) - (xy 114.243716 90.302896) - (xy 114.301193 90.30395) - (xy 114.301195 90.30395) - (xy 114.306555 90.304048) - (xy 114.311726 90.302638) - (xy 114.311728 90.302638) - (xy 114.379058 90.284282) - (xy 114.431718 90.269925) - (xy 114.542273 90.202044) - (xy 114.545865 90.198076) - (xy 114.545867 90.198074) - (xy 114.58803 90.151493) - (xy 114.629332 90.105863) - (xy 114.685897 89.989112) - (xy 114.70742 89.861179) - (xy 114.707557 89.85) - (xy 114.707145 89.847122) - (xy 114.706757 89.84441) - (xy 123.792477 89.84441) - (xy 123.793171 89.849717) - (xy 123.793171 89.84972) - (xy 123.795045 89.864049) - (xy 123.809298 89.973046) - (xy 123.811457 89.977952) - (xy 123.811457 89.977953) - (xy 123.856183 90.0796) - (xy 123.861547 90.091791) - (xy 123.945023 90.191098) - (xy 124.053017 90.262984) - (xy 124.176845 90.301671) - (xy 124.243716 90.302896) - (xy 124.301193 90.30395) - (xy 124.301195 90.30395) - (xy 124.306555 90.304048) - (xy 124.311726 90.302638) - (xy 124.311728 90.302638) - (xy 124.379058 90.284282) - (xy 124.431718 90.269925) - (xy 124.542273 90.202044) - (xy 124.545865 90.198076) - (xy 124.545867 90.198074) - (xy 124.58803 90.151493) - (xy 124.629332 90.105863) - (xy 124.685897 89.989112) - (xy 124.70742 89.861179) - (xy 124.707557 89.85) - (xy 124.707145 89.847122) - (xy 124.689925 89.726876) - (xy 124.689924 89.726873) - (xy 124.689166 89.721579) - (xy 124.63547 89.603482) - (xy 124.627969 89.594776) - (xy 124.554282 89.509258) - (xy 124.554281 89.509257) - (xy 124.550787 89.505202) - (xy 124.545157 89.501553) - (xy 124.446416 89.437552) - (xy 124.446415 89.437551) - (xy 124.441923 89.43464) - (xy 124.399367 89.421913) - (xy 124.322765 89.399004) - (xy 124.322762 89.399004) - (xy 124.317631 89.397469) - (xy 124.312276 89.397436) - (xy 124.312274 89.397436) - (xy 124.254164 89.397081) - (xy 124.187902 89.396676) - (xy 124.063166 89.432326) - (xy 123.953448 89.501553) - (xy 123.86757 89.598791) - (xy 123.812436 89.716223) - (xy 123.792477 89.84441) - (xy 114.706757 89.84441) - (xy 114.689925 89.726876) - (xy 114.689924 89.726873) - (xy 114.689166 89.721579) - (xy 114.63547 89.603482) - (xy 114.627969 89.594776) - (xy 114.554282 89.509258) - (xy 114.554281 89.509257) - (xy 114.550787 89.505202) - (xy 114.545157 89.501553) - (xy 114.446416 89.437552) - (xy 114.446415 89.437551) - (xy 114.441923 89.43464) - (xy 114.399367 89.421913) - (xy 114.322765 89.399004) - (xy 114.322762 89.399004) - (xy 114.317631 89.397469) - (xy 114.312276 89.397436) - (xy 114.312274 89.397436) - (xy 114.254164 89.397081) - (xy 114.187902 89.396676) - (xy 114.063166 89.432326) - (xy 113.953448 89.501553) - (xy 113.86757 89.598791) - (xy 113.812436 89.716223) - (xy 113.792477 89.84441) - (xy 96.927889 89.84441) - (xy 98.772373 87.999926) - (xy 98.818993 87.978186) - (xy 98.825547 87.9779) - (xy 132.074453 87.9779) - (xy 132.122791 87.995493) - (xy 132.127627 87.999926) - (xy 134.766758 90.639057) - (xy 134.788498 90.685677) - (xy 134.780588 90.72637) - (xy 134.740043 90.805945) - (xy 134.720078 90.932) - (xy 134.740043 91.058055) - (xy 134.763885 91.104847) - (xy 134.794322 91.164583) - (xy 134.797984 91.171771) - (xy 134.888229 91.262016) - (xy 134.893505 91.264704) - (xy 134.893506 91.264705) - (xy 134.932631 91.28464) - (xy 135.001945 91.319957) - (xy 135.128 91.339922) - (xy 135.254055 91.319957) - (xy 135.323369 91.28464) - (xy 135.362494 91.264705) - (xy 135.362495 91.264704) - (xy 135.367771 91.262016) - (xy 135.458016 91.171771) - (xy 135.461679 91.164583) - (xy 135.492115 91.104847) - (xy 135.509405 91.070914) - (xy 135.547025 91.035833) - (xy 135.598394 91.033141) - (xy 135.629582 91.051881) - (xy 135.665233 91.087532) - (xy 135.667943 91.090387) - (xy 135.695051 91.120494) - (xy 135.717523 91.130499) - (xy 135.727888 91.136127) - (xy 135.729227 91.136997) - (xy 135.741873 91.14521) - (xy 135.741874 91.145211) - (xy 135.748504 91.149516) - (xy 135.756938 91.150852) - (xy 135.77576 91.156428) - (xy 135.783558 91.1599) - (xy 135.808147 91.1599) - (xy 135.819911 91.160826) - (xy 135.836387 91.163436) - (xy 135.836389 91.163436) - (xy 135.844194 91.164672) - (xy 135.851826 91.162627) - (xy 135.851828 91.162627) - (xy 135.852442 91.162462) - (xy 135.871905 91.1599) - (xy 136.024965 91.1599) - (xy 136.073303 91.177493) - (xy 136.078139 91.181926) - (xy 136.158229 91.262016) - (xy 136.163505 91.264704) - (xy 136.163506 91.264705) - (xy 136.202631 91.28464) - (xy 136.271945 91.319957) - (xy 136.398 91.339922) - (xy 136.524055 91.319957) - (xy 136.593369 91.28464) - (xy 136.632494 91.264705) - (xy 136.632495 91.264704) - (xy 136.637771 91.262016) - (xy 136.728016 91.171771) - (xy 136.731679 91.164583) - (xy 136.762115 91.104847) - (xy 136.785957 91.058055) - (xy 136.805922 90.932) - (xy 136.785957 90.805945) - (xy 136.728016 90.692229) - (xy 136.637771 90.601984) - (xy 136.524055 90.544043) - (xy 136.423937 90.528186) - (xy 136.403847 90.525004) - (xy 136.398 90.524078) - (xy 136.392153 90.525004) - (xy 136.372063 90.528186) - (xy 136.271945 90.544043) - (xy 136.158229 90.601984) - (xy 136.078139 90.682074) - (xy 136.031519 90.703814) - (xy 136.024965 90.7041) - (xy 135.957548 90.7041) - (xy 135.90921 90.686507) - (xy 135.904374 90.682074) - (xy 132.516758 87.294458) - (xy 132.514047 87.291602) - (xy 132.492237 87.267379) - (xy 132.486949 87.261506) - (xy 132.464478 87.251501) - (xy 132.454121 87.245877) - (xy 132.440125 87.236788) - (xy 132.440124 87.236788) - (xy 132.433497 87.232484) - (xy 132.425061 87.231148) - (xy 132.406245 87.225574) - (xy 132.398442 87.2221) - (xy 132.373847 87.2221) - (xy 132.362084 87.221174) - (xy 132.345613 87.218565) - (xy 132.345611 87.218565) - (xy 132.337806 87.217329) - (xy 132.330174 87.219374) - (xy 132.330173 87.219374) - (xy 132.329562 87.219538) - (xy 132.310099 87.2221) - (xy 98.557928 87.2221) - (xy 98.553991 87.221997) - (xy 98.513552 87.219877) - (xy 98.490592 87.22869) - (xy 98.479285 87.23204) - (xy 98.455234 87.237152) - (xy 98.44884 87.241798) - (xy 98.448839 87.241798) - (xy 98.448326 87.242171) - (xy 98.43108 87.251535) - (xy 98.430483 87.251764) - (xy 98.430482 87.251765) - (xy 98.423104 87.254597) - (xy 98.40572 87.271981) - (xy 98.396747 87.279645) - (xy 98.376854 87.294099) - (xy 98.372902 87.300944) - (xy 98.372585 87.301493) - (xy 98.360634 87.317067) - (xy 92.327626 93.350074) - (xy 92.281006 93.371814) - (xy 92.274452 93.3721) - (xy 90.557936 93.3721) - (xy 90.554 93.371997) - (xy 90.550508 93.371814) - (xy 90.513552 93.369877) - (xy 90.490592 93.37869) - (xy 90.479285 93.38204) - (xy 90.455234 93.387152) - (xy 90.44884 93.391798) - (xy 90.448839 93.391798) - (xy 90.448326 93.392171) - (xy 90.43108 93.401535) - (xy 90.430483 93.401764) - (xy 90.430482 93.401765) - (xy 90.423104 93.404597) - (xy 90.40572 93.421981) - (xy 90.396748 93.429645) - (xy 90.383443 93.439312) - (xy 90.376854 93.444099) - (xy 90.372902 93.450944) - (xy 90.372585 93.451493) - (xy 90.360634 93.467067) - (xy 89.594461 94.23324) - (xy 89.591604 94.235952) - (xy 89.571129 94.254387) - (xy 89.561506 94.263051) - (xy 89.551501 94.285522) - (xy 89.545877 94.295879) - (xy 89.532484 94.316503) - (xy 89.531148 94.324939) - (xy 89.525574 94.343755) - (xy 89.5221 94.351558) - (xy 89.5221 94.376153) - (xy 89.521174 94.387916) - (xy 89.517329 94.412194) - (xy 89.519374 94.419826) - (xy 89.519374 94.419827) - (xy 89.519538 94.420438) - (xy 89.5221 94.439901) - (xy 89.5221 94.724453) - (xy 89.504507 94.772791) - (xy 89.500074 94.777627) - (xy 89.101009 95.176691) - (xy 89.054389 95.198431) - (xy 89.036071 95.197791) - (xy 89.005847 95.193004) - (xy 89 95.192078) - (xy 88.873945 95.212043) - (xy 88.828359 95.23527) - (xy 88.765911 95.267089) - (xy 88.760229 95.269984) - (xy 88.669984 95.360229) - (xy 88.667296 95.365505) - (xy 88.667295 95.365506) - (xy 88.646523 95.406274) - (xy 88.612043 95.473945) - (xy 88.592078 95.6) - (xy 82.9049 95.6) - (xy 82.9049 94.241929) - (xy 82.905003 94.237992) - (xy 82.905252 94.23324) - (xy 82.907123 94.197552) - (xy 82.89831 94.174592) - (xy 82.89496 94.163284) - (xy 82.894235 94.159875) - (xy 82.889848 94.139234) - (xy 82.884829 94.132325) - (xy 82.875465 94.11508) - (xy 82.875236 94.114483) - (xy 82.875235 94.114482) - (xy 82.872403 94.107104) - (xy 82.855019 94.08972) - (xy 82.847355 94.080748) - (xy 82.837546 94.067247) - (xy 82.837545 94.067247) - (xy 82.832901 94.060854) - (xy 82.825507 94.056585) - (xy 82.809933 94.044634) - (xy 81.365299 92.6) - (xy 90.492078 92.6) - (xy 90.493004 92.605847) - (xy 90.49543 92.621165) - (xy 90.512043 92.726055) - (xy 90.569984 92.839771) - (xy 90.660229 92.930016) - (xy 90.773945 92.987957) - (xy 90.9 93.007922) - (xy 91.026055 92.987957) - (xy 91.139771 92.930016) - (xy 91.230016 92.839771) - (xy 91.287957 92.726055) - (xy 91.30457 92.621165) - (xy 91.306996 92.605847) - (xy 91.307922 92.6) - (xy 91.304937 92.58115) - (xy 91.288883 92.479792) - (xy 91.287957 92.473945) - (xy 91.230016 92.360229) - (xy 91.139771 92.269984) - (xy 91.12946 92.26473) - (xy 91.044527 92.221455) - (xy 91.026055 92.212043) - (xy 90.9 92.192078) - (xy 90.773945 92.212043) - (xy 90.755473 92.221455) - (xy 90.670541 92.26473) - (xy 90.660229 92.269984) - (xy 90.569984 92.360229) - (xy 90.512043 92.473945) - (xy 90.511117 92.479792) - (xy 90.495064 92.58115) - (xy 90.492078 92.6) - (xy 81.365299 92.6) - (xy 79.55971 90.79441) - (xy 90.292477 90.79441) - (xy 90.293171 90.799717) - (xy 90.293171 90.79972) - (xy 90.29475 90.811792) - (xy 90.309298 90.923046) - (xy 90.311457 90.927952) - (xy 90.311457 90.927953) - (xy 90.343616 91.001039) - (xy 90.361547 91.041791) - (xy 90.445023 91.141098) - (xy 90.47466 91.160826) - (xy 90.536022 91.201671) - (xy 90.553017 91.212984) - (xy 90.676845 91.251671) - (xy 90.743716 91.252896) - (xy 90.801193 91.25395) - (xy 90.801195 91.25395) - (xy 90.806555 91.254048) - (xy 90.811726 91.252638) - (xy 90.811728 91.252638) - (xy 90.891627 91.230855) - (xy 90.931718 91.219925) - (xy 91.042273 91.152044) - (xy 91.045865 91.148076) - (xy 91.045867 91.148074) - (xy 91.099399 91.088932) - (xy 91.129332 91.055863) - (xy 91.185897 90.939112) - (xy 91.20742 90.811179) - (xy 91.207557 90.8) - (xy 91.207145 90.797122) - (xy 91.189925 90.676876) - (xy 91.189924 90.676873) - (xy 91.189166 90.671579) - (xy 91.13547 90.553482) - (xy 91.127969 90.544776) - (xy 91.054282 90.459258) - (xy 91.054281 90.459257) - (xy 91.050787 90.455202) - (xy 91.045157 90.451553) - (xy 90.946416 90.387552) - (xy 90.946415 90.387551) - (xy 90.941923 90.38464) - (xy 90.899367 90.371913) - (xy 90.822765 90.349004) - (xy 90.822762 90.349004) - (xy 90.817631 90.347469) - (xy 90.812276 90.347436) - (xy 90.812274 90.347436) - (xy 90.754164 90.347081) - (xy 90.687902 90.346676) - (xy 90.563166 90.382326) - (xy 90.453448 90.451553) - (xy 90.36757 90.548791) - (xy 90.365294 90.553638) - (xy 90.365293 90.55364) - (xy 90.333426 90.621516) - (xy 90.312436 90.666223) - (xy 90.292477 90.79441) - (xy 79.55971 90.79441) - (xy 78.909758 90.144458) - (xy 78.907047 90.141602) - (xy 78.885237 90.117379) - (xy 78.879949 90.111506) - (xy 78.857478 90.101501) - (xy 78.847121 90.095877) - (xy 78.833125 90.086788) - (xy 78.833124 90.086788) - (xy 78.826497 90.082484) - (xy 78.818061 90.081148) - (xy 78.799245 90.075574) - (xy 78.791442 90.0721) - (xy 78.766847 90.0721) - (xy 78.755084 90.071174) - (xy 78.738613 90.068565) - (xy 78.738611 90.068565) - (xy 78.730806 90.067329) - (xy 78.723174 90.069374) - (xy 78.723173 90.069374) - (xy 78.722562 90.069538) - (xy 78.703099 90.0721) - (xy 58.207936 90.0721) - (xy 58.204 90.071997) - (xy 58.203151 90.071952) - (xy 58.163552 90.069877) - (xy 58.140592 90.07869) - (xy 58.129285 90.08204) - (xy 58.105234 90.087152) - (xy 58.09884 90.091798) - (xy 58.098839 90.091798) - (xy 58.098326 90.092171) - (xy 58.08108 90.101535) - (xy 58.080483 90.101764) - (xy 58.080482 90.101765) - (xy 58.073104 90.104597) - (xy 58.05572 90.121981) - (xy 58.046747 90.129645) - (xy 58.026854 90.144099) - (xy 58.022902 90.150944) - (xy 58.022585 90.151493) - (xy 58.010634 90.167067) - (xy 56.226661 91.951039) - (xy 54.444458 93.733242) - (xy 54.441603 93.735952) - (xy 54.411506 93.763051) - (xy 54.401501 93.785522) - (xy 54.395877 93.795879) - (xy 54.382484 93.816503) - (xy 54.381148 93.824939) - (xy 54.375574 93.843755) - (xy 54.3721 93.851558) - (xy 54.3721 93.876153) - (xy 54.371174 93.887916) - (xy 54.367329 93.912194) - (xy 46.101 93.912194) - (xy 46.101 93.606448) - (xy 46.10246 93.5917) - (xy 46.226026 92.97387) - (xy 46.232502 92.954996) - (xy 46.476545 92.466911) - (xy 46.49063 92.44737) - (xy 54.275409 84.662591) - (xy 56.192922 84.662591) - (xy 56.202321 84.841921) - (xy 56.203403 84.845848) - (xy 56.203403 84.84585) - (xy 56.227291 84.932573) - (xy 56.250008 85.015049) - (xy 56.251908 85.018653) - (xy 56.251909 85.018655) - (xy 56.331858 85.170291) - (xy 56.33376 85.173898) - (xy 56.336386 85.177005) - (xy 56.336389 85.17701) - (xy 56.396237 85.24783) - (xy 56.449668 85.311058) - (xy 56.592326 85.420128) - (xy 56.755077 85.49602) - (xy 56.930328 85.535193) - (xy 56.935819 85.5355) - (xy 57.067866 85.5355) - (xy 57.069889 85.53528) - (xy 57.069893 85.53528) - (xy 57.136105 85.528087) - (xy 57.201525 85.52098) - (xy 57.371722 85.463703) - (xy 57.375208 85.461608) - (xy 57.375211 85.461607) - (xy 57.522158 85.373311) - (xy 57.525648 85.371214) - (xy 57.656123 85.24783) - (xy 57.75706 85.099306) - (xy 57.823748 84.932573) - (xy 57.853078 84.755409) - (xy 57.843679 84.576079) - (xy 57.819817 84.489447) - (xy 57.797074 84.406878) - (xy 57.797073 84.406875) - (xy 57.795992 84.402951) - (xy 57.749793 84.315326) - (xy 57.714142 84.247709) - (xy 57.714141 84.247707) - (xy 57.71224 84.244102) - (xy 57.709614 84.240995) - (xy 57.709611 84.24099) - (xy 57.598959 84.110051) - (xy 57.596332 84.106942) - (xy 57.453674 83.997872) - (xy 57.290923 83.92198) - (xy 57.115672 83.882807) - (xy 57.110181 83.8825) - (xy 56.978134 83.8825) - (xy 56.976111 83.88272) - (xy 56.976107 83.88272) - (xy 56.909895 83.889913) - (xy 56.844475 83.89702) - (xy 56.674278 83.954297) - (xy 56.670792 83.956392) - (xy 56.670789 83.956393) - (xy 56.597645 84.000343) - (xy 56.520352 84.046786) - (xy 56.389877 84.17017) - (xy 56.28894 84.318694) - (xy 56.222252 84.485427) - (xy 56.192922 84.662591) - (xy 54.275409 84.662591) - (xy 56.561409 82.376591) - (xy 139.631922 82.376591) - (xy 139.632135 82.380658) - (xy 139.632135 82.380661) - (xy 139.633972 82.4157) - (xy 139.641321 82.555921) - (xy 139.642403 82.559848) - (xy 139.642403 82.55985) - (xy 139.666291 82.646573) - (xy 139.689008 82.729049) - (xy 139.690908 82.732653) - (xy 139.690909 82.732655) - (xy 139.770858 82.884291) - (xy 139.77276 82.887898) - (xy 139.775386 82.891005) - (xy 139.775389 82.89101) - (xy 139.835237 82.96183) - (xy 139.888668 83.025058) - (xy 140.031326 83.134128) - (xy 140.194077 83.21002) - (xy 140.369328 83.249193) - (xy 140.374819 83.2495) - (xy 140.506866 83.2495) - (xy 140.508889 83.24928) - (xy 140.508893 83.24928) - (xy 140.575105 83.242087) - (xy 140.640525 83.23498) - (xy 140.810722 83.177703) - (xy 140.814208 83.175608) - (xy 140.814211 83.175607) - (xy 140.961158 83.087311) - (xy 140.964648 83.085214) - (xy 141.095123 82.96183) - (xy 141.19606 82.813306) - (xy 141.262748 82.646573) - (xy 141.292078 82.469409) - (xy 141.282679 82.290079) - (xy 141.258817 82.203447) - (xy 141.236074 82.120878) - (xy 141.236073 82.120875) - (xy 141.234992 82.116951) - (xy 141.188793 82.029326) - (xy 141.153142 81.961709) - (xy 141.153141 81.961707) - (xy 141.15124 81.958102) - (xy 141.148614 81.954995) - (xy 141.148611 81.95499) - (xy 141.037959 81.824051) - (xy 141.035332 81.820942) - (xy 140.892674 81.711872) - (xy 140.729923 81.63598) - (xy 140.554672 81.596807) - (xy 140.549181 81.5965) - (xy 140.417134 81.5965) - (xy 140.415111 81.59672) - (xy 140.415107 81.59672) - (xy 140.348895 81.603913) - (xy 140.283475 81.61102) - (xy 140.113278 81.668297) - (xy 140.109792 81.670392) - (xy 140.109789 81.670393) - (xy 140.036645 81.714343) - (xy 139.959352 81.760786) - (xy 139.828877 81.88417) - (xy 139.72794 82.032694) - (xy 139.661252 82.199427) - (xy 139.631922 82.376591) - (xy 56.561409 82.376591) - (xy 58.15737 80.78063) - (xy 58.176914 80.766543) - (xy 58.666615 80.521693) - (xy 58.682006 80.515999) - (xy 59.17302 80.393245) - (xy 59.191259 80.391) - (xy 142.994552 80.391) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 65.507322 120.032593) - (xy 65.512158 120.037026) - (xy 65.621722 120.14659) - (xy 65.631219 120.151018) - (xy 65.635207 120.146049) - (xy 65.670261 120.061422) - (xy 65.705014 120.023497) - (xy 65.739737 120.015) - (xy 65.831722 120.015) - (xy 65.88006 120.032593) - (xy 65.901198 120.061422) - (xy 65.943545 120.163658) - (xy 65.986937 120.220208) - (xy 66.003879 120.242287) - (xy 66.019347 120.291346) - (xy 65.999662 120.33887) - (xy 65.954034 120.362623) - (xy 65.936228 120.36284) - (xy 65.86532 120.355262) - (xy 65.865314 120.355262) - (xy 65.863333 120.35505) - (xy 65.726061 120.35505) - (xy 65.724519 120.355177) - (xy 65.724514 120.355177) - (xy 65.635993 120.362455) - (xy 65.550341 120.369497) - (xy 65.487805 120.385205) - (xy 65.43664 120.379918) - (xy 65.416313 120.365445) - (xy 65.194242 120.143374) - (xy 65.172502 120.096754) - (xy 65.185816 120.047067) - (xy 65.227953 120.017562) - (xy 65.247416 120.015) - (xy 65.458984 120.015) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 65.522791 113.995493) - (xy 65.527627 113.999926) - (xy 67.441074 115.913373) - (xy 67.462814 115.959993) - (xy 67.4631 115.966547) - (xy 67.4631 116.841453) - (xy 67.445507 116.889791) - (xy 67.441074 116.894627) - (xy 67.334323 117.001378) - (xy 67.287703 117.023118) - (xy 67.238016 117.009804) - (xy 67.208511 116.967667) - (xy 67.207114 116.935016) - (xy 67.208525 116.927099) - (xy 67.218232 116.872601) - (xy 67.221933 116.851826) - (xy 67.221933 116.851822) - (xy 67.222474 116.848787) - (xy 67.222776 116.824124) - (xy 67.223821 116.738581) - (xy 67.225363 116.61235) - (xy 67.223179 116.598074) - (xy 67.190064 116.381668) - (xy 67.189597 116.378616) - (xy 67.187777 116.373046) - (xy 67.117096 116.1568) - (xy 67.116136 116.153862) - (xy 67.040394 116.008362) - (xy 67.00838 115.946864) - (xy 67.008379 115.946862) - (xy 67.006954 115.944125) - (xy 66.92475 115.83464) - (xy 66.866835 115.757504) - (xy 66.866834 115.757502) - (xy 66.864981 115.755035) - (xy 66.830491 115.722075) - (xy 66.696261 115.593802) - (xy 66.69626 115.593801) - (xy 66.694033 115.591673) - (xy 66.665049 115.571901) - (xy 66.543684 115.489112) - (xy 66.498698 115.458425) - (xy 66.311316 115.371445) - (xy 66.287024 115.360169) - (xy 66.287022 115.360168) - (xy 66.284223 115.358869) - (xy 66.198407 115.33507) - (xy 66.059341 115.296503) - (xy 66.059335 115.296502) - (xy 66.056368 115.295679) - (xy 66.053302 115.295351) - (xy 66.0533 115.295351) - (xy 65.86532 115.275262) - (xy 65.865314 115.275262) - (xy 65.863333 115.27505) - (xy 65.726061 115.27505) - (xy 65.724519 115.275177) - (xy 65.724514 115.275177) - (xy 65.627336 115.283167) - (xy 65.550341 115.289497) - (xy 65.456911 115.312965) - (xy 65.324007 115.346348) - (xy 65.324005 115.346349) - (xy 65.32101 115.347101) - (xy 65.318182 115.348331) - (xy 65.318178 115.348332) - (xy 65.18565 115.405957) - (xy 65.104167 115.441387) - (xy 65.101574 115.443064) - (xy 65.101573 115.443065) - (xy 64.908228 115.568145) - (xy 64.908224 115.568148) - (xy 64.905635 115.569823) - (xy 64.730746 115.72896) - (xy 64.584196 115.914524) - (xy 64.582705 115.917225) - (xy 64.582703 115.917228) - (xy 64.568935 115.942169) - (xy 64.530171 115.975984) - (xy 64.478741 115.976972) - (xy 64.438709 115.944669) - (xy 64.4279 115.905826) - (xy 64.4279 114.557936) - (xy 64.428003 114.554) - (xy 64.429709 114.521445) - (xy 64.430123 114.513552) - (xy 64.42131 114.490592) - (xy 64.41796 114.479284) - (xy 64.412848 114.455234) - (xy 64.407829 114.448325) - (xy 64.398465 114.43108) - (xy 64.398236 114.430483) - (xy 64.398235 114.430482) - (xy 64.395403 114.423104) - (xy 64.378019 114.40572) - (xy 64.370355 114.396748) - (xy 64.360546 114.383247) - (xy 64.360545 114.383247) - (xy 64.355901 114.376854) - (xy 64.348507 114.372585) - (xy 64.332933 114.360634) - (xy 64.078573 114.106274) - (xy 64.056833 114.059654) - (xy 64.070147 114.009967) - (xy 64.112284 113.980462) - (xy 64.131747 113.9779) - (xy 65.474453 113.9779) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 68.256791 107.179493) - (xy 68.261627 107.183926) - (xy 71.626691 110.54899) - (xy 71.648431 110.59561) - (xy 71.647791 110.613927) - (xy 71.646331 110.623146) - (xy 71.642078 110.65) - (xy 71.662043 110.776055) - (xy 71.68852 110.828019) - (xy 71.713625 110.87729) - (xy 71.719984 110.889771) - (xy 71.810229 110.980016) - (xy 71.815505 110.982704) - (xy 71.815506 110.982705) - (xy 71.8489 110.99972) - (xy 71.923945 111.037957) - (xy 72.05 111.057922) - (xy 72.176055 111.037957) - (xy 72.2511 110.99972) - (xy 72.284494 110.982705) - (xy 72.284495 110.982704) - (xy 72.289771 110.980016) - (xy 72.369861 110.899926) - (xy 72.416481 110.878186) - (xy 72.423035 110.8779) - (xy 83.892064 110.8779) - (xy 83.896 110.878003) - (xy 83.936448 110.880123) - (xy 83.959408 110.87131) - (xy 83.970715 110.86796) - (xy 83.994766 110.862848) - (xy 84.001675 110.857829) - (xy 84.01892 110.848465) - (xy 84.019517 110.848236) - (xy 84.019518 110.848235) - (xy 84.026896 110.845403) - (xy 84.04428 110.828019) - (xy 84.053252 110.820355) - (xy 84.066753 110.810546) - (xy 84.066753 110.810545) - (xy 84.073146 110.805901) - (xy 84.077415 110.798507) - (xy 84.089366 110.782933) - (xy 85.105532 109.766767) - (xy 85.108388 109.764056) - (xy 85.132622 109.742236) - (xy 85.138494 109.736949) - (xy 85.145135 109.722035) - (xy 85.148496 109.714485) - (xy 85.154126 109.704116) - (xy 85.156844 109.69993) - (xy 85.167516 109.683497) - (xy 85.168852 109.675061) - (xy 85.174426 109.656245) - (xy 85.1779 109.648442) - (xy 85.1779 109.623847) - (xy 85.178826 109.612084) - (xy 85.181435 109.595613) - (xy 85.181435 109.595611) - (xy 85.182671 109.587806) - (xy 85.180462 109.579561) - (xy 85.1779 109.560099) - (xy 85.1779 107.382196) - (xy 85.195493 107.333858) - (xy 85.240042 107.308138) - (xy 85.247336 107.3075) - (xy 85.25 107.307922) - (xy 85.255847 107.306996) - (xy 85.255848 107.306996) - (xy 85.286073 107.302209) - (xy 85.336567 107.312024) - (xy 85.35101 107.323309) - (xy 85.700074 107.672373) - (xy 85.721814 107.718993) - (xy 85.7221 107.725547) - (xy 85.7221 109.474453) - (xy 85.704507 109.522791) - (xy 85.700074 109.527627) - (xy 83.377626 111.850074) - (xy 83.331006 111.871814) - (xy 83.324452 111.8721) - (xy 72.473035 111.8721) - (xy 72.424697 111.854507) - (xy 72.419861 111.850074) - (xy 72.339771 111.769984) - (xy 72.316962 111.758362) - (xy 72.286656 111.742921) - (xy 72.226055 111.712043) - (xy 72.1 111.692078) - (xy 71.973945 111.712043) - (xy 71.913344 111.742921) - (xy 71.883039 111.758362) - (xy 71.860229 111.769984) - (xy 71.780139 111.850074) - (xy 71.733519 111.871814) - (xy 71.726965 111.8721) - (xy 66.725547 111.8721) - (xy 66.677209 111.854507) - (xy 66.672373 111.850074) - (xy 64.6223 109.8) - (xy 69.342078 109.8) - (xy 69.362043 109.926055) - (xy 69.419984 110.039771) - (xy 69.510229 110.130016) - (xy 69.515505 110.132704) - (xy 69.515506 110.132705) - (xy 69.563344 110.157079) - (xy 69.623945 110.187957) - (xy 69.629792 110.188883) - (xy 69.736899 110.205847) - (xy 69.75 110.207922) - (xy 69.763102 110.205847) - (xy 69.870208 110.188883) - (xy 69.876055 110.187957) - (xy 69.936656 110.157079) - (xy 69.984494 110.132705) - (xy 69.984495 110.132704) - (xy 69.989771 110.130016) - (xy 70.080016 110.039771) - (xy 70.137957 109.926055) - (xy 70.157922 109.8) - (xy 70.154526 109.778555) - (xy 70.138883 109.679792) - (xy 70.137957 109.673945) - (xy 70.080016 109.560229) - (xy 69.989771 109.469984) - (xy 69.876055 109.412043) - (xy 69.813027 109.40206) - (xy 69.755847 109.393004) - (xy 69.75 109.392078) - (xy 69.744153 109.393004) - (xy 69.686973 109.40206) - (xy 69.623945 109.412043) - (xy 69.510229 109.469984) - (xy 69.419984 109.560229) - (xy 69.362043 109.673945) - (xy 69.361117 109.679792) - (xy 69.345475 109.778555) - (xy 69.342078 109.8) - (xy 64.6223 109.8) - (xy 64.384926 109.562626) - (xy 64.363186 109.516006) - (xy 64.3629 109.509452) - (xy 64.3629 109.2821) - (xy 64.380493 109.233762) - (xy 64.425042 109.208042) - (xy 64.4381 109.2069) - (xy 64.766708 109.2069) - (xy 64.769624 109.206438) - (xy 64.769626 109.206438) - (xy 64.842101 109.194959) - (xy 64.861055 109.191957) - (xy 64.974771 109.134016) - (xy 65.065016 109.043771) - (xy 65.067979 109.037957) - (xy 65.099636 108.975826) - (xy 65.122957 108.930055) - (xy 65.131071 108.878826) - (xy 65.137438 108.838626) - (xy 65.137438 108.838624) - (xy 65.1379 108.835708) - (xy 65.1379 107.572292) - (xy 65.132615 107.53892) - (xy 65.123883 107.483792) - (xy 65.122957 107.477945) - (xy 65.065016 107.364229) - (xy 64.991061 107.290274) - (xy 64.969321 107.243654) - (xy 64.982635 107.193967) - (xy 65.024772 107.164462) - (xy 65.044235 107.1619) - (xy 68.208453 107.1619) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 69.586791 105.909493) - (xy 69.591627 105.913926) - (xy 73.971426 110.293726) - (xy 73.993166 110.340346) - (xy 73.979852 110.390033) - (xy 73.937715 110.419538) - (xy 73.918252 110.4221) - (xy 72.423035 110.4221) - (xy 72.374697 110.404507) - (xy 72.369861 110.400074) - (xy 72.289771 110.319984) - (xy 72.176055 110.262043) - (xy 72.05 110.242078) - (xy 72.044153 110.243004) - (xy 72.013929 110.247791) - (xy 71.963434 110.237976) - (xy 71.948991 110.226691) - (xy 68.500758 106.778458) - (xy 68.498047 106.775602) - (xy 68.476237 106.751379) - (xy 68.470949 106.745506) - (xy 68.448478 106.735501) - (xy 68.438121 106.729877) - (xy 68.424125 106.720788) - (xy 68.424124 106.720788) - (xy 68.417497 106.716484) - (xy 68.409061 106.715148) - (xy 68.390245 106.709574) - (xy 68.382442 106.7061) - (xy 68.357847 106.7061) - (xy 68.346084 106.705174) - (xy 68.329613 106.702565) - (xy 68.329611 106.702565) - (xy 68.321806 106.701329) - (xy 68.314174 106.703374) - (xy 68.314173 106.703374) - (xy 68.313562 106.703538) - (xy 68.294099 106.7061) - (xy 64.612073 106.7061) - (xy 64.563735 106.688507) - (xy 64.538015 106.643958) - (xy 64.546948 106.5933) - (xy 64.578164 106.563779) - (xy 64.674981 106.514874) - (xy 64.829966 106.393786) - (xy 64.836657 106.386035) - (xy 64.853585 106.366423) - (xy 64.95848 106.244901) - (xy 64.983987 106.2) - (xy 65.05381 106.077091) - (xy 65.053812 106.077088) - (xy 65.055628 106.07389) - (xy 65.078132 106.006241) - (xy 65.099049 105.943363) - (xy 65.131 105.90305) - (xy 65.170404 105.8919) - (xy 69.538453 105.8919) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 87.081791 129.695493) - (xy 87.086627 129.699926) - (xy 88.650074 131.263374) - (xy 88.671814 131.309994) - (xy 88.6721 131.316548) - (xy 88.6721 131.3839) - (xy 88.654507 131.432238) - (xy 88.609958 131.457958) - (xy 88.5969 131.4591) - (xy 88.477516 131.4591) - (xy 88.39045 131.469636) - (xy 88.321841 131.4968) - (xy 88.25921 131.521597) - (xy 88.259209 131.521598) - (xy 88.254442 131.523485) - (xy 88.250361 131.526583) - (xy 88.250357 131.526585) - (xy 88.210684 131.556699) - (xy 88.165218 131.572) - (xy 87.094782 131.572) - (xy 87.049316 131.556699) - (xy 87.009643 131.526585) - (xy 87.009639 131.526583) - (xy 87.005558 131.523485) - (xy 87.000791 131.521598) - (xy 87.00079 131.521597) - (xy 86.938159 131.4968) - (xy 86.86955 131.469636) - (xy 86.782484 131.4591) - (xy 86.6631 131.4591) - (xy 86.614762 131.441507) - (xy 86.589042 131.396958) - (xy 86.5879 131.3839) - (xy 86.5879 130.500436) - (xy 86.588003 130.4965) - (xy 86.588867 130.480019) - (xy 86.590123 130.456052) - (xy 86.581311 130.433096) - (xy 86.577959 130.421781) - (xy 86.574491 130.405465) - (xy 86.572848 130.397734) - (xy 86.567832 130.39083) - (xy 86.558466 130.37358) - (xy 86.555404 130.365604) - (xy 86.538017 130.348217) - (xy 86.530353 130.339245) - (xy 86.520546 130.325747) - (xy 86.515901 130.319354) - (xy 86.509059 130.315403) - (xy 86.509057 130.315402) - (xy 86.508508 130.315085) - (xy 86.492934 130.303134) - (xy 85.996074 129.806274) - (xy 85.974334 129.759654) - (xy 85.987648 129.709967) - (xy 86.029785 129.680462) - (xy 86.049248 129.6779) - (xy 87.033453 129.6779) - ) - ) - (filled_polygon - (layer "B.Cu") - (pts - (xy 85.540291 129.995493) - (xy 85.545127 129.999926) - (xy 86.110074 130.564873) - (xy 86.131814 130.611493) - (xy 86.1321 130.618047) - (xy 86.1321 131.3839) - (xy 86.114507 131.432238) - (xy 86.069958 131.457958) - (xy 86.0569 131.4591) - (xy 85.937516 131.4591) - (xy 85.85045 131.469636) - (xy 85.781841 131.4968) - (xy 85.71921 131.521597) - (xy 85.719209 131.521598) - (xy 85.714442 131.523485) - (xy 85.710361 131.526583) - (xy 85.710357 131.526585) - (xy 85.670684 131.556699) - (xy 85.625218 131.572) - (xy 84.554782 131.572) - (xy 84.509316 131.556699) - (xy 84.469643 131.526585) - (xy 84.469639 131.526583) - (xy 84.465558 131.523485) - (xy 84.460791 131.521598) - (xy 84.46079 131.521597) - (xy 84.398159 131.4968) - (xy 84.32955 131.469636) - (xy 84.242484 131.4591) - (xy 84.1231 131.4591) - (xy 84.074762 131.441507) - (xy 84.049042 131.396958) - (xy 84.0479 131.3839) - (xy 84.0479 130.690929) - (xy 84.048003 130.686992) - (xy 84.048784 130.672087) - (xy 84.050123 130.646552) - (xy 84.04131 130.623592) - (xy 84.03796 130.612284) - (xy 84.034491 130.595965) - (xy 84.032848 130.588234) - (xy 84.027829 130.581325) - (xy 84.018465 130.56408) - (xy 84.018236 130.563483) - (xy 84.018235 130.563482) - (xy 84.015403 130.556104) - (xy 83.998019 130.53872) - (xy 83.990355 130.529748) - (xy 83.980546 130.516247) - (xy 83.980545 130.516247) - (xy 83.975901 130.509854) - (xy 83.968507 130.505585) - (xy 83.952933 130.493634) - (xy 83.568315 130.109016) - (xy 83.546575 130.062396) - (xy 83.559889 130.012709) - (xy 83.602026 129.983204) - (xy 83.608793 129.982132) - (xy 83.612194 129.982671) - (xy 83.619826 129.980626) - (xy 83.619827 129.980626) - (xy 83.620438 129.980462) - (xy 83.639901 129.9779) - (xy 85.491953 129.9779) - ) - ) - ) - (zone (net 0) (net_name "") (layer "B.Cu") (tstamp 00000000-0000-0000-0000-00005ffe5745) (hatch edge 0.508) - (connect_pads (clearance 0)) - (min_thickness 0.254) - (keepout (tracks allowed) (vias allowed) (pads allowed ) (copperpour not_allowed) (footprints allowed)) - (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) - (polygon - (pts - (xy 111.9 92.65) - (xy 125.5 92.65) - (xy 125.5 113.45) - (xy 109.35 113.45) - (xy 108.1 112.2) - (xy 108.1 105.2) - (xy 107.6 104.7) - (xy 106.8 104.7) - (xy 104.75 106.75) - (xy 103.15 106.75) - (xy 102.15 105.75) - (xy 100.05 105.75) - (xy 98.7 104.4) - (xy 98.7 99.55) - (xy 99.65 98.6) - (xy 99.65 97.6) - (xy 99.35 97.3) - (xy 97.65 97.3) - (xy 97.45 97.5) - (xy 97 97.5) - (xy 96.6 97.1) - (xy 96.6 96.85) - (xy 95.35 95.6) - (xy 95.35 94.7) - (xy 97.45 92.6) - (xy 99.1 92.6) - (xy 99.85 91.85) - (xy 111.1 91.85) - ) - ) - ) -) diff --git a/GR8RAM.kicad_prl b/GR8RAM.kicad_prl deleted file mode 100644 index 1d813ca..0000000 --- a/GR8RAM.kicad_prl +++ /dev/null @@ -1,75 +0,0 @@ -{ - "board": { - "active_layer": 0, - "active_layer_preset": "All Layers", - "auto_track_width": true, - "hidden_nets": [], - "high_contrast_mode": 0, - "net_color_mode": 1, - "opacity": { - "pads": 1.0, - "tracks": 1.0, - "vias": 1.0, - "zones": 0.6 - }, - "ratsnest_display_mode": 0, - "selection_filter": { - "dimensions": true, - "footprints": true, - "graphics": true, - "keepouts": true, - "lockedItems": true, - "otherItems": true, - "pads": true, - "text": true, - "tracks": true, - "vias": true, - "zones": true - }, - "visible_items": [ - 0, - 1, - 2, - 3, - 4, - 5, - 8, - 9, - 10, - 11, - 12, - 13, - 14, - 15, - 16, - 17, - 18, - 19, - 20, - 21, - 22, - 23, - 24, - 25, - 26, - 27, - 28, - 29, - 30, - 32, - 33, - 34, - 35, - 36 - ], - "visible_layers": "fffffff_ffffffff", - "zone_display_mode": 0 - }, - "meta": { - "filename": "GR8RAM.kicad_prl", - "version": 3 - }, - "project": { - "files": [] - } -} diff --git a/GR8RAM b/Hardware/LCMXO2/GR8RAM similarity index 100% rename from GR8RAM rename to Hardware/LCMXO2/GR8RAM diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_233607.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_233607.zip new file mode 100644 index 0000000..9772173 Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_233607.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_234229.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_234229.zip new file mode 100644 index 0000000..504efdc Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_234229.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_234732.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_234732.zip new file mode 100644 index 0000000..8c6e06c Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_234732.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_235234.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_235234.zip new file mode 100644 index 0000000..7cf0a0a Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_235234.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_235759.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_235759.zip new file mode 100644 index 0000000..d885841 Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-04_235759.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_033754.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_033754.zip new file mode 100644 index 0000000..445881d Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_033754.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_034322.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_034322.zip new file mode 100644 index 0000000..70bc0e6 Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_034322.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_034825.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_034825.zip new file mode 100644 index 0000000..7fb9a7e Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_034825.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_035325.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_035325.zip new file mode 100644 index 0000000..c932d9f Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_035325.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_153732.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_153732.zip new file mode 100644 index 0000000..01da5b6 Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-05_153732.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-06_024300.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-06_024300.zip new file mode 100644 index 0000000..8afc1fa Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-06_024300.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-06_025004.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-06_025004.zip new file mode 100644 index 0000000..52bb22e Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-06_025004.zip differ diff --git a/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-09_222908.zip b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-09_222908.zip new file mode 100644 index 0000000..eed1f63 Binary files /dev/null and b/Hardware/LCMXO2/GR8RAM-backups/GR8RAM-2024-03-09_222908.zip differ diff --git a/GR8RAM-cache.lib b/Hardware/LCMXO2/GR8RAM-cache.lib similarity index 100% rename from GR8RAM-cache.lib rename to Hardware/LCMXO2/GR8RAM-cache.lib diff --git a/Hardware/LCMXO2/GR8RAM.kicad_pcb b/Hardware/LCMXO2/GR8RAM.kicad_pcb new file mode 100644 index 0000000..82b9942 --- /dev/null +++ b/Hardware/LCMXO2/GR8RAM.kicad_pcb @@ -0,0 +1,94834 @@ +(kicad_pcb (version 20221018) (generator pcbnew) + + (general + (thickness 1.6108) + ) + + (paper "A4") + (title_block + (title "GR8RAM (GW4205A)") + (date "2021-04-20") + (rev "1.0") + (company "Garrett's Workshop") + ) + + (layers + (0 "F.Cu" signal) + (1 "In1.Cu" power) + (2 "In2.Cu" power) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "In1.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 2" (type "prepreg") (thickness 1.065) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In2.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 3" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) + (pad_to_paste_clearance -0.0381) + (pcbplotparams + (layerselection 0x00010f8_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions true) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 6) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber/") + ) + ) + + (net 0 "") + (net 1 "+5V") + (net 2 "GND") + (net 3 "/A4") + (net 4 "/D7") + (net 5 "/D6") + (net 6 "/A8") + (net 7 "/A7") + (net 8 "/A6") + (net 9 "/A5") + (net 10 "/A3") + (net 11 "/A2") + (net 12 "/A1") + (net 13 "/A0") + (net 14 "/A9") + (net 15 "/D1") + (net 16 "/D5") + (net 17 "/D0") + (net 18 "/D2") + (net 19 "/D3") + (net 20 "/D4") + (net 21 "/A10") + (net 22 "+12V") + (net 23 "-12V") + (net 24 "-5V") + (net 25 "/~{IOSEL}") + (net 26 "/A11") + (net 27 "/A12") + (net 28 "/A13") + (net 29 "/A14") + (net 30 "/A15") + (net 31 "/R~{W}") + (net 32 "/~{IOSTRB}") + (net 33 "/~{NMI}") + (net 34 "/~{IRQ}") + (net 35 "/~{RES}") + (net 36 "/~{INH}") + (net 37 "/COLORREF") + (net 38 "/7M") + (net 39 "/Q3") + (net 40 "/PHI1") + (net 41 "/USER1") + (net 42 "/PHI0") + (net 43 "/~{DEVSEL}") + (net 44 "/INTin") + (net 45 "/DMAin") + (net 46 "/TCK") + (net 47 "/TDO") + (net 48 "/TMS") + (net 49 "/TDI") + (net 50 "/RA0") + (net 51 "/RA1") + (net 52 "/RA2") + (net 53 "/RA3") + (net 54 "/RA4") + (net 55 "/RA5") + (net 56 "/RA6") + (net 57 "/RA7") + (net 58 "/RA8") + (net 59 "/RA9") + (net 60 "/RA10") + (net 61 "/RD0") + (net 62 "/RD1") + (net 63 "/RD2") + (net 64 "/RD3") + (net 65 "/RD4") + (net 66 "/RD5") + (net 67 "/RD6") + (net 68 "/RD7") + (net 69 "/VIDSYNC") + (net 70 "+3V3") + (net 71 "/~{DMA}") + (net 72 "/R~{RES}") + (net 73 "/R~{IOSTRB}") + (net 74 "/R~{DEVSEL}") + (net 75 "/R~{IOSEL}") + (net 76 "/RA11") + (net 77 "/RA12") + (net 78 "/RA13") + (net 79 "/RA14") + (net 80 "/RA15") + (net 81 "/Ddir") + (net 82 "/USB5V") + (net 83 "/ACLK") + (net 84 "/RCLK") + (net 85 "/SD0") + (net 86 "/SD1") + (net 87 "/SD3") + (net 88 "/SD2") + (net 89 "/SD7") + (net 90 "/SD5") + (net 91 "/SD4") + (net 92 "/SDQML") + (net 93 "/S~{WE}") + (net 94 "/S~{CAS}") + (net 95 "/S~{RAS}") + (net 96 "/S~{CS}") + (net 97 "/SA0") + (net 98 "/SA3") + (net 99 "/SA4") + (net 100 "/SA6") + (net 101 "/SCKE") + (net 102 "/SDQMH") + (net 103 "/RR~{W}in") + (net 104 "/~{RDY}") + (net 105 "Net-(U7-XO)") + (net 106 "/SA12") + (net 107 "/SBA0") + (net 108 "/SA11") + (net 109 "/SBA1") + (net 110 "/SA9") + (net 111 "/SA10") + (net 112 "/SA8") + (net 113 "/SA7") + (net 114 "/SA1") + (net 115 "/SA2") + (net 116 "/SA5") + (net 117 "/MISO") + (net 118 "/MOSI") + (net 119 "/F~{CS}") + (net 120 "/FCK") + (net 121 "/RES~{OE}") + (net 122 "/FD2") + (net 123 "/FD3") + (net 124 "/RPHI0") + (net 125 "Net-(U7-XI)") + (net 126 "unconnected-(J2-Pin_9-Pad9)") + (net 127 "unconnected-(J2-Pin_10-Pad10)") + (net 128 "unconnected-(J2-Pin_8-Pad8)") + (net 129 "unconnected-(J3-ID-Pad4)") + (net 130 "Net-(J3-D+)") + (net 131 "Net-(J3-D-)") + (net 132 "Net-(J5-Pin_6)") + (net 133 "unconnected-(J5-Pin_9-Pad9)") + (net 134 "unconnected-(J5-Pin_10-Pad10)") + (net 135 "/SD6") + (net 136 "/FW1") + (net 137 "/FW0") + (net 138 "unconnected-(U5-B7-Pad11)") + (net 139 "unconnected-(U5-B6-Pad12)") + (net 140 "/UTCK") + (net 141 "Net-(U13-Output)") + (net 142 "unconnected-(U10-NC-Pad4)") + (net 143 "Net-(U14-Y)") + (net 144 "Net-(J2-Pin_1)") + (net 145 "Net-(U11-Y)") + (net 146 "/IRQ~{OE}") + (net 147 "/DONE") + (net 148 "/~{INIT}") + (net 149 "/~{PROG}") + (net 150 "/~{JTAGEN}") + (net 151 "+1V2") + (net 152 "/RCLKout") + + (footprint "stdpads:USB_Micro-B_Amphenol_10118192-0001" (layer "F.Cu") + (tstamp 03209ad2-8aa4-4794-bc77-d63690365bf9) + (at 47 99.8 -90) + (descr "Micro USB B receptable with flange, bottom-mount, SMD, right-angle (http://www.molex.com/pdm_docs/sd/473460001_sd.pdf)") + (tags "Micro B USB SMD") + (property "LCSC Part" "C132564") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "USB Micro Type B connector") + (property "ki_keywords" "connector USB micro") + (path "/ef9de558-c2d0-40a8-a30e-1e763a5812b8") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "J3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6ef443fa-9b31-460b-8fa7-1a8c3580e3cc) + ) + (fp_text value "microUSB" (at 0 3.302 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 59f0c885-1bc4-4fc7-8120-8746482ae192) + ) + (fp_text user "PCB Edge" (at 0 1.47 90) (layer "Dwgs.User") + (effects (font (size 0.4 0.4) (thickness 0.04))) + (tstamp bd42f151-4b91-4228-971a-f74aafca6fb4) + ) + (fp_line (start -5 -3.9) (end 5 -3.9) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95f2ced0-0ea9-431b-9158-d0b0ef9c0046)) + (fp_line (start -5 2.7) (end -5 -3.9) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99a927ed-6c9d-4ec7-9574-085f43957a3b)) + (fp_line (start 5 -3.9) (end 5 2.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58f2eb34-2014-447e-ae6e-62bd8d14fd83)) + (fp_line (start 5 2.7) (end -5 2.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5e60abc-d99a-44c5-b796-2b3a8a85fc18)) + (fp_line (start -3.95 -3.35) (end 3.95 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75277977-de18-45d8-ba1f-0b7e32694f32)) + (fp_line (start -3.95 2.2) (end -3.95 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b966fd3-10b6-4315-adb4-7a631ef00068)) + (fp_line (start -3.25 1.45) (end 3.25 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecf8b82d-f519-4a06-84a1-7b3ec95c3d47)) + (fp_line (start 3.95 -3.35) (end 3.95 2.2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26e693b4-87e3-48c4-b075-cfbb816a98b0)) + (fp_line (start 3.95 2.2) (end -3.95 2.2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 538873d4-510c-4fd8-982c-2f0d4c55b82c)) + (pad "1" smd roundrect (at -1.3 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp ecdb978a-f04e-4956-b955-7c426e38fe7b)) + (pad "2" smd roundrect (at -0.65 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "Net-(J3-D-)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp fa1ab2c9-4ecf-4846-8175-49c9adcd3840)) + (pad "3" smd roundrect (at 0 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 130 "Net-(J3-D+)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp f3b48f31-7644-42df-9b3f-e73cfbfe5a85)) + (pad "4" smd roundrect (at 0.65 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 129 "unconnected-(J3-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp b8b2b43c-039b-4ea5-89d9-56fa1f25c239)) + (pad "5" smd roundrect (at 1.3 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp d11e9428-8cf1-4e5b-9085-b0c615fc7574)) + (pad "6" smd roundrect (at -3.8 0 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 14526b64-0870-47cc-96d6-42ed4d2b8ff1)) + (pad "6" smd roundrect (at -3.1 -2.55 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp c64fadf0-158d-4736-b41d-e5c111e9145e)) + (pad "6" smd roundrect (at -1.2 0 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 50137dd9-e976-4f1b-9e29-25be54410d06)) + (pad "6" smd roundrect (at 1.2 0 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 72215ac7-a5b9-4dbd-a47c-45065aa20c8d)) + (pad "6" smd roundrect (at 3.1 -2.55 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 270f16ef-06fc-4371-8694-19ea03d86ee5)) + (pad "6" smd roundrect (at 3.8 0 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 3ddbbb7f-b602-4183-8475-829b8c8d89cf)) + (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Molex_47346-0001.wrl" + (offset (xyz 0 1.5 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 09ea478f-1461-4320-9e42-3029f49b1f15) + (at 104.2 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bbf3") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C16" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9499ddca-7522-4d8a-8490-1715cc53eb08) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 478f8061-c5ff-488d-be8f-2f2aa82f0e43) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 006d0da0-0688-4a35-bc9b-11bc3f1980d7) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 694af833-31dc-4f98-a6da-d454970a06f8)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db37c6dc-c362-45ca-969f-7bf4f866a7f6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30b5dbf9-d387-4b20-89d4-d5d3bea51119)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77480ae1-466c-49da-977e-9c7b471b800e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65e27de4-69f1-4954-b305-319ea0079ce3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32d243c0-8598-4fb4-9077-d63dacd423d1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4507a402-08d2-4e51-82cb-58519bc5a274)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1210431-d022-413f-b89c-11245693c944)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91a07b7d-7aa0-4c17-ab39-48be075d309e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7487795f-b234-4c6a-8461-e0966ed79684)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 28984c1b-97b0-423f-9055-3d673e4d9d2e)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp fd630fff-8f2f-49de-ae2c-b27524280e13)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" (layer "F.Cu") + (tstamp 0b3b6b2b-d317-4de5-962b-061a2a1178e8) + (at 135.763 95.885) + (descr "SMD 8x-dip-switch SPST KingTek_DSHP08TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf)") + (tags "SMD DIP Switch SPST Slide 7.62mm 300mil") + (property "LCSC Part" "C319052") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "2x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol") + (property "ki_keywords" "dip switch") + (path "/00000000-0000-0000-0000-000062d6aa13") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "SW1" (at 0 -1.905 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 4dc0fe54-526c-418c-a0d7-c966b523edb7) + ) + (fp_text value "FW" (at 0 1.905 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 5938d12c-e809-4dad-9d9a-79d905b5ff2f) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a0b2a915-3cac-4694-b126-2552eeb1394d) + ) + (fp_text user "on" (at -1.5525 0.055 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 8c19cfd6-ea56-4aa9-ad00-e92767fe4b9d) + ) + (fp_line (start -2.131 -2.76) (end -0.696 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62c4f749-deac-44d7-bd32-86f6342369b7)) + (fp_line (start -2.131 2.76) (end -2.131 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 866288e6-3b77-42a5-b5e1-772060d3b5af)) + (fp_line (start -2.131 2.76) (end -1.315 2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ac8655b-88c7-45d4-bc7a-7d82d2025614)) + (fp_line (start -1.315 4.446) (end -1.315 2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a977bef-bdab-4e69-b7c4-14ab98f29841)) + (fp_line (start 0.695 -2.76) (end 2.13 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 636157a8-d33f-4909-8dd8-641f7f78e4a1)) + (fp_line (start 0.695 2.76) (end 2.13 2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73c0310e-f399-41fc-9181-1c8dbf7fb7b6)) + (fp_line (start 2.13 2.76) (end 2.13 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c13506c5-9338-4528-b916-c3782e5dba2f)) + (fp_line (start -2.413 -4.826) (end -2.413 4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccb2c310-28ad-40bc-95ce-c5f09f7618e6)) + (fp_line (start -2.413 4.826) (end 2.413 4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e41fe6d7-cdf0-406b-9855-5bdb50d56b24)) + (fp_line (start 2.413 -4.826) (end -2.413 -4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp beb48caf-e49e-482b-973d-6336bdb186ab)) + (fp_line (start 2.413 4.826) (end 2.413 -4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e91e6503-e76f-47c3-a258-77dd107da93c)) + (fp_line (start -2.07 -2.7) (end 2.07 -2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 32a4be33-5741-4074-a151-5613f78a4a8d)) + (fp_line (start -2.07 1.7) (end -2.07 -2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 310dcc6b-dd6a-4775-99da-fea1f75bfdcb)) + (fp_line (start -1.07 2.7) (end -2.07 1.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66e6daff-9b20-46f3-94f6-d6862ee78b94)) + (fp_line (start -1.035 -1) (end -1.035 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d275b10-1e39-4602-9bdc-7a777e2c6722)) + (fp_line (start -1.035 0.333333) (end -0.235 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eec94be8-07b7-4aa3-85d1-be861b4e0ca0)) + (fp_line (start -1.035 1) (end -0.235 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9ce103c-b0c5-41cb-80fb-b0b1694f34cd)) + (fp_line (start -0.935 1) (end -0.935 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25ba891a-8f72-4c2b-ab83-17213b91f4a5)) + (fp_line (start -0.835 1) (end -0.835 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 887bf607-b3a2-40cb-b7f6-9d5c5d2a3378)) + (fp_line (start -0.735 1) (end -0.735 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 180b9506-0792-4adb-8e2f-45b7d44af71a)) + (fp_line (start -0.635 1) (end -0.635 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 891607ca-3260-439b-a3f9-2d8f3f8cfb7f)) + (fp_line (start -0.535 1) (end -0.535 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0f60aae6-0667-4848-8205-0e3c442d7a7d)) + (fp_line (start -0.435 1) (end -0.435 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef226752-77dd-4126-b355-0979e08d448f)) + (fp_line (start -0.335 1) (end -0.335 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd56fa77-14cc-437a-ac8d-37d5adbb3a10)) + (fp_line (start -0.235 -1) (end -1.035 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86e475b4-a6fa-4b5c-9450-98b44d755622)) + (fp_line (start -0.235 1) (end -0.235 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2417c2c4-ae2a-4587-8366-1537fd18c787)) + (fp_line (start 0.235 -1) (end 0.235 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0415d35-5d65-4567-ad5c-0c349924bce7)) + (fp_line (start 0.235 0.333333) (end 1.035 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 690d7b41-c9c6-4cc7-bbda-10dbf9f8ede9)) + (fp_line (start 0.235 1) (end 1.035 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0f5fdd6-b755-4a65-8a46-46bdcd1876bd)) + (fp_line (start 0.335 1) (end 0.335 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8095f766-1937-45c4-973f-81124fcf5250)) + (fp_line (start 0.435 1) (end 0.435 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29cf56ab-4a39-437e-8904-474d42b47e3f)) + (fp_line (start 0.535 1) (end 0.535 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp add730f2-d311-4e71-ae42-7080c64acf46)) + (fp_line (start 0.635 1) (end 0.635 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70edaa1d-b35e-4876-95c4-fa35fff927de)) + (fp_line (start 0.735 1) (end 0.735 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66c30c85-c0d0-4353-81c2-b4d9d864c938)) + (fp_line (start 0.835 1) (end 0.835 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90978366-a1a4-4bcb-ada2-4d5bfa04683f)) + (fp_line (start 0.935 1) (end 0.935 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22aa9dcc-f347-4b05-a53d-1d050a18ffa2)) + (fp_line (start 1.035 -1) (end 0.235 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 680edfe6-e4c7-4fcd-884f-03576029f427)) + (fp_line (start 1.035 1) (end 1.035 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 636b4312-aa1c-44fa-be07-bbd01757fd6a)) + (fp_line (start 2.07 -2.7) (end 2.07 2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d39c056-9d2b-4288-8106-657ae67c102c)) + (fp_line (start 2.07 2.7) (end -1.07 2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f56d39f-c39a-47de-8057-639ae8f992e3)) + (pad "1" smd roundrect (at -0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 44ab168a-fe2c-4965-969b-deda3112fd54)) + (pad "2" smd roundrect (at 0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4c2deca5-93c0-4801-9ad7-6add9a7d955a)) + (pad "3" smd roundrect (at 0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "/FW0") (pintype "passive") (tstamp 5321c768-6a9c-4b5e-8eb1-ea618eff8a1a)) + (pad "4" smd roundrect (at -0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "/FW1") (pintype "passive") (tstamp 338c1d32-5dd0-4b53-9be7-8826017b59ca)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 0ce886f9-e4e7-49bd-8d39-1f96a85d0670) + (at 68.45 95.95) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/070a709f-c7d5-49db-8046-8a120cd3218c") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R19" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f04a8752-9165-4a01-a73a-c03694c9ac61) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 07c74cab-c5ce-4906-a3b4-d30094596bd3) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f15a26d1-d549-4c56-a4e0-1f3484da2fbf) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fa6c2216-9daf-4b92-8047-4a3bca14a6e9)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 00eb67ae-f86d-4531-995b-34dbb9e6a954)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f4b0f5fe-2a75-43d4-870c-a9b87453c44d)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 76224d75-4346-4bb2-b1b8-a8cf2d1cdeba)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2060a538-1785-4ab9-8433-885269fc3b3e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c82b32ee-926d-4e69-90f8-84cb89837240)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9f99a56c-9253-412a-8f4a-67e68c67472e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0449728-ccc0-4413-9dec-23e7ca40fd0a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 752a1fae-c648-43eb-89bd-0fcee10841a8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 596cb14b-de76-43b0-94ac-08561bee0ffe)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 62d1c82d-b079-4bdb-8afe-5c60f38ebea1)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 147 "/DONE") (pintype "passive") (tstamp 0db1cb29-3aa2-4261-ba3e-4cdb22a0b6f0)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 0ef9e79a-9ec2-4a36-a948-cae244adbc38) + (at 75.35 128.27 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005e8640a9") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 95305e32-b019-436c-9127-a4bc11705b10) + ) + (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ff152426-6c42-40d3-94c4-58185bcfa9c4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a45d8f16-5163-45fb-ab4d-12e3a18ea243) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bdf87b53-7ce7-49b6-99b1-b9845606c996)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ccd157c7-e640-42b6-9a9a-7d11042ea510)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f15ee096-32ab-48bf-9160-a1ddea359812)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7073366-484c-4e93-a359-44898e9ce527)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4982aae-628e-4d33-acb2-02b64105d1f5)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c93ac587-e357-4eac-92ee-5ceafd9cdcb1)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eb0bcc03-0d20-4d23-8499-72daa002b919)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6920bf80-bf13-4b78-9782-dceadf003d4d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 902afef8-6533-45b7-817f-30ca3e02f19d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79004c35-2fe5-4af3-a85f-3e711adde8f6)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "+12V") (pintype "passive") (tstamp d1137e79-6a78-4948-89b4-48d454bd5609)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 48a76eab-012e-4002-8edb-240439f98962)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 0f823730-a118-4b6d-90e3-3bf3e6205c27) + (at 143.002 82.423 -90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005f45d10c") + (attr smd) + (fp_text reference "FID1" (at 0 0.05 -90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp ec56d223-a3ec-4b0b-b241-581394763067) + ) + (fp_text value "Fiducial" (at 0 1.651 -90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp b27471e0-3daf-431b-849a-52809cd139cb) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 96c4ed3a-63e5-4893-9084-b2cf5f34b296)) + (pad "~" smd circle (at 0 0 270) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 6e59fff5-17a5-4e87-a2be-c17d3f7f7f12)) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 100423ac-ad05-44b0-b3b8-9c389efbd679) + (at 68.45 97.45) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/68fde4a7-6352-4e2d-8a9e-faa81e27c5a3") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R17" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 02e9c188-1c3f-40d1-a0f1-f3fbc1a4ccde) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 096c239f-9009-4f9f-9c3d-bc3dc2073c7e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 98b65864-29e0-4759-b443-1eae3ad48a36) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2d2d76db-b7e1-4bac-9971-d2ad08213638)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dfccc235-0bcd-40ad-8da9-5dce28b50456)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9257edc2-8001-4968-b5f8-6fb6de89a5af)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 63721697-2ad6-459d-9592-dcc32b693b88)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0621dd81-1829-4df3-a8a1-19b79ebfd67b)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 33d4aaae-9929-406d-baa6-05e41a250673)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2ab7221-885b-4bac-b7f7-63c2fb34e59d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8dcbb589-fbeb-4e00-909e-9ac4fc92835f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebebdc86-8692-4a5f-ab36-63e16e56bf79)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6e124b0-c821-4c01-bc41-25ef1f89371d)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 9b9d895c-ef2b-4adc-aa30-c4d92f66ee9d)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 149 "/~{PROG}") (pintype "passive") (tstamp 40d6b319-9d98-4b68-b555-92ce0e469ac5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 112dead9-5930-43b0-ad8f-bb0aab184e88) + (at 127.85 119.55 -90) + (tags "resistor") + (property "LCSC Part" "C17477") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/fbae1f80-08fe-4aeb-aa42-3ad080c13081") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R1" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 941ff2ad-f0cb-4ae0-8e67-a73af2b802c3) + ) + (fp_text value "0" (at 0 0.35 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3521bbbe-58e8-4ea1-924e-adbc1e72e7f0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8b163080-0e31-4047-973d-29250885a538) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 89b08fec-5375-48f8-aab4-96face3a03a8)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1ab59b1f-6150-435a-8303-dd1512270a86)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9e4e299-6ace-4c6e-89b9-a17efd1dcc11)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98ed4147-7bef-4b8c-8589-babb63350a31)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82a0565b-a915-42ee-a3e9-4c9ee109c426)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4d93c37-0977-4bd2-a836-6abd6a480fbe)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 116038c6-1dad-4b58-9a6f-4593e9cd80f2)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c635d54-9224-4e6b-b9f0-a543de95dfb7)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2685d5a-b0ce-4207-bfc4-b10aefc8dad3)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16b9859c-0ee7-48b5-bfa9-9978e81cecf9)) + (pad "1" smd roundrect (at -0.95 0 270) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 1321a1a6-8be9-4db3-8163-d1aa1d9ffe86)) + (pad "2" smd roundrect (at 0.95 0 270) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pintype "passive") (tstamp 2e284e86-aabc-4249-ac20-0a3aee240b4a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 151c1c5a-2838-4589-ade6-f94bcba4e743) + (at 126.45 107.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb73") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C30" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 062cbe93-d301-4a14-8f7b-f1dc952d6df4) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1023a1bf-4d9a-4f42-a7d1-f781bc28f50c) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0fbbea12-227e-4041-bf71-6acaa0c90b35) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2344302e-6eb5-4535-8808-027e4c89b1a7)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81401db3-af18-4a0f-8bf3-2590973efc7a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d2798de-4b59-4d7f-9f39-bdd4cdc1306f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c17dd203-3752-4bca-ba59-b1d766b3049e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f01ab520-c414-4f64-ba8c-acd8fa2245ed)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 310337ec-670b-45fb-b38b-5631d11864ca)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8e7b4b1-2203-4d2a-a07e-d26b18c62883)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db7d7108-8a65-418c-ab1c-28c3725aaa62)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb9b8a73-b6f4-4173-86a6-01f94b191e0e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b9a3090-bd85-4d2b-9556-fc59a4577f8e)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 35419445-ef4f-485d-b7a8-8c3104ed5677)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 53e16bc1-1592-4014-876a-55da6ee32492)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 174a5da8-3f5a-4186-bb8b-73a7aafae6d0) + (at 103.8 107.65 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc34") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C23" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 10fe9a55-2c9e-4fdb-b7cc-f560fbaee6ed) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b44e0ed1-df96-40dc-b824-4810462cacc3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9870139f-9f4d-4cab-93c8-e68c5d11bf05) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a795bf8-944f-48ae-8e8a-95a13b758bbf)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dd35c90a-5b1c-4e7b-9268-cb7660451c92)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c78035bd-9d6d-4326-b92a-c363be7d0cec)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 56029217-bb17-40fb-a8fd-26876a440e95)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5623f466-9c14-455b-9776-1759453901a1)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55d08a2f-20ec-44db-96b7-ee24a3d7b222)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 854d7e5e-a100-4d51-a19b-5521eb0229a1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ba101ee4-bc89-4efd-9acb-1d49a9994bc2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66231f26-b35b-48c1-b123-8be9a8a83132)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fa0e1422-0228-43ba-bf46-66a4a767d007)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp bca03e4b-f806-46b9-bb88-931a12956c3b)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp ec711cb8-2334-426f-8feb-f4537133af27)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 1a66d109-d3f3-4001-a6b5-0608977cb32e) + (at 110.8 104.05 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000612ba8af") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C33" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4c11b829-9343-411b-8798-72b0d7d86005) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1e3a2f34-6c63-44f5-89bd-9cd07425be10) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 957d2f41-491e-4931-b539-b34cd859d403) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16e9efbd-01db-4971-8455-8ca4bc3879e1)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 581ac52a-7cf1-476a-8ae8-9ee520e7a284)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bbc7fd0-5cf4-4279-9746-56dd2d633c82)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6722dc15-a638-426d-9514-5b3bb92a035b)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c9e4a71-9a5f-4a95-8b66-4a6f4396d9c2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0320eb54-7988-46d4-a323-8f4a1c3f9835)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e1be4f23-2e3f-4ae5-aef8-aeada26f9506)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e35566c-7d68-47f1-87e5-f4fe209e025a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e13be7e-7a65-4778-b699-e2d4ff6a3918)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0086f84c-1abf-4c72-982c-880ec9aeedb1)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 493a12bb-2ce3-4234-8633-2ad4f3dc406b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp dd734bfe-d202-4e6d-844f-7b5ab1fe6545)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 1f436bc6-8b02-4599-b7b0-db86bb384b18) + (at 84.3 97.15 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/4d6c293b-090f-4765-9fa6-955b8579756f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C27" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 93fea930-6df8-4f7f-b8f4-b85481cc4def) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a5f64b2d-c22a-49c1-ae3a-b555978195ff) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b38173dd-b238-4e20-abfd-c6c4c2c44603) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c2d1b42-3624-4fdd-85dd-7ee40327804b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 77db2c69-8883-49ce-aa27-40173a9bb2be)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb39e3ee-f154-45cd-9c11-d32d52f61e15)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6ba9379a-9750-4525-b192-40b11d6a7750)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5ec0c698-9c93-4e16-9f01-948288153a7d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp daf07db6-5c84-4ee3-9c68-0ca5877532a6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f9530d6d-efb8-48f0-9757-6ae5d4f6208c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f14768a-b7ba-42d2-aab4-245e27a6d039)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp af5939ab-064c-4556-88de-46298025c511)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9d44309-cf53-4054-979c-4d0abd8abd18)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 566e7f7e-4181-4de9-b4ba-5b665dfc31b5)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp e18057e0-7a1c-4e03-a5fd-1a4aaf4a6ea1)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 21d8b126-53ac-4bbb-853f-dd8b61775bf1) + (at 143.002 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005f45d10f") + (attr smd) + (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp f45e5588-e580-4337-a645-6c03cf9686b5) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 1417ec2d-1226-420c-98ba-b171787f554a) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 3dec3c4e-df0e-45ec-8f98-60d3682499cb)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 4210ce32-b5a6-417c-8648-77045845f24a)) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 231880a2-1284-48bf-b2d5-6725520f9038) + (at 99.425 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f3a6cfe") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U9" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 6d41b1a3-b885-47d0-a8fb-b8f8b718a452) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 46a87344-7e97-4549-a85a-6bd5950e28fa) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 7002404e-3735-4f4d-bba2-c98299c6cefd) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 48d40025-c942-44a1-ab86-a4c2e23927ae)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f0f1011-5318-4375-8c95-779374e2ec90)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff159bf3-eb96-462d-972b-ce6b55dd2093)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7ea6857-40ec-4fb8-bad7-78816e0b5aed)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0660deb-52f9-49b3-833f-59746cd4774e)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de53f920-425f-4a35-86d2-ade91f4e13c9)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0a3a5ee1-f12e-4c7e-940a-87860e2707fb)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 967eca25-1e66-4537-868e-4d8232a9f8a4)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d13d2ca6-102b-4397-9ce8-cb98e02c4d6f)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a419c913-cc24-4dac-9163-fd70b94f700a)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e7a4327-5f18-4d58-acf1-8f25553583f4)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp d980737d-a466-4444-9e28-58d135db5291)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/A8") (pinfunction "A0") (pintype "bidirectional") (tstamp 8c16e606-384e-41bf-8f66-515d2a33e7b3)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/A9") (pinfunction "A1") (pintype "bidirectional") (tstamp 5929423f-d2fa-4ec3-abd4-5a737d739d8c)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/A10") (pinfunction "A2") (pintype "bidirectional") (tstamp 5a706f83-19bd-4d2f-bb52-ced6006a6449)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/A11") (pinfunction "A3") (pintype "bidirectional") (tstamp d424a014-75ef-4a87-882e-224cd9840aca)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/A12") (pinfunction "A4") (pintype "bidirectional") (tstamp daf3a0c8-9abd-4659-afa5-876be57ac27f)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/A13") (pinfunction "A5") (pintype "bidirectional") (tstamp 684be037-4b4b-4b66-991d-0227b399493c)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/A14") (pinfunction "A6") (pintype "bidirectional") (tstamp b8785b5a-af34-46c1-a7ec-35cea2203a10)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/A15") (pinfunction "A7") (pintype "bidirectional") (tstamp c1b6b9e0-9808-40d4-8f08-49418d4c3e21)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8c9997d2-6cd1-4dad-8e31-e9384fbe7fee)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA15") (pinfunction "B7") (pintype "bidirectional") (tstamp 9395e787-1881-4dd0-b6f0-9e8186ed0a52)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RA14") (pinfunction "B6") (pintype "bidirectional") (tstamp 16062a1a-d9b6-45bf-a173-78b3477a7c6e)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RA13") (pinfunction "B5") (pintype "bidirectional") (tstamp dd903ea8-5745-4ea8-98a9-8ff9804aa706)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RA12") (pinfunction "B4") (pintype "bidirectional") (tstamp b12baf3c-c49f-48a8-b0e6-29e9f2287e51)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RA11") (pinfunction "B3") (pintype "bidirectional") (tstamp 53988e2f-aa09-48dd-9f89-53f530f820ee)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RA10") (pinfunction "B2") (pintype "bidirectional") (tstamp cfbf976c-123a-4d85-a2d9-6696134c7bc0)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/RA9") (pinfunction "B1") (pintype "bidirectional") (tstamp da299fa3-e08c-4fa8-a241-25d23ef2150f)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/RA8") (pinfunction "B0") (pintype "bidirectional") (tstamp d12a8cdb-d115-49ea-921f-9dea25bd9bd4)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 27d17cc0-086e-4948-882b-1e7473639039)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 420238d4-584b-491e-960c-5583a9d99679)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 264ba45a-2203-4936-b0c5-b62e27681161) + (at 119.976 128.27 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005e8640ba") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6a716727-bb85-4fce-b468-1480bac8dc6b) + ) + (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 71f3e373-ac36-4253-b7f4-cc01ff7cb0fa) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e4073251-d837-4a24-85c5-d1422f732222) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0a86e2bf-9cda-4b36-b766-8e0f25431409)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 00a2a9e9-df98-4ee7-8a26-d5ee385960ae)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1822756-754c-41e5-b05f-5719898c4cc0)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ad71701-da94-4b77-8cc0-f521d75c4db0)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1634fc9b-6363-4793-a6a1-5c0449cbe295)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7534f9f8-abc0-43f0-bd8d-480942773a7e)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5372be39-dbb5-4e1d-b9c2-5350dd7a5c01)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 59d3cfd4-4484-48ab-83b6-0c1db8f4f163)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0257f799-052b-4a66-bf52-977da17c730a)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 277f9908-a89d-4a12-8f57-beb5f613506f)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4f5ae2f0-0dac-4ec0-825d-366036df7a12)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "-12V") (pintype "passive") (tstamp 4ea6b4f2-1a57-4560-a3ce-4125fa9e1f58)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 2c01d8f1-93ad-46bb-a908-0e7616419874) + (at 107.4 98.55 180) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/6b220e06-24ae-4c76-ba7a-7970bc4633d4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b029e8fb-f5ad-4dad-88f3-15f819698d92) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 8a30da57-ddb0-4088-96f6-64a068e679f4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp df53973d-2831-4a78-a30d-81d36806a168) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd544484-4051-4c7b-b922-331afdc74772)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e635d8bc-73bb-4675-9050-8a9db07fa2e1)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df0e14dc-1801-4edc-af81-d0a6b456cba0)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8c5a001-bba5-49f0-a863-82bb889341d3)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a109febd-c65c-4b92-a4ee-00e2b76075a4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1aa5a83b-8601-45cf-906a-ae4a45966404)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5b4650d-81f7-4473-9806-67d15a5c2025)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0285f7eb-88b2-4631-95e2-a9acc95de87a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 24c68a1d-ca4e-491f-827e-83c7be14d606)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08a52f46-2f45-4975-9b44-00461fe1d2aa)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RCLK") (pintype "passive") (tstamp fff47708-f503-4afc-8907-7fa13132679b)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 152 "/RCLKout") (pintype "passive") (tstamp 1c5460ab-cee9-4476-b1a2-022c96b388d4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 2ca98d54-acb2-48c5-b482-0785a24448bf) + (at 121.2 121.6 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/099d6d7f-a8e0-48f3-913b-78018c1dd773") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C42" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ac795a1c-1e35-4d9c-a1da-955335463827) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 929b50b6-4d6a-4a5f-9e08-1a705d5f2c6b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1c627dab-299b-4d79-86c9-819df1cfaded) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39baa228-6b5c-4f4f-b2f1-9593bad7b189)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b1280a9-7c04-42f1-85ba-d9028ef99058)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ce64e51-0964-4660-8830-172bae2bfaaa)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c37c964-79e6-42c5-887b-480efc2d4dac)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 650ade0c-0b37-4d00-ba9d-95e71faa7736)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 114d5c01-8199-4034-8079-804f6604ce23)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e1c92b1-1dad-4967-8b51-93b43bdda7dd)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 574c9556-bd1c-4619-9b8e-7a46719f32d7)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4bb9c70-2181-4f2d-8acf-4d6a56e5ef83)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 976ec7b7-ef63-43f3-9a68-224a2de72e2a)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp ce5d3b89-5be4-4334-8a7b-456a8f3291dd)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp b7e336e4-47fb-485c-b7fe-e343fce94233)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 2ea367ad-cdf8-45f3-808d-735e55a1876d) + (at 119 121.35 180) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C12519") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/34eb1b62-d430-4cf8-a467-c965eda7492d") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U11" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp baa8cca5-6f59-443a-8bde-525cc8c9715a) + ) + (fp_text value "74LVC1G125GW" (at 0 -0.35) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp ccb6cb0e-c55f-44ae-9fc9-ee37a58034d4) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eba6ba8b-eb9c-4624-a631-6e9f0862a622)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 285e5a37-ea43-4a73-a3bc-be22e9e7f5fd)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bde4d2c-1425-4555-bda4-9fa8c0c749cb)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5040caea-1957-40be-adbb-5540ddc96ac7)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75fd6452-b9bd-45df-967f-356c0c3c2dff)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95f0b449-7f4c-4935-9242-1a9557bc2299)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6705f59d-e929-4083-9fdc-55f62581835d)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f4cc620-c53c-45b0-8be7-07f956b2a94e)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 244dcc6f-e4d6-4345-ae99-5ab7399c8323)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0a21978-3d35-41d5-a4e4-7993cfff5abb)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecb352af-bc9c-4091-928e-d15caee5c1a4)) + (pad "1" smd roundrect (at -0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 146 "/IRQ~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 9a23f38f-8bba-47ed-a052-eb4da631bb1e)) + (pad "2" smd roundrect (at 0 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp ac1cbe4b-e175-4487-9451-567b00a6515f)) + (pad "3" smd roundrect (at 0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 30d99b75-7b6b-4942-86a1-c1783acd3e47)) + (pad "4" smd roundrect (at 0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 145 "Net-(U11-Y)") (pinfunction "Y") (pintype "output") (tstamp 24a79b14-3013-46d0-b435-37720cd8d651)) + (pad "5" smd roundrect (at -0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 757c4dec-77d9-43a9-8f0a-8a4683dfec38)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 2f3ed830-a191-45d9-8182-f2b715843f4a) + (at 48.133 93.599 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005d321d2b") + (attr smd) + (fp_text reference "FID2" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp b13f91a7-f4d0-4209-9859-88e0acf9df85) + ) + (fp_text value "Fiducial" (at 0 1.651 90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp eb36971a-7c00-4b8a-9eca-cd148d16326e) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp ccce2011-1332-4294-a34a-2d701321aa57)) + (pad "~" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 267a4fab-472f-4cef-b887-923fb8db0a6e)) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 31979121-b8c4-4213-b24e-ed4410ffedb1) + (at 132.75 124.2 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d0f9") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C10" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 58864591-4e6b-4355-be89-1d174e4c41f7) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c3008987-3653-499d-8d5c-51391e633d6d) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bc3a258e-f3f0-49e4-add0-852238fb37e3) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp dbeeaf16-1296-4fc0-83cc-79efc3addd66)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ea9a7076-95cb-4df0-b6ce-c4d93d7b041c)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46ab3ed2-5d96-4226-b233-ab56d47e06fb)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e638187b-97c4-4d9d-b188-367134e47779)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c434749f-3a11-427f-9b0a-321b122208f0)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f49dd781-f8aa-48a4-9722-c079210fec97)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e29b951-89de-4f0b-b1c8-eb92667cdaf9)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a9bc5ebc-2186-47b8-a380-998a02ede4ba)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp afbeb4b0-15d8-47ae-a961-b0d926ffa555)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 96660877-5238-47ab-9daf-a0f79f5bd810)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp da7166aa-c638-4d21-ae41-1dc9ef2af3c8)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp affd6046-4553-4bfa-9c10-c9ed3776733c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 3377c82b-4a0d-4fec-ac4e-fac574f8943c) + (at 63.4365 124.3585 90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/0543afe9-a146-46d0-a0b5-b6d98e2c947e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R4" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 84aa8d0a-4ea7-47b9-97b1-1af6e6da0d17) + ) + (fp_text value "10k" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 3214ad2e-9e3f-45ee-8896-a8562bbb2e84) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8f9dc3ff-8c07-4be7-9157-9107d875deee) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 652382c8-3823-4c55-9061-428d6df2be75)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37b4cb1d-5acd-49bb-bdb8-da803ab0d3b6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3de318ba-4599-48a7-bf3c-de2142ec2fcc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6e2f5a96-8f7b-42e0-a989-aae023f425d8)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2f48514-22b2-4933-885e-7a85fc76d54c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d3930bb9-1b9a-41b1-bc1c-1ff288bed5af)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47bdbe55-4689-4810-9f1b-cde7982304e3)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d37e1c35-6ef9-4712-9a5f-cf674f912c44)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 213606c0-0734-470d-815c-d11ac97315bf)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0323800f-d434-4a35-8ba1-80122fc6a2ec)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "/FD2") (pintype "passive") (tstamp 45c3d24b-f51d-4822-838e-d8155e215d9c)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp c45185c1-f91d-45b6-a6f4-fb5bf8756065)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 34380518-34bb-43ee-bd4d-2e9775434ce5) + (at 90.225 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f3ca754") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 54f82fd6-d63b-4736-bc8e-3a17c1a53622) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp e12ca57c-8839-424c-997f-1fe0f3e7d87e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 07fe4f52-9f5d-4c31-bc4b-6f8da8c4c261) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3d1e6afa-b0ac-45f6-8990-a5dd50fb1bf4)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fdffc4db-8d7e-4be6-8b10-7856fbf78034)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdc374f0-4ebb-4d40-a951-64a3ce1b2dc5)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5060a85d-0898-4ac0-98fe-ef18cbf2039d)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c0b020e-eca1-4262-aa44-6c33aa5463d0)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42ed712c-6086-4370-8b6a-478ee3edf91a)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4729f6cb-95ca-42df-a148-c4f7bf223871)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f104128-d4df-4d4d-afbf-fafa3fe7a03a)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c222fe3d-67dd-4af0-afef-e271b7977748)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 98f11c37-f6e9-4133-8a50-cd14ad62d1b9)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f8c19205-a96d-4dd5-929a-8d0d92fe5ec2)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 27836fa6-1e67-4118-a4b3-6b8289fce697)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/A0") (pinfunction "A0") (pintype "bidirectional") (tstamp 709a4b99-60d1-44d9-aedf-4f876d42dc81)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "/A1") (pinfunction "A1") (pintype "bidirectional") (tstamp 2995c6f8-c3b1-4d9b-8b1e-21d4c3f5a8f5)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/A2") (pinfunction "A2") (pintype "bidirectional") (tstamp e5be64f3-01b0-4fed-9e95-3d64054323ba)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/A3") (pinfunction "A3") (pintype "bidirectional") (tstamp abadc26d-94f3-484f-9efd-e8d94fed35b6)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A4") (pinfunction "A4") (pintype "bidirectional") (tstamp 20df6529-ef11-46a9-8427-13afdd335a5f)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/A5") (pinfunction "A5") (pintype "bidirectional") (tstamp d53fcf99-bcc4-430c-a2f3-5dc3c1f08755)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/A6") (pinfunction "A6") (pintype "bidirectional") (tstamp 0db17e8d-a48f-4209-afec-2835ee68ada9)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/A7") (pinfunction "A7") (pintype "bidirectional") (tstamp 0b2b723e-b2db-4d39-ae55-1900f3a645d5)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5e12c161-8d3f-48e1-a6a1-00907de5c5c0)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/RA7") (pinfunction "B7") (pintype "bidirectional") (tstamp 66610467-0f85-4dc6-a06d-a07d0731e2a3)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/RA6") (pinfunction "B6") (pintype "bidirectional") (tstamp 9827f637-7df2-4869-a04f-df72bcae1cd2)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/RA5") (pinfunction "B5") (pintype "bidirectional") (tstamp 93c0e9d6-ec93-49ee-8436-0ef8967ba959)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/RA4") (pinfunction "B4") (pintype "bidirectional") (tstamp 6c867704-86b1-459a-ab25-b2d7dd3e8f8a)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/RA3") (pinfunction "B3") (pintype "bidirectional") (tstamp cef3875f-c2c2-49fb-a2b8-4ca399df5bf8)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/RA2") (pinfunction "B2") (pintype "bidirectional") (tstamp 9fbd560d-f9c5-450c-993f-3857c37318d6)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/RA1") (pinfunction "B1") (pintype "bidirectional") (tstamp de76ef40-08ea-46e2-9dcd-a94fd4821dd2)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/RA0") (pinfunction "B0") (pintype "bidirectional") (tstamp df605bb1-04da-4777-951b-d36954ce21d3)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 180d3584-8cc1-4520-aa41-141df52cef01)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 2c70f31d-bd8c-4726-ad2b-328ffe1ee7c5)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:SOT-23-5" (layer "F.Cu") + (tstamp 3cebc909-415c-4030-bf9a-a476d81c320b) + (at 136.25 119.45 90) + (tags "SOT-23-5") + (property "LCSC Part" "C151375") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5") + (property "ki_keywords" "linear regulator ldo fixed positive") + (path "/4878f9d5-72d8-4fbc-937f-0c70c5c8c93a") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U10" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp ff2c5e12-e2be-4d15-b155-bb469d5e346b) + ) + (fp_text value "AP2127K-1.2TRG1" (at 0 -0.4 270) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp abbc15f7-be26-4d7a-a2a3-65ffe5223175) + ) + (fp_line (start -1.58 -0.76) (end -1.58 1.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 44979090-e765-4d35-bb72-d995cc5b780d)) + (fp_line (start 1.58 -0.76) (end 1.58 0.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73dbf4af-c643-43c2-b091-e58c15ce9fbf)) + (fp_line (start -1.8 -2) (end 1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f75d708-e97b-4aeb-8ab9-4ddfe94d70c8)) + (fp_line (start -1.8 2) (end -1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99b13966-a5e6-4b4c-a856-f2bc268e1479)) + (fp_line (start 1.8 -2) (end 1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe549ec5-e3c8-4512-ad4f-aa69da2794ad)) + (fp_line (start 1.8 2) (end -1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4c4564de-5455-4673-aa74-303024e15413)) + (fp_line (start -1.52 -0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b96c08fa-8817-46b1-97cc-a8ed1e0d3842)) + (fp_line (start -1.52 0.15) (end -1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 23b500dc-20cb-4dfb-aa41-82b5da54e13a)) + (fp_line (start -0.95 0.7) (end -1.52 0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 250a32e5-fac6-4b25-940c-5d751c8222f3)) + (fp_line (start -0.95 0.7) (end 1.5 0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2f6f339f-9b4d-484e-b820-b98de2d0b1ff)) + (fp_line (start 1.52 0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db39a1eb-d43b-45cf-91fa-6939ad70d48f)) + (pad "1" smd roundrect (at -0.95 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VIN") (pintype "power_in") (tstamp c991ae93-3df5-4cb7-93f0-3cc86967aa5d)) + (pad "2" smd roundrect (at 0 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 97598b40-8c47-448d-a71c-3cd5bfdda171)) + (pad "3" smd roundrect (at 0.95 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 9ebbb4de-e4c9-458f-9882-716271adbb2f)) + (pad "4" smd roundrect (at 0.95 -1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 142 "unconnected-(U10-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp 95a7a1b2-24ff-4a8b-90d9-aff4323c8ba4)) + (pad "5" smd roundrect (at -0.95 -1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pinfunction "VOUT") (pintype "power_out") (tstamp b77c0b53-1252-4a2c-aeb3-2182e156a053)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") + (tstamp 3db9f170-b657-47bf-a69d-4978e46da3ed) + (at 94.05 101.4) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/930da6f0-1780-4ad2-b286-0a18e1319c6b") + (solder_mask_margin 0.024) + (solder_paste_margin -0.035) + (attr smd) + (fp_text reference "U1" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 60e4501e-6877-4760-80be-fe9e593f6d74) + ) + (fp_text value "LCMXO2-640-TG100" (at 1.05 0 90) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp eabef27a-53b8-4447-b8ce-4450648c3807) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 486a94cf-65fd-47b3-965b-ebc357c52989) + ) + (fp_line (start -7.11 -7.11) (end -6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7118a158-dc60-48d0-8ce1-95489832cf45)) + (fp_line (start -7.11 -6.41) (end -7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 292c41b5-c69c-47ba-8458-775faaf8ab28)) + (fp_line (start -7.11 6.41) (end -7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c671080-aec0-49d7-bc10-c9dd1ab30280)) + (fp_line (start -7.11 7.11) (end -6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8a1de0b8-c0bf-4c94-99b5-0cfcda22b8b1)) + (fp_line (start -6.41 7.11) (end -6.41 8.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5073c7ac-f7fe-4091-bddd-102c5b72159c)) + (fp_line (start 7.11 -7.11) (end 6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 67a4623f-a1a1-40c5-8d0c-c85daf42e77e)) + (fp_line (start 7.11 -6.41) (end 7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7551997-b61d-40d1-9830-efa5d68e1b47)) + (fp_line (start 7.11 6.41) (end 7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bb4decc2-085c-44f4-ad33-55f20d7a0a9a)) + (fp_line (start 7.11 7.11) (end 6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3d7ec438-c646-46fd-be42-91b9c9a8e1cc)) + (fp_line (start -8.65 -6.4) (end -7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a1006f40-5ac5-45cd-b5d2-3f4970067665)) + (fp_line (start -8.65 0) (end -8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f934ba3a-0dd5-4db6-8a1a-175681d0083e)) + (fp_line (start -8.65 0) (end -8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4eac2b33-f9a1-4bda-a3e9-b3ee6fc71f52)) + (fp_line (start -8.65 6.4) (end -7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2be45c38-27b3-4dc3-9769-747793367de5)) + (fp_line (start -7.25 -7.25) (end -6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fa3290b0-ed4b-4152-b458-3dfd00b27e50)) + (fp_line (start -7.25 -6.4) (end -7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7d649c33-c733-4774-b28f-6ba0eb2a240b)) + (fp_line (start -7.25 6.4) (end -7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0353c5d1-e718-40c9-b296-5718492c87bb)) + (fp_line (start -7.25 7.25) (end -6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f93b8e0-cdbe-4131-b1c6-3e7e1ba86f12)) + (fp_line (start -6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c556d820-1742-49a8-bd73-9b16724deed2)) + (fp_line (start -6.4 -7.25) (end -6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 18e20c5c-e46e-4d53-8fe6-5d7bc7db17cf)) + (fp_line (start -6.4 7.25) (end -6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 66abbe1a-4754-44ff-a569-0f5c7634dbbb)) + (fp_line (start -6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2156c9c-00af-4028-a10d-d9c89bce60de)) + (fp_line (start 6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1252670b-c657-4630-a9f0-defcddd696ba)) + (fp_line (start 6.4 -7.25) (end 6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp abfdf990-9dc6-424d-b88e-965aa236f732)) + (fp_line (start 6.4 7.25) (end 6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f927c39c-9ad2-450d-ab1f-8b1a72341a65)) + (fp_line (start 6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 375fecaf-6af3-432d-af81-9f7017093115)) + (fp_line (start 7.25 -7.25) (end 6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24719717-84b8-4264-8ba5-77355d48fd48)) + (fp_line (start 7.25 -6.4) (end 7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a3c16bc-3530-4c0e-a290-3d85d444c6cc)) + (fp_line (start 7.25 6.4) (end 7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fee50d4b-dca2-4336-8f90-ab10f887f7b2)) + (fp_line (start 7.25 7.25) (end 6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9773599c-64c8-4b2f-839b-04ba46c36d25)) + (fp_line (start 8.65 -6.4) (end 7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b0c5730-832f-4c9f-a517-27389f2fe3a6)) + (fp_line (start 8.65 0) (end 8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ed67b5c-ab5c-41b0-a5a9-a69c11796a92)) + (fp_line (start 8.65 0) (end 8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 71eb21d0-6f39-4c31-8204-d1be7cef7e35)) + (fp_line (start 8.65 6.4) (end 7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f06d1dc-5980-46f7-a839-e1aefb5f2ae3)) + (fp_line (start -7 -7) (end 7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 27a09e34-1d2c-435e-a0ed-b6f11c4f9909)) + (fp_line (start -7 6) (end -7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb4a6465-fcca-40c6-9614-896ccf6de16d)) + (fp_line (start -6 7) (end -7 6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f2ba122b-e76d-4b44-8304-24b90ea5920b)) + (fp_line (start 7 -7) (end 7 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 230052f6-e960-4e99-a47f-27820169bfcf)) + (fp_line (start 7 7) (end -6 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb9a0b79-a97a-4630-b0a6-ed5c51e7d330)) + (pad "1" smd roundrect (at -6 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/Ddir") (pinfunction "PL2A") (pintype "bidirectional") (tstamp 2f92a88a-54aa-4a55-be56-edcb5d0f9f55)) + (pad "2" smd roundrect (at -5.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/RA9") (pinfunction "PL2B") (pintype "bidirectional") (tstamp 7ca04bee-d905-45bc-b56b-74270a7392e1)) + (pad "3" smd roundrect (at -5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RA10") (pinfunction "PL2C/PCLKT3_2") (pintype "bidirectional") (tstamp e7c05a95-7524-4204-b348-6aa1a586b75c)) + (pad "4" smd roundrect (at -4.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/RA4") (pinfunction "PL2D/PCLKC3_2") (pintype "bidirectional") (tstamp 2f45c123-611b-4779-9ed7-afde7c893844)) + (pad "5" smd roundrect (at -4 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp fac47eef-bf03-4942-9984-39019acc7688)) + (pad "6" smd roundrect (at -3.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 46190569-9b8c-466f-b0be-680ee03f2502)) + (pad "7" smd roundrect (at -3 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "/RES~{OE}") (pinfunction "PL3A") (pintype "bidirectional") (tstamp 2c813885-3cb0-43bc-92c0-ce532a809c75)) + (pad "8" smd roundrect (at -2.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RA11") (pinfunction "PL3B") (pintype "bidirectional") (tstamp 7bad4208-f9c5-4065-b591-0e427d9f449d)) + (pad "9" smd roundrect (at -2 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RA12") (pinfunction "PL3C") (pintype "bidirectional") (tstamp 362c0a7f-f0d0-44d2-8912-6e3ecd11b450)) + (pad "10" smd roundrect (at -1.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RA13") (pinfunction "PL3D") (pintype "bidirectional") (tstamp 3f306986-1763-4418-a801-026d18ac9246)) + (pad "11" smd roundrect (at -1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 860096ae-f81e-4b60-9cb7-afd93e0f5e4a)) + (pad "12" smd roundrect (at -0.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 146 "/IRQ~{OE}") (pinfunction "PL5A/PCLKT3_1") (pintype "bidirectional") (tstamp 9aadbc52-3120-4ed2-909b-04b685be514c)) + (pad "13" smd roundrect (at 0 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RA14") (pinfunction "PL5B/PCLKC3_1") (pintype "bidirectional") (tstamp 13fa001d-79ae-4285-9cb9-b8e5c9d9cc1a)) + (pad "14" smd roundrect (at 0.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA15") (pinfunction "PL5C") (pintype "bidirectional") (tstamp d0cf797f-9fc9-415d-b574-0b9cacd9849c)) + (pad "15" smd roundrect (at 1 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/R~{IOSEL}") (pinfunction "PL5D") (pintype "bidirectional") (tstamp 9bb3e334-50c5-4f41-9b42-bcd44d7350e8)) + (pad "16" smd roundrect (at 1.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/R~{DEVSEL}") (pinfunction "PL6A") (pintype "bidirectional") (tstamp 791a0ed1-58df-4321-a61c-e513e36aeb24)) + (pad "17" smd roundrect (at 2 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "/RPHI0") (pinfunction "PL6B") (pintype "bidirectional") (tstamp c7ea2135-0f8b-44b5-a6cc-7e9861a6458f)) + (pad "18" smd roundrect (at 2.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/R~{IOSTRB}") (pinfunction "PL6C") (pintype "bidirectional") (tstamp 7ea53c99-39ab-46cd-9ddc-56fd4c4e9e48)) + (pad "19" smd roundrect (at 3 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/RR~{W}in") (pinfunction "PL6D") (pintype "bidirectional") (tstamp 2db44f14-e0df-426a-8b96-b26382288b94)) + (pad "20" smd roundrect (at 3.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/R~{RES}") (pinfunction "PL7A/PCLKT3_0") (pintype "bidirectional") (tstamp 5863a3a5-831e-49eb-a19c-85541643ce26)) + (pad "21" smd roundrect (at 4 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/SD2") (pinfunction "PL7B/PCLKC3_0") (pintype "bidirectional") (tstamp 3e233a7a-8805-4078-90e7-b7d70277fc40)) + (pad "22" smd roundrect (at 4.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 9ed64408-e068-4e60-ad1e-4d41a37be09c)) + (pad "23" smd roundrect (at 5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO3") (pintype "power_in") (tstamp 8b8c5724-9fca-48ae-90d9-fd483a5f8d7e)) + (pad "24" smd roundrect (at 5.5 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/SD1") (pinfunction "PL7C") (pintype "bidirectional") (tstamp d052d06b-8379-4737-91cb-fd531e4da64c)) + (pad "25" smd roundrect (at 6 7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/SD0") (pinfunction "PL7D") (pintype "bidirectional") (tstamp 34894360-482c-4fe9-aca1-f16eb348a42b)) + (pad "26" smd roundrect (at 7.6625 6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp efe21919-20ad-47b2-a39a-51cbceeefd64)) + (pad "27" smd roundrect (at 7.6625 5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/SD3") (pinfunction "PB4A/CSSPIN") (pintype "bidirectional") (tstamp 64920b1f-d905-41c0-a7e7-b10b8bfe24e7)) + (pad "28" smd roundrect (at 7.6625 5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/SD4") (pinfunction "PB4B") (pintype "bidirectional") (tstamp baafa856-af27-4606-be28-37ed58e92335)) + (pad "29" smd roundrect (at 7.6625 4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/SD5") (pinfunction "PB4C") (pintype "bidirectional") (tstamp 11b10696-e16d-457c-9df2-2a52341b5d1f)) + (pad "30" smd roundrect (at 7.6625 4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 135 "/SD6") (pinfunction "PB4D") (pintype "bidirectional") (tstamp 7fce44be-41d2-4cab-82b3-f77c379d0591)) + (pad "31" smd roundrect (at 7.6625 3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/SD7") (pinfunction "PB6A/MCLK/CCLK") (pintype "bidirectional") (tstamp 18fa6184-73b0-43ce-ad5d-19a45453a967)) + (pad "32" smd roundrect (at 7.6625 3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/SDQML") (pinfunction "PB6B/SO/SPISO") (pintype "bidirectional") (tstamp ab6d50df-e3e3-46e6-a87c-c7e16367fd15)) + (pad "33" smd roundrect (at 7.6625 2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp ad5dd099-8868-41b1-bb4f-698dfe5ed23b)) + (pad "34" smd roundrect (at 7.6625 2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/SDQMH") (pinfunction "PB6C/PCLKT2_0") (pintype "bidirectional") (tstamp 750ad57b-f4d5-4411-8058-80b8f035b4d4)) + (pad "35" smd roundrect (at 7.6625 1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/S~{WE}") (pinfunction "PB6D/PCLKC_0") (pintype "bidirectional") (tstamp d32a7c18-af65-41ac-b128-779caa23a151)) + (pad "36" smd roundrect (at 7.6625 1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/S~{CAS}") (pinfunction "PB10A") (pintype "bidirectional") (tstamp 0d98bd8c-867f-4736-9dfa-e3360e4f15b1)) + (pad "37" smd roundrect (at 7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/S~{RAS}") (pinfunction "PB10B") (pintype "bidirectional") (tstamp f29e35a5-9d3a-48eb-851c-3219aa2c975a)) + (pad "38" smd roundrect (at 7.6625 0 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/ACLK") (pinfunction "PB10C/PCLKT2_1") (pintype "bidirectional") (tstamp dba60eeb-243c-4022-8fa2-9b20b7c8d4db)) + (pad "39" smd roundrect (at 7.6625 -0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 152 "/RCLKout") (pinfunction "PB10D/PCLKC2_1") (pintype "bidirectional") (tstamp 23370111-213a-4d18-985f-8f032b903fec)) + (pad "40" smd roundrect (at 7.6625 -1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/SCKE") (pinfunction "PB12A") (pintype "bidirectional") (tstamp ced89a9b-44f3-4fb7-bdcd-fd62eda49c96)) + (pad "41" smd roundrect (at 7.6625 -1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/S~{CS}") (pinfunction "PB12B") (pintype "bidirectional") (tstamp a7612b48-89d6-4318-92e3-9d4954d125eb)) + (pad "42" smd roundrect (at 7.6625 -2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "/SA12") (pinfunction "PB12C") (pintype "bidirectional") (tstamp 0b22f0ad-ac70-469f-aa77-5f09beb0eff4)) + (pad "43" smd roundrect (at 7.6625 -2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "/SBA0") (pinfunction "PB12D") (pintype "bidirectional") (tstamp bef607c1-c14e-4e82-ac93-c833011f25f2)) + (pad "44" smd roundrect (at 7.6625 -3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 433d35e7-967c-4e6e-9ca4-30e33a9c6200)) + (pad "45" smd roundrect (at 7.6625 -3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "/SA11") (pinfunction "PB14A") (pintype "bidirectional") (tstamp 1a24ee6d-760a-45bb-ba7c-d8e8d547a4dc)) + (pad "46" smd roundrect (at 7.6625 -4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO2") (pintype "power_in") (tstamp 0dba0175-da38-42f0-9beb-2e3fcbe19a85)) + (pad "47" smd roundrect (at 7.6625 -4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "/SA10") (pinfunction "PB14") (pintype "bidirectional") (tstamp 2daf9ab6-8bdf-498c-ba8c-5754b85ee8e9)) + (pad "48" smd roundrect (at 7.6625 -5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/SBA1") (pinfunction "PB14C/SN") (pintype "bidirectional") (tstamp 6542ef56-2aa9-4b75-941a-87c6de2b4077)) + (pad "49" smd roundrect (at 7.6625 -5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/SA9") (pinfunction "PB14D/SI/SISPI") (pintype "bidirectional") (tstamp 05347ddc-1c12-4042-9ed7-665fd4b6c668)) + (pad "50" smd roundrect (at 7.6625 -6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 489fab9d-1975-43d2-b5a0-f9802c31a4b5)) + (pad "51" smd roundrect (at 6 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/SA4") (pinfunction "PR7D") (pintype "bidirectional") (tstamp a702c6cf-9d2b-4055-babf-ab7963659c05)) + (pad "52" smd roundrect (at 5.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/SA5") (pinfunction "PR7C") (pintype "bidirectional") (tstamp 1c3ba422-64ab-4fbc-8160-0cb5c1648914)) + (pad "53" smd roundrect (at 5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/SA8") (pinfunction "PR7B") (pintype "bidirectional") (tstamp b4faf187-0002-4503-bea9-9885fc92921a)) + (pad "54" smd roundrect (at 4.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/SA0") (pinfunction "PR7A") (pintype "bidirectional") (tstamp 0f8e661f-f8a5-4b57-b1b5-7239ea70b391)) + (pad "55" smd roundrect (at 4 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp e1cb9cd0-7e23-4ad0-aebc-55d942cc2dff)) + (pad "56" smd roundrect (at 3.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 3c52aa4d-6c73-417d-a53f-856969db6601)) + (pad "57" smd roundrect (at 3 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "/SA7") (pinfunction "PR6D") (pintype "bidirectional") (tstamp 7e680019-01ff-477e-bc1c-cff4451e0ebf)) + (pad "58" smd roundrect (at 2.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "/SA2") (pinfunction "PR6C") (pintype "bidirectional") (tstamp b91e2381-ce48-4f46-8879-bc9a6c7dab73)) + (pad "59" smd roundrect (at 2 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "/SA1") (pinfunction "PR6B") (pintype "bidirectional") (tstamp e3fe8c76-5c77-4d96-90ef-be6748c1fed6)) + (pad "60" smd roundrect (at 1.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/SA3") (pinfunction "PR6A") (pintype "bidirectional") (tstamp 69c29236-a369-4e10-8a93-e831691d6adc)) + (pad "61" smd roundrect (at 1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp bfc07ffc-3963-4566-98be-c1a62fa4d2c5)) + (pad "62" smd roundrect (at 0.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/SA6") (pinfunction "PCLKC1_0/PR5D") (pintype "bidirectional") (tstamp 211b6cc8-1e34-4091-a543-2fe1bf6b69fa)) + (pad "63" smd roundrect (at 0 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "/FW1") (pinfunction "PCLKT1_0/PR5C") (pintype "bidirectional") (tstamp cf66c090-1e6f-4fda-b899-8c57b7f17105)) + (pad "64" smd roundrect (at -0.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "/FW0") (pinfunction "PR5B") (pintype "bidirectional") (tstamp 13cd91db-3f17-471e-87e8-c55ef78db203)) + (pad "65" smd roundrect (at -1 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD0") (pinfunction "PR5A") (pintype "bidirectional") (tstamp 663bed7a-c753-44fe-861d-698c7f4e9438)) + (pad "66" smd roundrect (at -1.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "PR3D") (pintype "bidirectional") (tstamp 3e84a4f8-e7eb-47fe-a741-1323935fa8d5)) + (pad "67" smd roundrect (at -2 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD2") (pinfunction "PR3C") (pintype "bidirectional") (tstamp 7c1d8826-37a5-4244-ae25-c4e492a88682)) + (pad "68" smd roundrect (at -2.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD3") (pinfunction "PR3B") (pintype "bidirectional") (tstamp ee24204f-a309-4901-a8a0-c00112b76032)) + (pad "69" smd roundrect (at -3 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD4") (pinfunction "PR3A") (pintype "bidirectional") (tstamp 54384d75-cd78-4856-bd81-eea319697642)) + (pad "70" smd roundrect (at -3.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD5") (pinfunction "PR2D") (pintype "bidirectional") (tstamp f5b86aa0-385e-42f0-af55-4ea28f942de1)) + (pad "71" smd roundrect (at -4 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD6") (pinfunction "PR2C") (pintype "bidirectional") (tstamp e4e4d25e-ae0f-44ea-8cfb-1c7c22f8f71e)) + (pad "72" smd roundrect (at -4.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO1") (pintype "power_in") (tstamp 049d6032-6110-4163-8c30-197906e864bc)) + (pad "73" smd roundrect (at -5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO1") (pintype "power_in") (tstamp c43b13ed-3adc-4d2a-b50d-ada95ae823ee)) + (pad "74" smd roundrect (at -5.5 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/RA0") (pinfunction "PR2B") (pintype "bidirectional") (tstamp 8e2a5b4a-bd4f-4dc1-993a-1dee45702082)) + (pad "75" smd roundrect (at -6 -7.6625 90) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD7") (pinfunction "PR2A") (pintype "bidirectional") (tstamp 7b1b1353-1a52-4d69-934d-49a04234c1c1)) + (pad "76" smd roundrect (at -7.6625 -6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 147 "/DONE") (pinfunction "DONE/PT11D") (pintype "bidirectional") (tstamp 2ba76141-35f7-4ff4-ac5d-1c6c39dec16f)) + (pad "77" smd roundrect (at -7.6625 -5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 148 "/~{INIT}") (pinfunction "~{INIT}/PT11C") (pintype "bidirectional") (tstamp 4928b045-367d-4d76-9ee8-897b7d3e6abd)) + (pad "78" smd roundrect (at -7.6625 -5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/RA1") (pinfunction "PT11A") (pintype "bidirectional") (tstamp 6ef659e6-a827-4739-9d0d-72c4a46ee7ce)) + (pad "79" smd roundrect (at -7.6625 -4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e5101a8b-cd1e-4bd2-98d2-ee98c0a09b69)) + (pad "80" smd roundrect (at -7.6625 -4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp f81bf398-3282-49d8-8dc8-bce388b07b5f)) + (pad "81" smd roundrect (at -7.6625 -3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 149 "/~{PROG}") (pinfunction "~{PROGRAM}/PT10D") (pintype "bidirectional") (tstamp 676a826b-b456-42e1-b74a-16e0ae2fea71)) + (pad "82" smd roundrect (at -7.6625 -3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 150 "/~{JTAGEN}") (pinfunction "~{JTAGEN}/PT10C") (pintype "bidirectional") (tstamp 89848252-19b9-4720-b8ec-11a552d863ca)) + (pad "83" smd roundrect (at -7.6625 -2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/RA2") (pinfunction "PT10B") (pintype "bidirectional") (tstamp 896ceb68-3bf5-4358-bdbb-58e6f0c435d3)) + (pad "84" smd roundrect (at -7.6625 -2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/RA3") (pinfunction "PT10A") (pintype "bidirectional") (tstamp 388dd2be-f8a5-4a27-b279-642bd57e2ab1)) + (pad "85" smd roundrect (at -7.6625 -1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/RA5") (pinfunction "SDA/PCLKC0_0/PT9D") (pintype "bidirectional") (tstamp 79ea4a6a-f116-4cdd-8384-25555b5b6dfa)) + (pad "86" smd roundrect (at -7.6625 -1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/RA6") (pinfunction "SCL/PCLKT0_0/PT9C") (pintype "bidirectional") (tstamp df99c628-78d6-4217-9a8c-c455bbc2ebed)) + (pad "87" smd roundrect (at -7.6625 -0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/RA7") (pinfunction "PT9B/PCLKC0_1") (pintype "bidirectional") (tstamp 4adb99a4-ef40-4d8c-a6b1-d60216f53b6d)) + (pad "88" smd roundrect (at -7.6625 0 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "/F~{CS}") (pinfunction "PT9A/PCLKT0_1") (pintype "bidirectional") (tstamp d5318c9e-e3df-4a97-90cd-356b549bcc3c)) + (pad "89" smd roundrect (at -7.6625 0.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp 7a24d28f-3a26-4131-81de-c16452008432)) + (pad "90" smd roundrect (at -7.6625 1 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/TMS") (pinfunction "TMS/PT7D") (pintype "bidirectional") (tstamp fe4c8ff5-2356-4c93-a403-0d391f05bd87)) + (pad "91" smd roundrect (at -7.6625 1.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pinfunction "TCK/PT7C") (pintype "bidirectional") (tstamp f5516269-66b1-4888-af18-1fc017d41489)) + (pad "92" smd roundrect (at -7.6625 2 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 07d0240e-30c7-4892-9213-14861cb1a404)) + (pad "93" smd roundrect (at -7.6625 2.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VccIO0") (pintype "power_in") (tstamp e638f07e-1124-4f51-a48c-11fb8baca450)) + (pad "94" smd roundrect (at -7.6625 3 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/TDI") (pinfunction "TDI/PT7B") (pintype "bidirectional") (tstamp 11bfa37a-a860-4b9e-ab99-20f30b137b08)) + (pad "95" smd roundrect (at -7.6625 3.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/TDO") (pinfunction "TDO/PT7A") (pintype "bidirectional") (tstamp ea879d6c-2d69-4321-bd83-df00b9de1aa3)) + (pad "96" smd roundrect (at -7.6625 4 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "/FCK") (pinfunction "PT6D") (pintype "bidirectional") (tstamp 19d6127b-8f10-4702-bdb2-bbb87cca3868)) + (pad "97" smd roundrect (at -7.6625 4.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/MOSI") (pinfunction "PT6C") (pintype "bidirectional") (tstamp f585a4cf-b9c2-4cd2-a166-3640a7b18bd6)) + (pad "98" smd roundrect (at -7.6625 5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/MISO") (pinfunction "PT6B") (pintype "bidirectional") (tstamp 79220a34-38cb-430a-bdf8-e218893e20f3)) + (pad "99" smd roundrect (at -7.6625 5.5 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/RA8") (pinfunction "PT6A") (pintype "bidirectional") (tstamp 50fb0b73-2cc9-43fd-af99-3df20a32d26d)) + (pad "100" smd roundrect (at -7.6625 6 90) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pinfunction "Vcc") (pintype "power_in") (tstamp 7c64293a-8870-4626-b3cb-35e9aac5cafc)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 460d9252-1f05-4dd4-baf7-10fbfcac1d47) + (at 114.8 125.8 180) + (tags "resistor") + (property "LCSC Part" "C23345") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/676cc247-875b-4936-9ac3-4936c933ca37") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R10" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bb35938d-9a97-474f-a8c6-0bb36f10aa62) + ) + (fp_text value "22" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1c42b046-54e5-4ad3-9637-d5ee0e55c6cb) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 96dc595f-b341-4d9f-bb8b-bcdeebd783b6) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6bb6463b-8c32-4150-a12b-43f00b58beb3)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 037c81b8-de5e-4938-a6b1-a397dbb84b63)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78703356-9535-41d4-96e8-88f20d4019f0)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25b183d3-fa32-490e-99df-81dbb5964ddd)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc268366-c7d1-4c55-a3a1-cbcaa89b6bf3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3709e5d-8b8c-4bca-9ec3-2914252eac5a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b95ffdd8-2830-4f93-ae03-54c83b22b99e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c1c9dbb-db17-4678-ab87-80c6f21bc4e3)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77231549-a802-4514-9f81-cd59c279d8ce)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbce984d-6950-4b4c-b1cc-89689984d1ba)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pintype "passive") (tstamp f07bffdc-a8ab-4d22-8c3a-cfb5ac8385e8)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "Net-(U14-Y)") (pintype "passive") (tstamp 38f66f7e-94c4-4c02-ade9-f9a1189360bc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 46211e2f-ec7a-4887-9725-342aaf6d1f59) + (at 110.85 108.7 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000612ba8bd") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C34" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e0b2ab27-5435-485d-9984-986ee9d19711) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp ca21a5ff-d20a-45a8-b465-9e773c4ba5fc) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8c9ed190-08f6-4c82-92ac-1a0d1adbb672) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3a52df8-8240-4f60-8e04-a50907e14671)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e92b381-e563-453c-a33f-58a0cd1bff1a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ebe4dd5-d140-4884-863b-e242c6acf6aa)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78633d55-c7ed-434d-aa0c-addbca0cbb49)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9227bf12-093b-4a6a-bad6-b2490cef1ef9)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ec6d984-7a85-4be7-a4c5-ad1a543c79bd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3ab4912-9437-4698-badd-df06c5db787e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da4015fa-68d8-4e49-867f-0a0dd7a8a15f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac4c0811-70ac-420f-8ca4-c5bec8f980fe)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e84b8fd-7528-41bc-b888-216bdfaac082)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 5c408d5b-a15c-4807-93c5-405c6f0b5673)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp cba7fb93-89d3-42b7-9254-bc362ff2c3d0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 46ac43e2-b506-4595-a668-4697d13694e5) + (at 140.1 119.55 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/d3daf6ee-cf5e-4dae-bf62-dcb0689daf50") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C36" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8dedccac-d32d-485c-9ead-e0f926a49991) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2318f567-22d2-4b2f-96c7-7dbe40eba6e2) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e154b848-4c72-4b46-b465-6f1bc9a51629) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 945153f4-f885-45d9-b999-86aa7a3a2ccb)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp fd339ead-131b-4156-a711-77eb65d480fd)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c37c2151-d8cd-4663-9cf3-6b6975f4afc8)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 759cbb74-fc32-45bb-9e49-921359b75503)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95d05dcf-f14a-4abc-9542-ab63adc77bf7)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb21e546-6675-438b-bfc4-4e03afbd2ad4)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ba03cebd-37d5-4f5f-bff6-3682330aee54)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cc481e14-8440-4c7e-b177-74aa9f88ba5c)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2adb6a10-9837-4234-811d-e27ec51a6729)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6a8edb8b-15e2-408f-ba10-37a519f70bcb)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 87157085-5592-4886-88f8-befa171deb99)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a94c36bb-db84-4a89-8e9f-2ea199bf447f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 47668655-7efe-4f27-9e31-6682a7d0fcf8) + (at 126.45 103.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb81") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C31" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c8cdd61a-8429-428c-963f-0a29508d06d4) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4f6c12f6-82a4-4b10-944c-4c267fdc770f) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 950c0ee3-f255-45e6-ab27-8dca5e3131c6) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b9e1605-3326-4d9b-9a42-1d43dd1371ba)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc1a0817-d4f8-4d19-ae30-ad60d9074bef)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65b91286-5770-4ec6-95ce-d515bf3b58f1)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 865b6096-3062-4905-bbff-6d60d823820e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c53e0361-76d3-470e-bec2-972ad75a7841)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f90db81a-d15f-4e38-8b2e-e92692adb2f2)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d0442a9-b4c8-423a-8474-f7e2f6f6812c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c897eb9f-6b29-4a37-8c64-c0b8267874a2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb8c08d7-78d4-45e4-b96e-5ea4d66708f1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17436f34-4790-4080-a507-4710bc463407)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 548eafc9-1713-4e03-9dc9-9baa18d9e0f3)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp eb0259ca-d4e4-4650-872e-d032aa0b2319)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 4cb60aa9-e7d2-44ff-b20f-4f7b3d93d5bf) + (at 130.35 119.55 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/45d209ce-ab9e-4001-943d-62bcde7d4e77") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C38" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 96fb96b2-8a87-48ee-a2dc-99a5ee9f5a6c) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8812d004-73c6-4e56-b20a-97058e863a16) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8d7ffc09-c042-4a0a-8b57-6546988cbf9a) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3c4f4e03-5cad-4ee5-bffc-8594bbb017fe)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f5fa6037-5d09-442e-8ddb-d5aa37f866ba)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ef2fe8c-1e2b-45a6-b2b5-bfaa6c534fa7)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5033e94f-55b3-4a84-a489-edb69ba79374)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 124d4b60-226b-4a14-a62e-4cb05fe5c77a)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aff80676-7ba3-4f16-9928-fa79be0f6ebc)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 617622c4-c277-4c59-9722-3a7f6f140809)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f3fcb9d-2a5f-41fd-92be-80cde07da66d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca4a67fd-fcb1-4643-ac38-ef44ca3f7916)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 18d9a0e2-7576-46b0-85fc-029a73ecf4bc)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pintype "passive") (tstamp 2d8ba4b3-1a9a-49a1-ab15-f575282b03f9)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 05a9d37c-0c14-4482-9efb-be063104792c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 4cdd9dd6-f67b-4245-a895-f8aaa6e14a72) + (at 123.65 115.35 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb59") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C28" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 05587923-cb2a-4187-a9d9-92d0ddb63301) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b8d5297c-1b7c-4ab0-a05c-53f37e94c986) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1a645144-3692-43e2-9ca3-df641811cd7a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01646a9b-9cc2-4fb1-9786-121ff5524c82)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bac256aa-8b12-443e-a34d-b641e9ac7115)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b59f428f-0f7f-4fdd-8f98-6a52e680b6cb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34942a58-ecda-4148-98eb-47abd6de62ca)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 826b5235-9217-40b1-be46-a9b9e32c84c7)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b74ded3a-4722-45c3-bcbe-a199e884e39d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd0f46da-7672-4e9f-96e8-94674fd889ae)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 305d5c33-e7b4-4c0f-8794-c314898cca40)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89576896-3c27-4681-b8f9-9dfa57cb69ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc87c63b-bf6d-4725-a95b-41357e9fdab5)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 2f67a41c-83c0-443a-9d3e-a28aab747543)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c4fa7e30-5f6c-4f24-9bf9-c4a3cb6166ec)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:AppleIIBus_Edge" (layer "F.Cu") + (tstamp 4d669cf4-7813-49e6-b00f-e781f3d5dc07) + (at 106.68 135.382) + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x25, counter clockwise pin numbering scheme (similar to DIP packge numbering), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005cfc517d") + (attr exclude_from_pos_files allow_soldermask_bridges) + (fp_text reference "J1" (at 0 4.953) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a2f3e1ea-f007-4849-95e5-eb6843bb496e) + ) + (fp_text value "AppleIIBus" (at 0 6.096) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 2f0a21f6-4f3f-42f8-a9af-06e1b887ed04) + ) + (fp_line (start -32.512 4.318) (end -32.512 -3.81) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 8478b50e-d53c-4016-9c36-865216f09103)) + (fp_line (start 32.512 -3.81) (end 32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 47b303e0-7f99-4771-bead-19649ac4cc53)) + (fp_line (start 32.512 4.318) (end -32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp ace99e6c-c637-4922-937e-6345212991da)) + (fp_line (start -32.512 4.318) (end -32.512 -3.81) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 4a5422ce-0c94-42a2-ab67-48d3bd387884)) + (fp_line (start 32.512 -3.81) (end 32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp be280d93-ae17-4666-b654-713d5b9121d4)) + (fp_line (start 32.512 4.318) (end -32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp ddcc810d-5a94-405c-9273-08473fedc392)) + (pad "1" smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/~{IOSEL}") (pinfunction "Pin_1") (pintype "passive") (tstamp 7d878f56-e2cc-42aa-b738-55903331a0b3)) + (pad "2" smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/A0") (pinfunction "Pin_2") (pintype "passive") (tstamp c7970825-a8f5-4726-afa5-0204e1594324)) + (pad "3" smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 12 "/A1") (pinfunction "Pin_3") (pintype "passive") (tstamp ebce6e6c-0720-4a10-ab78-ffde47d5737e)) + (pad "4" smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/A2") (pinfunction "Pin_4") (pintype "passive") (tstamp 13d7398e-81e2-4b6c-bb9e-a972a020ee02)) + (pad "5" smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/A3") (pinfunction "Pin_5") (pintype "passive") (tstamp 837a36be-b9f9-4607-94de-1e163d568f97)) + (pad "6" smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A4") (pinfunction "Pin_6") (pintype "passive") (tstamp cf2eef5b-ebd3-4afd-b26c-74a0b2e1ca33)) + (pad "7" smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/A5") (pinfunction "Pin_7") (pintype "passive") (tstamp 4ed22138-69fc-4257-8c33-8b72a73abb1c)) + (pad "8" smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/A6") (pinfunction "Pin_8") (pintype "passive") (tstamp 08f60e53-6dee-4f1e-946b-4386558be39f)) + (pad "9" smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/A7") (pinfunction "Pin_9") (pintype "passive") (tstamp 208a6730-4b36-4512-be29-3a27e46d28e9)) + (pad "10" smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/A8") (pinfunction "Pin_10") (pintype "passive") (tstamp 9075e5b9-877f-4f96-8eb2-2a11924e8649)) + (pad "11" smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/A9") (pinfunction "Pin_11") (pintype "passive") (tstamp a7261044-b26d-4a81-97af-8ff5a6b7e6ed)) + (pad "12" smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/A10") (pinfunction "Pin_12") (pintype "passive") (tstamp dc29b328-24c4-4b9d-acdd-96f62084b1cb)) + (pad "13" smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/A11") (pinfunction "Pin_13") (pintype "passive") (tstamp 43d9d900-91a1-4640-9d40-68bce52cd73f)) + (pad "14" smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/A12") (pinfunction "Pin_14") (pintype "passive") (tstamp 66d98159-7602-4ba3-87e8-fcc5207b6cca)) + (pad "15" smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/A13") (pinfunction "Pin_15") (pintype "passive") (tstamp 806ced78-5eb2-4980-bd08-b31eeb90bc42)) + (pad "16" smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/A14") (pinfunction "Pin_16") (pintype "passive") (tstamp fb3cbaad-a12d-4731-81ed-fb790bf58068)) + (pad "17" smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/A15") (pinfunction "Pin_17") (pintype "passive") (tstamp 6bf88395-969d-49cc-950c-c941a849ed69)) + (pad "18" smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/R~{W}") (pinfunction "Pin_18") (pintype "passive") (tstamp 455ad1f7-4044-490e-b253-cf1097690243)) + (pad "19" smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/VIDSYNC") (pinfunction "Pin_19") (pintype "passive") (tstamp b66b8d99-52de-40a3-b667-de853a1f5db4)) + (pad "20" smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/~{IOSTRB}") (pinfunction "Pin_20") (pintype "passive") (tstamp cf1d8c81-e098-41ae-8d03-c37829090552)) + (pad "21" smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/~{RDY}") (pinfunction "Pin_21") (pintype "passive") (tstamp c59c285f-0082-44c0-afd4-0d62e81629bc)) + (pad "22" smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/~{DMA}") (pinfunction "Pin_22") (pintype "passive") (tstamp 7b652e2d-92b0-4ac3-bec5-caeb638caf2c)) + (pad "23" smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/INTin") (pinfunction "Pin_23") (pintype "passive") (tstamp 44c7af1e-03f3-412b-a332-c07b261e5030)) + (pad "24" smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/DMAin") (pinfunction "Pin_24") (pintype "passive") (tstamp fdaf4d39-0789-4f83-af19-ceb5c60d7830)) + (pad "25" smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pinfunction "Pin_25") (pintype "passive") (tstamp 1891b845-984b-4964-84dc-d44d9d9c00a2)) + (pad "26" smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp 90866300-5c10-45f2-84c6-8d6b4721b01c)) + (pad "27" smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 45 "/DMAin") (pinfunction "Pin_27") (pintype "passive") (tstamp a1eb7915-2ceb-4d51-a9d0-5ee803ba8ccc)) + (pad "28" smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 44 "/INTin") (pinfunction "Pin_28") (pintype "passive") (tstamp ccf5a8e5-c977-467c-8541-5999e382cb0e)) + (pad "29" smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 33 "/~{NMI}") (pinfunction "Pin_29") (pintype "passive") (tstamp ac9ac313-98f0-4b42-ad5f-d07bebea7724)) + (pad "30" smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 34 "/~{IRQ}") (pinfunction "Pin_30") (pintype "passive") (tstamp 89d61d47-ad4e-41a6-907a-219e5656dd1d)) + (pad "31" smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pinfunction "Pin_31") (pintype "passive") (tstamp 1110e785-54bc-454a-9d12-6eb896a74bf1)) + (pad "32" smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 36 "/~{INH}") (pinfunction "Pin_32") (pintype "passive") (tstamp 30796e41-bb09-4f62-8023-d8b33fb3c796)) + (pad "33" smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 23 "-12V") (pinfunction "Pin_33") (pintype "passive") (tstamp cc9c01e0-7e91-41e4-b317-3e7f4221da21)) + (pad "34" smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 24 "-5V") (pinfunction "Pin_34") (pintype "passive") (tstamp 8c835693-3fcd-4cba-8a89-c16ab047dd36)) + (pad "35" smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 37 "/COLORREF") (pinfunction "Pin_35") (pintype "passive") (tstamp 7c4a10fe-5fda-490a-b836-9511800fe002)) + (pad "36" smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 38 "/7M") (pinfunction "Pin_36") (pintype "passive") (tstamp bf2e4aa0-17ee-4266-8376-9399afaf5283)) + (pad "37" smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 39 "/Q3") (pinfunction "Pin_37") (pintype "passive") (tstamp 5a7428b3-9f79-47e6-a37c-df646e66cd81)) + (pad "38" smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 40 "/PHI1") (pinfunction "Pin_38") (pintype "passive") (tstamp eeb530a0-65ad-4831-80e8-2a3d29a7d8a1)) + (pad "39" smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 41 "/USER1") (pinfunction "Pin_39") (pintype "passive") (tstamp 3b78cb3d-3701-4b6b-8d6d-53ce83e2b809)) + (pad "40" smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 42 "/PHI0") (pinfunction "Pin_40") (pintype "passive") (tstamp 6c966c5c-eae0-43bd-8055-77b1c6b69a9d)) + (pad "41" smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 43 "/~{DEVSEL}") (pinfunction "Pin_41") (pintype "passive") (tstamp 333f10d2-f8b3-4f59-ac7e-c1a0d7bdd5ba)) + (pad "42" smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 4 "/D7") (pinfunction "Pin_42") (pintype "passive") (tstamp 9e5cce66-5114-4a6c-ad3c-e94e6f0b5bda)) + (pad "43" smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 5 "/D6") (pinfunction "Pin_43") (pintype "passive") (tstamp 9bbb001c-490c-4c70-807c-7cf43deb2e4c)) + (pad "44" smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/D5") (pinfunction "Pin_44") (pintype "passive") (tstamp 6874ea8f-fdd6-4977-a18e-458b48d86730)) + (pad "45" smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 20 "/D4") (pinfunction "Pin_45") (pintype "passive") (tstamp d3e9f4da-0976-4122-b10f-ba8e16b1ed4d)) + (pad "46" smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 19 "/D3") (pinfunction "Pin_46") (pintype "passive") (tstamp 313552e0-a8db-4fe3-9971-ba970f569475)) + (pad "47" smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 18 "/D2") (pinfunction "Pin_47") (pintype "passive") (tstamp d646c368-3c49-4be9-84bd-55351daaa278)) + (pad "48" smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 15 "/D1") (pinfunction "Pin_48") (pintype "passive") (tstamp 53b68d8a-228b-47e6-95fd-bad9c0cc0c52)) + (pad "49" smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/D0") (pinfunction "Pin_49") (pintype "passive") (tstamp ed8590a9-bc7a-4dfc-8e54-20e68abcfaa9)) + (pad "50" smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 22 "+12V") (pinfunction "Pin_50") (pintype "passive") (tstamp 8e0e378d-bd61-4c86-8f1e-51a29f1c1d86)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 4e8a03ad-c0a4-4b8c-8dbb-1c957821aa58) + (at 113.65 115.35 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000615a02a1") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C35" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1f95ab83-2ec8-427d-81c0-a991a65e90bf) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp f902670f-318e-4e5f-b503-3d520b4152e0) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 455727af-9408-476d-b0fb-f1cf3aa10f40) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03bbae41-22da-4f55-b3bb-6fa64a2493e6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b83e2dad-bd6c-4628-be94-9e95b8302003)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ea82b7e-08b5-4a31-8f12-5bbcbc3ee13e)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44d5f8e6-3cd5-4075-8328-c192697e85f4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69887cda-6b2c-4730-bdb5-cc111dd5d859)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 547504a9-c978-4ce8-a11d-ad78576ef00f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73c3dd34-0174-4d1d-96ad-4b00245febf5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4996c05f-9b00-474f-add9-99d04cc48772)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ce4fbb9-6ecf-4ac3-9559-843f51ced345)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae9224e0-0923-42f5-8c37-902bf8f371eb)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 4d707a91-5cb6-4499-a313-b39c463d39aa)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 8c60e75b-2f6f-44cf-8910-67daf69befc0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.1mm_PTH" (layer "F.Cu") + (tstamp 52ad9f5c-df98-44b2-8936-0aefafe6de83) + (at 140.462 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d121") + (zone_connect 2) + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 2900fd22-6a09-4882-bce8-69ee952fd73e) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp bc87c52e-7bc2-4783-bcb0-d18240259f0e) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 357e0192-e676-4a0b-a30e-f815418612f1)) + (pad "1" thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp d0b3193d-1322-46d5-a65e-56cf68b6d219)) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 5385ff54-3250-4f20-872e-94494fa3df8e) + (at 63.4365 121.2595 -90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/c3c97bc0-a381-4992-9201-866bebb05148") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R6" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 55521514-8000-49b0-a0ed-c9c9b5e2a3df) + ) + (fp_text value "10k" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6808ee36-544d-4ea1-afc6-2ddfec8c9c7c) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 33563307-455b-4a67-8fd2-fa514307f844) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d13b23a-877c-4e3a-a9c8-5549f3491e73)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c382865-bf90-4e10-a74d-6f9474638956)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54bc607f-c759-4f23-9bc8-a0f1c186ac03)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f106adf9-7d31-4adc-b2b9-67e76c1054ce)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d21eccad-9a3c-4b8d-9dce-16e2a4467b14)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00ce6353-08ee-48bd-9f9d-db2d2c1d2cf3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb014597-2969-43b2-b96d-5b4aa0ff30ba)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2b7822b-b5a4-4133-8d2b-ef1065d011ca)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4798346-a930-4384-b0db-7235cd8b0ebd)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b28873a-f72d-43c7-bfcf-083dbba24469)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "/FD3") (pintype "passive") (tstamp 72f94ee1-d8d1-4842-8047-0774bf9967f8)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp eebf78b6-dc2d-4332-8c73-5e0566d11cb5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 55970b8d-f69d-4888-aeca-e80516ce38b8) + (at 58.293 93.484 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/dcc93b90-4b44-4597-aa52-7b6bd50839c5") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C17" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 30cbbe2e-d4ff-4cad-be3a-c93ebe75b89a) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp fe998c81-4c7b-4d30-83e3-6fcef9aad026) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 544345db-dbb1-4b72-a94e-afe3bcd6a7d1) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ff54d40-3b44-4d71-a37f-969e3d7155b0)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97e0f7b7-eebe-4774-9048-dfb47461e679)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79afbe3c-c6d2-43e9-9c1f-ef9b726dddd9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1c1dd24-1a5e-4a44-854f-fd90f81b503a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfdbfd1b-386a-47f1-bd30-8fbab3f0f25e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99296397-476b-4247-9d11-3a077c6c5237)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9740f02-bd36-4f20-a9b8-15e9bd807e5e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a69057e0-d016-402b-b952-8c1640cd80c5)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3a0005e7-c5d1-493c-a615-a92f9ec81b27)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58f40442-7364-4243-ae06-195059fb4920)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 198242dc-5aa9-4945-afb9-371793191c28)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp f82b8ad1-2241-442a-bf2f-bec8e89e368d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 58d144eb-da38-45cf-869c-0811862c30c2) + (at 86.8 111.15) + (tags "resistor") + (property "LCSC Part" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/79789dd3-0216-49f4-a141-1263f1baf603") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R15" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 83d99a5c-7769-47d7-90c9-f599a35808ba) + ) + (fp_text value "2k2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a1748f1b-8a3e-4c4f-bcc3-28567b058140) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7958b014-17f2-4e4f-80c4-b2a7a6123156) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f7a321d-bae6-4375-8390-896bdc0abc8b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b8ed5e0-d7dd-48f4-b38d-afa314c8d423)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c3d91da-0a82-4001-8714-ba4b60922b3c)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1f1eedb1-9fdb-472c-9ad3-3898c37a4969)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f0b3267-259e-4f2f-bcfc-31a0f6fbb94e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2fc44755-f347-490c-a9dc-aea6cf62cdfd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20f4692a-bef3-4762-844e-a4385bb64a8e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e3f920a-a62b-40ec-9946-ac2203550b80)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3393eccd-5a5a-441e-82a9-f59dcaaf437c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 69bcf008-fd59-4398-8caf-06407d486e1d)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 63141d7b-816f-465c-91b8-96f1f53fc5a6)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/Ddir") (pintype "passive") (tstamp d638df08-28b1-4018-b214-93863fe51275)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 5987bd35-c166-49de-999b-e044dee4749b) + (at 140.462 82.423 -90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d123") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 55b13c04-5ad1-4221-98c9-77ceaef6c4ba) + ) + (fp_text value " " (at 0 2 -90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp e21d6a80-77c7-4dad-aa42-bd1468bda282) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp e5b95756-231d-4e4f-9061-60517e526f9a)) + (pad "" np_thru_hole circle (at 0 0 270) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 9d11e3c1-3582-4192-bf0d-4c8ff2250236)) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 5db7604f-e6d4-4661-8813-41a8a60dd35c) + (at 81.025 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005fd6dfdd") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 2a7ed6d7-effc-44b2-be3f-9d09cd555f5b) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 94bef3f0-2165-4b65-8fff-9dc93c38095b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 668a983f-3f0b-4393-8b11-69086f711ab9) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 77fe812f-7566-4efb-865b-fc709ed3765e)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6077db20-d110-4099-be5f-755152996584)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f4d7763-a398-4f0b-9c1b-11f82ff6ad07)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04448137-d76b-4dbe-8148-54ccfa2a5913)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd405811-ec66-40a7-867c-4935fc21eacf)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 098dfef2-a47a-437b-a06e-fcd4cc35538e)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6d98dd87-9433-455a-ad0e-5a9f5269a90e)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2585a6cf-4df7-490c-9296-10dbcdc0dfe7)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d2372293-64eb-4983-a7d6-91248e91bbd9)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5a43b33d-a659-4fb6-8f9c-058bd79bada8)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c9b09c16-02ed-4fde-80d6-a70ad6002856)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/Ddir") (pinfunction "AtoB") (pintype "input") (tstamp dc3c204e-a5d3-4865-8060-5e9211394e00)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/D0") (pinfunction "A0") (pintype "bidirectional") (tstamp 8b10d34c-be51-4f0a-923a-7e1eadfc17af)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/D1") (pinfunction "A1") (pintype "bidirectional") (tstamp 814eaa17-dd8d-4841-83d2-6cc39972a67e)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/D2") (pinfunction "A2") (pintype "bidirectional") (tstamp 6b794124-c5bb-41de-be65-360d01a32137)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/D3") (pinfunction "A3") (pintype "bidirectional") (tstamp d7f32903-6e6f-4bc4-89b9-d9e9a4de64c5)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "/D4") (pinfunction "A4") (pintype "bidirectional") (tstamp 3f86b015-fb12-41c5-be6c-ff1cbe684229)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/D5") (pinfunction "A5") (pintype "bidirectional") (tstamp 52b4ea47-977d-40a3-9627-a006fbd0b0ec)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/D6") (pinfunction "A6") (pintype "bidirectional") (tstamp e854ef5f-60b2-4e08-954c-96ff91f04191)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/D7") (pinfunction "A7") (pintype "bidirectional") (tstamp 9b1e02c3-7aad-4c5d-ba96-588675ee0dbf)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp adffc114-8987-43d1-b84c-4ce2faf1d191)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD7") (pinfunction "B7") (pintype "bidirectional") (tstamp 001c9e00-963a-4b9b-8901-65770f91269b)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD6") (pinfunction "B6") (pintype "bidirectional") (tstamp 3afd3ed3-1ff3-4e68-8405-83481ec7eda2)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD5") (pinfunction "B5") (pintype "bidirectional") (tstamp 62206fcd-a897-402b-9f0a-fa23a7f2913d)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD4") (pinfunction "B4") (pintype "bidirectional") (tstamp 0fb675c3-cc48-4214-9778-5e51376d1fa9)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD3") (pinfunction "B3") (pintype "bidirectional") (tstamp 8ae1dd51-b458-45ff-af24-da03338e58a1)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD2") (pinfunction "B2") (pintype "bidirectional") (tstamp 82752878-0337-4125-8399-91c9d6bd3318)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "B1") (pintype "bidirectional") (tstamp 835162d6-59c1-47d4-b78a-1cf6f0ed1e44)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD0") (pinfunction "B0") (pintype "bidirectional") (tstamp 8812563c-48ca-47b3-aed5-303a517bf9f3)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 88518aa2-c664-4c73-8ac1-0310c7a1fcc1)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 7d1f7400-6f17-4ea1-b432-a98a7d4b6ba7)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 5e72fb68-86b7-4b3c-afd2-d23ee00653b3) + (at 116.5 121.6 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/87ce543e-a5bd-4663-b123-82da87d6513f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C39" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f1ebbeec-45cd-46d6-8da7-c98ff5dab5a5) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp bf3d7950-ec9a-447b-9d4f-d432b97aefda) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp acbafa56-42d2-4878-8b3b-fa72bd5f78dd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf9335bc-ea98-4635-9419-fec2522d5758)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4943cb5a-6cb0-4fd4-bc78-a3a245947f1c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 622236af-9b09-474c-9e44-27dc301a20ba)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3de20e71-0c36-4077-b052-21a4b077521d)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be641d12-5fb3-4dfb-ac24-db79592b40a4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d52716a-af3a-4bdb-a24e-6f57325f7d22)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 750ceb93-ac78-4269-b0fb-04c68d530202)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 633f44be-6607-458c-be23-ecd6000d6566)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03894061-8820-43e8-b227-7d0ab1e4aeac)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d3e01d3-9d8e-4097-a163-f65ea84f7989)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp e812fbe5-2727-4475-98ad-aae6a7d6118c)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 7d00fbad-4185-423a-8ef5-325243bbfdf2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 64ed9058-35db-4b5a-b851-f6bda82f2d03) + (at 95 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006232c22f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C15" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5c5d837e-47e6-450e-8722-644f104216f3) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5f428c02-543f-4fd3-b189-5c444a3a73fb) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1d142b06-1bd3-4065-a91f-099166b2bd67) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72fd1d17-f99c-4006-b5ad-693e49b9c61f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf93fab9-d180-47c6-8815-f6542dda7a04)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65a3e034-13f3-4054-b914-f9d624b3d5fe)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 815a2d86-8475-47d0-ae6e-dc83cb8f15da)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f34ed0c-9dd8-4dd2-b3be-92e77c6405ed)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac32253d-4553-4d3b-843a-686aa3a450d4)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 736ad883-8e95-4171-9cf4-77ce95e4ad5a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b131970-b4e5-4304-92fa-5250026646c1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03642fb9-4033-417b-8de6-a02be8a10725)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bfff9dd9-4258-4856-b4a1-8b75d6d567c1)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 0b1d30b5-cffe-4769-976d-18bb1362b464)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3cc0a2a8-631f-46bd-ad3c-2f2496cea520)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 65b248fc-7373-4a63-95f5-79ea8bbb1eb7) + (at 80.95 108.5 90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/4c965377-ef93-41aa-a4f7-6f336ae07d77") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R9" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6d8addfd-ae20-4101-a135-ac305084b4f0) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d2418f27-ab76-41a7-a383-35e60e99eb5f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7a92ab68-dca2-4c6f-b23b-8d1b1c08437b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2a635be-d927-4469-9b7c-6f2a43756097)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1802ced7-12a7-4389-9027-39260f5c2b60)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5a988a8-ee5f-4889-be9b-a28d5e25fa88)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7b41461-c9ad-446b-b69a-15c10cb806ab)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6218ed89-7688-4546-99dd-ce1290eb5365)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9750401c-dbe5-4863-bafc-2dc8b2dca542)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f6aa8fb-c555-42c8-a80c-b56b7db378e4)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df00e2b9-a72a-4549-8e00-3a9a2e91d62d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3fcb133-2783-4bed-a42c-bd4b2162e40e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd5dfc0b-e245-4b9a-a59c-ba3e00a0c0a5)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "/FCK") (pintype "passive") (tstamp 1093a23c-b55e-42b0-a4a0-8d167a8883ad)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 132 "Net-(J5-Pin_6)") (pintype "passive") (tstamp 5b9dc361-79df-4580-8e88-f356e1a41972)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 6913c0a0-0ef3-463a-acff-164d589c079c) + (at 51.65 97.75 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/5869edf6-a470-4200-8d87-6959f7d6512f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a5368874-8aec-40e7-b08a-23298c770ef6) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b9ceeb47-b3d6-46ae-a801-7eeee1962db7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 57473cd8-c0ef-44a9-889c-14195257fe80) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1c80765-bf23-4890-bf38-36e8b7252704)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 378c81aa-08e2-4e24-87e7-248fc9db7c22)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d642641-6b21-4cae-9c9f-a351e42920dc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12af29a3-54da-45ed-a27f-f591c0a7b11f)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7bdfaf8-d8ad-4542-9ca0-1331476c0a18)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 414a0f16-2132-4e1b-b0b8-5315d0f4012b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7eb94d91-1cd8-41ee-b894-f0e1af0db91e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 12cb2b38-8585-4f3f-b8e7-3c9df0dbd0b4)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bb004d8-708c-4877-b411-7b37ec088d7c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be432129-626e-4e1e-bf45-1ef59bf41b87)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/USB5V") (pintype "passive") (tstamp c4c27e3c-5607-4f37-9e4f-02effb3d8f21)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 21d17415-0d32-4da6-b929-e13e1cc1f372)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 6d4df31d-4f51-4dab-8c3d-85cf454267f7) + (at 60.083 91.3765 180) + (tags "capacitor") + (property "LCSC Part" "C1653") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/2269598a-c4ed-4307-82fd-3b31a482058d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C41" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d439437c-b3a2-4490-a3ee-7ca726adae7c) + ) + (fp_text value "22p" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 49bec374-efbb-4cfd-90d3-61a11882e59e) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ae5c15b3-6574-4e07-a419-cdce1d764ee9) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fa47f72-5f72-404b-9381-2557c794130d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e3b4d8c-2850-4b6f-bd86-25addfe42261)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8f0734c-1cf4-4194-801d-e9647a85dd2f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d7177e1-2738-4ede-8b5e-dbb7e086edad)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a93f056a-0ebb-45b5-a0b1-32399106b09f)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2fbb313-cd53-45a6-9af7-4390fce3ebbe)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp def39505-2bc7-43e8-b03a-b07fa7432d9e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb7ad0b9-b160-4d43-89e1-63ec290ff1ee)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36df4a80-501f-4cb6-9a18-064b3c972352)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d815d62-1b5a-4290-bf5d-fd67a5b30ead)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp 2dfbf59e-af33-4fdc-b697-5d1186606637)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp e3119ee6-90fa-4748-942a-736692740e7f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-23" (layer "F.Cu") + (tstamp 6f2139c1-1fff-4999-8062-5da5f5394e4b) + (at 136.25 124.2 180) + (tags "SOT-23") + (property "LCSC Part" "C5446") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060cd7812") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 38d860c7-163f-490c-ad20-1128d84b37b4) + ) + (fp_text value "XC6206P332MR" (at 0.45 0 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d768453a-bbe7-473f-ae97-c6cc3d8514c9) + ) + (fp_line (start -0.76 -1.58) (end -0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d0e946f-fc1e-4912-845c-263a4cdef6cd)) + (fp_line (start -0.76 -1.58) (end 0.7 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ccc5ea58-e12e-46db-9c58-5431ae11da03)) + (fp_line (start -0.76 1.58) (end -0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0b87a1c-012b-4392-b5db-96d9ddb7924f)) + (fp_line (start -0.76 1.58) (end 1.4 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d13b4453-1a7e-4389-b791-be451e22a72e)) + (fp_line (start -2 -1.8) (end 2 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84363bab-c1fc-42df-9e84-278c6c51fdd0)) + (fp_line (start -2 1.8) (end -2 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e37943c-5eee-416b-8c6a-a7ec82fa2ea8)) + (fp_line (start 2 -1.8) (end 2 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e7074a7-4f80-4610-908a-f9863174b6c4)) + (fp_line (start 2 1.8) (end -2 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 745e720c-2db1-4650-b239-0e78c985fc38)) + (fp_line (start -0.7 1.52) (end -0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 798c8b7b-811d-49b1-802b-58c36f4bfc6b)) + (fp_line (start 0.15 1.52) (end -0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e8b88ab-ae55-468a-bb20-7da8711ddc00)) + (fp_line (start 0.7 -1.52) (end -0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40b7ca3a-53da-4795-885d-e338c60fefc5)) + (fp_line (start 0.7 0.95) (end 0.15 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 09ed0304-9604-4d87-ad01-4292d50fb830)) + (fp_line (start 0.7 0.95) (end 0.7 -1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 19eb8901-3c1d-4ce4-9eef-9c9504b2a406)) + (pad "1" smd roundrect (at 1.05 0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp daff3d6e-5a73-42fc-b71c-723e223fc9c2)) + (pad "2" smd roundrect (at 1.05 -0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vout") (pintype "power_out") (tstamp 2dfc8a51-4678-4f88-8517-b0652dd5610c)) + (pad "3" smd roundrect (at -1.05 0) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp d85f1659-d7e0-4a0a-8552-cffe37427632)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (footprint "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") + (tstamp 70127794-3ded-4727-b64d-1c86d8c10e61) + (at 54.2994 92.5006 180) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (property "LCSC Part" "C9002") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Four pin crystal, GND on pins 2 and 4, small symbol") + (property "ki_keywords" "quartz ceramic resonator oscillator") + (path "/1ff27991-90b0-41b9-8444-a05c908e6330") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "Y1" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 7527852a-b4e9-46ae-8d0e-035342e46b23) + ) + (fp_text value "12M" (at 0 0.75 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp d960b511-8332-4ea6-9ba4-60d18f5c5674) + ) + (fp_line (start -2.15 1.1) (end -2.15 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 74557c72-2948-4ea5-8283-b38321203f79)) + (fp_line (start -2.15 1.8) (end -1.45 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 58c94f4b-21e1-428f-8aab-5c000b5b80ca)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d641d54-9cde-48a1-9efc-49c4942cf80a)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ab5fe89-f9e3-4163-b58c-f8299fb0f0eb)) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 788c8546-790d-4801-98c3-1738c8aab3e7)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40307a10-6c15-4439-b511-04ee8c561a23)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c00f49d-8572-4f68-a344-c49e4e426879)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b03bda97-64d5-4063-b094-f3eebbc51a2c)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f20847bf-4a4a-463c-a26a-a6a0d9d45f84)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9949e152-3d0d-4091-9d87-36a43c9b0b94)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4596387-e471-4a13-8da8-2acd7526aeef)) + (pad "1" smd roundrect (at -1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "Net-(U7-XI)") (pinfunction "1") (pintype "passive") (tstamp de9718bc-559e-4f44-94b7-7d80f0b98ce3)) + (pad "2" smd roundrect (at 1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 0c36a9a1-b1e3-4b9e-a598-438b74203c57)) + (pad "3" smd roundrect (at 1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(U7-XO)") (pinfunction "3") (pintype "passive") (tstamp 8e36523c-8063-458f-a04e-84af77a5d8f7)) + (pad "4" smd roundrect (at -1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "4") (pintype "passive") (tstamp bbaac9e8-f0d5-4753-9263-ecb74bf6e5c6)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 76e39b5e-dcb7-43d9-8c6b-dde29d519189) + (at 123.65 90.75 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005e680811") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C12" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 01b22334-3f8f-4d93-bab5-16511efda1f2) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4d23c370-4590-4cfc-b235-f9c2f99ba379) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0d32a2a9-d124-4f66-98cb-c9ba0d9b5dfa) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25fc01e0-9498-4501-9e2f-b91502505efb)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3054e7a0-16d2-47f7-b975-27308dd40517)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1cf15de6-12f5-4f3b-923b-84a533015812)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdbb2d8f-2253-4531-8cfb-785d39fc67ab)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a877ce7b-f98c-48b8-9f39-3cc7b56e3bec)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c045b3e-0e50-445d-9f88-a13bcc2625bc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6071a0e2-11c4-449c-b54c-f3d45cd4823c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5960d3c1-688a-4b78-9516-21ce038541c2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5abbecce-7b73-4137-bc37-d2b18bb75ca3)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2fbd966c-fe66-47a9-bf36-9704e1ce8790)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 86eb80d3-abdd-4102-8c40-433f8bb6fbfa)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3058e5c8-1910-46af-9ce5-3c5768456b32)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOIC-16_3.9mm" (layer "F.Cu") + (tstamp 7823e24d-08bc-456a-99a3-628405a9cae2) + (at 57.658 98.933 180) + (descr "SOIC, 16 Pin (JEDEC MS-012AC, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "LCSC Part" "C14267") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "USB serial converter, UART, SOIC-16") + (property "ki_keywords" "USB UART Serial Converter Interface") + (path "/17749572-f7ca-40dc-9dcf-fe6e4c69f426") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "U7" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp f39d12fe-4b02-45f3-b754-99b9fe4123f2) + ) + (fp_text value "CH340G" (at 0 1.016) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp a85eee9e-faca-4048-9635-c0da36acf031) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp c579ffbb-5ed1-40ea-8248-da1d4068d67a) + ) + (fp_line (start -5.06 0) (end -5.06 -1.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e881712b-b9c2-4c81-9681-43d9fb99966d)) + (fp_line (start -5.06 0) (end -5.06 3.45) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42d39507-7e5e-440b-9a20-1648f20c84ba)) + (fp_line (start 5.06 0) (end 5.06 -1.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fcdd62d-36ef-44c8-b516-1536cefb8be0)) + (fp_line (start 5.06 0) (end 5.06 1.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f8f441b-4136-4a91-82e2-65292bbcb17d)) + (fp_line (start -5.2 -3.7) (end -5.2 3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bab17bc-201d-4a96-a9e2-19af826e1f34)) + (fp_line (start -5.2 3.7) (end 5.2 3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65dd640f-61d3-4761-858b-1637b7dd9813)) + (fp_line (start 5.2 -3.7) (end -5.2 -3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06dc0ef7-b12b-4f7d-a47d-48a8f3b4a47b)) + (fp_line (start 5.2 3.7) (end 5.2 -3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8714ea6e-743e-462a-b83d-d8f46f307e73)) + (fp_line (start -4.95 -1.95) (end 4.95 -1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d8c3067-1ef9-4c5a-b8de-7b06c97d0b49)) + (fp_line (start -4.95 0.975) (end -4.95 -1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dad1b2ae-2a74-4444-867f-57280f64562d)) + (fp_line (start -3.975 1.95) (end -4.95 0.975) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b9309a8-d49e-4bf7-992d-070bb44f05cc)) + (fp_line (start 4.95 -1.95) (end 4.95 1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20285bd8-5c74-4644-83f9-0ffe6ce36809)) + (fp_line (start 4.95 1.95) (end -3.975 1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6d66927-09e8-4ce5-8770-9af5d47ae029)) + (pad "1" smd roundrect (at -4.445 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 151ee3e3-be35-431b-9c07-e8447b9f23ba)) + (pad "2" smd roundrect (at -3.175 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 140 "/UTCK") (pinfunction "TXD") (pintype "output") (tstamp 9d22203d-d081-4236-973e-bbdc9d93e0d4)) + (pad "3" smd roundrect (at -1.905 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "RXD") (pintype "input") (tstamp b641c0c0-ecfe-43b1-bbcb-eb9056c405a1)) + (pad "4" smd roundrect (at -0.635 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp 9d10e22a-dec3-4fff-8f37-cc225a7e9039)) + (pad "5" smd roundrect (at 0.635 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 130 "Net-(J3-D+)") (pinfunction "UD+") (pintype "bidirectional") (tstamp 059b6c73-ac98-4cff-9cb2-c6fa729aa2ca)) + (pad "6" smd roundrect (at 1.905 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "Net-(J3-D-)") (pinfunction "UD-") (pintype "bidirectional") (tstamp bfda147a-c927-40a0-8ba6-c7e5b330aaf5)) + (pad "7" smd roundrect (at 3.175 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "Net-(U7-XI)") (pinfunction "XI") (pintype "input") (tstamp ea0904ff-8b7c-49ab-b6dd-032720c933f4)) + (pad "8" smd roundrect (at 4.445 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(U7-XO)") (pinfunction "XO") (pintype "output") (tstamp dd8359f3-877e-4a9c-99aa-01507e0416d6)) + (pad "9" smd roundrect (at 4.445 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp 1ea47c24-fea7-4ac7-9b8f-ae8b5b9ad24e)) + (pad "10" smd roundrect (at 3.175 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "~{DSR}") (pintype "input") (tstamp b1b99277-ba21-4848-9c82-663a8ccbe763)) + (pad "11" smd roundrect (at 1.905 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "~{RI}") (pintype "input") (tstamp 2e6f8580-c920-4394-bcb3-fe6df1e3c7d3)) + (pad "12" smd roundrect (at 0.635 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{DCD}") (pintype "input") (tstamp e66ed94e-abe5-4404-9186-939c2e55e70f)) + (pad "13" smd roundrect (at -0.635 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/TDI") (pinfunction "~{DTR}") (pintype "output") (tstamp 571083e2-e5e2-4682-a95b-8fb6ef378a85)) + (pad "14" smd roundrect (at -1.905 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/TMS") (pinfunction "~{RTS}") (pintype "output") (tstamp c62108a3-264d-4462-a6de-3ea8d3adcf22)) + (pad "15" smd roundrect (at -3.175 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "R232") (pintype "input") (tstamp 7e4aa37c-0ca5-4b6c-806f-00d0f4ea506a)) + (pad "16" smd roundrect (at -4.445 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 054b8954-707f-4579-8642-e6f64f63fc77)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 78ab4045-c6f1-40c7-a5d5-7e01426c13cd) + (at 60.833 93.8275 90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/fd9245fc-9285-47a5-bf4d-53aa8c9f0755") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R5" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0fdf9c17-d036-43d5-944e-3956ef516bc9) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b6e74b06-64e7-4fc8-a3c8-cece32c7f4f3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4f679796-7d6a-43ff-abec-3a1c2c560b95) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b93661d-c5ca-4702-86bd-7e11f97a8fbc)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d713de6c-8bd1-4ea4-b973-01a220abcacd)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e231e64-a911-4c76-9a00-92188117a764)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9604516-eb9a-40f3-9349-e30797bfafd7)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 356e5ed0-f7d3-4edc-884c-bbd15ab12f5a)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7470318-fc1b-4c3e-8e38-afe3e2998be6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4293495-5263-4b8f-9486-7d1586616076)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 855de8db-44d0-42af-a76e-e2abfdca49b9)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 553a4a42-5ccf-4187-8654-1f3b1b18c9c8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 984453e4-ffd9-468b-af9e-338bb1c1159b)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 140 "/UTCK") (pintype "passive") (tstamp 912602fe-bd34-45c3-9c27-4742c57474d0)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp fd4931c8-1eae-49ed-9ee0-4df833246092)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 7b0218a8-1357-494b-85aa-011b04a0a03e) + (at 63.8175 100.6595 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/835c2a22-7ee3-4aaa-89b8-c845f8ab0f2e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C40" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3f163f81-de56-40ad-ba34-e2ab2a1ff52a) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 891cebca-bb34-4f0e-af4b-4ae57beec7cd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 59149ae5-5c4f-4933-8b6a-5580f7e1503a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f8e1077-d3d2-493c-a73f-d8dba6e19ab6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 734c5b66-74b4-49e5-9da1-a532bcdd85fe)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b763780-a67e-4471-a466-f0cdeb0531de)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96a3bc30-35ae-4d7d-876f-bbf0bfdc25e2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 787d683f-5166-44c8-adda-ac98ed82ae71)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25874db5-26cd-4a9a-9181-8e1c89e10bde)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80eae390-07ee-4e73-987c-694c581b3f61)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48a67a1e-476b-4eeb-af81-a215d02d1977)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b569859a-e980-4094-aa5f-f577352a9664)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a62d40c6-1d91-4f21-b956-a576f6170189)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 7618a992-fc76-4f8e-bea0-c6371e901752)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 02433e66-be6b-4dd2-ac91-530893770685)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 7e790ae8-1f46-4161-b52f-4a0866d844a9) + (at 62.9665 93.0275) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/16097157-cf39-4e60-b9c2-b01dba5f05da") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R8" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d0a73817-b34c-4572-b27e-bd00d9d41371) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp ad5eb21c-178b-418c-bec7-62b844d29dbf) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 087174af-ac1e-4111-ace6-0b889d363e62) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5285fae3-0eb3-4ff3-92f4-0afb70b91f5b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3cf4af1-a36f-4408-ba94-7b1bb66eafda)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 385a7837-f6ad-4396-a2e4-724df4b0ffe7)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4afcf40-2493-4428-87ea-9d61e4fe78d4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a54a665d-030a-4b05-90a3-28913de34414)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d79dae60-2a5c-465b-b0b5-adb64edf5072)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e89e0e3-699f-4926-857b-7dec3d8eaf04)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcdbf7bd-e1a8-4f11-aadf-2e6783188290)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 523a846e-1e54-4c7c-be08-00790c48bc91)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0d7aaef-8345-4fb5-8c9c-6d6ae791fa4b)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp a8cb0cf5-b114-42ee-b1e9-5023d08f9027)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c1291c00-ea45-4cdc-8021-a2e0415b536e)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 7eff09cc-15fe-4212-bdbf-421a1967dcfa) + (at 51.1994 92.6006 90) + (tags "capacitor") + (property "LCSC Part" "C1653") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/b8696251-28f1-4aee-b72c-e15a5fc265f4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2af58554-fdac-4dd9-ba29-a12ae3182663) + ) + (fp_text value "22p" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp dafb10d1-05f4-4805-b1f2-c0f75b7334a4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 10738419-1e8c-499b-a300-11193e842b7c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05e84b95-fdd2-4031-a79d-1a556d2e15aa)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c469119-630b-4a68-8136-7ca83c82dfdc)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 189be5a1-e9aa-455e-bcc5-ad65fd73901e)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e62bac40-d3b0-404d-a439-ab5c62e11166)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3305660-28eb-4e25-85de-6b01cfe4fe8d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52d47443-124b-4c00-9bcc-18abbc837bee)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84f5cd92-1e03-4f20-906a-29adec0974ea)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86ee1c62-269d-4d51-9576-e6a21806e4db)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57d046b7-b865-4d9a-8afc-f4a4aaeb32f1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a94ec8a8-80ad-45d1-ac95-32f530140ee6)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 105 "Net-(U7-XO)") (pintype "passive") (tstamp e28b2639-6633-4d8a-a136-839fa11c4394)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 2e492605-bfac-4742-b38a-be0ff18fe067)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 83ac37c2-c7cf-4df1-9f6e-146a1e7b3e9e) + (at 89.3 91.65) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb8d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C26" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a7fb14ed-12b3-4f11-a5d9-0c305076e7eb) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4ab64d8b-30de-4d27-bb76-4e03309d9611) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 46ddcf2f-bc23-4d5e-a415-f05706374459) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab46b7c3-d477-4f8a-acd2-ac0bc4e1bd26)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 69c4b4c0-b9c9-4ee3-80ac-eaecb5066f01)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e11043b-fad0-45fd-b22a-f05eb71ed992)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4172d2bf-dff9-4572-91ee-25d1db60f195)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff80a1a8-8db3-4e7d-b2bd-28f85d9a45a6)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c02d40a8-6206-472c-a05f-c82c04f7deb3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eab71d1c-fda8-4b16-b925-17aae85bcd20)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0809946c-0aed-44d0-bac7-69467f9f715c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8c6f6646-044d-43ea-a511-21ce2af0f9b8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dedf8388-c4bd-45cf-92db-def78a0a5ed9)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp e6e11dc3-3a09-44fc-92eb-9efe5e72261f)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 604161ac-8152-4e2f-a9f4-eba7c3ff8563)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 864c7272-5792-4b54-8564-3ff9393f5655) + (at 85.8 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006232c221") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C14" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a5873ac3-0c94-4b46-b326-74bddbefac49) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 55ec0288-cd55-4e4e-adca-16e36286ab77) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2e829eeb-264b-4c37-a948-957e37e7db92) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54150322-3820-48e5-a28e-706bdcc733da)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fb6c6e4-f710-4945-b0ce-7693eab5a5a0)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e367f16-2b8d-49dc-a70b-9fe73280f44a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e940b31-ce8d-4e6d-8921-e1dc698cced4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8bb4c7b9-0470-4857-85e7-4c8e0be723b5)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24e6d1a4-c6b4-42dd-8fb7-981b4267aa24)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c0b49e65-c37f-449e-a716-aebd529adab1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d52c8d84-894e-4976-8064-299d9b312715)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72e71f49-5805-468f-a8fa-26ba64f5fed7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bd38549-3f82-4aff-bca7-5bb2f03547ad)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp b2699842-1763-4daf-8d73-f2463c81f2d9)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp bc845553-ffa8-466b-995f-50a80c4d2d5d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 86b29e93-86a5-4005-818a-e1b2b05035bd) + (at 82.8 103.65 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ba34dc4a-f7e7-4963-9319-46bb803273c9) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a043c003-30cc-47d5-8029-88b6471b6194) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 290acd0b-d5f8-4559-81b7-7d47a3eb4421) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ebaf369-8070-4fb9-b100-10d48c7add82)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff354488-c3b3-4753-94dd-2e709e6ebaa6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85df6aea-4147-4331-8a43-7d56f1164d6a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 622eb7f6-fbf5-46fa-a7cc-67480a4b5299)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a73cfc62-0975-4a12-ae2b-196bd93db9ee)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccd233de-e4a0-48c5-9543-6145479acf52)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50112e93-a752-435b-ad40-dfa26edce6cf)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7cf86ed-7eef-428d-9907-d26c6159dc9c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d25453d-a518-4040-a73c-6b886e8a21ee)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67781ff1-ae73-4e99-83fa-9eb873ba7087)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 73895133-ffd5-4aa0-aa1f-58f38e25ff95)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a6fae5e9-dbb2-4486-a09c-4b7a191e124c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tstamp 8ed7e7a4-aa71-448e-ad98-dbc1f83dc2a8) + (at 118.65 103.05 90) + (descr "54-lead TSOP typ II package") + (tags "TSOPII TSOP2") + (property "LCSC Part" "C62246") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f3e609f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.03) + (attr smd) + (fp_text reference "U2" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 15058226-a3b8-45ac-a786-751022710a55) + ) + (fp_text value "W9825" (at 0.95 0 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 4aa6e4aa-2f6e-4c98-8499-e7f9cb07415e) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp cb339866-e039-4fea-98a5-ad1e28fbe7b2) + ) + (fp_line (start -11.3 -5.3) (end -10.9 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cd58c81-66c8-461c-9d2c-1940269e909b)) + (fp_line (start -11.3 5.3) (end -11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 499034af-2292-4b0d-bb08-feeea9cbfea2)) + (fp_line (start -10.9 5.3) (end -11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c4160a0-b536-4794-ae1f-cfa9664b1ca8)) + (fp_line (start -10.9 6.5) (end -10.9 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 140113ae-0f49-4516-a791-ad5abbf5a7a6)) + (fp_line (start 10.9 -5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25f34940-2db4-4d1c-8d8d-9526aaf51d9b)) + (fp_line (start 10.9 5.3) (end 11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e102334-9ed0-4aa2-8f2d-f7abcd31fff0)) + (fp_line (start 11.3 5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b091bc46-300c-439c-92f8-549de61ff69f)) + (fp_line (start -11.36 -6.76) (end 11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db4ecc3c-49ed-4be7-b5a4-ce5fa6ebd83f)) + (fp_line (start -11.36 6.76) (end -11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e3ad12c-67ce-434c-9427-3830b75044bb)) + (fp_line (start -11.36 6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 856c6921-9fe4-47ac-89b4-b858db359fce)) + (fp_line (start 11.36 -6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8fa5587-286a-4ebb-bd0a-675dc085a4b1)) + (fp_line (start -11.11 -5.08) (end 11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a65c459-a623-4fd0-8f9f-4331e00df2c8)) + (fp_line (start -11.11 4.08) (end -11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec199d8b-d0fe-4088-b9bc-d0a3354411f4)) + (fp_line (start -11.11 4.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1be8301-5ad8-4d9a-b8cf-96e428937e6f)) + (fp_line (start 11.11 -5.08) (end 11.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f6e8191-05a1-44ad-bcfe-f87aa3a793f2)) + (fp_line (start 11.11 5.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4b44a9b-2f4e-47a4-84c8-b64f0bee18cf)) + (pad "1" smd roundrect (at -10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 652aa0f7-a34d-42ea-8f1a-82fc363aa98c)) + (pad "2" smd roundrect (at -9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/SD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp b7d6750b-e836-47df-953e-2e03247cbce2)) + (pad "3" smd roundrect (at -8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 18f2effa-598c-4ff2-899f-df79f2c74aaa)) + (pad "4" smd roundrect (at -8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/SD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp f8738e6f-44c4-4d18-b532-ca103d2f6d70)) + (pad "5" smd roundrect (at -7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/SD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp ecbe6ddf-f466-4f1f-b87e-473f47f8cc1c)) + (pad "6" smd roundrect (at -6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 01e60eae-0352-4564-ac16-54bcfb077b5e)) + (pad "7" smd roundrect (at -5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/SD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp 76ba0f20-cd80-4e44-a446-23ee0bea92a6)) + (pad "8" smd roundrect (at -4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/SD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp 3015cd30-633b-4c4e-bdc0-e1c145bd9bd4)) + (pad "9" smd roundrect (at -4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 8930d32d-9883-4fac-b7d8-78d9135e2d5f)) + (pad "10" smd roundrect (at -3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/SD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 134e45f8-4685-477a-977c-510c3f12160c)) + (pad "11" smd roundrect (at -2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 135 "/SD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp 873d160f-6cfc-4645-990c-775c80697704)) + (pad "12" smd roundrect (at -1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 898481c8-cc8f-407a-adc3-38b863f0f842)) + (pad "13" smd roundrect (at -0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/SD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 6de8af99-62e5-4f1d-a51d-3acda19f3d9c)) + (pad "14" smd roundrect (at 0 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 86573358-e6e1-4ebe-be45-2ba79a6a5efa)) + (pad "15" smd roundrect (at 0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/SDQML") (pinfunction "DQML") (pintype "input") (tstamp a1a5b978-3331-45c9-a5db-a74f39b180ff)) + (pad "16" smd roundrect (at 1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/S~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 82b53990-c0d8-4685-8d77-6c9eb58c7275)) + (pad "17" smd roundrect (at 2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/S~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 76d17dc7-830c-4c8d-9650-1c8f4a83e6c7)) + (pad "18" smd roundrect (at 3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/S~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp bbbcba62-e838-4109-a566-1a72198beca7)) + (pad "19" smd roundrect (at 4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/S~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp f949f55c-5db9-4244-a69b-db089342f069)) + (pad "20" smd roundrect (at 4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "/SBA0") (pinfunction "BA0") (pintype "input") (tstamp 7a2d38b3-eacd-46a1-840d-361f25bb34ea)) + (pad "21" smd roundrect (at 5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/SBA1") (pinfunction "BA1") (pintype "input") (tstamp 9c0d39ae-6fe9-4844-a02b-464b513c2f20)) + (pad "22" smd roundrect (at 6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "/SA10") (pinfunction "A10") (pintype "input") (tstamp e173e8af-53bb-499d-b581-7ff6c90995f5)) + (pad "23" smd roundrect (at 7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/SA0") (pinfunction "A0") (pintype "input") (tstamp 86690332-1896-4e75-bfdd-ce80d0caa734)) + (pad "24" smd roundrect (at 8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "/SA1") (pinfunction "A1") (pintype "input") (tstamp dd963d47-7e79-460d-b662-433a53b30c93)) + (pad "25" smd roundrect (at 8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "/SA2") (pinfunction "A2") (pintype "input") (tstamp 3bd807f9-e57a-498b-af90-b991e0c18c35)) + (pad "26" smd roundrect (at 9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/SA3") (pinfunction "A3") (pintype "input") (tstamp 60d97d33-b10d-4f18-8dcf-d0e5b715a38c)) + (pad "27" smd roundrect (at 10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp dfe9a983-ff63-4492-86de-2f73b47232cc)) + (pad "28" smd roundrect (at 10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 668bfc47-b167-415a-a168-efa110dd87ae)) + (pad "29" smd roundrect (at 9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/SA4") (pinfunction "A4") (pintype "input") (tstamp d8826ba2-e92a-40e2-803a-aae513ff4578)) + (pad "30" smd roundrect (at 8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/SA5") (pinfunction "A5") (pintype "input") (tstamp bf2c99f1-0d5c-4cbd-8651-a2b07f7ec4b2)) + (pad "31" smd roundrect (at 8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/SA6") (pinfunction "A6") (pintype "input") (tstamp 329c8fd6-acb0-4256-936d-acf0abc37eb4)) + (pad "32" smd roundrect (at 7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "/SA7") (pinfunction "A7") (pintype "input") (tstamp fd71331e-67a0-4ad8-9932-b63dbe16b471)) + (pad "33" smd roundrect (at 6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/SA8") (pinfunction "A8") (pintype "input") (tstamp eb196495-4fbe-4ea0-803a-203d06d363d0)) + (pad "34" smd roundrect (at 5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/SA9") (pinfunction "A9") (pintype "input") (tstamp c047d52b-aef7-4838-a4e0-652680e91e31)) + (pad "35" smd roundrect (at 4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "/SA11") (pinfunction "A11") (pintype "input") (tstamp 7ed7579c-015c-4075-9cce-95cde8800efd)) + (pad "36" smd roundrect (at 4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "/SA12") (pinfunction "A12") (pintype "input") (tstamp 4bb1e67f-a7ef-42ca-9b37-e47e9c268cc3)) + (pad "37" smd roundrect (at 3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/SCKE") (pinfunction "CKE") (pintype "input") (tstamp a524f131-417e-497c-984f-6069d91d43d7)) + (pad "38" smd roundrect (at 2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RCLK") (pinfunction "CLK") (pintype "input") (tstamp bce0b523-d2db-401e-b502-ca6ec2a0c745)) + (pad "39" smd roundrect (at 1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/SDQMH") (pinfunction "DQMH") (pintype "input") (tstamp a95ce29d-d7ae-46f9-9df0-499cd8e429ea)) + (pad "40" smd roundrect (at 0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d18a8e4e-a960-48d5-a759-eec622a31052)) + (pad "41" smd roundrect (at 0 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp cd323879-f564-47b3-bce9-b3280140190e)) + (pad "42" smd roundrect (at -0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/SD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 9230cfeb-8c9d-487a-b1a4-695c049e8e03)) + (pad "43" smd roundrect (at -1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 505b02fe-3f97-40e5-99fc-66d4ca6933a9)) + (pad "44" smd roundrect (at -2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 135 "/SD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp 19e64116-7e27-4249-a060-453e5cd2e53b)) + (pad "45" smd roundrect (at -3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/SD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 71aa6672-be52-473e-ad0b-d7e51458865c)) + (pad "46" smd roundrect (at -4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp a7a3de98-65c0-4df5-8317-a857c9636ef2)) + (pad "47" smd roundrect (at -4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/SD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp bfce08d4-e36b-48f0-8eb8-602ca4f3a1f8)) + (pad "48" smd roundrect (at -5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/SD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp a01861a9-bf5f-4566-82d3-6427aeb7b715)) + (pad "49" smd roundrect (at -6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 49493cc1-2a6f-4c57-878f-5758ac2105ff)) + (pad "50" smd roundrect (at -7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/SD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp a249fd81-83f6-40fe-9e03-bca954584709)) + (pad "51" smd roundrect (at -8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/SD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp f4295fbc-bd22-4989-a1aa-dcb506a577c3)) + (pad "52" smd roundrect (at -8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp ec6c344d-4668-4902-9439-93025697e67b)) + (pad "53" smd roundrect (at -9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/SD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp 2d306160-072e-4550-a6fb-09f44208cfda)) + (pad "54" smd roundrect (at -10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp b2ca0bf9-07b4-429a-8175-97f28efb2c28)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 8f5ddbd2-5e72-4bb2-8a4c-5433b895b19f) + (at 68.45 98.95) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/309de67b-e5a1-43ff-806a-78c27575ac47") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R18" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3b27dc2d-c16e-4645-9b22-eacfe663a5cb) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp dc26f71b-7071-43c7-b83a-9b05febbabdc) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9c840261-6167-45fc-a20f-b1b44f39a989) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bcb7a0d5-bade-4af2-8b41-20729343d013)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 818adeac-7411-4646-8100-e2a1c94b2177)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c641638-b252-4b25-9eb6-8b6fc79ee733)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a961173-5b68-4231-ad0c-f50e33e4bff2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d542191a-89fb-4a8b-9ff0-cb096a847028)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 43101910-cefc-4a2b-935b-ddf080ea5963)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9202f919-e949-4786-b98d-9a6edd361ee9)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e34fcfcb-4e87-4815-ab2b-89868ef90fba)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50c9fefb-4564-4802-8d2b-298e8414093f)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe44c5d5-65f2-4fdc-87aa-62d8b3d18372)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 7b8bcca5-04af-4368-8527-6b35ca228b9a)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 148 "/~{INIT}") (pintype "passive") (tstamp 3297b5e9-484b-4f2a-ac21-34cf4ea5f9d3)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 9185038d-0fca-4955-be12-109ed79bc619) + (at 114.35 121.35 180) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C12519") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000602499a6") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U14" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e7b783ae-d808-49e3-a8ad-6e55080924f6) + ) + (fp_text value "74LVC1G125GW" (at 0 -0.35) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 8f927b19-3b55-4f29-82db-eff86f1fd369) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d336753-0dad-407c-a3d0-5b88261456d0)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92248281-b236-4de5-923e-239026ebc888)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e89063ff-4c25-4a4d-a9dd-710140629a01)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dcaa2036-62b8-4350-a5f9-1a3d44b210b5)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9109170b-446b-4f72-9a70-1ba7cccc6eb5)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec6ac291-4fe3-4849-85f4-e4027d576ab1)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfdcb1f8-27f3-45da-b99a-0c0cab7f24a3)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16c6024c-a88d-4081-84a8-59dac4e88a2d)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc8ad404-2956-4dc0-8859-4fb207d5f977)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 344fb0fa-c94b-4bd5-8fad-454101dc5bbe)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7f6da81-6cd8-49e0-a183-a4c1277bbbbb)) + (pad "1" smd roundrect (at -0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "/RES~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp c665e6f6-ddfc-45f3-a96a-70d7e434c075)) + (pad "2" smd roundrect (at 0 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp 7519597b-e87a-4721-802d-6ec3dc3d5959)) + (pad "3" smd roundrect (at 0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 89ae8613-7faa-423b-9b46-b64c14d6673a)) + (pad "4" smd roundrect (at 0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "Net-(U14-Y)") (pinfunction "Y") (pintype "output") (tstamp 8fc54c85-2dab-4f83-989e-a8168142624c)) + (pad "5" smd roundrect (at -0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 7e7c546a-7a4c-43dc-b0ca-350914660b96)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 93ba908a-73fa-4e5a-ab60-4ad2706e15c9) + (at 103.8 97.65 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e9d70667-0079-4773-b793-ff169029bace) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 580eda44-c176-499b-ae63-0ae778811188) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1055104d-96dd-409c-bca3-5d100f09b76f) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 683c9e58-4538-4861-a4cc-48b24ff326cd)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c65d803b-16f3-4d1a-96ee-2765b3c8c698)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 635b91da-59d3-4fae-8b3e-717d8370f977)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3fa60bdd-bc1f-45e4-bcbe-4ca121f12f24)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bec7c715-92a3-4b47-9c8c-6270a886b993)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6084285-411f-4671-97e8-6a1f6b125e64)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0fc46337-826c-4f60-a156-af6d2212f852)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6ceb35ee-ce21-499b-8b6e-313d735b794f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a5874317-4d64-4159-9c03-db1906bb5063)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ca3a1a91-f09b-41ce-81e4-ceb78eb956bc)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 8d282159-f964-43f5-9a38-c3e0b4ff6571)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 5632df4b-399f-4116-98ce-ea835530fa81)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") + (tstamp 951071b7-86ce-490c-b8cb-c7f4204390ba) + (at 107.1 102.5) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (property "LCSC Part" "C669088") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f710408") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U13" (at 0 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp f91d4a3e-7e9d-40b3-b170-e89543e39242) + ) + (fp_text value "25M" (at 0 0.75) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 4a87ae59-8d62-4144-a2e1-43a153af0dae) + ) + (fp_line (start -2.15 1.1) (end -2.15 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 003593e6-aed0-46f4-93b6-3358d899f1a1)) + (fp_line (start -2.15 1.8) (end -1.45 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 996ff6a9-8874-4788-9ae2-18caa589148e)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de7b3654-1133-4535-81b3-b4cca4d9ea65)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ef226d5-74ee-443b-99f4-a16d324a8dfd)) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4164ab8b-6763-40a2-b5ce-59a0ca7127e3)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 454cc1fb-971b-4b79-b589-9649dda2d269)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e9b40cb-100a-4749-8df2-a92d40f405ab)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02dfaa08-25ce-4586-b6a6-b4d45c3e4063)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb454f67-6ec8-422e-805c-f3ac31610a79)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e4ef174-d9c2-4cd1-a9da-2f920b4c25d0)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0378f27f-344d-4d22-b8a8-258962e76002)) + (pad "1" smd roundrect (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 25fdf426-fcf8-44f0-b147-5398ad0e6d8d)) + (pad "2" smd roundrect (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3aa18cb0-0e10-45a2-a95f-fdfc02de3c20)) + (pad "3" smd roundrect (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 141 "Net-(U13-Output)") (pinfunction "Output") (pintype "output") (tstamp c9f940ee-6cb6-45db-b253-cf6d9d371421)) + (pad "4" smd roundrect (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 41dd4b63-50b0-4178-baac-8f1ae44f6b91)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 9ec4f3a8-67f3-4e57-937a-70812d3a5b43) + (at 84.3 103.65 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/a23843ce-f7b9-4536-8c0d-65586eff2030") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C44" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c867c9a9-722e-465f-94be-fdb67f57c46a) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1d5820d5-14ac-45bb-a685-e9e0d8bd0ec6) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3e44c06c-7386-4cb0-a2c2-70c6d37bf48b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ed607f09-2e9f-4c8a-ba95-a605bb0e3e0f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eb1dd6aa-0316-4c37-a6b4-fd27d292d614)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 741150e1-cb8e-4b6d-b925-907a3cc7969b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 902e7148-83d1-41e4-b6ea-816de122a3ea)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cc567751-39dd-4d79-b398-b1c112e20d43)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58f49ad4-75bc-4d38-8d0b-d9f65b38ddaa)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7e822cd0-672e-4156-829a-022eae6aa67a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7237bd20-c92b-4a0e-bb4e-bbe40f943b61)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36837607-2b6b-4f83-a6b6-0a7b307efdba)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 916492f0-0724-4ca9-82f8-8b183935604f)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 81b2a0da-5789-4e86-b281-9d943e3a006b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp af0cae45-ca7d-435d-adae-c9f65e1c2e3b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp a38871a0-f231-4fc8-8f5a-cd289618c8fd) + (at 104.05 102.4 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/b43c300f-68e8-4122-9ae8-597826063a42") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C43" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 262e1934-2eb0-4388-8d02-be62d2df9f99) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp be3896ba-f08d-4755-87fe-93fde234a19e) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 239ee31f-79cb-4afd-8df3-d4052de5a1ea) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 070558cd-ed14-4d96-8841-c88370d6d235)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81243ec3-7ad3-410a-a86f-009705a5d9f1)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6084e9e-33a2-4f13-99af-ee44127c4cdc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d589d95-9eaa-47fc-8fc1-97b866b7d527)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68a4d64c-08ec-442d-b61b-ff1e28eee2b4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e3a0e2e0-e49b-4bd4-a168-54a54e31da1d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 135bf72e-b7e7-4ff5-b521-f923b26dacdd)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5c553624-60b2-4928-9f03-b1a065a0e6eb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c5c76e40-7bc1-489b-b52b-607571d63336)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 11dd1ce4-acf5-4d0d-b261-81ef39c3e9a2)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp dfe3bbcc-a1de-464e-9055-8264b7126474)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 688b1962-e715-4853-9717-458f9748be3c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp a59a71a2-dd0f-4220-9a50-224f45efc17c) + (at 113.65 90.75 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-000060865cde") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 239915fe-0a24-4e7e-a48d-35c77f6636a5) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp e785274f-7559-4054-994b-d38d1f7ae212) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ef001db4-3d86-4bad-bf46-87eecc3bd28b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe9110e3-ea4c-4c68-9f06-bc2f2ca5eeca)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1b0bd33-1af2-4a8c-ae24-10b5a3d836cb)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75509961-fda5-48ff-9508-e5c59ee66441)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5cd689e8-8c95-4de3-be8d-09b6e62b9491)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47033c19-8138-4c29-99a3-efe3a48050f1)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0efcbed9-cc8c-48f1-a591-3b6f7eaa163d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d21b916-003a-4532-82d3-634e3d0a5c79)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b9e3150-5a4b-4060-8ff0-00f0a86a042a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc7469fd-a147-40f4-835b-0c727b3a120e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 503f6c0b-88b6-4c1f-ba49-65c086b544e0)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp f3d092e3-23c7-4be9-b0e8-6161605be370)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp b1c09a40-5910-49af-b489-4494f91edd90)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp a851e04c-a640-404d-9480-bd4787fc7889) + (at 136.31 128.27 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d107") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cad0791a-7fe1-4f6d-9cad-54688a3b9604) + ) + (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cfc9ffc6-fd37-4f96-ae62-b762d8147df9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e3ecd7f8-d52c-421e-aea3-0fd3c18ce214) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp cbfa043d-52b7-4915-9a49-3e5205fb3ac8)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 835bb042-97aa-4831-b86a-470162770cfe)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3886326-4842-4a2f-ac4b-aa0d54e100a6)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6791c4cb-de9a-4e3a-a120-157cb8fb6841)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52897b20-1f82-47c9-8aa9-ba9be0281f02)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e4f6953-195d-4120-94e7-5c8bcde0aaf8)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bc7bf5b0-dbda-4b44-941b-53e7bd8f78a6)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1bdf12e4-5856-4112-8333-b909163fac81)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 994e7323-b587-414b-ae77-c27990ca369d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53d2eda6-b70c-467d-a2b5-7dfb5e2402ba)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pintype "passive") (tstamp 8ae3b857-74c5-4862-84ea-770427bc1dc4)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 9f12e9ff-a3cc-4f73-a41a-25cdf47f112d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp a8b9aece-8d52-45cd-a388-05a9353be223) + (at 57.023 84.709 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d0fd") + (attr exclude_from_pos_files) + (fp_text reference "H4" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 374ce811-0e35-4661-9a48-3ad92ceac2c2) + ) + (fp_text value " " (at 0 2 90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 85bff722-c74b-4dcf-ae3e-f5f68772e151) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 46061da0-0c38-47dd-b284-d8284a461bef)) + (pad "" np_thru_hole circle (at 0 0 90) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 6162a26b-8f91-44a3-964e-1d5ccbf4cebe)) + ) + + (footprint "stdpads:SOIC-8_5.3mm" (layer "F.Cu") + (tstamp acd9040b-32d6-44f7-a0d0-244eeeb3c286) + (at 79.121 100.711 180) + (descr "8-Lead Plastic Small Outline (SM) - Medium, 5.28 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SOIC 1.27") + (property "LCSC Part" "C97521") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006009ebad") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "U3" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp b3ead1c5-e007-4e0f-a09f-99f880b6b9c3) + ) + (fp_text value "W25Q128JVSIQ" (at 1.27 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp f9c32814-74b3-478b-b608-be20fbd8701b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 4b9e5c64-9ae2-42cc-88a3-7148c5f7fa23) + ) + (fp_line (start -2.755 -2.75) (end -2.455 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5198c564-12ec-41a6-bee7-609417e8bc3e)) + (fp_line (start -2.755 2.75) (end -2.755 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2e44dc9a-49e5-41ab-a088-59e68ae90ff7)) + (fp_line (start -2.755 2.75) (end -2.55 2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7c0c4888-0050-44bf-a1de-258e50b897fd)) + (fp_line (start -2.55 2.75) (end -2.55 4.5) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 88755605-6b33-4c79-8782-c2a388f6a54b)) + (fp_line (start 2.755 -2.75) (end 2.455 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cf168aa5-5f01-4526-befd-8b90fc7ef600)) + (fp_line (start 2.755 2.75) (end 2.455 2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c0f17fac-fcaa-4171-a252-133821f26093)) + (fp_line (start 2.755 2.75) (end 2.755 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6f1f39b1-85cb-4629-acf8-29918fc07845)) + (fp_line (start -2.95 -4.75) (end 2.95 -4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 587aa892-526b-4cd2-8672-257026790a20)) + (fp_line (start -2.95 4.75) (end -2.95 -4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 37ad663c-14b6-4edb-8f28-21b5da0aa937)) + (fp_line (start -2.95 4.75) (end 2.95 4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ebb78524-0e10-41a7-9133-261dfe76c696)) + (fp_line (start 2.95 4.75) (end 2.95 -4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b5f2e6c-f186-4a1f-8d22-35bde5d3941c)) + (fp_line (start -2.65 -2.65) (end 2.65 -2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ed18ce3a-7c29-49df-8b7f-4ad9ede81ae2)) + (fp_line (start -2.65 1.65) (end -2.65 -2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4b751e68-5e11-4971-880d-9754de05c75d)) + (fp_line (start -1.65 2.65) (end -2.65 1.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3503bb18-4b83-4edc-9016-1ed4a1934e35)) + (fp_line (start 2.65 -2.65) (end 2.65 2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 35fd434c-03e4-4092-ba73-fb7f057f4bb5)) + (fp_line (start 2.65 2.65) (end -1.65 2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 283bfa53-0e86-422b-9d56-0e8259eb176c)) + (pad "1" smd roundrect (at -1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "/F~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 6eb459e9-c5c8-4928-9a96-f6b3b4a13fc3)) + (pad "2" smd roundrect (at -0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/MISO") (pinfunction "DO/IO1") (pintype "bidirectional") (tstamp 753624ab-68ba-4c36-8790-5dbffeb6698c)) + (pad "3" smd roundrect (at 0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "/FD2") (pinfunction "~{WP}/IO2") (pintype "bidirectional") (tstamp fc3ff1ba-7b84-41e0-93d9-626dacea4f99)) + (pad "4" smd roundrect (at 1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 721b21ba-2276-45d2-ab01-dbc85ac0cf16)) + (pad "5" smd roundrect (at 1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/MOSI") (pinfunction "DI/IO0") (pintype "bidirectional") (tstamp 9bd73e65-a33c-498e-8488-4f11e650c754)) + (pad "6" smd roundrect (at 0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "/FCK") (pinfunction "CLK") (pintype "input") (tstamp fd02ca4b-47e0-4d18-98dc-e27f1671144d)) + (pad "7" smd roundrect (at -0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "/FD3") (pinfunction "~{HLD}/IO3") (pintype "bidirectional") (tstamp f9696dcb-9d8f-469b-8a83-64b20f0c0999)) + (pad "8" smd roundrect (at -1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp cf6fff5c-fff6-465f-8b67-f9bdc896b8dc)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp aed407e9-ad35-4f97-b64c-77250b9c41de) + (at 107.4 100.05) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/56cf74c7-8e27-41bd-94f2-0d0c9e3b7cfc") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 674a5c79-e912-49f4-aec3-c1e81190d0de) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1f498271-9ec5-4138-af2d-f75bf1b785ee) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 50da90af-25e5-4482-a0e9-595d7ea5b95b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa6ca9cd-de3e-48cb-94b9-e146f5aba7d4)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06d8e1f3-e00e-4c22-ae9c-9b92967a91eb)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f23c5c59-ae18-4408-bc35-c3a07f9c50cb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a6ebf43b-74bb-45dc-9bff-e1e42cbd7e13)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6dbad1d-5800-4eb0-8994-8a771f551ec9)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97b5cc59-7dec-4b1d-9d0f-253359556e93)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c443036c-3de5-409c-866a-719dbad38555)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8941bab9-3d4b-4eb7-9962-6ed973a4e2aa)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88ba171a-77e8-4b7c-8bd5-949800a56054)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7dcc18d2-42ba-4b62-93e0-9a9ff5036af2)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/ACLK") (pintype "passive") (tstamp b312e957-814d-42ff-9823-82f7bef3a15f)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 141 "Net-(U13-Output)") (pintype "passive") (tstamp 3383ebd2-e71a-4303-b68e-9d0313413926)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp afce92fa-41d0-4948-94c5-5cdb23c5bf83) + (at 143.002 127) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d0fe") + (attr exclude_from_pos_files) + (fp_text reference "H5" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 7ccb5cd7-ee49-404e-843f-97b8ee59dd6d) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 2857d83e-1ab1-4841-981d-a1af2178f0e6) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 68fc889d-ddb9-476e-b714-39efde6e1033)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp af5f4439-87ae-46d5-bfaf-0edfcbe359f8)) + ) + + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") + (tstamp b8d2ec15-2d5b-47a7-85fe-adf49c1d7d51) + (at 69.0245 120.904 -90) + (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") + (tags "tag connect programming header pogo pins") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-0000607c0f47") + (attr exclude_from_pos_files) + (fp_text reference "J5" (at 0 5 -90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 020dbde7-04fc-49c4-aa94-651249362063) + ) + (fp_text value "Flash" (at 0 -4.8 -90) (layer "F.Fab") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9222b043-8542-4412-8215-52fb553b9470) + ) + (fp_text user "KEEPOUT" (at 0 0 -90) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp c7488a81-7cf7-4147-9e66-96d7c342cf20) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f012ff63-3633-4a89-a82a-be71c3237818) + ) + (fp_line (start -3.175 1.27) (end -3.175 0.635) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba236bf1-c8a6-40ed-8750-9ef3b523fc9e)) + (fp_line (start -2.54 1.27) (end -3.175 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fceb5fee-be10-41d9-951b-6d769420b498)) + (fp_line (start -5.5 -4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae8d42e8-36a2-4f8f-88b3-14c3ccd1fb9d)) + (fp_line (start -5.5 4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb61b5ea-caa6-4b15-b1ad-1c9d099267f5)) + (fp_line (start 4.75 -4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9e6812e-a813-415d-a4d0-31c1adbf1f12)) + (fp_line (start 4.75 4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7272d39-4280-44b6-8e46-10bc4e0da007)) + (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7af42f98-5bae-4544-893b-b525a5009575)) + (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 07353f25-9a07-49a2-b43b-72b595461c22)) + (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 0ab40a38-55a2-49c9-a970-03ebfdfde6a2)) + (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7b4979f1-f917-4d84-a583-efd273c282e9)) + (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp b6c3c716-1806-452e-971c-4f344367f699)) + (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp aa43125c-3a58-47ba-b403-81358996c88e)) + (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 152c1d3d-6bfc-4b30-8aa0-cead4d9b43b0)) + (pad "1" connect circle (at -2.54 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 119 "/F~{CS}") (pinfunction "Pin_1") (pintype "passive") (tstamp 4794b95c-f069-4993-ad8a-7a6e17f97684)) + (pad "2" connect circle (at -1.27 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 70 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp f1eb1144-62aa-4b23-ba35-034545ecb0ef)) + (pad "3" connect circle (at 0 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 117 "/MISO") (pinfunction "Pin_3") (pintype "passive") (tstamp 61b36d9d-52ec-4553-9406-09e5c1bfccd5)) + (pad "4" connect circle (at 1.27 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 123 "/FD3") (pinfunction "Pin_4") (pintype "passive") (tstamp a87c2bcf-c808-47a3-803d-b81452b96e90)) + (pad "5" connect circle (at 2.54 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 122 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp 1bcf71f4-d9c6-4813-895b-03c4b7696724)) + (pad "6" connect circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 132 "Net-(J5-Pin_6)") (pinfunction "Pin_6") (pintype "passive") (tstamp 2c97b216-176d-40bb-bdc7-51a4c6f57903)) + (pad "7" connect circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 2 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 2b5bad71-4eee-4429-8980-5b8a6082a13c)) + (pad "8" connect circle (at 0 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 118 "/MOSI") (pinfunction "Pin_8") (pintype "passive") (tstamp d370a3cf-5e74-4206-b030-0fd697dd4798)) + (pad "9" connect circle (at -1.27 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 133 "unconnected-(J5-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp fa8762af-85e6-4a4f-91cf-8bc8a4f06214)) + (pad "10" connect circle (at -2.54 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 134 "unconnected-(J5-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 431478fe-29bc-4e99-846a-65cc1099585c)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 561ffb3a-8a50-4e29-8932-ace4bb101202) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 68.3895 118.364) + (xy 68.3895 123.444) + (xy 69.6595 123.444) + (xy 69.6595 118.364) + ) + ) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp b8d7b1c2-2b59-4aa6-b683-80343535d6fe) + (at 54.65 90.0006 180) + (tags "capacitor") + (property "LCSC Part" "C1653") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/107189a5-7228-421d-a045-83b47ccfcee2") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C9" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 558720fd-ed2f-4732-af06-375f1752bcb9) + ) + (fp_text value "22p" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 7fb214e5-fe0d-47c4-b84f-c88b3d769e93) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 31e025c9-f50b-4a72-b96c-19bd3846ac40) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32fdbe6c-1e5b-4538-90f9-09b5a1966e50)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1879ba3-7f72-47da-bbe4-f711c8481a32)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30e7c49f-7f23-452f-b0dc-6e63c492f459)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b424ac29-b79b-4efb-8451-3c9432b61008)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82faeade-2a96-4b73-88fa-7670e16d952d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72e64a59-3c12-4fe1-a931-a44736afda03)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d43ceb4-733c-4958-b8a4-234a61cfde16)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ba12d58-da64-4c00-bd22-c24e617408a5)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8f123bc-2cce-42ce-9a47-aa6f4c6e4511)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93f4a6e7-ad1c-433a-96fd-f7dd576798fa)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "Net-(U7-XI)") (pintype "passive") (tstamp 99f96d59-f552-4423-818f-74f24e3775b0)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3290c096-cc61-4384-a247-ce03d537a90b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp b95347da-5ec2-48f2-bd06-1f0e75715e31) + (at 98.8 111.15 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc26") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C22" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9bd8c484-b2f7-4063-9fb3-1b55d2cb7297) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1e91e090-0824-46e9-84c2-73b2d7f6c1d3) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ebc22280-13f8-46d2-a3f2-3673d3aa4f35) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8572d073-3547-49f3-b4f0-c92b8a7f1c74)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9074f74f-5c7a-4e07-8bb7-a0432e0743d5)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7352d82b-7c3e-4aff-890f-496ee8f22d80)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c284ce55-c25e-4059-9116-5b32ad791830)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f80f5cc7-36a5-430d-ab65-dcfc5cc980b4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d5b164cc-71da-4cb9-bb93-af8a9e244560)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5d465f28-c9fe-465a-a3a5-3f689d3c8e97)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 45418e06-4bf5-4615-9d49-61156a01d47a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a000eaab-e649-4339-a662-77c8d4b05214)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a08c13ab-1387-4ce7-91c2-f1cd38f063a9)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 3b4a8685-ee2c-4613-8883-53a463aadedb)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp d68c9640-e3c8-4a1c-b431-fdedf1b7df75)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp b97a049e-53e4-4c90-821e-b3bb69053afc) + (at 126.45 93.4 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000612ba8a4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C32" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5f426a7f-5676-44a0-93df-dfc090abdfe8) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d7bb52f0-671f-449a-933b-58f15f9d47f1) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 62f2b734-6789-4e30-a6ce-3a9d973e4a5b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 656c9885-782e-4c76-8907-f7f0b60609a3)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 176dc78d-e508-44ae-b400-1ef1e52111f2)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8e07d1b-2f90-48be-9f80-48e3cceee8ac)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be3011a5-ecfc-4bd5-b7e7-ac7b26021f5c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36c44e3f-4443-4fa5-91f9-55fd48d3159b)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6820bca6-af0a-4348-b0d9-e2f57a931652)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 683b0444-ab3e-46e7-812f-62daf952b347)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0003ce1-635e-4690-8da7-7ac86afd3e6c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c54615f4-5f80-4061-a135-d7ac2839bcde)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1585a8c-4be1-4b69-8c1c-01775d2ad5fe)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 881e29a6-0ba9-4833-b887-f6ac735c20d0)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 12854305-cfaf-44e4-8fca-da40b1c6276f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp badd1804-20e2-4457-bc4b-1690f4608b98) + (at 130.35 124.2 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005cc13929") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C11" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e7428c3f-cc09-41aa-8b9f-b08b40cf375b) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b220d888-90e8-4a5b-93ad-b2e88d4b450c) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f61d74d0-bc4a-46b3-b371-27268567d178) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 943c4f2b-ee69-4fae-b3c6-c95534fb2b91)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 16c44211-5e22-4d95-a007-74a58379b1a8)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 407be8f5-f76c-4a7c-afbb-d26557e1389c)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40aecedf-ea8a-4c97-a1b2-9c8189651c2a)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdac1b99-315f-47f8-9368-67ead63028a4)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff36283b-fcb2-4771-91d6-7c190c04d4aa)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 83a55212-eb83-4f7c-9a7f-732f8b10b5bb)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7e074eed-d9e9-4552-bb5d-7e84b07729d6)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c4cd0586-9d4e-435b-a1dd-5f0ce7b792b0)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 61f6b6f9-65f4-44fa-8943-b96e0f7f7616)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 85dfa765-19b5-4f72-809e-fcd80081451a)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp ba36c849-33cd-4484-8a56-d1f7fb2354ca)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp bd3d789a-8aa0-4801-8c2f-98c8578f00b3) + (at 48.133 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005f45d110") + (attr smd) + (fp_text reference "FID5" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp f83560ef-52c4-4fe6-9ad1-11e66106aa30) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 1cdbe1e8-52ba-4cdc-8c99-f66b66b941b3) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp df757911-308c-47bb-aa46-6d1c40c9d058)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 0bf3d804-9582-4315-8967-b4baba41bca6)) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp c1394d7c-7aa8-4452-a541-21d4372393d5) + (at 58.801 82.931 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005d321da8") + (attr smd) + (fp_text reference "FID3" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 1c842cfb-b98c-4083-b116-750e9b0d9a18) + ) + (fp_text value "Fiducial" (at 0 1.651 90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 05d0255d-ce6e-4de8-bd7e-41c7f208fa7a) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5f4d995d-80cb-4584-97b1-97b1dadd4326)) + (pad "~" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 44e1cce1-a67c-485b-a9af-4ec13c9010f1)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp c26ffac3-fcf1-4e59-a3d9-d50cca695260) + (at 103.8 94.65 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc0c") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a44d5544-0a9b-4eee-98b2-9af69b5d3448) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a17b0c2b-e9e6-4cd5-a1e3-cd13c18cd20a) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 30a52ac4-4367-44c3-abc5-307cd6a8625e) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8fec008c-def2-420d-8fb8-84cd6ea15e3c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a20b6bdb-e59a-4e1c-b0b7-d10b842471a8)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7b18dc9b-7212-4ee0-bf26-8410d662e7de)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 55cbc5cb-a2ce-4fe6-8524-a208abda232c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d423a7ea-1cc5-45c1-83a4-d76abe5d7e96)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 13556e1a-630a-4dba-b863-66904d7c719c)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f06f911f-6587-4d82-b583-426d505e6e8f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22fc6760-005c-4024-b10e-0d6ac5c2a6bc)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 95abd2c8-a683-4cd9-aa62-a98d5b5c89af)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e281e108-5be0-45a1-a231-00fb6bcbdf9a)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pintype "passive") (tstamp b72bdc07-a631-472c-8a6c-c451480b68d2)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 042594fd-1b1f-4098-8192-dbc2eb1ce035)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp c47ffbbb-9423-4846-a7f2-6df9b2203093) + (at 63.8175 96.4565 -90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/1aa525ce-b203-4d1d-9dda-67b9ac46b9de") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R7" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 08ecc9a6-35fe-4f6b-af3f-367c466d80f1) + ) + (fp_text value "10k" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6ba5b86c-dfb4-4a98-9a2e-5740a8e3295b) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f179590a-dea7-44b5-b39f-9283d50059a2) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0afd1da2-d8ce-437e-a2fa-b1c522e8c223)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b07c613-9477-4d6b-b1b2-af945fb5ed15)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f29c9145-a6c2-463d-8914-3782eca69c94)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5483473-6b7a-4831-87f6-944d557b5858)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58809b9b-3357-431a-aa4f-859dfc5a4914)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6222b43-7370-4ffe-999c-1e48eb065a97)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8be2d4b3-6c8f-48a4-b92f-71adf61eb3e2)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1551e1b2-3e4a-4e3a-ab85-465acc2a4bb0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5fae0ae6-9892-4569-b3ae-274d1c5222ee)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0cce5158-e3b6-434b-b513-b13592cec634)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp cfa9b39d-6968-40dc-bfd7-71ffbc3f7c38)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/TMS") (pintype "passive") (tstamp 54368c9e-3a66-40ba-a087-d6298f1ab59c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp cbb07c07-7189-4e3a-b0e7-770e7ac974cb) + (at 119.45 124.35 180) + (tags "resistor") + (property "LCSC Part" "C23345") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/b1655eaa-2a10-4ed9-badb-1b7a4eeb6c42") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R13" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1ed94863-a5d8-4ffe-af2c-6cc0339050f8) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b4e916b1-e65e-4355-ae6b-b7e55207b266) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d0c9bf0f-f5a9-41c2-958b-a1bce9205a85) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c013c77-31ea-4504-886f-8300857eea2c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59d8c343-47db-4439-819d-8ecfba887cd0)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bea51ea-60f5-4f33-93be-b7b88cfdf850)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ddf311d-2e97-41e8-9ae8-0f3c41aafc2c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd6a453c-8fd5-4f2d-9b18-664021bc0fcc)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dee7f38e-b7e0-4c97-9ae4-54d38f36fbea)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25c78f1f-7815-492b-982d-b6eb3fd83c7a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d61bf0a0-8ec9-4c47-85eb-d6d4a666f940)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b889d52-3f7e-43c4-b60b-52393ebc33ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15f531a9-b1f8-4bbb-ab16-6dbdd98d19af)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/~{IRQ}") (pintype "passive") (tstamp 244f84ad-808f-42f2-b117-e2eecf4d0f52)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 145 "Net-(U11-Y)") (pintype "passive") (tstamp cff6196b-376c-4959-af9e-35e3bf8e0de1)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp d53ddaef-e217-44a7-8465-2b354d7ba56f) + (at 97.8 91.65 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb46") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C25" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2796af65-484d-4404-94ff-8d3297d6e042) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 393dd04e-8aed-43d5-b9a6-7cebb3853ff9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c0c2c9ff-1298-491a-89c1-7cc98ca028cd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ab6b11ce-2caf-4553-b7f3-05448b20429b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d04afca6-efdb-4c7f-b482-bf81c7e22c26)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aec2d9b0-969c-42c3-8e4c-31ad583da1ba)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 481d6ba0-dec6-4062-9520-b7b9be66088a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8a7959a3-5b0e-48f1-b7ca-c3b14e41bddb)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d49265b-533d-4094-8f56-0de904b586e3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 99676c2d-4ef7-4d52-961a-f8ba0c33f89d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 521b5da6-c6ae-4fb7-8d6c-75e4e291ba02)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fe4bf008-a892-4b66-9de7-0f344024522b)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3e3cec06-2252-4960-88ba-8e4c983dce01)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp fd16274b-6183-4469-b343-8511bea3d836)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp adeec9d1-bf04-42e2-b0c0-9386b11fc3ed)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp d759f502-db8a-41fd-9b3b-963ba25714e5) + (at 114.8 124.35 180) + (tags "resistor") + (property "LCSC Part" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/7f02bd1d-3ceb-4b8d-a3ef-2a0349fbbc6b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R11" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ce3744f4-c845-497e-ac2f-9074cabb2dd5) + ) + (fp_text value "DNP" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 61a335c9-ab25-414f-b77d-0dad20190ff4) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7257663b-5296-4006-9d34-f8c48bd9d00c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18a4f06b-c0ea-4fff-b1df-6d6c9641a0a0)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 863de5c8-0e99-4e57-9639-66eb11bfa872)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b2497ed-2a05-4dd7-b0ad-a1a94a711fad)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93ebba4c-cd61-41eb-b8ec-d8ac7c2df3dc)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f81e9c63-a4d1-46b5-b3e0-ae3223adfa9d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4fe7e3a-e8b4-4a94-b9c5-96a26e9298cf)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 541b1fec-e62d-4dd9-985c-4d9b5efc9ee8)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a48216fa-be79-4505-95ee-e22b03b7a263)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c45f020-fe35-46b2-a1e0-24ec0f3f73f7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd896a5f-9b1e-4616-ace9-67ab5bd06fc8)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pintype "passive") (tstamp c0397573-d58d-4a18-be34-bcaeb6703130)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "Net-(U14-Y)") (pintype "passive") (tstamp d4059ea9-1b4d-4c0d-8f96-86be9de60dcc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp d8a59e07-abd8-4823-921b-c7b99403de3c) + (at 48.133 127) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d122") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 697b04dd-120e-4e23-811b-b790c5af5ac1) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 005b4273-c07f-40aa-80c0-33edb611d714) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 8f23f6e8-b35a-4f1b-bf14-4dd82268c7af)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 1b0aa41b-c870-4a9c-b14a-32798649e073)) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp e32239b6-84ec-429e-b06d-b41f3d783291) + (at 116.244 128.27) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005d12ab6d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f6ef92ac-bc66-40b6-8434-540fcfd8d3e4) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7ea7280d-5eb7-490e-8f6a-56a3c7cee3f2) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d0c904ae-cf29-4675-bf53-9de14df92a96) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f064d702-3282-41b4-ab0c-dd63c4869fba)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f0636470-89df-483b-8c0c-f47bbca05a83)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8718e308-36b1-420a-a234-f0cb66feb2b5)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 83c68b70-cdb2-44f2-b645-b71b8f7c2bfb)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10f5eb45-8acd-46e5-a947-82644a6ec8e9)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 732ddf0c-c549-44c9-8e2a-597b4a9c5bcd)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6f9c9bfe-269b-4bcd-804b-a952d612529e)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e8fed5d-1cee-4db9-92f0-6bbf06fa3183)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c69cad72-bf96-4b43-8658-035f6a8d57ef)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 127e62d6-afbd-4b5b-baf3-1da955514010)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4c88d114-2e06-4acb-bb2b-b7cf549a6d3e)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "-5V") (pintype "passive") (tstamp 3d0027b1-e93c-4744-a4ce-48f6d106b238)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp e49c8f44-7bc7-46c1-a047-9fea21bd1725) + (at 108.625 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f5039e1") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 570e09d8-fe4a-4170-b7b2-1ef0bcdd96ca) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 5db1368a-4f79-4ead-8b04-e3494129ebc5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 85468525-2c50-466d-aea3-5b8726856f7d) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4da5596b-9296-4c64-952e-4500783b1244)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9b9c2a4f-3425-4425-9220-cb26ad72ef2e)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c1601cc-817f-46af-a284-37cb83e5355d)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82119468-8be9-4df7-857e-ae077b872a3d)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0f80c1b-cd3f-476b-a977-9cfb0fcb5659)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bde72f34-2280-4206-b2b5-407182fd90d7)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c7e1dd7d-b83a-4e95-b64c-1216c86166c6)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 52046fc8-1e7e-43f8-94f4-8a19f684ef5c)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1446bc0d-6061-474a-a499-32a8f9709dce)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca8086b8-806f-4cb6-a92b-8452ff54baf6)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0703579d-84c0-460c-a53c-03ed11ca847a)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 2ee9f82c-387a-46d2-9f7b-d2d93cf646f7)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/~{IOSEL}") (pinfunction "A0") (pintype "bidirectional") (tstamp 599bb419-6123-4444-b55e-7b9d375d33ed)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/~{DEVSEL}") (pinfunction "A1") (pintype "bidirectional") (tstamp 6c85f54b-12da-4503-9816-ddfd8d41f64d)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/PHI0") (pinfunction "A2") (pintype "bidirectional") (tstamp 9b139438-285e-4f3b-989a-23532d1b48a2)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/~{IOSTRB}") (pinfunction "A3") (pintype "bidirectional") (tstamp 64a35d0a-76cf-4347-b994-ed3f6757a4b7)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pinfunction "A4") (pintype "bidirectional") (tstamp 58e275f2-3c30-4e24-9050-e104c9cee3ee)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/R~{W}") (pinfunction "A5") (pintype "bidirectional") (tstamp 11437bf2-96ee-4795-9fd3-15cdbef54f93)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A6") (pintype "bidirectional") (tstamp 8d3e234a-c409-4910-b979-53522de32b73)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A7") (pintype "bidirectional") (tstamp 7eb9fbb3-8da2-4708-adb2-b1b17ffac613)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4a138505-1cca-4d42-9ac4-acf6fa08103c)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 138 "unconnected-(U5-B7-Pad11)") (pinfunction "B7") (pintype "bidirectional+no_connect") (tstamp 578ad0f1-6a32-44f0-b567-fc58c2b8c767)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 139 "unconnected-(U5-B6-Pad12)") (pinfunction "B6") (pintype "bidirectional+no_connect") (tstamp 2db592cd-caf5-4290-bbbd-b2658bc8c504)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/RR~{W}in") (pinfunction "B5") (pintype "bidirectional") (tstamp 3ec085b0-e5fd-4b55-b895-0f5c3b414f9f)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/R~{RES}") (pinfunction "B4") (pintype "bidirectional") (tstamp 6bbaf1fb-1663-458b-9742-c149319daeee)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/R~{IOSTRB}") (pinfunction "B3") (pintype "bidirectional") (tstamp 059cf10d-ce40-470b-b969-eeb30c92d7f4)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "/RPHI0") (pinfunction "B2") (pintype "bidirectional") (tstamp 78cb4d23-67f0-41ce-8bb0-a55935cc720a)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/R~{DEVSEL}") (pinfunction "B1") (pintype "bidirectional") (tstamp aa793292-f534-4e06-abe9-9f621880f578)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/R~{IOSEL}") (pinfunction "B0") (pintype "bidirectional") (tstamp c51f5c5e-0de0-4357-b4ee-1f7edc2bf9cb)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 54e977f4-0fdc-482e-987c-44d55df9493f)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp f5dcce9f-6470-4291-8a77-cc206b3c7fa2)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp e739df97-6bb5-4d9d-88a2-7e0e3ab1ea20) + (at 68.45 100.45 180) + (tags "resistor") + (property "LCSC Part" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/b0524bd9-2ba4-4448-a0a8-771659a8e1f4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R20" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 974e82b9-def0-49c0-a6c1-805a9b343adb) + ) + (fp_text value "2k2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp fd3e1073-9957-4dfe-af98-358d3f90735b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bc4dc881-b485-40b1-bd0f-9b316e3b4339) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 905e4d9c-eeaf-46c4-acb0-f5d2d31db568)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9e69740c-b279-4c28-8d83-ab2ae647333f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fe4d4bd0-3f94-461d-b51b-08cd358ac898)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5546bff-fb19-41a7-be14-696915771c4a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 861d79ff-3b9a-4157-8782-cc7a968e48d0)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e6e59bf2-2d99-41ac-ae3d-3bbe8ae6b3d5)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81f77197-532a-4bcf-a64f-94335196d246)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3925bef5-b802-4934-bda8-ae5ea38d7942)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be7c9fbf-c50b-4455-83f4-f4b7a6510896)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5bc12dfe-9c13-477f-bb54-168a38ab016c)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 150 "/~{JTAGEN}") (pintype "passive") (tstamp e92eea5d-7e67-45ad-9533-dd0611a4b4d8)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 47e44415-1ea0-4b6b-860b-fc73f373369a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp ece02d9e-c179-48f0-8f5b-c52e8e3071d4) + (at 69.15 114.65) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/a2e84b69-057c-42aa-8c36-49bd71c2b60f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R12" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c9b6249e-5106-41fe-9eee-d075d75d1b7e) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a47b2472-edd7-457e-965c-9dbdbc95893e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 44e61c56-fc8c-4d5a-823e-0a304cd7a8d4) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acfb7482-6025-4bea-9459-8b40f06d3768)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f498ee64-486d-4de2-a2f6-44989f4f215c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58300f0d-53d9-423e-b4b8-5e3308c7d7cf)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f6e56e5-d03f-4681-961c-33c8cb09e246)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32b719d6-5d20-40a5-ad8b-2d013e8377d0)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47b33066-19f9-4cad-b70b-27e73641cc20)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfc1e110-cc0a-40b8-90b8-e2d7c4e8fff6)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21b181ac-e2c7-4032-84ee-cdc0cf4870b8)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fc2aaa7-4ff2-4c86-9282-98c032543436)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 929d0086-4387-403d-87e9-0d2dd014dc8f)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 144 "Net-(J2-Pin_1)") (pintype "passive") (tstamp e1502880-a764-444a-9a18-340b878bdad3)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp 087de6cd-0605-4604-a740-10136f7728bb)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp ee47547e-6f23-43f3-a377-f9ae8b14cdc0) + (at 84.3 108.15 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc03") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1b65b681-fe3f-4b2c-8a3d-166b07f4688e) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp eba614c9-e28b-4ac0-b8e2-f01c64fef1cf) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 074240be-7cf5-48e1-a8b9-809623606aba) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e8358afe-d8a3-419c-8ad7-c32e7dd2e5b2)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 09093eef-227f-4a70-9566-c75db1defc2c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99b4e128-74ea-4632-aeb4-02a49800b0df)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b898491a-637b-4001-9c70-c710071e4315)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e246b8a-c8d8-493e-b624-0906bd107b29)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c73d7979-57cf-45be-9410-00bef5a6cdcf)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp febbe604-507f-4eaa-87a7-85a1dbda0132)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf878516-4f90-4c4b-9b2c-ac227573e312)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fb871071-06fa-4e84-b9d8-9167dad06019)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4e7e25a-be98-4bd5-a689-2170be3d8f8a)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pintype "passive") (tstamp 33d82c07-35a8-4943-8d87-ec1e15d0b151)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp cd70c3ad-b009-422a-9ae8-944bd43ed64d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp f064bf89-9245-4baa-8590-f4f6868b0955) + (at 140.1 124.2 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d109") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C7" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 42a22975-6535-4681-b8e8-da9807c74341) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b2ca5099-6bfd-4e26-949b-fe335a71f111) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp db80120d-8a9d-42c7-9ab0-22875683ccbd) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4db05ef0-f00d-4dfc-a7e2-9b13d13482cb)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4221116c-eb4d-499c-a47b-511917d8f617)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f522515-62c3-49b2-a774-6fff7c4dc0ea)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a7fe039-1254-4299-8641-11e264bae0f5)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40bac4e2-d7ca-4602-846f-7eaa34599d40)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da225667-2ce3-411a-ae03-b0504d044ebf)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c0108fd9-cadf-4b8d-9bb0-aa4dc8c3a561)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 74ba2eb6-2d5d-41bc-8392-9cfdba34899c)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5ebf5e88-55ec-4449-b631-942bbec049d6)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e3b7dfd0-cc2c-4034-a49e-994b258655d5)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pintype "passive") (tstamp da2e2de3-761d-4cde-b220-aec29b1a51d2)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 991ccbac-cf90-4c89-a778-86c272666060)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp f3af4652-1f94-4093-9693-ed42f33dd5f3) + (at 90.3 111.15) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc14") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C21" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 523dc316-e156-49e0-8141-ce6733129af4) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 8f78f3c5-1ea9-4c94-91fd-0da01f54f58f) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2d1b48f1-d92c-4822-be79-888c1b64542c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6b74318d-1d95-4632-a8a8-05762cd5249a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37ed23b5-5653-441e-ad0d-f0fcb3cc84e0)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b2b445de-2cd1-4344-8b4f-915679d7230d)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 53c2df05-4120-4706-bd86-41f294bfb36a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 22c67ede-7183-45d0-9963-500fe88cdf5c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2e7b4c4a-3820-4937-8f2c-e009c16ae856)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90117214-012e-4cf8-84ec-97fb6d2b1a9d)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ebafbe23-10f7-4f24-b16d-e52700baeb88)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b639e65d-6f30-4f1d-a5cf-612e66a7f649)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0b27154f-8f32-4be6-9871-59fe0f62bb83)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp eeff1d26-9049-4eed-89ea-133b6cb72374)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 464a3db7-dd52-46e6-ae7f-e8afd9ff5e0e)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp fae82bf7-8907-46ab-9c98-dae7f6a7ac54) + (at 132.75 119.55 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/0d2c9175-4f46-4599-953c-235c9655beab") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C37" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 49c5e771-5a1f-4974-9355-3cdaec230d75) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 41051ead-b607-4c9c-871e-83c747c4d388) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9947868b-acef-4b03-b04e-2a00934532d2) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ed7ce568-524b-41bd-a8f5-747475e34e11)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 03988c88-394d-4177-8a92-5f8da6bd8cce)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59a25ef0-e273-456e-9034-5cc49f09ac32)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01cfaf8c-7921-40fb-ba9c-086079d9b635)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32f137b5-a1e6-443e-9060-431418d35441)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3006712-aa35-4712-b745-2e42b852e1e5)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2351d9e7-8565-4787-bc17-92c5e081993e)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 40e331c4-fa6a-42f9-b043-693a1ea6c3c2)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a92cf457-ee80-4a9c-8693-12b89dbbb732)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 68ac8712-b084-401d-9770-f8aed66230ac)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "+1V2") (pintype "passive") (tstamp 921f4444-049e-4afa-84ec-c4658b258b2d)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 68c56f22-fe40-43ac-92b7-b3c22808b09d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp fb8b9730-b16d-40b3-b5d0-e0a5d5b24b23) + (at 76.6 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d114") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C13" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 52fd44b4-6895-4ed6-8500-ff205e75ad60) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b5efd421-abbf-45fb-90ee-8bb1f00f81ef) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 34d1602c-57ca-49bb-a35d-8596c198954a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a850268-2e14-408e-98f9-3192a66ad8b9)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6448918-3779-431f-b502-c38d286d99d9)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69b9806a-4e1f-47a7-a9aa-d481ad9a5e36)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp edd1d548-8121-4a80-a785-6e11603ddb38)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c325bff-5db7-4727-ac96-d5e8dfafd005)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3967d5a3-1215-4b90-8fd1-4fbbb41f9793)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c62d07f4-0324-4823-a765-e45b7330b476)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0eaf852-60ac-4df5-98c6-9b445e38b6cb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56237e75-9456-4ca1-91e1-bf9b3c53a3a0)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c01d06a9-c75d-4bca-8723-b3fd5eb86897)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 5e9b812f-1965-48e1-9614-2be01e537065)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 38e1807f-db7a-4a31-9fc0-1e14c5a2afb2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp fea4372a-7859-4815-84df-d1edb13fdb20) + (at 112.903 83.8835) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 189c985d-74ce-4f35-a768-20196e82c994) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 529d7aaf-7165-41c5-93c5-a2d0986945f3) + ) + (fp_poly + (pts + (xy 5.965299 1.602109) + (xy 5.965299 2.220552) + (xy 5.860602 2.220552) + (xy 5.755905 2.220552) + (xy 5.755905 1.602109) + (xy 5.755905 0.983666) + (xy 5.860602 0.983666) + (xy 5.965299 0.983666) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d577a074-7a6a-4942-9ae5-715085f67f49)) + (fp_poly + (pts + (xy 7.66967 1.619153) + (xy 7.66967 2.220552) + (xy 7.555234 2.220552) + (xy 7.440797 2.220552) + (xy 7.440797 1.619153) + (xy 7.440797 1.017753) + (xy 7.555234 1.017753) + (xy 7.66967 1.017753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 78c599dc-b23c-45bd-ad19-64fa5f3c7e52)) + (fp_poly + (pts + (xy 8.190721 1.619153) + (xy 8.190721 2.220552) + (xy 8.076284 2.220552) + (xy 7.961848 2.220552) + (xy 7.961848 1.619153) + (xy 7.961848 1.017753) + (xy 8.076284 1.017753) + (xy 8.190721 1.017753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a2a3db2f-511d-4809-a32d-abdc437fa795)) + (fp_poly + (pts + (xy 2.158532 1.353088) + (xy 2.191277 1.362824) + (xy 2.218253 1.378407) + (xy 2.218598 1.37868) + (xy 2.219619 1.384696) + (xy 2.218964 1.398392) + (xy 2.216904 1.417908) + (xy 2.213712 1.441381) + (xy 2.209661 1.466951) + (xy 2.205022 1.492755) + (xy 2.200068 1.516932) + (xy 2.19567 1.535365) + (xy 2.190857 1.548076) + (xy 2.183316 1.556109) + (xy 2.171493 1.559883) + (xy 2.153832 1.559814) + (xy 2.128778 1.556321) + (xy 2.122048 1.555121) + (xy 2.074885 1.550271) + (xy 2.032343 1.553955) + (xy 1.994118 1.566313) + (xy 1.959904 1.587486) + (xy 1.929396 1.617612) + (xy 1.902809 1.655947) + (xy 1.884547 1.687106) + (xy 1.884547 1.953829) + (xy 1.884547 2.220552) + (xy 1.77985 2.220552) + (xy 1.675153 2.220552) + (xy 1.675153 1.79446) + (xy 1.675153 1.368367) + (xy 1.747881 1.368367) + (xy 1.774549 1.368684) + (xy 1.798636 1.369556) + (xy 1.818038 1.370864) + (xy 1.830651 1.372489) + (xy 1.833335 1.373205) + (xy 1.84253 1.378941) + (xy 1.849882 1.389194) + (xy 1.85586 1.405253) + (xy 1.860939 1.428404) + (xy 1.865589 1.459936) + (xy 1.865838 1.461915) + (xy 1.872373 1.514071) + (xy 1.903154 1.472761) + (xy 1.928279 1.442199) + (xy 1.955666 1.414323) + (xy 1.983181 1.391103) + (xy 2.008694 1.374511) + (xy 2.010433 1.373606) + (xy 2.045969 1.359459) + (xy 2.08385 1.351356) + (xy 2.122047 1.349249) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp acac607a-ecd9-4c85-b929-b56f7007b51c)) + (fp_poly + (pts + (xy 0.542794 0.995544) + (xy 0.570854 0.998113) + (xy 0.592545 1.002096) + (xy 0.595312 1.002885) + (xy 0.613574 1.008472) + (xy 0.613088 1.060591) + (xy 0.61249 1.089654) + (xy 0.611076 1.110575) + (xy 0.608472 1.124943) + (xy 0.604308 1.134346) + (xy 0.598211 1.140373) + (xy 0.593815 1.142864) + (xy 0.584121 1.145651) + (xy 0.567285 1.148664) + (xy 0.545887 1.151496) + (xy 0.527818 1.153305) + (xy 0.496888 1.156692) + (xy 0.473344 1.161318) + (xy 0.454841 1.168015) + (xy 0.439033 1.17761) + (xy 0.424864 1.189702) + (xy 0.410112 1.207838) + (xy 0.399138 1.231238) + (xy 0.391563 1.261125) + (xy 0.387013 1.29872) + (xy 0.385964 1.316018) + (xy 0.383268 1.373236) + (xy 0.495986 1.373236) + (xy 0.608704 1.373236) + (xy 0.608704 1.446281) + (xy 0.608704 1.519325) + (xy 0.501604 1.519325) + (xy 0.394505 1.519325) + (xy 0.393255 1.868721) + (xy 0.392005 2.218118) + (xy 0.288526 2.219432) + (xy 0.185046 2.220746) + (xy 0.185046 1.875748) + (xy 0.185046 1.53075) + (xy 0.144892 1.524603) + (xy 0.11392 1.519243) + (xy 0.091348 1.513088) + (xy 0.075861 1.504671) + (xy 0.066144 1.492523) + (xy 0.060882 1.475179) + (xy 0.058759 1.45117) + (xy 0.058436 1.428154) + (xy 0.058436 1.373236) + (xy 0.121741 1.373236) + (xy 0.185046 1.373236) + (xy 0.185046 1.319465) + (xy 0.187291 1.267615) + (xy 0.194367 1.221998) + (xy 0.206786 1.18012) + (xy 0.219846 1.149804) + (xy 0.24606 1.106772) + (xy 0.279498 1.069994) + (xy 0.319586 1.039895) + (xy 0.365745 1.016899) + (xy 0.417401 1.001429) + (xy 0.423108 1.00026) + (xy 0.448523 0.996746) + (xy 0.478817 0.994817) + (xy 0.511178 0.994431) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 99cab870-24a3-4010-9266-c909ff199bca)) + (fp_poly + (pts + (xy 4.383327 1.352982) + (xy 4.416075 1.360788) + (xy 4.448868 1.373598) + (xy 4.454532 1.376247) + (xy 4.497734 1.40222) + (xy 4.536015 1.436409) + (xy 4.569159 1.478503) + (xy 4.596948 1.528189) + (xy 4.619167 1.585157) + (xy 4.63171 1.631016) + (xy 4.637747 1.665237) + (xy 4.642018 1.706071) + (xy 4.644444 1.750541) + (xy 4.644944 1.795669) + (xy 4.64344 1.838477) + (xy 4.639852 1.875988) + (xy 4.638475 1.885062) + (xy 4.6235 1.951812) + (xy 4.601934 2.011689) + (xy 4.573523 2.06522) + (xy 4.538011 2.112929) + (xy 4.516936 2.135334) + (xy 4.475159 2.171288) + (xy 4.431348 2.198365) + (xy 4.384277 2.217058) + (xy 4.332725 2.227864) + (xy 4.279893 2.231266) + (xy 4.227172 2.228493) + (xy 4.180586 2.219143) + (xy 4.138701 2.202771) + (xy 4.10008 2.178927) + (xy 4.091708 2.172498) + (xy 4.066143 2.152151) + (xy 4.066143 2.325136) + (xy 4.066143 2.498121) + (xy 3.961446 2.498121) + (xy 3.856748 2.498121) + (xy 3.856748 2.000179) + (xy 4.066143 2.000179) + (xy 4.08842 2.020714) + (xy 4.122149 2.045386) + (xy 4.16084 2.062611) + (xy 4.202645 2.0719) + (xy 4.245714 2.072767) + (xy 4.271645 2.068988) + (xy 4.310682 2.056288) + (xy 4.344289 2.035818) + (xy 4.372615 2.007414) + (xy 4.395808 1.970913) + (xy 4.414018 1.926152) + (xy 4.419791 1.906461) + (xy 4.423208 1.891702) + (xy 4.42568 1.875765) + (xy 4.427339 1.85679) + (xy 4.428316 1.832917) + (xy 4.428745 1.802288) + (xy 4.428794 1.779851) + (xy 4.428643 1.745973) + (xy 4.428137 1.719886) + (xy 4.427111 1.699643) + (xy 4.425401 1.683297) + (xy 4.422843 1.668901) + (xy 4.419274 1.654508) + (xy 4.41779 1.649232) + (xy 4.404516 1.60987) + (xy 4.389338 1.578878) + (xy 4.371334 1.554933) + (xy 4.34958 1.536716) + (xy 4.332422 1.527045) + (xy 4.295092 1.514306) + (xy 4.255587 1.510399) + (xy 4.215159 1.515041) + (xy 4.175059 1.527947) + (xy 4.136537 1.548833) + (xy 4.100846 1.577415) + (xy 4.097828 1.580342) + (xy 4.066143 1.611563) + (xy 4.066143 1.805871) + (xy 4.066143 2.000179) + (xy 3.856748 2.000179) + (xy 3.856748 1.930809) + (xy 3.856748 1.363497) + (xy 3.930035 1.363497) + (xy 3.964044 1.363689) + (xy 3.989724 1.364872) + (xy 4.008484 1.367957) + (xy 4.021735 1.373855) + (xy 4.030884 1.383475) + (xy 4.037343 1.397728) + (xy 4.04252 1.417525) + (xy 4.046885 1.438976) + (xy 4.050279 1.455069) + (xy 4.053275 1.467198) + (xy 4.054921 1.472048) + (xy 4.059184 1.470624) + (xy 4.068746 1.46364) + (xy 4.081965 1.452365) + (xy 4.090526 1.444488) + (xy 4.1337 1.40858) + (xy 4.177963 1.381772) + (xy 4.224762 1.363428) + (xy 4.275544 1.352917) + (xy 4.305742 1.350242) + (xy 4.347569 1.349645) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp c0998aa4-893c-4bdc-943e-4f9ef206ee2d)) + (fp_poly + (pts + (xy 5.323166 1.352982) + (xy 5.355913 1.360788) + (xy 5.388707 1.373598) + (xy 5.394371 1.376247) + (xy 5.437573 1.40222) + (xy 5.475854 1.436409) + (xy 5.508998 1.478503) + (xy 5.536787 1.528189) + (xy 5.559006 1.585157) + (xy 5.571549 1.631016) + (xy 5.577586 1.665237) + (xy 5.581857 1.706071) + (xy 5.584283 1.750541) + (xy 5.584783 1.795669) + (xy 5.583278 1.838477) + (xy 5.579691 1.875988) + (xy 5.578314 1.885062) + (xy 5.563339 1.951812) + (xy 5.541773 2.011689) + (xy 5.513362 2.06522) + (xy 5.47785 2.112929) + (xy 5.456775 2.135334) + (xy 5.414998 2.171288) + (xy 5.371187 2.198365) + (xy 5.324116 2.217058) + (xy 5.272564 2.227864) + (xy 5.219732 2.231266) + (xy 5.167011 2.228493) + (xy 5.120425 2.219143) + (xy 5.07854 2.202771) + (xy 5.039919 2.178927) + (xy 5.031547 2.172498) + (xy 5.005982 2.152151) + (xy 5.005982 2.325136) + (xy 5.005982 2.498121) + (xy 4.901284 2.498121) + (xy 4.796587 2.498121) + (xy 4.796587 2.000179) + (xy 5.005982 2.000179) + (xy 5.028259 2.020714) + (xy 5.061988 2.045386) + (xy 5.100679 2.062611) + (xy 5.142484 2.0719) + (xy 5.185553 2.072767) + (xy 5.211484 2.068988) + (xy 5.250521 2.056288) + (xy 5.284128 2.035818) + (xy 5.312454 2.007414) + (xy 5.335647 1.970913) + (xy 5.353857 1.926152) + (xy 5.359629 1.906461) + (xy 5.363047 1.891702) + (xy 5.365519 1.875765) + (xy 5.367178 1.85679) + (xy 5.368155 1.832917) + (xy 5.368584 1.802288) + (xy 5.368633 1.779851) + (xy 5.368482 1.745973) + (xy 5.367976 1.719886) + (xy 5.36695 1.699643) + (xy 5.36524 1.683297) + (xy 5.362682 1.668901) + (xy 5.359112 1.654508) + (xy 5.357628 1.649232) + (xy 5.344355 1.60987) + (xy 5.329177 1.578878) + (xy 5.311172 1.554933) + (xy 5.289419 1.536716) + (xy 5.272261 1.527045) + (xy 5.234931 1.514306) + (xy 5.195426 1.510399) + (xy 5.154998 1.515041) + (xy 5.114898 1.527947) + (xy 5.076376 1.548833) + (xy 5.040685 1.577415) + (xy 5.037667 1.580342) + (xy 5.005982 1.611563) + (xy 5.005982 1.805871) + (xy 5.005982 2.000179) + (xy 4.796587 2.000179) + (xy 4.796587 1.930809) + (xy 4.796587 1.363497) + (xy 4.869874 1.363497) + (xy 4.903883 1.363689) + (xy 4.929563 1.364872) + (xy 4.948323 1.367957) + (xy 4.961573 1.373855) + (xy 4.970723 1.383475) + (xy 4.977182 1.397728) + (xy 4.982359 1.417525) + (xy 4.986724 1.438976) + (xy 4.990118 1.455069) + (xy 4.993114 1.467198) + (xy 4.99476 1.472048) + (xy 4.999022 1.470624) + (xy 5.008584 1.46364) + (xy 5.021804 1.452365) + (xy 5.030365 1.444488) + (xy 5.073539 1.40858) + (xy 5.117802 1.381772) + (xy 5.164601 1.363428) + (xy 5.215383 1.352917) + (xy 5.245581 1.350242) + (xy 5.287408 1.349645) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f523650a-e4c5-401e-b721-70f903d59bce)) + (fp_poly + (pts + (xy 1.142626 1.358754) + (xy 1.145166 1.358967) + (xy 1.185632 1.36365) + (xy 1.220823 1.370778) + (xy 1.254594 1.381419) + (xy 1.290799 1.396645) + (xy 1.304867 1.403307) + (xy 1.328076 1.414997) + (xy 1.346326 1.425641) + (xy 1.362459 1.4373) + (xy 1.379314 1.452035) + (xy 1.399733 1.471904) + (xy 1.400456 1.472627) + (xy 1.42058 1.493275) + (xy 1.435509 1.510295) + (xy 1.447317 1.526543) + (xy 1.458077 1.544873) + (xy 1.469863 1.568139) + (xy 1.4699 1.568216) + (xy 1.487709 1.60824) + (xy 1.500737 1.646324) + (xy 1.509689 1.685441) + (xy 1.515269 1.728568) + (xy 1.517892 1.770711) + (xy 1.518281 1.829753) + (xy 1.514151 1.882189) + (xy 1.505083 1.930247) + (xy 1.490655 1.976156) + (xy 1.470458 2.022124) + (xy 1.439203 2.074512) + (xy 1.400971 2.120224) + (xy 1.356185 2.158919) + (xy 1.305264 2.190256) + (xy 1.24863 2.213895) + (xy 1.224712 2.221054) + (xy 1.193327 2.227339) + (xy 1.155431 2.231608) + (xy 1.114057 2.233796) + (xy 1.072238 2.233838) + (xy 1.033004 2.23167) + (xy 0.999389 2.227226) + (xy 0.993405 2.226014) + (xy 0.931756 2.208147) + (xy 0.876266 2.182812) + (xy 0.827066 2.15011) + (xy 0.784285 2.110141) + (xy 0.748051 2.063002) + (xy 0.723023 2.018463) + (xy 0.698814 1.960314) + (xy 0.682774 1.902078) + (xy 0.674494 1.841616) + (xy 0.673851 1.796894) + (xy 0.88988 1.796894) + (xy 0.890108 1.830042) + (xy 0.890922 1.8557) + (xy 0.892512 1.876112) + (xy 0.89507 1.893521) + (xy 0.898788 1.910169) + (xy 0.899932 1.914516) + (xy 0.915311 1.960194) + (xy 0.934645 1.997351) + (xy 0.958272 2.026509) + (xy 0.986534 2.048191) + (xy 0.986944 2.048432) + (xy 1.007761 2.059491) + (xy 1.027436 2.066914) + (xy 1.048691 2.071267) + (xy 1.074246 2.073114) + (xy 1.105406 2.073054) + (xy 1.131282 2.072201) + (xy 1.150134 2.070697) + (xy 1.164678 2.068085) + (xy 1.177627 2.063908) + (xy 1.188911 2.059019) + (xy 1.222327 2.038214) + (xy 1.250186 2.009515) + (xy 1.272419 1.973072) + (xy 1.288963 1.929034) + (xy 1.299749 1.877551) + (xy 1.304712 1.818772) + (xy 1.305061 1.796894) + (xy 1.302143 1.735919) + (xy 1.293453 1.68198) + (xy 1.279083 1.635292) + (xy 1.259129 1.596072) + (xy 1.233684 1.564533) + (xy 1.202842 1.540893) + (xy 1.190304 1.534294) + (xy 1.155962 1.52255) + (xy 1.116934 1.516604) + (xy 1.076653 1.516876) + (xy 1.0623 1.518609) + (xy 1.020958 1.52861) + (xy 0.985861 1.545173) + (xy 0.956509 1.568792) + (xy 0.932403 1.599961) + (xy 0.913046 1.639174) + (xy 0.899932 1.679273) + (xy 0.895891 1.696083) + (xy 0.893058 1.713088) + (xy 0.891242 1.732531) + (xy 0.890251 1.756654) + (xy 0.889893 1.7877) + (xy 0.88988 1.796894) + (xy 0.673851 1.796894) + (xy 0.673562 1.776787) + (xy 0.674181 1.763817) + (xy 0.682116 1.695017) + (xy 0.697708 1.631647) + (xy 0.720794 1.573984) + (xy 0.75121 1.522302) + (xy 0.788793 1.47688) + (xy 0.83338 1.437991) + (xy 0.884808 1.405913) + (xy 0.886271 1.405154) + (xy 0.944346 1.380611) + (xy 1.006732 1.364643) + (xy 1.072977 1.357331) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e99a8a67-a1f6-4c79-bcc4-f3a08552b3d7)) + (fp_poly + (pts + (xy -2.067159 1.612322) + (xy -2.038565 1.685084) + (xy -2.010951 1.755392) + (xy -1.984528 1.82271) + (xy -1.959505 1.8865) + (xy -1.936094 1.946224) + (xy -1.914504 2.001344) + (xy -1.894946 2.051323) + (xy -1.87763 2.095623) + (xy -1.862767 2.133705) + (xy -1.850568 2.165034) + (xy -1.841242 2.18907) + (xy -1.834999 2.205276) + (xy -1.832051 2.213114) + (xy -1.831842 2.213748) + (xy -1.835765 2.216326) + (xy -1.847735 2.218362) + (xy -1.866074 2.219862) + (xy -1.889105 2.22083) + (xy -1.91515 2.221268) + (xy -1.942532 2.221182) + (xy -1.969574 2.220574) + (xy -1.994598 2.21945) + (xy -2.015927 2.217813) + (xy -2.031884 2.215668) + (xy -2.040366 2.213253) + (xy -2.048853 2.207982) + (xy -2.05671 2.200774) + (xy -2.064445 2.190624) + (xy -2.072567 2.176522) + (xy -2.081583 2.157461) + (xy -2.092003 2.132434) + (xy -2.104334 2.100432) + (xy -2.119084 2.060448) + (xy -2.123294 2.048854) + (xy -2.168732 1.923417) + (xy -2.417269 1.924678) + (xy -2.665806 1.92594) + (xy -2.712865 2.054055) + (xy -2.727886 2.094238) + (xy -2.741356 2.128857) + (xy -2.752938 2.157097) + (xy -2.762291 2.178146) + (xy -2.769076 2.19119) + (xy -2.771459 2.19452) + (xy -2.779849 2.202935) + (xy -2.788193 2.209315) + (xy -2.797948 2.213941) + (xy -2.810571 2.217092) + (xy -2.827519 2.219048) + (xy -2.850249 2.220089) + (xy -2.880217 2.220496) + (xy -2.906928 2.220552) + (xy -2.936568 2.220487) + (xy -2.962588 2.220306) + (xy -2.983531 2.220029) + (xy -2.997942 2.219676) + (xy -3.004365 2.219268) + (xy -3.004563 2.219181) + (xy -3.002815 2.214568) + (xy -2.997708 2.201421) + (xy -2.989452 2.18027) + (xy -2.978253 2.151645) + (xy -2.964318 2.116074) + (xy -2.947857 2.074086) + (xy -2.929076 2.026212) + (xy -2.908183 1.972981) + (xy -2.885386 1.914921) + (xy -2.860892 1.852563) + (xy -2.83491 1.786435) + (xy -2.823231 1.75672) + (xy -2.603982 1.75672) + (xy -2.602701 1.758324) + (xy -2.597028 1.759638) + (xy -2.58622 1.760687) + (xy -2.569537 1.761495) + (xy -2.546234 1.762086) + (xy -2.515572 1.762486) + (xy -2.476807 1.762717) + (xy -2.429197 1.762805) + (xy -2.418293 1.762807) + (xy -2.376582 1.762709) + (xy -2.338085 1.762431) + (xy -2.30385 1.761994) + (xy -2.274928 1.76142) + (xy -2.252366 1.760731) + (xy -2.237215 1.759949) + (xy -2.230524 1.759095) + (xy -2.230291 1.7589) + (xy -2.231943 1.75368) + (xy -2.236676 1.740253) + (xy -2.244155 1.71953) + (xy -2.254047 1.692426) + (xy -2.266016 1.659853) + (xy -2.279728 1.622725) + (xy -2.29485 1.581953) + (xy -2.310114 1.540949) + (xy -2.326881 1.495836) + (xy -2.343044 1.452054) + (xy -2.358188 1.410751) + (xy -2.371897 1.373075) + (xy -2.383753 1.340175) + (xy -2.393341 1.313198) + (xy -2.400244 1.293294) + (xy -2.403496 1.283417) + (xy -2.417055 1.239929) + (xy -2.437364 1.302931) + (xy -2.443084 1.319912) + (xy -2.451838 1.344884) + (xy -2.463216 1.376728) + (xy -2.476806 1.414324) + (xy -2.492198 1.456555) + (xy -2.508981 1.502301) + (xy -2.526744 1.550443) + (xy -2.545077 1.599863) + (xy -2.563568 1.649442) + (xy -2.581806 1.69806) + (xy -2.599382 1.744598) + (xy -2.603982 1.75672) + (xy -2.823231 1.75672) + (xy -2.807646 1.717068) + (xy -2.77931 1.64499) + (xy -2.769089 1.618998) + (xy -2.533616 1.020188) + (xy -2.417258 1.018944) + (xy -2.300901 1.0177) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5b064298-9cc3-4e73-86de-9523d229b912)) + (fp_poly + (pts + (xy 3.513439 1.612322) + (xy 3.542033 1.685084) + (xy 3.569647 1.755392) + (xy 3.596071 1.82271) + (xy 3.621093 1.8865) + (xy 3.644505 1.946224) + (xy 3.666094 2.001344) + (xy 3.685652 2.051323) + (xy 3.702968 2.095623) + (xy 3.717831 2.133705) + (xy 3.73003 2.165034) + (xy 3.739357 2.18907) + (xy 3.745599 2.205276) + (xy 3.748547 2.213114) + (xy 3.748756 2.213748) + (xy 3.744833 2.216326) + (xy 3.732863 2.218362) + (xy 3.714524 2.219862) + (xy 3.691493 2.22083) + (xy 3.665448 2.221268) + (xy 3.638066 2.221182) + (xy 3.611024 2.220574) + (xy 3.586 2.21945) + (xy 3.564671 2.217813) + (xy 3.548714 2.215668) + (xy 3.540232 2.213253) + (xy 3.531745 2.207982) + (xy 3.523888 2.200774) + (xy 3.516153 2.190624) + (xy 3.508031 2.176522) + (xy 3.499015 2.157461) + (xy 3.488595 2.132434) + (xy 3.476264 2.100432) + (xy 3.461514 2.060448) + (xy 3.457304 2.048854) + (xy 3.411866 1.923417) + (xy 3.163329 1.924678) + (xy 2.914792 1.92594) + (xy 2.867733 2.054055) + (xy 2.852713 2.094238) + (xy 2.839242 2.128857) + (xy 2.82766 2.157097) + (xy 2.818307 2.178146) + (xy 2.811522 2.19119) + (xy 2.809139 2.19452) + (xy 2.800749 2.202935) + (xy 2.792405 2.209315) + (xy 2.78265 2.213941) + (xy 2.770027 2.217092) + (xy 2.753079 2.219048) + (xy 2.730349 2.220089) + (xy 2.700381 2.220496) + (xy 2.67367 2.220552) + (xy 2.64403 2.220487) + (xy 2.61801 2.220306) + (xy 2.597067 2.220029) + (xy 2.582656 2.219676) + (xy 2.576233 2.219268) + (xy 2.576035 2.219181) + (xy 2.577783 2.214568) + (xy 2.58289 2.201421) + (xy 2.591146 2.18027) + (xy 2.602346 2.151645) + (xy 2.61628 2.116074) + (xy 2.632741 2.074086) + (xy 2.651522 2.026212) + (xy 2.672415 1.972981) + (xy 2.695212 1.914921) + (xy 2.719706 1.852563) + (xy 2.745688 1.786435) + (xy 2.757367 1.75672) + (xy 2.976616 1.75672) + (xy 2.977897 1.758324) + (xy 2.98357 1.759638) + (xy 2.994378 1.760687) + (xy 3.011062 1.761495) + (xy 3.034364 1.762086) + (xy 3.065026 1.762486) + (xy 3.103791 1.762717) + (xy 3.151401 1.762805) + (xy 3.162305 1.762807) + (xy 3.204016 1.762709) + (xy 3.242513 1.762431) + (xy 3.276748 1.761994) + (xy 3.30567 1.76142) + (xy 3.328232 1.760731) + (xy 3.343383 1.759949) + (xy 3.350074 1.759095) + (xy 3.350307 1.7589) + (xy 3.348655 1.75368) + (xy 3.343922 1.740253) + (xy 3.336443 1.71953) + (xy 3.326551 1.692426) + (xy 3.314582 1.659853) + (xy 3.30087 1.622725) + (xy 3.285749 1.581953) + (xy 3.270484 1.540949) + (xy 3.253717 1.495836) + (xy 3.237554 1.452054) + (xy 3.22241 1.410751) + (xy 3.208701 1.373075) + (xy 3.196845 1.340175) + (xy 3.187257 1.313198) + (xy 3.180354 1.293294) + (xy 3.177102 1.283417) + (xy 3.163543 1.239929) + (xy 3.143234 1.302931) + (xy 3.137514 1.319912) + (xy 3.12876 1.344884) + (xy 3.117382 1.376728) + (xy 3.103792 1.414324) + (xy 3.0884 1.456555) + (xy 3.071617 1.502301) + (xy 3.053854 1.550443) + (xy 3.035521 1.599863) + (xy 3.01703 1.649442) + (xy 2.998792 1.69806) + (xy 2.981216 1.744598) + (xy 2.976616 1.75672) + (xy 2.757367 1.75672) + (xy 2.772952 1.717068) + (xy 2.801289 1.64499) + (xy 2.811509 1.618998) + (xy 3.046982 1.020188) + (xy 3.16334 1.018944) + (xy 3.279697 1.0177) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp c3172e1c-a644-41aa-98c9-6619e8c70b00)) + (fp_poly + (pts + (xy 6.581243 1.361605) + (xy 6.612951 1.363488) + (xy 6.639997 1.367265) + (xy 6.664898 1.373466) + (xy 6.690175 1.382621) + (xy 6.718348 1.395259) + (xy 6.728567 1.400213) + (xy 6.775553 1.428521) + (xy 6.816167 1.463944) + (xy 6.850155 1.506019) + (xy 6.877263 1.554283) + (xy 6.897238 1.608274) + (xy 6.909825 1.667529) + (xy 6.914772 1.731585) + (xy 6.91484 1.739918) + (xy 6.913692 1.77137) + (xy 6.910025 1.794223) + (xy 6.903596 1.809349) + (xy 6.894157 1.81762) + (xy 6.892746 1.818218) + (xy 6.886526 1.81878) + (xy 6.871473 1.819308) + (xy 6.84849 1.819792) + (xy 6.818483 1.820224) + (xy 6.782356 1.820592) + (xy 6.741016 1.820887) + (xy 6.695366 1.821099) + (xy 6.646311 1.821219) + (xy 6.612105 1.821243) + (xy 6.339347 1.821243) + (xy 6.342578 1.846808) + (xy 6.352917 1.902265) + (xy 6.368964 1.949851) + (xy 6.390798 1.989641) + (xy 6.418494 2.021709) + (xy 6.452128 2.046129) + (xy 6.491778 2.062977) + (xy 6.53752 2.072327) + (xy 6.576438 2.074463) + (xy 6.608742 2.073318) + (xy 6.637868 2.069407) + (xy 6.666361 2.06202) + (xy 6.696768 2.050446) + (xy 6.731634 2.033973) + (xy 6.743841 2.027711) + (xy 6.771441 2.014126) + (xy 6.79267 2.005672) + (xy 6.809142 2.001905) + (xy 6.822472 2.002383) + (xy 6.829098 2.004312) + (xy 6.834987 2.009091) + (xy 6.845368 2.019923) + (xy 6.858751 2.035176) + (xy 6.872938 2.052337) + (xy 6.909238 2.097469) + (xy 6.884057 2.12181) + (xy 6.84507 2.154664) + (xy 6.801868 2.181439) + (xy 6.752784 2.20302) + (xy 6.700669 2.219135) + (xy 6.679156 2.224231) + (xy 6.658418 2.227867) + (xy 6.635791 2.230339) + (xy 6.608608 2.231943) + (xy 6.576438 2.232925) + (xy 6.547642 2.233252) + (xy 6.520229 2.232987) + (xy 6.496596 2.232195) + (xy 6.479143 2.230939) + (xy 6.473653 2.230188) + (xy 6.411861 2.214597) + (xy 6.35549 2.190999) + (xy 6.304113 2.159172) + (xy 6.257304 2.118897) + (xy 6.257001 2.118595) + (xy 6.237887 2.098909) + (xy 6.223463 2.082179) + (xy 6.211589 2.065392) + (xy 6.200125 2.045535) + (xy 6.187532 2.020817) + (xy 6.171014 1.985599) + (xy 6.158576 1.954223) + (xy 6.149602 1.924078) + (xy 6.143478 1.892551) + (xy 6.13959 1.857032) + (xy 6.137322 1.814907) + (xy 6.137032 1.806211) + (xy 6.136231 1.771676) + (xy 6.136316 1.744498) + (xy 6.1374 1.722327) + (xy 6.139594 1.702813) + (xy 6.140178 1.699502) + (xy 6.344689 1.699502) + (xy 6.537821 1.699502) + (xy 6.730953 1.699502) + (xy 6.727543 1.672208) + (xy 6.718204 1.627087) + (xy 6.702286 1.589029) + (xy 6.679674 1.557812) + (xy 6.658063 1.538616) + (xy 6.636496 1.524753) + (xy 6.614698 1.515568) + (xy 6.589888 1.510292) + (xy 6.559286 1.508158) + (xy 6.54722 1.508012) + (xy 6.508135 1.510096) + (xy 6.475269 1.517003) + (xy 6.445988 1.529487) + (xy 6.42443 1.54323) + (xy 6.404179 1.562384) + (xy 6.384943 1.588778) + (xy 6.368102 1.61992) + (xy 6.355037 1.653317) + (xy 6.347997 1.681241) + (xy 6.344689 1.699502) + (xy 6.140178 1.699502) + (xy 6.142818 1.684547) + (xy 6.160027 1.622269) + (xy 6.185125 1.565254) + (xy 6.217675 1.513983) + (xy 6.257244 1.468936) + (xy 6.303394 1.430594) + (xy 6.355691 1.399437) + (xy 6.4137 1.375946) + (xy 6.425811 1.37225) + (xy 6.442451 1.367819) + (xy 6.458206 1.364714) + (xy 6.475403 1.362715) + (xy 6.496366 1.361598) + (xy 6.523419 1.36114) + (xy 6.54235 1.361088) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 62d7e6a0-e764-4674-9b98-09f59b8bb530)) + (fp_poly + (pts + (xy -5.073991 1.017817) + (xy -5.020632 1.018045) + (xy -4.975068 1.018496) + (xy -4.936327 1.019226) + (xy -4.903438 1.020292) + (xy -4.875428 1.021752) + (xy -4.851326 1.023661) + (xy -4.830159 1.026079) + (xy -4.810956 1.02906) + (xy -4.792745 1.032664) + (xy -4.774555 1.036946) + (xy -4.764307 1.039584) + (xy -4.706161 1.058736) + (xy -4.656003 1.083442) + (xy -4.613843 1.11369) + (xy -4.579692 1.149468) + (xy -4.55356 1.190762) + (xy -4.535459 1.23756) + (xy -4.525398 1.289848) + (xy -4.523137 1.331551) + (xy -4.52519 1.375752) + (xy -4.532225 1.413416) + (xy -4.545198 1.446874) + (xy -4.565066 1.478458) + (xy -4.592785 1.510499) + (xy -4.599186 1.516998) + (xy -4.642335 1.553745) + (xy -4.689098 1.581452) + (xy -4.720803 1.594402) + (xy -4.722605 1.596853) + (xy -4.716967 1.600813) + (xy -4.703207 1.606636) + (xy -4.683761 1.613609) + (xy -4.647872 1.627111) + (xy -4.61874 1.641088) + (xy -4.593458 1.657233) + (xy -4.569119 1.677242) + (xy -4.559934 1.685808) + (xy -4.531487 1.717638) + (xy -4.510738 1.751924) + (xy -4.497112 1.790153) + (xy -4.490034 1.833811) + (xy -4.488671 1.869939) + (xy -4.492366 1.925201) + (xy -4.503348 1.974769) + (xy -4.522159 2.019974) + (xy -4.54934 2.062146) + (xy -4.582049 2.099252) + (xy -4.613398 2.12829) + (xy -4.644264 2.151171) + (xy -4.677789 2.16985) + (xy -4.717112 2.18628) + (xy -4.728413 2.190334) + (xy -4.74668 2.196543) + (xy -4.763825 2.201841) + (xy -4.780778 2.2063) + (xy -4.798471 2.209994) + (xy -4.817835 2.212992) + (xy -4.839801 2.215366) + (xy -4.8653 2.217189) + (xy -4.895264 2.218531) + (xy -4.930624 2.219465) + (xy -4.972311 2.220063) + (xy -5.021257 2.220394) + (xy -5.078392 2.220532) + (xy -5.121276 2.220552) + (xy -5.380943 2.220552) + (xy -5.380943 1.694632) + (xy -5.15694 1.694632) + (xy -5.15694 1.869939) + (xy -5.15694 2.045246) + (xy -5.029112 2.045076) + (xy -4.975258 2.044659) + (xy -4.930927 2.043567) + (xy -4.896175 2.041801) + (xy -4.871061 2.039364) + (xy -4.864517 2.038346) + (xy -4.820586 2.026771) + (xy -4.784162 2.009015) + (xy -4.755155 1.984992) + (xy -4.733477 1.954618) + (xy -4.719039 1.917807) + (xy -4.714052 1.894151) + (xy -4.711698 1.852951) + (xy -4.717594 1.814678) + (xy -4.731386 1.780466) + (xy -4.75272 1.751452) + (xy -4.760974 1.743546) + (xy -4.776713 1.731161) + (xy -4.793872 1.720957) + (xy -4.813509 1.712744) + (xy -4.836682 1.706333) + (xy -4.864447 1.701533) + (xy -4.897862 1.698153) + (xy -4.937985 1.696005) + (xy -4.985873 1.694897) + (xy -5.033117 1.694632) + (xy -5.15694 1.694632) + (xy -5.380943 1.694632) + (xy -5.380943 1.619153) + (xy -5.380943 1.19306) + (xy -5.15694 1.19306) + (xy -5.15694 1.363497) + (xy -5.15694 1.533934) + (xy -5.036417 1.53385) + (xy -4.990375 1.533577) + (xy -4.953088 1.532801) + (xy -4.923579 1.531476) + (xy -4.900874 1.529558) + (xy -4.883999 1.527001) + (xy -4.882875 1.526769) + (xy -4.841959 1.513971) + (xy -4.807056 1.49441) + (xy -4.781371 1.471218) + (xy -4.765191 1.448579) + (xy -4.754679 1.422989) + (xy -4.749319 1.392548) + (xy -4.748596 1.355352) + (xy -4.748763 1.351093) + (xy -4.750285 1.327229) + (xy -4.752912 1.309598) + (xy -4.757428 1.294698) + (xy -4.764619 1.279028) + (xy -4.765139 1.278013) + (xy -4.782995 1.250633) + (xy -4.805556 1.230235) + (xy -4.834863 1.215081) + (xy -4.842196 1.212357) + (xy -4.861192 1.206485) + (xy -4.881863 1.201873) + (xy -4.905595 1.198392) + (xy -4.933775 1.195913) + (xy -4.967789 1.194308) + (xy -5.009021 1.193448) + (xy -5.048591 1.19321) + (xy -5.15694 1.19306) + (xy -5.380943 1.19306) + (xy -5.380943 1.017753) + (xy -5.136117 1.017753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0777840b-ae09-4c3b-9d29-81e49ae6eaf8)) + (fp_poly + (pts + (xy -6.819489 1.018712) + (xy -6.709493 1.020188) + (xy -6.698797 1.032632) + (xy -6.694596 1.039139) + (xy -6.68627 1.053487) + (xy -6.674237 1.074897) + (xy -6.65891 1.102595) + (xy -6.640706 1.135803) + (xy -6.620039 1.173743) + (xy -6.597326 1.215641) + (xy -6.572981 1.260718) + (xy -6.547421 1.308199) + (xy -6.52106 1.357306) + (xy -6.494314 1.407263) + (xy -6.467599 1.457293) + (xy -6.441329 1.50662) + (xy -6.41592 1.554466) + (xy -6.391788 1.600055) + (xy -6.369348 1.642611) + (xy -6.349015 1.681356) + (xy -6.331205 1.715514) + (xy -6.316334 1.744309) + (xy -6.304816 1.766963) + (xy -6.297067 1.7827) + (xy -6.29394 1.78959) + (xy -6.286845 1.806525) + (xy -6.280863 1.819891) + (xy -6.277144 1.827132) + (xy -6.276856 1.827528) + (xy -6.273764 1.825046) + (xy -6.268063 1.815242) + (xy -6.260695 1.79986) + (xy -6.255821 1.788571) + (xy -6.250766 1.777631) + (xy -6.241495 1.758843) + (xy -6.228388 1.732945) + (xy -6.211832 1.700674) + (xy -6.192207 1.662765) + (xy -6.169898 1.619957) + (xy -6.145289 1.572985) + (xy -6.118762 1.522587) + (xy -6.090701 1.4695) + (xy -6.061488 1.414459) + (xy -6.048618 1.39028) + (xy -6.013019 1.323546) + (xy -5.981669 1.264978) + (xy -5.954308 1.214111) + (xy -5.930677 1.170479) + (xy -5.910515 1.133615) + (xy -5.893562 1.103055) + (xy -5.879557 1.078332) + (xy -5.868242 1.058981) + (xy -5.859354 1.044535) + (xy -5.852635 1.03453) + (xy -5.847824 1.028498) + (xy -5.845308 1.026301) + (xy -5.839499 1.023424) + (xy -5.831874 1.021267) + (xy -5.821058 1.019729) + (xy -5.805679 1.018712) + (xy -5.784363 1.018114) + (xy -5.755738 1.017837) + (xy -5.727905 1.017779) + (xy -5.624425 1.017753) + (xy -5.624425 1.619153) + (xy -5.624425 2.220552) + (xy -5.724517 2.220552) + (xy -5.824609 2.220552) + (xy -5.823127 1.787409) + (xy -5.822884 1.711829) + (xy -5.822711 1.645443) + (xy -5.822613 1.587707) + (xy -5.822599 1.538074) + (xy -5.822674 1.496) + (xy -5.822846 1.460941) + (xy -5.823121 1.43235) + (xy -5.823508 1.409684) + (xy -5.824011 1.392396) + (xy -5.824639 1.379943) + (xy -5.825398 1.371778) + (xy -5.826296 1.367358) + (xy -5.827338 1.366136) + (xy -5.828449 1.367403) + (xy -5.842576 1.394512) + (xy -5.859666 1.427025) + (xy -5.879299 1.464161) + (xy -5.901053 1.50514) + (xy -5.924509 1.549179) + (xy -5.949244 1.595498) + (xy -5.97484 1.643316) + (xy -6.000873 1.69185) + (xy -6.026925 1.74032) + (xy -6.052575 1.787944) + (xy -6.077401 1.833942) + (xy -6.100982 1.877531) + (xy -6.122899 1.917931) + (xy -6.14273 1.954361) + (xy -6.160055 1.986038) + (xy -6.174452 2.012183) + (xy -6.185502 2.032013) + (xy -6.192783 2.044747) + (xy -6.195793 2.049525) + (xy -6.211751 2.062444) + (xy -6.232446 2.070447) + (xy -6.259647 2.074094) + (xy -6.274521 2.074463) + (xy -6.305223 2.0726) + (xy -6.328635 2.066635) + (xy -6.34654 2.056003) + (xy -6.353294 2.049525) + (xy -6.357226 2.043492) + (xy -6.365384 2.029546) + (xy -6.377396 2.008364) + (xy -6.392891 1.98062) + (xy -6.411497 1.946988) + (xy -6.432843 1.908142) + (xy -6.456557 1.864758) + (xy -6.482269 1.81751) + (xy -6.509606 1.767072) + (xy -6.538197 1.714119) + (xy -6.547382 1.697067) + (xy -6.576053 1.64381) + (xy -6.603367 1.593084) + (xy -6.628976 1.545538) + (xy -6.652531 1.501815) + (xy -6.673685 1.462563) + (xy -6.692088 1.428427) + (xy -6.707394 1.400053) + (xy -6.719253 1.378088) + (xy -6.727317 1.363176) + (xy -6.731239 1.355965) + (xy -6.731569 1.355379) + (xy -6.731656 1.360011) + (xy -6.731709 1.373692) + (xy -6.731728 1.395734) + (xy -6.731715 1.425446) + (xy -6.731671 1.462138) + (xy -6.731597 1.505122) + (xy -6.731494 1.553706) + (xy -6.731364 1.607202) + (xy -6.731207 1.664921) + (xy -6.731025 1.726171) + (xy -6.730828 1.787559) + (xy -6.729391 2.220552) + (xy -6.829438 2.220552) + (xy -6.929486 2.220552) + (xy -6.929486 1.618894) + (xy -6.929486 1.017235) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 57189baa-25c4-4ce4-949a-c5a48b15f910)) + (fp_poly + (pts + (xy -1.599244 1.018712) + (xy -1.489247 1.020188) + (xy -1.478552 1.032632) + (xy -1.47435 1.039139) + (xy -1.466025 1.053487) + (xy -1.453991 1.074897) + (xy -1.438664 1.102595) + (xy -1.42046 1.135803) + (xy -1.399794 1.173743) + (xy -1.377081 1.215641) + (xy -1.352736 1.260718) + (xy -1.327176 1.308199) + (xy -1.300815 1.357306) + (xy -1.274069 1.407263) + (xy -1.247353 1.457293) + (xy -1.221083 1.50662) + (xy -1.195675 1.554466) + (xy -1.171543 1.600055) + (xy -1.149102 1.642611) + (xy -1.12877 1.681356) + (xy -1.11096 1.715514) + (xy -1.096088 1.744309) + (xy -1.08457 1.766963) + (xy -1.076821 1.7827) + (xy -1.073695 1.78959) + (xy -1.0666 1.806525) + (xy -1.060617 1.819891) + (xy -1.056898 1.827132) + (xy -1.056611 1.827528) + (xy -1.053519 1.825046) + (xy -1.047818 1.815242) + (xy -1.04045 1.79986) + (xy -1.035576 1.788571) + (xy -1.030521 1.777631) + (xy -1.021249 1.758843) + (xy -1.008143 1.732945) + (xy -0.991586 1.700674) + (xy -0.971962 1.662765) + (xy -0.949653 1.619957) + (xy -0.925044 1.572985) + (xy -0.898517 1.522587) + (xy -0.870455 1.4695) + (xy -0.841243 1.414459) + (xy -0.828373 1.39028) + (xy -0.792773 1.323546) + (xy -0.761423 1.264978) + (xy -0.734063 1.214111) + (xy -0.710432 1.170479) + (xy -0.690269 1.133615) + (xy -0.673316 1.103055) + (xy -0.659312 1.078332) + (xy -0.647996 1.058981) + (xy -0.639109 1.044535) + (xy -0.632389 1.03453) + (xy -0.627578 1.028498) + (xy -0.625063 1.026301) + (xy -0.619254 1.023424) + (xy -0.611628 1.021267) + (xy -0.600813 1.019729) + (xy -0.585433 1.018712) + (xy -0.564118 1.018114) + (xy -0.535492 1.017837) + (xy -0.507659 1.017779) + (xy -0.404179 1.017753) + (xy -0.404179 1.619153) + (xy -0.404179 2.220552) + (xy -0.504272 2.220552) + (xy -0.604364 2.220552) + (xy -0.602882 1.787409) + (xy -0.602639 1.711829) + (xy -0.602465 1.645443) + (xy -0.602368 1.587707) + (xy -0.602353 1.538074) + (xy -0.602428 1.496) + (xy -0.6026 1.460941) + (xy -0.602876 1.43235) + (xy -0.603262 1.409684) + (xy -0.603766 1.392396) + (xy -0.604394 1.379943) + (xy -0.605153 1.371778) + (xy -0.60605 1.367358) + (xy -0.607093 1.366136) + (xy -0.608204 1.367403) + (xy -0.622331 1.394512) + (xy -0.639421 1.427025) + (xy -0.659053 1.464161) + (xy -0.680808 1.50514) + (xy -0.704263 1.549179) + (xy -0.728999 1.595498) + (xy -0.754594 1.643316) + (xy -0.780628 1.69185) + (xy -0.80668 1.74032) + (xy -0.832329 1.787944) + (xy -0.857155 1.833942) + (xy -0.880737 1.877531) + (xy -0.902654 1.917931) + (xy -0.922485 1.954361) + (xy -0.939809 1.986038) + (xy -0.954207 2.012183) + (xy -0.965257 2.032013) + (xy -0.972538 2.044747) + (xy -0.975548 2.049525) + (xy -0.991505 2.062444) + (xy -1.0122 2.070447) + (xy -1.039401 2.074094) + (xy -1.054275 2.074463) + (xy -1.084978 2.0726) + (xy -1.10839 2.066635) + (xy -1.126294 2.056003) + (xy -1.133048 2.049525) + (xy -1.13698 2.043492) + (xy -1.145138 2.029546) + (xy -1.15715 2.008364) + (xy -1.172645 1.98062) + (xy -1.191251 1.946988) + (xy -1.212597 1.908142) + (xy -1.236312 1.864758) + (xy -1.262024 1.81751) + (xy -1.289361 1.767072) + (xy -1.317952 1.714119) + (xy -1.327136 1.697067) + (xy -1.355808 1.64381) + (xy -1.383122 1.593084) + (xy -1.40873 1.545538) + (xy -1.432286 1.501815) + (xy -1.453439 1.462563) + (xy -1.471843 1.428427) + (xy -1.487148 1.400053) + (xy -1.499007 1.378088) + (xy -1.507072 1.363176) + (xy -1.510993 1.355965) + (xy -1.511323 1.355379) + (xy -1.511411 1.360011) + (xy -1.511463 1.373692) + (xy -1.511483 1.395734) + (xy -1.51147 1.425446) + (xy -1.511425 1.462138) + (xy -1.511351 1.505122) + (xy -1.511249 1.553706) + (xy -1.511118 1.607202) + (xy -1.510962 1.664921) + (xy -1.51078 1.726171) + (xy -1.510583 1.787559) + (xy -1.509145 2.220552) + (xy -1.609193 2.220552) + (xy -1.709241 2.220552) + (xy -1.709241 1.618894) + (xy -1.709241 1.017235) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 790423bd-58ef-4f2b-a683-e8a966692d45)) + (fp_poly + (pts + (xy -3.726486 1.014276) + (xy -3.668589 1.014813) + (xy -3.619556 1.01538) + (xy -3.57851 1.016008) + (xy -3.544576 1.016727) + (xy -3.516878 1.017569) + (xy -3.49454 1.018566) + (xy -3.476686 1.019747) + (xy -3.46244 1.021145) + (xy -3.450926 1.02279) + (xy -3.442969 1.024332) + (xy -3.378576 1.041731) + (xy -3.32233 1.064051) + (xy -3.273878 1.091459) + (xy -3.234668 1.122434) + (xy -3.204291 1.154626) + (xy -3.180563 1.189357) + (xy -3.161503 1.229677) + (xy -3.15667 1.24256) + (xy -3.151776 1.257) + (xy -3.148304 1.26996) + (xy -3.146003 1.283608) + (xy -3.144626 1.300114) + (xy -3.143923 1.321647) + (xy -3.143645 1.350377) + (xy -3.143622 1.356193) + (xy -3.143664 1.386855) + (xy -3.14418 1.409937) + (xy -3.145382 1.427594) + (xy -3.147483 1.441983) + (xy -3.150696 1.455258) + (xy -3.154626 1.467774) + (xy -3.177622 1.523134) + (xy -3.207342 1.57154) + (xy -3.244158 1.613425) + (xy -3.288443 1.649216) + (xy -3.335698 1.676931) + (xy -3.355105 1.686411) + (xy -3.372375 1.694339) + (xy -3.384889 1.699534) + (xy -3.388458 1.700715) + (xy -3.393339 1.702561) + (xy -3.394401 1.705634) + (xy -3.390801 1.711321) + (xy -3.381696 1.721008) + (xy -3.367925 1.734458) + (xy -3.35897 1.743832) + (xy -3.348093 1.756645) + (xy -3.334867 1.773491) + (xy -3.318863 1.794966) + (xy -3.299652 1.821665) + (xy -3.276805 1.854183) + (xy -3.249895 1.893115) + (xy -3.218491 1.939057) + (xy -3.184902 1.98856) + (xy -3.156706 2.030309) + (xy -3.130221 2.069687) + (xy -3.105939 2.105948) + (xy -3.084354 2.138349) + (xy -3.06596 2.166144) + (xy -3.051249 2.18859) + (xy -3.040716 2.204941) + (xy -3.034854 2.214453) + (xy -3.033781 2.216602) + (xy -3.038547 2.218116) + (xy -3.052453 2.219211) + (xy -3.074905 2.219869) + (xy -3.105312 2.220076) + (xy -3.143081 2.219814) + (xy -3.150244 2.219721) + (xy -3.266708 2.218118) + (xy -3.287384 2.203509) + (xy -3.29322 2.198573) + (xy -3.300535 2.190754) + (xy -3.309775 2.179423) + (xy -3.321387 2.163948) + (xy -3.335815 2.143699) + (xy -3.353504 2.118045) + (xy -3.374901 2.086355) + (xy -3.400451 2.048) + (xy -3.430599 2.002347) + (xy -3.44562 1.979506) + (xy -3.479006 1.928845) + (xy -3.50754 1.885914) + (xy -3.531574 1.850211) + (xy -3.551461 1.821233) + (xy -3.567554 1.798478) + (xy -3.580205 1.781444) + (xy -3.589767 1.769629) + (xy -3.596591 1.76253) + (xy -3.59944 1.760372) + (xy -3.60789 1.756193) + (xy -3.618241 1.753238) + (xy -3.632455 1.751228) + (xy -3.652492 1.749887) + (xy -3.680177 1.748939) + (xy -3.744653 1.747245) + (xy -3.745917 1.982681) + (xy -3.747182 2.218118) + (xy -3.857065 2.219424) + (xy -3.888845 2.219658) + (xy -3.917315 2.219595) + (xy -3.941048 2.219259) + (xy -3.958616 2.218677) + (xy -3.96859 2.217873) + (xy -3.970284 2.217395) + (xy -3.970704 2.212197) + (xy -3.971109 2.197852) + (xy -3.971495 2.174949) + (xy -3.97186 2.144081) + (xy -3.972199 2.105838) + (xy -3.97251 2.060813) + (xy -3.97279 2.009595) + (xy -3.973034 1.952777) + (xy -3.97324 1.890949) + (xy -3.973405 1.824703) + (xy -3.973526 1.75463) + (xy -3.973598 1.681322) + (xy -3.97362 1.613117) + (xy -3.97362 1.187012) + (xy -3.744747 1.187012) + (xy -3.744747 1.387256) + (xy -3.744747 1.5875) + (xy -3.667185 1.5875) + (xy -3.636986 1.587151) + (xy -3.606769 1.586192) + (xy -3.579421 1.584755) + (xy -3.55783 1.582972) + (xy -3.551755 1.582233) + (xy -3.502424 1.571566) + (xy -3.460224 1.554271) + (xy -3.425136 1.530335) + (xy -3.397142 1.499748) + (xy -3.376226 1.462495) + (xy -3.373868 1.456763) + (xy -3.368455 1.440846) + (xy -3.365092 1.424384) + (xy -3.363346 1.404303) + (xy -3.362787 1.378106) + (xy -3.362945 1.353982) + (xy -3.363994 1.336566) + (xy -3.366445 1.322837) + (xy -3.37081 1.309772) + (xy -3.37658 1.296552) + (xy -3.397158 1.263037) + (xy -3.425222 1.235683) + (xy -3.460818 1.214457) + (xy -3.503992 1.199324) + (xy -3.50857 1.198183) + (xy -3.52074 1.196302) + (xy -3.540788 1.194413) + (xy -3.566856 1.192637) + (xy -3.597082 1.191096) + (xy -3.629608 1.189911) + (xy -3.638832 1.189661) + (xy -3.744747 1.187012) + (xy -3.97362 1.187012) + (xy -3.97362 1.012175) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 362ec12e-e5ce-4e5f-bc14-7f04b556d52f)) + (fp_poly + (pts + (xy -7.780294 1.007185) + (xy -7.720503 1.020276) + (xy -7.664445 1.040746) + (xy -7.637203 1.054371) + (xy -7.609205 1.072888) + (xy -7.580346 1.097173) + (xy -7.552958 1.12484) + (xy -7.529368 1.153505) + (xy -7.511907 1.180782) + (xy -7.509918 1.184695) + (xy -7.495847 1.215718) + (xy -7.486415 1.242907) + (xy -7.48056 1.270326) + (xy -7.477221 1.302039) + (xy -7.476586 1.312366) + (xy -7.477225 1.365415) + (xy -7.485476 1.413706) + (xy -7.50165 1.458928) + (xy -7.504881 1.465759) + (xy -7.520648 1.491488) + (xy -7.542628 1.518607) + (xy -7.568212 1.544448) + (xy -7.59479 1.566342) + (xy -7.61666 1.580087) + (xy -7.643999 1.59418) + (xy -7.595798 1.617827) + (xy -7.55197 1.642957) + (xy -7.51615 1.671941) + (xy -7.487133 1.706154) + (xy -7.463715 1.746972) + (xy -7.447562 1.787155) + (xy -7.443752 1.800137) + (xy -7.441144 1.814433) + (xy -7.439549 1.832134) + (xy -7.438783 1.855332) + (xy -7.438659 1.886116) + (xy -7.438662 1.886983) + (xy -7.438934 1.916353) + (xy -7.439656 1.938303) + (xy -7.441108 1.955152) + (xy -7.443566 1.969218) + (xy -7.44731 1.98282) + (xy -7.45171 1.995745) + (xy -7.475227 2.047735) + (xy -7.506445 2.093975) + (xy -7.545014 2.134196) + (xy -7.590584 2.168127) + (xy -7.642804 2.195499) + (xy -7.701323 2.216041) + (xy -7.752095 2.227317) + (xy -7.787225 2.231673) + (xy -7.827736 2.234042) + (xy -7.870204 2.234422) + (xy -7.911201 2.232811) + (xy -7.947303 2.229206) + (xy -7.958245 2.227455) + (xy -8.018954 2.212883) + (xy -8.072904 2.192089) + (xy -8.121287 2.164479) + (xy -8.165291 2.129461) + (xy -8.176666 2.118571) + (xy -8.197131 2.097489) + (xy -8.212205 2.079765) + (xy -8.223999 2.062551) + (xy -8.234626 2.042997) + (xy -8.238255 2.035506) + (xy -8.25159 2.005469) + (xy -8.260852 1.978972) + (xy -8.266687 1.952913) + (xy -8.269736 1.924193) + (xy -8.270645 1.889712) + (xy -8.270612 1.879678) + (xy -8.270215 1.858563) + (xy -8.058009 1.858563) + (xy -8.057895 1.896078) + (xy -8.050244 1.940212) + (xy -8.034712 1.978846) + (xy -8.011672 2.01154) + (xy -7.981499 2.037856) + (xy -7.944569 2.057353) + (xy -7.911929 2.067405) + (xy -7.881749 2.071668) + (xy -7.847578 2.072329) + (xy -7.813248 2.069591) + (xy -7.782593 2.06366) + (xy -7.770603 2.05985) + (xy -7.731652 2.041116) + (xy -7.700758 2.017038) + (xy -7.682648 1.99521) + (xy -7.666774 1.96745) + (xy -7.6566 1.938318) + (xy -7.651549 1.905377) + (xy -7.651047 1.866189) + (xy -7.651215 1.862053) + (xy -7.652651 1.83755) + (xy -7.654865 1.819541) + (xy -7.658562 1.804781) + (xy -7.664447 1.790023) + (xy -7.668905 1.780666) + (xy -7.690158 1.747099) + (xy -7.717541 1.720653) + (xy -7.751469 1.70105) + (xy -7.792352 1.68801) + (xy -7.810827 1.684557) + (xy -7.856125 1.681277) + (xy -7.900436 1.685128) + (xy -7.941767 1.695694) + (xy -7.978128 1.712557) + (xy -7.993084 1.722654) + (xy -8.01837 1.747847) + (xy -8.037982 1.779865) + (xy -8.051376 1.817255) + (xy -8.058009 1.858563) + (xy -8.270215 1.858563) + (xy -8.270079 1.851298) + (xy -8.268709 1.829635) + (xy -8.266111 1.811679) + (xy -8.26189 1.794418) + (xy -8.257747 1.781098) + (xy -8.242857 1.743589) + (xy -8.223935 1.711435) + (xy -8.19887 1.681369) + (xy -8.183268 1.666) + (xy -8.157027 1.644915) + (xy -8.125433 1.624781) + (xy -8.092702 1.608095) + (xy -8.071563 1.599864) + (xy -8.068033 1.597455) + (xy -8.07031 1.593563) + (xy -8.079422 1.587209) + (xy -8.093476 1.57905) + (xy -8.133166 1.551634) + (xy -8.16764 1.51746) + (xy -8.195603 1.478175) + (xy -8.215762 1.435426) + (xy -8.221927 1.415555) + (xy -8.231799 1.359746) + (xy -8.232131 1.326108) + (xy -8.027275 1.326108) + (xy -8.02722 1.336621) + (xy -8.025332 1.375048) + (xy -8.019839 1.406344) + (xy -8.010121 1.432649) + (xy -7.995556 1.456104) + (xy -7.990806 1.462112) + (xy -7.966207 1.484681) + (xy -7.935281 1.501742) + (xy -7.899964 1.512941) + (xy -7.862191 1.517925) + (xy -7.8239 1.51634) + (xy -7.787026 1.507834) + (xy -7.772211 1.502015) + (xy -7.739287 1.482486) + (xy -7.713641 1.456669) + (xy -7.695228 1.424473) + (xy -7.684002 1.385805) + (xy -7.679915 1.340575) + (xy -7.680321 1.319514) + (xy -7.686341 1.277704) + (xy -7.700117 1.241773) + (xy -7.721636 1.21174) + (xy -7.750887 1.187622) + (xy -7.765891 1.179049) + (xy -7.790708 1.169687) + (xy -7.820835 1.163246) + (xy -7.852106 1.160399) + (xy -7.876077 1.161261) + (xy -7.917853 1.169668) + (xy -7.952868 1.184921) + (xy -7.98156 1.207309) + (xy -8.004372 1.237122) + (xy -8.011557 1.25039) + (xy -8.018646 1.265349) + (xy -8.023246 1.27756) + (xy -8.025873 1.289863) + (xy -8.027044 1.305098) + (xy -8.027275 1.326108) + (xy -8.232131 1.326108) + (xy -8.232335 1.305396) + (xy -8.22391 1.253219) + (xy -8.206897 1.203927) + (xy -8.181672 1.158233) + (xy -8.14861 1.116851) + (xy -8.108084 1.080493) + (xy -8.06047 1.049873) + (xy -8.01982 1.030895) + (xy -7.963582 1.01333) + (xy -7.903682 1.003599) + (xy -7.84197 1.001589) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1d8a2d62-858a-426e-87d5-78dec3faf454)) + (fp_poly + (pts + (xy 3.079137 -2.024598) + (xy 3.08276 -2.009853) + (xy 3.088386 -1.986646) + (xy 3.095898 -1.95547) + (xy 3.10518 -1.916813) + (xy 3.116116 -1.871166) + (xy 3.128588 -1.81902) + (xy 3.14248 -1.760865) + (xy 3.157677 -1.697192) + (xy 3.174061 -1.62849) + (xy 3.191516 -1.555251) + (xy 3.209926 -1.477963) + (xy 3.229173 -1.397119) + (xy 3.249143 -1.313208) + (xy 3.269717 -1.226721) + (xy 3.29078 -1.138148) + (xy 3.312216 -1.047979) + (xy 3.333907 -0.956705) + (xy 3.355738 -0.864816) + (xy 3.377592 -0.772802) + (xy 3.399352 -0.681155) + (xy 3.420902 -0.590363) + (xy 3.442126 -0.500919) + (xy 3.462907 -0.413311) + (xy 3.483128 -0.328031) + (xy 3.502674 -0.245568) + (xy 3.521427 -0.166414) + (xy 3.539271 -0.091058) + (xy 3.556091 -0.019991) + (xy 3.571769 0.046297) + (xy 3.586188 0.107315) + (xy 3.599233 0.162573) + (xy 3.610787 0.211581) + (xy 3.620734 0.253848) + (xy 3.628956 0.288884) + (xy 3.635339 0.316198) + (xy 3.639764 0.335301) + (xy 3.642116 0.345701) + (xy 3.642485 0.347549) + (xy 3.641974 0.349705) + (xy 3.639841 0.351448) + (xy 3.635184 0.35281) + (xy 3.627103 0.353826) + (xy 3.614697 0.354529) + (xy 3.597063 0.354953) + (xy 3.573302 0.355132) + (xy 3.542512 0.355099) + (xy 3.503793 0.354889) + (xy 3.456242 0.354535) + (xy 3.455863 0.354532) + (xy 3.269241 0.353049) + (xy 3.24057 0.338379) + (xy 3.22984 0.332878) + (xy 3.220613 0.327701) + (xy 3.21262 0.322069) + (xy 3.20559 0.315202) + (xy 3.199253 0.306323) + (xy 3.19334 0.294653) + (xy 3.18758 0.279414) + (xy 3.181703 0.259827) + (xy 3.175439 0.235114) + (xy 3.168518 0.204496) + (xy 3.160669 0.167195) + (xy 3.151624 0.122432) + (xy 3.141111 0.069429) + (xy 3.132988 0.028288) + (xy 3.123349 -0.020473) + (xy 3.114218 -0.066577) + (xy 3.105781 -0.109099) + (xy 3.098222 -0.14711) + (xy 3.091726 -0.179685) + (xy 3.086477 -0.205897) + (xy 3.08266 -0.224817) + (xy 3.08046 -0.23552) + (xy 3.08005 -0.237394) + (xy 3.077422 -0.248351) + (xy 2.63071 -0.248351) + (xy 2.183998 -0.248351) + (xy 2.069577 0.01055) + (xy 2.043674 0.069102) + (xy 2.021277 0.119439) + (xy 2.001971 0.162262) + (xy 1.985341 0.198271) + (xy 1.970971 0.228165) + (xy 1.958445 0.252646) + (xy 1.947348 0.272412) + (xy 1.937265 0.288164) + (xy 1.927779 0.300602) + (xy 1.918476 0.310427) + (xy 1.90894 0.318338) + (xy 1.898755 0.325035) + (xy 1.887506 0.331219) + (xy 1.875762 0.337105) + (xy 1.84339 0.353049) + (xy 1.65701 0.354532) + (xy 1.608064 0.354866) + (xy 1.568059 0.354999) + (xy 1.536194 0.35491) + (xy 1.511673 0.35458) + (xy 1.493697 0.353986) + (xy 1.481468 0.353108) + (xy 1.474187 0.351925) + (xy 1.471057 0.350416) + (xy 1.470789 0.349662) + (xy 1.472893 0.344791) + (xy 1.479064 0.331509) + (xy 1.489129 0.310174) + (xy 1.502918 0.281143) + (xy 1.520258 0.244774) + (xy 1.540977 0.201424) + (xy 1.564903 0.151451) + (xy 1.591866 0.095213) + (xy 1.621692 0.033067) + (xy 1.65421 -0.034629) + (xy 1.689249 -0.107517) + (xy 1.726637 -0.18524) + (xy 1.766201 -0.267441) + (xy 1.80777 -0.353761) + (xy 1.851172 -0.443843) + (xy 1.896235 -0.537329) + (xy 1.908147 -0.562029) + (xy 2.321636 -0.562029) + (xy 2.32251 -0.56062) + (xy 2.325379 -0.559417) + (xy 2.330896 -0.558403) + (xy 2.339713 -0.557563) + (xy 2.352482 -0.55688) + (xy 2.369856 -0.556338) + (xy 2.392487 -0.555922) + (xy 2.421026 -0.555613) + (xy 2.456128 -0.555398) + (xy 2.498443 -0.555259) + (xy 2.548623 -0.55518) + (xy 2.607323 -0.555145) + (xy 2.665952 -0.555138) + (xy 2.737114 -0.55518) + (xy 2.79899 -0.555311) + (xy 2.852034 -0.555539) + (xy 2.896701 -0.555871) + (xy 2.933442 -0.556313) + (xy 2.962712 -0.556875) + (xy 2.984965 -0.557561) + (xy 3.000653 -0.558381) + (xy 3.010231 -0.559341) + (xy 3.014152 -0.560448) + (xy 3.014302 -0.560739) + (xy 3.013362 -0.566259) + (xy 3.010651 -0.580502) + (xy 3.006336 -0.602646) + (xy 3.00058 -0.631869) + (xy 2.993551 -0.667349) + (xy 2.985412 -0.708263) + (xy 2.97633 -0.753791) + (xy 2.966469 -0.803109) + (xy 2.955996 -0.855395) + (xy 2.945074 -0.909829) + (xy 2.93387 -0.965587) + (xy 2.92255 -1.021847) + (xy 2.911277 -1.077788) + (xy 2.900218 -1.132588) + (xy 2.889538 -1.185424) + (xy 2.879402 -1.235474) + (xy 2.869976 -1.281917) + (xy 2.861425 -1.32393) + (xy 2.853913 -1.360692) + (xy 2.847608 -1.39138) + (xy 2.842673 -1.415172) + (xy 2.839275 -1.431246) + (xy 2.838653 -1.434106) + (xy 2.833794 -1.457369) + (xy 2.828011 -1.486811) + (xy 2.821959 -1.519003) + (xy 2.816294 -1.550513) + (xy 2.815153 -1.557082) + (xy 2.802344 -1.631361) + (xy 2.773709 -1.561952) + (xy 2.760927 -1.531583) + (xy 2.746596 -1.498528) + (xy 2.732369 -1.466541) + (xy 2.719897 -1.439379) + (xy 2.718558 -1.436541) + (xy 2.712603 -1.423762) + (xy 2.702978 -1.402848) + (xy 2.690023 -1.374544) + (xy 2.674074 -1.339592) + (xy 2.655469 -1.298735) + (xy 2.634547 -1.252717) + (xy 2.611645 -1.20228) + (xy 2.587101 -1.148167) + (xy 2.561252 -1.091121) + (xy 2.534437 -1.031886) + (xy 2.509325 -0.976361) + (xy 2.482519 -0.917079) + (xy 2.456799 -0.860231) + (xy 2.432457 -0.806457) + (xy 2.409783 -0.756398) + (xy 2.389066 -0.710692) + (xy 2.370599 -0.669982) + (xy 2.35467 -0.634906) + (xy 2.341571 -0.606106) + (xy 2.331592 -0.58422) + (xy 2.325023 -0.56989) + (xy 2.322155 -0.563756) + (xy 2.322105 -0.56366) + (xy 2.321636 -0.562029) + (xy 1.908147 -0.562029) + (xy 1.942789 -0.633862) + (xy 1.990659 -0.733084) + (xy 2.039676 -0.834638) + (xy 2.049324 -0.85462) + (xy 2.627699 -2.05255) + (xy 2.849614 -2.053816) + (xy 3.071529 -2.055082) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1f3a7390-b996-4102-94af-55ce6bd9026b)) + (fp_poly + (pts + (xy -3.525644 -2.053674) + (xy -3.449845 -2.053344) + (xy -3.38305 -2.053023) + (xy -3.324523 -2.052676) + (xy -3.273528 -2.052271) + (xy -3.229331 -2.051772) + (xy -3.191195 -2.051148) + (xy -3.158386 -2.050363) + (xy -3.130168 -2.049385) + (xy -3.105806 -2.048181) + (xy -3.084563 -2.046715) + (xy -3.065704 -2.044956) + (xy -3.048495 -2.042868) + (xy -3.032199 -2.04042) + (xy -3.016082 -2.037577) + (xy -2.999407 -2.034305) + (xy -2.981439 -2.030571) + (xy -2.970909 -2.028344) + (xy -2.890791 -2.007511) + (xy -2.816894 -1.980378) + (xy -2.749574 -1.947186) + (xy -2.689187 -1.90818) + (xy -2.63609 -1.863603) + (xy -2.590637 -1.813697) + (xy -2.553185 -1.758706) + (xy -2.539645 -1.733589) + (xy -2.518029 -1.685769) + (xy -2.501853 -1.638886) + (xy -2.490499 -1.590344) + (xy -2.483349 -1.537545) + (xy -2.479938 -1.482526) + (xy -2.481182 -1.390626) + (xy -2.491455 -1.302309) + (xy -2.510814 -1.217367) + (xy -2.539318 -1.13559) + (xy -2.577024 -1.05677) + (xy -2.615529 -0.993175) + (xy -2.636963 -0.964156) + (xy -2.664461 -0.931722) + (xy -2.69601 -0.897908) + (xy -2.729601 -0.864747) + (xy -2.763224 -0.834273) + (xy -2.794868 -0.808519) + (xy -2.806406 -0.800076) + (xy -2.838891 -0.778904) + (xy -2.876805 -0.75692) + (xy -2.917098 -0.735707) + (xy -2.956719 -0.716848) + (xy -2.99262 -0.701927) + (xy -3.002128 -0.698482) + (xy -3.020113 -0.692134) + (xy -3.034257 -0.686938) + (xy -3.042279 -0.683741) + (xy -3.043226 -0.683244) + (xy -3.041282 -0.679659) + (xy -3.033611 -0.673426) + (xy -3.033487 -0.67334) + (xy -3.016044 -0.659478) + (xy -2.995773 -0.640607) + (xy -2.975525 -0.619635) + (xy -2.958145 -0.599468) + (xy -2.949101 -0.587218) + (xy -2.945546 -0.580666) + (xy -2.938133 -0.566047) + (xy -2.927207 -0.54408) + (xy -2.913115 -0.515482) + (xy -2.896203 -0.480971) + (xy -2.876818 -0.441265) + (xy -2.855307 -0.397083) + (xy -2.832015 -0.349141) + (xy -2.807289 -0.298159) + (xy -2.781476 -0.244853) + (xy -2.754922 -0.189943) + (xy -2.727974 -0.134145) + (xy -2.700978 -0.078177) + (xy -2.674281 -0.022759) + (xy -2.648228 0.031393) + (xy -2.623167 0.08356) + (xy -2.599444 0.133025) + (xy -2.577405 0.17907) + (xy -2.557398 0.220976) + (xy -2.539767 0.258026) + (xy -2.524861 0.289502) + (xy -2.513025 0.314685) + (xy -2.504605 0.332859) + (xy -2.499949 0.343305) + (xy -2.499451 0.344527) + (xy -2.503414 0.346229) + (xy -2.515955 0.34768) + (xy -2.535917 0.348885) + (xy -2.562142 0.349846) + (xy -2.593472 0.350567) + (xy -2.628751 0.35105) + (xy -2.66682 0.351298) + (xy -2.706521 0.351314) + (xy -2.746697 0.351102) + (xy -2.786191 0.350665) + (xy -2.823845 0.350006) + (xy -2.858501 0.349127) + (xy -2.889002 0.348033) + (xy -2.914189 0.346725) + (xy -2.932906 0.345207) + (xy -2.943995 0.343483) + (xy -2.944502 0.343341) + (xy -2.974656 0.329964) + (xy -3.001371 0.309684) + (xy -3.021882 0.284697) + (xy -3.024948 0.279416) + (xy -3.028889 0.271408) + (xy -3.036504 0.255217) + (xy -3.047474 0.231542) + (xy -3.061481 0.201084) + (xy -3.078205 0.164543) + (xy -3.097325 0.122617) + (xy -3.118525 0.076007) + (xy -3.141483 0.025412) + (xy -3.16588 -0.028467) + (xy -3.191398 -0.084932) + (xy -3.208249 -0.122276) + (xy -3.234319 -0.180056) + (xy -3.259467 -0.235721) + (xy -3.283372 -0.288569) + (xy -3.305717 -0.337899) + (xy -3.326181 -0.383008) + (xy -3.344447 -0.423195) + (xy -3.360196 -0.457758) + (xy -3.373108 -0.485995) + (xy -3.382864 -0.507204) + (xy -3.389147 -0.520684) + (xy -3.391199 -0.524934) + (xy -3.401874 -0.542679) + (xy -3.414794 -0.559742) + (xy -3.420978 -0.566412) + (xy -3.431382 -0.575485) + (xy -3.442579 -0.582566) + (xy -3.455892 -0.587892) + (xy -3.472645 -0.591702) + (xy -3.494161 -0.594232) + (xy -3.521764 -0.595719) + (xy -3.556778 -0.596403) + (xy -3.58886 -0.59653) + (xy -3.693498 -0.59653) + (xy -3.723421 -0.353048) + (xy -3.730646 -0.294238) + (xy -3.738645 -0.229109) + (xy -3.747107 -0.160186) + (xy -3.755722 -0.089996) + (xy -3.76418 -0.021064) + (xy -3.772172 0.044086) + (xy -3.779387 0.102928) + (xy -3.781543 0.120524) + (xy -3.809743 0.350614) + (xy -4.026205 0.350614) + (xy -4.242667 0.350614) + (xy -4.240141 0.337222) + (xy -4.239331 0.331244) + (xy -4.237393 0.316018) + (xy -4.234378 0.291961) + (xy -4.230338 0.259493) + (xy -4.225324 0.219033) + (xy -4.219388 0.170998) + (xy -4.212581 0.115808) + (xy -4.204954 0.053882) + (xy -4.19656 -0.014362) + (xy -4.18745 -0.088505) + (xy -4.177674 -0.168129) + (xy -4.167285 -0.252813) + (xy -4.156334 -0.342141) + (xy -4.144873 -0.435693) + (xy -4.132953 -0.53305) + (xy -4.120625 -0.633794) + (xy -4.107941 -0.737506) + (xy -4.094952 -0.843766) + (xy -4.092257 -0.865822) + (xy -4.087509 -0.90468) + (xy -3.655212 -0.90468) + (xy -3.484498 -0.907211) + (xy -3.433576 -0.908079) + (xy -3.3914 -0.909068) + (xy -3.356979 -0.910226) + (xy -3.329321 -0.911603) + (xy -3.307434 -0.913246) + (xy -3.290325 -0.915207) + (xy -3.277401 -0.917448) + (xy -3.208701 -0.936143) + (xy -3.146963 -0.961645) + (xy -3.092205 -0.993936) + (xy -3.044441 -1.033002) + (xy -3.003688 -1.078826) + (xy -2.969961 -1.131392) + (xy -2.943276 -1.190684) + (xy -2.92365 -1.256686) + (xy -2.918642 -1.280367) + (xy -2.91366 -1.315688) + (xy -2.911021 -1.355331) + (xy -2.910689 -1.396367) + (xy -2.91263 -1.435868) + (xy -2.916808 -1.470904) + (xy -2.92116 -1.491636) + (xy -2.939438 -1.542698) + (xy -2.965381 -1.587887) + (xy -2.998651 -1.626875) + (xy -3.038907 -1.659337) + (xy -3.08581 -1.684946) + (xy -3.139021 -1.703375) + (xy -3.142252 -1.704207) + (xy -3.167509 -1.709861) + (xy -3.194779 -1.714416) + (xy -3.225307 -1.717963) + (xy -3.260341 -1.720589) + (xy -3.301124 -1.722384) + (xy -3.348904 -1.723438) + (xy -3.404927 -1.72384) + (xy -3.416563 -1.72385) + (xy -3.456949 -1.723814) + (xy -3.488643 -1.723663) + (xy -3.512692 -1.723333) + (xy -3.530141 -1.72276) + (xy -3.542038 -1.721879) + (xy -3.549429 -1.720626) + (xy -3.553361 -1.718936) + (xy -3.55488 -1.716745) + (xy -3.555066 -1.715328) + (xy -3.555692 -1.709286) + (xy -3.557437 -1.69431) + (xy -3.560211 -1.671132) + (xy -3.563924 -1.64048) + (xy -3.568488 -1.603085) + (xy -3.573812 -1.559678) + (xy -3.579808 -1.510989) + (xy -3.586385 -1.457748) + (xy -3.593454 -1.400686) + (xy -3.600926 -1.340533) + (xy -3.605257 -1.305743) + (xy -3.655212 -0.90468) + (xy -4.087509 -0.90468) + (xy -3.946899 -2.055475) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 897a5bdf-471c-4436-af76-640e9fd81eed)) + (fp_poly + (pts + (xy 0.418757 -2.053674) + (xy 0.494557 -2.053344) + (xy 0.561352 -2.053023) + (xy 0.619879 -2.052676) + (xy 0.670874 -2.052271) + (xy 0.715071 -2.051772) + (xy 0.753206 -2.051148) + (xy 0.786015 -2.050363) + (xy 0.814234 -2.049385) + (xy 0.838596 -2.048181) + (xy 0.859839 -2.046715) + (xy 0.878697 -2.044956) + (xy 0.895907 -2.042868) + (xy 0.912202 -2.04042) + (xy 0.92832 -2.037577) + (xy 0.944995 -2.034305) + (xy 0.962963 -2.030571) + (xy 0.973493 -2.028344) + (xy 1.053611 -2.007511) + (xy 1.127508 -1.980378) + (xy 1.194828 -1.947186) + (xy 1.255215 -1.90818) + (xy 1.308312 -1.863603) + (xy 1.353765 -1.813697) + (xy 1.391217 -1.758706) + (xy 1.404757 -1.733589) + (xy 1.426373 -1.685769) + (xy 1.442549 -1.638886) + (xy 1.453903 -1.590344) + (xy 1.461053 -1.537545) + (xy 1.464464 -1.482526) + (xy 1.463219 -1.390626) + (xy 1.452947 -1.302309) + (xy 1.433587 -1.217367) + (xy 1.405084 -1.13559) + (xy 1.367378 -1.05677) + (xy 1.328873 -0.993175) + (xy 1.307438 -0.964156) + (xy 1.279941 -0.931722) + (xy 1.248392 -0.897908) + (xy 1.2148 -0.864747) + (xy 1.181178 -0.834273) + (xy 1.149534 -0.808519) + (xy 1.137996 -0.800076) + (xy 1.105511 -0.778904) + (xy 1.067597 -0.75692) + (xy 1.027304 -0.735707) + (xy 0.987683 -0.716848) + (xy 0.951782 -0.701927) + (xy 0.942274 -0.698482) + (xy 0.924289 -0.692134) + (xy 0.910145 -0.686938) + (xy 0.902123 -0.683741) + (xy 0.901176 -0.683244) + (xy 0.90312 -0.679659) + (xy 0.910791 -0.673426) + (xy 0.910915 -0.67334) + (xy 0.928358 -0.659478) + (xy 0.948628 -0.640607) + (xy 0.968877 -0.619635) + (xy 0.986257 -0.599468) + (xy 0.995301 -0.587218) + (xy 0.998855 -0.580666) + (xy 1.006269 -0.566047) + (xy 1.017195 -0.54408) + (xy 1.031287 -0.515482) + (xy 1.048199 -0.480971) + (xy 1.067584 -0.441265) + (xy 1.089095 -0.397083) + (xy 1.112387 -0.349141) + (xy 1.137113 -0.298159) + (xy 1.162926 -0.244853) + (xy 1.18948 -0.189943) + (xy 1.216428 -0.134145) + (xy 1.243424 -0.078177) + (xy 1.270121 -0.022759) + (xy 1.296174 0.031393) + (xy 1.321235 0.08356) + (xy 1.344958 0.133025) + (xy 1.366996 0.17907) + (xy 1.387004 0.220976) + (xy 1.404635 0.258026) + (xy 1.419541 0.289502) + (xy 1.431377 0.314685) + (xy 1.439797 0.332859) + (xy 1.444453 0.343305) + (xy 1.444951 0.344527) + (xy 1.440988 0.346229) + (xy 1.428447 0.34768) + (xy 1.408485 0.348885) + (xy 1.38226 0.349846) + (xy 1.350929 0.350567) + (xy 1.315651 0.35105) + (xy 1.277582 0.351298) + (xy 1.237881 0.351314) + (xy 1.197704 0.351102) + (xy 1.15821 0.350665) + (xy 1.120557 0.350006) + (xy 1.085901 0.349127) + (xy 1.0554 0.348033) + (xy 1.030213 0.346725) + (xy 1.011496 0.345207) + (xy 1.000407 0.343483) + (xy 0.9999 0.343341) + (xy 0.969746 0.329964) + (xy 0.94303 0.309684) + (xy 0.92252 0.284697) + (xy 0.919454 0.279416) + (xy 0.915513 0.271408) + (xy 0.907898 0.255217) + (xy 0.896927 0.231542) + (xy 0.882921 0.201084) + (xy 0.866197 0.164543) + (xy 0.847076 0.122617) + (xy 0.825877 0.076007) + (xy 0.802919 0.025412) + (xy 0.778522 -0.028467) + (xy 0.753004 -0.084932) + (xy 0.736153 -0.122276) + (xy 0.710083 -0.180056) + (xy 0.684935 -0.235721) + (xy 0.66103 -0.288569) + (xy 0.638685 -0.337899) + (xy 0.61822 -0.383008) + (xy 0.599954 -0.423195) + (xy 0.584206 -0.457758) + (xy 0.571294 -0.485995) + (xy 0.561537 -0.507204) + (xy 0.555255 -0.520684) + (xy 0.553203 -0.524934) + (xy 0.542528 -0.542679) + (xy 0.529608 -0.559742) + (xy 0.523424 -0.566412) + (xy 0.51302 -0.575485) + (xy 0.501823 -0.582566) + (xy 0.48851 -0.587892) + (xy 0.471757 -0.591702) + (xy 0.450241 -0.594232) + (xy 0.422637 -0.595719) + (xy 0.387624 -0.596403) + (xy 0.355542 -0.59653) + (xy 0.250904 -0.59653) + (xy 0.220981 -0.353048) + (xy 0.213756 -0.294238) + (xy 0.205757 -0.229109) + (xy 0.197295 -0.160186) + (xy 0.18868 -0.089996) + (xy 0.180222 -0.021064) + (xy 0.17223 0.044086) + (xy 0.165015 0.102928) + (xy 0.162859 0.120524) + (xy 0.134658 0.350614) + (xy -0.081803 0.350614) + (xy -0.298265 0.350614) + (xy -0.295739 0.337222) + (xy -0.294929 0.331244) + (xy -0.292991 0.316018) + (xy -0.289976 0.291961) + (xy -0.285936 0.259493) + (xy -0.280922 0.219033) + (xy -0.274986 0.170998) + (xy -0.268179 0.115808) + (xy -0.260552 0.053882) + (xy -0.252158 -0.014362) + (xy -0.243048 -0.088505) + (xy -0.233272 -0.168129) + (xy -0.222883 -0.252813) + (xy -0.211933 -0.342141) + (xy -0.200471 -0.435693) + (xy -0.188551 -0.53305) + (xy -0.176223 -0.633794) + (xy -0.163539 -0.737506) + (xy -0.15055 -0.843766) + (xy -0.147855 -0.865822) + (xy -0.143107 -0.90468) + (xy 0.28919 -0.90468) + (xy 0.459904 -0.907211) + (xy 0.510826 -0.908079) + (xy 0.553002 -0.909068) + (xy 0.587423 -0.910226) + (xy 0.615081 -0.911603) + (xy 0.636968 -0.913246) + (xy 0.654077 -0.915207) + (xy 0.667 -0.917448) + (xy 0.735701 -0.936143) + (xy 0.797439 -0.961645) + (xy 0.852197 -0.993936) + (xy 0.899961 -1.033002) + (xy 0.940714 -1.078826) + (xy 0.974441 -1.131392) + (xy 1.001126 -1.190684) + (xy 1.020752 -1.256686) + (xy 1.02576 -1.280367) + (xy 1.030742 -1.315688) + (xy 1.033381 -1.355331) + (xy 1.033713 -1.396367) + (xy 1.031772 -1.435868) + (xy 1.027594 -1.470904) + (xy 1.023242 -1.491636) + (xy 1.004964 -1.542698) + (xy 0.979021 -1.587887) + (xy 0.945751 -1.626875) + (xy 0.905495 -1.659337) + (xy 0.858592 -1.684946) + (xy 0.80538 -1.703375) + (xy 0.80215 -1.704207) + (xy 0.776893 -1.709861) + (xy 0.749623 -1.714416) + (xy 0.719095 -1.717963) + (xy 0.684061 -1.720589) + (xy 0.643278 -1.722384) + (xy 0.595498 -1.723438) + (xy 0.539475 -1.72384) + (xy 0.527839 -1.72385) + (xy 0.487453 -1.723814) + (xy 0.455758 -1.723663) + (xy 0.43171 -1.723333) + (xy 0.414261 -1.72276) + (xy 0.402364 -1.721879) + (xy 0.394973 -1.720626) + (xy 0.391041 -1.718936) + (xy 0.389521 -1.716745) + (xy 0.389335 -1.715328) + (xy 0.388709 -1.709286) + (xy 0.386965 -1.69431) + (xy 0.384191 -1.671132) + (xy 0.380478 -1.64048) + (xy 0.375914 -1.603085) + (xy 0.37059 -1.559678) + (xy 0.364594 -1.510989) + (xy 0.358017 -1.457748) + (xy 0.350948 -1.400686) + (xy 0.343475 -1.340533) + (xy 0.339145 -1.305743) + (xy 0.28919 -0.90468) + (xy -0.143107 -0.90468) + (xy -0.002497 -2.055475) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f9002e03-adf2-4116-95ca-c62cd36f5304)) + (fp_poly + (pts + (xy -1.105112 -2.08007) + (xy -1.019506 -2.068187) + (xy -0.939595 -2.04844) + (xy -0.865324 -2.020807) + (xy -0.796642 -1.985269) + (xy -0.733498 -1.941806) + (xy -0.675838 -1.8904) + (xy -0.669411 -1.883827) + (xy -0.623734 -1.830624) + (xy -0.58636 -1.774028) + (xy -0.556657 -1.71284) + (xy -0.533993 -1.645857) + (xy -0.525014 -1.609413) + (xy -0.521507 -1.585795) + (xy -0.519362 -1.554919) + (xy -0.51853 -1.519165) + (xy -0.518962 -1.480912) + (xy -0.52061 -1.44254) + (xy -0.523425 -1.406428) + (xy -0.52736 -1.374956) + (xy -0.530101 -1.359924) + (xy -0.550846 -1.283698) + (xy -0.579496 -1.213156) + (xy -0.616023 -1.148331) + (xy -0.660403 -1.089254) + (xy -0.712608 -1.035956) + (xy -0.772614 -0.988468) + (xy -0.840393 -0.946823) + (xy -0.895798 -0.91967) + (xy -0.914811 -0.911067) + (xy -0.925994 -0.905343) + (xy -0.930494 -0.901602) + (xy -0.929459 -0.898948) + (xy -0.925015 -0.896852) + (xy -0.852668 -0.865187) + (xy -0.788604 -0.828401) + (xy -0.732809 -0.786474) + (xy -0.68527 -0.739385) + (xy -0.645971 -0.687112) + (xy -0.614899 -0.629634) + (xy -0.59204 -0.56693) + (xy -0.577378 -0.498978) + (xy -0.570901 -0.425758) + (xy -0.571282 -0.370092) + (xy -0.576322 -0.30348) + (xy -0.585574 -0.24336) + (xy -0.599436 -0.187261) + (xy -0.602151 -0.178357) + (xy -0.63146 -0.100545) + (xy -0.668947 -0.027725) + (xy -0.714292 0.039869) + (xy -0.767175 0.102002) + (xy -0.827276 0.158441) + (xy -0.894275 0.208951) + (xy -0.967853 0.253297) + (xy -1.047689 0.291247) + (xy -1.133464 0.322564) + (xy -1.224857 0.347016) + (xy -1.321548 0.364368) + (xy -1.348157 0.367763) + (xy -1.379042 0.370519) + (xy -1.416568 0.372524) + (xy -1.458194 0.373763) + (xy -1.50138 0.374218) + (xy -1.543583 0.373873) + (xy -1.582265 0.372711) + (xy -1.614883 0.370716) + (xy -1.626457 0.369592) + (xy -1.712626 0.355981) + (xy -1.794475 0.335158) + (xy -1.871335 0.307426) + (xy -1.942533 0.273086) + (xy -2.007399 0.232438) + (xy -2.065262 0.185784) + (xy -2.090345 0.161353) + (xy -2.136595 0.107943) + (xy -2.174256 0.052126) + (xy -2.204131 -0.007613) + (xy -2.227022 -0.072792) + (xy -2.238336 -0.117864) + (xy -2.242505 -0.144376) + (xy -2.245414 -0.178144) + (xy -2.247064 -0.216764) + (xy -2.247456 -0.257834) + (xy -2.246885 -0.284873) + (xy -1.831619 -0.284873) + (xy -1.829096 -0.229364) + (xy -1.821278 -0.180843) + (xy -1.807598 -0.137785) + (xy -1.787489 -0.098662) + (xy -1.760384 -0.06195) + (xy -1.740948 -0.04087) + (xy -1.703473 -0.007904) + (xy -1.662528 0.018138) + (xy -1.616694 0.03792) + (xy -1.564549 0.052102) + (xy -1.527755 0.058469) + (xy -1.501721 0.06066) + (xy -1.469095 0.061177) + (xy -1.432851 0.06016) + (xy -1.395965 0.057748) + (xy -1.361412 0.054083) + (xy -1.332167 0.049303) + (xy -1.331844 0.049236) + (xy -1.267752 0.031627) + (xy -1.208899 0.006725) + (xy -1.155933 -0.025065) + (xy -1.109501 -0.063339) + (xy -1.07025 -0.107694) + (xy -1.062136 -0.118959) + (xy -1.032686 -0.16746) + (xy -1.010485 -0.217872) + (xy -0.995108 -0.2717) + (xy -0.98613 -0.330453) + (xy -0.983127 -0.395637) + (xy -0.983126 -0.39931) + (xy -0.986164 -0.456843) + (xy -0.995304 -0.50759) + (xy -1.010949 -0.552662) + (xy -1.033502 -0.593171) + (xy -1.063364 -0.630227) + (xy -1.068871 -0.635933) + (xy -1.106157 -0.668605) + (xy -1.14723 -0.694538) + (xy -1.192998 -0.714044) + (xy -1.244367 -0.727439) + (xy -1.302242 -0.735037) + (xy -1.361062 -0.737178) + (xy -1.427661 -0.734505) + (xy -1.487795 -0.726272) + (xy -1.543284 -0.712041) + (xy -1.595945 -0.691378) + (xy -1.634088 -0.671731) + (xy -1.661692 -0.65353) + (xy -1.690848 -0.629937) + (xy -1.71914 -0.60327) + (xy -1.744149 -0.575848) + (xy -1.763456 -0.549987) + (xy -1.766862 -0.54446) + (xy -1.795401 -0.486586) + (xy -1.815653 -0.424193) + (xy -1.827698 -0.356958) + (xy -1.831619 -0.284873) + (xy -2.246885 -0.284873) + (xy -2.246588 -0.298952) + (xy -2.244463 -0.337716) + (xy -2.241079 -0.371723) + (xy -2.238311 -0.38957) + (xy -2.219155 -0.468599) + (xy -2.192134 -0.541896) + (xy -2.157291 -0.609413) + (xy -2.11467 -0.671101) + (xy -2.064315 -0.72691) + (xy -2.006269 -0.776792) + (xy -1.940578 -0.820697) + (xy -1.867284 -0.858578) + (xy -1.790529 -0.888981) + (xy -1.737903 -0.907127) + (xy -1.77771 -0.928397) + (xy -1.805118 -0.943758) + (xy -1.828281 -0.958686) + (xy -1.850087 -0.975322) + (xy -1.873424 -0.995809) + (xy -1.891064 -1.012475) + (xy -1.933502 -1.060001) + (xy -1.968349 -1.113319) + (xy -1.995437 -1.171912) + (xy -2.014594 -1.235262) + (xy -2.025652 -1.302853) + (xy -2.027681 -1.354778) + (xy -1.638992 -1.354778) + (xy -1.637475 -1.319713) + (xy -1.634368 -1.289028) + (xy -1.629955 -1.266456) + (xy -1.611343 -1.215711) + (xy -1.585339 -1.171141) + (xy -1.552373 -1.133117) + (xy -1.512872 -1.102009) + (xy -1.467267 -1.078186) + (xy -1.415985 -1.06202) + (xy -1.387084 -1.056743) + (xy -1.358964 -1.054399) + (xy -1.324666 -1.053984) + (xy -1.287523 -1.055329) + (xy -1.250869 -1.058265) + (xy -1.218035 -1.062622) + (xy -1.199811 -1.066257) + (xy -1.1422 -1.084702) + (xy -1.090271 -1.110816) + (xy -1.044326 -1.144151) + (xy -1.004667 -1.18426) + (xy -0.971595 -1.230694) + (xy -0.945411 -1.283004) + (xy -0.926418 -1.340743) + (xy -0.914916 -1.403463) + (xy -0.911208 -1.470715) + (xy -0.91177 -1.494388) + (xy -0.918196 -1.551628) + (xy -0.932254 -1.602861) + (xy -0.953881 -1.648006) + (xy -0.983015 -1.686982) + (xy -1.019593 -1.719707) + (xy -1.063553 -1.746101) + (xy -1.114832 -1.766083) + (xy -1.122621 -1.768372) + (xy -1.154899 -1.77496) + (xy -1.193537 -1.778811) + (xy -1.235549 -1.779922) + (xy -1.277948 -1.778291) + (xy -1.317746 -1.773914) + (xy -1.345037 -1.768598) + (xy -1.405692 -1.749312) + (xy -1.459826 -1.722802) + (xy -1.507343 -1.689166) + (xy -1.548143 -1.648501) + (xy -1.582129 -1.600905) + (xy -1.609203 -1.546476) + (xy -1.629267 -1.485312) + (xy -1.629306 -1.485157) + (xy -1.634074 -1.459414) + (xy -1.637283 -1.427314) + (xy -1.638925 -1.39154) + (xy -1.638992 -1.354778) + (xy -2.027681 -1.354778) + (xy -2.028439 -1.374167) + (xy -2.025759 -1.422015) + (xy -2.014071 -1.5022) + (xy -1.994068 -1.577731) + (xy -1.965529 -1.649058) + (xy -1.928234 -1.716633) + (xy -1.881962 -1.78091) + (xy -1.826492 -1.842338) + (xy -1.814608 -1.854001) + (xy -1.749823 -1.909689) + (xy -1.678946 -1.958014) + (xy -1.602463 -1.998795) + (xy -1.52086 -2.03185) + (xy -1.434621 -2.057) + (xy -1.344234 -2.074064) + (xy -1.250184 -2.082859) + (xy -1.196463 -2.084107) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp aae9027a-a80f-4301-b330-8fe376cd3c15)) + (fp_poly + (pts + (xy -5.124294 -2.081701) + (xy -5.083722 -2.081044) + (xy -5.046594 -2.079888) + (xy -5.014792 -2.078234) + (xy -4.990197 -2.07608) + (xy -4.984068 -2.075273) + (xy -4.882734 -2.056177) + (xy -4.787689 -2.029776) + (xy -4.698597 -1.995907) + (xy -4.615124 -1.954405) + (xy -4.536932 -1.905103) + (xy -4.463686 -1.847838) + (xy -4.401298 -1.788924) + (xy -4.368797 -1.755502) + (xy -4.436967 -1.667849) + (xy -4.466778 -1.629775) + (xy -4.491673 -1.598804) + (xy -4.512533 -1.574208) + (xy -4.530235 -1.555262) + (xy -4.545659 -1.541239) + (xy -4.559684 -1.531413) + (xy -4.573188 -1.525057) + (xy -4.58705 -1.521445) + (xy -4.602149 -1.51985) + (xy -4.616411 -1.519539) + (xy -4.632178 -1.520048) + (xy -4.646355 -1.52205) + (xy -4.660606 -1.52626) + (xy -4.676594 -1.533389) + (xy -4.695983 -1.544152) + (xy -4.720438 -1.559263) + (xy -4.743022 -1.573824) + (xy -4.781546 -1.598431) + (xy -4.814283 -1.618207) + (xy -4.843189 -1.634226) + (xy -4.87022 -1.647565) + (xy -4.897332 -1.659299) + (xy -4.901229 -1.660869) + (xy -4.967008 -1.682928) + (xy -5.038297 -1.699202) + (xy -5.112517 -1.709334) + (xy -5.187095 -1.712967) + (xy -5.256784 -1.709999) + (xy -5.318449 -1.702755) + (xy -5.373399 -1.692654) + (xy -5.42443 -1.678935) + (xy -5.474339 -1.660838) + (xy -5.525921 -1.637602) + (xy -5.529467 -1.635862) + (xy -5.603085 -1.594203) + (xy -5.67123 -1.544625) + (xy -5.733715 -1.487385) + (xy -5.790353 -1.422744) + (xy -5.840958 -1.350961) + (xy -5.885342 -1.272295) + (xy -5.92332 -1.187007) + (xy -5.954704 -1.095354) + (xy -5.975134 -1.01676) + (xy -5.985383 -0.965894) + (xy -5.993519 -0.912769) + (xy -5.999869 -0.854903) + (xy -6.004696 -0.790806) + (xy -6.007194 -0.694168) + (xy -6.002608 -0.602098) + (xy -5.991107 -0.514928) + (xy -5.972859 -0.432991) + (xy -5.948033 -0.35662) + (xy -5.916797 -0.286148) + (xy -5.879319 -0.221907) + (xy -5.835768 -0.16423) + (xy -5.786312 -0.113449) + (xy -5.73112 -0.069898) + (xy -5.67036 -0.033909) + (xy -5.607982 -0.007152) + (xy -5.543908 0.011593) + (xy -5.475947 0.02325) + (xy -5.403111 0.027924) + (xy -5.324414 0.025721) + (xy -5.310334 0.024666) + (xy -5.215301 0.012492) + (xy -5.123828 -0.008197) + (xy -5.03671 -0.037203) + (xy -4.998204 -0.053334) + (xy -4.963643 -0.068813) + (xy -4.936022 -0.293714) + (xy -4.930415 -0.339449) + (xy -4.925195 -0.382209) + (xy -4.920476 -0.421026) + (xy -4.916377 -0.454933) + (xy -4.913013 -0.482962) + (xy -4.910501 -0.504143) + (xy -4.908958 -0.51751) + (xy -4.908494 -0.522069) + (xy -4.913193 -0.522965) + (xy -4.926457 -0.523865) + (xy -4.947114 -0.524732) + (xy -4.97399 -0.525527) + (xy -5.005912 -0.526213) + (xy -5.041707 -0.52675) + (xy -5.0592 -0.526938) + (xy -5.101598 -0.527365) + (xy -5.135364 -0.527813) + (xy -5.161604 -0.528358) + (xy -5.181426 -0.529078) + (xy -5.195935 -0.53005) + (xy -5.20624 -0.531351) + (xy -5.213446 -0.533059) + (xy -5.218661 -0.535251) + (xy -5.222991 -0.538003) + (xy -5.22355 -0.538408) + (xy -5.235178 -0.549844) + (xy -5.245128 -0.564257) + (xy -5.245849 -0.565649) + (xy -5.248342 -0.571072) + (xy -5.250127 -0.576779) + (xy -5.251154 -0.583976) + (xy -5.251376 -0.593871) + (xy -5.250743 -0.607669) + (xy -5.249207 -0.626579) + (xy -5.246719 -0.651807) + (xy -5.24323 -0.68456) + (xy -5.239762 -0.716293) + (xy -5.235938 -0.751182) + (xy -5.232486 -0.782754) + (xy -5.229542 -0.80976) + (xy -5.227243 -0.830952) + (xy -5.225724 -0.845079) + (xy -5.22512 -0.850893) + (xy -5.225115 -0.850968) + (xy -5.220369 -0.851161) + (xy -5.206627 -0.851345) + (xy -5.184632 -0.851517) + (xy -5.155126 -0.851674) + (xy -5.118853 -0.851815) + (xy -5.076555 -0.851937) + (xy -5.028976 -0.852037) + (xy -4.976859 -0.852113) + (xy -4.920945 -0.852163) + (xy -4.86198 -0.852185) + (xy -4.850153 -0.852185) + (xy -4.785978 -0.85213) + (xy -4.726092 -0.851969) + (xy -4.671113 -0.851708) + (xy -4.621654 -0.851357) + (xy -4.578332 -0.85092) + (xy -4.541761 -0.850406) + (xy -4.512556 -0.849822) + (xy -4.491333 -0.849175) + (xy -4.478706 -0.848472) + (xy -4.475192 -0.84783) + (xy -4.475778 -0.842481) + (xy -4.477481 -0.82813) + (xy -4.48022 -0.805439) + (xy -4.483914 -0.77507) + (xy -4.488482 -0.737687) + (xy -4.493842 -0.693951) + (xy -4.499913 -0.644527) + (xy -4.506614 -0.590075) + (xy -4.513863 -0.531259) + (xy -4.52158 -0.468741) + (xy -4.529683 -0.403185) + (xy -4.533627 -0.371303) + (xy -4.54189 -0.30446) + (xy -4.549805 -0.240288) + (xy -4.557291 -0.179453) + (xy -4.564265 -0.122625) + (xy -4.570647 -0.070472) + (xy -4.576355 -0.023663) + (xy -4.581307 0.017135) + (xy -4.585423 0.051251) + (xy -4.58862 0.078019) + (xy -4.590818 0.096769) + (xy -4.591935 0.106833) + (xy -4.592063 0.108367) + (xy -4.596123 0.114331) + (xy -4.607505 0.12421) + (xy -4.625015 0.137198) + (xy -4.647458 0.152488) + (xy -4.673638 0.169272) + (xy -4.70236 0.186745) + (xy -4.732429 0.204098) + (xy -4.740587 0.208638) + (xy -4.834466 0.255182) + (xy -4.933919 0.294215) + (xy -5.03921 0.32582) + (xy -5.150602 0.350079) + (xy -5.234854 0.36306) + (xy -5.265285 0.366211) + (xy -5.302382 0.368808) + (xy -5.344297 0.370829) + (xy -5.389183 0.372254) + (xy -5.435191 0.37306) + (xy -5.480475 0.373226) + (xy -5.523185 0.372731) + (xy -5.561476 0.371554) + (xy -5.593499 0.369672) + (xy -5.614686 0.367464) + (xy -5.711175 0.349377) + (xy -5.80296 0.322889) + (xy -5.889792 0.288262) + (xy -5.971421 0.245755) + (xy -6.047598 0.195631) + (xy -6.118072 0.138149) + (xy -6.182594 0.073571) + (xy -6.240914 0.002157) + (xy -6.292783 -0.075832) + (xy -6.337951 -0.160135) + (xy -6.376167 -0.250491) + (xy -6.407184 -0.346641) + (xy -6.43075 -0.448322) + (xy -6.438398 -0.492822) + (xy -6.44186 -0.516653) + (xy -6.444519 -0.539018) + (xy -6.446478 -0.561785) + (xy -6.447837 -0.586825) + (xy -6.448699 -0.616008) + (xy -6.449165 -0.651203) + (xy -6.449337 -0.693922) + (xy -6.448542 -0.766107) + (xy -6.445775 -0.831077) + (xy -6.440754 -0.891209) + (xy -6.433195 -0.948881) + (xy -6.422816 -1.00647) + (xy -6.409335 -1.066353) + (xy -6.40129 -1.098102) + (xy -6.367311 -1.211128) + (xy -6.32611 -1.317763) + (xy -6.277492 -1.418361) + (xy -6.221263 -1.513274) + (xy -6.157227 -1.602856) + (xy -6.085189 -1.68746) + (xy -6.062875 -1.711009) + (xy -5.983672 -1.786021) + (xy -5.899595 -1.852718) + (xy -5.810521 -1.911163) + (xy -5.716328 -1.961418) + (xy -5.616893 -2.003542) + (xy -5.512092 -2.037599) + (xy -5.401802 -2.06365) + (xy -5.334682 -2.075203) + (xy -5.312958 -2.077528) + (xy -5.28339 -2.079356) + (xy -5.247857 -2.080688) + (xy -5.208243 -2.081523) + (xy -5.166428 -2.081861) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1c5d2a37-5c45-420b-a1d3-31eb67b46a1d)) + (fp_poly + (pts + (xy 4.333858 -2.058834) + (xy 4.386134 -2.058459) + (xy 4.429586 -2.058039) + (xy 4.465129 -2.057437) + (xy 4.493679 -2.056517) + (xy 4.516151 -2.05514) + (xy 4.533459 -2.053169) + (xy 4.546519 -2.050468) + (xy 4.556247 -2.046899) + (xy 4.563556 -2.042325) + (xy 4.569363 -2.036608) + (xy 4.574582 -2.029611) + (xy 4.579617 -2.021979) + (xy 4.582621 -2.015359) + (xy 4.588725 -2.000096) + (xy 4.597737 -1.976714) + (xy 4.609462 -1.945735) + (xy 4.623709 -1.907682) + (xy 4.640285 -1.863079) + (xy 4.658996 -1.812448) + (xy 4.679651 -1.756313) + (xy 4.702055 -1.695196) + (xy 4.726017 -1.629621) + (xy 4.751344 -1.560111) + (xy 4.777842 -1.487188) + (xy 4.805319 -1.411376) + (xy 4.833583 -1.333198) + (xy 4.83583 -1.326974) + (xy 4.870648 -1.230526) + (xy 4.902321 -1.142786) + (xy 4.93101 -1.063302) + (xy 4.956872 -0.991621) + (xy 4.980068 -0.927289) + (xy 5.000758 -0.869853) + (xy 5.019101 -0.818861) + (xy 5.035257 -0.77386) + (xy 5.049384 -0.734397) + (xy 5.061644 -0.700018) + (xy 5.072196 -0.670271) + (xy 5.081198 -0.644702) + (xy 5.088811 -0.622859) + (xy 5.095194 -0.60429) + (xy 5.100508 -0.588539) + (xy 5.10491 -0.575156) + (xy 5.108562 -0.563686) + (xy 5.111623 -0.553678) + (xy 5.114251 -0.544677) + (xy 5.116608 -0.53623) + (xy 5.118852 -0.527886) + (xy 5.121144 -0.519191) + (xy 5.123214 -0.511311) + (xy 5.129218 -0.488708) + (xy 5.134498 -0.469157) + (xy 5.138463 -0.45482) + (xy 5.140474 -0.448006) + (xy 5.143423 -0.449492) + (xy 5.149995 -0.458757) + (xy 5.159583 -0.474808) + (xy 5.171583 -0.49665) + (xy 5.180524 -0.513746) + (xy 5.187614 -0.526793) + (xy 5.199237 -0.547228) + (xy 5.215079 -0.574534) + (xy 5.234825 -0.608193) + (xy 5.258162 -0.647686) + (xy 5.284775 -0.692495) + (xy 5.314351 -0.742102) + (xy 5.346575 -0.795989) + (xy 5.381132 -0.853638) + (xy 5.41771 -0.914529) + (xy 5.455993 -0.978146) + (xy 5.495668 -1.04397) + (xy 5.536421 -1.111482) + (xy 5.577937 -1.180165) + (xy 5.619902 -1.2495) + (xy 5.662003 -1.318969) + (xy 5.703925 -1.388055) + (xy 5.745353 -1.456237) + (xy 5.785974 -1.523) + (xy 5.825474 -1.587823) + (xy 5.863539 -1.65019) + (xy 5.899854 -1.709582) + (xy 5.934105 -1.76548) + (xy 5.965979 -1.817367) + (xy 5.995161 -1.864724) + (xy 6.021336 -1.907033) + (xy 6.044192 -1.943777) + (xy 6.063413 -1.974435) + (xy 6.078686 -1.998492) + (xy 6.089696 -2.015427) + (xy 6.09613 -2.024724) + (xy 6.097302 -2.026139) + (xy 6.105449 -2.034044) + (xy 6.113437 -2.040607) + (xy 6.122222 -2.045953) + (xy 6.13276 -2.050205) + (xy 6.146006 -2.05349) + (xy 6.162918 -2.055931) + (xy 6.184449 -2.057654) + (xy 6.211557 -2.058782) + (xy 6.245197 -2.059441) + (xy 6.286324 -2.059756) + (xy 6.335895 -2.05985) + (xy 6.355737 -2.059854) + (xy 6.404367 -2.059832) + (xy 6.444143 -2.059739) + (xy 6.475948 -2.059537) + (xy 6.500666 -2.059186) + (xy 6.519183 -2.058649) + (xy 6.532383 -2.057885) + (xy 6.541149 -2.056857) + (xy 6.546367 -2.055525) + (xy 6.54892 -2.053851) + (xy 6.549693 -2.051795) + (xy 6.549712 -2.051332) + (xy 6.549134 -2.045766) + (xy 6.547431 -2.03107) + (xy 6.544665 -2.007746) + (xy 6.540896 -1.976295) + (xy 6.536186 -1.937218) + (xy 6.530598 -1.891017) + (xy 6.524191 -1.838194) + (xy 6.517028 -1.77925) + (xy 6.50917 -1.714686) + (xy 6.500679 -1.645004) + (xy 6.491616 -1.570705) + (xy 6.482043 -1.49229) + (xy 6.472021 -1.410262) + (xy 6.461612 -1.325121) + (xy 6.450877 -1.237369) + (xy 6.439878 -1.147508) + (xy 6.428676 -1.056038) + (xy 6.417332 -0.963462) + (xy 6.405909 -0.870281) + (xy 6.394467 -0.776995) + (xy 6.383069 -0.684108) + (xy 6.371775 -0.592119) + (xy 6.360647 -0.501531) + (xy 6.349747 -0.412844) + (xy 6.339136 -0.326561) + (xy 6.328876 -0.243183) + (xy 6.319028 -0.163212) + (xy 6.309653 -0.087147) + (xy 6.300814 -0.015493) + (xy 6.292571 0.051252) + (xy 6.284986 0.112584) + (xy 6.278121 0.168003) + (xy 6.272037 0.217007) + (xy 6.266796 0.259095) + (xy 6.262459 0.293765) + (xy 6.259087 0.320515) + (xy 6.256742 0.338845) + (xy 6.255486 0.348253) + (xy 6.255287 0.349453) + (xy 6.250327 0.349704) + (xy 6.23668 0.349874) + (xy 6.215401 0.349964) + (xy 6.187543 0.349974) + (xy 6.154158 0.349903) + (xy 6.116299 0.349753) + (xy 6.075021 0.349523) + (xy 6.064423 0.349453) + (xy 5.874729 0.348179) + (xy 5.97312 -0.452876) + (xy 5.985323 -0.551961) + (xy 5.997118 -0.647192) + (xy 6.008447 -0.738124) + (xy 6.019252 -0.824309) + (xy 6.029475 -0.9053) + (xy 6.03906 -0.980651) + (xy 6.047948 -1.049914) + (xy 6.056081 -1.112644) + (xy 6.063403 -1.168393) + (xy 6.069855 -1.216715) + (xy 6.075379 -1.257162) + (xy 6.07992 -1.289288) + (xy 6.083417 -1.312647) + (xy 6.085815 -1.326791) + (xy 6.085851 -1.326974) + (xy 6.090749 -1.352282) + (xy 6.094809 -1.373948) + (xy 6.097729 -1.390302) + (xy 6.099205 -1.399671) + (xy 6.099297 -1.401237) + (xy 6.096732 -1.39727) + (xy 6.089413 -1.385391) + (xy 6.0776 -1.366033) + (xy 6.061557 -1.339632) + (xy 6.041546 -1.306621) + (xy 6.017829 -1.267434) + (xy 5.990668 -1.222507) + (xy 5.960327 -1.172274) + (xy 5.927066 -1.117168) + (xy 5.89115 -1.057625) + (xy 5.852839 -0.994079) + (xy 5.812397 -0.926964) + (xy 5.770086 -0.856714) + (xy 5.726169 -0.783765) + (xy 5.684889 -0.715169) + (xy 5.639462 -0.639722) + (xy 5.595216 -0.566348) + (xy 5.552428 -0.4955) + (xy 5.511374 -0.42763) + (xy 5.47233 -0.363192) + (xy 5.435572 -0.302638) + (xy 5.401376 -0.246423) + (xy 5.37002 -0.194999) + (xy 5.341779 -0.14882) + (xy 5.31693 -0.108339) + (xy 5.295748 -0.074008) + (xy 5.278511 -0.046282) + (xy 5.265494 -0.025614) + (xy 5.256974 -0.012455) + (xy 5.253461 -0.0075) + (xy 5.224998 0.017563) + (xy 5.18965 0.036038) + (xy 5.147819 0.047797) + (xy 5.099908 0.052713) + (xy 5.064726 0.052123) + (xy 5.02298 0.047358) + (xy 4.989068 0.038156) + (xy 4.961898 0.023978) + (xy 4.940379 0.004288) + (xy 4.926278 -0.016185) + (xy 4.923315 -0.023059) + (xy 4.917251 -0.038574) + (xy 4.908276 -0.062207) + (xy 4.896583 -0.093433) + (xy 4.882365 -0.131728) + (xy 4.865813 -0.176569) + (xy 4.84712 -0.227431) + (xy 4.826478 -0.283791) + (xy 4.804078 -0.345125) + (xy 4.780114 -0.410909) + (xy 4.754778 -0.480618) + (xy 4.728261 -0.553729) + (xy 4.700755 -0.629718) + (xy 4.672454 -0.70806) + (xy 4.66941 -0.716497) + (xy 4.641209 -0.794563) + (xy 4.613884 -0.870024) + (xy 4.58762 -0.942381) + (xy 4.562599 -1.011135) + (xy 4.539006 -1.075788) + (xy 4.517023 -1.135843) + (xy 4.496835 -1.1908) + (xy 4.478624 -1.240162) + (xy 4.462574 -1.283429) + (xy 4.448868 -1.320105) + (xy 4.437691 -1.349691) + (xy 4.429225 -1.371688) + (xy 4.423654 -1.385597) + (xy 4.421161 -1.390922) + (xy 4.421058 -1.390941) + (xy 4.419773 -1.38431) + (xy 4.418279 -1.36984) + (xy 4.416732 -1.349425) + (xy 4.415288 -1.324958) + (xy 4.41479 -1.3148) + (xy 4.413794 -1.301238) + (xy 4.411656 -1.27847) + (xy 4.408429 -1.246955) + (xy 4.404166 -1.207153) + (xy 4.398919 -1.159524) + (xy 4.392742 -1.104527) + (xy 4.385686 -1.042622) + (xy 4.377804 -0.974269) + (xy 4.36915 -0.899926) + (xy 4.359776 -0.820055) + (xy 4.349734 -0.735113) + (xy 4.339078 -0.645562) + (xy 4.32786 -0.55186) + (xy 4.316133 -0.454467) + (xy 4.315353 -0.448006) + (xy 4.219182 0.348179) + (xy 4.028226 0.349453) + (xy 3.986169 0.349642) + (xy 3.94731 0.349641) + (xy 3.912691 0.349463) + (xy 3.883354 0.349121) + (xy 3.860341 0.348629) + (xy 3.844696 0.347999) + (xy 3.83746 0.347245) + (xy 3.837083 0.347018) + (xy 3.837651 0.341919) + (xy 3.839355 0.327566) + (xy 3.842145 0.30437) + (xy 3.845971 0.272743) + (xy 3.850783 0.233098) + (xy 3.856529 0.185847) + (xy 3.86316 0.131401) + (xy 3.870626 0.070173) + (xy 3.878876 0.002575) + (xy 3.887859 -0.070981) + (xy 3.897526 -0.150084) + (xy 3.907826 -0.23432) + (xy 3.918709 -0.323278) + (xy 3.930125 -0.416547) + (xy 3.942022 -0.513713) + (xy 3.954352 -0.614364) + (xy 3.967063 -0.71809) + (xy 3.980106 -0.824477) + (xy 3.984274 -0.85847) + (xy 4.131654 -2.06025) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 82865054-0bb5-4f06-a3b0-7922b91b9728)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp fecc82aa-174f-4c0c-8027-b539b9f288bf) + (at 126.45 112.6 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb61") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C29" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 334df495-381d-4cfb-9469-5b9b040d75c9) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d6f53d52-91cf-4a24-8aeb-800182af16a2) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3691bd8d-110a-48eb-a9dc-caa9190c49fd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80ec472a-443a-4405-a6f1-7a160282120a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d220369-092a-48ac-ab61-ff3d5b9bc34c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdf98dd9-3405-4778-9583-ae75f131d9a7)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98d39742-130f-4874-82ff-31a42e9dbe87)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3561524e-38e9-43f4-ae47-4b2029167bfd)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0726041b-bb70-4364-8dd8-a2cecf1f3e19)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b738f0cb-0456-461c-a774-9ca720b2a239)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5875217-2d3b-4d3e-9d81-10df71151a5a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de8fdfd8-851a-49f9-82ac-ce250e1d2b68)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7432ccf1-5a70-41c3-9eea-f995fa41a041)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 4eb889f1-c2df-4df6-b136-d60f827cb15d)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 6f246cfc-6b9f-413f-8011-94016ec07642)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp ffc33725-65fc-4bd0-a584-63ce5d9f231d) + (at 91.2495 84.0105) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 27b53f84-5979-4616-a82b-f98ddfb76a46) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp d719d206-390c-47e9-a609-2acfca2b6eae) + ) + (fp_poly + (pts + (xy -1.703758 -2.620626) + (xy -1.699009 -2.614625) + (xy -1.691507 -2.602069) + (xy -1.685222 -2.590243) + (xy -1.660484 -2.530434) + (xy -1.646329 -2.469944) + (xy -1.642722 -2.409334) + (xy -1.649631 -2.349165) + (xy -1.667022 -2.289996) + (xy -1.694861 -2.23239) + (xy -1.707752 -2.211661) + (xy -1.719136 -2.195994) + (xy -1.734401 -2.177124) + (xy -1.752007 -2.15671) + (xy -1.770418 -2.136411) + (xy -1.788094 -2.117885) + (xy -1.803497 -2.102791) + (xy -1.815089 -2.092787) + (xy -1.821063 -2.089504) + (xy -1.827202 -2.092124) + (xy -1.839833 -2.099042) + (xy -1.85641 -2.108852) + (xy -1.859195 -2.110557) + (xy -1.877054 -2.121946) + (xy -1.892028 -2.13222) + (xy -1.901094 -2.139298) + (xy -1.901502 -2.139691) + (xy -1.908867 -2.152521) + (xy -1.90804 -2.168821) + (xy -1.898799 -2.189469) + (xy -1.883169 -2.212413) + (xy -1.853778 -2.257705) + (xy -1.834939 -2.302559) + (xy -1.826575 -2.347667) + (xy -1.82861 -2.393722) + (xy -1.840968 -2.441418) + (xy -1.854413 -2.473446) + (xy -1.867113 -2.502329) + (xy -1.873804 -2.524017) + (xy -1.874693 -2.540011) + (xy -1.869984 -2.551812) + (xy -1.865747 -2.556473) + (xy -1.857356 -2.561643) + (xy -1.841716 -2.569231) + (xy -1.82091 -2.578414) + (xy -1.797021 -2.588365) + (xy -1.772133 -2.59826) + (xy -1.748329 -2.607274) + (xy -1.727692 -2.614582) + (xy -1.712305 -2.619359) + (xy -1.704251 -2.620779) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 09960e68-21f7-4e5c-96f8-494355d2d273)) + (fp_poly + (pts + (xy -5.218338 -2.175285) + (xy -5.183145 -2.164596) + (xy -5.154546 -2.148276) + (xy -5.153656 -2.147574) + (xy -5.151657 -2.142059) + (xy -5.151786 -2.12964) + (xy -5.154136 -2.109252) + (xy -5.158802 -2.079833) + (xy -5.160614 -2.069397) + (xy -5.165791 -2.04071) + (xy -5.170829 -2.014069) + (xy -5.175209 -1.992124) + (xy -5.178416 -1.977529) + (xy -5.178876 -1.975707) + (xy -5.184389 -1.961452) + (xy -5.193073 -1.952563) + (xy -5.20664 -1.948559) + (xy -5.226802 -1.948959) + (xy -5.255273 -1.953282) + (xy -5.25737 -1.953672) + (xy -5.308986 -1.959088) + (xy -5.35587 -1.954989) + (xy -5.398297 -1.941248) + (xy -5.436539 -1.91774) + (xy -5.470869 -1.88434) + (xy -5.501322 -1.84132) + (xy -5.520345 -1.809571) + (xy -5.520345 -1.516672) + (xy -5.520345 -1.223774) + (xy -5.635241 -1.223774) + (xy -5.750137 -1.223774) + (xy -5.750137 -1.691374) + (xy -5.750137 -2.158973) + (xy -5.66439 -2.158973) + (xy -5.63227 -2.158912) + (xy -5.609058 -2.158574) + (xy -5.59297 -2.157726) + (xy -5.582227 -2.156135) + (xy -5.575047 -2.153568) + (xy -5.569648 -2.149793) + (xy -5.565743 -2.146074) + (xy -5.559138 -2.138851) + (xy -5.554218 -2.131111) + (xy -5.550439 -2.12086) + (xy -5.547255 -2.106103) + (xy -5.544123 -2.084848) + (xy -5.540497 -2.0551) + (xy -5.540196 -2.052523) + (xy -5.533705 -1.99684) + (xy -5.514495 -2.025803) + (xy -5.478082 -2.073549) + (xy -5.437684 -2.113496) + (xy -5.394381 -2.144759) + (xy -5.349257 -2.166455) + (xy -5.337576 -2.170354) + (xy -5.298146 -2.178485) + (xy -5.257536 -2.180021) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp dc7ebed5-a6d6-4863-8835-eef09eb474ad)) + (fp_poly + (pts + (xy -4.475523 -2.175285) + (xy -4.44033 -2.164596) + (xy -4.411731 -2.148276) + (xy -4.410841 -2.147574) + (xy -4.408842 -2.142059) + (xy -4.408971 -2.12964) + (xy -4.411321 -2.109252) + (xy -4.415987 -2.079833) + (xy -4.417798 -2.069397) + (xy -4.422976 -2.04071) + (xy -4.428013 -2.014069) + (xy -4.432394 -1.992124) + (xy -4.435601 -1.977529) + (xy -4.436061 -1.975707) + (xy -4.441574 -1.961452) + (xy -4.450258 -1.952563) + (xy -4.463825 -1.948559) + (xy -4.483987 -1.948959) + (xy -4.512458 -1.953282) + (xy -4.514555 -1.953672) + (xy -4.566171 -1.959088) + (xy -4.613055 -1.954989) + (xy -4.655482 -1.941248) + (xy -4.693724 -1.91774) + (xy -4.728054 -1.88434) + (xy -4.758507 -1.84132) + (xy -4.77753 -1.809571) + (xy -4.77753 -1.516672) + (xy -4.77753 -1.223774) + (xy -4.892426 -1.223774) + (xy -5.007321 -1.223774) + (xy -5.007321 -1.691374) + (xy -5.007321 -2.158973) + (xy -4.921575 -2.158973) + (xy -4.889455 -2.158912) + (xy -4.866243 -2.158574) + (xy -4.850155 -2.157726) + (xy -4.839412 -2.156135) + (xy -4.832232 -2.153568) + (xy -4.826833 -2.149793) + (xy -4.822928 -2.146074) + (xy -4.816323 -2.138851) + (xy -4.811403 -2.131111) + (xy -4.807623 -2.12086) + (xy -4.80444 -2.106103) + (xy -4.801308 -2.084848) + (xy -4.797682 -2.0551) + (xy -4.797381 -2.052523) + (xy -4.79089 -1.99684) + (xy -4.77168 -2.025803) + (xy -4.735267 -2.073549) + (xy -4.694869 -2.113496) + (xy -4.651566 -2.144759) + (xy -4.606442 -2.166455) + (xy -4.594761 -2.170354) + (xy -4.555331 -2.178485) + (xy -4.514721 -2.180021) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp abe0a596-1043-429d-9ceb-bead55885dbc)) + (fp_poly + (pts + (xy 3.134323 -2.175285) + (xy 3.169517 -2.164596) + (xy 3.198115 -2.148276) + (xy 3.199005 -2.147574) + (xy 3.201004 -2.142059) + (xy 3.200876 -2.12964) + (xy 3.198526 -2.109252) + (xy 3.193859 -2.079833) + (xy 3.192048 -2.069397) + (xy 3.18687 -2.04071) + (xy 3.181833 -2.014069) + (xy 3.177452 -1.992124) + (xy 3.174246 -1.977529) + (xy 3.173786 -1.975707) + (xy 3.168272 -1.961452) + (xy 3.159588 -1.952563) + (xy 3.146022 -1.948559) + (xy 3.125859 -1.948959) + (xy 3.097389 -1.953282) + (xy 3.095292 -1.953672) + (xy 3.043676 -1.959088) + (xy 2.996791 -1.954989) + (xy 2.954364 -1.941248) + (xy 2.916122 -1.91774) + (xy 2.881792 -1.88434) + (xy 2.85134 -1.84132) + (xy 2.832317 -1.809571) + (xy 2.832317 -1.516672) + (xy 2.832317 -1.223774) + (xy 2.717421 -1.223774) + (xy 2.602525 -1.223774) + (xy 2.602525 -1.691374) + (xy 2.602525 -2.158973) + (xy 2.688272 -2.158973) + (xy 2.720391 -2.158912) + (xy 2.743604 -2.158574) + (xy 2.759691 -2.157726) + (xy 2.770434 -2.156135) + (xy 2.777615 -2.153568) + (xy 2.783013 -2.149793) + (xy 2.786918 -2.146074) + (xy 2.793523 -2.138851) + (xy 2.798443 -2.131111) + (xy 2.802223 -2.12086) + (xy 2.805407 -2.106103) + (xy 2.808539 -2.084848) + (xy 2.812164 -2.0551) + (xy 2.812465 -2.052523) + (xy 2.818957 -1.99684) + (xy 2.838166 -2.025803) + (xy 2.874579 -2.073549) + (xy 2.914978 -2.113496) + (xy 2.95828 -2.144759) + (xy 3.003404 -2.166455) + (xy 3.015086 -2.170354) + (xy 3.054516 -2.178485) + (xy 3.095125 -2.180021) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3fe23975-be81-4838-81d7-93a0f2233ad8)) + (fp_poly + (pts + (xy 5.381401 -2.324637) + (xy 5.381463 -2.273419) + (xy 5.381639 -2.225608) + (xy 5.381918 -2.182239) + (xy 5.382287 -2.144342) + (xy 5.382734 -2.112951) + (xy 5.383246 -2.089098) + (xy 5.383812 -2.073816) + (xy 5.38442 -2.068139) + (xy 5.384451 -2.068125) + (xy 5.389878 -2.071257) + (xy 5.400889 -2.079393) + (xy 5.412507 -2.088663) + (xy 5.460197 -2.123191) + (xy 5.50855 -2.148365) + (xy 5.559415 -2.164779) + (xy 5.614643 -2.173026) + (xy 5.676084 -2.173698) + (xy 5.677559 -2.173631) + (xy 5.736481 -2.166671) + (xy 5.788613 -2.151529) + (xy 5.834276 -2.128002) + (xy 5.873792 -2.095886) + (xy 5.907481 -2.054977) + (xy 5.93219 -2.012301) + (xy 5.939643 -1.997073) + (xy 5.946074 -1.98322) + (xy 5.951565 -1.969851) + (xy 5.956196 -1.956078) + (xy 5.960047 -1.941009) + (xy 5.9632 -1.923755) + (xy 5.965733 -1.903425) + (xy 5.967728 -1.879128) + (xy 5.969265 -1.849976) + (xy 5.970425 -1.815077) + (xy 5.971288 -1.773542) + (xy 5.971935 -1.724481) + (xy 5.972446 -1.667002) + (xy 5.972901 -1.600216) + (xy 5.973158 -1.55911) + (xy 5.975238 -1.223774) + (xy 5.860244 -1.223774) + (xy 5.745251 -1.223774) + (xy 5.743686 -1.553888) + (xy 5.742121 -1.884001) + (xy 5.724431 -1.919925) + (xy 5.705964 -1.95067) + (xy 5.684228 -1.972988) + (xy 5.657636 -1.987782) + (xy 5.624599 -1.995959) + (xy 5.586646 -1.998419) + (xy 5.538238 -1.993888) + (xy 5.491887 -1.979559) + (xy 5.446889 -1.955134) + (xy 5.403082 -1.920805) + (xy 5.381401 -1.901218) + (xy 5.381401 -1.562496) + (xy 5.381401 -1.223774) + (xy 5.266506 -1.223774) + (xy 5.15161 -1.223774) + (xy 5.15161 -1.902462) + (xy 5.15161 -2.581149) + (xy 5.266506 -2.581149) + (xy 5.381401 -2.581149) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b2cea226-4cac-4edd-a49f-58db82140c0d)) + (fp_poly + (pts + (xy -2.99798 -2.297917) + (xy -2.99798 -2.148285) + (xy -2.875068 -2.148285) + (xy -2.752156 -2.148285) + (xy -2.752156 -2.068125) + (xy -2.752156 -1.987966) + (xy -2.875256 -1.987966) + (xy -2.998356 -1.987966) + (xy -2.996832 -1.71943) + (xy -2.996473 -1.658844) + (xy -2.996116 -1.608016) + (xy -2.995721 -1.566013) + (xy -2.995249 -1.531907) + (xy -2.99466 -1.504764) + (xy -2.993914 -1.483656) + (xy -2.992972 -1.467651) + (xy -2.991795 -1.455818) + (xy -2.990341 -1.447227) + (xy -2.988573 -1.440946) + (xy -2.986449 -1.436045) + (xy -2.98462 -1.432749) + (xy -2.965662 -1.40948) + (xy -2.942066 -1.395693) + (xy -2.914309 -1.391487) + (xy -2.882867 -1.396959) + (xy -2.858504 -1.406806) + (xy -2.840838 -1.415277) + (xy -2.827172 -1.420311) + (xy -2.816031 -1.42104) + (xy -2.80594 -1.416596) + (xy -2.795425 -1.40611) + (xy -2.783009 -1.388715) + (xy -2.767219 -1.36354) + (xy -2.757244 -1.347184) + (xy -2.723369 -1.29157) + (xy -2.740714 -1.27709) + (xy -2.76162 -1.262628) + (xy -2.789344 -1.247606) + (xy -2.82027 -1.233768) + (xy -2.850781 -1.22286) + (xy -2.856722 -1.221126) + (xy -2.885083 -1.215347) + (xy -2.91973 -1.211497) + (xy -2.957368 -1.209643) + (xy -2.994705 -1.209849) + (xy -3.028446 -1.212181) + (xy -3.055296 -1.216703) + (xy -3.057036 -1.217159) + (xy -3.100885 -1.234065) + (xy -3.139713 -1.259114) + (xy -3.171941 -1.291038) + (xy -3.19599 -1.328566) + (xy -3.196174 -1.328946) + (xy -3.201647 -1.340522) + (xy -3.206297 -1.351478) + (xy -3.210191 -1.362761) + (xy -3.213398 -1.375318) + (xy -3.215983 -1.390096) + (xy -3.218014 -1.408039) + (xy -3.219559 -1.430095) + (xy -3.220684 -1.457211) + (xy -3.221457 -1.490332) + (xy -3.221944 -1.530405) + (xy -3.222213 -1.578376) + (xy -3.222331 -1.635193) + (xy -3.222364 -1.698054) + (xy -3.222428 -1.987966) + (xy -3.280204 -1.987966) + (xy -3.306545 -1.9882) + (xy -3.324476 -1.989139) + (xy -3.336267 -1.99113) + (xy -3.344191 -1.994525) + (xy -3.34943 -1.998632) + (xy -3.358077 -2.010002) + (xy -3.363461 -2.025984) + (xy -3.365937 -2.048449) + (xy -3.365861 -2.079269) + (xy -3.365681 -2.08401) + (xy -3.364044 -2.123943) + (xy -3.323964 -2.130575) + (xy -3.297779 -2.134915) + (xy -3.269674 -2.139585) + (xy -3.248743 -2.143072) + (xy -3.213603 -2.148937) + (xy -3.190472 -2.275531) + (xy -3.183743 -2.311822) + (xy -3.177352 -2.345311) + (xy -3.17163 -2.374329) + (xy -3.166911 -2.397207) + (xy -3.163528 -2.412275) + (xy -3.162241 -2.416983) + (xy -3.156242 -2.428722) + (xy -3.146515 -2.437162) + (xy -3.131513 -2.442775) + (xy -3.109691 -2.446035) + (xy -3.079504 -2.447415) + (xy -3.062373 -2.447549) + (xy -2.99798 -2.447549) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp ee842f31-5e54-438f-b8e8-a500c1425234)) + (fp_poly + (pts + (xy -2.292573 -2.297917) + (xy -2.292573 -2.148285) + (xy -2.169661 -2.148285) + (xy -2.046749 -2.148285) + (xy -2.046749 -2.068125) + (xy -2.046749 -1.987966) + (xy -2.169849 -1.987966) + (xy -2.292949 -1.987966) + (xy -2.291425 -1.71943) + (xy -2.291066 -1.658844) + (xy -2.290709 -1.608016) + (xy -2.290314 -1.566013) + (xy -2.289842 -1.531907) + (xy -2.289253 -1.504764) + (xy -2.288507 -1.483656) + (xy -2.287565 -1.467651) + (xy -2.286387 -1.455818) + (xy -2.284934 -1.447227) + (xy -2.283165 -1.440946) + (xy -2.281042 -1.436045) + (xy -2.279213 -1.432749) + (xy -2.260255 -1.40948) + (xy -2.236659 -1.395693) + (xy -2.208902 -1.391487) + (xy -2.17746 -1.396959) + (xy -2.153096 -1.406806) + (xy -2.135431 -1.415277) + (xy -2.121765 -1.420311) + (xy -2.110624 -1.42104) + (xy -2.100533 -1.416596) + (xy -2.090017 -1.40611) + (xy -2.077602 -1.388715) + (xy -2.061812 -1.36354) + (xy -2.051837 -1.347184) + (xy -2.017962 -1.29157) + (xy -2.035307 -1.27709) + (xy -2.056212 -1.262628) + (xy -2.083937 -1.247606) + (xy -2.114863 -1.233768) + (xy -2.145374 -1.22286) + (xy -2.151315 -1.221126) + (xy -2.179676 -1.215347) + (xy -2.214322 -1.211497) + (xy -2.251961 -1.209643) + (xy -2.289298 -1.209849) + (xy -2.323039 -1.212181) + (xy -2.349889 -1.216703) + (xy -2.351629 -1.217159) + (xy -2.395478 -1.234065) + (xy -2.434306 -1.259114) + (xy -2.466534 -1.291038) + (xy -2.490583 -1.328566) + (xy -2.490767 -1.328946) + (xy -2.49624 -1.340522) + (xy -2.50089 -1.351478) + (xy -2.504784 -1.362761) + (xy -2.507991 -1.375318) + (xy -2.510576 -1.390096) + (xy -2.512607 -1.408039) + (xy -2.514152 -1.430095) + (xy -2.515277 -1.457211) + (xy -2.516049 -1.490332) + (xy -2.516537 -1.530405) + (xy -2.516806 -1.578376) + (xy -2.516924 -1.635193) + (xy -2.516957 -1.698054) + (xy -2.517021 -1.987966) + (xy -2.574797 -1.987966) + (xy -2.601138 -1.9882) + (xy -2.619069 -1.989139) + (xy -2.63086 -1.99113) + (xy -2.638784 -1.994525) + (xy -2.644023 -1.998632) + (xy -2.65267 -2.010002) + (xy -2.658054 -2.025984) + (xy -2.66053 -2.048449) + (xy -2.660454 -2.079269) + (xy -2.660274 -2.08401) + (xy -2.658636 -2.123943) + (xy -2.618556 -2.130575) + (xy -2.592372 -2.134915) + (xy -2.564267 -2.139585) + (xy -2.543336 -2.143072) + (xy -2.508196 -2.148937) + (xy -2.485065 -2.275531) + (xy -2.478336 -2.311822) + (xy -2.471945 -2.345311) + (xy -2.466223 -2.374329) + (xy -2.461504 -2.397207) + (xy -2.458121 -2.412275) + (xy -2.456833 -2.416983) + (xy -2.450835 -2.428722) + (xy -2.441107 -2.437162) + (xy -2.426105 -2.442775) + (xy -2.404284 -2.446035) + (xy -2.374097 -2.447415) + (xy -2.356966 -2.447549) + (xy -2.292573 -2.447549) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 2997d335-fd69-4407-9274-0cf03f64a0c8)) + (fp_poly + (pts + (xy 7.782429 -2.178408) + (xy 7.830365 -2.171641) + (xy 7.873209 -2.15774) + (xy 7.912947 -2.135971) + (xy 7.951563 -2.1056) + (xy 7.968349 -2.089681) + (xy 8.002537 -2.051473) + (xy 8.029745 -2.010883) + (xy 8.051766 -1.964914) + (xy 8.065039 -1.927977) + (xy 8.08178 -1.862682) + (xy 8.092216 -1.792261) + (xy 8.096335 -1.719352) + (xy 8.094125 -1.646589) + (xy 8.085574 -1.576611) + (xy 8.070671 -1.512053) + (xy 8.066921 -1.500005) + (xy 8.041321 -1.436582) + (xy 8.008315 -1.379581) + (xy 7.968548 -1.329652) + (xy 7.922669 -1.287443) + (xy 7.871323 -1.253605) + (xy 7.815156 -1.228785) + (xy 7.78887 -1.220833) + (xy 7.762713 -1.216132) + (xy 7.729654 -1.213309) + (xy 7.693154 -1.212362) + (xy 7.656677 -1.213289) + (xy 7.623683 -1.216089) + (xy 7.597635 -1.220757) + (xy 7.596922 -1.220947) + (xy 7.559242 -1.234337) + (xy 7.521309 -1.253484) + (xy 7.48805 -1.275818) + (xy 7.481835 -1.280917) + (xy 7.460215 -1.299423) + (xy 7.460215 -1.109295) + (xy 7.460215 -0.919167) + (xy 7.345319 -0.919167) + (xy 7.230423 -0.919167) + (xy 7.230423 -1.467766) + (xy 7.460215 -1.467766) + (xy 7.484221 -1.444764) + (xy 7.501467 -1.42995) + (xy 7.519981 -1.416601) + (xy 7.530386 -1.410457) + (xy 7.571392 -1.395047) + (xy 7.616692 -1.387518) + (xy 7.663196 -1.388023) + (xy 7.707812 -1.396719) + (xy 7.72033 -1.400934) + (xy 7.756038 -1.419889) + (xy 7.78742 -1.448002) + (xy 7.813429 -1.484257) + (xy 7.820983 -1.498506) + (xy 7.834196 -1.527792) + (xy 7.843974 -1.555496) + (xy 7.850887 -1.584315) + (xy 7.855505 -1.616947) + (xy 7.858397 -1.65609) + (xy 7.859435 -1.680686) + (xy 7.859295 -1.750064) + (xy 7.853706 -1.810597) + (xy 7.84258 -1.862684) + (xy 7.825832 -1.906723) + (xy 7.803374 -1.943115) + (xy 7.802251 -1.94455) + (xy 7.774819 -1.970865) + (xy 7.740837 -1.989504) + (xy 7.701623 -2.000027) + (xy 7.658492 -2.001993) + (xy 7.636978 -1.999798) + (xy 7.597833 -1.991146) + (xy 7.563246 -1.976781) + (xy 7.530367 -1.955219) + (xy 7.499793 -1.928345) + (xy 7.460215 -1.889967) + (xy 7.460215 -1.678867) + (xy 7.460215 -1.467766) + (xy 7.230423 -1.467766) + (xy 7.230423 -1.541742) + (xy 7.230423 -2.164317) + (xy 7.310848 -2.164317) + (xy 7.348056 -2.164124) + (xy 7.376136 -2.162874) + (xy 7.396649 -2.159562) + (xy 7.411156 -2.153182) + (xy 7.421217 -2.142728) + (xy 7.428394 -2.127195) + (xy 7.434247 -2.105576) + (xy 7.439383 -2.081485) + (xy 7.443068 -2.064344) + (xy 7.446 -2.052013) + (xy 7.447366 -2.04759) + (xy 7.451722 -2.05003) + (xy 7.462346 -2.058078) + (xy 7.477439 -2.070332) + (xy 7.488519 -2.079654) + (xy 7.532147 -2.114076) + (xy 7.573141 -2.14006) + (xy 7.613898 -2.158599) + (xy 7.656812 -2.170688) + (xy 7.704278 -2.177321) + (xy 7.727415 -2.178775) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5488d1e0-7f99-41ea-a66d-a36715be6b0d)) + (fp_poly + (pts + (xy 2.012217 -2.170038) + (xy 2.020152 -2.169398) + (xy 2.091852 -2.158422) + (xy 2.157791 -2.138625) + (xy 2.217613 -2.110296) + (xy 2.270963 -2.073726) + (xy 2.317483 -2.029207) + (xy 2.356819 -1.977029) + (xy 2.388614 -1.917482) + (xy 2.412513 -1.850858) + (xy 2.413235 -1.848282) + (xy 2.421713 -1.808615) + (xy 2.42759 -1.761962) + (xy 2.430728 -1.711736) + (xy 2.430984 -1.661353) + (xy 2.428219 -1.614226) + (xy 2.424144 -1.583452) + (xy 2.407037 -1.512456) + (xy 2.381752 -1.447939) + (xy 2.348631 -1.390257) + (xy 2.30801 -1.339764) + (xy 2.260229 -1.296818) + (xy 2.205626 -1.261773) + (xy 2.144542 -1.234985) + (xy 2.077314 -1.21681) + (xy 2.074215 -1.216213) + (xy 2.033658 -1.210826) + (xy 1.98718 -1.208381) + (xy 1.938872 -1.208843) + (xy 1.892825 -1.212176) + (xy 1.854228 -1.21811) + (xy 1.787171 -1.23731) + (xy 1.726116 -1.265167) + (xy 1.671483 -1.301332) + (xy 1.623693 -1.345458) + (xy 1.583168 -1.397196) + (xy 1.550329 -1.456199) + (xy 1.541534 -1.476504) + (xy 1.526373 -1.518377) + (xy 1.515634 -1.559493) + (xy 1.508804 -1.602848) + (xy 1.50537 -1.651438) + (xy 1.504755 -1.688702) + (xy 1.740394 -1.688702) + (xy 1.743223 -1.62175) + (xy 1.751818 -1.563588) + (xy 1.766337 -1.513905) + (xy 1.786941 -1.472392) + (xy 1.813788 -1.438738) + (xy 1.84704 -1.412633) + (xy 1.886855 -1.393767) + (xy 1.893302 -1.391575) + (xy 1.914974 -1.387392) + (xy 1.947058 -1.385529) + (xy 1.977278 -1.385641) + (xy 2.005674 -1.386577) + (xy 2.026362 -1.388228) + (xy 2.042323 -1.391094) + (xy 2.056534 -1.395678) + (xy 2.068916 -1.401043) + (xy 2.103762 -1.422305) + (xy 2.133024 -1.451377) + (xy 2.157131 -1.488854) + (xy 2.176513 -1.535329) + (xy 2.184111 -1.560446) + (xy 2.188654 -1.584414) + (xy 2.191859 -1.616254) + (xy 2.193726 -1.653249) + (xy 2.194255 -1.692684) + (xy 2.193448 -1.731842) + (xy 2.191305 -1.768009) + (xy 2.187826 -1.798468) + (xy 2.184065 -1.816958) + (xy 2.167428 -1.866697) + (xy 2.147084 -1.907084) + (xy 2.122446 -1.938991) + (xy 2.092926 -1.963288) + (xy 2.080251 -1.970736) + (xy 2.039564 -1.987234) + (xy 1.995979 -1.995775) + (xy 1.951488 -1.996613) + (xy 1.908084 -1.990001) + (xy 1.86776 -1.976189) + (xy 1.832507 -1.955432) + (xy 1.81173 -1.936704) + (xy 1.786226 -1.903223) + (xy 1.766618 -1.86409) + (xy 1.752641 -1.818377) + (xy 1.744027 -1.765158) + (xy 1.74051 -1.703506) + (xy 1.740394 -1.688702) + (xy 1.504755 -1.688702) + (xy 1.504711 -1.691374) + (xy 1.506583 -1.751224) + (xy 1.512534 -1.803749) + (xy 1.523182 -1.851734) + (xy 1.539145 -1.897968) + (xy 1.56103 -1.945214) + (xy 1.595188 -2.000331) + (xy 1.636966 -2.048348) + (xy 1.685731 -2.088955) + (xy 1.740851 -2.121845) + (xy 1.801692 -2.146707) + (xy 1.867622 -2.163232) + (xy 1.938008 -2.171112) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4c2ca5d3-ddef-4cb4-9cd7-617a9ea88030)) + (fp_poly + (pts + (xy 6.640115 -2.170038) + (xy 6.64805 -2.169398) + (xy 6.71975 -2.158422) + (xy 6.78569 -2.138625) + (xy 6.845512 -2.110296) + (xy 6.898861 -2.073726) + (xy 6.945381 -2.029207) + (xy 6.984717 -1.977029) + (xy 7.016512 -1.917482) + (xy 7.040411 -1.850858) + (xy 7.041133 -1.848282) + (xy 7.049611 -1.808615) + (xy 7.055489 -1.761962) + (xy 7.058626 -1.711736) + (xy 7.058882 -1.661353) + (xy 7.056117 -1.614226) + (xy 7.052042 -1.583452) + (xy 7.034935 -1.512456) + (xy 7.009651 -1.447939) + (xy 6.976529 -1.390257) + (xy 6.935908 -1.339764) + (xy 6.888127 -1.296818) + (xy 6.833525 -1.261773) + (xy 6.77244 -1.234985) + (xy 6.705212 -1.21681) + (xy 6.702113 -1.216213) + (xy 6.661556 -1.210826) + (xy 6.615078 -1.208381) + (xy 6.56677 -1.208843) + (xy 6.520723 -1.212176) + (xy 6.482126 -1.21811) + (xy 6.415069 -1.23731) + (xy 6.354014 -1.265167) + (xy 6.299381 -1.301332) + (xy 6.251591 -1.345458) + (xy 6.211066 -1.397196) + (xy 6.178227 -1.456199) + (xy 6.169432 -1.476504) + (xy 6.154271 -1.518377) + (xy 6.143533 -1.559493) + (xy 6.136703 -1.602848) + (xy 6.133268 -1.651438) + (xy 6.132653 -1.688702) + (xy 6.368292 -1.688702) + (xy 6.371121 -1.62175) + (xy 6.379716 -1.563588) + (xy 6.394235 -1.513905) + (xy 6.414839 -1.472392) + (xy 6.441687 -1.438738) + (xy 6.474938 -1.412633) + (xy 6.514753 -1.393767) + (xy 6.521201 -1.391575) + (xy 6.542872 -1.387392) + (xy 6.574956 -1.385529) + (xy 6.605176 -1.385641) + (xy 6.633572 -1.386577) + (xy 6.654261 -1.388228) + (xy 6.670221 -1.391094) + (xy 6.684432 -1.395678) + (xy 6.696815 -1.401043) + (xy 6.73166 -1.422305) + (xy 6.760922 -1.451377) + (xy 6.785029 -1.488854) + (xy 6.804411 -1.535329) + (xy 6.812009 -1.560446) + (xy 6.816552 -1.584414) + (xy 6.819757 -1.616254) + (xy 6.821624 -1.653249) + (xy 6.822154 -1.692684) + (xy 6.821346 -1.731842) + (xy 6.819203 -1.768009) + (xy 6.815724 -1.798468) + (xy 6.811963 -1.816958) + (xy 6.795326 -1.866697) + (xy 6.774982 -1.907084) + (xy 6.750344 -1.938991) + (xy 6.720824 -1.963288) + (xy 6.708149 -1.970736) + (xy 6.667462 -1.987234) + (xy 6.623877 -1.995775) + (xy 6.579386 -1.996613) + (xy 6.535982 -1.990001) + (xy 6.495658 -1.976189) + (xy 6.460405 -1.955432) + (xy 6.439628 -1.936704) + (xy 6.414124 -1.903223) + (xy 6.394516 -1.86409) + (xy 6.380539 -1.818377) + (xy 6.371925 -1.765158) + (xy 6.368408 -1.703506) + (xy 6.368292 -1.688702) + (xy 6.132653 -1.688702) + (xy 6.132609 -1.691374) + (xy 6.134481 -1.751224) + (xy 6.140432 -1.803749) + (xy 6.15108 -1.851734) + (xy 6.167043 -1.897968) + (xy 6.188929 -1.945214) + (xy 6.223086 -2.000331) + (xy 6.264864 -2.048348) + (xy 6.313629 -2.088955) + (xy 6.368749 -2.121845) + (xy 6.42959 -2.146707) + (xy 6.49552 -2.163232) + (xy 6.565906 -2.171112) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp dc2c1417-02e6-4688-b155-126a49076539)) + (fp_poly + (pts + (xy 3.58582 -2.190306) + (xy 3.58582 -1.799462) + (xy 3.621242 -1.802306) + (xy 3.631557 -1.803135) + (xy 3.640476 -1.804301) + (xy 3.648749 -1.806537) + (xy 3.657127 -1.810571) + (xy 3.666359 -1.817137) + (xy 3.677196 -1.826964) + (xy 3.690386 -1.840784) + (xy 3.70668 -1.859328) + (xy 3.726828 -1.883328) + (xy 3.75158 -1.913513) + (xy 3.781685 -1.950616) + (xy 3.812743 -1.989001) + (xy 3.840968 -2.023596) + (xy 3.867813 -2.055954) + (xy 3.892367 -2.08502) + (xy 3.913718 -2.109734) + (xy 3.930954 -2.129039) + (xy 3.943165 -2.141878) + (xy 3.948666 -2.146757) + (xy 3.954939 -2.150707) + (xy 3.961463 -2.1537) + (xy 3.969745 -2.155867) + (xy 3.981289 -2.157341) + (xy 3.997602 -2.158256) + (xy 4.020191 -2.158745) + (xy 4.050561 -2.158939) + (xy 4.087102 -2.158973) + (xy 4.125851 -2.158933) + (xy 4.155114 -2.158744) + (xy 4.17609 -2.158308) + (xy 4.189982 -2.157523) + (xy 4.19799 -2.156291) + (xy 4.201316 -2.15451) + (xy 4.201159 -2.152081) + (xy 4.19935 -2.149621) + (xy 4.194305 -2.143625) + (xy 4.183173 -2.130314) + (xy 4.166703 -2.110588) + (xy 4.145646 -2.085346) + (xy 4.120752 -2.055488) + (xy 4.092771 -2.021913) + (xy 4.062454 -1.985521) + (xy 4.044461 -1.963918) + (xy 4.012532 -1.925736) + (xy 3.981916 -1.889429) + (xy 3.953457 -1.855976) + (xy 3.928 -1.826356) + (xy 3.906389 -1.801549) + (xy 3.88947 -1.782534) + (xy 3.878085 -1.770293) + (xy 3.874727 -1.767013) + (xy 3.851853 -1.746459) + (xy 3.870718 -1.726933) + (xy 3.877295 -1.718885) + (xy 3.889285 -1.70291) + (xy 3.906057 -1.679897) + (xy 3.92698 -1.650731) + (xy 3.95142 -1.616301) + (xy 3.978748 -1.577494) + (xy 4.008332 -1.535199) + (xy 4.03954 -1.490301) + (xy 4.055713 -1.466926) + (xy 4.221843 -1.226446) + (xy 4.106099 -1.225004) + (xy 4.067321 -1.224604) + (xy 4.037675 -1.22456) + (xy 4.015608 -1.224969) + (xy 3.999566 -1.225927) + (xy 3.987997 -1.22753) + (xy 3.979347 -1.229875) + (xy 3.972063 -1.233056) + (xy 3.971521 -1.233335) + (xy 3.965736 -1.237371) + (xy 3.958305 -1.24463) + (xy 3.948692 -1.255843) + (xy 3.93636 -1.271741) + (xy 3.920772 -1.293055) + (xy 3.901391 -1.320517) + (xy 3.877681 -1.354857) + (xy 3.849104 -1.396806) + (xy 3.821357 -1.43785) + (xy 3.788356 -1.486764) + (xy 3.760662 -1.527571) + (xy 3.73758 -1.561003) + (xy 3.718413 -1.587797) + (xy 3.702467 -1.608685) + (xy 3.689044 -1.624404) + (xy 3.67745 -1.635688) + (xy 3.666989 -1.643271) + (xy 3.656965 -1.647887) + (xy 3.646682 -1.650273) + (xy 3.635445 -1.651161) + (xy 3.622558 -1.651287) + (xy 3.61922 -1.651286) + (xy 3.58582 -1.651294) + (xy 3.58582 -1.437534) + (xy 3.58582 -1.223774) + (xy 3.470924 -1.223774) + (xy 3.356028 -1.223774) + (xy 3.356028 -1.902462) + (xy 3.356028 -2.581149) + (xy 3.470924 -2.581149) + (xy 3.58582 -2.581149) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4a8c5420-3551-4516-9ef0-7f24edd15c95)) + (fp_poly + (pts + (xy -1.116894 0.315296) + (xy -1.116894 1.138271) + (xy -0.950992 1.138271) + (xy -0.785091 1.138271) + (xy -0.786665 1.287522) + (xy -0.787165 1.331516) + (xy -0.787698 1.366113) + (xy -0.788362 1.392604) + (xy -0.789258 1.412279) + (xy -0.790487 1.426428) + (xy -0.792148 1.436344) + (xy -0.794341 1.443315) + (xy -0.797168 1.448633) + (xy -0.799418 1.45185) + (xy -0.811747 1.465315) + (xy -0.826832 1.477952) + (xy -0.828264 1.47895) + (xy -0.834047 1.482638) + (xy -0.840139 1.485483) + (xy -0.847932 1.487594) + (xy -0.858818 1.489081) + (xy -0.874188 1.490053) + (xy -0.895434 1.490619) + (xy -0.923947 1.490888) + (xy -0.961119 1.490969) + (xy -0.981413 1.490974) + (xy -1.116894 1.490974) + (xy -1.116894 1.814286) + (xy -1.116894 2.137597) + (xy -1.314622 2.137597) + (xy -1.51235 2.137597) + (xy -1.51235 1.814286) + (xy -1.51235 1.490974) + (xy -2.084329 1.490974) + (xy -2.177395 1.490955) + (xy -2.26034 1.490892) + (xy -2.333729 1.490778) + (xy -2.398129 1.490607) + (xy -2.454106 1.490371) + (xy -2.502227 1.490063) + (xy -2.543058 1.489676) + (xy -2.577165 1.489203) + (xy -2.605114 1.488638) + (xy -2.627472 1.487972) + (xy -2.644804 1.4872) + (xy -2.657678 1.486313) + (xy -2.666659 1.485305) + (xy -2.672314 1.484168) + (xy -2.673893 1.483627) + (xy -2.69727 1.470021) + (xy -2.719398 1.450573) + (xy -2.736599 1.428786) + (xy -2.741895 1.41883) + (xy -2.745653 1.407433) + (xy -2.750768 1.388022) + (xy -2.756851 1.362489) + (xy -2.763512 1.332729) + (xy -2.770362 1.300635) + (xy -2.777012 1.268099) + (xy -2.783073 1.237016) + (xy -2.788154 1.209279) + (xy -2.791867 1.186782) + (xy -2.793823 1.171417) + (xy -2.793918 1.165794) + (xy -2.790593 1.16062) + (xy -2.781167 1.147311) + (xy -2.774625 1.138271) + (xy -2.313757 1.138271) + (xy -1.913053 1.138271) + (xy -1.51235 1.138271) + (xy -1.51235 0.656895) + (xy -1.512279 0.564365) + (xy -1.512071 0.479099) + (xy -1.511728 0.401501) + (xy -1.511256 0.331973) + (xy -1.510659 0.270918) + (xy -1.509941 0.218739) + (xy -1.509106 0.175838) + (xy -1.508159 0.142619) + (xy -1.507104 0.119485) + (xy -1.506541 0.111866) + (xy -1.504422 0.086709) + (xy -1.502961 0.065429) + (xy -1.50229 0.05029) + (xy -1.502533 0.043576) + (xy -1.505879 0.047128) + (xy -1.515117 0.058726) + (xy -1.529819 0.077797) + (xy -1.549555 0.103768) + (xy -1.573897 0.136067) + (xy -1.602416 0.174122) + (xy -1.634684 0.217358) + (xy -1.670271 0.265205) + (xy -1.70875 0.317088) + (xy -1.749691 0.372436) + (xy -1.792667 0.430675) + (xy -1.816957 0.463654) + (xy -1.863329 0.526649) + (xy -1.909579 0.589477) + (xy -1.955095 0.651306) + (xy -1.999268 0.711306) + (xy -2.041483 0.768645) + (xy -2.081131 0.822493) + (xy -2.117598 0.872018) + (xy -2.150274 0.916391) + (xy -2.178546 0.954781) + (xy -2.201803 0.986355) + (xy -2.219433 1.010285) + (xy -2.221669 1.013318) + (xy -2.313757 1.138271) + (xy -2.774625 1.138271) + (xy -2.765949 1.126283) + (xy -2.745249 1.097952) + (xy -2.719374 1.062735) + (xy -2.688635 1.02105) + (xy -2.65334 0.973312) + (xy -2.613798 0.919939) + (xy -2.570319 0.861347) + (xy -2.523211 0.797952) + (xy -2.472783 0.730172) + (xy -2.419345 0.658424) + (xy -2.363205 0.583123) + (xy -2.304672 0.504687) + (xy -2.244056 0.423532) + (xy -2.181665 0.340075) + (xy -2.169878 0.324316) + (xy -1.547519 -0.507679) + (xy -1.332207 -0.507679) + (xy -1.116894 -0.507679) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3dbba08c-01c6-41ef-a93f-08b38b56a9e8)) + (fp_poly + (pts + (xy -6.377597 1.790976) + (xy -6.208577 1.791025) + (xy -6.029359 1.791101) + (xy -5.839784 1.791205) + (xy -5.639695 1.791334) + (xy -5.621005 1.791347) + (xy -3.3997 1.79291) + (xy -3.376528 1.809179) + (xy -3.359669 1.824009) + (xy -3.344473 1.842214) + (xy -3.339996 1.849259) + (xy -3.335318 1.858105) + (xy -3.331839 1.866762) + (xy -3.329344 1.877002) + (xy -3.327618 1.890598) + (xy -3.326446 1.909324) + (xy -3.325613 1.934954) + (xy -3.324905 1.96926) + (xy -3.324808 1.974605) + (xy -3.32446 2.015686) + (xy -3.324943 2.051139) + (xy -3.326208 2.079441) + (xy -3.328206 2.099071) + (xy -3.328968 2.103164) + (xy -3.340791 2.133631) + (xy -3.360794 2.160103) + (xy -3.386697 2.179616) + (xy -3.388396 2.180504) + (xy -3.390202 2.181373) + (xy -3.392318 2.182193) + (xy -3.39505 2.182966) + (xy -3.398702 2.183691) + (xy -3.40358 2.184372) + (xy -3.40999 2.185009) + (xy -3.418235 2.185604) + (xy -3.428623 2.186158) + (xy -3.441457 2.186673) + (xy -3.457043 2.18715) + (xy -3.475687 2.18759) + (xy -3.497693 2.187995) + (xy -3.523367 2.188366) + (xy -3.553015 2.188705) + (xy -3.58694 2.189013) + (xy -3.625449 2.189291) + (xy -3.668847 2.189541) + (xy -3.717439 2.189765) + (xy -3.77153 2.189963) + (xy -3.831426 2.190137) + (xy -3.897431 2.190288) + (xy -3.969852 2.190419) + (xy -4.048993 2.190529) + (xy -4.135159 2.190622) + (xy -4.228656 2.190697) + (xy -4.329789 2.190757) + (xy -4.438864 2.190802) + (xy -4.556185 2.190835) + (xy -4.682057 2.190857) + (xy -4.816787 2.190869) + (xy -4.960679 2.190872) + (xy -5.114038 2.190868) + (xy -5.27717 2.190859) + (xy -5.45038 2.190845) + (xy -5.633692 2.190828) + (xy -5.821733 2.190806) + (xy -5.999364 2.190774) + (xy -6.166862 2.190733) + (xy -6.324506 2.190681) + (xy -6.472571 2.190617) + (xy -6.611337 2.190541) + (xy -6.741081 2.190451) + (xy -6.86208 2.190347) + (xy -6.974612 2.190227) + (xy -7.078954 2.190091) + (xy -7.175385 2.189938) + (xy -7.264182 2.189767) + (xy -7.345622 2.189577) + (xy -7.419984 2.189368) + (xy -7.487544 2.189137) + (xy -7.54858 2.188885) + (xy -7.603371 2.18861) + (xy -7.652193 2.188312) + (xy -7.695325 2.187989) + (xy -7.733043 2.187641) + (xy -7.765626 2.187267) + (xy -7.793351 2.186865) + (xy -7.816496 2.186436) + (xy -7.835339 2.185978) + (xy -7.850156 2.18549) + (xy -7.861226 2.184971) + (xy -7.868826 2.18442) + (xy -7.873234 2.183837) + (xy -7.874374 2.183514) + (xy -7.900501 2.166459) + (xy -7.921875 2.141545) + (xy -7.93062 2.125596) + (xy -7.934127 2.116832) + (xy -7.936747 2.107037) + (xy -7.938605 2.094566) + (xy -7.939828 2.077776) + (xy -7.94054 2.055022) + (xy -7.940868 2.024662) + (xy -7.940939 1.990637) + (xy -7.940831 1.952004) + (xy -7.940429 1.922442) + (xy -7.939616 1.900338) + (xy -7.938273 1.884077) + (xy -7.936284 1.872044) + (xy -7.93353 1.862625) + (xy -7.931207 1.856992) + (xy -7.915961 1.833347) + (xy -7.894507 1.812765) + (xy -7.870409 1.798428) + (xy -7.862438 1.795596) + (xy -7.85703 1.795073) + (xy -7.844438 1.794582) + (xy -7.824503 1.794124) + (xy -7.797069 1.793699) + (xy -7.761975 1.793305) + (xy -7.719063 1.792943) + (xy -7.668175 1.792612) + (xy -7.609151 1.792312) + (xy -7.541834 1.792043) + (xy -7.466064 1.791804) + (xy -7.381683 1.791596) + (xy -7.288532 1.791417) + (xy -7.186453 1.791268) + (xy -7.075287 1.791148) + (xy -6.954875 1.791057) + (xy -6.825059 1.790994) + (xy -6.685679 1.79096) + (xy -6.536578 1.790954) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3b5e5d11-a948-4527-ac86-67ce6a190b0d)) + (fp_poly + (pts + (xy -1.08909 -2.170822) + (xy -1.023773 -2.158134) + (xy -0.963594 -2.136737) + (xy -0.917702 -2.11266) + (xy -0.895487 -2.098255) + (xy -0.875806 -2.083831) + (xy -0.860499 -2.070894) + (xy -0.851406 -2.060952) + (xy -0.849695 -2.056939) + (xy -0.852505 -2.050102) + (xy -0.8599 -2.036941) + (xy -0.87033 -2.019882) + (xy -0.882244 -2.001351) + (xy -0.89409 -1.983775) + (xy -0.904317 -1.969579) + (xy -0.910018 -1.962582) + (xy -0.92294 -1.953497) + (xy -0.940187 -1.950646) + (xy -0.9629 -1.954139) + (xy -0.992221 -1.964088) + (xy -1.011816 -1.972458) + (xy -1.061269 -1.99214) + (xy -1.105638 -2.003994) + (xy -1.146791 -2.008305) + (xy -1.186598 -2.005361) + (xy -1.203789 -2.001931) + (xy -1.238963 -1.989706) + (xy -1.265747 -1.971791) + (xy -1.283715 -1.948634) + (xy -1.29244 -1.920682) + (xy -1.293246 -1.908069) + (xy -1.291761 -1.889433) + (xy -1.286683 -1.873114) + (xy -1.277076 -1.858455) + (xy -1.262007 -1.844804) + (xy -1.240539 -1.831505) + (xy -1.21174 -1.817905) + (xy -1.174672 -1.803348) + (xy -1.128403 -1.787181) + (xy -1.113413 -1.782192) + (xy -1.060924 -1.764187) + (xy -1.017532 -1.747683) + (xy -0.98187 -1.732001) + (xy -0.95257 -1.716468) + (xy -0.928264 -1.700405) + (xy -0.907587 -1.683137) + (xy -0.901826 -1.67756) + (xy -0.876775 -1.649563) + (xy -0.859237 -1.622049) + (xy -0.848005 -1.592102) + (xy -0.841869 -1.556807) + (xy -0.839995 -1.528382) + (xy -0.840831 -1.478314) + (xy -0.847604 -1.434738) + (xy -0.860828 -1.394935) + (xy -0.868366 -1.378816) + (xy -0.896544 -1.334869) + (xy -0.933385 -1.296519) + (xy -0.978174 -1.264236) + (xy -1.030194 -1.238495) + (xy -1.088729 -1.219767) + (xy -1.119212 -1.213358) + (xy -1.141683 -1.210732) + (xy -1.171454 -1.209124) + (xy -1.205187 -1.208529) + (xy -1.239542 -1.208945) + (xy -1.271182 -1.210368) + (xy -1.296766 -1.212793) + (xy -1.301836 -1.213558) + (xy -1.328889 -1.21942) + (xy -1.361027 -1.228392) + (xy -1.394233 -1.23918) + (xy -1.42449 -1.250488) + (xy -1.44555 -1.259873) + (xy -1.462927 -1.269355) + (xy -1.482668 -1.281215) + (xy -1.502331 -1.293844) + (xy -1.519473 -1.305634) + (xy -1.531648 -1.314975) + (xy -1.536098 -1.319481) + (xy -1.534992 -1.326376) + (xy -1.528935 -1.339716) + (xy -1.51928 -1.357311) + (xy -1.507375 -1.376973) + (xy -1.494573 -1.396512) + (xy -1.482223 -1.41374) + (xy -1.471677 -1.426467) + (xy -1.46773 -1.43027) + (xy -1.449217 -1.440412) + (xy -1.42689 -1.442376) + (xy -1.39996 -1.436055) + (xy -1.367636 -1.42134) + (xy -1.35621 -1.414948) + (xy -1.319731 -1.395429) + (xy -1.287391 -1.382319) + (xy -1.255639 -1.374605) + (xy -1.220924 -1.371271) + (xy -1.202055 -1.370929) + (xy -1.17702 -1.371261) + (xy -1.158954 -1.372722) + (xy -1.144147 -1.376063) + (xy -1.128885 -1.382039) + (xy -1.115256 -1.388531) + (xy -1.093866 -1.400197) + (xy -1.079393 -1.411484) + (xy -1.068536 -1.425147) + (xy -1.06554 -1.430037) + (xy -1.057307 -1.446069) + (xy -1.053614 -1.460554) + (xy -1.053368 -1.478704) + (xy -1.05382 -1.485894) + (xy -1.056663 -1.505113) + (xy -1.062854 -1.521808) + (xy -1.073382 -1.536661) + (xy -1.089236 -1.550348) + (xy -1.111404 -1.563548) + (xy -1.140876 -1.576942) + (xy -1.178639 -1.591207) + (xy -1.225682 -1.607022) + (xy -1.234237 -1.609774) + (xy -1.287245 -1.627599) + (xy -1.331149 -1.644382) + (xy -1.36739 -1.660818) + (xy -1.397408 -1.677605) + (xy -1.422645 -1.69544) + (xy -1.437149 -1.707908) + (xy -1.468898 -1.744143) + (xy -1.491948 -1.785014) + (xy -1.506459 -1.82918) + (xy -1.512596 -1.875303) + (xy -1.510518 -1.922041) + (xy -1.500389 -1.968055) + (xy -1.482371 -2.012005) + (xy -1.456625 -2.052551) + (xy -1.423313 -2.088354) + (xy -1.387953 -2.11482) + (xy -1.341221 -2.140283) + (xy -1.293654 -2.158287) + (xy -1.242761 -2.169491) + (xy -1.186055 -2.174553) + (xy -1.160342 -2.175005) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 40d3b9ba-1e8b-4349-824b-c15685f06e80)) + (fp_poly + (pts + (xy 4.725175 -2.170822) + (xy 4.790491 -2.158134) + (xy 4.850671 -2.136737) + (xy 4.896563 -2.11266) + (xy 4.918778 -2.098255) + (xy 4.938458 -2.083831) + (xy 4.953765 -2.070894) + (xy 4.962859 -2.060952) + (xy 4.96457 -2.056939) + (xy 4.96176 -2.050102) + (xy 4.954365 -2.036941) + (xy 4.943934 -2.019882) + (xy 4.932021 -2.001351) + (xy 4.920175 -1.983775) + (xy 4.909948 -1.969579) + (xy 4.904246 -1.962582) + (xy 4.891325 -1.953497) + (xy 4.874078 -1.950646) + (xy 4.851365 -1.954139) + (xy 4.822043 -1.964088) + (xy 4.802448 -1.972458) + (xy 4.752995 -1.99214) + (xy 4.708627 -2.003994) + (xy 4.667474 -2.008305) + (xy 4.627667 -2.005361) + (xy 4.610476 -2.001931) + (xy 4.575302 -1.989706) + (xy 4.548517 -1.971791) + (xy 4.53055 -1.948634) + (xy 4.521825 -1.920682) + (xy 4.521019 -1.908069) + (xy 4.522504 -1.889433) + (xy 4.527582 -1.873114) + (xy 4.537189 -1.858455) + (xy 4.552258 -1.844804) + (xy 4.573725 -1.831505) + (xy 4.602525 -1.817905) + (xy 4.639592 -1.803348) + (xy 4.685862 -1.787181) + (xy 4.700852 -1.782192) + (xy 4.753341 -1.764187) + (xy 4.796732 -1.747683) + (xy 4.832395 -1.732001) + (xy 4.861695 -1.716468) + (xy 4.886 -1.700405) + (xy 4.906678 -1.683137) + (xy 4.912439 -1.67756) + (xy 4.93749 -1.649563) + (xy 4.955027 -1.622049) + (xy 4.96626 -1.592102) + (xy 4.972396 -1.556807) + (xy 4.97427 -1.528382) + (xy 4.973433 -1.478314) + (xy 4.966661 -1.434738) + (xy 4.953437 -1.394935) + (xy 4.945899 -1.378816) + (xy 4.917721 -1.334869) + (xy 4.880879 -1.296519) + (xy 4.836091 -1.264236) + (xy 4.78407 -1.238495) + (xy 4.725536 -1.219767) + (xy 4.695053 -1.213358) + (xy 4.672582 -1.210732) + (xy 4.642811 -1.209124) + (xy 4.609078 -1.208529) + (xy 4.574722 -1.208945) + (xy 4.543083 -1.210368) + (xy 4.517498 -1.212793) + (xy 4.512429 -1.213558) + (xy 4.485376 -1.21942) + (xy 4.453238 -1.228392) + (xy 4.420031 -1.23918) + (xy 4.389774 -1.250488) + (xy 4.368715 -1.259873) + (xy 4.351338 -1.269355) + (xy 4.331596 -1.281215) + (xy 4.311933 -1.293844) + (xy 4.294792 -1.305634) + (xy 4.282616 -1.314975) + (xy 4.278167 -1.319481) + (xy 4.279273 -1.326376) + (xy 4.285329 -1.339716) + (xy 4.294985 -1.357311) + (xy 4.306889 -1.376973) + (xy 4.319692 -1.396512) + (xy 4.332041 -1.41374) + (xy 4.342588 -1.426467) + (xy 4.346535 -1.43027) + (xy 4.365048 -1.440412) + (xy 4.387375 -1.442376) + (xy 4.414305 -1.436055) + (xy 4.446629 -1.42134) + (xy 4.458055 -1.414948) + (xy 4.494534 -1.395429) + (xy 4.526874 -1.382319) + (xy 4.558626 -1.374605) + (xy 4.59334 -1.371271) + (xy 4.612209 -1.370929) + (xy 4.637245 -1.371261) + (xy 4.65531 -1.372722) + (xy 4.670118 -1.376063) + (xy 4.685379 -1.382039) + (xy 4.699008 -1.388531) + (xy 4.720399 -1.400197) + (xy 4.734872 -1.411484) + (xy 4.745729 -1.425147) + (xy 4.748725 -1.430037) + (xy 4.756958 -1.446069) + (xy 4.76065 -1.460554) + (xy 4.760897 -1.478704) + (xy 4.760444 -1.485894) + (xy 4.757602 -1.505113) + (xy 4.751411 -1.521808) + (xy 4.740883 -1.536661) + (xy 4.725029 -1.550348) + (xy 4.70286 -1.563548) + (xy 4.673389 -1.576942) + (xy 4.635626 -1.591207) + (xy 4.588583 -1.607022) + (xy 4.580027 -1.609774) + (xy 4.527019 -1.627599) + (xy 4.483116 -1.644382) + (xy 4.446875 -1.660818) + (xy 4.416857 -1.677605) + (xy 4.39162 -1.69544) + (xy 4.377115 -1.707908) + (xy 4.345366 -1.744143) + (xy 4.322317 -1.785014) + (xy 4.307805 -1.82918) + (xy 4.301669 -1.875303) + (xy 4.303746 -1.922041) + (xy 4.313875 -1.968055) + (xy 4.331894 -2.012005) + (xy 4.35764 -2.052551) + (xy 4.390951 -2.088354) + (xy 4.426312 -2.11482) + (xy 4.473043 -2.140283) + (xy 4.520611 -2.158287) + (xy 4.571504 -2.169491) + (xy 4.62821 -2.174553) + (xy 4.653923 -2.175005) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0bd582e8-972d-46c7-9cb3-85a0446dcca6)) + (fp_poly + (pts + (xy -3.843495 -2.168405) + (xy -3.811498 -2.16671) + (xy -3.786496 -2.164216) + (xy -3.764795 -2.160308) + (xy -3.742703 -2.154373) + (xy -3.725053 -2.148692) + (xy -3.676243 -2.129603) + (xy -3.634911 -2.107034) + (xy -3.597968 -2.079107) + (xy -3.575131 -2.057481) + (xy -3.535008 -2.009356) + (xy -3.503809 -1.95578) + (xy -3.481452 -1.89653) + (xy -3.467853 -1.83138) + (xy -3.462929 -1.760106) + (xy -3.462907 -1.754766) + (xy -3.464011 -1.719975) + (xy -3.467524 -1.694555) + (xy -3.473745 -1.677399) + (xy -3.482975 -1.667401) + (xy -3.487195 -1.665302) + (xy -3.494021 -1.664684) + (xy -3.510542 -1.664104) + (xy -3.535762 -1.663572) + (xy -3.568688 -1.663099) + (xy -3.608326 -1.662695) + (xy -3.653681 -1.662371) + (xy -3.703761 -1.662138) + (xy -3.75757 -1.662007) + (xy -3.794672 -1.661982) + (xy -3.850676 -1.661901) + (xy -3.903142 -1.661669) + (xy -3.951137 -1.6613) + (xy -3.993724 -1.660808) + (xy -4.02997 -1.660208) + (xy -4.058938 -1.659515) + (xy -4.079693 -1.658743) + (xy -4.091302 -1.657907) + (xy -4.093499 -1.657338) + (xy -4.092214 -1.644126) + (xy -4.088815 -1.623792) + (xy -4.083984 -1.599526) + (xy -4.078405 -1.574521) + (xy -4.072759 -1.55197) + (xy -4.06773 -1.535065) + (xy -4.066799 -1.532485) + (xy -4.044879 -1.488729) + (xy -4.015242 -1.451236) + (xy -3.97905 -1.421122) + (xy -3.937462 -1.399506) + (xy -3.923597 -1.394687) + (xy -3.898389 -1.388559) + (xy -3.869843 -1.385166) + (xy -3.834415 -1.384095) + (xy -3.833358 -1.384094) + (xy -3.7977 -1.385499) + (xy -3.76495 -1.390224) + (xy -3.732439 -1.399034) + (xy -3.697496 -1.412695) + (xy -3.657451 -1.431973) + (xy -3.646504 -1.437668) + (xy -3.616094 -1.452508) + (xy -3.592449 -1.461134) + (xy -3.573923 -1.463923) + (xy -3.558869 -1.461255) + (xy -3.553858 -1.458965) + (xy -3.546659 -1.452764) + (xy -3.534751 -1.440026) + (xy -3.519898 -1.422718) + (xy -3.506557 -1.40624) + (xy -3.469139 -1.358805) + (xy -3.496751 -1.332114) + (xy -3.539482 -1.296104) + (xy -3.586842 -1.266743) + (xy -3.640661 -1.243058) + (xy -3.697982 -1.225309) + (xy -3.729779 -1.218869) + (xy -3.768293 -1.213933) + (xy -3.810643 -1.210608) + (xy -3.853946 -1.209003) + (xy -3.895322 -1.209228) + (xy -3.931889 -1.211391) + (xy -3.959899 -1.215414) + (xy -4.02244 -1.232371) + (xy -4.077537 -1.255489) + (xy -4.127112 -1.285773) + (xy -4.173083 -1.32423) + (xy -4.179531 -1.330511) + (xy -4.222195 -1.378339) + (xy -4.256506 -1.429345) + (xy -4.282798 -1.484494) + (xy -4.301409 -1.544752) + (xy -4.312675 -1.611084) + (xy -4.316933 -1.684456) + (xy -4.316558 -1.723438) + (xy -4.315282 -1.758715) + (xy -4.313576 -1.786022) + (xy -4.312138 -1.798818) + (xy -4.088155 -1.798818) + (xy -4.083 -1.798109) + (xy -4.068295 -1.797453) + (xy -4.045176 -1.796869) + (xy -4.014779 -1.796373) + (xy -3.978243 -1.795983) + (xy -3.936705 -1.795716) + (xy -3.8913 -1.79559) + (xy -3.876565 -1.795582) + (xy -3.664976 -1.795582) + (xy -3.668511 -1.823638) + (xy -3.67883 -1.873107) + (xy -3.696315 -1.914825) + (xy -3.71835 -1.945868) + (xy -3.750998 -1.974411) + (xy -3.789427 -1.994446) + (xy -3.832506 -2.005617) + (xy -3.879105 -2.00757) + (xy -3.905442 -2.004649) + (xy -3.95203 -1.992312) + (xy -3.99198 -1.971615) + (xy -4.025235 -1.942601) + (xy -4.051735 -1.90531) + (xy -4.056226 -1.896849) + (xy -4.063776 -1.879832) + (xy -4.071785 -1.858556) + (xy -4.079191 -1.836283) + (xy -4.08493 -1.816275) + (xy -4.087941 -1.801796) + (xy -4.088155 -1.798818) + (xy -4.312138 -1.798818) + (xy -4.311097 -1.808078) + (xy -4.307504 -1.827599) + (xy -4.302457 -1.847305) + (xy -4.299905 -1.856009) + (xy -4.275391 -1.92146) + (xy -4.243267 -1.979565) + (xy -4.203133 -2.030865) + (xy -4.154594 -2.075902) + (xy -4.119605 -2.101246) + (xy -4.066821 -2.130636) + (xy -4.009958 -2.151563) + (xy -3.948046 -2.164264) + (xy -3.880112 -2.168976) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 23ca6f98-16d6-4904-903c-e2a9d137d631)) + (fp_poly + (pts + (xy -6.290842 -2.172125) + (xy -6.265478 -2.171718) + (xy -6.246246 -2.170678) + (xy -6.230868 -2.168752) + (xy -6.217068 -2.165686) + (xy -6.202568 -2.161227) + (xy -6.19122 -2.157295) + (xy -6.136196 -2.132776) + (xy -6.088677 -2.100708) + (xy -6.048537 -2.060962) + (xy -6.015651 -2.013408) + (xy -5.989896 -1.957917) + (xy -5.984474 -1.942542) + (xy -5.981976 -1.934893) + (xy -5.979833 -1.927545) + (xy -5.978014 -1.919643) + (xy -5.976488 -1.910335) + (xy -5.975222 -1.898765) + (xy -5.974186 -1.884081) + (xy -5.973348 -1.865428) + (xy -5.972676 -1.841953) + (xy -5.972139 -1.812802) + (xy -5.971705 -1.77712) + (xy -5.971344 -1.734055) + (xy -5.971022 -1.682752) + (xy -5.970709 -1.622357) + (xy -5.97042 -1.561782) + (xy -5.968798 -1.21843) + (xy -6.018451 -1.218749) + (xy -6.058354 -1.219902) + (xy -6.088925 -1.22293) + (xy -6.111393 -1.228057) + (xy -6.126983 -1.235505) + (xy -6.131643 -1.239253) + (xy -6.14 -1.251106) + (xy -6.148892 -1.270286) + (xy -6.156106 -1.291157) + (xy -6.162278 -1.311088) + (xy -6.166782 -1.32238) + (xy -6.170703 -1.326723) + (xy -6.175125 -1.325806) + (xy -6.177365 -1.324279) + (xy -6.185971 -1.317783) + (xy -6.200267 -1.306923) + (xy -6.217452 -1.293828) + (xy -6.220931 -1.291172) + (xy -6.26115 -1.264438) + (xy -6.305853 -1.241456) + (xy -6.35112 -1.224054) + (xy -6.381838 -1.216013) + (xy -6.422857 -1.21027) + (xy -6.467809 -1.208153) + (xy -6.512722 -1.209608) + (xy -6.553622 -1.214578) + (xy -6.572578 -1.218687) + (xy -6.621744 -1.236347) + (xy -6.663281 -1.261409) + (xy -6.696953 -1.293555) + (xy -6.722525 -1.332468) + (xy -6.739759 -1.377831) + (xy -6.74842 -1.429327) + (xy -6.749463 -1.456391) + (xy -6.748948 -1.468556) + (xy -6.531762 -1.468556) + (xy -6.527077 -1.434909) + (xy -6.513962 -1.407511) + (xy -6.492822 -1.386598) + (xy -6.464065 -1.372406) + (xy -6.428096 -1.365172) + (xy -6.385323 -1.365133) + (xy -6.359352 -1.368216) + (xy -6.320224 -1.378428) + (xy -6.279634 -1.396277) + (xy -6.241175 -1.419984) + (xy -6.218929 -1.437774) + (xy -6.188057 -1.465365) + (xy -6.189537 -1.540961) + (xy -6.191016 -1.616558) + (xy -6.2498 -1.614887) + (xy -6.304191 -1.611278) + (xy -6.355644 -1.603884) + (xy -6.402532 -1.593129) + (xy -6.443226 -1.57944) + (xy -6.4761 -1.563242) + (xy -6.492919 -1.551218) + (xy -6.514182 -1.529425) + (xy -6.526536 -1.506785) + (xy -6.531497 -1.480078) + (xy -6.531762 -1.468556) + (xy -6.748948 -1.468556) + (xy -6.748407 -1.481326) + (xy -6.745627 -1.506168) + (xy -6.741708 -1.525807) + (xy -6.7414 -1.526874) + (xy -6.723521 -1.568567) + (xy -6.695976 -1.606808) + (xy -6.6593 -1.641338) + (xy -6.614026 -1.6719) + (xy -6.560689 -1.698234) + (xy -6.499823 -1.720083) + (xy -6.431962 -1.737187) + (xy -6.35764 -1.74929) + (xy -6.277392 -1.756132) + (xy -6.266407 -1.756627) + (xy -6.186823 -1.759855) + (xy -6.190134 -1.81379) + (xy -6.195163 -1.862565) + (xy -6.204074 -1.902146) + (xy -6.217493 -1.933522) + (xy -6.236047 -1.957678) + (xy -6.260362 -1.975603) + (xy -6.291064 -1.988283) + (xy -6.30296 -1.991548) + (xy -6.323392 -1.99595) + (xy -6.34108 -1.997761) + (xy -6.360464 -1.997132) + (xy -6.385274 -1.994304) + (xy -6.404544 -1.991331) + (xy -6.421623 -1.987455) + (xy -6.438953 -1.981789) + (xy -6.458979 -1.973447) + (xy -6.484143 -1.96154) + (xy -6.507483 -1.949926) + (xy -6.53699 -1.93527) + (xy -6.558864 -1.924999) + (xy -6.5749 -1.918461) + (xy -6.58689 -1.915002) + (xy -6.59663 -1.913971) + (xy -6.60476 -1.914554) + (xy -6.62498 -1.919723) + (xy -6.642639 -1.930294) + (xy -6.659409 -1.947743) + (xy -6.676963 -1.973541) + (xy -6.682669 -1.983194) + (xy -6.707598 -2.026413) + (xy -6.695131 -2.039755) + (xy -6.679826 -2.053349) + (xy -6.657376 -2.069782) + (xy -6.630354 -2.087441) + (xy -6.601332 -2.104714) + (xy -6.572881 -2.119988) + (xy -6.55039 -2.130482) + (xy -6.511905 -2.14566) + (xy -6.476772 -2.156822) + (xy -6.442057 -2.164509) + (xy -6.404827 -2.169263) + (xy -6.362151 -2.171625) + (xy -6.324616 -2.172153) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6dbad34e-5d68-4b72-b32a-279a30f7526b)) + (fp_poly + (pts + (xy 2.555669 -0.5295) + (xy 2.6522 -0.514962) + (xy 2.744202 -0.490867) + (xy 2.831405 -0.457394) + (xy 2.91354 -0.414722) + (xy 2.990335 -0.36303) + (xy 3.061522 -0.302498) + (xy 3.12683 -0.233305) + (xy 3.185989 -0.15563) + (xy 3.23873 -0.069651) + (xy 3.279897 0.01336) + (xy 3.317101 0.104129) + (xy 3.348455 0.19716) + (xy 3.374165 0.293577) + (xy 3.394433 0.394501) + (xy 3.409464 0.501057) + (xy 3.419462 0.614366) + (xy 3.424631 0.735553) + (xy 3.4255 0.814959) + (xy 3.422894 0.947278) + (xy 3.414961 1.071133) + (xy 3.401529 1.187424) + (xy 3.382426 1.297051) + (xy 3.357478 1.400912) + (xy 3.326514 1.499908) + (xy 3.28936 1.594939) + (xy 3.266173 1.64595) + (xy 3.217752 1.736132) + (xy 3.162541 1.818371) + (xy 3.100829 1.89245) + (xy 3.032902 1.95815) + (xy 2.959049 2.015254) + (xy 2.879556 2.063545) + (xy 2.794713 2.102806) + (xy 2.704806 2.132817) + (xy 2.610123 2.153363) + (xy 2.591676 2.15617) + (xy 2.554628 2.16004) + (xy 2.510412 2.162452) + (xy 2.46233 2.163411) + (xy 2.413681 2.162918) + (xy 2.367764 2.160976) + (xy 2.327881 2.15759) + (xy 2.314958 2.155919) + (xy 2.220304 2.137054) + (xy 2.130741 2.108872) + (xy 2.046411 2.071529) + (xy 1.967453 2.02518) + (xy 1.894009 1.969982) + (xy 1.826221 1.906089) + (xy 1.764228 1.833659) + (xy 1.708172 1.752845) + (xy 1.658194 1.663804) + (xy 1.614435 1.566692) + (xy 1.577035 1.461664) + (xy 1.546137 1.348877) + (xy 1.533903 1.293246) + (xy 1.514389 1.179235) + (xy 1.500582 1.058442) + (xy 1.492483 0.933121) + (xy 1.490332 0.818348) + (xy 1.958363 0.818348) + (xy 1.959985 0.91785) + (xy 1.964645 1.015217) + (xy 1.972343 1.108388) + (xy 1.983079 1.195299) + (xy 1.995822 1.268857) + (xy 2.018026 1.363128) + (xy 2.044485 1.44767) + (xy 2.075269 1.522585) + (xy 2.110444 1.587979) + (xy 2.150079 1.643955) + (xy 2.194242 1.690617) + (xy 2.243001 1.728069) + (xy 2.296424 1.756414) + (xy 2.321965 1.766213) + (xy 2.382808 1.781594) + (xy 2.44671 1.787664) + (xy 2.511296 1.784318) + (xy 2.554429 1.776601) + (xy 2.575015 1.77049) + (xy 2.600756 1.760995) + (xy 2.627371 1.749751) + (xy 2.638651 1.744478) + (xy 2.690839 1.713226) + (xy 2.738489 1.672305) + (xy 2.781593 1.621726) + (xy 2.820144 1.561498) + (xy 2.854136 1.491633) + (xy 2.883562 1.41214) + (xy 2.90656 1.330654) + (xy 2.919398 1.275281) + (xy 2.930032 1.221677) + (xy 2.938626 1.168147) + (xy 2.945347 1.112997) + (xy 2.95036 1.05453) + (xy 2.953829 0.991053) + (xy 2.955921 0.920869) + (xy 2.9568 0.842283) + (xy 2.956852 0.814959) + (xy 2.956327 0.733313) + (xy 2.954644 0.660613) + (xy 2.951635 0.595164) + (xy 2.947137 0.535272) + (xy 2.940983 0.47924) + (xy 2.933009 0.425375) + (xy 2.923049 0.37198) + (xy 2.910937 0.31736) + (xy 2.90656 0.299264) + (xy 2.881331 0.210928) + (xy 2.851528 0.132212) + (xy 2.817159 0.063127) + (xy 2.778228 0.003681) + (xy 2.734743 -0.046115) + (xy 2.686709 -0.086252) + (xy 2.638651 -0.11457) + (xy 2.593903 -0.134072) + (xy 2.552199 -0.146961) + (xy 2.509313 -0.154212) + (xy 2.461019 -0.1568) + (xy 2.455565 -0.15684) + (xy 2.410293 -0.155274) + (xy 2.370146 -0.149595) + (xy 2.331244 -0.138903) + (xy 2.289706 -0.122298) + (xy 2.272932 -0.114495) + (xy 2.223301 -0.084817) + (xy 2.177602 -0.045293) + (xy 2.135904 0.003959) + (xy 2.098276 0.062818) + (xy 2.064789 0.131166) + (xy 2.035511 0.208883) + (xy 2.010513 0.29585) + (xy 1.995822 0.361061) + (xy 1.982254 0.440278) + (xy 1.971725 0.527679) + (xy 1.964233 0.6212) + (xy 1.959779 0.718777) + (xy 1.958363 0.818348) + (xy 1.490332 0.818348) + (xy 1.490092 0.805527) + (xy 1.493409 0.677915) + (xy 1.502435 0.55254) + (xy 1.517171 0.431655) + (xy 1.533722 0.336428) + (xy 1.561081 0.22049) + (xy 1.595116 0.112176) + (xy 1.635658 0.011647) + (xy 1.682536 -0.080936) + (xy 1.735579 -0.165412) + (xy 1.794617 -0.24162) + (xy 1.85948 -0.309398) + (xy 1.929997 -0.368585) + (xy 2.005997 -0.419021) + (xy 2.087311 -0.460544) + (xy 2.173767 -0.492994) + (xy 2.265195 -0.516207) + (xy 2.361425 -0.530025) + (xy 2.454879 -0.534301) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 06ab2c03-e931-424f-bbd8-43b68ada36df)) + (fp_poly + (pts + (xy -7.293686 -2.54933) + (xy -7.232124 -2.540345) + (xy -7.174252 -2.526008) + (xy -7.118028 -2.505939) + (xy -7.072775 -2.485461) + (xy -7.04752 -2.472231) + (xy -7.020809 -2.456878) + (xy -6.994269 -2.440499) + (xy -6.969526 -2.424191) + (xy -6.948207 -2.409049) + (xy -6.93194 -2.396171) + (xy -6.922352 -2.386653) + (xy -6.920471 -2.382804) + (xy -6.923342 -2.376115) + (xy -6.931074 -2.362704) + (xy -6.942346 -2.344564) + (xy -6.955837 -2.323693) + (xy -6.970224 -2.302084) + (xy -6.984187 -2.281734) + (xy -6.996403 -2.264638) + (xy -7.005552 -2.252791) + (xy -7.009127 -2.248937) + (xy -7.021454 -2.241816) + (xy -7.037411 -2.236693) + (xy -7.038337 -2.236513) + (xy -7.049583 -2.235554) + (xy -7.061267 -2.237714) + (xy -7.07625 -2.243883) + (xy -7.097121 -2.254801) + (xy -7.137309 -2.276373) + (xy -7.170789 -2.29317) + (xy -7.199852 -2.306186) + (xy -7.226786 -2.31642) + (xy -7.253881 -2.324865) + (xy -7.265204 -2.327947) + (xy -7.288068 -2.333605) + (xy -7.308224 -2.337533) + (xy -7.328623 -2.340035) + (xy -7.352219 -2.341419) + (xy -7.381965 -2.34199) + (xy -7.40143 -2.342068) + (xy -7.449058 -2.341123) + (xy -7.48913 -2.33776) + (xy -7.524629 -2.331346) + (xy -7.558536 -2.321248) + (xy -7.593834 -2.306834) + (xy -7.61519 -2.296704) + (xy -7.639543 -2.283677) + (xy -7.660741 -2.269579) + (xy -7.682044 -2.251977) + (xy -7.706715 -2.228436) + (xy -7.706775 -2.228376) + (xy -7.728556 -2.205994) + (xy -7.744743 -2.187141) + (xy -7.757827 -2.168358) + (xy -7.770302 -2.146185) + (xy -7.780098 -2.126606) + (xy -7.801467 -2.077633) + (xy -7.81666 -2.030026) + (xy -7.826554 -1.980197) + (xy -7.83203 -1.924558) + (xy -7.832698 -1.911864) + (xy -7.831943 -1.83025) + (xy -7.821936 -1.753966) + (xy -7.80268 -1.683022) + (xy -7.774176 -1.617429) + (xy -7.769375 -1.608542) + (xy -7.749843 -1.578843) + (xy -7.723786 -1.547056) + (xy -7.693937 -1.515993) + (xy -7.663024 -1.488467) + (xy -7.633781 -1.46729) + (xy -7.629946 -1.464974) + (xy -7.574563 -1.438449) + (xy -7.513471 -1.419978) + (xy -7.448062 -1.409629) + (xy -7.379727 -1.40747) + (xy -7.309858 -1.413567) + (xy -7.239847 -1.427987) + (xy -7.189601 -1.443753) + (xy -7.144919 -1.459921) + (xy -7.144919 -1.579655) + (xy -7.144919 -1.69939) + (xy -7.238682 -1.69939) + (xy -7.272433 -1.699435) + (xy -7.297181 -1.699709) + (xy -7.314608 -1.700419) + (xy -7.3264 -1.70177) + (xy -7.334239 -1.70397) + (xy -7.339809 -1.707226) + (xy -7.344795 -1.711743) + (xy -7.345561 -1.712507) + (xy -7.350677 -1.718065) + (xy -7.354253 -1.724055) + (xy -7.356566 -1.73238) + (xy -7.35789 -1.744946) + (xy -7.3585 -1.763653) + (xy -7.358671 -1.790407) + (xy -7.358678 -1.803355) + (xy -7.358678 -1.881086) + (xy -7.136903 -1.881086) + (xy -6.915127 -1.881086) + (xy -6.915127 -1.61661) + (xy -6.915127 -1.352134) + (xy -6.940511 -1.333415) + (xy -6.963993 -1.318096) + (xy -6.994559 -1.301029) + (xy -7.029111 -1.283721) + (xy -7.06455 -1.267676) + (xy -7.097781 -1.2544) + (xy -7.115527 -1.248342) + (xy -7.180171 -1.230858) + (xy -7.246288 -1.2186) + (xy -7.316423 -1.211232) + (xy -7.393122 -1.208416) + (xy -7.417462 -1.208406) + (xy -7.446638 -1.208703) + (xy -7.472385 -1.209142) + (xy -7.492643 -1.209673) + (xy -7.505353 -1.210249) + (xy -7.50831 -1.210553) + (xy -7.517265 -1.212129) + (xy -7.53348 -1.214837) + (xy -7.553663 -1.218127) + (xy -7.556406 -1.218569) + (xy -7.628824 -1.235314) + (xy -7.700505 -1.261682) + (xy -7.769516 -1.296674) + (xy -7.83392 -1.339293) + (xy -7.891784 -1.388539) + (xy -7.898277 -1.394927) + (xy -7.950163 -1.454228) + (xy -7.994752 -1.520673) + (xy -8.031437 -1.593067) + (xy -8.059608 -1.670219) + (xy -8.078086 -1.747695) + (xy -8.083232 -1.787037) + (xy -8.086266 -1.833403) + (xy -8.087189 -1.88334) + (xy -8.086007 -1.933395) + (xy -8.082722 -1.980116) + (xy -8.077816 -2.017357) + (xy -8.058837 -2.09837) + (xy -8.031045 -2.174811) + (xy -7.994918 -2.24593) + (xy -7.950928 -2.310978) + (xy -7.899553 -2.369206) + (xy -7.841267 -2.419863) + (xy -7.807574 -2.443492) + (xy -7.777766 -2.462397) + (xy -7.753178 -2.476752) + (xy -7.730374 -2.488273) + (xy -7.705914 -2.498673) + (xy -7.67636 -2.509668) + (xy -7.671302 -2.511465) + (xy -7.626303 -2.526117) + (xy -7.583687 -2.537001) + (xy -7.540238 -2.544682) + (xy -7.492741 -2.549723) + (xy -7.437979 -2.55269) + (xy -7.436056 -2.552757) + (xy -7.360982 -2.553342) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 558690dd-18ff-4e8a-83d0-c412b82b1428)) + (fp_poly + (pts + (xy -6.834305 -0.542845) + (xy -6.751435 -0.535896) + (xy -6.674544 -0.523807) + (xy -6.601745 -0.506187) + (xy -6.531148 -0.482647) + (xy -6.460865 -0.452796) + (xy -6.447528 -0.446445) + (xy -6.413605 -0.429107) + (xy -6.3791 -0.40982) + (xy -6.345221 -0.389428) + (xy -6.313177 -0.368777) + (xy -6.284176 -0.348712) + (xy -6.259427 -0.330078) + (xy -6.240139 -0.313721) + (xy -6.227521 -0.300486) + (xy -6.222782 -0.291217) + (xy -6.223024 -0.289466) + (xy -6.228676 -0.27822) + (xy -6.239141 -0.260498) + (xy -6.253232 -0.238061) + (xy -6.269757 -0.212672) + (xy -6.287527 -0.186093) + (xy -6.305353 -0.160084) + (xy -6.322043 -0.13641) + (xy -6.336409 -0.11683) + (xy -6.347259 -0.103107) + (xy -6.352682 -0.097475) + (xy -6.375777 -0.085844) + (xy -6.403271 -0.08106) + (xy -6.430874 -0.083748) + (xy -6.436254 -0.085311) + (xy -6.448293 -0.090461) + (xy -6.46698 -0.099836) + (xy -6.489816 -0.112131) + (xy -6.514304 -0.126039) + (xy -6.515712 -0.126862) + (xy -6.570399 -0.15711) + (xy -6.621032 -0.180991) + (xy -6.671033 -0.199781) + (xy -6.72382 -0.214754) + (xy -6.782814 -0.227185) + (xy -6.786871 -0.227922) + (xy -6.821625 -0.232601) + (xy -6.863184 -0.235693) + (xy -6.90858 -0.237196) + (xy -6.954846 -0.23711) + (xy -6.999013 -0.235431) + (xy -7.038115 -0.232159) + (xy -7.065261 -0.228101) + (xy -7.141043 -0.209174) + (xy -7.209389 -0.183404) + (xy -7.271552 -0.150168) + (xy -7.328787 -0.108845) + (xy -7.358211 -0.082832) + (xy -7.411238 -0.0258) + (xy -7.456027 0.03751) + (xy -7.492722 0.107382) + (xy -7.521469 0.184103) + (xy -7.54241 0.267957) + (xy -7.546344 0.289489) + (xy -7.550216 0.313618) + (xy -7.552998 0.335288) + (xy -7.554798 0.356838) + (xy -7.555727 0.380608) + (xy -7.555894 0.408937) + (xy -7.55541 0.444165) + (xy -7.554836 0.470271) + (xy -7.553456 0.516156) + (xy -7.551638 0.55327) + (xy -7.549226 0.583527) + (xy -7.546062 0.608843) + (xy -7.541992 0.631131) + (xy -7.54153 0.633263) + (xy -7.518715 0.716808) + (xy -7.48835 0.794966) + (xy -7.451021 0.8663) + (xy -7.43793 0.887103) + (xy -7.418304 0.913485) + (xy -7.392503 0.943229) + (xy -7.363172 0.973666) + (xy -7.332958 1.002124) + (xy -7.304508 1.025933) + (xy -7.289862 1.036556) + (xy -7.224028 1.074498) + (xy -7.152093 1.104395) + (xy -7.075351 1.125918) + (xy -6.995096 1.138737) + (xy -6.912622 1.142523) + (xy -6.877719 1.141346) + (xy -6.810801 1.135726) + (xy -6.749671 1.12647) + (xy -6.690438 1.112737) + (xy -6.629215 1.093684) + (xy -6.587807 1.078544) + (xy -6.546392 1.062678) + (xy -6.546392 0.886714) + (xy -6.546392 0.710751) + (xy -6.680503 0.710751) + (xy -6.729266 0.71079) + (xy -6.768404 0.710284) + (xy -6.798973 0.708303) + (xy -6.822029 0.703915) + (xy -6.838628 0.696189) + (xy -6.849825 0.684194) + (xy -6.856678 0.666997) + (xy -6.860241 0.643668) + (xy -6.86157 0.613276) + (xy -6.861723 0.574888) + (xy -6.861687 0.550943) + (xy -6.861687 0.438207) + (xy -6.541048 0.438207) + (xy -6.220408 0.438207) + (xy -6.220408 0.833148) + (xy -6.220408 1.228089) + (xy -6.264358 1.256282) + (xy -6.352986 1.307401) + (xy -6.445554 1.349413) + (xy -6.542935 1.382635) + (xy -6.646004 1.40738) + (xy -6.717399 1.419197) + (xy -6.750288 1.422799) + (xy -6.790598 1.425719) + (xy -6.836167 1.427933) + (xy -6.884827 1.429413) + (xy -6.934414 1.430136) + (xy -6.982764 1.430074) + (xy -7.02771 1.429203) + (xy -7.067087 1.427496) + (xy -7.098731 1.424927) + (xy -7.107511 1.42383) + (xy -7.207958 1.404505) + (xy -7.304203 1.375599) + (xy -7.395777 1.337344) + (xy -7.482212 1.28997) + (xy -7.563041 1.233706) + (xy -7.637795 1.168784) + (xy -7.649926 1.156842) + (xy -7.702991 1.099472) + (xy -7.748667 1.040549) + (xy -7.789441 0.976652) + (xy -7.814997 0.929855) + (xy -7.857029 0.836806) + (xy -7.889572 0.739286) + (xy -7.912491 0.638) + (xy -7.925647 0.533652) + (xy -7.928904 0.426948) + (xy -7.925884 0.359815) + (xy -7.913347 0.252444) + (xy -7.892056 0.150593) + (xy -7.86217 0.054514) + (xy -7.823847 -0.035545) + (xy -7.777242 -0.119333) + (xy -7.722515 -0.196599) + (xy -7.659823 -0.267092) + (xy -7.589322 -0.330564) + (xy -7.511171 -0.386763) + (xy -7.425528 -0.435438) + (xy -7.425478 -0.435463) + (xy -7.342067 -0.47287) + (xy -7.256613 -0.502149) + (xy -7.16772 -0.523608) + (xy -7.073992 -0.53755) + (xy -6.974032 -0.544283) + (xy -6.925045 -0.545043) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 51e7fe0d-0f2e-4101-9a27-d5f7f53ab37e)) + (fp_poly + (pts + (xy 5.321706 -0.380781) + (xy 5.321122 -0.340708) + (xy 5.32051 -0.309735) + (xy 5.319705 -0.286273) + (xy 5.31854 -0.268736) + (xy 5.316847 -0.255535) + (xy 5.31446 -0.245084) + (xy 5.311213 -0.235795) + (xy 5.306939 -0.226079) + (xy 5.305037 -0.222001) + (xy 5.283126 -0.183011) + (xy 5.257282 -0.152788) + (xy 5.2256 -0.129605) + (xy 5.186173 -0.111737) + (xy 5.181532 -0.110108) + (xy 5.175205 -0.108031) + (xy 5.168645 -0.106221) + (xy 5.161088 -0.104655) + (xy 5.151772 -0.103307) + (xy 5.139933 -0.102154) + (xy 5.124807 -0.101171) + (xy 5.10563 -0.100336) + (xy 5.08164 -0.099623) + (xy 5.052072 -0.099009) + (xy 5.016164 -0.09847) + (xy 4.973151 -0.097982) + (xy 4.92227 -0.09752) + (xy 4.862758 -0.097061) + (xy 4.793852 -0.096581) + (xy 4.740149 -0.096223) + (xy 4.331359 -0.09352) + (xy 4.284775 0.17878) + (xy 4.275835 0.231301) + (xy 4.267535 0.280566) + (xy 4.260036 0.325586) + (xy 4.2535 0.365369) + (xy 4.248087 0.398926) + (xy 4.243957 0.425268) + (xy 4.241272 0.443402) + (xy 4.240192 0.452341) + (xy 4.240223 0.453113) + (xy 4.245962 0.452943) + (xy 4.259893 0.450896) + (xy 4.279757 0.447338) + (xy 4.297469 0.44384) + (xy 4.373954 0.430825) + (xy 4.454554 0.421924) + (xy 4.535958 0.417311) + (xy 4.614855 0.417166) + (xy 4.687936 0.421663) + (xy 4.696245 0.422533) + (xy 4.791287 0.437669) + (xy 4.881217 0.461468) + (xy 4.965598 0.493637) + (xy 5.043991 0.53388) + (xy 5.115958 0.581905) + (xy 5.181062 0.637416) + (xy 5.238865 0.700121) + (xy 5.288929 0.769726) + (xy 5.330816 0.845935) + (xy 5.332641 0.849815) + (xy 5.365636 0.932713) + (xy 5.389813 1.020352) + (xy 5.405195 1.111471) + (xy 5.411808 1.204806) + (xy 5.409674 1.299094) + (xy 5.398817 1.393073) + (xy 5.379261 1.485479) + (xy 5.35103 1.575049) + (xy 5.317528 1.653632) + (xy 5.271174 1.737191) + (xy 5.216544 1.814287) + (xy 5.154101 1.884611) + (xy 5.084309 1.947854) + (xy 5.007631 2.003707) + (xy 4.924529 2.051859) + (xy 4.835467 2.092003) + (xy 4.740907 2.123827) + (xy 4.641314 2.147024) + (xy 4.561098 2.158855) + (xy 4.534919 2.160951) + (xy 4.501361 2.162411) + (xy 4.463076 2.163239) + (xy 4.42271 2.163439) + (xy 4.382913 2.163014) + (xy 4.346335 2.161968) + (xy 4.315623 2.160305) + (xy 4.296797 2.158498) + (xy 4.189488 2.139265) + (xy 4.084302 2.109751) + (xy 3.981955 2.070269) + (xy 3.883157 2.021132) + (xy 3.788624 1.962653) + (xy 3.701381 1.897057) + (xy 3.679419 1.878098) + (xy 3.664662 1.863457) + (xy 3.65776 1.853814) + (xy 3.657452 1.851099) + (xy 3.66155 1.84417) + (xy 3.670894 1.830174) + (xy 3.684385 1.810646) + (xy 3.700922 1.787117) + (xy 3.719405 1.761121) + (xy 3.738735 1.73419) + (xy 3.75781 1.707858) + (xy 3.77553 1.683658) + (xy 3.790796 1.663122) + (xy 3.802507 1.647784) + (xy 3.809512 1.639231) + (xy 3.83393 1.619525) + (xy 3.864368 1.605322) + (xy 3.896866 1.598306) + (xy 3.906837 1.597854) + (xy 3.926529 1.598766) + (xy 3.945112 1.602029) + (xy 3.964679 1.608436) + (xy 3.987325 1.61878) + (xy 4.015142 1.633855) + (xy 4.039671 1.648155) + (xy 4.113517 1.689818) + (xy 4.180877 1.723265) + (xy 4.241983 1.748599) + (xy 4.297062 1.76592) + (xy 4.317947 1.770727) + (xy 4.389053 1.780812) + (xy 4.462502 1.783137) + (xy 4.535215 1.77781) + (xy 4.604115 1.764935) + (xy 4.621176 1.760321) + (xy 4.686762 1.736174) + (xy 4.745629 1.703811) + (xy 4.797611 1.663461) + (xy 4.842541 1.615352) + (xy 4.880254 1.559713) + (xy 4.910582 1.496773) + (xy 4.933359 1.42676) + (xy 4.948418 1.349903) + (xy 4.953388 1.304334) + (xy 4.955625 1.225773) + (xy 4.949388 1.15266) + (xy 4.934831 1.085312) + (xy 4.912105 1.024048) + (xy 4.881366 0.969184) + (xy 4.842766 0.921038) + (xy 4.796458 0.879928) + (xy 4.742597 0.846172) + (xy 4.728775 0.8393) + (xy 4.666139 0.815066) + (xy 4.59673 0.798316) + (xy 4.521404 0.789056) + (xy 4.441022 0.787287) + (xy 4.356441 0.793013) + (xy 4.26852 0.806238) + (xy 4.178118 0.826965) + (xy 4.1427 0.836986) + (xy 4.077006 0.856555) + (xy 3.940285 0.817055) + (xy 3.903844 0.806342) + (xy 3.870897 0.796304) + (xy 3.842809 0.787384) + (xy 3.820945 0.780027) + (xy 3.80667 0.774677) + (xy 3.801361 0.771814) + (xy 3.801963 0.765912) + (xy 3.804268 0.750127) + (xy 3.808168 0.725107) + (xy 3.813556 0.691503) + (xy 3.820323 0.649964) + (xy 3.828362 0.60114) + (xy 3.837565 0.545679) + (xy 3.847825 0.484233) + (xy 3.859033 0.417451) + (xy 3.871083 0.345982) + (xy 3.883866 0.270476) + (xy 3.897275 0.191582) + (xy 3.907685 0.130532) + (xy 4.016212 -0.505007) + (xy 4.669839 -0.506365) + (xy 5.323466 -0.507722) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7b702c9c-7b51-4880-b9e6-012624438f33)) + (fp_poly + (pts + (xy 6.454208 -0.500829) + (xy 6.547129 -0.500481) + (xy 6.630081 -0.50014) + (xy 6.703785 -0.499775) + (xy 6.768959 -0.499353) + (xy 6.826324 -0.498842) + (xy 6.876598 -0.498207) + (xy 6.920501 -0.497418) + (xy 6.958753 -0.49644) + (xy 6.992073 -0.495242) + (xy 7.021181 -0.493791) + (xy 7.046796 -0.492053) + (xy 7.069638 -0.489997) + (xy 7.090426 -0.48759) + (xy 7.10988 -0.484798) + (xy 7.12872 -0.48159) + (xy 7.147664 -0.477932) + (xy 7.167432 -0.473791) + (xy 7.188744 -0.469136) + (xy 7.198359 -0.467013) + (xy 7.294284 -0.441751) + (xy 7.381775 -0.410239) + (xy 7.460764 -0.372533) + (xy 7.531186 -0.328686) + (xy 7.592974 -0.278751) + (xy 7.646063 -0.222783) + (xy 7.690387 -0.160836) + (xy 7.725879 -0.092962) + (xy 7.752473 -0.019217) + (xy 7.753735 -0.014782) + (xy 7.766323 0.040276) + (xy 7.775113 0.100483) + (xy 7.779908 0.162571) + (xy 7.780512 0.223272) + (xy 7.776728 0.279315) + (xy 7.773011 0.305244) + (xy 7.754932 0.37835) + (xy 7.727172 0.447206) + (xy 7.689969 0.511547) + (xy 7.643558 0.571107) + (xy 7.588177 0.625622) + (xy 7.524062 0.674827) + (xy 7.451451 0.718456) + (xy 7.370579 0.756244) + (xy 7.370108 0.756437) + (xy 7.320082 0.776894) + (xy 7.365652 0.788991) + (xy 7.398921 0.7987) + (xy 7.436106 0.810981) + (xy 7.473841 0.824606) + (xy 7.508757 0.838347) + (xy 7.537485 0.850974) + (xy 7.543047 0.853683) + (xy 7.612463 0.893782) + (xy 7.673907 0.940531) + (xy 7.727071 0.993557) + (xy 7.771651 1.052484) + (xy 7.807339 1.116938) + (xy 7.833831 1.186545) + (xy 7.843058 1.221102) + (xy 7.847706 1.248862) + (xy 7.851002 1.284595) + (xy 7.852941 1.325673) + (xy 7.85352 1.369469) + (xy 7.852735 1.413354) + (xy 7.850584 1.454699) + (xy 7.847061 1.490875) + (xy 7.843459 1.513324) + (xy 7.820983 1.598954) + (xy 7.789372 1.679238) + (xy 7.748887 1.753923) + (xy 7.699789 1.822759) + (xy 7.64234 1.885494) + (xy 7.5768 1.941875) + (xy 7.503429 1.991652) + (xy 7.42249 2.034571) + (xy 7.334242 2.070382) + (xy 7.238947 2.098832) + (xy 7.235767 2.099623) + (xy 7.214567 2.104884) + (xy 7.19547 2.109582) + (xy 7.17778 2.113752) + (xy 7.160801 2.117427) + (xy 7.143839 2.120641) + (xy 7.126196 2.123427) + (xy 7.107178 2.125818) + (xy 7.08609 2.12785) + (xy 7.062234 2.129555) + (xy 7.034917 2.130966) + (xy 7.003441 2.132119) + (xy 6.967113 2.133046) + (xy 6.925235 2.133781) + (xy 6.877112 2.134357) + (xy 6.82205 2.134809) + (xy 6.759352 2.13517) + (xy 6.688322 2.135474) + (xy 6.608265 2.135755) + (xy 6.518485 2.136045) + (xy 6.480928 2.136167) + (xy 5.899769 2.138083) + (xy 5.899769 0.982387) + (xy 6.391416 0.982387) + (xy 6.391416 1.367609) + (xy 6.391416 1.75283) + (xy 6.655378 1.75283) + (xy 6.709969 1.752714) + (xy 6.763015 1.752383) + (xy 6.813202 1.75186) + (xy 6.859215 1.751167) + (xy 6.899738 1.750328) + (xy 6.933455 1.749366) + (xy 6.959053 1.748304) + (xy 6.974681 1.74722) + (xy 7.050772 1.735625) + (xy 7.118776 1.7171) + (xy 7.178666 1.691671) + (xy 7.230414 1.659364) + (xy 7.273993 1.620206) + (xy 7.309375 1.574221) + (xy 7.336533 1.521436) + (xy 7.355438 1.461876) + (xy 7.366063 1.395569) + (xy 7.367351 1.379078) + (xy 7.366979 1.319599) + (xy 7.358601 1.261956) + (xy 7.342732 1.207925) + (xy 7.31989 1.159278) + (xy 7.291727 1.119112) + (xy 7.255466 1.084278) + (xy 7.210135 1.05367) + (xy 7.15671 1.027868) + (xy 7.110183 1.011492) + (xy 7.093439 1.00661) + (xy 7.077329 1.002428) + (xy 7.060875 0.998881) + (xy 7.043101 0.995909) + (xy 7.023027 0.993448) + (xy 6.999676 0.991435) + (xy 6.972069 0.989808) + (xy 6.93923 0.988505) + (xy 6.90018 0.987463) + (xy 6.853941 0.98662) + (xy 6.799535 0.985913) + (xy 6.735984 0.985279) + (xy 6.694688 0.984921) + (xy 6.391416 0.982387) + (xy 5.899769 0.982387) + (xy 5.899769 0.8176) + (xy 5.899769 -0.123972) + (xy 6.391416 -0.123972) + (xy 6.391416 0.259204) + (xy 6.391416 0.642379) + (xy 6.646592 0.639699) + (xy 6.709707 0.638969) + (xy 6.76312 0.63819) + (xy 6.807816 0.637325) + (xy 6.84478 0.636337) + (xy 6.874998 0.635189) + (xy 6.899456 0.633844) + (xy 6.919138 0.632265) + (xy 6.935031 0.630416) + (xy 6.947191 0.628436) + (xy 7.012228 0.613812) + (xy 7.06818 0.596004) + (xy 7.116327 0.574433) + (xy 7.157951 0.548521) + (xy 7.194332 0.517688) + (xy 7.19613 0.515913) + (xy 7.22862 0.47865) + (xy 7.253268 0.438393) + (xy 7.271768 0.392173) + (xy 7.277093 0.37408) + (xy 7.281804 0.349154) + (xy 7.285002 0.316545) + (xy 7.286688 0.27915) + (xy 7.286865 0.239864) + (xy 7.285536 0.201586) + (xy 7.282702 0.167211) + (xy 7.278367 0.139636) + (xy 7.276903 0.1336) + (xy 7.259239 0.081253) + (xy 7.236023 0.036071) + (xy 7.206561 -0.002503) + (xy 7.170161 -0.035025) + (xy 7.126132 -0.062053) + (xy 7.07378 -0.084142) + (xy 7.012414 -0.10185) + (xy 6.973911 -0.110048) + (xy 6.9612 -0.112127) + (xy 6.945905 -0.113911) + (xy 6.927058 -0.115436) + (xy 6.903691 -0.116736) + (xy 6.874837 -0.117846) + (xy 6.839528 -0.118801) + (xy 6.796797 -0.119635) + (xy 6.745675 -0.120384) + (xy 6.685195 -0.121083) + (xy 6.659952 -0.121338) + (xy 6.391416 -0.123972) + (xy 5.899769 -0.123972) + (xy 5.899769 -0.502884) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8c4f0640-59ce-42d3-8216-d4aa78021919)) + (fp_poly + (pts + (xy 0.481132 -0.524439) + (xy 0.576722 -0.511355) + (xy 0.666952 -0.489979) + (xy 0.751455 -0.460593) + (xy 0.829862 -0.423479) + (xy 0.901807 -0.378918) + (xy 0.966921 -0.327192) + (xy 1.024837 -0.268583) + (xy 1.075188 -0.203371) + (xy 1.117606 -0.131839) + (xy 1.151723 -0.054269) + (xy 1.177171 0.02906) + (xy 1.18965 0.090784) + (xy 1.193576 0.12357) + (xy 1.19623 0.163572) + (xy 1.197586 0.207542) + (xy 1.197616 0.252232) + (xy 1.196293 0.294394) + (xy 1.19359 0.33078) + (xy 1.191781 0.345326) + (xy 1.173863 0.433267) + (xy 1.145996 0.521235) + (xy 1.108009 0.609641) + (xy 1.059734 0.698897) + (xy 1.02012 0.761519) + (xy 1.00365 0.785741) + (xy 0.987039 0.809272) + (xy 0.969752 0.832706) + (xy 0.951256 0.856638) + (xy 0.931015 0.881662) + (xy 0.908497 0.908374) + (xy 0.883166 0.937367) + (xy 0.854488 0.969236) + (xy 0.82193 1.004575) + (xy 0.784958 1.04398) + (xy 0.743036 1.088045) + (xy 0.695632 1.137364) + (xy 0.64221 1.192532) + (xy 0.582237 1.254144) + (xy 0.523712 1.314068) + (xy 0.479763 1.359016) + (xy 0.43454 1.40527) + (xy 0.389237 1.451609) + (xy 0.345049 1.496811) + (xy 0.303169 1.539652) + (xy 0.264794 1.578912) + (xy 0.231117 1.613368) + (xy 0.203334 1.641798) + (xy 0.195842 1.649466) + (xy 0.167984 1.678072) + (xy 0.142864 1.704051) + (xy 0.121367 1.726471) + (xy 0.104383 1.744398) + (xy 0.092799 1.756899) + (xy 0.087502 1.763042) + (xy 0.087303 1.763518) + (xy 0.093311 1.762319) + (xy 0.107621 1.759047) + (xy 0.128155 1.754186) + (xy 0.152837 1.748222) + (xy 0.155463 1.747581) + (xy 0.179408 1.741803) + (xy 0.201359 1.736762) + (xy 0.22221 1.732407) + (xy 0.242854 1.728688) + (xy 0.264184 1.725555) + (xy 0.287094 1.722959) + (xy 0.312477 1.720848) + (xy 0.341225 1.719174) + (xy 0.374232 1.717885) + (xy 0.41239 1.716932) + (xy 0.456594 1.716265) + (xy 0.507736 1.715833) + (xy 0.566708 1.715587) + (xy 0.634406 1.715476) + (xy 0.71172 1.715451) + (xy 0.728303 1.715452) + (xy 0.810038 1.715535) + (xy 0.883504 1.715768) + (xy 0.948388 1.716147) + (xy 1.004378 1.716668) + (xy 1.051162 1.717327) + (xy 1.088426 1.71812) + (xy 1.115859 1.719042) + (xy 1.133147 1.720089) + (xy 1.139218 1.72094) + (xy 1.173022 1.735659) + (xy 1.202172 1.758914) + (xy 1.224364 1.788812) + (xy 1.226326 1.792512) + (xy 1.242479 1.824179) + (xy 1.244177 1.980888) + (xy 1.245875 2.137597) + (xy 0.337034 2.137597) + (xy -0.571807 2.137597) + (xy -0.571767 2.061445) + (xy -0.571121 2.015675) + (xy -0.569002 1.97835) + (xy -0.565055 1.947286) + (xy -0.558925 1.920298) + (xy -0.550257 1.895201) + (xy -0.541605 1.875742) + (xy -0.538478 1.869464) + (xy -0.534982 1.86315) + (xy -0.530699 1.856361) + (xy -0.525208 1.848656) + (xy -0.518092 1.839597) + (xy -0.50893 1.828743) + (xy -0.497303 1.815655) + (xy -0.482792 1.799894) + (xy -0.464977 1.781019) + (xy -0.44344 1.758592) + (xy -0.417761 1.732173) + (xy -0.387521 1.701322) + (xy -0.352301 1.665599) + (xy -0.311681 1.624566) + (xy -0.265242 1.577782) + (xy -0.212564 1.524808) + (xy -0.15323 1.465204) + (xy -0.086818 1.398531) + (xy -0.077759 1.389438) + (xy 0.00072 1.310626) + (xy 0.072037 1.238904) + (xy 0.136609 1.173824) + (xy 0.194852 1.114939) + (xy 0.247183 1.061803) + (xy 0.294019 1.013967) + (xy 0.335775 0.970985) + (xy 0.372868 0.932409) + (xy 0.405714 0.897793) + (xy 0.43473 0.866688) + (xy 0.460333 0.838648) + (xy 0.482939 0.813226) + (xy 0.502963 0.789974) + (xy 0.520824 0.768444) + (xy 0.536936 0.748191) + (xy 0.551718 0.728765) + (xy 0.565584 0.709722) + (xy 0.578951 0.690612) + (xy 0.592237 0.670989) + (xy 0.594817 0.667119) + (xy 0.616684 0.631851) + (xy 0.63947 0.590948) + (xy 0.661408 0.547894) + (xy 0.680729 0.50617) + (xy 0.695667 0.469259) + (xy 0.696777 0.466176) + (xy 0.714059 0.407599) + (xy 0.726167 0.345038) + (xy 0.732904 0.281182) + (xy 0.734073 0.218715) + (xy 0.729476 0.160324) + (xy 0.722163 0.120973) + (xy 0.703347 0.063274) + (xy 0.676555 0.011034) + (xy 0.642518 -0.034695) + (xy 0.601967 -0.072861) + (xy 0.574127 -0.092111) + (xy 0.523335 -0.11714) + (xy 0.466565 -0.134857) + (xy 0.405726 -0.145167) + (xy 0.342725 -0.147974) + (xy 0.279468 -0.143183) + (xy 0.217862 -0.130698) + (xy 0.164929 -0.112605) + (xy 0.112802 -0.085122) + (xy 0.065041 -0.048541) + (xy 0.022505 -0.00386) + (xy -0.013943 0.047922) + (xy -0.043445 0.105806) + (xy -0.058722 0.14696) + (xy -0.076658 0.192191) + (xy -0.098724 0.227792) + (xy -0.125458 0.254198) + (xy -0.157399 0.271845) + (xy -0.195084 0.281167) + (xy -0.226753 0.282966) + (xy -0.241182 0.281966) + (xy -0.264208 0.279304) + (xy -0.293915 0.27525) + (xy -0.328389 0.270074) + (xy -0.365716 0.264048) + (xy -0.390111 0.259887) + (xy -0.521039 0.237075) + (xy -0.519298 0.210721) + (xy -0.516672 0.190894) + (xy -0.511255 0.163562) + (xy -0.503725 0.131329) + (xy -0.494761 0.0968) + (xy -0.485042 0.062578) + (xy -0.475244 0.031268) + (xy -0.466047 0.005475) + (xy -0.465425 0.003896) + (xy -0.425979 -0.082021) + (xy -0.378604 -0.161755) + (xy -0.323824 -0.234726) + (xy -0.262164 -0.300353) + (xy -0.194151 -0.358058) + (xy -0.120308 -0.407261) + (xy -0.067505 -0.43534) + (xy 0.014929 -0.470194) + (xy 0.100489 -0.496733) + (xy 0.190355 -0.515204) + (xy 0.285707 -0.525852) + (xy 0.380549 -0.528951) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 28e778e7-64d9-4883-877a-f2a8170033d5)) + (fp_poly + (pts + (xy 1.408131 -2.544005) + (xy 1.433843 -2.543135) + (xy 1.454561 -2.54175) + (xy 1.468469 -2.539843) + (xy 1.47375 -2.537409) + (xy 1.473711 -2.537061) + (xy 1.471862 -2.531219) + (xy 1.467037 -2.515781) + (xy 1.459428 -2.491359) + (xy 1.449224 -2.458566) + (xy 1.436616 -2.418013) + (xy 1.421794 -2.370314) + (xy 1.404948 -2.316079) + (xy 1.386268 -2.255923) + (xy 1.365945 -2.190456) + (xy 1.344168 -2.120292) + (xy 1.321127 -2.046043) + (xy 1.297014 -1.968321) + (xy 1.272018 -1.887738) + (xy 1.268731 -1.87714) + (xy 1.066127 -1.223899) + (xy 0.952835 -1.223837) + (xy 0.839542 -1.223774) + (xy 0.820192 -1.283894) + (xy 0.815444 -1.298601) + (xy 0.807693 -1.322545) + (xy 0.797241 -1.354799) + (xy 0.784388 -1.394437) + (xy 0.769436 -1.440531) + (xy 0.752685 -1.492155) + (xy 0.734435 -1.54838) + (xy 0.714988 -1.60828) + (xy 0.694645 -1.670929) + (xy 0.673706 -1.735397) + (xy 0.670647 -1.744814) + (xy 0.650077 -1.808192) + (xy 0.63039 -1.868947) + (xy 0.61185 -1.926266) + (xy 0.594716 -1.979334) + (xy 0.579252 -2.027339) + (xy 0.565719 -2.069466) + (xy 0.554378 -2.104902) + (xy 0.545492 -2.132833) + (xy 0.539322 -2.152445) + (xy 0.536129 -2.162924) + (xy 0.535814 -2.16407) + (xy 0.533633 -2.171745) + (xy 0.531502 -2.174531) + (xy 0.52871 -2.171256) + (xy 0.524544 -2.160748) + (xy 0.518293 -2.141833) + (xy 0.513864 -2.127917) + (xy 0.509508 -2.11436) + (xy 0.502102 -2.091528) + (xy 0.491932 -2.060302) + (xy 0.479287 -2.021559) + (xy 0.464454 -1.97618) + (xy 0.447721 -1.925042) + (xy 0.429375 -1.869026) + (xy 0.409704 -1.809011) + (xy 0.388995 -1.745874) + (xy 0.367537 -1.680497) + (xy 0.357828 -1.650933) + (xy 0.336629 -1.586359) + (xy 0.316417 -1.524738) + (xy 0.297437 -1.466819) + (xy 0.279935 -1.413355) + (xy 0.264155 -1.365095) + (xy 0.250343 -1.32279) + (xy 0.238745 -1.287191) + (xy 0.229605 -1.259049) + (xy 0.223168 -1.239114) + (xy 0.21968 -1.228138) + (xy 0.219104 -1.226167) + (xy 0.214019 -1.22546) + (xy 0.199797 -1.224837) + (xy 0.177992 -1.224328) + (xy 0.150154 -1.223968) + (xy 0.117837 -1.223789) + (xy 0.105524 -1.223774) + (xy -0.008055 -1.223774) + (xy -0.211107 -1.876412) + (xy -0.236264 -1.957283) + (xy -0.26055 -2.035381) + (xy -0.283775 -2.110095) + (xy -0.305749 -2.180812) + (xy -0.326282 -2.246918) + (xy -0.345184 -2.3078) + (xy -0.362264 -2.362845) + (xy -0.377332 -2.411439) + (xy -0.390198 -2.452971) + (xy -0.400672 -2.486826) + (xy -0.408563 -2.512392) + (xy -0.413681 -2.529055) + (xy -0.415836 -2.536203) + (xy -0.415887 -2.536396) + (xy -0.414837 -2.538829) + (xy -0.409619 -2.540679) + (xy -0.399073 -2.542018) + (xy -0.382038 -2.542917) + (xy -0.357353 -2.54345) + (xy -0.323858 -2.543689) + (xy -0.298319 -2.543721) + (xy -0.259138 -2.543645) + (xy -0.22914 -2.543354) + (xy -0.206824 -2.542735) + (xy -0.190685 -2.541672) + (xy -0.17922 -2.540049) + (xy -0.170925 -2.537752) + (xy -0.164298 -2.534666) + (xy -0.161946 -2.533288) + (xy -0.148062 -2.522799) + (xy -0.137408 -2.511388) + (xy -0.136872 -2.510596) + (xy -0.134105 -2.503472) + (xy -0.128752 -2.486976) + (xy -0.121076 -2.462037) + (xy -0.111342 -2.429586) + (xy -0.099812 -2.390551) + (xy -0.086752 -2.345861) + (xy -0.072423 -2.296446) + (xy -0.057092 -2.243236) + (xy -0.04102 -2.187159) + (xy -0.024471 -2.129144) + (xy -0.007711 -2.070122) + (xy 0.008999 -2.011022) + (xy 0.025394 -1.952772) + (xy 0.04121 -1.896302) + (xy 0.056184 -1.842542) + (xy 0.070051 -1.79242) + (xy 0.082549 -1.746867) + (xy 0.093413 -1.70681) + (xy 0.10238 -1.673181) + (xy 0.109186 -1.646908) + (xy 0.113567 -1.628919) + (xy 0.11435 -1.625368) + (xy 0.126046 -1.570051) + (xy 0.13575 -1.612008) + (xy 0.13907 -1.624275) + (xy 0.14534 -1.645373) + (xy 0.15426 -1.67438) + (xy 0.165529 -1.710378) + (xy 0.178846 -1.752443) + (xy 0.193911 -1.799657) + (xy 0.210423 -1.851099) + (xy 0.228081 -1.905848) + (xy 0.246585 -1.962983) + (xy 0.265634 -2.021584) + (xy 0.284928 -2.08073) + (xy 0.304165 -2.139501) + (xy 0.323046 -2.196976) + (xy 0.341269 -2.252234) + (xy 0.358534 -2.304355) + (xy 0.374541 -2.352419) + (xy 0.388988 -2.395503) + (xy 0.401575 -2.432689) + (xy 0.412001 -2.463056) + (xy 0.419966 -2.485682) + (xy 0.42517 -2.499647) + (xy 0.426927 -2.503643) + (xy 0.437774 -2.517556) + (xy 0.45216 -2.530422) + (xy 0.453983 -2.531699) + (xy 0.4627 -2.536894) + (xy 0.472079 -2.540347) + (xy 0.484474 -2.542407) + (xy 0.502243 -2.543419) + (xy 0.527743 -2.543731) + (xy 0.535718 -2.543741) + (xy 0.57103 -2.542983) + (xy 0.597529 -2.54034) + (xy 0.617009 -2.53526) + (xy 0.631262 -2.527189) + (xy 0.64208 -2.515576) + (xy 0.645873 -2.509772) + (xy 0.648927 -2.502378) + (xy 0.654939 -2.485714) + (xy 0.66361 -2.460693) + (xy 0.674645 -2.428224) + (xy 0.687747 -2.389219) + (xy 0.702618 -2.34459) + (xy 0.718961 -2.295247) + (xy 0.736481 -2.242103) + (xy 0.75488 -2.186067) + (xy 0.773862 -2.128051) + (xy 0.793129 -2.068967) + (xy 0.812385 -2.009726) + (xy 0.831333 -1.951238) + (xy 0.849676 -1.894416) + (xy 0.867118 -1.84017) + (xy 0.883361 -1.789411) + (xy 0.898109 -1.743051) + (xy 0.911065 -1.702002) + (xy 0.921932 -1.667173) + (xy 0.930414 -1.639477) + (xy 0.936213 -1.619824) + (xy 0.938524 -1.611316) + (xy 0.947806 -1.57401) + (xy 0.959631 -1.630423) + (xy 0.96297 -1.644536) + (xy 0.968992 -1.668006) + (xy 0.977444 -1.699913) + (xy 0.988075 -1.739334) + (xy 1.000631 -1.785351) + (xy 1.014859 -1.837042) + (xy 1.030508 -1.893487) + (xy 1.047325 -1.953766) + (xy 1.065057 -2.016958) + (xy 1.083451 -2.082142) + (xy 1.087124 -2.095114) + (xy 1.108603 -2.170906) + (xy 1.127403 -2.237097) + (xy 1.143762 -2.294367) + (xy 1.157917 -2.343397) + (xy 1.170106 -2.38487) + (xy 1.180566 -2.419464) + (xy 1.189534 -2.447862) + (xy 1.197247 -2.470745) + (xy 1.203944 -2.488793) + (xy 1.209861 -2.502687) + (xy 1.215236 -2.513109) + (xy 1.220306 -2.52074) + (xy 1.225309 -2.52626) + (xy 1.230481 -2.53035) + (xy 1.236061 -2.533692) + (xy 1.240877 -2.536233) + (xy 1.250692 -2.538783) + (xy 1.268227 -2.540865) + (xy 1.291666 -2.542471) + (xy 1.319192 -2.543594) + (xy 1.348989 -2.544228) + (xy 1.37924 -2.544368) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0f4bdc9f-4d0b-4e72-9f3e-844773f7d469)) + (fp_poly + (pts + (xy -3.305069 -0.509015) + (xy -3.306975 -0.502466) + (xy -3.311892 -0.486219) + (xy -3.319662 -0.460788) + (xy -3.330129 -0.426681) + (xy -3.343133 -0.384411) + (xy -3.358518 -0.334487) + (xy -3.376125 -0.277422) + (xy -3.395796 -0.213725) + (xy -3.417375 -0.143907) + (xy -3.440702 -0.06848) + (xy -3.46562 0.012046) + (xy -3.491972 0.097159) + (xy -3.519599 0.18635) + (xy -3.548344 0.279107) + (xy -3.578048 0.374919) + (xy -3.603478 0.456911) + (xy -3.898556 1.408142) + (xy -4.063489 1.408142) + (xy -4.228422 1.408142) + (xy -4.446479 0.735709) + (xy -4.473167 0.653369) + (xy -4.499018 0.57353) + (xy -4.523833 0.496814) + (xy -4.54741 0.423844) + (xy -4.56955 0.355243) + (xy -4.590051 0.291634) + (xy -4.608714 0.233639) + (xy -4.625339 0.181882) + (xy -4.639724 0.136985) + (xy -4.651669 0.099571) + (xy -4.660975 0.070262) + (xy -4.667441 0.049683) + (xy -4.670866 0.038455) + (xy -4.671275 0.036982) + (xy -4.675639 0.022044) + (xy -4.679449 0.012528) + (xy -4.681012 0.010708) + (xy -4.683659 0.015504) + (xy -4.688418 0.028427) + (xy -4.694533 0.047311) + (xy -4.699186 0.062812) + (xy -4.702745 0.074391) + (xy -4.709422 0.095455) + (xy -4.719 0.125336) + (xy -4.731262 0.163365) + (xy -4.74599 0.208874) + (xy -4.762966 0.261193) + (xy -4.781974 0.319654) + (xy -4.802796 0.383589) + (xy -4.825214 0.452328) + (xy -4.849012 0.525203) + (xy -4.873971 0.601545) + (xy -4.899875 0.680686) + (xy -4.926361 0.761519) + (xy -5.13836 1.408142) + (xy -5.30277 1.409556) + (xy -5.351154 1.40988) + (xy -5.389785 1.409914) + (xy -5.419596 1.409626) + (xy -5.441519 1.408987) + (xy -5.456486 1.407966) + (xy -5.465431 1.406535) + (xy -5.469284 1.404663) + (xy -5.469534 1.404212) + (xy -5.471671 1.397518) + (xy -5.476748 1.381315) + (xy -5.484576 1.356211) + (xy -5.494967 1.322812) + (xy -5.507734 1.281728) + (xy -5.522687 1.233567) + (xy -5.539639 1.178937) + (xy -5.5584 1.118446) + (xy -5.578784 1.052701) + (xy -5.600602 0.982312) + (xy -5.623665 0.907887) + (xy -5.647785 0.830033) + (xy -5.672775 0.749358) + (xy -5.698445 0.666472) + (xy -5.724607 0.581981) + (xy -5.751074 0.496495) + (xy -5.777657 0.410621) + (xy -5.804168 0.324967) + (xy -5.830418 0.240142) + (xy -5.856219 0.156753) + (xy -5.881383 0.075409) + (xy -5.905723 -0.003282) + (xy -5.929048 -0.078711) + (xy -5.951172 -0.150272) + (xy -5.971906 -0.217355) + (xy -5.991062 -0.279352) + (xy -6.008451 -0.335656) + (xy -6.023886 -0.385658) + (xy -6.037177 -0.428751) + (xy -6.048138 -0.464325) + (xy -6.056579 -0.491772) + (xy -6.062312 -0.510486) + (xy -6.065149 -0.519857) + (xy -6.065432 -0.520861) + (xy -6.0603 -0.521553) + (xy -6.045749 -0.522176) + (xy -6.02305 -0.522711) + (xy -5.993473 -0.523138) + (xy -5.958286 -0.523436) + (xy -5.91876 -0.523584) + (xy -5.89576 -0.523594) + (xy -5.844775 -0.523496) + (xy -5.803271 -0.523142) + (xy -5.770043 -0.522328) + (xy -5.743886 -0.520848) + (xy -5.723594 -0.518498) + (xy -5.70796 -0.515073) + (xy -5.695778 -0.510368) + (xy -5.685844 -0.504177) + (xy -5.676951 -0.496297) + (xy -5.667893 -0.486522) + (xy -5.666181 -0.484579) + (xy -5.663498 -0.481748) + (xy -5.661192 -0.479554) + (xy -5.659096 -0.477448) + (xy -5.657045 -0.47488) + (xy -5.654872 -0.4713) + (xy -5.652412 -0.466157) + (xy -5.649496 -0.458902) + (xy -5.645961 -0.448985) + (xy -5.641639 -0.435855) + (xy -5.636364 -0.418962) + (xy -5.62997 -0.397757) + (xy -5.622291 -0.371689) + (xy -5.61316 -0.340208) + (xy -5.602411 -0.302764) + (xy -5.589879 -0.258808) + (xy -5.575396 -0.207788) + (xy -5.558797 -0.149155) + (xy -5.539916 -0.08236) + (xy -5.518585 -0.006851) + (xy -5.49464 0.077921) + (xy -5.472113 0.157648) + (xy -5.445781 0.250838) + (xy -5.422197 0.334365) + (xy -5.401192 0.408851) + (xy -5.382597 0.474917) + (xy -5.366246 0.533182) + (xy -5.351969 0.584267) + (xy -5.339599 0.628794) + (xy -5.328968 0.667382) + (xy -5.319907 0.700653) + (xy -5.312249 0.729226) + (xy -5.305826 0.753723) + (xy -5.300469 0.774764) + (xy -5.296011 0.792971) + (xy -5.292283 0.808962) + (xy -5.289117 0.82336) + (xy -5.286346 0.836785) + (xy -5.283801 0.849857) + (xy -5.281832 0.860383) + (xy -5.27773 0.880628) + (xy -5.274124 0.894841) + (xy -5.271489 0.901344) + (xy -5.270485 0.900463) + (xy -5.26729 0.884801) + (xy -5.262851 0.865916) + (xy -5.257007 0.843293) + (xy -5.2496 0.816417) + (xy -5.240469 0.784774) + (xy -5.229457 0.747848) + (xy -5.216402 0.705125) + (xy -5.201146 0.65609) + (xy -5.183529 0.600227) + (xy -5.163392 0.537023) + (xy -5.140575 0.465962) + (xy -5.114918 0.386529) + (xy -5.086264 0.298209) + (xy -5.054451 0.200488) + (xy -5.039782 0.155516) + (xy -5.00899 0.061337) + (xy -4.980186 -0.026401) + (xy -4.95349 -0.107341) + (xy -4.92902 -0.18113) + (xy -4.906898 -0.247413) + (xy -4.887241 -0.305836) + (xy -4.870171 -0.356045) + (xy -4.855805 -0.397685) + (xy -4.844265 -0.430402) + (xy -4.835669 -0.453842) + (xy -4.830136 -0.46765) + (xy -4.828436 -0.471021) + (xy -4.815122 -0.487014) + (xy -4.798202 -0.502259) + (xy -4.792594 -0.506298) + (xy -4.784104 -0.511684) + (xy -4.77613 -0.515604) + (xy -4.766795 -0.518331) + (xy -4.754225 -0.520137) + (xy -4.736541 -0.521296) + (xy -4.711868 -0.522079) + (xy -4.679467 -0.522739) + (xy -4.639083 -0.523122) + (xy -4.607619 -0.522285) + (xy -4.583335 -0.519796) + (xy -4.56449 -0.515226) + (xy -4.549346 -0.508144) + (xy -4.536163 -0.498118) + (xy -4.523201 -0.484719) + (xy -4.522452 -0.483867) + (xy -4.519321 -0.480028) + (xy -4.516119 -0.475358) + (xy -4.512657 -0.46931) + (xy -4.508747 -0.461335) + (xy -4.504202 -0.450884) + (xy -4.498833 -0.43741) + (xy -4.492453 -0.420364) + (xy -4.484873 -0.399198) + (xy -4.475906 -0.373363) + (xy -4.465363 -0.342311) + (xy -4.453057 -0.305495) + (xy -4.4388 -0.262364) + (xy -4.422403 -0.212372) + (xy -4.403679 -0.15497) + (xy -4.38244 -0.08961) + (xy -4.358497 -0.015743) + (xy -4.331663 0.067179) + (xy -4.301751 0.159704) + (xy -4.300463 0.163688) + (xy -4.274654 0.243641) + (xy -4.249586 0.321487) + (xy -4.225476 0.39654) + (xy -4.202542 0.468115) + (xy -4.181002 0.535525) + (xy -4.161074 0.598087) + (xy -4.142975 0.655114) + (xy -4.126922 0.705921) + (xy -4.113134 0.749823) + (xy -4.101828 0.786134) + (xy -4.093221 0.814169) + (xy -4.087532 0.833242) + (xy -4.085298 0.841303) + (xy -4.078084 0.869182) + (xy -4.072853 0.88717) + (xy -4.069375 0.895836) + (xy -4.067417 0.895744) + (xy -4.066748 0.887462) + (xy -4.066744 0.886658) + (xy -4.06598 0.87721) + (xy -4.063654 0.862881) + (xy -4.059657 0.843264) + (xy -4.053883 0.817956) + (xy -4.046224 0.786549) + (xy -4.03657 0.748638) + (xy -4.024815 0.703818) + (xy -4.010851 0.651683) + (xy -3.994569 0.591827) + (xy -3.975863 0.523844) + (xy -3.954623 0.44733) + (xy -3.930742 0.361878) + (xy -3.904112 0.267082) + (xy -3.874626 0.162538) + (xy -3.866447 0.1336) + (xy -3.844728 0.056885) + (xy -3.823705 -0.017169) + (xy -3.803574 -0.087886) + (xy -3.784529 -0.154591) + (xy -3.766764 -0.216608) + (xy -3.750476 -0.273263) + (xy -3.735857 -0.32388) + (xy -3.723104 -0.367783) + (xy -3.71241 -0.404297) + (xy -3.70397 -0.432748) + (xy -3.69798 -0.452459) + (xy -3.694633 -0.462756) + (xy -3.69418 -0.463893) + (xy -3.684005 -0.479337) + (xy -3.670338 -0.493358) + (xy -3.668947 -0.494456) + (xy -3.65799 -0.502519) + (xy -3.647672 -0.508947) + (xy -3.636657 -0.513925) + (xy -3.623607 -0.517639) + (xy -3.607185 -0.520272) + (xy -3.586052 -0.52201) + (xy -3.558873 -0.523037) + (xy -3.524308 -0.523539) + (xy -3.481022 -0.523701) + (xy -3.45731 -0.523711) + (xy -3.301738 -0.523711) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 40edaf38-3024-4006-a923-998eb24d34f5)) + ) + + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "B.Cu") + (tstamp aafbf60f-55c5-405f-b95d-cf9eec02ec3c) + (at 69.0245 120.904 -90) + (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") + (tags "tag connect programming header pogo pins") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005e4199b1") + (attr exclude_from_pos_files) + (fp_text reference "J2" (at 0 -5 90) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 19db510e-f648-42f7-b4d4-1ddb5a837d42) + ) + (fp_text value "JTAG" (at 0 4.8 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 68116745-0a1c-46d1-b64a-44616a010db7) + ) + (fp_text user "KEEPOUT" (at 0 0 90) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp ac0f5d96-be47-4b88-992d-76ae9f6d0b45) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp dd471340-b994-4070-8066-88ab7b53111a) + ) + (fp_line (start -3.175 -1.27) (end -3.175 -0.635) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b8508cbb-e2a7-4437-977d-043c62c0b75e)) + (fp_line (start -2.54 -1.27) (end -3.175 -1.27) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a08d6ab8-ffa7-413c-a95f-0b556d3864f6)) + (fp_line (start -5.5 -4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 67a6bbc8-82e6-48ec-9c7f-4f9c9559c080)) + (fp_line (start -5.5 4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c424dd56-b5ca-46a9-a1c1-43a68dcf3b69)) + (fp_line (start 4.75 -4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 609e4151-0fe8-4bc5-9a70-7b32885581d0)) + (fp_line (start 4.75 4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9ed4f18a-0a6a-42c2-b6ff-fd2941818e92)) + (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp e205c9a9-81d1-40b9-be92-df42984390f8)) + (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 7a31f67e-efe8-473c-9ca7-acb7a96a7995)) + (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp ceb81d8c-6fb5-4ca5-86c7-e78da490b37b)) + (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 715d5487-b5dd-4e68-8e86-e2e30e1c0b35)) + (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 44fa0cb8-e0fb-40e1-b5b1-f29316fb1fa1)) + (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp f56ed743-4cb4-4209-a8a7-f6de61d060ce)) + (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 77674813-9ba3-4e4a-9b5c-2ea087f421f2)) + (pad "1" connect circle (at -2.54 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 144 "Net-(J2-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 268ba742-d0da-485b-9f5a-9884773a89b4)) + (pad "2" connect circle (at -1.27 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 21a904ff-4193-4cd8-8392-b2dffe97562a)) + (pad "3" connect circle (at 0 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 48 "/TMS") (pinfunction "Pin_3") (pintype "passive") (tstamp fb46eb02-6abf-4903-94b6-0b9bd59a2b11)) + (pad "4" connect circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 2 "GND") (pinfunction "Pin_4") (pintype "passive") (tstamp db41d605-6ed8-422d-9800-18ff96bda888)) + (pad "5" connect circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 49 "/TDI") (pinfunction "Pin_5") (pintype "passive") (tstamp b704ffcd-9188-4c43-94f2-4baafe5c966f)) + (pad "6" connect circle (at 2.54 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 70 "+3V3") (pinfunction "Pin_6") (pintype "passive") (tstamp bbe0d39c-d63a-4a08-a7d5-1fc8dbc7d88b)) + (pad "7" connect circle (at 1.27 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 47 "/TDO") (pinfunction "Pin_7") (pintype "passive") (tstamp 2d1f4477-f190-4413-8f6b-f7d14e6df755)) + (pad "8" connect circle (at 0 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 128 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 391bf667-7dbc-4ed8-8779-9baa6e685995)) + (pad "9" connect circle (at -1.27 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 126 "unconnected-(J2-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp 659e255c-92d7-4475-aeeb-5b687b9ebf06)) + (pad "10" connect circle (at -2.54 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 127 "unconnected-(J2-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp c9249315-cd6c-4628-8368-78b2e73922d9)) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp a030150f-81fc-4aa1-8a67-d760ad4804e4) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.6595 118.364) + (xy 69.6595 123.444) + (xy 68.3895 123.444) + (xy 68.3895 118.364) + ) + ) + ) + ) + + (gr_line (start 129.032 110.49) (end 129.032 108.712) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 1dc77f56-39c6-4931-9914-62fb66184291)) + (gr_line (start 129.032 107.95) (end 129.032 106.172) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 34aec3a1-6b0e-4381-85f9-6af4a8fd37e7)) + (gr_line (start 130.23855 104.8385) (end 130.23865 104.8385) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp 38eab40c-ea27-43d7-b1a0-5fa0f337c330)) + (gr_line (start 130.81 105.41) (end 130.81 103.632) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 3acf5d2d-a416-40a2-b3c2-8217e6bce041)) + (gr_line (start 130.81 108.712) (end 129.032 108.712) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 61759c6d-eba3-4063-b2e3-15abd199a63e)) + (gr_line (start 130.23855 109.9185) (end 130.23865 109.9185) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp 649aa8ca-c478-4df6-b044-a6cc874ff055)) + (gr_line (start 129.6034 109.2835) (end 129.6035 109.2835) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp 7438480c-ba29-4e89-a0f2-263fee18c33d)) + (gr_line (start 130.81 107.95) (end 130.81 106.172) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 7c132e43-c278-4142-ae32-f09f610fc832)) + (gr_line (start 129.032 107.95) (end 130.81 107.95) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 8606c132-234b-41f8-ba2a-4f697da57d5f)) + (gr_line (start 129.032 105.41) (end 129.032 103.632) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 87c3266d-30b5-4786-9bbb-198813a408ae)) + (gr_line (start 130.81 103.632) (end 129.032 103.632) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 970cf7cc-2e8b-44c7-81c4-c3d49ead943b)) + (gr_line (start 130.2384 106.7435) (end 130.2385 106.7435) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp a1f1ce40-05dd-4745-bbc3-6669ebcd3eb6)) + (gr_line (start 130.81 106.172) (end 129.032 106.172) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp ad501fd2-cf5c-4797-90aa-6060987320b5)) + (gr_line (start 129.032 110.49) (end 130.81 110.49) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp bdc48064-b034-4169-afe0-2cf30335eaa0)) + (gr_line (start 129.032 105.41) (end 130.81 105.41) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp c2932a44-b444-40d7-a586-8184751e4bb9)) + (gr_line (start 130.81 110.49) (end 130.81 108.712) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp d9c3748c-5d96-4892-9850-754c1d839426)) + (gr_line (start 129.6034 104.8385) (end 129.6035 104.8385) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp e38b81a3-ecd8-4af6-b4dc-e016612f23b3)) + (gr_line (start 129.6034 107.3785) (end 129.6035 107.3785) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp e6a2d9ac-be88-4042-8626-10fda35934b6)) + (gr_poly + (pts + (xy 139.7 139.446) + (xy 139.192 139.954) + (xy 74.168 139.954) + (xy 73.66 139.446) + (xy 73.66 132.08) + (xy 139.7 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.Mask") (tstamp b69b45fb-22ee-47b2-a1a4-4a01383aaaee)) + (gr_poly + (pts + (xy 139.7 139.446) + (xy 139.192 139.954) + (xy 74.168 139.954) + (xy 73.66 139.446) + (xy 73.66 132.08) + (xy 139.7 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 47e16c94-ea56-4305-ad63-e01e9b0b3d82)) + (gr_arc (start 143.002 80.391) (mid 144.438841 80.986159) (end 145.034 82.423) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 06a47f2d-a80b-4428-b0be-00df64ca33c2)) + (gr_arc (start 46.101 93.599) (mid 46.205444 92.955919) (end 46.508041 92.378946) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 278be10f-7c04-4424-9028-c19b4aee1144)) + (gr_arc (start 145.034 129.54) (mid 144.438841 130.976841) (end 143.002 131.572) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp ce8f5bd1-7109-49b4-a7dc-a7a6d4bfd2df)) + (gr_arc (start 57.684041 81.202946) (mid 58.40074 80.605285) (end 59.309 80.391) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp da2b575d-56b6-4320-b661-9bd34896f32d)) + (gr_arc (start 48.133 131.572) (mid 46.696159 130.976841) (end 46.101 129.54) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp e428ca0a-bdf1-41b0-8bc4-d3564fde3592)) + (gr_line (start 57.785 80.391) (end 46.101001 92.074999) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 184745fc-574c-410c-905b-a1e31764250f)) + (gr_line (start 143.002 132.08) (end 139.446 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 4ff479b0-9dbd-4ed9-8e7b-8c8c463f1ac7)) + (gr_line (start 45.593 129.54) (end 45.593 93.599) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 5ab51f07-3f88-4883-9622-5f55dead79c1)) + (gr_arc (start 48.133 132.08) (mid 46.336949 131.336051) (end 45.593 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 63d4840e-e271-4c39-9b6d-1843470bbe93)) + (gr_line (start 138.938 139.7) (end 74.422 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 6523c061-de6a-4d61-858b-22a16283b227)) + (gr_arc (start 145.542 129.54) (mid 144.798051 131.336051) (end 143.002 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 73f4864e-32c9-4fc1-9e17-294c7cc70a2c)) + (gr_arc (start 139.446 139.192) (mid 139.29721 139.55121) (end 138.938 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 7a57356a-1c40-41a8-bf0c-df036dedf1fe)) + (gr_arc (start 57.785 80.391) (mid 58.505781 80.013344) (end 59.309 79.883) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 81fbfed6-6e4a-430c-8305-250bb527e698)) + (gr_arc (start 74.422 139.7) (mid 74.06279 139.55121) (end 73.914 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 8b842cb4-cb04-49f3-8182-5a91883a4b30)) + (gr_line (start 48.133 132.08) (end 73.914 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 8de0cfa3-9998-42e4-95b4-06c91bd974d9)) + (gr_arc (start 143.002 79.883) (mid 144.798051 80.626949) (end 145.542 82.423) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp b058e857-8f8a-4bd1-b6c8-9ece001c5628)) + (gr_line (start 145.542 82.423) (end 145.542 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp b4119be0-0a1e-44f1-b709-9dd4b285c91e)) + (gr_line (start 139.446 132.08) (end 139.446 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp bd0596ca-ebc5-45e9-90ea-16fdb5d823a0)) + (gr_line (start 59.309 79.883) (end 143.002 79.883) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp be3e7e2f-78c6-4952-82f8-845aed91bb09)) + (gr_line (start 73.914 132.08) (end 73.914 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp d1644852-b4c5-4bb1-af22-97a63f1905b6)) + (gr_arc (start 45.593 93.599) (mid 45.723345 92.795781) (end 46.101001 92.074999) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp e7f3912a-92ea-40d8-b15d-b012d4c2b90a)) + (gr_text "ZK, GF" (at 132.461 129.921) (layer "B.SilkS") (tstamp 7a17f3a3-d393-4254-8e0d-4675b63e33a0) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "B10A" (at 71.755 129.921) (layer "B.SilkS") (tstamp f293dcdc-0078-4171-acc4-c0bec3e55ed2) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "Firmware Select:" (at 135.636 102.235) (layer "F.SilkS") (tstamp 288591eb-e0b4-4f0a-a2ab-ca51785dc45d) + (effects (font (size 1.016 1.016) (thickness 0.2032))) + ) + (gr_text "1 MB Slinky" (at 131.318 104.521) (layer "F.SilkS") (tstamp 5f8645f3-0da2-4b8f-9941-bc3e13c84bec) + (effects (font (size 0.9525 0.9525) (thickness 0.2032)) (justify left)) + ) + (gr_text "8 MB RAMFactor" (at 131.318 109.601) (layer "F.SilkS") (tstamp 858864ab-3393-45e6-8d98-b721775db8a6) + (effects (font (size 0.9525 0.9) (thickness 0.2032)) (justify left)) + ) + (gr_text "1 MB RAMFactor" (at 131.318 107.061) (layer "F.SilkS") (tstamp c3d55152-ba0a-438e-a96f-74f2b52e4fe4) + (effects (font (size 0.9 0.9525) (thickness 0.2032)) (justify left)) + ) + + (segment (start 137.3 126.378) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 06241d46-5e1c-4813-afa7-4eb2af315151)) + (segment (start 137.16 127) (end 137.75 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 11a2f776-5332-456e-ab0c-d55470632cc6)) + (segment (start 137.3 124.2) (end 137.3 126.86) (width 0.8) (layer "F.Cu") (net 1) (tstamp 31feea27-63b9-4899-b34d-76f81b91c6cd)) + (segment (start 137.3 124.2) (end 138.25 124.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp 411d2afc-4f44-4af0-aded-b0c12da4a073)) + (segment (start 137.16 135.382) (end 137.16 129.794) (width 1.524) (layer "F.Cu") (net 1) (tstamp 50d7ff85-ed28-4ca3-ac32-abe641d81044)) + (segment (start 137.16 128.27) (end 137.16 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp 604e7d9c-6d11-4f75-9ce6-64024173a23b)) + (segment (start 137.668 129.794) (end 138.303 129.159) (width 0.8) (layer "F.Cu") (net 1) (tstamp 66032601-1b35-4e5f-9915-554522951112)) + (segment (start 138.303 127.381) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6bed2c3e-1d2d-488b-a1b7-c4938bcb4aa7)) + (segment (start 137.16 130.937) (end 137.16 135.382) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6c471c9e-a894-4697-b5f9-0b2b686f78e8)) + (segment (start 137.16 128.27) (end 137.16 127) (width 0.762) (layer "F.Cu") (net 1) (tstamp 6e5d014e-1560-4057-97af-60d23a39f2f2)) + (segment (start 137.922 127) (end 138.303 127.381) (width 0.8) (layer "F.Cu") (net 1) (tstamp 81367138-1f84-4d47-8da2-af30a1b1f8a5)) + (segment (start 137.3 124.2) (end 137.3 126.378) (width 0.8) (layer "F.Cu") (net 1) (tstamp 8e8b8d37-acd4-4221-99ac-8920c0b8635d)) + (segment (start 139.1 125.05) (end 140.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp a802a026-92eb-46da-b10d-2bc040baa4c4)) + (segment (start 138.303 129.159) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp df274c2a-7b37-419c-88e8-820b3c2b5da1)) + (segment (start 137.16 129.794) (end 137.668 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp e04c1b1b-f9ba-4354-9b69-1ef01f812d66)) + (segment (start 138.25 124.2) (end 139.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp eae122ae-0fa5-409a-8b02-09a75f5186d5)) + (segment (start 137.16 127) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp f3fd1041-9ad6-4f2a-8f8e-9c86bffa562d)) + (segment (start 137.3 126.86) (end 137.16 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp f61fb7ff-2a7a-4a44-a77c-39e0b2881851)) + (segment (start 137.16 128.27) (end 138.303 128.27) (width 0.762) (layer "F.Cu") (net 1) (tstamp ff9df2a5-e6e1-4efc-8868-dcf0c1f0b4d7)) + (via (at 137.16 129.794) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4ef2f292-b9bc-4f42-8df2-e1c7428cc1b3)) + (via (at 138.303 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 672bdffc-1eb0-4ead-a9e0-48b010b7526c)) + (via (at 137.16 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp b7264378-77e2-4902-a98f-19f8a2d36640)) + (segment (start 136.915002 127) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp 264198bd-9cc8-4806-a6e3-a255875c29c9)) + (segment (start 137.16 129.794) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp 5cab1af2-3f89-4e66-933f-56b6e298b385)) + (segment (start 138.6205 127.9525) (end 138.1125 127.9525) (width 0.8) (layer "B.Cu") (net 1) (tstamp 64291182-0650-4e50-870b-e7acbad24398)) + (segment (start 137.856 129.794) (end 137.16 129.794) (width 0.45) (layer "B.Cu") (net 1) (tstamp a5efb8ad-e7ab-4a1c-86d7-fccbb5c7ba1f)) + (segment (start 137.16 128.94) (end 137.16 129.794) (width 0.5) (layer "B.Cu") (net 1) (tstamp b0738cb0-38ce-4a11-92e4-3ea64dbd7ea3)) + (segment (start 137.16 127) (end 136.906 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp bac785c5-b656-46a9-aa74-53d37bc3e178)) + (segment (start 138.303 128.651) (end 137.16 129.794) (width 1.524) (layer "B.Cu") (net 1) (tstamp c0f92c7a-4588-4cc5-bd96-2e915f275b68)) + (segment (start 138.303 128.143) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp ccfe55fd-fdb9-4f2d-936a-65f6b9a341d6)) + (segment (start 138.1125 127.9525) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp d676b569-d681-4bf8-a85b-92d3c37b424d)) + (segment (start 138.303 128.27) (end 138.303 128.651) (width 1.524) (layer "B.Cu") (net 1) (tstamp dd2b280a-7d54-47ad-aead-8a140db2118b)) + (segment (start 138.303 128.27) (end 138.303 128.143) (width 1.524) (layer "B.Cu") (net 1) (tstamp e550eaf5-5d50-4046-aad6-ae9a2630e2db)) + (segment (start 138.53 128.27) (end 138.303 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp f7b0bcc0-0c9e-4c92-b96d-319b4393de6b)) + (segment (start 137.16 127) (end 137.31 126.85) (width 0.5) (layer "B.Cu") (net 1) (tstamp f85da873-2ed1-4f97-811a-92278eef7eab)) + (segment (start 137.16 127) (end 137.16 127.96) (width 0.8) (layer "B.Cu") (net 1) (tstamp f9e39b9b-aea7-48da-ba27-e53c03da3624)) + (segment (start 122.9 115.35) (end 122.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00fe0601-70ec-47d4-a1c6-367bacada232)) + (segment (start 47 96) (end 47 94.65) (width 0.6) (layer "F.Cu") (net 2) (tstamp 02928e2b-a702-4c36-a56f-5c4798cab35f)) + (segment (start 111.55 124.95) (end 111.55 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 02af81d3-25a6-4ca2-b063-585dacd40361)) + (segment (start 103.45 120.55) (end 103.3 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 03756dee-6383-493a-a23d-56ec4d8fbe77)) + (segment (start 113.7 120.5) (end 113.1 120.5) (width 0.4) (layer "F.Cu") (net 2) (tstamp 0459f768-c43b-4956-a5a0-f09764aaa381)) + (segment (start 50.75 96.7) (end 50.75 97) (width 0.5) (layer "F.Cu") (net 2) (tstamp 04e2f28b-14fd-419f-87e7-78499ca8e067)) + (segment (start 76.575 120.55) (end 77.475 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 06067dc0-eeb5-4f58-bbb2-874995124a2a)) + (segment (start 90.05 90.95) (end 89.9 90.8) (width 0.5) (layer "F.Cu") (net 2) (tstamp 06a8dc73-db24-491c-a59d-daca7920c0e2)) + (segment (start 140.1 118.7) (end 139.15 118.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0839ced6-7ac7-478e-931f-8dc307f57358)) + (segment (start 134 123.5) (end 133.85 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 09625d91-d325-4163-b84a-268733b82304)) + (segment (start 97.05 91.65) (end 97.05 92.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0aadfa65-ace8-4f9b-84d2-b0828f570c8a)) + (segment (start 116.5 120.85) (end 117.2 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0ae49ead-7227-426e-8973-81523728911b)) + (segment (start 104.95 102.95) (end 104.95 102.6) (width 0.3) (layer "F.Cu") (net 2) (tstamp 0b992d02-e3a7-424f-bee1-77fe50a03374)) + (segment (start 85.8 120.55) (end 85.05 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0bac275b-d37d-4f74-84bb-bd344754b325)) + (segment (start 114.15 119.6) (end 113.7 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 0bf49080-e4f4-4ccc-b6fd-0729f3ea4479)) + (segment (start 76.6 120.55) (end 76.6 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0d145b31-d07f-4a90-9bbf-ec0d7d614764)) + (segment (start 84.3 102.9) (end 84.3 102.1) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0e0777a1-7e9f-41e7-b07a-e87c02e1f537)) + (segment (start 63.095 99.9095) (end 62.9285 100.076) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0e07c4dc-be7a-46ac-8e87-88fd01926f3c)) + (segment (start 97.15 118) (end 97.2 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 0e127247-5b97-4b5f-ad2f-97735586bbc6)) + (segment (start 110.25 124.95) (end 110.25 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 0fbc38c2-f363-45ba-8f5b-b45efd6e669a)) + (segment (start 49.675 101.1) (end 50.75 101.1) (width 0.4) (layer "F.Cu") (net 2) (tstamp 11940211-3169-446c-b5dd-dd7db77fda52)) + (segment (start 97.05 91.65) (end 97.05 90.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 11fa6600-ee4d-4480-bbea-6e60b1535d7c)) + (segment (start 83.95 124.95) (end 83.95 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 128ff33f-e71c-4fd0-8eee-61f83107434e)) + (segment (start 98.55 109.0625) (end 98.55 110.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp 1299aa55-3b89-4b72-9d29-26d3bc3a7a37)) + (segment (start 115.8 120.85) (end 115.65 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 13600c01-576f-44e7-ad1c-437d912305be)) + (segment (start 125.65 104.55) (end 125.55 104.65) (width 0.508) (layer "F.Cu") (net 2) (tstamp 14630fa7-40de-4843-8a18-2440a408070a)) + (segment (start 49.55 96.7) (end 49.55 95.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 147dade6-9d6b-4538-a169-2a2429b9acad)) + (segment (start 97.55 92.65) (end 97.45 92.55) (width 0.3) (layer "F.Cu") (net 2) (tstamp 14ad5cea-c591-420e-b185-9230c1c6d7b6)) + (segment (start 102.9 108) (end 102.9 108.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1545fafd-8af5-4fbe-ae71-56f75bf924c2)) + (segment (start 97.05 90.95) (end 97.2 90.8) (width 0.5) (layer "F.Cu") (net 2) (tstamp 15dbc8df-e90f-44d8-a1b0-14d07974d85f)) + (segment (start 122.9 116.1) (end 123.05 116.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1703e371-c2a9-41ae-b572-64b7a7e02d27)) + (segment (start 58.293 92.734) (end 57.5705 92.734) (width 0.5) (layer "F.Cu") (net 2) (tstamp 17cc2346-a598-4357-9171-9c469cbd8a02)) + (segment (start 51.1994 91.8506) (end 50.3506 91.8506) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1a3d1c10-2e87-4373-8f18-47d6a97caea0)) + (segment (start 120.5 120.85) (end 120.35 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1ce67bc5-ce5e-4852-87c3-d3fcd496dc4a)) + (segment (start 106.35 118) (end 106.4 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 1d76b10c-8884-43b6-9763-705ecf97a42e)) + (segment (start 114.35 120.5) (end 114.35 119.8) (width 0.15) (layer "F.Cu") (net 2) (tstamp 1e97ad33-ea45-41ee-882e-c50537b1376f)) + (segment (start 86.3875 96.9) (end 85.3 96.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 20751410-9072-40af-a184-aba91e9dc649)) + (segment (start 98.55 110.15) (end 98.45 110.25) (width 0.3) (layer "F.Cu") (net 2) (tstamp 2283f51e-f7cb-45a5-af3a-491df05925d7)) + (segment (start 124.4 109.45) (end 126.4 109.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 229093bb-c595-41d2-aa19-d56204566869)) + (segment (start 51.1994 91.8506) (end 51.9 91.8506) (width 0.5) (layer "F.Cu") (net 2) (tstamp 23f92f0f-cf14-4afd-b802-862670c14078)) + (segment (start 135.46 129.491) (end 135.509 129.54) (width 0.762) (layer "F.Cu") (net 2) (tstamp 24b669e6-80a2-4290-a8f8-171520509624)) + (segment (start 95 120.55) (end 94.25 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 252f93b0-63cb-4622-a9c6-417d8135e794)) + (segment (start 62.8 96.458) (end 62.8015 96.4565) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2773a1b1-d0d2-4858-af3f-3f6b5de5b702)) + (segment (start 84.3 108.9) (end 83.6 108.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 279eb19f-59b1-484e-9639-c4981828845a)) + (segment (start 85.2 103.3) (end 85.2 103.1) (width 0.5) (layer "F.Cu") (net 2) (tstamp 285a2e7a-137f-473a-814a-aabb92331197)) + (segment (start 102.9 108.2) (end 103.1 108.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2987eae5-8f99-4e00-8c00-4f8d0a9ff0c1)) + (segment (start 73.406 128.524) (end 73.66 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 29cbb029-9b89-4582-90dd-7aaa0e6f2ad2)) + (segment (start 122.9 90.75) (end 122.9 91.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 29d72f12-df65-4ba5-8e8a-b296983820a8)) + (segment (start 124.4 104.65) (end 125.55 104.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2ab0f7a1-c198-4d05-ba02-a4a7f252332e)) + (segment (start 98.55 109.0625) (end 98.55 108) (width 0.3) (layer "F.Cu") (net 2) (tstamp 2b49d4e1-3068-4c08-a5a8-5a497d7faae8)) + (segment (start 98.05 111.85) (end 98.2 112) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2be1d2fb-fe21-4e66-a63c-ec080ca5acaa)) + (segment (start 117.2 120.85) (end 117.35 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2d09d458-56d3-4e42-a4a8-40a435ccf5e5)) + (segment (start 124.4 109.45) (end 123.25 109.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2d67e0e3-5869-4a24-9194-1fb5c5d86fe2)) + (segment (start 112.9 107.05) (end 114.05 107.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2ec918e8-17e5-4a6c-81f3-41e1a1c4ef61)) + (segment (start 58.293 92.734) (end 58.293 91.8845) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2f0cb0e3-f914-4d8c-8d75-b393247df1a7)) + (segment (start 104.05 103.15) (end 104.05 103.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2f10d3ad-0274-4df8-9418-5020d89d8615)) + (segment (start 57.5705 92.734) (end 57.404 92.9005) (width 0.5) (layer "F.Cu") (net 2) (tstamp 300cd4bc-4372-491a-95ce-60b23fb68cde)) + (segment (start 112.9 111.85) (end 111.75 111.85) (width 0.45) (layer "F.Cu") (net 2) (tstamp 306e73ac-c056-4e32-823c-b3342dfbccd5)) + (segment (start 49.55 102.9) (end 49.55 104.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 30a38740-94b0-4aab-99a9-55977439e362)) + (segment (start 77.216 97.061) (end 77.216 95.8215) (width 0.5) (layer "F.Cu") (net 2) (tstamp 30eba46c-9542-4204-9963-962ce5140b59)) + (segment (start 84.3 108.9) (end 85 108.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3125c866-824e-4386-a976-cf435c8241be)) + (segment (start 49.55 102.9) (end 50.75 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 314abb4d-050a-4993-b78f-ec53bc59ebb7)) + (segment (start 50.3506 91.8506) (end 50.35 91.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3154f754-071e-4731-83a1-9be4d31bc5fb)) + (segment (start 112.9 113.45) (end 114.05 113.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 31bb8dce-f91e-4944-a6e6-2872fb439df1)) + (segment (start 90.05 91.65) (end 90.05 92.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 32bda441-1bca-407e-ba70-1b5243bff2e6)) + (segment (start 77.216 97.061) (end 77.216 98.298) (width 0.5) (layer "F.Cu") (net 2) (tstamp 32ce9ac6-0f84-4521-ac22-eef3d9c32feb)) + (segment (start 125.75 113.35) (end 125.55 113.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 330d44ae-b125-49e9-80c8-78acbec15bbb)) + (segment (start 84.3 102.9) (end 85 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 35c4fbc6-35b7-4e17-bddc-8166f609be2e)) + (segment (start 53.1994 91.6506) (end 53.1994 90.6512) (width 0.5) (layer "F.Cu") (net 2) (tstamp 364d20ad-7b15-4acf-9b4a-909ef0ddfa8a)) + (segment (start 83.95 124.95) (end 84.6 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 36ad1ea0-7d26-4b88-8dc5-9d57a65b9c5f)) + (segment (start 63.8175 99.9095) (end 64.54 99.9095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 37ec4567-4123-4fb8-a094-9b021f95a125)) + (segment (start 126.45 114.3) (end 126.45 113.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 38aaf7b6-268b-4a05-a47d-44e736935c76)) + (segment (start 111.75 92.5) (end 111.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3936eea2-b4c1-4083-a26b-764c25b997dc)) + (segment (start 98.25 110.25) (end 98.05 110.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3aed0760-8617-4ab3-b7f8-37e5c4fc6d07)) + (segment (start 140.1 118.7) (end 141.1 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 3c0139b5-4736-459b-8bff-2c4005642469)) + (segment (start 113.7 120.5) (end 113.7 119.6) (width 0.4) (layer "F.Cu") (net 2) (tstamp 3c762360-8a95-4f24-be53-689448bcabe4)) + (segment (start 102.35 124.95) (end 103 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3cbf9b0b-5162-43d1-beaa-2faf12bf4b4c)) + (segment (start 58.293 92.734) (end 59.0155 92.734) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3d7ddd67-cc3f-4f09-9f0a-43529dec642a)) + (segment (start 131.75 118.7) (end 131.55 118.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp 3dd7c143-6465-4c74-856f-cdc21fe86f2f)) + (segment (start 85.2 103.1) (end 85 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3e5c246d-ae38-4698-a3ae-899fcc3ab9d3)) + (segment (start 112.9 107.05) (end 110.9 107.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 40555831-b88b-4422-8d9e-55d0f3cd8844)) + (segment (start 90.55 109.0625) (end 90.55 108) (width 0.3) (layer "F.Cu") (net 2) (tstamp 40cae9b6-bddb-4149-b234-559cb210bf53)) + (segment (start 104.05 103.15) (end 103.35 103.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 40dffe4b-aee2-4a49-9a8e-9680b8a74077)) + (segment (start 127.2 104.55) (end 127.45 104.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 418d6ad8-114e-459d-b336-23e8bdcf7864)) + (segment (start 85.8 120.55) (end 85.8 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 42bb3660-ab9d-4d79-8fae-abd39f7f6634)) + (segment (start 106.35 120.15) (end 106.35 119.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 43c3d155-8204-4751-a226-2ce62cef7ffe)) + (segment (start 98.05 111.15) (end 98.05 110.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 456d91dc-1b0e-448e-9887-19a2f996269d)) + (segment (start 120.826 129.491) (end 120.777 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 46769052-33a8-42eb-80c6-4f682714d9e3)) + (segment (start 122.9 90) (end 123.05 89.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp 481f752f-2cd7-4d67-8ff9-5a06c4f22ba7)) + (segment (start 74.5 128.27) (end 74.5 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp 4a9841b0-6745-4251-8709-f6bd07fd539d)) + (segment (start 127.2 94.15) (end 127.45 93.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp 4b8bd278-3bef-466f-a1dc-f587e9af8aa4)) + (segment (start 102.35 124.95) (end 102.35 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 4bef67ac-70be-455e-8615-cc9679477ce3)) + (segment (start 109.95 107.85) (end 110.05 107.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4d10d485-3298-498f-a536-d437068c766f)) + (segment (start 64.54 99.9095) (end 64.7065 100.076) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4d12ec20-974c-4a91-9823-398154165bbb)) + (segment (start 112.9 103.05) (end 114.05 103.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 4dc48723-ee38-4362-a9b4-5a78b96cc4fb)) + (segment (start 121.2 120.85) (end 121.9 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4e7c2f52-9c74-4048-b3e1-c9b69be2f8a3)) + (segment (start 101.7125 98.4) (end 102.85 98.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 4e80d727-3cfa-4ee0-be75-e093fdac0ded)) + (segment (start 126.45 104.55) (end 126.45 105.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4e8ad975-4be9-4102-82f7-fab2388639c2)) + (segment (start 104.175 120.55) (end 105.05 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 4e9e4e38-dcfc-42dc-a419-1bbefb37465a)) + (segment (start 62.103 96.458) (end 62.103 97.8535) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4ebe561a-da9e-4458-aa5b-34ecc8e270ef)) + (segment (start 97.55 94.8) (end 97.45 94.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 4eda53eb-5a0b-471d-b963-2400df268141)) + (segment (start 126.45 94.15) (end 125.6 94.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4fe3d476-56fa-44ad-985e-9e78b32912de)) + (segment (start 89.65 92.55) (end 89.85 92.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 50421864-b448-4bd5-962e-a659e11cb1a0)) + (segment (start 121.9 120.85) (end 122.05 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 510f9cb4-2ed7-47ad-812f-e71d45eec870)) + (segment (start 87.45 96.9) (end 87.55 96.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 5398ba60-315d-4c51-a9df-f6e5e164f17a)) + (segment (start 51.65 97) (end 50.75 97) (width 0.5) (layer "F.Cu") (net 2) (tstamp 53f4a3d5-5f07-4478-9f71-4af409d990af)) + (segment (start 112.9 90.75) (end 112.05 90.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp 55812b9c-3a6d-4174-8f12-82b10fd2ede6)) + (segment (start 125.55 113.15) (end 125.55 113.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5708db58-5d56-43ff-a822-66892f5220f8)) + (segment (start 102.35 124.95) (end 102.35 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 57bd21d0-6eaa-4a7b-b9f3-848219116c53)) + (segment (start 116.5 120.85) (end 115.8 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5a313833-fe7d-468b-b059-90d410b18fd8)) + (segment (start 104.2 120.55) (end 103.45 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 5a7afbae-b0e4-40a3-a6c7-06b06f29d7b2)) + (segment (start 62.103 96.458) (end 62.103 95.0595) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5b1db825-4a80-4c41-b811-4cc4e708127c)) + (segment (start 90.55 109.0625) (end 90.55 110.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp 5c1b3cf6-d8c9-4282-b714-61ebba57e5d3)) + (segment (start 110.05 107.95) (end 110.85 107.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5c9e2ad4-0fa9-44c3-91c0-b21890297ce0)) + (segment (start 84.3 96.4) (end 85 96.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5f18d230-3741-4c86-a7b7-31f61ab89a1a)) + (segment (start 124.4 104.65) (end 123.25 104.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 5f4b0f16-3132-4ccb-9fae-c90445e575df)) + (segment (start 119 120.5) (end 119 119.8) (width 0.15) (layer "F.Cu") (net 2) (tstamp 5f54f3d6-9965-4379-a518-c08cbaa7b466)) + (segment (start 103.2 102.95) (end 103.2 103) (width 0.5) (layer "F.Cu") (net 2) (tstamp 60c267eb-900f-4066-af84-b44fc0092855)) + (segment (start 85.2 96.6) (end 85 96.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 62f9e5a5-f3b7-423b-be6f-e6e0637559ba)) + (segment (start 63.7665 93.0275) (end 63.754 93.015) (width 0.15) (layer "F.Cu") (net 2) (tstamp 655d6958-2278-4fdd-bdc4-11394e7055d3)) + (segment (start 130.35 123.35) (end 131.35 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6688b49a-a9ac-4c42-8a1f-c4688eb8a38a)) + (segment (start 90.55 110.15) (end 90.65 110.25) (width 0.3) (layer "F.Cu") (net 2) (tstamp 6772b77c-fffc-45ec-803a-7869aadc450a)) + (segment (start 136.398 99.695) (end 136.398 100.838) (width 0.5) (layer "F.Cu") (net 2) (tstamp 67a8ae67-e836-4128-8351-3d2b0389b40b)) + (segment (start 137.3 119.45) (end 136.2 119.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 68a418b3-be2c-41a3-bdf8-65054c3f25ac)) + (segment (start 122.9 90.75) (end 122.05 90.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp 69149934-8c12-4997-8968-c58c80d67b07)) + (segment (start 74.5 129.491) (end 74.549 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 69853520-4fd8-46ec-8797-9c3006effffe)) + (segment (start 86.3875 103.4) (end 85.3 103.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 69e7b4f6-5a57-468a-aa6d-012d373e8a63)) + (segment (start 102.8 103.9) (end 102.85 103.95) (width 0.3) (layer "F.Cu") (net 2) (tstamp 69f82f0d-b9be-42f5-86cf-03d95fe68bee)) + (segment (start 130.35 122.4) (end 130.35 123.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6a73efda-703d-46a8-9cb7-f7dc80039564)) + (segment (start 97.15 119.05) (end 97.15 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 6adb66a6-3128-4afc-bc4b-b789a2f2ae69)) + (segment (start 120.826 128.27) (end 121.8565 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6b1fa1f1-4d4b-4952-bb24-90dce5b31142)) + (segment (start 139.15 118.7) (end 139 118.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6cefe76f-8736-4e2b-9637-753751a0bea1)) + (segment (start 115.394 128.27) (end 114.3 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6fab1a96-b99c-4b8b-9ee4-0b04b3c9cf5f)) + (segment (start 104.5 98.4) (end 103.8 98.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 70cf0af8-68ce-4231-b8ad-bca90c6a3f87)) + (segment (start 104.05 103.15) (end 104.75 103.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp 70d5b3d3-37be-4a77-a2a5-f78a05fe2756)) + (segment (start 95 120.55) (end 95 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 714cc44a-5dc4-4030-9c78-aa48b0062818)) + (segment (start 55.3994 93.3506) (end 55.3994 94.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 716e5f42-8426-4aec-9e25-714029450d81)) + (segment (start 110.85 107.95) (end 110.85 107) (width 0.6) (layer "F.Cu") (net 2) (tstamp 73773a53-b205-45a2-b20a-12722d7b943b)) + (segment (start 89.55 94.8) (end 89.65 94.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 749ba26c-2401-49a7-897d-87b2d1240056)) + (segment (start 115.394 129.491) (end 115.443 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 761e967e-aa34-4159-a477-f27289ce62a1)) + (segment (start 110.8 103.3) (end 110.8 102.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 767e41b6-8d81-489b-a0f7-0571fb7f48f9)) + (segment (start 135.2 123.25) (end 135.2 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp 78b297c8-50b6-403d-8540-81169cfd5e0c)) + (segment (start 132.75 118.7) (end 131.75 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 79c0d77c-70a9-4f38-9ac1-3db9a0099089)) + (segment (start 132.75 118.7) (end 133.75 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 7a81c231-61f8-4626-80b3-1b5c93a45b8d)) + (segment (start 85.05 120.55) (end 84.9 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7a8ce466-db00-4fb1-904a-e6e33578b65c)) + (segment (start 63.754 93.015) (end 63.754 92.1385) (width 0.15) (layer "F.Cu") (net 2) (tstamp 7bc6ba1e-6b7c-41ea-9a2d-6609e70650e1)) + (segment (start 112.9 115.35) (end 112.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7bf7f849-fb34-4491-b211-df2921e68a5a)) + (segment (start 59.309 91.3525) (end 59.309 90.4875) (width 0.15) (layer "F.Cu") (net 2) (tstamp 7dfce283-6391-4182-aa9d-1a4fa53dbd5f)) + (segment (start 102.85 98.4) (end 103.8 98.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 7f87d87a-dde7-4155-8a33-89de58d0d533)) + (segment (start 69.6595 122.174) (end 70.8025 121.031) (width 0.5) (layer "F.Cu") (net 2) (tstamp 807c94e2-858b-4ba4-a424-ea19b0fc76df)) + (segment (start 141.1 118.7) (end 141.35 118.95) (width 0.8) (layer "F.Cu") (net 2) (tstamp 81290b1f-583e-4ff4-8bf1-909b04954852)) + (segment (start 112.9 114.7) (end 112.9 115.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 81cca4fd-86be-4598-aa19-2f17a54adfd9)) + (segment (start 109.5 103.3) (end 109.45 103.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 821663c7-bba5-49dd-bed4-80050e4ad371)) + (segment (start 135.2 123.25) (end 134.25 123.25) (width 0.8) (layer "F.Cu") (net 2) (tstamp 82a73ae1-ab7d-4424-bb85-82f8e7f9ea0b)) + (segment (start 76.6 120.55) (end 75.85 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 82eb126f-86e3-410e-8c52-8ee3785be1a0)) + (segment (start 90.85 110.25) (end 91.05 110.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 83ac819c-2a83-42f9-b6ef-73c531c00628)) + (segment (start 116.5 120.85) (end 116.5 120.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 83ec0d43-643c-4b0e-ba73-094863c3e216)) + (segment (start 91.05 111.15) (end 91.05 110.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp 843b0e84-9145-4b97-9383-32576614273c)) + (segment (start 111.55 124.95) (end 112.2 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 85ddd0fa-1298-47b6-813f-6cabf93384a7)) + (segment (start 73.66 128.27) (end 74.5 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 868c0991-bf2e-46bc-a00c-51c53188b333)) + (segment (start 126.45 108.55) (end 126.45 109.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 87320667-b8a8-4755-9ac5-238e76ff7d22)) + (segment (start 122.9 115.35) (end 122.05 115.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8734610d-a62b-497c-9c2d-d7eb564ff21a)) + (segment (start 57.023 100.0125) (end 57.023 101.408) (width 0.5) (layer "F.Cu") (net 2) (tstamp 88147c88-365c-461c-8ff5-38ed0810a6bf)) + (segment (start 90.05 91.65) (end 90.05 90.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 88f5bee7-e1fa-497a-b1b7-0226f69cad10)) + (segment (start 135.46 127.049) (end 135.509 127) (width 0.762) (layer "F.Cu") (net 2) (tstamp 89462db0-a01c-499e-bcf7-c3e64845af7f)) + (segment (start 118.8 119.6) (end 118.35 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 89777e63-640d-465f-b698-8a6ace20c2c9)) + (segment (start 133.85 123.35) (end 132.75 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8a1ceb30-674d-4097-8959-a4bc656661ea)) + (segment (start 130.35 118.7) (end 131.35 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8a2f9fdb-6c17-4fb6-9dc3-108dfce77cba)) + (segment (start 112.9 90.75) (end 112.9 91.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8a30b896-752a-47e1-b204-62ae787407ed)) + (segment (start 127.2 113.35) (end 127.35 113.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8b9d6b7c-9e5f-4785-ab47-b77bd2cc7e87)) + (segment (start 137.3 119.45) (end 138.4 119.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8c86dad8-e445-4536-969f-676f01613f26)) + (segment (start 59.333 91.3765) (end 59.309 91.3525) (width 0.15) (layer "F.Cu") (net 2) (tstamp 8d8f7d9e-6066-4b20-9d01-986ed9b19ea5)) + (segment (start 132.75 118.7) (end 132.75 117.65) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8e4343d3-792f-41ab-ba78-e582eb1b1c6c)) + (segment (start 140.1 123.35) (end 139 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8f8814b3-42a9-47f9-88f6-adeda7ee6969)) + (segment (start 111.75 113.45) (end 112.9 113.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 90a45ee4-1491-44ea-aca8-d9bfd1c3bd9c)) + (segment (start 89.55 93.7375) (end 89.55 94.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 910aea1f-9847-4559-82b0-b5d9c55227e1)) + (segment (start 104.2 120.55) (end 104.2 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 9123434b-6f76-4dae-97eb-5ef2712ea655)) + (segment (start 127.2 108.55) (end 127.45 108.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 917325aa-60b0-45f9-b563-d3e32b2c9342)) + (segment (start 126.45 94.15) (end 126.45 95.1) (width 0.8) (layer "F.Cu") (net 2) (tstamp 91fa23d9-f637-461c-94fb-cad4178a760c)) + (segment (start 110.8 103.3) (end 109.5 103.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 9244935b-a252-48c1-a838-53ac7c91e4db)) + (segment (start 84.3 96.4) (end 83.6 96.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 92c07968-2703-41c5-9f42-2ca9caa211b0)) + (segment (start 112.9 114.7) (end 112.9 114.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 92caff0f-62c5-4b32-87be-c7b621b9bc9d)) + (segment (start 60.833 101.408) (end 60.833 100.0125) (width 0.5) (layer "F.Cu") (net 2) (tstamp 937b324e-6aff-477a-a66f-8f971b302590)) + (segment (start 126.45 104.55) (end 127.2 104.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 93e62c23-6152-4f14-a273-91078c753ba3)) + (segment (start 112.9 92.65) (end 112.9 91.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 95089686-748a-4521-aae8-85d308169a12)) + (segment (start 112.9 90.75) (end 112.9 90) (width 0.6) (layer "F.Cu") (net 2) (tstamp 969614d2-f1ae-49c3-8837-c1497bf15baa)) + (segment (start 104.7 98.15) (end 104.7 98.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9819f3f8-f6ea-45f6-bed3-25de05c4bd22)) + (segment (start 85.3 96.9) (end 85.2 96.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 98f8c3a3-6da5-4e2b-beb4-5b9c003586b9)) + (segment (start 122.9 91.5) (end 123.05 91.65) (width 0.6) (layer "F.Cu") (net 2) (tstamp 995eada6-69ca-4691-a517-ec77c4d7bcd1)) + (segment (start 78.75 119.05) (end 78.75 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 995f45a2-a63f-463f-9242-ef5844d60823)) + (segment (start 63.8175 99.9095) (end 63.095 99.9095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 999d1d17-29e1-4b65-844a-0056e21f685e)) + (segment (start 97.55 93.7375) (end 97.55 94.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 9b9e0dd8-e963-4424-af1a-791de7ccb776)) + (segment (start 93.15 124.95) (end 93.15 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9c14bcd0-e0c2-4d7f-9f39-01360744ee12)) + (segment (start 77.216 97.061) (end 76.4845 97.061) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9c609453-6ebd-44d1-b3e7-39c03519d7f7)) + (segment (start 131.75 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 9c70f554-0115-48e2-b62c-47a0d1641ad5)) + (segment (start 97.25 92.55) (end 97.05 92.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9cb1e23b-78e5-4b5e-aae4-9287c08f0e41)) + (segment (start 103.8 108.4) (end 104.5 108.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9d94802a-ec2a-4789-ae19-3146331f6317)) + (segment (start 53.1994 91.6506) (end 52.1 91.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9dca1ffc-a78d-4a3b-a685-d48d404030fa)) + (segment (start 114.35 119.8) (end 114.15 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 9e2abbd1-f432-47be-9908-a19cd3f5a856)) + (segment (start 141.1 123.35) (end 141.3 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 9e8aa458-61a3-4090-a83b-a21e42e22fd3)) + (segment (start 93.15 124.95) (end 93.8 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9edecd43-7abc-46ff-91f4-444a6f6d7171)) + (segment (start 135.128 99.695) (end 135.128 100.838) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9f033158-f354-484d-83c4-11c37cd439f7)) + (segment (start 90.55 108) (end 90.65 107.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 9f60f3a9-365c-4f2e-a0f2-54f57becff48)) + (segment (start 97.55 93.7375) (end 97.55 92.65) (width 0.3) (layer "F.Cu") (net 2) (tstamp 9fcb27bf-e7a2-467a-9ea0-dc8bc109f78c)) + (segment (start 85.3 103.4) (end 85.2 103.3) (width 0.3) (layer "F.Cu") (net 2) (tstamp a3a466ab-59f2-41fd-b501-c043495e7e06)) + (segment (start 85.775 120.55) (end 86.65 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp a47af011-b2c9-4282-9588-d2bf69e5347d)) + (segment (start 122.9 114.6) (end 123.05 114.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp a5518be1-02ae-4fe1-92bb-5ff0f5d6eadd)) + (segment (start 101.7125 103.9) (end 100.55 103.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp a5ce56b2-9933-470f-b241-6bb8a02c9cb5)) + (segment (start 130.35 117.65) (end 130.35 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp a5f36455-f280-4860-b634-b1c3f112dde9)) + (segment (start 115.394 128.27) (end 115.394 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp a6bc68b5-0aa3-4bfc-ae5f-3f57d7f546c1)) + (segment (start 130.35 118.7) (end 129.15 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp a7ba25a9-da9e-4a39-b8de-fde3ded4a74e)) + (segment (start 111.65 103.15) (end 111.75 103.05) (width 0.508) (layer "F.Cu") (net 2) (tstamp a8132f67-c06e-433d-a76f-6f7083a3bf80)) + (segment (start 47 103.6) (end 47 104.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp a8ac7ef2-6ec4-475f-aaca-5c42ac8c5910)) + (segment (start 139 123.35) (end 138.9 123.45) (width 0.8) (layer "F.Cu") (net 2) (tstamp aab090e1-c1e8-427e-9add-fd6d03e5e2e3)) + (segment (start 93.15 124.95) (end 93.15 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp ab7542b9-57a6-47e9-bb4d-4d44140e8210)) + (segment (start 103.35 103.15) (end 103.2 103) (width 0.5) (layer "F.Cu") (net 2) (tstamp ab9ec0cc-7d7b-40a0-b095-70a2fd9acb1c)) + (segment (start 60.833 101.408) (end 60.833 102.8065) (width 0.5) (layer "F.Cu") (net 2) (tstamp ac82a872-aa8f-4f62-b5c4-dac28391f208)) + (segment (start 55.3994 94.35) (end 55.4 94.3506) (width 0.5) (layer "F.Cu") (net 2) (tstamp acb081ec-068a-4984-a8b9-7330f3cd880d)) + (segment (start 51.65 97) (end 52.5 97) (width 0.5) (layer "F.Cu") (net 2) (tstamp ade79969-5d14-453e-916e-e579dfbe100b)) + (segment (start 120.826 128.27) (end 120.826 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp aea8090b-e506-4e3a-a667-15cab84febc7)) + (segment (start 103.8 108.4) (end 103.1 108.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp af7bbe50-736b-4b6b-8cb3-263505b23ff9)) + (segment (start 110.9 107.05) (end 110.85 107) (width 0.45) (layer "F.Cu") (net 2) (tstamp b31c048a-c078-4cd9-a538-14430faabe3c)) + (segment (start 51.65 97) (end 51.65 96.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp b321d78a-7ab1-49ea-84d7-0003c3750c1f)) + (segment (start 122.9 90.75) (end 122.9 90) (width 0.6) (layer "F.Cu") (net 2) (tstamp b3cfc4fb-166c-4fbb-ba47-100a4feae27f)) + (segment (start 104.75 103.15) (end 104.95 102.95) (width 0.3) (layer "F.Cu") (net 2) (tstamp b46b01e3-a827-4599-ac88-5fe058ab5475)) + (segment (start 132.75 123.35) (end 131.75 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp b477701b-47f6-416c-a1f0-05621e4fbdef)) + (segment (start 140.1 123.35) (end 141.1 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp b6249fac-1e62-448c-bc06-3fdf0fa46580)) + (segment (start 119 119.8) (end 118.8 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp b6963130-23ce-4a8b-a72b-03453cf43266)) + (segment (start 78.75 118) (end 78.8 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp b7ee88a4-a991-48d6-a285-0087b91dfd7f)) + (segment (start 101.7125 103.9) (end 102.8 103.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp b8856fd5-f678-474b-aa43-4393ef0b80ee)) + (segment (start 112.9 113.45) (end 112.9 114.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp b96b02cc-ec07-48e4-901e-1f3ef17bdf89)) + (segment (start 82.1 102.9) (end 81.95 103.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp bbf7c76c-e393-4deb-9f48-cf00c56391aa)) + (segment (start 112.9 90) (end 113.05 89.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp bf808e17-d48b-4e91-a239-8dfa3a6da043)) + (segment (start 126.4 109.45) (end 126.45 109.5) (width 0.508) (layer "F.Cu") (net 2) (tstamp bf81ee85-e38c-4908-9269-38a19fdfe19b)) + (segment (start 135.46 128.27) (end 135.46 129.491) (width 0.762) (layer "F.Cu") (net 2) (tstamp c0fcf1c0-d0cd-436d-a804-cfc240e93d65)) + (segment (start 53.1994 90.6512) (end 53.2 90.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp c141f37f-804b-4d26-8fef-38a144237922)) + (segment (start 76.4845 97.061) (end 76.454 97.0915) (width 0.5) (layer "F.Cu") (net 2) (tstamp c23cbce0-3b30-4246-84a6-0cd3ef97e8de)) + (segment (start 89.55 92.65) (end 89.65 92.55) (width 0.3) (layer "F.Cu") (net 2) (tstamp c281c9f0-67c2-4609-93ec-fb60704c5fb5)) + (segment (start 91.05 111.15) (end 91.05 111.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp c315d591-62b5-4177-b5b3-6a3c69fa2ee2)) + (segment (start 112.9 116.1) (end 113.05 116.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp c6132e3a-0e02-472d-9315-61726f193d5b)) + (segment (start 94.975 120.55) (end 95.875 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp c7045cc9-362d-4404-a073-f404665f520a)) + (segment (start 112.9 103.05) (end 111.75 103.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp c8b62f32-a602-4675-83fb-2d4b5d65ed90)) + (segment (start 87.95 119.05) (end 87.95 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp c8e17c39-5bd5-4f17-bd0a-473a6581e941)) + (segment (start 63.8175 99.9095) (end 63.8175 99.06) (width 0.5) (layer "F.Cu") (net 2) (tstamp c99ab6e5-cc10-464e-8c7b-4035f1f6b107)) + (segment (start 97.45 92.55) (end 97.25 92.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp cb60bc3d-213a-470b-8aba-7783bad07737)) + (segment (start 108.2 103.35) (end 108.2 104.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp cbfeee94-fdea-4844-bf75-dec6264b8e7e)) + (segment (start 135.46 128.27) (end 135.46 127.049) (width 0.762) (layer "F.Cu") (net 2) (tstamp cc0b7712-38a7-4316-9246-cd76a9340880)) + (segment (start 126.45 94.15) (end 127.2 94.15) (width 0.8) (layer "F.Cu") (net 2) (tstamp ccdb65be-d817-4467-928d-03dfe69ceddf)) + (segment (start 121.2 120.85) (end 121.2 120.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp cf6acf9f-392e-4e5c-9ad2-388784d679e7)) + (segment (start 70.8025 121.031) (end 70.8025 120.7135) (width 0.5) (layer "F.Cu") (net 2) (tstamp d110a6c4-970e-4d39-9668-6edf93416cea)) + (segment (start 87.45 103.4) (end 87.55 103.3) (width 0.3) (layer "F.Cu") (net 2) (tstamp d1712910-a386-4273-ac3d-5839e9502cbd)) + (segment (start 130.35 123.35) (end 129.15 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp d1d25bd6-bdf0-4a39-a015-0840e3ff3e8d)) + (segment (start 104.7 98.2) (end 104.5 98.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp d2d39d32-b551-4c03-801c-bfd21bb1104f)) + (segment (start 110.8 103.3) (end 111.6 103.3) (width 0.508) (layer "F.Cu") (net 2) (tstamp d41ac3ef-a5cd-4ff2-8161-d698c47c7f52)) + (segment (start 112.9 111.85) (end 114.05 111.85) (width 0.45) (layer "F.Cu") (net 2) (tstamp d4e38bf7-dc30-4acc-a469-239b7caf6eb1)) + (segment (start 134.25 123.25) (end 134 123.5) (width 0.8) (layer "F.Cu") (net 2) (tstamp d61bbaec-caa6-4010-b492-85bcec60fd21)) + (segment (start 126.45 108.55) (end 127.2 108.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp d7339fba-c796-4494-aa7d-859b12a16091)) + (segment (start 89.55 93.7375) (end 89.55 92.65) (width 0.3) (layer "F.Cu") (net 2) (tstamp d76f8f35-bad7-4536-982c-2504d34a665c)) + (segment (start 112.9 115.35) (end 112.05 115.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp d809e3e3-1ed9-4cc3-986f-207d7b0312a2)) + (segment (start 75.85 120.55) (end 75.7 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp d834680a-e294-4d91-85d8-95bb7d916acf)) + (segment (start 126.45 113.35) (end 127.2 113.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp dac292f7-861f-40a9-a2dd-82b3b9021a71)) + (segment (start 122.9 115.35) (end 122.9 114.6) (width 0.6) (layer "F.Cu") (net 2) (tstamp dae3028b-6d8f-4220-adea-d9b06a721b48)) + (segment (start 106.35 119.05) (end 106.35 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp dbce7467-db21-43c6-ab59-b1bbf946d9c9)) + (segment (start 131.35 118.7) (end 131.55 118.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp dc2efcef-b714-4ed2-a5bd-22ed263ce6d4)) + (segment (start 86.3875 103.4) (end 87.45 103.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp dd1ab0e2-114f-47d8-98f5-fc8d968c4c44)) + (segment (start 62.103 96.458) (end 62.8 96.458) (width 0.5) (layer "F.Cu") (net 2) (tstamp dd3dab9a-4b3c-472d-949a-af0c962284f1)) + (segment (start 98.45 110.25) (end 98.25 110.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp de20b861-719a-4475-95ac-9269635795ad)) + (segment (start 98.05 111.15) (end 98.05 111.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp df64ade6-627d-4e1c-9500-926082a7e810)) + (segment (start 85.2 96.8) (end 85.2 96.6) (width 0.5) (layer "F.Cu") (net 2) (tstamp e065cb56-df48-4d10-80ad-aef217683b16)) + (segment (start 133.75 118.7) (end 134 118.95) (width 0.8) (layer "F.Cu") (net 2) (tstamp e108e314-e6be-44f7-b0d5-98fd21dbc31e)) + (segment (start 82.8 102.9) (end 82.1 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp e2600605-d33a-4435-9424-3df2136aba1a)) + (segment (start 59.0155 92.734) (end 59.182 92.9005) (width 0.5) (layer "F.Cu") (net 2) (tstamp e2643521-022a-4158-89b1-634f053e820c)) + (segment (start 67.65 100.45) (end 66.9 100.45) (width 0.15) (layer "F.Cu") (net 2) (tstamp e3d43cff-e3f9-4290-ae8f-ebab07578d59)) + (segment (start 89.85 92.55) (end 90.05 92.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp e792e1d9-226d-42a1-ad95-79193efa93ef)) + (segment (start 111.9 92.65) (end 112.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp e8c52372-a660-4e6e-8014-e5e9be58df2d)) + (segment (start 86.3875 96.9) (end 87.45 96.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp e91f106e-1599-459b-b235-0b3928d2e6a0)) + (segment (start 51.9 91.8506) (end 52.1 91.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp e935c35f-82c3-4542-9eef-b83585a3fa71)) + (segment (start 121.2 120.85) (end 120.5 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp ea0b3117-6870-49d0-92dd-84eea5b49df2)) + (segment (start 131.35 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp ea18633a-b570-4654-ab02-12f34fda038f)) + (segment (start 126.45 104.55) (end 125.65 104.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp ec3455cd-f1d6-479d-9166-9639c7baffa6)) + (segment (start 82.8 102.9) (end 82.8 102.1) (width 0.5) (layer "F.Cu") (net 2) (tstamp edbf3a88-ca72-4eb0-a513-760fe1380379)) + (segment (start 108.2 104.2) (end 108.05 104.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp eea9d874-d8f3-4a82-89fa-7968bec0d06c)) + (segment (start 49.55 96.7) (end 50.75 96.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp eec766e2-ef97-4d43-af0f-22e43936ee27)) + (segment (start 104.5 108.4) (end 104.65 108.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp ef5a2ec7-9021-474b-915f-729589111a15)) + (segment (start 112.9 92.65) (end 114.05 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp ef7fd28d-1dd2-4bc5-a34b-9dd314ac6504)) + (segment (start 83.6 108.9) (end 83.45 108.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp f01e475c-d8ad-4c38-8706-24739aadfeff)) + (segment (start 83.6 96.4) (end 83.45 96.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp f0cf2c4d-e06c-42fa-aa5e-35d7665967c1)) + (segment (start 101.7125 98.4) (end 100.55 98.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp f0f29ba1-c041-4f7e-a1ec-cf8aa82b9695)) + (segment (start 91.05 111.85) (end 90.9 112) (width 0.5) (layer "F.Cu") (net 2) (tstamp f4d9b8ae-76ba-48c7-880f-00b3d7cd62dc)) + (segment (start 132.75 123.35) (end 132.75 122.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp f6a6ce11-a7f5-425b-86e2-c9fee8a16e13)) + (segment (start 85 108.9) (end 85.15 108.75) (width 0.5) (layer "F.Cu") (net 2) (tstamp f79a9487-380c-47b2-8e18-6dd941db48de)) + (segment (start 94.25 120.55) (end 94.1 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp f7f23998-6601-4ed1-8da8-dafa6dc54a30)) + (segment (start 111.55 124.95) (end 111.55 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp f8712642-8b5a-45e9-8568-f73475759ddf)) + (segment (start 90.65 110.25) (end 90.85 110.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp f967264e-b382-4ff7-a3d2-6cfcc4d97557)) + (segment (start 83.95 124.95) (end 83.95 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp f9f13c27-f716-4de0-8287-93d5ae490751)) + (segment (start 53.9 90.0006) (end 53.9 89.1006) (width 0.5) (layer "F.Cu") (net 2) (tstamp fb02d4db-1e63-4bb8-ae44-55a6d3e069ae)) + (segment (start 118.35 120.5) (end 118.35 119.6) (width 0.4) (layer "F.Cu") (net 2) (tstamp fb287dae-f9f9-406a-930f-a9f7b4cbdd41)) + (segment (start 108.2 103.35) (end 109.45 103.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp fb2bf23e-d810-41f3-9000-9f5178ed2624)) + (segment (start 126.45 113.35) (end 125.75 113.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp fb5e7f7a-504c-48a2-8ee6-ac8b6e6ccc42)) + (segment (start 87.95 118) (end 88 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp fe163222-b8ea-4fec-aba7-2d9129591794)) + (segment (start 98.55 108) (end 98.45 107.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp fec74acb-ae0a-41e2-b84f-22e2b02bee64)) + (segment (start 140.1 123.35) (end 140.1 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp ffde2612-326c-47d8-b0db-782324f7fac6)) + (via (at 94.5 129.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0044d0e4-83a6-4810-9a7b-9b39945cba1a)) + (via (at 135.763 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0078c8ce-63a6-465a-8578-f195cfeb452f)) + (via (at 107.823 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0095197b-6b52-4e93-b806-aaea6cd09e70)) + (via (at 116.5 120.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00d37e50-8a4f-4f68-b338-bc86d4b1536c)) + (via (at 65.532 124.6505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0116bddf-f51f-40eb-aed5-5f9ee16cb1da)) + (via (at 143.383 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 01b0a17e-1907-4496-859f-baf9c902c595)) + (via (at 117.45 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0200f8ae-7ce6-4dbc-b025-b0d5a0bf627a)) + (via (at 76.35 104.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 028e8bb1-18f7-4202-83ef-29135cf2c6e4)) + (via (at 118.11 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0319a741-fe21-405a-9cbe-f9f1cca75db9)) + (via (at 98.45 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 032fb9b8-872b-44df-ba9b-2f820f1e22cb)) + (via (at 127.45 104.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03895961-9d0f-4824-a811-6adcd460efa7)) + (via (at 121.2 120.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03b109ad-1df0-47b8-b808-1c4ffb9e8a6e)) + (via (at 123.063 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 04850eff-ce6e-453f-9850-404367d73f57)) + (via (at 51.435 109.601) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0581cad7-567c-4884-8f42-3540dd178230)) + (via (at 120.777 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 058860a0-fc6b-4c92-8c9f-b718e7de943f)) + (via (at 64.262 115.697) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0590b907-da5d-48ee-a1db-b34561dd8822)) + (via (at 82.55 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 05b93cd6-3a01-4e5f-9532-1a866413aca7)) + (via (at 140.843 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 06507cd6-c74d-4979-9ea6-91101e05895e)) + (via (at 78.2 105.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0654ce7a-ece6-4482-8aec-723f88aa948f)) + (via (at 133.223 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 06fa5a66-5d7e-4d12-956e-9c6e787dc589)) + (via (at 92.71 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 07608b50-bb1a-4fbc-8f19-4da5a132bb57)) + (via (at 97.79 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 084d96b8-4c3c-45c3-8ed3-6494d913f734)) + (via (at 138.303 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 08cb56c0-7c0f-4008-a3aa-8fd91dd274f0)) + (via (at 64.643 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09a55995-3957-412c-a72f-3cc9a3220aa5)) + (via (at 93.15 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09cf0d9f-3ae9-4e41-9137-427ebc636305)) + (via (at 121.8565 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09d5d79b-fd19-43bd-b48f-b1771be726b8)) + (via (at 77.475 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0a3f45f5-3be7-4103-b0d1-1028a4eaf915)) + (via (at 64.643 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0b0426ed-dc50-41f6-a733-4d180e012234)) + (via (at 56.515 89.916) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0c1b38eb-206b-4ead-9036-bac56e702b48)) + (via (at 97.663 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0d53cc0e-77cc-4dad-a083-791c2178d0d8)) + (via (at 138.303 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0dc3e2e9-4fbc-4293-a1a0-7711ae203461)) + (via (at 104.95 102.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0f4f625c-41c7-499f-8fd3-08a3c47a9e85)) + (via (at 72.263 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0f599c10-b5e8-4ea7-9079-bb2842bf9ff3)) + (via (at 136.2 119.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 106fead2-ab6f-4ed9-9276-55f95ea46ead)) + (via (at 100.55 103.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 11b3b273-2c62-4069-bce8-97d68d708eef)) + (via (at 51.435 114.681) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 123ca99d-ea5b-4eef-a756-857c6e085bbc)) + (via (at 69.088 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 124c53c4-0efc-4ccb-8d95-24ea5e4fc63f)) + (via (at 87.55 103.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 12620a89-4f3e-4ba5-a946-f62f3dc2caa4)) + (via (at 64.643 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 136446e4-7509-468d-9306-28c5704151fe)) + (via (at 138.303 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1467dfe7-151b-489d-8f98-31098cf99cb2)) + (via (at 133.35 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 14b9adc5-aff0-463a-a1c9-2259e8fb1fae)) + (via (at 107.95 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 15a3460b-5828-4dcb-b9ee-7fde59183ee3)) + (via (at 62.103 97.8535) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 15b0f08c-1594-4909-80fb-c7a5cb665cb4)) + (via (at 87.55 96.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 162b04f8-4bcb-4c28-99f4-fca9ca2f43d7)) + (via (at 138.303 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 166f012d-87dd-453f-a496-48d141fd564b)) + (via (at 77.343 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 18180af8-7570-48da-af49-267832d428ae)) + (via (at 115.443 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 19b08f0a-b25f-4895-aa21-55f7040285de)) + (via (at 102.9 108) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 19da6d77-fc66-4e3c-8d18-2fe154bf9e18)) + (via (at 49.55 104.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1a7009ff-4ba2-4332-a2e0-9b4ddef46758)) + (via (at 52.5 97) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1a92de24-244d-4b19-8697-bda3767fdcb8)) + (via (at 82.8 102.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1aa768bb-ebb4-452c-9d3d-73eb4df6f040)) + (via (at 48.895 117.221) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1b30e592-a285-4e6f-997b-3315b7f6dc42)) + (via (at 89.4 129.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c157ab2-b679-4026-b813-1454709671e8)) + (via (at 129.15 118.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c686292-b800-4c00-afc2-ff67d331616f)) + (via (at 138.43 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1e37f16f-56d6-4bfd-86db-f945e1e90575)) + (via (at 90.9 126.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1f599b20-ce97-4762-bd77-c7b97cd97881)) + (via (at 104.65 108.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1f6662d5-9fa0-437f-81dd-73f31f5d3d35)) + (via (at 113.05 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 218ae6e9-aa30-45b0-893e-e79f8753cbfc)) + (via (at 123.05 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 219be1e4-5ffd-4767-9a0b-f7614b3dba0f)) + (via (at 56.515 104.5845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 22458358-5cff-4441-8cf1-87a6ba612915)) + (via (at 135.763 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 22de5585-9d00-4fca-b976-9711a9e7b65a)) + (via (at 56.515 109.6645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 236e0f01-f5d8-472e-9f77-57d39c05acd4)) + (via (at 79.883 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 242a5ffd-c8ef-4d4e-b627-edfc9cbfe669)) + (via (at 83.45 108.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 245e4881-ece1-4815-ab34-b32add880439)) + (via (at 74.803 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 26a4d5c5-a41e-45a3-8458-fdc723838289)) + (via (at 91.95 129.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 26a7e754-483b-41d4-b00c-0f6c68fa5c91)) + (via (at 123.05 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 26f3f629-1920-41e2-bda5-74e081b1a69f)) + (via (at 117.983 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 28887e66-0a9c-4390-a33a-9af4c280b76b)) + (via (at 53.9 89.1006) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 28c24490-d6cb-4d39-a150-955c144274a8)) + (via (at 87.503 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 290d5333-5bcf-4a35-8c5d-4452155aa144)) + (via (at 59.055 112.2045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29167736-a0ff-415c-9429-0c3af1b730c0)) + (via (at 90.65 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2972357b-f45f-40b3-b45a-2e3308ac33cd)) + (via (at 67.183 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29788080-6ea2-42d6-bb04-2976af719fea)) + (via (at 52.1 91.6506) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29ed3ce7-b052-4376-812c-eb8c88b17030)) + (via (at 103.15 129.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2a18a945-890f-4e9a-bc0d-0ebfc0db8795)) + (via (at 128.143 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2b893fa9-0207-4174-98ca-c303b255cccb)) + (via (at 125.603 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2c5eb120-c0a4-4e9a-bcb6-50c8dead6627)) + (via (at 69.723 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2c78870d-d0f2-47d3-bd48-569504bc36d9)) + (via (at 66.675 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2d69138d-a7dd-414b-8e9d-5fe8ec3ede26)) + (via (at 84.3 102.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2d6fed9c-2ee5-4d34-81de-9afa976e3e12)) + (via (at 62.9285 100.076) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2e3bca58-c086-417d-82fc-fe50f3d74ded)) + (via (at 108.05 104.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f22a340-942e-45e1-8471-6fcd4fd1b714)) + (via (at 46.355 129.54) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f73a73f-560f-4167-bf9d-57006155100e)) + (via (at 136.398 100.838) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f966f9b-a6ef-4788-9f3a-1ab03b5d73ab)) + (via (at 134 123.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 30a2c83d-67fe-4333-b2b5-1d06919e7485)) + (via (at 100.65 115.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 30d5aa28-d8f7-4cea-80e0-0b897d38e015)) + (via (at 53.975 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 31efb545-5b55-40ca-9e4b-429038a00b1d)) + (via (at 62.103 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 32a6d0a5-5702-4510-81da-4274255ed99b)) + (via (at 51.65 96.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34157247-e425-4b27-8109-2cdd3831f3c2)) + (via (at 63.754 92.1385) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 344b0d87-8e9f-401f-9c55-4ae4f3bb92fe)) + (via (at 88.05 129.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34afffd7-13be-4957-a40c-051c97de6389)) + (via (at 84.9 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34e75ec4-eb4d-468b-a14f-4f6038bb0d1d)) + (via (at 67.183 111.379) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 35be408e-16ff-4ee2-99aa-1d284ead9e91)) + (via (at 113.7 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3609a3be-d23a-45bd-b0d7-8ae9b2e8c7b3)) + (via (at 48.133 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 383e3db7-0f00-4c7d-bf28-fccd4d7c0a2c)) + (via (at 100.33 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3841a6ef-1cf7-4cde-bf96-128c2e03fca0)) + (via (at 114.3 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 387110d3-549c-4ec3-9782-ec10fb05cc69)) + (via (at 102.87 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 38fe6000-ffcc-48e3-b772-9f2c2d63833d)) + (via (at 143.383 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 395063e4-af68-4b84-af9c-70f5da51e419)) + (via (at 140.1 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 39aa1751-337b-4228-af70-55d8cf3b96c1)) + (via (at 103 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3a490b2d-5321-41de-84f5-6cac9ac5f4ab)) + (via (at 70.485 119.38) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3bc7f104-0bb6-4d69-97da-0981ef6398ad)) + (via (at 118.65 110.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3c7ce8b9-2a07-4701-bd09-6620b9adc5c2)) + (via (at 130.683 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3d687d80-3a1e-4d2a-9cbf-27222befeaa5)) + (via (at 98.2 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3d7bd394-34cc-44dd-a46f-dd15f0f6ba67)) + (via (at 85.2 103.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eaf926a-e7e8-414f-8fac-c7945803b30d)) + (via (at 64.262 118.491) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eb4090f-bc3f-4196-8580-2c6fedd43f67)) + (via (at 126.45 95.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ecac774-0cb7-4bfa-a1d2-2d2fe9b03d88)) + (via (at 51.435 104.5845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ed3f910-fbd6-4b34-8b36-cb50b892d5ee)) + (via (at 144.78 123.825) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eddc33b-59ee-411e-982b-faf58894ff66)) + (via (at 59.055 117.2845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3fb58193-1cd2-461f-942f-da5d9db2dd47)) + (via (at 85.2 96.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 408ecb55-b294-4f63-880e-931920448f98)) + (via (at 77.85 127.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 40d114f6-aec7-4d7d-b4cf-28d60206fb2c)) + (via (at 92.583 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4119e10c-ccd7-400a-9df8-ed101e633f5a)) + (via (at 60.833 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 411f78e4-7d63-45be-838b-91bcaa994bcd)) + (via (at 118.65 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 41c3c707-6605-4548-8e1e-2c832e18d743)) + (via (at 93.45 110.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 429ba99c-21f5-4847-be6a-86fa4bd19386)) + (via (at 103.3 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 42e0acc3-2a37-4f74-83dd-3558985a309e)) + (via (at 85.15 108.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 42f5824a-3441-4bcd-8cbe-d3efd2de4e70)) + (via (at 106.4 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 45b42348-df56-46cf-bb82-a6d44dd0f52e)) + (via (at 98.45 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 45e1a2b4-31d0-49e0-934d-c0bd41643a38)) + (via (at 115.65 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 46d39809-ecb5-4cc6-866a-0b6afdf1853e)) + (via (at 53.975 117.2845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 489e5648-7c35-41c7-80ef-4366697cc74b)) + (via (at 140.843 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4a4f29b3-2e54-45e3-81e8-304fb657bfe1)) + (via (at 59.182 92.9005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ad77cbf-3d1c-4f54-b4d5-02e65a9ea42d)) + (via (at 82.423 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ae6dc50-9436-4da5-bca8-5610bebe770c)) + (via (at 57.15 91.186) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4c575c80-fb32-4a04-aed2-2f0bafcae3b2)) + (via (at 133.223 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ce1a152-94c1-41b7-942a-87334d425baa)) + (via (at 81.788 129.3495) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ce3e795-8649-49ef-b154-4ecd12b325b6)) + (via (at 100.203 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4dc21ec6-5c01-4ebf-a377-98999954878c)) + (via (at 89.65 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 51892bdb-3465-4121-8b2f-68afe9a921ab)) + (via (at 125.55 113.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 52558c9b-a8ba-41b2-9d51-4fadb03364d2)) + (via (at 143.383 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 529627aa-2e76-495b-b1a0-3448d4f764eb)) + (via (at 144.78 103.505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 52cfb07f-2987-4864-a32d-d27abdb63857)) + (via (at 97.45 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 52f3b842-e64c-4c95-8518-8b266436cf5c)) + (via (at 85.8 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5328669b-4d58-453f-a82f-01a280a67ff9)) + (via (at 105.41 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5395b61f-7331-408e-95f5-3f29f3e82050)) + (via (at 83.95 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 53dc96f1-4372-485b-8a80-9a50ae67637a)) + (via (at 115.443 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 540e5b13-29c6-479e-acb2-6aba37a77201)) + (via (at 135.763 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5455224c-ad40-4644-8975-66726c5148e0)) + (via (at 102.5 117.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 546a5f9a-7e20-4986-82db-4ecdf906b5e5)) + (via (at 83.95 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 54fdeca3-1cf2-40be-a27e-fa82f9060527)) + (via (at 56.515 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55171a64-4778-4d6e-9652-06019ce9f010)) + (via (at 133.223 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5555b660-e817-466b-b63b-4b3e2729e776)) + (via (at 122.05 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55a3f6bd-4d1a-4bc6-a9a5-8598faa5dd4f)) + (via (at 91.95 126.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55b5a7e2-e5c3-4b77-860f-e9dc958438ec)) + (via (at 128.143 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55c18f96-9b13-483f-8aa1-a26f83e102d1)) + (via (at 111.55 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5654a2dd-6276-4ecc-8c55-c1076a312c83)) + (via (at 128.143 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 575fa6a1-4470-466b-9886-5b0e0452f65d)) + (via (at 143.383 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 57cf1ccb-146c-4df1-a0b7-df39250a3859)) + (via (at 130.35 122.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 581423a1-8b49-4e29-9da9-66720d52cac1)) + (via (at 102.85 98.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5998c438-efd1-49ca-b5b7-0003bbdd3997)) + (via (at 64.7065 100.076) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 59f2ee1c-c395-4a2d-a1b5-64d5ffa6bc0c)) + (via (at 143.383 121.285) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a648d5e-a072-4d16-b643-c39f325026ef)) + (via (at 114.05 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a723e84-20c3-4c2d-8d97-49b026f04e55)) + (via (at 90.9 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5b01b194-fc4f-4235-bdc0-d94c688ff4b3)) + (via (at 97.45 126.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5b6f47b8-7508-480a-ad25-d29f509452df)) + (via (at 95 127.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5bf001ac-0787-4e85-83f1-20af43f31b30)) + (via (at 58.293 91.8845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5c35a670-6ed5-461b-91f0-891f39f7758e)) + (via (at 123.25 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5c7be84d-4a68-4a08-b9e4-ff53f45a5a4f)) + (via (at 71.247 112.8395) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5dcc9faf-c76a-4b5f-b299-74236c05576e)) + (via (at 48.895 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5e9cc058-78f6-487a-8d2c-0600ee8e4f9a)) + (via (at 130.683 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5eb043ff-22ca-4527-8d0b-53ded6c41bd8)) + (via (at 122.05 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f3ae516-aa93-4227-91d5-480112211a4c)) + (via (at 51.435 124.841) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f3f8c5c-321d-4db5-aa7f-3e82d2dd3601)) + (via (at 110.363 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f5b945c-5c09-49e2-ab54-d00d39964eaa)) + (via (at 50.673 94.615) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f82992a-2c2b-4056-ae72-99951e3e177f)) + (via (at 110.8 102.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f94943e-991b-42ff-a74d-7ac566fc9683)) + (via (at 128.27 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 61354221-91e3-46b7-89b6-c60e1d9af3fe)) + (via (at 66.9 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 61a3b82b-bb5e-4c3f-a281-a8a5c8815f19)) + (via (at 102.743 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 63199b80-2aec-47ac-9ea3-0744add3c995)) + (via (at 57.023 100.0125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 635e30d0-0407-45e4-87e2-0f4715d94ad7)) + (via (at 135.763 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6422168b-8784-4f31-8d83-636e28ab19e0)) + (via (at 69.723 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 64da8356-2f28-4c8f-82ab-f890242e493d)) + (via (at 112.05 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 65150494-81fc-4acb-ad82-637244768c1a)) + (via (at 77.343 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 66593293-87c8-4c9b-9dbd-7612051f2af4)) + (via (at 93.15 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 66b861f2-eb37-4196-a22a-65a4628f8910)) + (via (at 105.283 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 66cb5934-e328-46a1-9c4e-84d0a6cb193a)) + (via (at 123.063 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 67b7e327-f18c-4851-a7ab-a510a819f663)) + (via (at 74.803 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 67fb33ae-c1e2-461f-8161-ea37157a07da)) + (via (at 70.8025 120.7135) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 68600f9c-f1a9-4dea-88af-ea105d28659b)) + (via (at 59.944 84.328) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 688afffc-68cf-41bd-b7be-5f6ff1b57ef9)) + (via (at 144.78 82.423) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 68e7a8b6-35b5-4dd4-90ee-0e90150f02aa)) + (via (at 133.223 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 695e96c2-c12c-4bcd-ba2c-c11397815856)) + (via (at 71.755 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6a08c8ca-a0f7-45d4-9fd2-3249b794daf3)) + (via (at 140.843 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6a2891d5-2d9c-411d-8d9c-545e09b7eff7)) + (via (at 135.763 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6b91a781-531f-491c-beb5-e43af4bee5c3)) + (via (at 106.9 126.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6ba45f02-3c72-4c40-a6b1-d73843dc9905)) + (via (at 118.65 105.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6c002042-5f7d-40e1-866f-67f977c6f02a)) + (via (at 51.435 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6c8188f8-7c29-498f-a9fd-7a7a63a81439)) + (via (at 120.35 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6d5ee040-f3a5-4378-8d15-88b40727bc59)) + (via (at 82.423 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6e5afb31-2f67-4eb0-96c9-1094223107cb)) + (via (at 125.603 118.745) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6eae82f3-5fae-4032-b0e1-93a1c9ba2ed6)) + (via (at 61.5315 114.7445) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6f1c65ee-d0ca-41a6-abc0-701fd327c001)) + (via (at 97.2 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 71584805-ce6a-48a0-bd38-0dd0837e9483)) + (via (at 50.75 96.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 718831e6-c47c-481e-8c5b-74032230f9e1)) + (via (at 111.55 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 71bfae2d-0637-4de5-8db1-a05e6e676945)) + (via (at 59.055 107.1245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 724748b2-0d40-4677-9f49-5a707ac03e2a)) + (via (at 117.983 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7286f930-da1e-427b-ad49-c0936e1cf2e4)) + (via (at 59.055 122.3645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7352f88a-4226-41e3-a2f1-3c1758afed75)) + (via (at 130.683 128.905) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 736aad82-483e-4d56-af0f-dbc1b0178579)) + (via (at 84.6 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 73835d00-ad2b-4268-a050-1fba28e73bcd)) + (via (at 56.515 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 74350283-aebe-4cce-b44c-546874b12c94)) + (via (at 125.603 123.825) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 74bff47e-3e06-4829-95ab-f7077517ac4d)) + (via (at 84.963 88.392) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 75911bb3-c9e2-43aa-83be-69848d3cd30f)) + (via (at 112.903 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 78c613b4-ede6-4722-8f4f-630daf29d00c)) + (via (at 111.75 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 796a46cf-fe9a-41fa-9912-7118ac7278dc)) + (via (at 128.143 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7b59b784-0727-4c86-b809-4d34b9ba7456)) + (via (at 118.65 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7b971473-fe8a-406c-8b4f-110bbe6df9f4)) + (via (at 47 104.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ba336bd-3931-43b4-9dcb-44141966d610)) + (via (at 63.5635 114.9985) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7c6cb22a-b4f9-4ef9-b430-0b22bbe587e8)) + (via (at 123.05 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ce7d437-eb25-4f72-bf93-85cc66fe3b10)) + (via (at 80.75 129.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7d47203f-30de-4ae0-a099-a51905dbdf97)) + (via (at 86.9 126.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ec81953-7823-40db-b38e-16243cb035f7)) + (via (at 53.975 122.3645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f13e0a2-201d-46a3-9292-004346b3d175)) + (via (at 119.85 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f8420b1-a5ac-48ae-8bdf-7357211f876e)) + (via (at 127.45 93.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ff722a9-1401-451c-89ac-5bceca3d7f03)) + (via (at 76.6 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80620d25-18a4-4c76-8684-cd945a42cc56)) + (via (at 104.2 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80a9aa6a-a97b-4ffe-b237-fac7bab96a19)) + (via (at 110.1 128.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80da4d0c-4172-4a8e-a66a-f9e68fae9ef7)) + (via (at 120.523 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 81a16fc1-e73e-4f3a-861c-ecf2ba7f0e9a)) + (via (at 65.786 111.379) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 81e81f59-6c03-46ed-a18b-63742d97fd9c)) + (via (at 130.683 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 82df253b-0836-486a-b046-40aef4e73a87)) + (via (at 62.103 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8319ddbb-7ab8-4ebe-bdaa-e2370e36525f)) + (via (at 57.912 86.36) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 834b809f-9130-4dfa-8bae-309b14f24c9d)) + (via (at 86.85 129.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 835d161f-67dd-4091-b617-1596ded38ccc)) + (via (at 56.388 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83cd0bde-2b9b-4fb6-a2f9-8a1e94708378)) + (via (at 64.643 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83ceb150-f400-4055-9e45-de20e066dd1c)) + (via (at 118.35 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 840131d4-042d-4506-8fa9-9cb1aad7bff3)) + (via (at 90.17 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 85142622-e47d-452d-87c5-ccb3601d12dc)) + (via (at 99.55 128.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 85415c61-60a5-4048-b1dd-264dc1be8d2f)) + (via (at 74.549 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 864cc345-abb0-4927-bfb2-281065490b40)) + (via (at 108.9 113.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 867c3bdc-5547-4c24-b65b-2be671518dac)) + (via (at 102.35 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8680100a-0ba0-4611-ae3b-6f258aaca8c8)) + (via (at 143.383 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8708132a-c19a-4927-ad64-6085e7a97428)) + (via (at 77.47 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 87874745-8daa-49e1-b85e-16339221012a)) + (via (at 135.509 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 87ec9f7a-fb19-4473-a3d5-5c4e64bf59c5)) + (via (at 128.143 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 88e545af-c014-481a-a2b1-7b77fd968095)) + (via (at 46.355 119.761) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 89a0ece3-211e-4163-9ed5-f0efb40ea8f3)) + (via (at 69.5325 111.8235) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 89ff2551-035b-44ad-8e37-7041e40cb0e6)) + (via (at 144.78 118.745) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8c822451-4c6b-44b7-b23c-82b48eb409f9)) + (via (at 53.975 112.2045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8da99ef4-e171-4385-9d53-92b57eb4e1c7)) + (via (at 118.65 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8dd35f9f-6803-471c-8802-2bbe246424c0)) + (via (at 138.9 123.45) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8e2b9e15-4025-4fb7-9496-295a34c68685)) + (via (at 53.848 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f1b8cef-56be-44e6-8b3b-48b383b56003)) + (via (at 78 107.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f6191fa-7520-4b97-a83d-10206f5e8f79)) + (via (at 88 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f9e7ebf-12ae-443b-8356-92a9795a3dca)) + (via (at 52.0065 90.297) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 918d63e9-bf67-4c89-a3eb-aa9f527a8590)) + (via (at 105.05 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 91abdb1e-a7e0-4d77-8115-0ffea620a89e)) + (via (at 138.4 119.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 91c5e535-7611-44dc-a7d3-07b8280842ca)) + (via (at 55.4355 88.773) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 92301bab-19d3-4763-b920-ad4ca5dd4f65)) + (via (at 113.03 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 925332a4-9d94-4e72-af27-4268e53be5b1)) + (via (at 109.95 107.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 92581796-31c6-489f-b6a3-2891fea1ddb7)) + (via (at 83.75 98.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 92cbe39e-c675-465e-9442-d3058452814b)) + (via (at 59.182 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9343b0ee-ccb4-42e5-a578-50112509477b)) + (via (at 51.435 119.761) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 937452fb-2da2-4db3-b6e6-bb83ff000300)) + (via (at 132.75 122.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 93b7f08d-b265-4399-9cfb-0a1054c6d383)) + (via (at 112.903 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 93cb487a-0cff-485a-b30b-be42494e8104)) + (via (at 59.563 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 94c7868c-bdca-4f22-b93a-7628da71430e)) + (via (at 139 118.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 953b89a3-5a78-4e26-a84b-6a916f6cb24e)) + (via (at 48.895 107.061) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 957c0d31-993b-4405-a910-4b555f4328e8)) + (via (at 106.35 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 95a9a2f3-da5f-48bd-bad3-16d2cbdbc485)) + (via (at 114.05 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 95bbb77d-5030-4f79-955c-bafc9e4cd4ce)) + (via (at 132.75 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 96297358-a1ae-4f36-b37b-8ffe48fd349f)) + (via (at 112.05 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 962f3295-e54c-464c-aa97-8e4ab44a67c2)) + (via (at 75.7 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9641567c-e163-48d3-b742-93123075848a)) + (via (at 90.3 129.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9647741e-e18c-47ad-9505-7bcdb5ed78ae)) + (via (at 51.435 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9664f286-10e5-4555-a3d4-ad102aa77211)) + (via (at 88.95 103.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 96abb435-63ef-489f-a64a-85f6589b8a44)) + (via (at 144.78 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 974082ce-2e0c-46d0-b8e2-510358027b75)) + (via (at 61.595 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 982334a4-ab11-45f5-80ff-1e3777a1af24)) + (via (at 61.5315 119.8245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 983ecc48-b10d-4364-8722-bb02b7752291)) + (via (at 81.95 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 99ca50d3-e582-44b0-bc3f-45e0e3b6ab34)) + (via (at 50.75 101.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9a6c083e-46f4-4ed3-b3db-fc423983ab9b)) + (via (at 143.383 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9bc85bf0-42dc-47c1-82fa-3da9c274bc26)) + (via (at 125.55 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9c0aec2d-4194-4308-96f7-d502b5aaf2bc)) + (via (at 109.5375 126.8095) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9c60a224-e85f-4132-9b6f-2e53e7cc80a7)) + (via (at 63.9445 119.5705) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9cb943d6-71b7-4504-9cc3-6925f4cb8104)) + (via (at 144.78 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9cd8a53c-bb48-4175-98cb-d6fa072d8390)) + (via (at 118.65 108.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 9dce04f1-7b2f-42b4-8682-a2b0031eff7d)) + (via (at 135.509 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9ded6bb0-319e-4b4a-970c-60b87b0d5bb9)) + (via (at 118.65 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9e3ab369-d7aa-4611-9ea2-f39b5ae1a58a)) + (via (at 102.1 129.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9f7f52f8-3d99-4a3e-ad1f-1b453619c386)) + (via (at 131.55 118.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9fce2c4a-a323-4798-a101-e874bd24d535)) + (via (at 79.883 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9ff32be6-cc2a-4416-8b11-bc7cedec3fb7)) + (via (at 112.2 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a0d95405-5094-4300-996a-2dc943abe85a)) + (via (at 135.2 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a21f5052-352c-418f-81a8-c8555f8e65ae)) + (via (at 141.3 123.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a22735fd-bfbb-493c-bba6-44c110c104e7)) + (via (at 126.45 109.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a26aed8d-4cc7-4a2d-ac29-bb21bd8b93ac)) + (via (at 134 118.95) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2e724a7-59c5-4d7f-8747-6b8e816b7273)) + (via (at 133.223 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2ebd2aa-63f0-4925-a6c1-afe5c437ff84)) + (via (at 61.5315 109.6645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a546ee4f-ebae-40a5-adae-9dd8e91366c3)) + (via (at 111.75 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6d5ed7b-2a62-48c5-8757-bdbf9801aba3)) + (via (at 117.983 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6e4204d-91bc-4775-b44d-387894ada114)) + (via (at 60.071 104.4575) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a7288609-200e-4bae-ba0b-e39c45f7676b)) + (via (at 64.643 103.505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a7e4de82-49af-4da8-b7e4-7df93448ba72)) + (via (at 112.9 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a7f70fee-69f9-4674-8999-14119feeae68)) + (via (at 144.78 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a804ed63-7693-4f58-a492-cac2f100f254)) + (via (at 46.355 109.601) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a81ce66b-3eea-4c60-ac50-4b98aed12af7)) + (via (at 53.848 95.123) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a86f94bb-74bb-41f8-afd9-ec0f16cf4099)) + (via (at 58.928 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a8857544-bf9f-4e0c-92b8-5b8f4e8ba77b)) + (via (at 131.55 123.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a8e9facb-629e-47a2-9801-2768d1843021)) + (via (at 46.355 124.841) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a92a0e6a-5fcc-4936-b42c-c3609207fb6e)) + (via (at 57.404 92.9005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a9502034-0258-4128-90fc-8531fd4e5bd4)) + (via (at 53.2 90.6506) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a96281a7-dab3-4475-a62b-c1163fbd9fcb)) + (via (at 73.787 115.3795) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a985e212-3429-4d1b-b4d3-2f9536e489e0)) + (via (at 129.15 123.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp aab3ff66-96fb-4f19-85b6-62bc13b84b0a)) + (via (at 138.303 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aaf12503-16e0-4b22-9b0e-a993ffeb7dba)) + (via (at 79.95 128.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ab3da04a-8fc7-4e44-9c89-f9cd3e4ac2a3)) + (via (at 143.002 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ab490fc5-d042-47c5-a9f1-c01427aa9e89)) + (via (at 87.25 93.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ab4df1e6-2b4a-4b8a-b0b0-c5b94639123d)) + (via (at 133.223 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp abac1242-42a6-4539-8e11-0088d7117e06)) + (via (at 62.103 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ac630860-7778-4449-a5ec-37f7b74cca05)) + (via (at 77.216 98.298) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae4162b5-d0f0-4407-87be-9e2d6f28fcfb)) + (via (at 110.75 96.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp ae53a75a-6e6b-44a9-b7fa-d4e59150d08b)) + (via (at 114.05 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8bb30e-5dd5-4a4e-a738-3e3e5fd252b6)) + (via (at 76.454 97.0915) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8f191c-55aa-4eff-a354-be971ad8ffbc)) + (via (at 104.7 98.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aec327bf-d499-4fd5-afac-503863b83c64)) + (via (at 56.515 124.9045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aed94884-d774-49ed-90fa-e6c5ba3e36e3)) + (via (at 98.55 128.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp afc3775c-42c5-484c-b31a-1a4f3df9bea4)) + (via (at 97.45 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b049c887-1086-4055-a231-a477ea546e4c)) + (via (at 62.8015 96.4565) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b1295d7a-13a1-4a49-a538-f4b57bef1765)) + (via (at 50.35 91.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b195c7e8-a30d-43a8-909b-380cec682c6a)) + (via (at 138.303 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b20285e5-da39-4fb7-bb8c-d89d16cf4aa7)) + (via (at 103.2 102.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b29c28e6-7712-410b-8b76-394399dda768)) + (via (at 104.05 103.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b2df65e7-9321-449d-b257-15215089dac7)) + (via (at 117.35 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b3471d80-78bd-405c-9aad-2a621f17160e)) + (via (at 80.01 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b394de97-2e80-4c06-aaff-a2518615d4fd)) + (via (at 89.9 90.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b3a706db-f6dd-4727-a29b-e44407a2bff1)) + (via (at 144.78 129.54) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b4642292-0004-4986-964f-39cba311e38d)) + (via (at 102.85 103.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b5097e4e-8aea-4db9-a989-3407f3d7e22b)) + (via (at 118.65 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b52850d5-b7d7-48ad-98c8-13564e4603c2)) + (via (at 130.683 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b7fac1e5-30c6-48cc-80e8-9463c5d8852b)) + (via (at 112.9 91.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b873072f-75ae-4400-b5a8-f6662da19ad4)) + (via (at 143.002 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b8875d18-3d6f-4d8b-a3d1-c49affd94605)) + (via (at 135.128 100.838) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b9caabe1-2940-4482-b662-775ea350c8fa)) + (via (at 94.1 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bb194516-f388-44ed-8922-89da64d02e3e)) + (via (at 95.123 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bb9cdb25-088c-4b22-b37d-dcac0a042a5d)) + (via (at 52.324 94.5515) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bdd0d8ee-6fd9-45d4-af02-fe5664342a77)) + (via (at 62.103 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bdfd1fcf-d42c-4baa-aba6-b37bd2d7df06)) + (via (at 59.055 103.8225) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp be19507a-cead-4353-9f55-41beb69fc26c)) + (via (at 100.203 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bec9881e-c22c-4e92-8515-5053104e6ccd)) + (via (at 83.45 96.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bf15560f-c966-46a0-992e-1b14ffb56e72)) + (via (at 114.05 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bfaeab6e-a111-4c55-a5e1-951ce5e7288e)) + (via (at 102.35 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c0046814-c17e-4b4b-9912-0b9053c0e277)) + (via (at 46.355 114.681) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c1a17c84-5e0d-4e1f-a381-59becb6337e6)) + (via (at 125.6 94.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c2dfd6ce-6dea-42e7-89b6-3b418e666dc1)) + (via (at 60.833 100.0125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c4b0f3eb-105e-4199-af4a-5e2635aa9984)) + (via (at 138.303 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c4d946b5-836b-4d58-85a4-4d0e6deed15d)) + (via (at 110.85 107) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c580ce21-5c15-4822-a4b6-c4a3f9e760fa)) + (via (at 56.515 119.8245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c5d4425e-0c73-4929-9842-2f11bef663cf)) + (via (at 117.983 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c6efd8c7-c1b9-44c7-bd36-a7268584062e)) + (via (at 55.4 94.3506) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c7df71a0-a603-4751-8a09-6dbcb8b4dd9d)) + (via (at 144.78 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c868f48b-5c25-48af-9bbc-742e407898bc)) + (via (at 128.143 126.365) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c9e27860-5984-4946-9482-269f4afef219)) + (via (at 127.35 113.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ca1c7ea1-0342-4285-8796-79b977f3a404)) + (via (at 143.383 106.045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cc42b92e-82bc-4996-85af-a93a8c3fe991)) + (via (at 97.663 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cc56370a-43b9-4fff-82bd-e97d2556e228)) + (via (at 105.283 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cda55ff5-6603-4645-9aa6-ae692175b5aa)) + (via (at 47 94.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cdf8c384-46fe-4a02-9fbd-60979e7083dd)) + (via (at 49.9745 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce540e5c-5b4c-425b-b59a-d4253f0f08ab)) + (via (at 135.89 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce61fceb-1cb6-4841-af1f-30897e9d9c0e)) + (via (at 87.8 114.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cf2355d2-7435-42de-b302-b22dd9ba156f)) + (via (at 86.65 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d024a4e1-1ba5-48fa-aa42-7c645e145bbd)) + (via (at 109.45 103.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d090a1b7-3ffb-4fea-b1bc-dc447111e8c5)) + (via (at 95.25 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d0a8024c-4422-44a3-bb99-4a333c4bd752)) + (via (at 72.263 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d17852a8-e093-4198-aa57-ec3143a0e29b)) + (via (at 49.55 95.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d1eaba48-6b06-4e88-9467-97168a085192)) + (via (at 123.19 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d220f2eb-e561-49cd-9c34-90a503223c5e)) + (via (at 87.63 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d3dc4b7d-3dcc-454a-90ca-60a64f7ddb98)) + (via (at 59.309 90.4875) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d447cff0-2cea-466d-9677-28d009621ea1)) + (via (at 111.75 92.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d48a06e9-8a8f-4593-a8b1-025dc425ef4b)) + (via (at 130.81 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d5239d78-0924-409d-90bc-86df1f263ec3)) + (via (at 72.263 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d62f7c56-2b95-449b-9795-ea7b6cf81627)) + (via (at 94.45 111.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d678e837-82b5-4625-adbc-36815ee9c3fe)) + (via (at 50.75 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d67effba-ecce-4481-9d7b-bc9352f54e6a)) + (via (at 67.183 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d6deb891-73da-4dad-817c-b26d8fef97b0)) + (via (at 126.45 114.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp d791d52d-d88f-4613-a557-f76cb392e505)) + (via (at 101 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d8ad5fbe-a7d4-46c6-8419-1a4963b98dc8)) + (via (at 120.523 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9104138-e5fa-4e29-ad3c-3f2cc939a949)) + (via (at 123.063 126.365) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9db4216-de03-4ccf-ae0f-b913b7cede57)) + (via (at 89.65 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9dd442f-521c-4c2a-803a-6337e1aea34e)) + (via (at 85.09 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp da49f4d3-0ddc-4f23-8d62-3b429a572dd4)) + (via (at 127.45 108.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dad69e48-46e0-4a84-b1fd-ac1854da706d)) + (via (at 53.975 107.1245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp db8726d5-f5e9-4525-9f9b-a4a712250fc5)) + (via (at 92.55 127.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc03da78-20bd-48ad-b546-1a721eb948ba)) + (via (at 125.603 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc0d70ac-2166-401b-b7b9-a6950489fa0e)) + (via (at 113.1 120.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp df580ab1-92e1-42a8-9764-3b704fbc716b)) + (via (at 114.05 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e10ab79a-2f82-4e0d-a640-b694a2918d23)) + (via (at 63.8175 99.06) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e24c9585-65f5-4532-a5f6-0073ba4c764a)) + (via (at 107.2 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e2a70fd5-c1b9-4b5e-b488-f81074210ba5)) + (via (at 97.2 90.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e2f78964-a1ba-4acd-aca0-264147caf50f)) + (via (at 65.278 119.507) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e2fb452c-9d71-46ea-ad21-a12b6fec3bd1)) + (via (at 120.65 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e356a468-e7b9-4be6-91f4-6e7678ecf861)) + (via (at 115.57 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e38a0828-a7a5-4de4-a159-f02d79ab7058)) + (via (at 123.05 91.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e3f00a26-bc8f-4ec6-ba73-1a0c58e5e253)) + (via (at 133.223 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e3f48980-a716-4218-99e3-e4cc7fb4d2c2)) + (via (at 87.503 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e4440f5d-1585-4711-8557-473a284ea5f8)) + (via (at 128.143 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e560cd95-7836-4983-b99f-b0d2d8d2a94f)) + (via (at 105.2 128.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e5fba362-b9dd-4ee5-8534-1886dc419d74)) + (via (at 62.5475 113.2205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e675ddd9-2c02-4d53-9b94-678dace5e91d)) + (via (at 67.183 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e6e224ce-c3f9-46e9-b071-88e58b2cfb4e)) + (via (at 92.583 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e8247815-4300-45e4-9277-1511bda77e60)) + (via (at 122.05 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e88fc0ad-1173-4f3f-927c-aa92391765c3)) + (via (at 73.406 128.524) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e9520f5e-23f3-49cf-aa2f-b6cab388136b)) + (via (at 95 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ea532091-d220-4333-889e-92c50903575a)) + (via (at 93.8 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp eae7e4af-656e-4745-95e0-e42346fde563)) + (via (at 64.008 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp eb542962-c787-4f14-b178-b8d6db32c163)) + (via (at 140.843 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ebe479d6-50d2-43a5-aa6c-1cea73de951a)) + (via (at 77.216 95.8215) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ebe76b65-df48-41f1-8968-4c471ccae20e)) + (via (at 123.25 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ec340938-2ded-4b0a-b610-b80ed4014584)) + (via (at 130.683 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ed76559b-0758-437d-939c-1790e2f825f3)) + (via (at 107.823 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp edc639b7-9fb3-454f-a78d-d7e4dc70b43c)) + (via (at 48.895 122.301) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ee74b72c-55ba-4cc7-8466-576169ae0a78)) + (via (at 126.45 105.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ef5bc6fe-8f86-4952-8c9d-1aa35007db2c)) + (via (at 48.895 112.141) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f00c1164-6432-4e46-9877-175957545cc7)) + (via (at 107.823 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0568c8b-dd13-4c88-9855-04acf9906c90)) + (via (at 102.743 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0dfe3b4-7694-490e-b996-48d8fce2b919)) + (via (at 130.35 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0ef46a8-e8ca-4347-ae4b-f2641f18d72d)) + (via (at 90.65 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f13a4df1-2316-4db2-8b9d-4081b4e49e62)) + (via (at 100.55 98.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f224bf55-ff91-421c-8ba6-3945e8a98081)) + (via (at 113.05 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f23df499-b73f-43f7-8cf6-f696cc46bfa3)) + (via (at 144.78 108.585) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f3e89f4b-040d-43a4-85b7-a2037614a16c)) + (via (at 125.73 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f411b0b4-ac15-4a2f-b401-5afff914b623)) + (via (at 78.8 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5205a54-71e8-4c93-afa8-6734ba5b0c67)) + (via (at 141.35 118.95) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f55e78c9-9337-4d56-b0d4-f4a7b90e06b4)) + (via (at 88.4 128.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5eddeb9-2468-4a33-9bc1-a1070a7979c7)) + (via (at 128.143 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f62a784b-6cc7-4062-8714-33779c63d905)) + (via (at 46.355 93.472) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f652096c-fce0-41de-9aae-5c9ba030ddb6)) + (via (at 68.58 111.379) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f948ab2d-c52d-4c2d-bd17-3cf7f4dac459)) + (via (at 62.865 114.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fac39835-1a21-4dff-a096-d8d47e00fb20)) + (via (at 111.75 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp faeab624-b596-4d41-b724-e06f8192e729)) + (via (at 110.49 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fafe1f96-8251-4451-ac29-ab6db26a11ef)) + (via (at 56.515 114.7445) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fc5aaf18-9b9d-4133-93d6-98728af93ab1)) + (via (at 125.603 128.905) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fcb546a8-765e-43fb-8a07-0cde76eb44df)) + (via (at 92.55 129.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fd515932-8b79-459e-9ec4-11e90cb8022e)) + (via (at 110.25 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fdae2b0b-776e-42cf-bcf2-9e3f7d3a27ef)) + (via (at 95.875 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ffce670f-5b9c-456e-a101-e19ebd739e9c)) + (segment (start 69.6595 122.174) (end 69.7865 122.174) (width 0.3) (layer "B.Cu") (net 2) (tstamp 0835757f-33f6-4a59-a55a-ae960a6feda4)) + (segment (start 136.906 131.318) (end 137.16 131.572) (width 0.15) (layer "B.Cu") (net 2) (tstamp 12a7466b-b7b1-410a-8b2f-cba6fb16c09c)) + (segment (start 137.414 131.318) (end 137.16 131.572) (width 0.15) (layer "B.Cu") (net 2) (tstamp 1bc90036-0786-4212-b297-f6b69498df2f)) + (segment (start 69.7865 122.174) (end 70.8025 121.158) (width 0.3) (layer "B.Cu") (net 2) (tstamp 3025fee9-710d-496e-8375-fc5bec596021)) + (segment (start 135.89 131.318) (end 136.906 131.318) (width 0.15) (layer "B.Cu") (net 2) (tstamp 392f16a3-2512-404b-ba84-9d0a3b36ff69)) + (segment (start 69.6595 119.634) (end 70.231 119.634) (width 0.5) (layer "B.Cu") (net 2) (tstamp 5175739e-2355-4425-ab44-5f9d832401a1)) + (segment (start 70.231 119.634) (end 70.485 119.38) (width 0.5) (layer "B.Cu") (net 2) (tstamp 6db09c26-22c1-45fe-90cf-371cf8963872)) + (segment (start 70.8025 121.158) (end 70.8025 120.7135) (width 0.3) (layer "B.Cu") (net 2) (tstamp a2834fb8-75fe-43b5-8ade-e4c11d32171a)) + (segment (start 137.16 135.382) (end 137.16 131.572) (width 1.524) (layer "B.Cu") (net 2) (tstamp b4a99654-6906-4888-855c-3a00abc44cb1)) + (segment (start 138.43 131.318) (end 137.414 131.318) (width 0.15) (layer "B.Cu") (net 2) (tstamp e6fbd36c-181b-4c7a-be57-45381ea5423c)) + (segment (start 90.55 124.95) (end 90.55 125.85) (width 0.15) (layer "F.Cu") (net 3) (tstamp 6c983236-1b57-4265-b4b4-be938ec39906)) + (segment (start 90.55 125.85) (end 88.9 127.5) (width 0.15) (layer "F.Cu") (net 3) (tstamp 7b1615ba-c4ff-4aba-9ec7-5f1ccab0a5ea)) + (segment (start 88.9 127.5) (end 88.9 135.382) (width 0.15) (layer "F.Cu") (net 3) (tstamp 7e6041f6-9b88-4655-8f8a-2ed7739de57d)) + (segment (start 83.3 124.95) (end 83.3 126.85) (width 0.15) (layer "F.Cu") (net 4) (tstamp f5aa6762-4d3c-49c6-ab1d-e4b63c54c65c)) + (via (at 83.3 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp c69504f1-b5ca-4a5d-b1e1-c07d6b63c55d)) + (segment (start 93.879 128.55) (end 96.52 131.191) (width 0.15) (layer "B.Cu") (net 4) (tstamp 14a6219f-bd30-47f5-8e55-a6cc2542fc8a)) + (segment (start 96.52 131.191) (end 96.52 135.382) (width 0.15) (layer "B.Cu") (net 4) (tstamp 396184fc-86a5-4442-8a19-a9552a2807f9)) + (segment (start 85 128.55) (end 93.879 128.55) (width 0.15) (layer "B.Cu") (net 4) (tstamp bb1aa7f0-2d84-4da7-a005-b221992b9796)) + (segment (start 83.3 126.85) (end 85 128.55) (width 0.15) (layer "B.Cu") (net 4) (tstamp bbbe8b69-de7d-4eea-9e88-f65bc44b473f)) + (segment (start 82.65 124.95) (end 82.65 126.1) (width 0.15) (layer "F.Cu") (net 5) (tstamp 673019e6-0c83-42ce-929c-116a230d88e2)) + (via (at 82.65 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp 5b17deb9-5c96-4b12-9e04-d28b350516d6)) + (segment (start 91.639 128.85) (end 93.98 131.191) (width 0.15) (layer "B.Cu") (net 5) (tstamp 31d6f042-219f-412f-94a9-c1ca7ea70d26)) + (segment (start 93.98 131.191) (end 93.98 135.382) (width 0.15) (layer "B.Cu") (net 5) (tstamp 4a9201b3-3aee-46ba-a6e2-c73e6afbbd53)) + (segment (start 84.527 128.85) (end 91.639 128.85) (width 0.15) (layer "B.Cu") (net 5) (tstamp 4aa2f851-78f5-495b-97dd-5c3867ae04e7)) + (segment (start 82.65 126.1) (end 82.65 126.973) (width 0.15) (layer "B.Cu") (net 5) (tstamp 949f5657-9446-4a07-b48d-684704fe8bcc)) + (segment (start 82.65 126.973) (end 84.527 128.85) (width 0.15) (layer "B.Cu") (net 5) (tstamp d21b5530-c84f-493b-8142-92789c11861f)) + (segment (start 97.15 125.9) (end 97.15 124.95) (width 0.15) (layer "F.Cu") (net 6) (tstamp 29972ae3-a360-4209-aefa-5ca4fa7457f7)) + (segment (start 99.06 127.81) (end 97.15 125.9) (width 0.15) (layer "F.Cu") (net 6) (tstamp 52b5ecf6-4add-4c55-9a7e-632b2f0c1009)) + (segment (start 99.06 135.382) (end 99.06 127.81) (width 0.15) (layer "F.Cu") (net 6) (tstamp eb48527f-63ec-415b-b5b6-2264c34be4d6)) + (segment (start 96.52 135.382) (end 96.52 130.17) (width 0.15) (layer "F.Cu") (net 7) (tstamp 24b302ab-4a12-40ed-a45f-6f8a8bcc731e)) + (segment (start 92.5 126.15) (end 92.5 124.95) (width 0.15) (layer "F.Cu") (net 7) (tstamp 5fa005fd-d424-448f-9bca-f70e259be106)) + (segment (start 96.52 130.17) (end 92.5 126.15) (width 0.15) (layer "F.Cu") (net 7) (tstamp e461c147-5e71-40d8-9bf4-2331f5e81d78)) + (segment (start 91.85 124.95) (end 91.85 125.95) (width 0.15) (layer "F.Cu") (net 8) (tstamp 38232b6c-701e-45d7-9671-243adf559e2c)) + (segment (start 93.98 128.08) (end 93.98 135.382) (width 0.15) (layer "F.Cu") (net 8) (tstamp 5fd419dc-20d0-483d-a8dc-f4c2ed748666)) + (segment (start 91.85 125.95) (end 93.98 128.08) (width 0.15) (layer "F.Cu") (net 8) (tstamp a51c5be5-dd0c-4b45-a7d9-5ef69460b3fc)) + (segment (start 91.2 125.85) (end 91.44 126.09) (width 0.15) (layer "F.Cu") (net 9) (tstamp 68f71b8f-894d-487b-8cf5-704654cc45ef)) + (segment (start 91.44 126.09) (end 91.44 135.382) (width 0.15) (layer "F.Cu") (net 9) (tstamp 964e58a4-8aca-47e4-b738-78461c6814ac)) + (segment (start 91.2 124.95) (end 91.2 125.85) (width 0.15) (layer "F.Cu") (net 9) (tstamp 9b49f93f-edd7-42be-9c34-ed96d18aa2d3)) + (segment (start 89.9 125.85) (end 86.36 129.39) (width 0.15) (layer "F.Cu") (net 10) (tstamp 6edf0d6f-ad05-4d37-bbad-31b358b0d1a8)) + (segment (start 86.36 129.39) (end 86.36 130.81) (width 0.15) (layer "F.Cu") (net 10) (tstamp a157a674-b4f0-4bfe-874f-0c2567c36dc6)) + (segment (start 86.36 130.81) (end 86.36 135.382) (width 0.15) (layer "F.Cu") (net 10) (tstamp ce18e055-cb41-42cb-ac04-0ba01f518990)) + (segment (start 89.9 124.95) (end 89.9 125.85) (width 0.15) (layer "F.Cu") (net 10) (tstamp e19877e9-2446-4b1a-8519-6bb4ce93c428)) + (segment (start 89.25 125.85) (end 89.25 124.95) (width 0.15) (layer "F.Cu") (net 11) (tstamp 4f87158e-806a-4eb7-8426-b0d644cfe7e1)) + (segment (start 83.82 135.382) (end 83.82 130.43) (width 0.15) (layer "F.Cu") (net 11) (tstamp 5ba14d31-76af-41f8-aafb-a784d6849522)) + (segment (start 85.8 129.3) (end 89.25 125.85) (width 0.15) (layer "F.Cu") (net 11) (tstamp 8459f366-fc86-479f-94ef-377271559e82)) + (segment (start 83.82 130.43) (end 84.95 129.3) (width 0.15) (layer "F.Cu") (net 11) (tstamp 8d58d45e-029e-46d4-9f50-bf7221ccae89)) + (segment (start 84.95 129.3) (end 85.8 129.3) (width 0.15) (layer "F.Cu") (net 11) (tstamp ae4e5308-b2f8-4400-9349-a00cec5d5abd)) + (segment (start 88.6 125.9) (end 85.5 129) (width 0.15) (layer "F.Cu") (net 12) (tstamp 064a6400-3600-4979-a381-4245e52cade7)) + (segment (start 83.344 129) (end 81.28 131.064) (width 0.15) (layer "F.Cu") (net 12) (tstamp 3fb9ce96-dc3b-4b85-9271-85fa2911d3b7)) + (segment (start 88.6 124.95) (end 88.6 125.9) (width 0.15) (layer "F.Cu") (net 12) (tstamp 54960242-3965-44ec-800b-6147593750aa)) + (segment (start 85.5 129) (end 83.344 129) (width 0.15) (layer "F.Cu") (net 12) (tstamp c4cdb949-533d-4783-b389-e84a34538cf5)) + (segment (start 81.28 131.064) (end 81.28 135.382) (width 0.15) (layer "F.Cu") (net 12) (tstamp e0ced5a5-ff3c-4e21-ae01-0469b15b9608)) + (segment (start 78.74 135.382) (end 78.74 131.191) (width 0.15) (layer "F.Cu") (net 13) (tstamp 1533000a-fb0d-4e64-a967-2bb97d5133a7)) + (segment (start 81.231 128.7) (end 85.1 128.7) (width 0.15) (layer "F.Cu") (net 13) (tstamp 593f2f58-af18-4422-989b-1c51263a148c)) + (segment (start 87.95 125.85) (end 87.95 124.95) (width 0.15) (layer "F.Cu") (net 13) (tstamp 6de1702c-4ef5-4312-88b0-d49bee9b7432)) + (segment (start 85.1 128.7) (end 87.95 125.85) (width 0.15) (layer "F.Cu") (net 13) (tstamp f9b04147-3548-4367-b4f9-3bf3a6272959)) + (segment (start 78.74 131.191) (end 81.231 128.7) (width 0.15) (layer "F.Cu") (net 13) (tstamp fc151773-8f28-40ca-ace8-67ad8ab8c034)) + (segment (start 97.8 125.9) (end 101.6 129.7) (width 0.15) (layer "F.Cu") (net 14) (tstamp 03dec0d5-0102-4e8b-b65e-d3db6ce0df44)) + (segment (start 97.8 124.95) (end 97.8 125.9) (width 0.15) (layer "F.Cu") (net 14) (tstamp 078712c2-93b3-44b2-a63b-6087270d0eb9)) + (segment (start 101.6 130.9) (end 101.6 135.382) (width 0.15) (layer "F.Cu") (net 14) (tstamp 7ef0216e-1063-4fe6-9b07-f9a568f1fd32)) + (segment (start 101.6 129.7) (end 101.6 130.9) (width 0.15) (layer "F.Cu") (net 14) (tstamp 8b5488f1-4b2d-4fb6-955e-1a4410f258e1)) + (segment (start 79.4 124.95) (end 79.4 126.85) (width 0.15) (layer "F.Cu") (net 15) (tstamp de2cf36e-bd42-484c-919b-724f75f6deaa)) + (via (at 79.4 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp bbef0344-624d-49fa-b023-801fd9be0d81)) + (segment (start 81.28 135.382) (end 81.28 128.73) (width 0.15) (layer "B.Cu") (net 15) (tstamp 24f7952a-70ec-42ee-b913-b670e0783d63)) + (segment (start 81.28 128.73) (end 79.4 126.85) (width 0.15) (layer "B.Cu") (net 15) (tstamp e537b514-f69e-48bb-97af-ecd2c33b993c)) + (segment (start 82 124.95) (end 82 126.85) (width 0.15) (layer "F.Cu") (net 16) (tstamp 200472ed-2e82-4376-83f7-10913c3c1fa9)) + (via (at 82 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp acd77547-87cb-4761-a68e-95a2a9fe35c4)) + (segment (start 84.3 129.15) (end 89.399 129.15) (width 0.15) (layer "B.Cu") (net 16) (tstamp 6f8fc661-f447-42b2-a200-8d8c37507173)) + (segment (start 89.399 129.15) (end 91.44 131.191) (width 0.15) (layer "B.Cu") (net 16) (tstamp 7a823e0a-0df3-4028-abc1-ba6cbadc93e9)) + (segment (start 91.44 131.191) (end 91.44 135.382) (width 0.15) (layer "B.Cu") (net 16) (tstamp 82ee37cd-7ed4-469c-bc2c-b209d3d15912)) + (segment (start 82 126.85) (end 84.3 129.15) (width 0.15) (layer "B.Cu") (net 16) (tstamp ba7d2fc4-9daa-4420-9054-150d880c719c)) + (segment (start 78.75 124.95) (end 78.75 126.1) (width 0.15) (layer "F.Cu") (net 17) (tstamp 881ea9b6-60e4-406e-b94d-79e45027ed3b)) + (via (at 78.75 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp c2b480d1-1183-41fc-a429-9cb995df3f7e)) + (segment (start 78.35 127.15) (end 78.75 127.55) (width 0.15) (layer "B.Cu") (net 17) (tstamp 3d7220bb-05b6-43dc-8287-99a4cc5ac5f7)) + (segment (start 78.75 135.372) (end 78.74 135.382) (width 0.15) (layer "B.Cu") (net 17) (tstamp 4618a48e-e2cb-4d51-8ed8-75106f389262)) + (segment (start 78.35 127.15) (end 78.35 126.5) (width 0.15) (layer "B.Cu") (net 17) (tstamp 72ee2efb-92a5-400b-8ee7-91968818743e)) + (segment (start 78.35 126.5) (end 78.75 126.1) (width 0.15) (layer "B.Cu") (net 17) (tstamp b17099b7-8350-4ba0-9bd2-e99bb7a7786d)) + (segment (start 78.75 127.55) (end 78.75 135.372) (width 0.15) (layer "B.Cu") (net 17) (tstamp f565607c-552d-49b3-b475-5f3e157d06dd)) + (segment (start 80.05 124.95) (end 80.05 126.1) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00ed1a21-63f3-4e71-9e38-4022396c7dc8)) + (via (at 80.05 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp efd13bf1-5ad7-4e12-9348-75a338a6ed94)) + (segment (start 83.82 131.064) (end 83.82 135.382) (width 0.15) (layer "B.Cu") (net 18) (tstamp 19a77e08-7e9e-407c-a4b1-123da96cb694)) + (segment (start 80.05 126.913) (end 83.82 130.683) (width 0.15) (layer "B.Cu") (net 18) (tstamp 65f64209-4d16-4d25-9d4d-0f3b7e30594a)) + (segment (start 83.82 130.683) (end 83.82 131.064) (width 0.15) (layer "B.Cu") (net 18) (tstamp 77bd9c05-6ded-472c-b2e4-3976cbdb31ae)) + (segment (start 80.05 126.1) (end 80.05 126.913) (width 0.15) (layer "B.Cu") (net 18) (tstamp f89f6e38-c52a-4f53-b131-844668df27f9)) + (segment (start 80.7 124.95) (end 80.7 126.85) (width 0.15) (layer "F.Cu") (net 19) (tstamp 405b939f-ac5e-4f5b-9e85-121d881c7ca0)) + (via (at 80.7 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp eaa9b55f-e5ac-43bf-b2fc-8e3b2a89f3e1)) + (segment (start 80.7 126.85) (end 83.6 129.75) (width 0.15) (layer "B.Cu") (net 19) (tstamp 268f837c-dcb8-416b-858f-6eaaef8ffc58)) + (segment (start 85.6175 129.75) (end 86.36 130.4925) (width 0.15) (layer "B.Cu") (net 19) (tstamp 5906115e-c47d-43cf-b1dc-9c35f99cc2e8)) + (segment (start 83.6 129.75) (end 85.6175 129.75) (width 0.15) (layer "B.Cu") (net 19) (tstamp d7d91b6c-7c61-4c36-9a82-02a61012e831)) + (segment (start 86.36 130.4925) (end 86.36 135.382) (width 0.15) (layer "B.Cu") (net 19) (tstamp f7a5bf78-f97e-475e-a474-70875c1b4d4b)) + (segment (start 81.35 124.95) (end 81.35 126.1) (width 0.15) (layer "F.Cu") (net 20) (tstamp be70b4b8-a29a-41d8-b8b3-b3b45bc2e246)) + (via (at 81.35 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 20) (tstamp 3cb612c8-f0ac-47ed-aa20-2bac7de97a1f)) + (segment (start 81.35 126.943) (end 83.857 129.45) (width 0.15) (layer "B.Cu") (net 20) (tstamp 0cf533e5-3795-4f66-aec0-c997ad75a762)) + (segment (start 83.857 129.45) (end 87.159 129.45) (width 0.15) (layer "B.Cu") (net 20) (tstamp 0f1ff4a8-a376-4d10-9a29-dd81c29329ba)) + (segment (start 88.9 131.191) (end 88.9 135.382) (width 0.15) (layer "B.Cu") (net 20) (tstamp 402108ee-6df8-4f23-b116-14820e0f2c7b)) + (segment (start 87.159 129.45) (end 88.9 131.191) (width 0.15) (layer "B.Cu") (net 20) (tstamp 82d34eef-46e8-41e9-812b-1619b52f1261)) + (segment (start 81.35 126.1) (end 81.35 126.943) (width 0.15) (layer "B.Cu") (net 20) (tstamp bddba29e-2932-43a6-a1d9-bffcc63e14ba)) + (segment (start 104.14 131.04) (end 104.14 135.382) (width 0.15) (layer "F.Cu") (net 21) (tstamp 299c18a4-fe42-4c5e-a362-9bee89e35ca8)) + (segment (start 98.45 125.9) (end 101.35 128.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp 4825fc45-7ccd-4576-9a99-d8a5cc9fd7d1)) + (segment (start 101.9 128.8) (end 104.14 131.04) (width 0.15) (layer "F.Cu") (net 21) (tstamp 54a6f861-cdf4-4965-818b-1c9b335169f4)) + (segment (start 98.45 124.95) (end 98.45 125.9) (width 0.15) (layer "F.Cu") (net 21) (tstamp 5a078aef-3d4a-455e-befc-d14a099e662a)) + (segment (start 101.35 128.8) (end 101.9 128.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp eb4a88fb-a5d4-4039-b118-793568d051ec)) + (segment (start 76.2 128.27) (end 76.2 129.54) (width 0.8) (layer "F.Cu") (net 22) (tstamp 058033f1-3631-4a52-a578-61c71d46c5bc)) + (segment (start 76.2 128.27) (end 77.343 128.27) (width 0.8) (layer "F.Cu") (net 22) (tstamp c7b10c1f-12e4-4a76-8e87-2c967bad8396)) + (via (at 76.2 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp 86c4170e-ed11-4cb1-a1cf-bedef57baa90)) + (via (at 77.343 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp c4963862-00ef-4f0d-af8e-68f4927a27ea)) + (segment (start 76.2 135.382) (end 76.2 129.54) (width 1.524) (layer "B.Cu") (net 22) (tstamp 0b52252f-7029-4dce-b45a-3c08b9a5410f)) + (segment (start 76.2 128.397) (end 76.2 129.54) (width 1.524) (layer "B.Cu") (net 22) (tstamp 0d2aa8e9-ae4d-4acc-bda5-68520ec794b5)) + (segment (start 76.2 129.54) (end 76.2 129.413) (width 1.524) (layer "B.Cu") (net 22) (tstamp 18c5e084-dda9-4dcd-9157-1794f3d764a9)) + (segment (start 76.2 129.413) (end 77.343 128.27) (width 1.524) (layer "B.Cu") (net 22) (tstamp 1904c0e2-999e-4bc2-af4f-319fb16fe580)) + (segment (start 76.327 128.27) (end 76.2 128.397) (width 1.524) (layer "B.Cu") (net 22) (tstamp c83a3ea6-28d7-4ad8-93fa-d40a4b8f3eec)) + (segment (start 77.343 128.27) (end 76.327 128.27) (width 1.524) (layer "B.Cu") (net 22) (tstamp d5b4928e-09b7-4ef3-b7e0-8bafe5f119d3)) + (segment (start 119.126 128.27) (end 119.126 129.54) (width 0.8) (layer "F.Cu") (net 23) (tstamp 8771e05a-491e-49df-826b-3183d524a1bc)) + (via (at 119.126 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 23) (tstamp f0c57dfe-d9d8-47ab-852a-cac2cf60e443)) + (segment (start 119.38 129.794) (end 119.126 129.54) (width 1.524) (layer "B.Cu") (net 23) (tstamp 0dff6559-3c3b-4991-948e-07a125457e85)) + (segment (start 119.38 135.382) (end 119.38 129.794) (width 1.524) (layer "B.Cu") (net 23) (tstamp 5ebda5c8-4c4b-4132-8f23-bf16b16d2d09)) + (segment (start 117.094 128.27) (end 117.094 129.54) (width 0.8) (layer "F.Cu") (net 24) (tstamp c0d92a02-7c50-40ef-b2a4-3b5f251e713e)) + (via (at 117.094 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp faa74fe6-e53c-49e3-9a1f-7db1145fd987)) + (segment (start 116.84 135.382) (end 116.84 129.794) (width 1.524) (layer "B.Cu") (net 24) (tstamp a735c782-2a79-406a-87f9-d32481e7a27d)) + (segment (start 116.84 129.794) (end 117.094 129.54) (width 1.524) (layer "B.Cu") (net 24) (tstamp d5111f41-d988-4441-963e-fd99c707b9a6)) + (segment (start 79.4 127.85) (end 76.2 131.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 3a3d0be6-842d-4c29-98dc-528c8d0a5533)) + (segment (start 84.731 127.85) (end 79.4 127.85) (width 0.15) (layer "F.Cu") (net 25) (tstamp 93e9cc7a-06bb-434f-a988-143cdbe28f95)) + (segment (start 106.35 124.95) (end 106.35 123.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 972d444d-0cd4-4e5d-8ab2-2205b7fd4ca0)) + (segment (start 76.2 131.05) (end 76.2 135.382) (width 0.15) (layer "F.Cu") (net 25) (tstamp e86ced94-d586-48fc-9088-b78278c9ae28)) + (segment (start 85.2 127.381) (end 84.731 127.85) (width 0.15) (layer "F.Cu") (net 25) (tstamp e87ba53c-bc49-4eaa-8cc4-0406acbf1b9c)) + (via (at 85.2 127.381) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp 9b353909-003b-4349-afc2-52fe809d5047)) + (via (at 106.35 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp a33b0e53-204f-438d-b256-b1a7c0bfcdfc)) + (segment (start 85.2 127.381) (end 103.669 127.381) (width 0.15) (layer "B.Cu") (net 25) (tstamp 3559b8dc-e4ac-4d85-b667-d4febf97ddb4)) + (segment (start 103.669 127.381) (end 106.5 124.55) (width 0.15) (layer "B.Cu") (net 25) (tstamp 4c33dbb5-eb30-4d7c-a8b6-fd91e789e1e3)) + (segment (start 106.5 123.2) (end 106.35 123.05) (width 0.15) (layer "B.Cu") (net 25) (tstamp 834b3d4a-3d48-47bb-86d4-52c8115691b6)) + (segment (start 106.5 124.55) (end 106.5 123.2) (width 0.15) (layer "B.Cu") (net 25) (tstamp e59805fe-4637-4811-ac39-ca6fb41d850d)) + (segment (start 99.1 124.95) (end 99.1 125.9) (width 0.15) (layer "F.Cu") (net 26) (tstamp 05f87d99-167e-4bea-845c-1468f6eafa97)) + (segment (start 104.3 128.5) (end 106.68 130.88) (width 0.15) (layer "F.Cu") (net 26) (tstamp 55498017-01f9-44e6-8c2c-2fc5374f940c)) + (segment (start 101.7 128.5) (end 104.3 128.5) (width 0.15) (layer "F.Cu") (net 26) (tstamp 5e09cc08-c916-4dd8-82be-6dbae60713b7)) + (segment (start 99.1 125.9) (end 101.7 128.5) (width 0.15) (layer "F.Cu") (net 26) (tstamp 6f097a78-ee6f-4d6e-b50f-3d85dbbc6f9b)) + (segment (start 106.68 130.88) (end 106.68 135.382) (width 0.15) (layer "F.Cu") (net 26) (tstamp 8c412ed7-4ce5-4c96-bbe1-dcc27a2cee7e)) + (segment (start 102.05 128.2) (end 106.25 128.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 02e80bb7-8077-4710-823e-c6c0918767cf)) + (segment (start 99.75 125.9) (end 102.05 128.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 459c8017-6141-4f6a-8db8-89428eff2406)) + (segment (start 99.75 124.95) (end 99.75 125.9) (width 0.15) (layer "F.Cu") (net 27) (tstamp 84f00498-dff9-4338-a29c-8353c197cad1)) + (segment (start 109.22 131.17) (end 109.22 135.382) (width 0.15) (layer "F.Cu") (net 27) (tstamp a165c43b-5f74-49d2-8642-8a2f2f8e3502)) + (segment (start 106.25 128.2) (end 109.22 131.17) (width 0.15) (layer "F.Cu") (net 27) (tstamp bb2380e2-8c99-4dad-b824-a12129dfec36)) + (segment (start 100.4 124.95) (end 100.4 125.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp 00d920f7-0398-442f-b6fe-def2b44ae569)) + (segment (start 111.76 130.81) (end 111.76 135.382) (width 0.15) (layer "F.Cu") (net 28) (tstamp 0c484963-0fe0-4600-ba8e-937ede5e6c59)) + (segment (start 108.85 127.9) (end 111.76 130.81) (width 0.15) (layer "F.Cu") (net 28) (tstamp 6c2bca91-efcd-48bc-bcf8-8eb94924638f)) + (segment (start 100.4 125.9) (end 102.4 127.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp a46a7a9e-62ab-4334-8a09-6a30a75282a6)) + (segment (start 102.4 127.9) (end 108.85 127.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp eb6a65a7-e30a-48e7-be83-f9bc04734708)) + (segment (start 102.7 127.6) (end 110.709 127.6) (width 0.15) (layer "F.Cu") (net 29) (tstamp 2f593c9e-e5dc-4acd-a433-49a7a64d508a)) + (segment (start 101.05 124.95) (end 101.05 125.95) (width 0.15) (layer "F.Cu") (net 29) (tstamp 49e3ea7a-039a-45be-b5c5-66de634a4b43)) + (segment (start 110.709 127.6) (end 114.3 131.191) (width 0.15) (layer "F.Cu") (net 29) (tstamp 84c2b958-c8e4-4842-a5b4-ff079ae1a78f)) + (segment (start 101.05 125.95) (end 102.7 127.6) (width 0.15) (layer "F.Cu") (net 29) (tstamp aa85e1e6-c572-41a8-8969-2adccdef34cd)) + (segment (start 114.3 131.191) (end 114.3 135.382) (width 0.15) (layer "F.Cu") (net 29) (tstamp e4f13ea7-f05e-41e4-b340-d2856cc75064)) + (segment (start 116.84 131.19) (end 116.84 135.382) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4576ddf7-8b63-44ab-a30a-5eb88dcbff7f)) + (segment (start 110.85 127.3) (end 114.39 130.84) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4efba1fb-0c7f-4a63-a5b7-dcc8595ec4e2)) + (segment (start 101.7 126.15) (end 102.85 127.3) (width 0.15) (layer "F.Cu") (net 30) (tstamp 69354676-5c72-489b-841b-1dbaf4232530)) + (segment (start 102.85 127.3) (end 110.85 127.3) (width 0.15) (layer "F.Cu") (net 30) (tstamp b8cafab8-acfd-4f63-a8bc-71862cfa86c0)) + (segment (start 114.39 130.84) (end 116.49 130.84) (width 0.15) (layer "F.Cu") (net 30) (tstamp bc50fadf-7a2d-4032-9976-ed0df6651903)) + (segment (start 116.49 130.84) (end 116.84 131.19) (width 0.15) (layer "F.Cu") (net 30) (tstamp d08b59a2-7704-4f6a-9a52-f54fb3686dde)) + (segment (start 101.7 124.95) (end 101.7 126.15) (width 0.15) (layer "F.Cu") (net 30) (tstamp d1922495-1559-4da2-b3c4-e229bf2e4a57)) + (segment (start 119.38 131.18) (end 119.38 135.382) (width 0.15) (layer "F.Cu") (net 31) (tstamp 0a3defae-77db-4c1a-9bf8-3b6156408267)) + (segment (start 109.6 124.95) (end 109.6 126.1735) (width 0.15) (layer "F.Cu") (net 31) (tstamp 26e91260-0192-4758-ba52-54ea77eebdf5)) + (segment (start 114.54 130.54) (end 118.74 130.54) (width 0.15) (layer "F.Cu") (net 31) (tstamp 3f214283-5ed1-46f8-9274-ed62f3eadc5a)) + (segment (start 109.6 126.1735) (end 110.0455 126.619) (width 0.15) (layer "F.Cu") (net 31) (tstamp 6a408218-1594-4978-864b-7943590526da)) + (segment (start 118.74 130.54) (end 119.38 131.18) (width 0.15) (layer "F.Cu") (net 31) (tstamp ced79143-019f-4c69-80c7-a14429479b6e)) + (segment (start 110.619 126.619) (end 114.54 130.54) (width 0.15) (layer "F.Cu") (net 31) (tstamp e80d104f-962e-48f5-8c56-526b0d0fe8d6)) + (segment (start 110.0455 126.619) (end 110.619 126.619) (width 0.15) (layer "F.Cu") (net 31) (tstamp f3a32bd3-3f3b-4c53-b08b-4fcfd4fd2e4e)) + (segment (start 124.46 129.21) (end 124.46 131.191) (width 0.15) (layer "F.Cu") (net 32) (tstamp 17ddac92-8138-4db7-95e9-0938409a7f26)) + (segment (start 122.15 126.9) (end 124.46 129.21) (width 0.15) (layer "F.Cu") (net 32) (tstamp 6beab5b1-310a-45cb-87d5-91fb3bca2a19)) + (segment (start 108.3 124.95) (end 108.3 123.8) (width 0.15) (layer "F.Cu") (net 32) (tstamp 85f9a91a-6794-4d3a-9452-ba46346b8414)) + (segment (start 114.2 126.9) (end 122.15 126.9) (width 0.15) (layer "F.Cu") (net 32) (tstamp a8faee17-ebc3-4010-bbd3-adbd6b978daf)) + (segment (start 124.46 131.191) (end 124.46 135.382) (width 0.15) (layer "F.Cu") (net 32) (tstamp ae018086-5284-419d-8a9d-ad3f7fb1745f)) + (segment (start 113.15 127.95) (end 114.2 126.9) (width 0.15) (layer "F.Cu") (net 32) (tstamp cf446123-9fde-48a8-a17c-4dd6ec881b7d)) + (via (at 113.15 127.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 32) (tstamp 01d96a7d-bff6-4eb1-a86d-d74b0f8276f6)) + (via (at 108.3 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 32) (tstamp f7f82ce2-161b-4b7a-a1bb-d2473e2ecc40)) + (segment (start 108.1 126.7) (end 108.1 124) (width 0.15) (layer "B.Cu") (net 32) (tstamp 7a65e465-e223-42e2-b27d-f66cf2987674)) + (segment (start 109.35 127.95) (end 108.1 126.7) (width 0.15) (layer "B.Cu") (net 32) (tstamp bf488eb5-7fef-486f-ac5c-11978fdf4c1b)) + (segment (start 113.15 127.95) (end 109.35 127.95) (width 0.15) (layer "B.Cu") (net 32) (tstamp e0a313ba-90ea-4dfc-8dc0-710d5dbdb867)) + (segment (start 108.1 124) (end 108.3 123.8) (width 0.15) (layer "B.Cu") (net 32) (tstamp f8c8f263-38af-484d-9b66-2f2cfa69b523)) + (segment (start 120.25 124.35) (end 121 124.35) (width 0.15) (layer "F.Cu") (net 34) (tstamp 83bace42-9047-4e1a-b97e-b5df2dd780b5)) + (via (at 121 124.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 2d52bb21-f178-4105-80dd-47d3f725c29d)) + (segment (start 121 124.35) (end 121.75 124.35) (width 0.15) (layer "B.Cu") (net 34) (tstamp 382bacc5-e72e-43f7-ab74-59d0227c77db)) + (segment (start 127 129.6) (end 127 135.282) (width 0.15) (layer "B.Cu") (net 34) (tstamp 93289ee2-cf47-4e7a-a360-780ff5d5518d)) + (segment (start 121.75 124.35) (end 127 129.6) (width 0.15) (layer "B.Cu") (net 34) (tstamp dfea9e86-c7ce-45bb-8df9-9fb158454e56)) + (segment (start 115.6 124.35) (end 115.6 125.8) (width 0.15) (layer "F.Cu") (net 35) (tstamp 54e63554-8d3d-4ee0-af0f-8820c4f3c882)) + (segment (start 108.95 123.05) (end 108.95 124.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp b3e8fd8d-3e94-4e1c-a896-4a11ae5f51ca)) + (segment (start 115.6 125.8) (end 116.35 125.8) (width 0.15) (layer "F.Cu") (net 35) (tstamp ca4e7437-5138-4757-8b97-06373bccc7c5)) + (via (at 108.95 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6bdbd4df-b890-467f-8601-e5f1ca9a13d1)) + (via (at 116.35 125.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp a52174ec-bd49-40b7-b946-9ff5c5d6db12)) + (segment (start 124.46 129.46) (end 124.46 135.382) (width 0.15) (layer "B.Cu") (net 35) (tstamp 0749d84c-4638-4094-9c79-f3fb6374cb1a)) + (segment (start 116.35 125.8) (end 112.338 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 25d4d26f-1c45-4771-bb5b-ae74a6e10cc2)) + (segment (start 112.338 125.8) (end 109.588 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 3d915ffd-e619-42f9-bdf2-fd21dddf698a)) + (segment (start 109.588 123.05) (end 108.95 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 5c3361b6-af09-41d0-b9a8-2e9ead42eb8b)) + (segment (start 116.35 125.8) (end 120.8 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 651e5d77-aaac-4411-a562-0b69273ed709)) + (segment (start 120.8 125.8) (end 124.46 129.46) (width 0.15) (layer "B.Cu") (net 35) (tstamp 71f3a3e7-4829-4061-a748-5e1700b1c121)) + (segment (start 107.65 124.95) (end 107.65 123.05) (width 0.15) (layer "F.Cu") (net 42) (tstamp 2b85ca24-d85e-4403-9ce4-dcf2ec53038d)) + (via (at 107.65 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp e0670a15-7388-4213-afca-d99e7e58ef8d)) + (segment (start 104.394 130.302) (end 102.489 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp 5255e459-1895-434b-9c1d-35922335e207)) + (segment (start 107.8 126.896) (end 104.394 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp 597579b8-1f4f-4372-8814-1789e3415352)) + (segment (start 107.8 123.2) (end 107.8 126.896) (width 0.15) (layer "B.Cu") (net 42) (tstamp 6c5f4220-86d0-43ff-bd5f-644ba00e7bac)) + (segment (start 107.65 123.05) (end 107.8 123.2) (width 0.15) (layer "B.Cu") (net 42) (tstamp 93f12e43-21e3-4bfe-a5e4-591ff26a9e40)) + (segment (start 101.6 131.191) (end 101.6 135.382) (width 0.15) (layer "B.Cu") (net 42) (tstamp bf076e8a-f2a1-46b3-8314-d7ac75484a8f)) + (segment (start 102.489 130.302) (end 101.6 131.191) (width 0.15) (layer "B.Cu") (net 42) (tstamp f3d14ba6-764a-4b66-9f00-05551bb481cb)) + (segment (start 107 124.95) (end 107 123.8) (width 0.15) (layer "F.Cu") (net 43) (tstamp afd9796d-b661-4b39-b640-18a5181acb9b)) + (via (at 107 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 1ac4a687-b9d8-4a69-94b8-172ccbb6e4e3)) + (segment (start 106.8 124) (end 106.8 124.721) (width 0.15) (layer "B.Cu") (net 43) (tstamp 6e9a58b4-0a43-4d0c-a1b3-557ee2ce72a3)) + (segment (start 101.051 129.2) (end 99.06 131.191) (width 0.15) (layer "B.Cu") (net 43) (tstamp 76c0053b-0caa-4073-99e6-4e3e6bc2e290)) + (segment (start 106.8 124.721) (end 102.321 129.2) (width 0.15) (layer "B.Cu") (net 43) (tstamp 819d32e6-9a07-4132-a713-b5439e70a5ef)) + (segment (start 99.06 131.191) (end 99.06 135.382) (width 0.15) (layer "B.Cu") (net 43) (tstamp 9e43271a-d0e4-4f73-9101-696ce9df852a)) + (segment (start 102.321 129.2) (end 101.051 129.2) (width 0.15) (layer "B.Cu") (net 43) (tstamp c3c2e51d-0671-4bfd-b2b0-2deff7d292cd)) + (segment (start 107 123.8) (end 106.8 124) (width 0.15) (layer "B.Cu") (net 43) (tstamp f44a2333-940a-4d5c-bfe9-92a679ca7d0d)) + (segment (start 132.08 135.382) (end 132.08 131.191) (width 0.15) (layer "F.Cu") (net 44) (tstamp 90aae99a-2880-4bca-97f6-c01d78d3be49)) + (via (at 132.08 131.191) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp 99203b00-c2bc-4f84-9583-fd141c898bde)) + (segment (start 132.08 135.382) (end 132.08 131.191) (width 0.15) (layer "B.Cu") (net 44) (tstamp 9bba01fb-e9e6-40f8-a688-b95f1e66f973)) + (segment (start 134.62 135.382) (end 134.62 131.191) (width 0.15) (layer "F.Cu") (net 45) (tstamp 6ad61af2-4b29-4c49-96c5-d98aec870947)) + (via (at 134.62 131.191) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp d3394ad3-7155-4ded-930b-ba1c33055cd2)) + (segment (start 134.62 135.382) (end 134.62 131.191) (width 0.15) (layer "B.Cu") (net 45) (tstamp cbbb6fbe-670e-451b-8036-6b706b3c2d97)) + (segment (start 62.1665 93.0275) (end 60.833 93.0275) (width 0.15) (layer "F.Cu") (net 46) (tstamp 0ad37492-5ebf-430a-8887-5ed622c88e21)) + (segment (start 60.833 91.3765) (end 60.833 92.2655) (width 0.15) (layer "F.Cu") (net 46) (tstamp 22812653-be23-4fcf-96af-9779a22bdcf9)) + (segment (start 60.833 93.0275) (end 60.833 92.2655) (width 0.15) (layer "F.Cu") (net 46) (tstamp 22fd0820-83e4-4636-9794-69e83895b360)) + (segment (start 88.2 102.5) (end 87.65 102.5) (width 0.15) (layer "F.Cu") (net 46) (tstamp 520d8935-68cc-4d7a-bbff-c76fb7b4abea)) + (segment (start 63.4365 109.4105) (end 57.404 103.378) (width 0.15) (layer "F.Cu") (net 46) (tstamp 7ccfe2d5-6b71-431a-8db3-8f9111327027)) + (segment (start 63.4365 111.5695) (end 63.4365 109.4105) (width 0.15) (layer "F.Cu") (net 46) (tstamp 85479722-91e9-4190-a0fa-9bf59a101c62)) + (segment (start 87.25 102.9) (end 86.3875 102.9) (width 0.15) (layer "F.Cu") (net 46) (tstamp 8d7db049-247c-4190-b1f0-0db1256f73d7)) + (segment (start 87.65 102.5) (end 87.25 102.9) (width 0.15) (layer "F.Cu") (net 46) (tstamp a60fa41b-84d7-4d71-a469-f427dd01bebf)) + (segment (start 69.95 114.65) (end 69.95 113.75) (width 0.15) (layer "F.Cu") (net 46) (tstamp caa0c506-3abc-4e6b-a9b5-a689b2a4e156)) + (via (at 88.2 102.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 6378236a-48ed-4909-aa8a-14b6f9ebf2ee)) + (via (at 60.833 92.2655) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 66945435-7f04-4f31-9fc6-e4bc44529181)) + (via (at 57.404 103.378) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 86bda3a5-82ef-41a9-abd3-241acdf8031f)) + (via (at 69.95 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp d5abadd3-9cf8-43bb-933d-30cb4cb3ab19)) + (via (at 63.4365 111.5695) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp f9baa35a-b19f-4fd6-895f-b69c7dfdb465)) + (segment (start 71.247 112.1) (end 69.9425 113.4045) (width 0.15) (layer "B.Cu") (net 46) (tstamp 100da15c-7690-48db-bf92-a8f6a80962b2)) + (segment (start 88.2 102.5) (end 88.9 102.5) (width 0.15) (layer "B.Cu") (net 46) (tstamp 1dcdcbe8-ccd4-421b-8a91-74385ae5f774)) + (segment (start 57.404 101.44125) (end 60.833 98.01225) (width 0.15) (layer "B.Cu") (net 46) (tstamp 1f96bca9-ff0e-4f6c-bff8-94c043830277)) + (segment (start 57.404 103.378) (end 57.404 101.44125) (width 0.15) (layer "B.Cu") (net 46) (tstamp 32075722-d3cb-47e5-a4c4-e455b28beb5b)) + (segment (start 63.4365 111.5695) (end 63.967 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 3cb8e66a-18b9-46f2-9c65-447912bd0fcf)) + (segment (start 69.9425 113.7425) (end 69.9425 113.4045) (width 0.15) (layer "B.Cu") (net 46) (tstamp 51d2426c-6c9d-4075-adf0-c946af15e8cb)) + (segment (start 88.9 102.5) (end 89.45 103.05) (width 0.15) (layer "B.Cu") (net 46) (tstamp 563eb4b6-0d21-41c6-ac23-d87d1ef8e3fa)) + (segment (start 83.2 112.1) (end 71.247 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 6d102871-eceb-4b2a-9d0d-3cbdf0d1f29e)) + (segment (start 89.45 105.85) (end 83.2 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 7f8b6879-f447-49c6-bb7a-f650d7e09df0)) + (segment (start 89.45 103.05) (end 89.45 105.85) (width 0.15) (layer "B.Cu") (net 46) (tstamp a5487e28-beda-46a7-a079-fe41108bbb38)) + (segment (start 63.967 112.1) (end 68.638 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp b3188a5c-713e-4191-880c-77c469863752)) + (segment (start 68.638 112.1) (end 69.9425 113.4045) (width 0.15) (layer "B.Cu") (net 46) (tstamp de50b73f-9554-4c98-b663-57fa9c3e74af)) + (segment (start 60.833 98.01225) (end 60.833 92.2655) (width 0.15) (layer "B.Cu") (net 46) (tstamp e9431e4f-4196-4b35-a3fb-1921c89fe39c)) + (segment (start 69.95 113.75) (end 69.9425 113.7425) (width 0.15) (layer "B.Cu") (net 46) (tstamp f26d72a4-c46f-4b2c-9368-096438b9f150)) + (segment (start 62.5475 110.49) (end 60.8965 110.49) (width 0.15) (layer "F.Cu") (net 47) (tstamp 1705b8a3-646e-457c-bcda-1070158cca9e)) + (segment (start 53.213 102.8065) (end 53.213 101.408) (width 0.15) (layer "F.Cu") (net 47) (tstamp 1ad0c678-2f1f-4f72-b5b3-ff795859bd1b)) + (segment (start 60.8965 110.49) (end 53.213 102.8065) (width 0.15) (layer "F.Cu") (net 47) (tstamp acddaf70-e863-4d0a-a257-98f9cc71832c)) + (segment (start 87.65 105.25) (end 87.65 105.65) (width 0.15) (layer "F.Cu") (net 47) (tstamp c25e0ee9-1e2f-41d0-a15f-7434a317475a)) + (segment (start 86.3875 104.9) (end 87.3 104.9) (width 0.15) (layer "F.Cu") (net 47) (tstamp db47416a-1175-4f9c-8592-468858c550a9)) + (segment (start 87.3 104.9) (end 87.45 105.05) (width 0.15) (layer "F.Cu") (net 47) (tstamp e4d1b1c8-86d1-417c-8069-caa6599297d8)) + (segment (start 87.45 105.05) (end 87.65 105.25) (width 0.15) (layer "F.Cu") (net 47) (tstamp fe14be3e-9f8b-46bc-877d-05605e79187f)) + (via (at 87.65 105.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 31a395cb-6dec-45ad-b79c-6ffd15b913bb)) + (via (at 62.5475 110.49) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 7f286237-235b-4140-ac30-a07b6347fa0c)) + (via (at 53.213 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp f18daf5a-a8f1-4613-a71f-334a521c5528)) + (segment (start 62.357 112.3315) (end 63.0555 113.03) (width 0.15) (layer "B.Cu") (net 47) (tstamp 0be70a1d-0404-4176-b8d4-5cd9ca7bc3ae)) + (segment (start 62.357 115.8875) (end 64.77 118.3005) (width 0.15) (layer "B.Cu") (net 47) (tstamp 2f0913e1-d81a-4b97-bcab-0fb0bbdd6e64)) + (segment (start 62.5475 110.49) (end 62.357 110.6805) (width 0.15) (layer "B.Cu") (net 47) (tstamp 34323b8e-5ba2-47cf-8bdc-29694d31eeb8)) + (segment (start 63.0555 113.411) (end 62.357 114.1095) (width 0.15) (layer "B.Cu") (net 47) (tstamp 5de2a24d-21c4-4496-bf41-42ddf825d451)) + (segment (start 80.1005 110.2995) (end 62.738 110.2995) (width 0.15) (layer "B.Cu") (net 47) (tstamp 6cbf66e5-9b97-44ad-b9c1-1d4f767c8025)) + (segment (start 67.1195 120.904) (end 68.3895 122.174) (width 0.15) (layer "B.Cu") (net 47) (tstamp 6f005a41-b93c-45f7-8264-f9efc08794c8)) + (segment (start 82.9 107.5) (end 80.1005 110.2995) (width 0.15) (layer "B.Cu") (net 47) (tstamp 71edf1f8-498e-44be-9aaa-c04eedd656c3)) + (segment (start 64.77 119.9515) (end 65.7225 120.904) (width 0.15) (layer "B.Cu") (net 47) (tstamp 72991b62-4dce-400c-9009-ce11ba2a3a2c)) + (segment (start 63.0555 113.03) (end 63.0555 113.411) (width 0.15) (layer "B.Cu") (net 47) (tstamp 87b03f72-2e58-45f7-b967-8e07d93996b5)) + (segment (start 87.65 105.9) (end 86.05 107.5) (width 0.15) (layer "B.Cu") (net 47) (tstamp 989de858-adbb-4c82-ab3e-e1adf4ceaf1f)) + (segment (start 62.357 110.6805) (end 62.357 112.3315) (width 0.15) (layer "B.Cu") (net 47) (tstamp ac5af389-ecec-4522-84a5-4c5b4a2025e0)) + (segment (start 87.65 105.65) (end 87.65 105.9) (width 0.15) (layer "B.Cu") (net 47) (tstamp b58d43dd-f123-4180-bdde-fe8dc83760ff)) + (segment (start 86.05 107.5) (end 82.9 107.5) (width 0.15) (layer "B.Cu") (net 47) (tstamp b657bae9-bd1a-4ce6-8911-d81fc0252f80)) + (segment (start 62.357 114.1095) (end 62.357 115.8875) (width 0.15) (layer "B.Cu") (net 47) (tstamp e34ac517-0bd0-4a8a-a6ea-b6daee3f60d0)) + (segment (start 64.77 118.3005) (end 64.77 119.9515) (width 0.15) (layer "B.Cu") (net 47) (tstamp ea4f2c1a-c06f-410b-ac32-2f3370ad748d)) + (segment (start 65.7225 120.904) (end 67.1195 120.904) (width 0.15) (layer "B.Cu") (net 47) (tstamp ec727aea-52a2-4fb2-a8b4-27a5ac6e6e54)) + (segment (start 62.738 110.2995) (end 62.5475 110.49) (width 0.15) (layer "B.Cu") (net 47) (tstamp f8707306-3dfa-4f5b-84b4-36a179c4cdb9)) + (segment (start 59.563 101.408) (end 59.563 102.8065) (width 0.15) (layer "F.Cu") (net 48) (tstamp 40d9746b-c719-44e5-957b-002224935191)) + (segment (start 86.3875 102.4) (end 87.3 102.4) (width 0.15) (layer "F.Cu") (net 48) (tstamp 5106f0e6-6558-4c80-92b9-6dab65d65fa7)) + (segment (start 87.65 102.05) (end 87.65 101.65) (width 0.15) (layer "F.Cu") (net 48) (tstamp 52a2fac0-0159-489b-8293-1d11149c3b81)) + (segment (start 63.8175 97.2565) (end 63.8175 98.044) (width 0.15) (layer "F.Cu") (net 48) (tstamp 533d8ee7-b5a9-4b5d-b7c6-7f96d03869d9)) + (segment (start 65.3415 108.585) (end 59.563 102.8065) (width 0.15) (layer "F.Cu") (net 48) (tstamp 53f13312-61cc-49a2-a72e-3ed3b5525cca)) + (segment (start 60.3885 98.933) (end 59.563 99.7585) (width 0.15) (layer "F.Cu") (net 48) (tstamp 59bbda1e-6932-4f8c-9377-2bf85c11ea57)) + (segment (start 62.9285 98.933) (end 60.3885 98.933) (width 0.15) (layer "F.Cu") (net 48) (tstamp 663202bf-0a05-4b87-a6ba-5546dbe9bf49)) + (segment (start 87.45 102.25) (end 87.65 102.05) (width 0.15) (layer "F.Cu") (net 48) (tstamp 7f1cdc42-d1da-4362-b0b0-6390a9b2d62c)) + (segment (start 59.563 99.7585) (end 59.563 101.408) (width 0.15) (layer "F.Cu") (net 48) (tstamp aa597e7c-09ee-44d3-ba49-9ecdb3688be5)) + (segment (start 87.3 102.4) (end 87.45 102.25) (width 0.15) (layer "F.Cu") (net 48) (tstamp d5e41aca-8f7a-4de0-b2cd-d34f9ce22bae)) + (segment (start 64.3255 110.8075) (end 65.3415 109.7915) (width 0.15) (layer "F.Cu") (net 48) (tstamp dbc59a62-2b90-4f5b-a540-8a6f08cf76a0)) + (segment (start 63.8175 98.044) (end 62.9285 98.933) (width 0.15) (layer "F.Cu") (net 48) (tstamp e72898b3-af7c-4d0f-9984-9ec1705642ca)) + (segment (start 65.3415 109.7915) (end 65.3415 108.585) (width 0.15) (layer "F.Cu") (net 48) (tstamp f3c44cc8-32b7-4795-8458-26456d4c4259)) + (via (at 64.3255 110.8075) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 2bf4f9ee-9147-43bc-b222-0bab43f340a1)) + (via (at 59.563 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 313e637e-0381-4ef1-97cd-8b00b63e2f94)) + (via (at 87.65 101.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp f6302a97-3b4c-4ee2-ab74-7fefa37b3ffc)) + (segment (start 88.7 105.3) (end 88.7 103.7) (width 0.15) (layer "B.Cu") (net 48) (tstamp 033084a6-e0b0-48c3-acc0-c7761a4a4588)) + (segment (start 80.2 110.65) (end 83.05 107.8) (width 0.15) (layer "B.Cu") (net 48) (tstamp 2a25b551-2e2f-471b-ba1a-29db2db4eae9)) + (segment (start 86.2 107.8) (end 88.7 105.3) (width 0.15) (layer "B.Cu") (net 48) (tstamp 35e4b7fa-614d-4d17-b110-5e082b43d6b7)) + (segment (start 73.9775 121.7295) (end 73.9775 123.825) (width 0.15) (layer "B.Cu") (net 48) (tstamp 3b2a1935-a555-4e20-b4ac-5b35fc667620)) + (segment (start 88.7 103.7) (end 87.65 102.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp 48dd761a-04bd-4bb0-81aa-f36d67fff8ad)) + (segment (start 66.04 127.254) (end 59.563 120.777) (width 0.15) (layer "B.Cu") (net 48) (tstamp 643e32b6-9d31-4c35-b971-8a8ce333db98)) + (segment (start 59.563 120.777) (end 59.563 102.8065) (width 0.15) (layer "B.Cu") (net 48) (tstamp 67b2feb2-7bbb-4f0d-a99a-f3810717a449)) + (segment (start 72.0725 119.8245) (end 73.9775 121.7295) (width 0.15) (layer "B.Cu") (net 48) (tstamp aef754ce-60d7-4628-ad02-ad0dad67f4e0)) + (segment (start 69.6595 120.904) (end 70.739 119.8245) (width 0.15) (layer "B.Cu") (net 48) (tstamp b77c2deb-40cf-4adf-9584-5c34f1e5bc12)) + (segment (start 70.5485 127.254) (end 66.04 127.254) (width 0.15) (layer "B.Cu") (net 48) (tstamp b911a434-30d5-4e94-a603-a537de0ccf39)) + (segment (start 83.05 107.8) (end 86.2 107.8) (width 0.15) (layer "B.Cu") (net 48) (tstamp c3a30f56-a680-4822-86dd-7792be6001e4)) + (segment (start 73.9775 123.825) (end 70.5485 127.254) (width 0.15) (layer "B.Cu") (net 48) (tstamp cb787428-983c-4577-a85d-170a9dd805f5)) + (segment (start 70.739 119.8245) (end 72.0725 119.8245) (width 0.15) (layer "B.Cu") (net 48) (tstamp cbbff496-04d9-4ac9-a2e1-d1aec1b4b3e4)) + (segment (start 87.65 102.65) (end 87.65 101.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp cf46771d-aaa4-4228-9600-bfe53e39ae9e)) + (segment (start 64.3255 110.8075) (end 64.483 110.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp d0091b5b-5f90-4c24-b9c5-a072bdf455ad)) + (segment (start 64.483 110.65) (end 80.2 110.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp f124efcd-d8ef-407a-9b53-b986e9470191)) + (segment (start 58.801 103.3145) (end 58.293 102.8065) (width 0.15) (layer "F.Cu") (net 49) (tstamp 10729dc5-f3c4-402b-b76d-3b1ac6ef2be7)) + (segment (start 87.65 104.8) (end 87.25 104.4) (width 0.15) (layer "F.Cu") (net 49) (tstamp 36734b10-7f47-4dad-9f4b-889e97de8fe4)) + (segment (start 59.6265 103.3145) (end 58.801 103.3145) (width 0.15) (layer "F.Cu") (net 49) (tstamp 38e7f3a8-c022-453a-9f07-05b77a30f1cd)) + (segment (start 64.643 109.7915) (end 65.024 109.4105) (width 0.15) (layer "F.Cu") (net 49) (tstamp 3b0a8d3a-1305-4d0c-9e65-4f465fa10f41)) + (segment (start 87.25 104.4) (end 86.3875 104.4) (width 0.15) (layer "F.Cu") (net 49) (tstamp 822cf084-2b97-4497-800a-02b343afb4fd)) + (segment (start 65.024 109.4105) (end 65.024 108.712) (width 0.15) (layer "F.Cu") (net 49) (tstamp aaa8ba11-7acd-4031-a2e6-6fdc6c1759c7)) + (segment (start 65.024 108.712) (end 59.6265 103.3145) (width 0.15) (layer "F.Cu") (net 49) (tstamp ceeb8a5e-ac86-4449-ba4a-31b8501a1132)) + (segment (start 88.2 104.8) (end 87.65 104.8) (width 0.15) (layer "F.Cu") (net 49) (tstamp e3b940a1-5d1e-4cb5-9191-2b4a93641441)) + (segment (start 58.293 102.8065) (end 58.293 101.408) (width 0.15) (layer "F.Cu") (net 49) (tstamp f204d4d4-d5cb-419f-9155-5ee40ede59ed)) + (via (at 88.2 104.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp a5bb5364-10ae-45a4-a7b1-299d55951012)) + (via (at 64.643 109.7915) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp ee5efff5-babb-4f21-b0dc-f6a5b86c3209)) + (segment (start 66.167 126.9365) (end 70.4215 126.9365) (width 0.15) (layer "B.Cu") (net 49) (tstamp 01dec9e5-81e7-4e01-a681-f33a8f5ddec7)) + (segment (start 64.643 109.7915) (end 64.4525 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp 04808530-6d0e-4b89-8d15-ad06762f2c48)) + (segment (start 87.45 105.15) (end 87.15 105.45) (width 0.15) (layer "B.Cu") (net 49) (tstamp 09454647-b4fc-4673-aaa5-f707777b5aad)) + (segment (start 87.15 105.45) (end 87.15 105.95) (width 0.15) (layer "B.Cu") (net 49) (tstamp 10ff78d3-7283-4c5e-a980-d5ef7896609b)) + (segment (start 88.2 104.8) (end 87.85 105.15) (width 0.15) (layer "B.Cu") (net 49) (tstamp 1557cb14-34e3-4cf8-962f-6ffbb7c0e952)) + (segment (start 64.4525 109.982) (end 62.357 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp 4b0f065c-269d-408e-b640-0a1f000ab08d)) + (segment (start 62.0395 122.809) (end 66.167 126.9365) (width 0.15) (layer "B.Cu") (net 49) (tstamp 551eeeec-1ae1-46db-81aa-2e98d95edeb0)) + (segment (start 64.8335 109.982) (end 64.643 109.7915) (width 0.15) (layer "B.Cu") (net 49) (tstamp 5740b5ad-786e-42f1-b958-6c5bdde417fd)) + (segment (start 70.993 126.365) (end 70.993 124.4405) (width 0.15) (layer "B.Cu") (net 49) (tstamp 5c5d11cd-3f45-4a84-9754-872a00846e00)) + (segment (start 79.968 109.982) (end 64.8335 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp 8c948414-638e-4a83-97d8-2db95707aa1f)) + (segment (start 69.9965 123.444) (end 69.6595 123.444) (width 0.15) (layer "B.Cu") (net 49) (tstamp 931097d0-ff0b-45ed-8e78-5d9de0ebc35b)) + (segment (start 62.357 109.982) (end 62.0395 110.2995) (width 0.15) (layer "B.Cu") (net 49) (tstamp b789844f-7d52-4964-8987-68702882800c)) + (segment (start 70.993 124.4405) (end 69.9965 123.444) (width 0.15) (layer "B.Cu") (net 49) (tstamp c5689d69-b2cb-4575-bf7c-fffc32352c00)) + (segment (start 62.0395 110.2995) (end 62.0395 122.809) (width 0.15) (layer "B.Cu") (net 49) (tstamp e093a832-5890-4524-8b4f-8d5080efaf72)) + (segment (start 70.4215 126.9365) (end 70.993 126.365) (width 0.15) (layer "B.Cu") (net 49) (tstamp e27d153b-ddca-4a41-9503-e04e909a1bd8)) + (segment (start 87.15 105.95) (end 85.9 107.2) (width 0.15) (layer "B.Cu") (net 49) (tstamp e5125192-9788-455d-af5d-9baf4683c814)) + (segment (start 82.75 107.2) (end 79.968 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp e7f1d5c2-d9b2-4198-af05-eb1028e97956)) + (segment (start 87.85 105.15) (end 87.45 105.15) (width 0.15) (layer "B.Cu") (net 49) (tstamp e9918962-9c8a-4d0b-9c24-8afcb8a3d8a0)) + (segment (start 85.9 107.2) (end 82.75 107.2) (width 0.15) (layer "B.Cu") (net 49) (tstamp ec552f26-8dd0-4eff-b14b-8a42b1912736)) + (segment (start 87.8 94.95) (end 85.55 92.7) (width 0.15) (layer "F.Cu") (net 50) (tstamp 19e1e17a-ed5b-40d3-a20f-9e077f17485d)) + (segment (start 87.8 117.05) (end 88.6 117.85) (width 0.15) (layer "F.Cu") (net 50) (tstamp 1f1b029a-4698-4d34-b3c3-8ecfae40b7e5)) + (segment (start 84.1 117.05) (end 87.8 117.05) (width 0.15) (layer "F.Cu") (net 50) (tstamp 2a0b0437-5e8c-42b3-b37e-1f2857d4eded)) + (segment (start 85.55 92.7) (end 76.8 92.7) (width 0.15) (layer "F.Cu") (net 50) (tstamp 4bf5758a-d23e-483a-85f0-c3152b7e4d10)) + (segment (start 88.2 94.95) (end 87.8 94.95) (width 0.15) (layer "F.Cu") (net 50) (tstamp 66f24437-1dc0-40c3-8433-b7c33ba5dcac)) + (segment (start 88.6 117.85) (end 88.6 119.05) (width 0.15) (layer "F.Cu") (net 50) (tstamp 6bf73938-5819-4b48-a444-68dbe9d01d82)) + (segment (start 88.55 93.7375) (end 88.55 94.6) (width 0.15) (layer "F.Cu") (net 50) (tstamp 70bb7ff0-602b-4f3f-9b06-a63d4d9b1c19)) + (segment (start 73.3 106.25) (end 84.1 117.05) (width 0.15) (layer "F.Cu") (net 50) (tstamp 74d802e5-cf08-430e-8c7d-bbbd1a31257c)) + (segment (start 76.8 92.7) (end 73.3 96.2) (width 0.15) (layer "F.Cu") (net 50) (tstamp cd814994-ac4f-4941-8d26-bd2411e3480d)) + (segment (start 88.55 94.6) (end 88.2 94.95) (width 0.15) (layer "F.Cu") (net 50) (tstamp f271c5b7-33ae-488d-9e6b-6055f2de4261)) + (segment (start 73.3 96.2) (end 73.3 106.25) (width 0.15) (layer "F.Cu") (net 50) (tstamp fd0429e1-bf9d-4734-83ef-9fdc3addbf9d)) + (segment (start 89.25 118.05) (end 89.25 119.05) (width 0.15) (layer "F.Cu") (net 51) (tstamp 00d04647-0272-49da-91f8-f3989ec6b410)) + (segment (start 87.5 96.15) (end 87.5 95.1) (width 0.15) (layer "F.Cu") (net 51) (tstamp 235b8ab3-32eb-4345-ab10-1ee5289941c9)) + (segment (start 87.5 95.1) (end 85.4 93) (width 0.15) (layer "F.Cu") (net 51) (tstamp 457edb34-dff7-4ebe-b162-e083d4937b08)) + (segment (start 84.225 116.75) (end 87.95 116.75) (width 0.15) (layer "F.Cu") (net 51) (tstamp 717848fc-e46f-44cd-a5ba-9353c05702c6)) + (segment (start 76.95 93) (end 73.6 96.35) (width 0.15) (layer "F.Cu") (net 51) (tstamp 74434002-4a93-4b1f-9192-4fc772befcd4)) + (segment (start 73.6 106.125) (end 84.225 116.75) (width 0.15) (layer "F.Cu") (net 51) (tstamp bc3c3816-153a-4ea8-b63e-bbb479fad7b0)) + (segment (start 86.3875 96.4) (end 87.25 96.4) (width 0.15) (layer "F.Cu") (net 51) (tstamp bd73d542-79bb-4d3d-9eae-d74f2f82efa4)) + (segment (start 73.6 96.35) (end 73.6 106.125) (width 0.15) (layer "F.Cu") (net 51) (tstamp c249a5bf-f33b-4107-a1eb-b70c5a0e787a)) + (segment (start 85.4 93) (end 76.95 93) (width 0.15) (layer "F.Cu") (net 51) (tstamp c621861c-202d-4b6c-9264-9d96316a9565)) + (segment (start 87.95 116.75) (end 89.25 118.05) (width 0.15) (layer "F.Cu") (net 51) (tstamp d9af03a9-e681-4ff4-850c-e90ded703cce)) + (segment (start 87.25 96.4) (end 87.5 96.15) (width 0.15) (layer "F.Cu") (net 51) (tstamp e79b30ce-3fa1-4c3c-a4ae-25e5fe56cd64)) + (segment (start 85.5 98.9) (end 86.3875 98.9) (width 0.15) (layer "F.Cu") (net 52) (tstamp 1949cf16-1f64-42b8-814e-b2c29ab9f32b)) + (segment (start 89.9 118.15) (end 89.9 119.05) (width 0.15) (layer "F.Cu") (net 52) (tstamp 3cd4d4c6-4dce-4a14-a135-28c708d96dd4)) + (segment (start 77.1 93.3) (end 73.9 96.5) (width 0.15) (layer "F.Cu") (net 52) (tstamp 405d0752-61ab-4187-be0d-a486d47c06eb)) + (segment (start 85.1 99.3) (end 85.5 98.9) (width 0.15) (layer "F.Cu") (net 52) (tstamp 68ffd4d8-b68a-48fb-9fa8-a07f36737d8a)) + (segment (start 73.9 106) (end 84.35 116.45) (width 0.15) (layer "F.Cu") (net 52) (tstamp 6a29efe6-10b1-4ed5-ba0d-3e352d41d0d5)) + (segment (start 73.9 96.5) (end 73.9 106) (width 0.15) (layer "F.Cu") (net 52) (tstamp 721d35cf-f900-4261-ab05-489c0bab7aa0)) + (segment (start 84.35 116.45) (end 88.2 116.45) (width 0.15) (layer "F.Cu") (net 52) (tstamp 81e05096-ed76-4f39-a9b3-2d4247aa878c)) + (segment (start 82.4 93.5) (end 82.2 93.3) (width 0.15) (layer "F.Cu") (net 52) (tstamp 9d9a6317-f84b-4295-b346-d02fe2c7ff76)) + (segment (start 88.2 116.45) (end 89.9 118.15) (width 0.15) (layer "F.Cu") (net 52) (tstamp a05738ab-a33c-4019-866e-40f04944fb7a)) + (segment (start 82.2 93.3) (end 77.1 93.3) (width 0.15) (layer "F.Cu") (net 52) (tstamp f76d04bd-ad71-4209-9274-bfe8209602af)) + (segment (start 84.8 99.3) (end 85.1 99.3) (width 0.15) (layer "F.Cu") (net 52) (tstamp fc676608-a7ab-47c7-88fc-3c5fe963fd66)) + (via (at 84.8 99.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 4b4eb49b-e3d2-4391-96f4-5bd52d26863e)) + (via (at 82.4 93.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 52) (tstamp 5e033eeb-3246-4c2b-bcb9-9fed3e9d06bb)) + (segment (start 84.8 99.3) (end 83.4 99.3) (width 0.15) (layer "B.Cu") (net 52) (tstamp 0a3fc53f-793d-44ae-8ce7-cf18cd124cfd)) + (segment (start 82.8 98.7) (end 82.8 93.9) (width 0.15) (layer "B.Cu") (net 52) (tstamp 6df562ac-a618-41da-85ea-642011f8faf7)) + (segment (start 83.4 99.3) (end 82.8 98.7) (width 0.15) (layer "B.Cu") (net 52) (tstamp 8be56215-85a9-49ac-904d-72456dd9ce46)) + (segment (start 82.8 93.9) (end 82.4 93.5) (width 0.15) (layer "B.Cu") (net 52) (tstamp a42044ba-ca7f-4412-a801-3829f4e470e2)) + (segment (start 84.5 116.15) (end 88.55 116.15) (width 0.15) (layer "F.Cu") (net 53) (tstamp 0e874325-16cc-45c9-bd30-471f95c6063c)) + (segment (start 85.5 99.4) (end 85.1 99.8) (width 0.15) (layer "F.Cu") (net 53) (tstamp 17a67c99-56da-4777-92b7-f2214ea34dfc)) + (segment (start 77.25 93.6) (end 74.2 96.65) (width 0.15) (layer "F.Cu") (net 53) (tstamp 4224e3c2-64c3-44d9-b3a2-db496f4c3c8c)) + (segment (start 86.3875 99.4) (end 85.5 99.4) (width 0.15) (layer "F.Cu") (net 53) (tstamp 49b3f593-53b8-4e0d-9754-0660844ec73d)) + (segment (start 88.55 116.15) (end 90.55 118.15) (width 0.15) (layer "F.Cu") (net 53) (tstamp 68d61377-953b-4586-9016-74039f241c1b)) + (segment (start 74.2 96.65) (end 74.2 105.85) (width 0.15) (layer "F.Cu") (net 53) (tstamp 887c19cf-3929-445b-935b-dfcfe21ab10d)) + (segment (start 85.1 99.8) (end 84.05 99.8) (width 0.15) (layer "F.Cu") (net 53) (tstamp ab40ad5d-7596-435a-acd5-391ee35fcd63)) + (segment (start 82.95 98.7) (end 82.95 94.75) (width 0.15) (layer "F.Cu") (net 53) (tstamp ca179eb2-7884-4234-83f5-26df541e39c5)) + (segment (start 82.95 94.75) (end 81.8 93.6) (width 0.15) (layer "F.Cu") (net 53) (tstamp d6de72fa-88a8-459a-8e1a-f62556fc6943)) + (segment (start 90.55 118.15) (end 90.55 119.05) (width 0.15) (layer "F.Cu") (net 53) (tstamp df5be70f-0857-4e81-bfd3-b6a304f72e65)) + (segment (start 84.05 99.8) (end 82.95 98.7) (width 0.15) (layer "F.Cu") (net 53) (tstamp e0968a5d-328d-4ff0-8763-14d3c6353fa3)) + (segment (start 74.2 105.85) (end 84.5 116.15) (width 0.15) (layer "F.Cu") (net 53) (tstamp e1cf020a-60cf-457a-b2e2-5b0bf1090e44)) + (segment (start 81.8 93.6) (end 77.25 93.6) (width 0.15) (layer "F.Cu") (net 53) (tstamp f7ffc58f-1b88-4340-a005-70a6349fce8a)) + (segment (start 91.2 118.1) (end 91.2 119.05) (width 0.15) (layer "F.Cu") (net 54) (tstamp 0942ae90-9a59-4575-be0a-c30efa717709)) + (segment (start 89.55 109.0625) (end 89.55 108.2) (width 0.15) (layer "F.Cu") (net 54) (tstamp 9219e4f1-235a-405f-a2fd-97f6b81f1d8c)) + (segment (start 89.15 107.8) (end 88.8 107.8) (width 0.15) (layer "F.Cu") (net 54) (tstamp a3c7036b-27a3-4665-bcc7-651c740501fc)) + (segment (start 89.45 116.35) (end 91.2 118.1) (width 0.15) (layer "F.Cu") (net 54) (tstamp a7daa208-84db-4844-a646-085aac7e1260)) + (segment (start 89.55 108.2) (end 89.4 108.05) (width 0.15) (layer "F.Cu") (net 54) (tstamp c2202814-3e83-47e6-ab64-4f6905e69a11)) + (segment (start 89.4 108.05) (end 89.15 107.8) (width 0.15) (layer "F.Cu") (net 54) (tstamp cf1730fd-d1cb-43ef-b7d0-ded75b0f196e)) + (via (at 88.8 107.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 51def409-b909-4ab7-beaf-93fc893f6979)) + (via (at 89.45 116.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 9c7ab30c-6e37-4926-81c7-41adfc67e171)) + (segment (start 88.3 115.2) (end 88.3 111.475) (width 0.15) (layer "B.Cu") (net 54) (tstamp 3c72e6ab-1f42-4697-bffe-3e79ee614d90)) + (segment (start 88.95 107.95) (end 88.8 107.8) (width 0.15) (layer "B.Cu") (net 54) (tstamp 503c7dab-7bea-47a5-a2ba-f88c7fc7f04b)) + (segment (start 88.3 111.475) (end 88.95 110.825) (width 0.15) (layer "B.Cu") (net 54) (tstamp a3e23133-0209-4e94-a2da-b843363d1534)) + (segment (start 88.95 110.825) (end 88.95 107.95) (width 0.15) (layer "B.Cu") (net 54) (tstamp f01bf90c-18c3-493c-86cf-dc62fccc6eda)) + (segment (start 89.45 116.35) (end 88.3 115.2) (width 0.15) (layer "B.Cu") (net 54) (tstamp f2c0bb8a-281a-419b-b7f4-c6e98648b01c)) + (segment (start 82.65 98.9) (end 82.65 94.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 0cc477ba-721b-4b73-a85c-4307416edb93)) + (segment (start 81.65 93.9) (end 77.4 93.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 218200d1-0e9e-4b49-8397-d520d1633391)) + (segment (start 74.5 96.8) (end 74.5 105.7) (width 0.15) (layer "F.Cu") (net 55) (tstamp 5a70f6fa-8139-458f-a206-63152e0a7f76)) + (segment (start 83.85 100.1) (end 82.65 98.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 61a98cfc-c213-46fc-a678-284e4cfc47a6)) + (segment (start 89.65 115.85) (end 91.85 118.05) (width 0.15) (layer "F.Cu") (net 55) (tstamp 65b921d8-4413-42ef-b7b6-6f26100ea5e9)) + (segment (start 77.4 93.9) (end 74.5 96.8) (width 0.15) (layer "F.Cu") (net 55) (tstamp 7b512563-e8c2-49bb-91dd-245050f8323e)) + (segment (start 82.65 94.9) (end 81.65 93.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp a4efbecf-a001-4c8f-97f1-39cdf6622ea1)) + (segment (start 74.5 105.7) (end 84.65 115.85) (width 0.15) (layer "F.Cu") (net 55) (tstamp ace85901-7441-4d53-b7be-198543394ce4)) + (segment (start 85.45 99.9) (end 85.25 100.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp b9c13e50-e5df-41a5-99c3-5601a683b731)) + (segment (start 91.85 118.05) (end 91.85 119.05) (width 0.15) (layer "F.Cu") (net 55) (tstamp bc24f859-7920-46d1-8720-c342779aff2b)) + (segment (start 86.3875 99.9) (end 85.45 99.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp c2731727-ae73-40f1-8aad-b71538277e79)) + (segment (start 85.25 100.1) (end 83.85 100.1) (width 0.15) (layer "F.Cu") (net 55) (tstamp e2259c61-9293-4777-8209-2bafb1226d5f)) + (segment (start 84.65 115.85) (end 89.65 115.85) (width 0.15) (layer "F.Cu") (net 55) (tstamp ec6d1a8c-3128-482b-93ac-26394441dc82)) + (segment (start 92.5 119.05) (end 92.5 118.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp 116817f5-06c5-46f5-a074-3ec7555013aa)) + (segment (start 89.9 115.55) (end 84.8 115.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp 227e32f7-ff4a-49a2-84fe-e4e9f7a60639)) + (segment (start 81.5 94.2) (end 82.35 95.05) (width 0.15) (layer "F.Cu") (net 56) (tstamp 43866ad0-f751-4b47-8573-c7c32133fbb4)) + (segment (start 82.35 95.05) (end 82.35 99.05) (width 0.15) (layer "F.Cu") (net 56) (tstamp 5f5fed91-4928-4417-952c-20195d70edbd)) + (segment (start 77.55 94.2) (end 81.5 94.2) (width 0.15) (layer "F.Cu") (net 56) (tstamp 7a496137-b8c1-4a33-bae7-9e3bb4a0146f)) + (segment (start 92.5 118.15) (end 89.9 115.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp 8e70b82c-5eca-4bfa-9519-08b97f7d198d)) + (segment (start 74.8 105.55) (end 74.8 96.95) (width 0.15) (layer "F.Cu") (net 56) (tstamp 9b473f9c-0deb-4f05-bf88-32cdd5c78e4c)) + (segment (start 84.8 115.55) (end 74.8 105.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp 9d7a5bbd-05d2-4701-8ac7-e69848d41db2)) + (segment (start 83.7 100.4) (end 86.3875 100.4) (width 0.15) (layer "F.Cu") (net 56) (tstamp af6ab409-8d62-4a96-871c-9e8696e68544)) + (segment (start 74.8 96.95) (end 77.55 94.2) (width 0.15) (layer "F.Cu") (net 56) (tstamp bf86d652-76b5-4445-9f1e-46defb7ebc00)) + (segment (start 82.35 99.05) (end 83.7 100.4) (width 0.15) (layer "F.Cu") (net 56) (tstamp e524fcc7-7013-4393-8b0b-099085fc1989)) + (segment (start 93.15 118.15) (end 90.25 115.25) (width 0.15) (layer "F.Cu") (net 57) (tstamp 0ea8612b-288a-47c3-bbf6-5d78da1c0c01)) + (segment (start 85.15 100.7) (end 85.35 100.9) (width 0.15) (layer "F.Cu") (net 57) (tstamp 5f4b5ffd-b658-42cf-97c1-f6d06e2c08f3)) + (segment (start 75.1 105.4) (end 75.1 97.1) (width 0.15) (layer "F.Cu") (net 57) (tstamp 863fae7c-2e8b-46bf-9f56-96bf3e6854b6)) + (segment (start 84.95 115.25) (end 75.1 105.4) (width 0.15) (layer "F.Cu") (net 57) (tstamp 9c9f533c-1c1e-4d40-b341-8ef0f47fdaff)) + (segment (start 81.35 94.5) (end 82.05 95.2) (width 0.15) (layer "F.Cu") (net 57) (tstamp c16a1ed5-cfb7-435b-8704-e14b2b49f1f4)) + (segment (start 85.35 100.9) (end 86.3875 100.9) (width 0.15) (layer "F.Cu") (net 57) (tstamp c6b0232c-6b4a-4de7-bea9-7a6e76c9d2a3)) + (segment (start 77.7 94.5) (end 81.35 94.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp da46e8eb-edda-434e-9ab9-8f83123390c8)) + (segment (start 82.05 95.2) (end 82.05 99.3) (width 0.15) (layer "F.Cu") (net 57) (tstamp e2a70823-6825-4c13-8dcd-6bde1e68f6c7)) + (segment (start 93.15 119.05) (end 93.15 118.15) (width 0.15) (layer "F.Cu") (net 57) (tstamp e5206f2b-52b2-43b4-b506-a33ee531521f)) + (segment (start 90.25 115.25) (end 84.95 115.25) (width 0.15) (layer "F.Cu") (net 57) (tstamp ee48a291-4924-4dc2-86ee-5bac1e04412f)) + (segment (start 82.05 99.3) (end 83.45 100.7) (width 0.15) (layer "F.Cu") (net 57) (tstamp eee04587-fb11-40b0-b183-736f0ed423f1)) + (segment (start 83.45 100.7) (end 85.15 100.7) (width 0.15) (layer "F.Cu") (net 57) (tstamp fa6b4a32-8a9b-48be-9a46-e05d9106dc73)) + (segment (start 75.1 97.1) (end 77.7 94.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp fa77dc36-3edd-43ee-aa6f-403b3f182f4d)) + (segment (start 83.85 106.7) (end 82.95 107.6) (width 0.15) (layer "F.Cu") (net 58) (tstamp 3cee948a-e407-46c6-b1de-cc95a2aa7fe0)) + (segment (start 85 106.7) (end 83.85 106.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp 418df398-33d9-4fdc-943f-ad22a051adb4)) + (segment (start 97.8 117.8) (end 97.8 119.05) (width 0.15) (layer "F.Cu") (net 58) (tstamp 496713a8-069b-48ea-a905-07358cf34b69)) + (segment (start 86.15 113.95) (end 92.85 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 5bced233-754b-417a-8a00-5973fcfc7b9b)) + (segment (start 92.85 113.95) (end 95.7 116.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp 6d96cdc2-464e-4f72-ac9d-6d6f0238d74c)) + (segment (start 95.7 116.8) (end 96.8 116.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp 79ea9c02-6c7f-47a7-8161-e39258dd1f1b)) + (segment (start 86.3875 106.9) (end 85.2 106.9) (width 0.15) (layer "F.Cu") (net 58) (tstamp 89172e1d-c205-4e32-82db-d1bd4c334ee2)) + (segment (start 82.95 110.75) (end 86.15 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 8df9beb1-aebb-42a7-91cc-49681a62bc7f)) + (segment (start 85.2 106.9) (end 85 106.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp b4f8158d-41d8-4a20-83f4-e47e41000c04)) + (segment (start 96.8 116.8) (end 97.8 117.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp cb4bba91-a4c4-4159-8b49-dc57dae2b525)) + (segment (start 82.95 107.6) (end 82.95 110.75) (width 0.15) (layer "F.Cu") (net 58) (tstamp cc47c90a-c76d-42bd-949b-fa8d2b3e092f)) + (segment (start 96.95 116.5) (end 98.45 118) (width 0.15) (layer "F.Cu") (net 59) (tstamp 184077bf-92cd-4432-b084-502714937cf2)) + (segment (start 93 113.65) (end 95.85 116.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 6b0cad3a-ace8-4ca6-aeeb-28a7c97df4a4)) + (segment (start 95.85 116.5) (end 96.95 116.5) (width 0.15) (layer "F.Cu") (net 59) (tstamp 8a227e16-1678-4fed-9561-65498f396a3b)) + (segment (start 88.55 109.0625) (end 88.55 112.15) (width 0.15) (layer "F.Cu") (net 59) (tstamp b42133ee-67a2-40b1-8c2d-2b252842bbfb)) + (segment (start 88.55 112.15) (end 90.05 113.65) (width 0.15) (layer "F.Cu") (net 59) (tstamp b79357c2-c4b1-430e-8969-079a67160b35)) + (segment (start 90.05 113.65) (end 93 113.65) (width 0.15) (layer "F.Cu") (net 59) (tstamp c7b995c3-9f88-43eb-90e8-add00b47f841)) + (segment (start 98.45 118) (end 98.45 119.05) (width 0.15) (layer "F.Cu") (net 59) (tstamp e726783b-faa9-4367-99a5-a950167a691b)) + (segment (start 90.2 113.35) (end 93.15 113.35) (width 0.15) (layer "F.Cu") (net 60) (tstamp 16c3589f-96e9-4939-aeba-dbdc0dc1abc5)) + (segment (start 88.85 112) (end 90.2 113.35) (width 0.15) (layer "F.Cu") (net 60) (tstamp 23ad5532-c5dc-48b4-babf-41dbaeeb9239)) + (segment (start 89.05 109.0625) (end 89.05 110.35) (width 0.15) (layer "F.Cu") (net 60) (tstamp 28c321e2-274c-4d2c-8d9b-8f27e83e514f)) + (segment (start 89.05 110.35) (end 88.85 110.55) (width 0.15) (layer "F.Cu") (net 60) (tstamp 600c2cfc-f452-412a-b51c-33e7e9bd13d4)) + (segment (start 99.1 118.15) (end 99.1 119.05) (width 0.15) (layer "F.Cu") (net 60) (tstamp 68a70a58-c5d4-4207-b24e-684a6a140ed4)) + (segment (start 97.15 116.2) (end 99.1 118.15) (width 0.15) (layer "F.Cu") (net 60) (tstamp 793f907c-c3e5-4894-a4c1-af5d2daaa98d)) + (segment (start 96 116.2) (end 97.15 116.2) (width 0.15) (layer "F.Cu") (net 60) (tstamp bc1b8873-ed6c-4753-ab78-d99e8d7781de)) + (segment (start 93.15 113.35) (end 96 116.2) (width 0.15) (layer "F.Cu") (net 60) (tstamp c3c3945d-28b3-4aa2-bcd6-42c8851be790)) + (segment (start 88.85 110.55) (end 88.85 112) (width 0.15) (layer "F.Cu") (net 60) (tstamp e8628954-787f-4ca7-8764-5e8cdffe399a)) + (segment (start 93.05 92.8) (end 92.45 92.2) (width 0.15) (layer "F.Cu") (net 61) (tstamp 4ae54efa-34bd-440e-b2f1-7ada15bc8d6a)) + (segment (start 92.45 92.2) (end 92.45 90.3) (width 0.15) (layer "F.Cu") (net 61) (tstamp 65863e7a-1cd1-4f1d-a4df-b926d8fa0c2d)) + (segment (start 79.4 115.95) (end 79.4 119.05) (width 0.15) (layer "F.Cu") (net 61) (tstamp 772f0c54-b642-468a-96aa-4184c820efe3)) + (segment (start 93.05 93.7375) (end 93.05 92.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp 7e8bc557-b48d-4702-ac42-77a75d9f8706)) + (segment (start 92.45 90.3) (end 90.95 88.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp a92ff7e4-1a4d-47de-929b-c01378b675b9)) + (segment (start 87.75 88.8) (end 86.25 90.3) (width 0.15) (layer "F.Cu") (net 61) (tstamp b13b2861-ae57-4c1d-8509-b4d648b8aacd)) + (segment (start 90.95 88.8) (end 87.75 88.8) (width 0.15) (layer "F.Cu") (net 61) (tstamp b680f26d-f29d-49f0-a318-d6950ff05b4d)) + (segment (start 70.9 107.45) (end 79.4 115.95) (width 0.15) (layer "F.Cu") (net 61) (tstamp c4851672-e29b-4772-a479-3bcf18a832d8)) + (segment (start 70.9 95) (end 70.9 107.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp d8769bf3-b8e0-450b-9c5e-d508396bbdb3)) + (segment (start 86.25 90.3) (end 75.6 90.3) (width 0.15) (layer "F.Cu") (net 61) (tstamp f4f07af9-04bd-4b1f-967c-27fd95b158a7)) + (segment (start 75.6 90.3) (end 70.9 95) (width 0.15) (layer "F.Cu") (net 61) (tstamp fbdb7203-434f-4e76-854c-fc260e97c062)) + (segment (start 87.9 89.1) (end 90.8 89.1) (width 0.15) (layer "F.Cu") (net 62) (tstamp 00a1587c-dd3a-41c4-9d58-d7dbdf972f8a)) + (segment (start 92.55 92.75) (end 92.55 93.7375) (width 0.15) (layer "F.Cu") (net 62) (tstamp 247b34d6-82d9-4f57-a5a5-457f0a6b0035)) + (segment (start 92.15 92.35) (end 92.55 92.75) (width 0.15) (layer "F.Cu") (net 62) (tstamp 2d0c64ff-1e56-4a13-a23a-39f3c0e1fa94)) + (segment (start 86.4 90.6) (end 87.9 89.1) (width 0.15) (layer "F.Cu") (net 62) (tstamp 50b866cd-3df1-4fd5-84de-0f647aadcc4a)) + (segment (start 80.05 119.05) (end 80.05 116.15) (width 0.15) (layer "F.Cu") (net 62) (tstamp 7bec3348-4d6d-4262-b89b-94d3f67fafaf)) + (segment (start 71.2 107.3) (end 71.2 95.15) (width 0.15) (layer "F.Cu") (net 62) (tstamp 828c5973-92a9-422d-b9bc-79b31e3212ee)) + (segment (start 75.75 90.6) (end 86.4 90.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp 82a6e4ee-004d-4edb-a574-1d30a45c946a)) + (segment (start 92.15 90.45) (end 92.15 92.35) (width 0.15) (layer "F.Cu") (net 62) (tstamp 9c824e51-56fa-439f-bc77-e270c82a2cb1)) + (segment (start 80.05 116.15) (end 71.2 107.3) (width 0.15) (layer "F.Cu") (net 62) (tstamp a43b3db7-a60f-41fe-88e2-70e05d13563a)) + (segment (start 90.8 89.1) (end 92.15 90.45) (width 0.15) (layer "F.Cu") (net 62) (tstamp c22e3a8e-3664-4b50-9b77-656106d507bc)) + (segment (start 71.2 95.15) (end 75.75 90.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp ed63908c-bf6b-45fa-9776-42c909724964)) + (segment (start 88.05 89.4) (end 86.55 90.9) (width 0.15) (layer "F.Cu") (net 63) (tstamp 1126c237-051c-4af6-a3b7-292ce4f4d919)) + (segment (start 91.85 92.65) (end 91.85 90.6) (width 0.15) (layer "F.Cu") (net 63) (tstamp 2f632a84-c471-486b-9388-21dd9512c987)) + (segment (start 75.9 90.9) (end 71.5 95.3) (width 0.15) (layer "F.Cu") (net 63) (tstamp 4348f8a3-9e42-42b7-a62e-4b01db7879b8)) + (segment (start 91.85 90.6) (end 90.65 89.4) (width 0.15) (layer "F.Cu") (net 63) (tstamp 6a5270d6-7389-4d81-a59c-c401c55a86ba)) + (segment (start 90.65 89.4) (end 88.05 89.4) (width 0.15) (layer "F.Cu") (net 63) (tstamp 7387fe74-67a6-47b8-aefd-ef001095772e)) + (segment (start 71.5 107.15) (end 80.7 116.35) (width 0.15) (layer "F.Cu") (net 63) (tstamp 881d7c8c-7363-4714-8017-7ba203d4bc65)) + (segment (start 71.5 95.3) (end 71.5 107.15) (width 0.15) (layer "F.Cu") (net 63) (tstamp 8f204711-809f-41ef-ae48-002948f90398)) + (segment (start 92.05 93.7375) (end 92.05 92.85) (width 0.15) (layer "F.Cu") (net 63) (tstamp 9693c8f5-67d2-42e2-b521-6f98b3a995e7)) + (segment (start 92.05 92.85) (end 91.85 92.65) (width 0.15) (layer "F.Cu") (net 63) (tstamp ce804287-9120-47f8-aa64-f9aa38f6de34)) + (segment (start 86.55 90.9) (end 75.9 90.9) (width 0.15) (layer "F.Cu") (net 63) (tstamp ef8a72d3-74a4-4b73-a0a1-e6f336c5a716)) + (segment (start 80.7 116.35) (end 80.7 119.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp f9fb1e03-9323-4ed3-a07f-d1385d8b7110)) + (segment (start 71.8 95.45) (end 76.05 91.2) (width 0.15) (layer "F.Cu") (net 64) (tstamp 162067c2-cd9d-4cb8-9289-e5a7c473756d)) + (segment (start 86.7 91.2) (end 88.2 89.7) (width 0.15) (layer "F.Cu") (net 64) (tstamp 68170044-6e59-4b26-9f71-90ef7a2ed03b)) + (segment (start 71.8 107) (end 71.8 95.45) (width 0.15) (layer "F.Cu") (net 64) (tstamp 8e8f66eb-730f-4d6e-996f-5a00cd8097c6)) + (segment (start 81.35 119.05) (end 81.35 116.55) (width 0.15) (layer "F.Cu") (net 64) (tstamp 9b9adf10-53b2-4a40-aab5-1492342c378f)) + (segment (start 76.05 91.2) (end 86.7 91.2) (width 0.15) (layer "F.Cu") (net 64) (tstamp a5c18ae0-3615-4324-906e-5eea51254584)) + (segment (start 81.35 116.55) (end 71.8 107) (width 0.15) (layer "F.Cu") (net 64) (tstamp aae35735-4717-4da7-a998-45d2c1fdcc3f)) + (segment (start 91.55 90.75) (end 91.55 93.7375) (width 0.15) (layer "F.Cu") (net 64) (tstamp b04dd00d-2a56-4316-afa2-0b232421f9d7)) + (segment (start 88.2 89.7) (end 90.5 89.7) (width 0.15) (layer "F.Cu") (net 64) (tstamp df3d9d68-6d4b-4ee0-a5f7-04048f5b22ce)) + (segment (start 90.5 89.7) (end 91.55 90.75) (width 0.15) (layer "F.Cu") (net 64) (tstamp f2ddce7e-3086-4922-819f-d7fdda7d99e8)) + (segment (start 72.1 95.6) (end 72.1 106.85) (width 0.15) (layer "F.Cu") (net 65) (tstamp 03cc9b1b-9302-482a-8528-090e8c4682c7)) + (segment (start 91.05 93.7375) (end 91.05 92.8) (width 0.15) (layer "F.Cu") (net 65) (tstamp 044c5d65-51dd-434a-937a-bcb5566d4fdd)) + (segment (start 82 116.75) (end 82 119.05) (width 0.15) (layer "F.Cu") (net 65) (tstamp 18c14133-c36b-421b-9f59-29b9a25970b1)) + (segment (start 90.35 90) (end 88.35 90) (width 0.15) (layer "F.Cu") (net 65) (tstamp 225ef688-ab0c-479e-be72-71ab27743150)) + (segment (start 88.35 90) (end 86.85 91.5) (width 0.15) (layer "F.Cu") (net 65) (tstamp 2bd02d0a-7af3-4814-a5fd-b03a6855fabf)) + (segment (start 91.05 92.8) (end 91.25 92.6) (width 0.15) (layer "F.Cu") (net 65) (tstamp 2f12e191-01e3-4b06-bd22-4bbb79183758)) + (segment (start 72.1 106.85) (end 82 116.75) (width 0.15) (layer "F.Cu") (net 65) (tstamp 5ad754d1-2386-4f3f-a876-d8eb78734209)) + (segment (start 91.25 92.6) (end 91.25 90.9) (width 0.15) (layer "F.Cu") (net 65) (tstamp 673264ae-e2e3-4219-ae91-a89564bbda9f)) + (segment (start 91.25 90.9) (end 90.35 90) (width 0.15) (layer "F.Cu") (net 65) (tstamp 6f81906d-ee38-4971-bf6a-78344168419f)) + (segment (start 86.85 91.5) (end 76.2 91.5) (width 0.15) (layer "F.Cu") (net 65) (tstamp ab4bcb14-640f-45e7-b918-432ff17f01cf)) + (segment (start 76.2 91.5) (end 72.1 95.6) (width 0.15) (layer "F.Cu") (net 65) (tstamp f8fccf51-f9c8-4b96-82ea-d2da38275486)) + (segment (start 88.5 90.3) (end 87 91.8) (width 0.15) (layer "F.Cu") (net 66) (tstamp 08aaaf5b-baa4-4b8b-8308-9f7910e8bb03)) + (segment (start 90.55 93.7375) (end 90.55 92.85) (width 0.15) (layer "F.Cu") (net 66) (tstamp 0dde2202-dead-4184-b673-df23a5fbdb45)) + (segment (start 90.55 92.85) (end 90.95 92.45) (width 0.15) (layer "F.Cu") (net 66) (tstamp 1a21aeb9-bb97-43a8-844c-3fc5509f3c84)) + (segment (start 87 91.8) (end 76.35 91.8) (width 0.15) (layer "F.Cu") (net 66) (tstamp 2354025f-d9f7-4441-8c71-28eda2823581)) + (segment (start 72.4 95.75) (end 72.4 106.7) (width 0.15) (layer "F.Cu") (net 66) (tstamp 52eabc99-8086-4d55-86e1-581606e18b36)) + (segment (start 90.95 92.45) (end 90.95 91.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp 8c5cae31-c2fd-4571-b3ca-b43363f6f930)) + (segment (start 90.95 91.05) (end 90.2 90.3) (width 0.15) (layer "F.Cu") (net 66) (tstamp 99e72b7b-1279-4023-b309-189156a7e751)) + (segment (start 82.65 116.95) (end 82.65 119.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp b0d66a66-f0ed-41f2-99d6-03462d8cd255)) + (segment (start 72.4 106.7) (end 82.65 116.95) (width 0.15) (layer "F.Cu") (net 66) (tstamp eead961e-af41-49ec-b7a4-3072ee55361f)) + (segment (start 90.2 90.3) (end 88.5 90.3) (width 0.15) (layer "F.Cu") (net 66) (tstamp f50b6219-24fe-453d-b082-89ae3128c2d1)) + (segment (start 76.35 91.8) (end 72.4 95.75) (width 0.15) (layer "F.Cu") (net 66) (tstamp fbc25d6a-8341-46f2-a924-b10e161667b3)) + (segment (start 90.05 93.7375) (end 90.05 94.6) (width 0.15) (layer "F.Cu") (net 67) (tstamp 096edfdf-9a80-46c4-b2e1-f461a1723fba)) + (segment (start 86.3 92.3) (end 86.1 92.1) (width 0.15) (layer "F.Cu") (net 67) (tstamp 2c33dd77-299a-4d46-a3e4-aeebb1f8476a)) + (segment (start 72.7 95.9) (end 72.7 106.55) (width 0.15) (layer "F.Cu") (net 67) (tstamp 382f0b36-eae7-40d4-85b5-af0b935ea7c4)) + (segment (start 86.1 92.1) (end 76.5 92.1) (width 0.15) (layer "F.Cu") (net 67) (tstamp 900b7562-44fe-4870-94dd-869fbef83338)) + (segment (start 90.45 95) (end 90.8 95) (width 0.15) (layer "F.Cu") (net 67) (tstamp ad6b103c-9b6f-47e6-b4fa-87bc82241f62)) + (segment (start 72.7 106.55) (end 83.3 117.15) (width 0.15) (layer "F.Cu") (net 67) (tstamp b2c4df57-350a-4427-abe5-9c2baf75c1e2)) + (segment (start 83.3 117.15) (end 83.3 119.05) (width 0.15) (layer "F.Cu") (net 67) (tstamp b3716fc5-ba28-4603-88c5-f97a0750cc92)) + (segment (start 76.5 92.1) (end 72.7 95.9) (width 0.15) (layer "F.Cu") (net 67) (tstamp bf957830-258a-4d72-b450-31807848ce6c)) + (segment (start 90.05 94.6) (end 90.15 94.7) (width 0.15) (layer "F.Cu") (net 67) (tstamp e466003b-295b-4473-a177-f21701e41028)) + (segment (start 90.15 94.7) (end 90.45 95) (width 0.15) (layer "F.Cu") (net 67) (tstamp f52e4011-d1ed-4c27-88c5-044fcc2ede4a)) + (via (at 90.8 95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 059c3945-219a-4d75-b451-76e7e308ccea)) + (via (at 86.3 92.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 67) (tstamp 5419fbc6-636b-431d-9a0f-977cb49b6a09)) + (segment (start 86.3 92.3) (end 87.6 92.3) (width 0.15) (layer "B.Cu") (net 67) (tstamp 11f73215-fbbe-4abf-85d5-c38c29528797)) + (segment (start 89.85 91.65) (end 90.8 92.6) (width 0.15) (layer "B.Cu") (net 67) (tstamp 2babae4d-96c0-44fe-ba88-da08c4b28dbb)) + (segment (start 88.25 91.65) (end 89.85 91.65) (width 0.15) (layer "B.Cu") (net 67) (tstamp 94e05791-bd61-4e5e-beb4-aaefe6cbbbe9)) + (segment (start 87.6 92.3) (end 88.25 91.65) (width 0.15) (layer "B.Cu") (net 67) (tstamp 9ba8026f-cac4-4b8f-a88f-29ffea6ee69f)) + (segment (start 90.8 92.6) (end 90.8 95) (width 0.15) (layer "B.Cu") (net 67) (tstamp f8592023-982b-450e-a255-8ac772130a61)) + (segment (start 76.65 92.4) (end 73 96.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 1fee6f83-1e46-4568-9ee3-3e223a0416da)) + (segment (start 83.95 117.35) (end 83.95 119.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 259f95df-80ec-4ff1-b89a-3ba0348ff1e5)) + (segment (start 73 106.4) (end 83.95 117.35) (width 0.15) (layer "F.Cu") (net 68) (tstamp 68b48c19-c575-486b-a720-49593247bb92)) + (segment (start 87.0375 93.7375) (end 85.7 92.4) (width 0.15) (layer "F.Cu") (net 68) (tstamp ad33a247-7978-4b4d-8d40-181638b56eb6)) + (segment (start 73 96.05) (end 73 106.4) (width 0.15) (layer "F.Cu") (net 68) (tstamp cb7d95c3-c463-4782-85a6-80e5bb1930ba)) + (segment (start 85.7 92.4) (end 76.65 92.4) (width 0.15) (layer "F.Cu") (net 68) (tstamp efb1b568-0bc6-4098-bc7a-308fe5b06be2)) + (segment (start 88.05 93.7375) (end 87.0375 93.7375) (width 0.15) (layer "F.Cu") (net 68) (tstamp faa7b9b5-d171-4bf8-a7cf-6b87240cfbba)) + (segment (start 58.293 96.458) (end 58.293 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 01b671f2-3ca5-4060-b212-4d936b36bdef)) + (segment (start 126.45 111.85) (end 127.2 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 03553f6f-1a0b-495c-90a6-bfa135c85276)) + (segment (start 104.2 119.05) (end 105.075 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 0371ca81-2d52-475b-8902-0f9e558fdb22)) + (segment (start 116.5 122.35) (end 117.2 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 03dcccfe-88d2-4adf-8f33-107f883215f8)) + (segment (start 99.05 109.0625) (end 99.05 108) (width 0.3) (layer "F.Cu") (net 70) (tstamp 05239118-bcaa-485c-906c-49ee87b87494)) + (segment (start 127.85 118.6) (end 127.85 117.65) (width 0.8) (layer "F.Cu") (net 70) (tstamp 05688865-64c8-429c-857c-0275c5b1b566)) + (segment (start 54.483 101.408) (end 54.483 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp 06ccf75b-24d0-409d-be94-701d6be82aed)) + (segment (start 131.75 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp 08dc6c1e-6300-4704-9744-09cf42a48f98)) + (segment (start 84.3 104.4) (end 84.3 105.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0a7622d0-c731-441b-97a3-4c61b71b2a38)) + (segment (start 63.8175 101.4095) (end 64.516 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0d6812d6-22d6-4705-b0dc-12c0dba6df20)) + (segment (start 62.103 101.408) (end 62.8 101.408) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0db29326-f411-4f2d-9666-03b15bceca7a)) + (segment (start 124.4 115.35) (end 124.4 114.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0ebd9850-276a-485d-bc67-d19050cf8240)) + (segment (start 105.7 119.05) (end 105.7 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp 131065e7-0424-4610-bbe8-10d099c75762)) + (segment (start 85.775 119.05) (end 86.675 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 14eae4cc-0659-4d84-8c34-94b9a3baec8c)) + (segment (start 127.2 111.85) (end 127.35 112) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1539feb6-ff95-4e52-906f-113f2229ce4a)) + (segment (start 114.4 115.35) (end 114.4 114.6) (width 0.6) (layer "F.Cu") (net 70) (tstamp 15adc6a7-e2e3-4287-9116-16836f0f22b7)) + (segment (start 103.8 106.9) (end 103.1 106.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp 167931da-86d4-4009-81cb-fa6b6232b12d)) + (segment (start 101.7125 107.4) (end 100.55 107.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp 16a40051-3a87-4551-8b3e-13b5fb1ca56c)) + (segment (start 137.3 120.4) (end 138.4 120.4) (width 0.6) (layer "F.Cu") (net 70) (tstamp 16d95738-c665-42c7-95e9-8aa22827fee4)) + (segment (start 126.45 111.85) (end 126.45 111) (width 0.6) (layer "F.Cu") (net 70) (tstamp 17c2037d-da4c-4965-a9f8-1c67bfa2c95d)) + (segment (start 111.75 104.65) (end 110.95 104.65) (width 0.508) (layer "F.Cu") (net 70) (tstamp 18b61a1a-0fef-4731-a744-65f7e340dd98)) + (segment (start 85.8 119.05) (end 85.8 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 1c6c5432-edfa-4e8f-8b25-43bf9f23b0d0)) + (segment (start 114.4 116.1) (end 114.25 116.25) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1dd77346-a937-4c49-8a25-2fc9e4be7422)) + (segment (start 84.3 104.4) (end 85 104.4) (width 0.5) (layer "F.Cu") (net 70) (tstamp 1e7b11af-e14f-402c-adb0-e34dda4195f0)) + (segment (start 124.4 92.65) (end 124.4 91.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 1f6117b6-4ed2-453b-bbbd-b352aceea670)) + (segment (start 124.4 90) (end 124.25 89.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 2143e725-133c-43d2-a072-016011eb9121)) + (segment (start 101.7125 97.4) (end 100.55 97.4) (width 0.35) (layer "F.Cu") (net 70) (tstamp 225fb48d-86e7-4be9-964c-382bc32df9ff)) + (segment (start 85.2 104.2) (end 85 104.4) (width 0.5) (layer "F.Cu") (net 70) (tstamp 23bd08af-fc40-4111-82c6-43c41b7d4499)) + (segment (start 84.3 105.15) (end 84.25 105.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 28304e60-a100-4292-a003-4431d64d90fb)) + (segment (start 114.4 115.35) (end 115.25 115.35) (width 0.6) (layer "F.Cu") (net 70) (tstamp 29504b9a-7370-463e-b3ff-1cb36c6ad44e)) + (segment (start 110.85 109.45) (end 110.85 110.25) (width 0.5) (layer "F.Cu") (net 70) (tstamp 29601eea-56b5-4756-bf8e-d707d7781c32)) + (segment (start 114.4 114.6) (end 114.25 114.45) (width 0.6) (layer "F.Cu") (net 70) (tstamp 29f0611e-b018-492c-9587-3da2f6d8cc9b)) + (segment (start 98.35 92.55) (end 98.55 92.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 2ab8d9e8-3654-4c52-93b9-39bb834e4e9c)) + (segment (start 112.9 109.45) (end 114.05 109.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp 2ae05c6c-f737-46e8-83d3-3f98b9a92d92)) + (segment (start 85.775 119.05) (end 85.05 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 2b46fa42-a4a5-49ec-b70a-240499c4ad3b)) + (segment (start 89.75 110.25) (end 89.55 110.45) (width 0.5) (layer "F.Cu") (net 70) (tstamp 2b62a91d-f5a3-49b0-b634-ce9b33c0f132)) + (segment (start 88.75 92.55) (end 88.55 92.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 2b7f3f57-ddbd-43d4-966c-5481b482dbfc)) + (segment (start 130.35 125.05) (end 131.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 2bd8e576-0bab-4237-b103-649a892c5d23)) + (segment (start 124.4 90.75) (end 124.4 90) (width 0.6) (layer "F.Cu") (net 70) (tstamp 2c42ca3c-3cf2-4e8d-827d-c0e630733c52)) + (segment (start 98.05 93.7375) (end 98.05 94.8) (width 0.3) (layer "F.Cu") (net 70) (tstamp 2d3eabb5-d36c-4715-b2f5-b782b611b209)) + (segment (start 85.2 104) (end 85.2 104.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 2d5bb2e6-db14-46d8-a2f8-4ad743c58c42)) + (segment (start 84.3 97.9) (end 85 97.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp 2dc2b8b2-b3f2-4148-a504-3b4797385a5a)) + (segment (start 141.1 120.4) (end 141.35 120.15) (width 0.8) (layer "F.Cu") (net 70) (tstamp 2dd8442b-4b63-4092-b3de-4d9dd050ef4e)) + (segment (start 124.4 113.45) (end 124.4 114.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 2e9c8b8e-40f2-4eee-b0ee-8d4db1558707)) + (segment (start 99.15 110.25) (end 99.35 110.25) (width 0.5) (layer "F.Cu") (net 70) (tstamp 2ed0d504-6786-4d24-bc50-b0bf06d4ad16)) + (segment (start 135.2 125.15) (end 135.2 126.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 32da5a44-6297-49a1-b908-a38ad2f5f8e0)) + (segment (start 88.55 91.65) (end 88.55 92.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 34684c3e-3fa3-4afa-a22f-e6212fcb7417)) + (segment (start 96.5 119.05) (end 96.5 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 364d8df0-2a9a-4eb9-9539-d186c088bd43)) + (segment (start 98.05 92.65) (end 98.15 92.55) (width 0.3) (layer "F.Cu") (net 70) (tstamp 3bbe7940-ee3b-4e38-93fc-e8ae0e6091b3)) + (segment (start 82.8 104.4) (end 81.95 104.4) (width 0.5) (layer "F.Cu") (net 70) (tstamp 3e513b63-db5d-4456-af95-c914d7c5c747)) + (segment (start 99.55 111.15) (end 99.55 110.45) (width 0.5) (layer "F.Cu") (net 70) (tstamp 3ec12892-4de5-46f0-b683-5d3ae5a8f899)) + (segment (start 67.65 97.45) (end 66.9 97.45) (width 0.15) (layer "F.Cu") (net 70) (tstamp 3f25c4f9-4b32-41cc-bb1c-7ceddb782d67)) + (segment (start 94.975 119.05) (end 94.25 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 4047aa0b-05eb-4cad-9cd6-26f5dae8aa66)) + (segment (start 126.45 92.65) (end 126.45 91.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp 421b3727-9ed7-4c98-a4d9-88543c3db45b)) + (segment (start 99.05 110.15) (end 99.15 110.25) (width 0.3) (layer "F.Cu") (net 70) (tstamp 4532047f-b554-4696-903d-b29fbb776e58)) + (segment (start 112.9 104.65) (end 114.05 104.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp 460d564d-368a-44af-b1f9-905c03586ff9)) + (segment (start 102.9 107.3) (end 102.9 107.1) (width 0.5) (layer "F.Cu") (net 70) (tstamp 46335dd2-d25b-43f6-80ac-c965874e0bfe)) + (segment (start 104.05 101.65) (end 103.35 101.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp 470289de-9e30-45d9-820a-94e4de9519ca)) + (segment (start 101.7125 97.4) (end 102.85 97.4) (width 0.35) (layer "F.Cu") (net 70) (tstamp 470ec53b-112b-4c79-ae12-5a73fa13545a)) + (segment (start 103.8 96.9) (end 104.5 96.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp 47f255ad-3660-4d8a-8594-3672ba193adb)) + (segment (start 110.85 104.85) (end 110.8 104.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp 4baa644b-f082-4883-b3c8-dcfa8d1b2807)) + (segment (start 98.15 92.55) (end 98.35 92.55) (width 0.5) (layer "F.Cu") (net 70) (tstamp 4f17683b-1ba0-4983-9857-2c67188d99dc)) + (segment (start 121.2 122.35) (end 121.2 123.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp 4feb38bc-4431-4c85-9535-316804e01bab)) + (segment (start 114.4 90) (end 114.25 89.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 4fff39d8-d7ed-4b14-a3ef-48fd58122007)) + (segment (start 85.2 97.5) (end 85.2 97.7) (width 0.5) (layer "F.Cu") (net 70) (tstamp 50776f63-4099-41f3-91b1-0486f553fcaa)) + (segment (start 121.9 122.35) (end 122.05 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 50af49cc-7f36-485c-bd0d-33633eea7668)) + (segment (start 140.1 120.4) (end 138.4 120.4) (width 0.8) (layer "F.Cu") (net 70) (tstamp 50bb5474-d936-489e-9d91-aa35260b9077)) + (segment (start 110.95 104.65) (end 110.8 104.8) (width 0.508) (layer "F.Cu") (net 70) (tstamp 50d247df-60f5-44e2-ab9e-f78fd017bf50)) + (segment (start 90.05 109.0625) (end 90.05 108) (width 0.3) (layer "F.Cu") (net 70) (tstamp 52926936-ab1c-47a7-a0f3-a588cc0b7f44)) + (segment (start 99.05 108) (end 99.15 107.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp 55a03733-ddf9-47fa-82d1-7cb455f5baca)) + (segment (start 58.293 94.234) (end 58.9915 94.234) (width 0.5) (layer "F.Cu") (net 70) (tstamp 55f2a1cb-3585-49b6-9f20-d11d9153efb3)) + (segment (start 89.55 111.85) (end 89.7 112) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5735da0b-6716-4f45-9c8c-7dfe45743595)) + (segment (start 89.55 111.15) (end 89.55 110.45) (width 0.5) (layer "F.Cu") (net 70) (tstamp 58fb0fca-7206-4138-b05c-8ede53836397)) + (segment (start 63.8175 95.6565) (end 63.8175 94.869) (width 0.15) (layer "F.Cu") (net 70) (tstamp 59106d85-6aba-4257-acdd-0adf02031cda)) + (segment (start 124.4 107.05) (end 123.25 107.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 5a03ef7f-a0c9-461d-92db-40c6672fba89)) + (segment (start 59.563 96.458) (end 59.563 97.8535) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5a3152cf-e6dc-4541-a8f5-ba7a8324e104)) + (segment (start 81.95 104.4) (end 81.065 104.4) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5bb3a9b6-f7dc-4243-a5bd-d45199073053)) + (segment (start 88.95 92.55) (end 88.75 92.55) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5bf99ee2-a47c-4775-bc17-5a8079f10fca)) + (segment (start 62.8015 101.4095) (end 63.8175 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5c0a2e20-ab71-4642-aa2b-2f3eabd34efd)) + (segment (start 98.55 91.65) (end 98.55 92.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5c6d323d-e0c0-4411-a320-36928ba7cf56)) + (segment (start 87.3 124.95) (end 87.3 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp 5c8dd91c-19fe-4378-9802-577e278720e2)) + (segment (start 124.4 115.35) (end 124.4 116.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp 5cb089b8-5f68-47b2-a98b-a581492c4186)) + (segment (start 103.35 101.65) (end 103.2 101.8) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5d7f3035-8b79-4fd0-b33e-7d4909da5803)) + (segment (start 127.2 92.65) (end 127.45 92.9) (width 0.8) (layer "F.Cu") (net 70) (tstamp 5db3bfe1-e4c1-43c2-adcf-0f06298bf78b)) + (segment (start 88.55 91.65) (end 88.55 90.95) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5e51eb82-a1d1-4378-b47f-6f6fbcabc29b)) + (segment (start 126.45 92.65) (end 125.55 92.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp 60bf478e-8032-4098-aab8-07676703cd16)) + (segment (start 124.4 113.45) (end 123.25 113.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp 61a3a423-4413-4538-9f24-0db4839fdf48)) + (segment (start 110.65 104.65) (end 110.8 104.8) (width 0.508) (layer "F.Cu") (net 70) (tstamp 61e48c10-c33f-431c-beb1-611cf507c32e)) + (segment (start 81.026 104.361) (end 81.026 105.6005) (width 0.5) (layer "F.Cu") (net 70) (tstamp 6440b5c8-6d1b-4f29-88a5-2107da1e4230)) + (segment (start 95 119.05) (end 95 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 66126686-77b6-43f4-b20a-b984dae66d21)) + (segment (start 99.05 109.0625) (end 99.05 110.15) (width 0.3) (layer "F.Cu") (net 70) (tstamp 661e7f67-a566-466c-86ed-dabb32cd8d04)) + (segment (start 86.3875 103.9) (end 87.45 103.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp 6628a6df-6c6c-45d8-b3c3-59ddf86000ff)) + (segment (start 121.2 122.35) (end 121.9 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 66c0a216-f806-4dd5-a491-a6ca56aa8864)) + (segment (start 127.45 103.3) (end 127.2 103.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6813aa29-8acc-4aab-b122-d7b45f32844d)) + (segment (start 58.293 94.234) (end 58.293 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 68d147ed-0aa6-4b00-8ae4-b671722519fb)) + (segment (start 103.45 119.05) (end 103.35 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp 6b37fc0a-644c-4ff5-8b50-4470b37f470a)) + (segment (start 126.45 107.05) (end 126.45 106.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6b970bb6-3524-426e-9ff1-b0e730d3512b)) + (segment (start 133.85 125.05) (end 132.75 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 6c6c2fb0-039c-4919-89e9-b76b18242df9)) + (segment (start 76.575 119.05) (end 77.475 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6d6ecc32-9bc6-4971-93f3-7da6b50cc005)) + (segment (start 124.4 111.85) (end 123.25 111.85) (width 0.45) (layer "F.Cu") (net 70) (tstamp 700db470-3f5b-4db3-bd0e-b3b65d0150cf)) + (segment (start 89.05 93.7375) (end 89.05 92.65) (width 0.3) (layer "F.Cu") (net 70) (tstamp 711b77c3-c694-42bd-9bf5-e7a8b57f4339)) + (segment (start 90.05 109.0625) (end 90.05 110.15) (width 0.3) (layer "F.Cu") (net 70) (tstamp 711bcfc9-1849-43cf-bbc9-7dd201f50de2)) + (segment (start 132.75 125.05) (end 130.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 724c68de-253e-4d97-b83f-1e3af8e9ae63)) + (segment (start 109.95 104.65) (end 110.65 104.65) (width 0.508) (layer "F.Cu") (net 70) (tstamp 72aaafa6-ab86-4729-aa19-9c97eedb6ba0)) + (segment (start 89.05 93.7375) (end 89.05 94.8) (width 0.3) (layer "F.Cu") (net 70) (tstamp 74cdcb8b-ea31-4705-b207-25b42ee05846)) + (segment (start 124.4 115.35) (end 125.25 115.35) (width 0.6) (layer "F.Cu") (net 70) (tstamp 74e54124-a383-4987-8087-ec11180f4781)) + (segment (start 89.55 111.15) (end 89.55 111.85) (width 0.5) (layer "F.Cu") (net 70) (tstamp 753f9843-ac81-438e-8cde-8bce76657ceb)) + (segment (start 115.7 122.35) (end 115.55 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 75a689b4-4549-4033-bf25-ff4d01c45eb1)) + (segment (start 104.5 106.9) (end 104.65 107.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7682c44e-f663-4a6b-abd9-ef42c1024cc9)) + (segment (start 62.8 101.408) (end 62.8015 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 76b232c2-f40a-46c0-88c4-8792b061eaa2)) + (segment (start 115 122.2) (end 115 122.9) (width 0.4) (layer "F.Cu") (net 70) (tstamp 776e503c-5a75-4481-a5d8-9ae9655f7bc6)) + (segment (start 105.7 119.05) (end 105.7 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 786e8e4c-1247-433f-96bd-c4260e9ff004)) + (segment (start 114.4 90.75) (end 114.4 90) (width 0.6) (layer "F.Cu") (net 70) (tstamp 793cbd12-315e-46e8-a771-a006feb8d4b6)) + (segment (start 110.85 105.65) (end 110.85 104.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 7ac22346-b99d-4f48-9705-a7cc26daaa60)) + (segment (start 121.2 122.35) (end 120.4 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7c1381a1-9427-4cb3-8db1-7b26ddddfeb4)) + (segment (start 68.3895 119.634) (end 66.294 119.634) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7c51d60a-36ea-4757-88a4-289394f368d1)) + (segment (start 127.2 107.05) (end 127.45 107.3) (width 0.6) (layer "F.Cu") (net 70) (tstamp 7dd77d6b-eb7d-49cb-ba1b-7af91c1498e3)) + (segment (start 67.65 95.95) (end 66.9 95.95) (width 0.15) (layer "F.Cu") (net 70) (tstamp 7e96f679-beb7-45ca-aa97-3244e524c9c1)) + (segment (start 90.05 108) (end 89.95 107.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp 826d98b9-1e9e-4008-9333-03a285bc1072)) + (segment (start 78.1 119.05) (end 78.1 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 83275b38-4b60-4add-9cc4-978e6b68d961)) + (segment (start 59.563 96.458) (end 59.563 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 84cd6e77-f75b-4de8-a8b3-854a8a34cfad)) + (segment (start 101.7125 107.4) (end 102.8 107.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp 84f841fd-8def-40c6-99ea-c71da794a746)) + (segment (start 64.516 101.4095) (end 64.7065 101.219) (width 0.5) (layer "F.Cu") (net 70) (tstamp 85ed99f1-a771-4f28-bc3e-2514bd8e917e)) + (segment (start 89.95 110.25) (end 89.75 110.25) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8609fb6a-7ea1-4fb2-80d2-921e4354f1c8)) + (segment (start 132.75 125.05) (end 132.75 126.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 86fc7d63-d2be-4f81-a6fb-8edd1de035db)) + (segment (start 124.4 103.05) (end 123.25 103.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 870c570e-723c-4180-a703-a399b11e0fd6)) + (segment (start 105.7 119.05) (end 105.075 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 870cb006-1878-4d0c-95e1-477f9f4b9b20)) + (segment (start 85.3 97.4) (end 85.2 97.5) (width 0.3) (layer "F.Cu") (net 70) (tstamp 882e3e89-099b-4843-9018-4e33d8cfc755)) + (segment (start 63.4365 122.0595) (end 63.4365 122.809) (width 0.15) (layer "F.Cu") (net 70) (tstamp 89a1e3ca-cc81-4f67-888b-950e680c17a9)) + (segment (start 87.3 119.05) (end 87.3 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 89e64923-7481-4007-8b41-67334864aa3f)) + (segment (start 119.65 122.2) (end 120.25 122.2) (width 0.4) (layer "F.Cu") (net 70) (tstamp 8b334a5b-f873-4635-af5b-05a6e8f8007a)) + (segment (start 94.25 119.05) (end 94.15 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp 8ba1f675-cfbe-459e-8a93-09f9fa123f71)) + (segment (start 85.2 97.7) (end 85 97.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8d66ac0f-fbcf-4539-8a74-5bccc7fa2e5d)) + (segment (start 98.55 91.65) (end 98.55 90.95) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8d9332fa-9a26-4490-9e29-294ad3bffe31)) + (segment (start 103.8 106.9) (end 104.5 106.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8e6c2f0e-3196-41af-9763-0544ba856d4c)) + (segment (start 134 124.9) (end 133.85 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 91d6d91f-6c70-49f9-9e31-0571b055bc5b)) + (segment (start 96.5 119.05) (end 95.875 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 922776f1-e9aa-4eb4-b590-045899169e9d)) + (segment (start 63.8175 101.4095) (end 63.8175 102.235) (width 0.5) (layer "F.Cu") (net 70) (tstamp 926e50dc-a062-47b4-b8f0-a6796220e107)) + (segment (start 81.065 104.4) (end 81.026 104.361) (width 0.5) (layer "F.Cu") (net 70) (tstamp 93c78d0f-78b7-4e74-986f-b494a2f54309)) + (segment (start 115 122.2) (end 115.55 122.2) (width 0.4) (layer "F.Cu") (net 70) (tstamp 942b2a5d-6b34-45af-8794-4b9496627a21)) + (segment (start 131.35 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp 95ad384f-8339-4658-aebb-b29f09f2dace)) + (segment (start 114.4 90.75) (end 115.25 90.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp 9824b448-5f96-4882-ad72-9c2fefe8437e)) + (segment (start 132.75 125.05) (end 131.75 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 983acc8e-9052-4537-9901-6a01cb050482)) + (segment (start 96.5 119.05) (end 96.5 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp 98f5ed58-8429-4f30-b1e3-b5feab13c624)) + (segment (start 140.1 120.4) (end 141.1 120.4) (width 0.8) (layer "F.Cu") (net 70) (tstamp 9a4337b0-2a2d-409b-8150-2fb48726a3dc)) + (segment (start 63.4365 123.5585) (end 63.4365 122.809) (width 0.15) (layer "F.Cu") (net 70) (tstamp 9b677054-0503-4c18-a155-e503fd91e692)) + (segment (start 55.753 101.408) (end 55.753 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp 9b6e29c8-b3a6-401a-9cea-9acd129a1e58)) + (segment (start 78.1 119.05) (end 77.475 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 9c0e2fa8-a865-417c-a922-498479c98b0a)) + (segment (start 104.175 119.05) (end 103.45 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 9d659317-8d9a-4b79-9ad2-a6fb4c2bb9ea)) + (segment (start 106 101.65) (end 104.9 101.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp 9e131668-1682-4bbb-a603-145355ad9e36)) + (segment (start 120.4 122.35) (end 120.25 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp a09aa31a-bc1b-4760-bc93-aecd04af86db)) + (segment (start 104.9 103.55) (end 105.1 103.35) (width 0.15) (layer "F.Cu") (net 70) (tstamp a0be9c5c-d0a8-437e-a7fc-2a1b86630b5e)) + (segment (start 98.55 90.95) (end 98.4 90.8) (width 0.5) (layer "F.Cu") (net 70) (tstamp a1b6e0e0-8af1-4966-9e47-1438d65752fe)) + (segment (start 129.35 125.05) (end 129.15 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp a35f703e-b5ab-44bf-84b2-e6152baeb4c2)) + (segment (start 137.3 120.4) (end 137.3 121.15) (width 0.6) (layer "F.Cu") (net 70) (tstamp a4606f29-4c38-4da5-aced-9f5b90d3f7e6)) + (segment (start 124.4 92.65) (end 125.55 92.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp a7e521df-e5fe-4ed8-82db-ed5ebc75f971)) + (segment (start 85.05 119.05) (end 84.95 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp a83eade6-20c0-4104-8e1d-7dba9825e4d6)) + (segment (start 99.55 111.85) (end 99.4 112) (width 0.5) (layer "F.Cu") (net 70) (tstamp a8b1b170-99c1-4af9-9f4a-e1e86bb5621e)) + (segment (start 114.4 115.35) (end 114.4 116.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp a98d91e5-95fe-4988-97d0-758299a5a1fb)) + (segment (start 130.35 125.05) (end 129.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp a9e8aa4c-112d-4b84-aa05-6f2501e010a6)) + (segment (start 126.45 103.05) (end 126.45 102.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp a9ec8a4c-ee9f-4e66-892b-af7e0f95a59a)) + (segment (start 87.45 103.9) (end 87.55 104) (width 0.3) (layer "F.Cu") (net 70) (tstamp ab397fba-2393-4236-aa63-370a10acee6d)) + (segment (start 82.8 105.05) (end 82.65 105.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp ac766225-a03d-4a30-b81c-07aa69f4dcd2)) + (segment (start 104.05 101.65) (end 104.9 101.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp ad36f189-9983-4817-b2fd-301d2fa2f584)) + (segment (start 115 122.9) (end 114.8 123.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp ae674ea3-c45a-4885-a068-c96de4f68bee)) + (segment (start 58.9915 94.234) (end 59.182 94.0435) (width 0.5) (layer "F.Cu") (net 70) (tstamp af4eda89-d964-4610-90d8-410057a3d915)) + (segment (start 96.5 124.95) (end 96.5 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp afdc5861-faab-477c-9d5a-002277ec5616)) + (segment (start 76.6 119.05) (end 76.6 118.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp b06bf04f-9a7c-4f42-86c8-c03d70fd6ba4)) + (segment (start 87.3 119.05) (end 86.675 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp b169fcba-2796-49a3-8f46-09f2bfd48529)) + (segment (start 58.293 96.458) (end 58.293 97.8535) (width 0.5) (layer "F.Cu") (net 70) (tstamp b2ba6efd-9689-450a-9f80-7ae7686fc664)) + (segment (start 106 103.35) (end 105.1 103.35) (width 0.15) (layer "F.Cu") (net 70) (tstamp b3f0e7dd-a866-4b0c-b7fd-ad62bb3e05c9)) + (segment (start 86.3875 97.4) (end 85.3 97.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp b5557897-1360-46cc-9599-14f1096931e0)) + (segment (start 103.1 96.9) (end 102.85 97.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp b6f2f899-fe7e-428d-8508-a91fac02f9ad)) + (segment (start 67.65 98.95) (end 66.9 98.95) (width 0.15) (layer "F.Cu") (net 70) (tstamp b7323b21-4faa-4b89-8185-89cf3010d37e)) + (segment (start 105.7 124.95) (end 105.7 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp b7568531-2268-435c-8aed-3d2e4c0e1b07)) + (segment (start 124.4 107.05) (end 125.55 107.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp b8a34b66-3f52-4c54-a6f0-79231bdf1d36)) + (segment (start 124.4 90.75) (end 125.25 90.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp b8f8454a-1c61-49ec-9a56-5721872da8a6)) + (segment (start 89.05 92.65) (end 88.95 92.55) (width 0.3) (layer "F.Cu") (net 70) (tstamp bbe5faa1-3058-493a-b67a-8ba6a3228a95)) + (segment (start 62.103 101.408) (end 62.103 100.0125) (width 0.5) (layer "F.Cu") (net 70) (tstamp bd16c125-00eb-4d13-aaf0-2dd49c6041aa)) + (segment (start 85.3 103.9) (end 85.2 104) (width 0.3) (layer "F.Cu") (net 70) (tstamp bf074629-7398-4205-81f4-548ec0dae377)) + (segment (start 102.8 107.4) (end 102.9 107.3) (width 0.3) (layer "F.Cu") (net 70) (tstamp bf641a61-7ce2-463b-b33c-fd4b99890a77)) + (segment (start 86.3875 103.9) (end 85.3 103.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp c001542a-512e-4b02-8e29-cd3e76cc965c)) + (segment (start 135.2 125.15) (end 134.25 125.15) (width 0.8) (layer "F.Cu") (net 70) (tstamp c094336e-f1a8-4702-8261-82b3da13d076)) + (segment (start 104.9 103.7) (end 104.9 103.55) (width 0.15) (layer "F.Cu") (net 70) (tstamp c3496312-b447-4bcf-a7c8-8c75e20564d7)) + (segment (start 87.45 97.4) (end 87.55 97.5) (width 0.3) (layer "F.Cu") (net 70) (tstamp c3a386e5-a368-4bad-bfa5-784841fe3cca)) + (segment (start 99.35 110.25) (end 99.55 110.45) (width 0.5) (layer "F.Cu") (net 70) (tstamp c6004471-2520-4f32-b269-1cd2eb3e7190)) + (segment (start 124.4 111.85) (end 125.55 111.85) (width 0.45) (layer "F.Cu") (net 70) (tstamp c6de29d0-731c-4a8d-be99-4e57ed233967)) + (segment (start 126.45 103.05) (end 125.55 103.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp c800ef37-230e-4333-9f89-e1200adbc3de)) + (segment (start 84.3 97.9) (end 83.6 97.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp ca401aa4-eade-43aa-9a95-18a2d9ab707b)) + (segment (start 124.4 116.1) (end 124.25 116.25) (width 0.6) (layer "F.Cu") (net 70) (tstamp cac1cd3a-e5dd-469f-ac4b-44e309a39340)) + (segment (start 104.2 119.05) (end 104.2 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp cbf9c524-0138-4842-a3b9-7729e54e626c)) + (segment (start 125.55 111.85) (end 126.45 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp cd40e134-da1d-4f96-9048-c650391a9011)) + (segment (start 112.9 109.45) (end 111.75 109.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp ce7b0758-1873-4176-9591-6056f081c86c)) + (segment (start 87.3 120.15) (end 87.3 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp cec3559c-ac52-4539-9152-6a06d6996bf2)) + (segment (start 116.5 122.35) (end 115.7 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp cfeee019-92c8-4ce0-98fa-7b70839234bb)) + (segment (start 86.3875 97.4) (end 87.45 97.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp d110ddb2-c1af-48d2-ab16-f86cb4d8acbe)) + (segment (start 102.9 107.1) (end 103.1 106.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp d11d113a-eda6-4a99-b9de-2d9d07725eb3)) + (segment (start 110.85 109.45) (end 111.75 109.45) (width 0.508) (layer "F.Cu") (net 70) (tstamp d37cb73b-d4a8-42ec-ac3a-136c280c0ade)) + (segment (start 124.4 103.05) (end 125.55 103.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp d482c2e3-010e-4657-a951-b3aa2259bd9e)) + (segment (start 89.05 94.8) (end 88.95 94.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp d7a794f2-3794-428f-a085-2c990d774974)) + (segment (start 94.975 119.05) (end 95.875 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp d7e5c9b6-2682-4b48-843a-08e5632b9e10)) + (segment (start 126.45 107.05) (end 125.55 107.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp da175b44-43e8-4630-9cf9-56c8c925437f)) + (segment (start 126.45 107.05) (end 127.2 107.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp da67d2f1-bc4a-485c-85dc-74dce25dee60)) + (segment (start 134.25 125.15) (end 134 124.9) (width 0.8) (layer "F.Cu") (net 70) (tstamp da8f1fe4-9916-4def-a554-54e434a80ca2)) + (segment (start 124.4 92.65) (end 123.25 92.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp dac598d2-8c24-4c90-9ee0-e00c59526eaf)) + (segment (start 90.05 110.15) (end 89.95 110.25) (width 0.3) (layer "F.Cu") (net 70) (tstamp db8b7855-7838-4454-ab58-87bdf525feb0)) + (segment (start 99.55 111.15) (end 99.55 111.85) (width 0.5) (layer "F.Cu") (net 70) (tstamp dc5c326b-441e-4687-80bf-f932d9afffc2)) + (segment (start 82.8 104.4) (end 82.8 105.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp dd5156b3-9444-453b-8f18-fc5424207e8f)) + (segment (start 137.3 118.5) (end 137.3 117.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp dd9b7b37-73b0-407d-b08c-7194d5299b16)) + (segment (start 98.05 94.8) (end 98.15 94.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp deb45652-f59e-4fa1-8ed7-7c2e98fde920)) + (segment (start 78.1 119.05) (end 78.1 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp dfa0307a-733f-408e-8f14-bafbb27ec9b5)) + (segment (start 102.85 97.4) (end 102.85 97.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp e402b262-6773-4298-bec3-fa85dfe4fa00)) + (segment (start 103.8 96.9) (end 103.1 96.9) (width 0.5) (layer "F.Cu") (net 70) (tstamp ea461bd3-6d00-47e7-a4bc-767fd03280f7)) + (segment (start 116.5 122.35) (end 116.5 123.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp ec4b722e-d5fb-4955-86b8-9a4d8146333b)) + (segment (start 124.4 90.75) (end 124.4 91.95) (width 0.6) (layer "F.Cu") (net 70) (tstamp ed3ac577-e922-48ba-9bc4-ebc5fc7230b4)) + (segment (start 62.103 101.408) (end 62.103 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp edf87293-1eae-4a0c-8064-26e5551e05d7)) + (segment (start 98.05 93.7375) (end 98.05 92.65) (width 0.3) (layer "F.Cu") (net 70) (tstamp ee07cd51-13af-4835-871b-d19e2ea7717f)) + (segment (start 112.9 104.65) (end 111.75 104.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp ee0acd1c-c719-47ed-80fa-452f22d678a4)) + (segment (start 88.55 90.95) (end 88.7 90.8) (width 0.5) (layer "F.Cu") (net 70) (tstamp efdaeffe-3a6d-4f5d-8d6c-4276af4fcffd)) + (segment (start 130.35 125.05) (end 130.35 126.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp f0313593-8565-4fcb-aa33-8c0b3bbdd8b4)) + (segment (start 126.45 92.65) (end 127.2 92.65) (width 0.8) (layer "F.Cu") (net 70) (tstamp f06b0f06-5a5d-4a2b-8fc5-2c8e5c9ce8aa)) + (segment (start 127.2 103.05) (end 126.45 103.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp f1176fac-4d87-4ea5-8247-4ae47a064dd3)) + (segment (start 75.85 119.05) (end 75.7 119.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp f456d0e1-2a98-4c7b-bc82-eec0e61d050e)) + (segment (start 104.5 96.9) (end 104.65 97.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp f78dc336-f380-479e-94e1-dcbe3a3ee17f)) + (segment (start 119.65 122.2) (end 119.65 123.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp f895ac4a-3b23-496d-b2e8-01eb0886c5a1)) + (segment (start 114.4 91.5) (end 114.25 91.65) (width 0.6) (layer "F.Cu") (net 70) (tstamp f977ce64-2b43-44aa-8d25-05938b066010)) + (segment (start 76.6 119.05) (end 75.85 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp f999c216-bf22-4e45-bfe1-05ee2777f186)) + (segment (start 83.6 97.9) (end 83.45 97.75) (width 0.5) (layer "F.Cu") (net 70) (tstamp fa3f5adf-b23e-4347-8519-a5668ae4e97f)) + (segment (start 114.4 90.75) (end 114.4 91.5) (width 0.6) (layer "F.Cu") (net 70) (tstamp fb1d337f-2247-4d70-af6e-7b22bd2fc32e)) + (segment (start 86 111.15) (end 86 112.05) (width 0.15) (layer "F.Cu") (net 70) (tstamp fbaab89f-455e-4e77-af34-0887f7fc85f7)) + (segment (start 127.85 118.6) (end 126.6 118.6) (width 0.8) (layer "F.Cu") (net 70) (tstamp fc4ef5ad-b8bb-4501-88b2-07d9b47501db)) + (segment (start 117.2 122.35) (end 117.35 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp ff08cd3b-1d6e-4351-a1b6-50db74914354)) + (via (at 123.25 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 01e99336-9da4-4427-b0fe-cfdc8d316f60)) + (via (at 55.753 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0206cf06-ef76-4b3e-871e-3d830b7f0a2c)) + (via (at 105.7 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 04165c5f-4d0f-4fff-b2fd-2984d1bda615)) + (via (at 66.9 95.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 060626dd-a546-4948-999b-5722b7d030e6)) + (via (at 87.3 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 073af350-75d8-4022-b30f-61b3e103ae09)) + (via (at 87.55 97.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0788e3db-cadc-4b1d-abcd-c346ea0697b1)) + (via (at 100.55 107.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 09b8b9d5-a26e-43f0-b110-d80f9d852d7f)) + (via (at 102.85 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 09ebb211-9bd7-4074-a2fc-c2835978de93)) + (via (at 125.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0a2520b3-dd58-436e-934e-7322a678df62)) + (via (at 127.85 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0c1c7af2-16d8-407f-bf57-76f8a29be472)) + (via (at 122.05 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0eead382-2424-4df0-a1d1-e1fd1f070aa8)) + (via (at 87.55 104) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0f7810a8-b76b-4061-9f09-d1e1c6141254)) + (via (at 100.55 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 12985057-b45e-4d7c-a956-d14e97a2f54d)) + (via (at 126.45 111) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 14b862ab-def2-4a38-aef5-dc6e69703c47)) + (via (at 89.7 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 14cff272-af53-4d6f-a82e-cb15f68dd669)) + (via (at 88.95 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1818765a-5238-4d80-ae7c-304e308cfa7a)) + (via (at 138.4 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 188eac00-5206-4b19-981b-7d94fd976f75)) + (via (at 114.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 191e26ac-6397-40ca-8f72-12fe75c52bd6)) + (via (at 125.55 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1afaf8db-3a47-4219-b717-ed9fd81edcdf)) + (via (at 115.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1b67d0c4-b291-4fcb-a44a-9088192a7188)) + (via (at 111.75 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1c0d4fc7-da80-4254-b71a-2a1ddf1d1acc)) + (via (at 62.103 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1cebc1ac-ef01-4dee-8525-6553ecdb2282)) + (via (at 81.026 105.6005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1ea3e080-ff6c-4ce0-b636-84b2fdd65532)) + (via (at 126.45 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2006955a-0260-4e89-acdb-febb22483dbf)) + (via (at 124.4 91.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2010a47b-ea51-4788-92a7-76b9b722eddb)) + (via (at 102.9 107.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 23685563-d8ae-4889-9403-6d6b7e678378)) + (via (at 104.9 103.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2544075b-ea46-47c8-94b7-3a50e2f2107f)) + (via (at 59.563 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 26b881a1-6adf-4ce5-b4b6-f3691b231c33)) + (via (at 114.25 91.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 29a672af-60e1-4faf-9dc7-723541b4b630)) + (via (at 131.55 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2b34a9e8-7f8b-4f0b-bd49-6d94ec809b0e)) + (via (at 124.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2b3cf195-9fd2-4db8-8321-977d88ab7e3e)) + (via (at 114.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2d737cd1-ca5b-4058-8fe6-2431344b950a)) + (via (at 105.075 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2e536f46-042c-4b1d-bed2-6f962a3f868a)) + (via (at 120.25 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2eacc2f6-ab8b-4949-8858-667496f86dce)) + (via (at 87.3 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 30d4d9dc-1505-43ba-9290-25b0f760e371)) + (via (at 86.675 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 31ac0b6c-04c2-4bf3-97a2-f334ec0836d8)) + (via (at 95.875 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 34f61e0a-920b-492c-851a-d69ed2235238)) + (via (at 81.95 104.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 38f8c066-0a6e-48da-8339-82f3164c8d01)) + (via (at 130.35 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 41e76cf6-2b5b-4ef3-aa20-f64519f7cefd)) + (via (at 119.65 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 41ebb8b1-4031-4064-9610-c4ed3f38862e)) + (via (at 129.15 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 49328385-8dc7-4a2d-922f-4159e30ba8e4)) + (via (at 86 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 49c82cb2-70f2-4cd3-acb2-70b357833b62)) + (via (at 95 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 4b50a0da-7a67-44d5-a259-cd330898c5bb)) + (via (at 63.4365 122.809) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 4feb73af-594c-4354-a3f7-8d8306bf8576)) + (via (at 66.9 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 5066eb9b-5757-483d-ac0c-2f6910c66607)) + (via (at 98.4 90.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 51cfe8be-643d-460b-ad36-52fe8e631d5a)) + (via (at 111.75 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 525578b7-2374-4be4-8e43-86796c57dc29)) + (via (at 99.15 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 561cf600-a48e-480f-a4e5-0833fd8842e7)) + (via (at 85.2 104) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 566ef37c-1718-4787-8834-c6feb7fb05d5)) + (via (at 103.35 119.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 56e59c5c-d873-4109-ac87-4314e417bd34)) + (via (at 84.95 119.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 596663f3-0e8a-4a22-8fcf-d39876aa4743)) + (via (at 124.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 5cbd45d4-b28d-4965-bcbd-8c7b9ca949b3)) + (via (at 75.7 119.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 67f1c888-ce97-4520-a15e-67619b0084e1)) + (via (at 104.9 101.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 6d47211f-f34c-48f2-b2c2-4ef1cbe945a4)) + (via (at 105.7 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 6e4d657b-160d-4979-bd4f-f364863a808b)) + (via (at 96.5 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7074c04b-0a37-41f5-bcaf-d15b8331f36f)) + (via (at 104.2 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7229422f-70e4-441b-a22b-a3f45fa4c2df)) + (via (at 104.65 97.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7261a5df-0cd1-4a35-b394-a94fd9e2376f)) + (via (at 59.563 97.8535) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 72ce78a5-f337-4630-83b1-3f6c4c839027)) + (via (at 62.103 100.0125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 765f942e-d7ae-41b0-b8c3-85e46868918d)) + (via (at 104.65 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 784a7c9d-a88a-4a3b-b53c-1594b29b94ec)) + (via (at 137.3 117.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7baab048-4eb5-4fa2-914a-f448f70563c5)) + (via (at 62.8015 101.4095) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7bb7815c-a411-4db2-92c2-a7c9ef334e79)) + (via (at 126.45 102.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7e7f2d67-7d52-413b-81a0-d4c53a55efbb)) + (via (at 127.45 92.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7eb1539e-4993-41a0-91c7-25b82178b10e)) + (via (at 78.1 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 876d839e-ad9a-4ccd-9607-68619d4644eb)) + (via (at 63.8175 94.869) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8b853511-ffa2-49c3-8e23-dcddd23ccad8)) + (via (at 135.2 126.05) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8bdac310-95cb-451d-bb03-d3ac6ad9e299)) + (via (at 83.45 97.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8da3748b-fa77-40b0-96c0-bf0975722b0d)) + (via (at 96.5 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8f572090-d9ec-4195-821d-306d2c9e276e)) + (via (at 141.35 120.15) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 917516b1-9262-44fa-a789-aee08351c3e9)) + (via (at 59.182 94.0435) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 94480a48-1d49-4910-b51b-5155bcf0123c)) + (via (at 121.2 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 94763772-4e85-4220-83b3-5a926b150db5)) + (via (at 87.3 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 96bd6251-7da6-4fb8-8ec1-ad543159bc3a)) + (via (at 114.05 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 99a13f7c-57c9-4743-9c28-c62710345450)) + (via (at 126.45 106.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9abf2843-ea30-457a-8c5a-7cf395257513)) + (via (at 123.25 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9c15a329-ba63-485e-aab9-3ac4fc1d24fc)) + (via (at 124.4 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9e58679b-63a4-42c7-99ba-855131e36d9f)) + (via (at 110.85 105.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9f7f1abf-b6f0-4030-8f85-4d9da3babb0d)) + (via (at 125.55 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a11365e7-916b-45d6-8f2e-60d431fab7ee)) + (via (at 66.9 98.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a3506f81-8476-43d3-af80-dfaa5f3c0582)) + (via (at 127.45 107.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a4cf755c-4536-4377-b76d-3cb40c6bcbfc)) + (via (at 125.55 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a5e446c4-c46b-48b1-b255-ab2e85b23b96)) + (via (at 115.55 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp aa215153-208f-4f17-bc2f-baafbaba6de9)) + (via (at 64.7065 101.219) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp aa8e9b8d-9483-4294-b486-2b965a2bdcb0)) + (via (at 114.25 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp abd346b8-339f-40c7-b7a6-e3d46c75c24c)) + (via (at 84.25 105.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp af477251-c23d-4b42-a03c-680f1643ff25)) + (via (at 54.483 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b32d1eec-b98d-4027-a2cc-b88241fe5804)) + (via (at 98.15 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b483b4b7-b45c-4c67-bfb2-533d25131084)) + (via (at 82.65 105.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b519971f-74ba-4598-be27-c727815433b3)) + (via (at 99.4 112) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b59cef19-717d-4065-84ef-a133a6e5ffe6)) + (via (at 114.8 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b6bfa3ce-677d-456b-8439-045f3eed085b)) + (via (at 76.6 118.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b6c05514-bbff-460d-9d65-cd029ff9752c)) + (via (at 127.45 103.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b79d67e3-e6e8-4b93-a354-7e3f8219d787)) + (via (at 114.05 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b8345ab5-e21f-4378-85c4-ca67a192ad5c)) + (via (at 78.1 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp bbc53a7f-5533-4d11-bc61-b60342e78f5b)) + (via (at 85.8 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp bd6ee6d3-af1c-4645-a9cf-78fbbec1add1)) + (via (at 115.25 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c347588c-461e-4e44-849a-414f1f815d71)) + (via (at 127.35 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c625bf6e-4eaf-46cd-966f-9c0e6a3d3f22)) + (via (at 89.95 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c88b179f-0f43-423e-89b4-ae321baa4bdf)) + (via (at 123.25 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c91831ff-f19c-4752-8dfd-2b385ca41ac6)) + (via (at 123.25 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c9814d18-84ec-473d-a28c-e1f14caaf113)) + (via (at 99.15 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ca8c755c-1d9f-467c-917a-c7653d121771)) + (via (at 109.95 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp cb34de05-f6ef-4490-a055-93bbb133b7e3)) + (via (at 103.2 101.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp cd617290-59f4-4dba-ae91-1f972ad3a85b)) + (via (at 98.15 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ce2651b2-87ab-4fd8-a6d0-04f4fd93843a)) + (via (at 125.55 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ce96dfd4-4ad2-4069-ac1e-8aa6315e553d)) + (via (at 88.95 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d150952e-6cf4-4b8b-87da-d945fc71c5fa)) + (via (at 66.294 119.634) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d3cd2ed0-ab56-473b-8dbe-d0ffabb5ceb7)) + (via (at 89.95 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d3f54f68-7696-4cfc-bf96-dfa7b5af1e92)) + (via (at 116.5 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d45de0c2-61b3-4404-8dfa-53f6f726fca4)) + (via (at 132.75 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp d527b9a8-1503-4555-971d-03108f0a69b8)) + (via (at 110.85 110.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d59691eb-d123-4b99-b69e-1ea74e6d3dc4)) + (via (at 134 124.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp dad44c3e-4735-4592-9444-b7e7e8f95e09)) + (via (at 88.7 90.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp dfda29c5-c11c-4823-8de3-575d35a2209b)) + (via (at 96.5 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e09412a6-f0f5-4285-9b8d-160778526b6a)) + (via (at 117.35 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e177ca17-38c1-4f80-80b6-816d61ae2918)) + (via (at 85.2 97.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e20581ed-c38c-4691-a415-65c74f82f9f9)) + (via (at 77.475 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e298e2cd-8fb1-41c2-b847-c965d7cd30bc)) + (via (at 126.6 118.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp e38dcaa2-2a3d-44ff-8a8d-4791d9ef120b)) + (via (at 123.25 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e834b731-5730-49e9-831f-52ff046fadf7)) + (via (at 58.293 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ea6cd9bc-1750-4938-a6ab-84cb8129bb25)) + (via (at 94.15 119.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ecd67605-6cdb-40cc-8b26-2d215de4258d)) + (via (at 68.6435 125.984) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp effc5b72-eeff-4dbf-b686-c11fd3488877)) + (via (at 58.293 97.8535) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f1f8b7dc-b1b8-4342-a2ad-8fce9a23614a)) + (via (at 125.25 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f216b984-d3f5-4c15-a610-6dcdcffa7afa)) + (via (at 137.3 121.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f4fafd9e-fc54-4057-a695-d47ca08fd027)) + (via (at 63.8175 102.235) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f5fda9ec-1d2c-4825-88d0-3e8b24f4200f)) + (via (at 105.7 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f797dae1-dd6e-487a-9082-c4a57a1b8771)) + (segment (start 69.0245 124.2695) (end 68.3895 123.6345) (width 0.45) (layer "B.Cu") (net 70) (tstamp 06dd0c2c-202b-495d-b681-7009549afb68)) + (segment (start 68.6435 125.984) (end 69.0245 125.603) (width 0.45) (layer "B.Cu") (net 70) (tstamp 58f2fb03-9781-4d64-b754-044309363da1)) + (segment (start 69.0245 125.603) (end 69.0245 124.2695) (width 0.45) (layer "B.Cu") (net 70) (tstamp 930df3f1-19da-47fd-b4b3-afec70e130b1)) + (segment (start 68.3895 123.6345) (end 68.3895 123.444) (width 0.45) (layer "B.Cu") (net 70) (tstamp 982619a8-2af9-4159-8b5a-654101ddcef8)) + (segment (start 103.3 115.9) (end 107.35 115.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp 371d8bd1-7f2f-4827-bcc3-62c859e178aa)) + (segment (start 97.05 112.5) (end 97.75 113.2) (width 0.15) (layer "F.Cu") (net 72) (tstamp 6236b1a5-a7d0-4368-a446-4b9f22bb588c)) + (segment (start 109.6 118.15) (end 109.6 119.05) (width 0.15) (layer "F.Cu") (net 72) (tstamp 898f242e-2eaf-4a38-ac38-4e577a089abc)) + (segment (start 97.75 113.2) (end 100.6 113.2) (width 0.15) (layer "F.Cu") (net 72) (tstamp ca7b311e-99ce-471d-8190-4eb2ebc72853)) + (segment (start 97.55 109.0625) (end 97.55 108) (width 0.15) (layer "F.Cu") (net 72) (tstamp dd94edc2-6aec-4ddd-aff6-97c91f278169)) + (segment (start 97.55 108) (end 97.35 107.8) (width 0.15) (layer "F.Cu") (net 72) (tstamp e5786afb-9e27-4dbe-af50-768796993dc4)) + (segment (start 100.6 113.2) (end 103.3 115.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp f981835e-3b0c-4a90-a9c3-e40ba61cbcdf)) + (segment (start 107.35 115.9) (end 109.6 118.15) (width 0.15) (layer "F.Cu") (net 72) (tstamp fc2f7394-7986-4851-b74c-af39ffd02f63)) + (via (at 97.35 107.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp 1e58eeed-bc17-433e-9425-6d3bd20cb8bc)) + (via (at 97.05 112.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp 1f67cc0b-583c-456b-afa5-13f8638aca82)) + (segment (start 97.05 108.1) (end 97.05 112.5) (width 0.15) (layer "B.Cu") (net 72) (tstamp e16b9b13-bb0c-46e8-b23d-2aeb157dba94)) + (segment (start 97.35 107.8) (end 97.05 108.1) (width 0.15) (layer "B.Cu") (net 72) (tstamp fa778710-0aec-4d45-aba8-4d4f00f9e741)) + (segment (start 108.95 118.15) (end 108.95 119.05) (width 0.15) (layer "F.Cu") (net 73) (tstamp 9a867e44-2279-464c-9ed7-cdcc916270e1)) + (segment (start 96.55 112.7) (end 97.35 113.5) (width 0.15) (layer "F.Cu") (net 73) (tstamp 9bb89af4-c279-46af-94f4-07cf5c7f454d)) + (segment (start 107 116.2) (end 108.95 118.15) (width 0.15) (layer "F.Cu") (net 73) (tstamp cb8d947c-ae88-4aae-a2b0-d0f6b7c41711)) + (segment (start 103.15 116.2) (end 107 116.2) (width 0.15) (layer "F.Cu") (net 73) (tstamp cfb39c5a-c458-4d35-8be9-125869613cc9)) + (segment (start 96.55 109.0625) (end 96.55 112.7) (width 0.15) (layer "F.Cu") (net 73) (tstamp de8ce493-e729-4d90-9cb6-96df65037600)) + (segment (start 97.35 113.5) (end 100.45 113.5) (width 0.15) (layer "F.Cu") (net 73) (tstamp e18e7de6-a266-44ca-935c-1988af25552e)) + (segment (start 100.45 113.5) (end 103.15 116.2) (width 0.15) (layer "F.Cu") (net 73) (tstamp ffe94616-83a2-4a8c-92f0-aac73d6c1513)) + (segment (start 95.55 109.0625) (end 95.55 109.95) (width 0.15) (layer "F.Cu") (net 74) (tstamp 16423acb-0a26-4cb3-a727-5bfe312ad2a2)) + (segment (start 100.15 114.1) (end 102.85 116.8) (width 0.15) (layer "F.Cu") (net 74) (tstamp 1a25ceea-da9d-4f43-a796-cc075db22160)) + (segment (start 97.05 114.1) (end 100.15 114.1) (width 0.15) (layer "F.Cu") (net 74) (tstamp 639e838b-7396-419b-bc26-e8f8a85c61b6)) + (segment (start 106.4 116.8) (end 107.65 118.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp 8b1d0955-aceb-4946-8e38-267ca940a59d)) + (segment (start 102.85 116.8) (end 106.4 116.8) (width 0.15) (layer "F.Cu") (net 74) (tstamp 9a7c97b3-9468-436b-8e2d-1755b66e30b7)) + (segment (start 107.65 118.05) (end 107.65 119.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp c344e3fe-a420-45c4-bebe-7eddc718fc5f)) + (segment (start 95.55 109.95) (end 95.95 110.35) (width 0.15) (layer "F.Cu") (net 74) (tstamp c8dd0cdf-176a-4169-a0fa-7e7fa2554111)) + (segment (start 95.95 110.35) (end 95.95 113) (width 0.15) (layer "F.Cu") (net 74) (tstamp d1afb364-c1b3-402a-af00-5a4368023d7e)) + (segment (start 95.95 113) (end 97.05 114.1) (width 0.15) (layer "F.Cu") (net 74) (tstamp ee298d96-d9e0-466a-aaae-6b4ef5b85ea5)) + (segment (start 100 114.4) (end 102.7 117.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp 0eaa333e-03ed-4817-bebb-863477c037b1)) + (segment (start 106.25 117.1) (end 107 117.85) (width 0.15) (layer "F.Cu") (net 75) (tstamp 2b3eabfc-f611-462c-87db-d80abbf276b5)) + (segment (start 102.7 117.1) (end 106.25 117.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp 3a4a2f3e-c223-4ab5-b94d-de8be2255759)) + (segment (start 95.05 109.95) (end 95.65 110.55) (width 0.15) (layer "F.Cu") (net 75) (tstamp 524e322b-4493-4d02-8772-7467abab57d7)) + (segment (start 95.05 109.0625) (end 95.05 109.95) (width 0.15) (layer "F.Cu") (net 75) (tstamp 97ede8b5-33ab-41e1-a55a-dbcebf80b7e8)) + (segment (start 96.9 114.4) (end 100 114.4) (width 0.15) (layer "F.Cu") (net 75) (tstamp ab41f78d-2773-46c2-835d-b10e1868b2c3)) + (segment (start 95.65 113.15) (end 96.9 114.4) (width 0.15) (layer "F.Cu") (net 75) (tstamp de3a1f44-cdbd-4b1f-b634-7d9571cb7580)) + (segment (start 95.65 110.55) (end 95.65 113.15) (width 0.15) (layer "F.Cu") (net 75) (tstamp e522018a-bab4-4c6c-bbb3-c1ae7a3bff4c)) + (segment (start 107 117.85) (end 107 119.05) (width 0.15) (layer "F.Cu") (net 75) (tstamp f2d5b49e-ea5d-4a21-b680-47395347bdb8)) + (segment (start 99.75 119.05) (end 99.75 118.15) (width 0.15) (layer "F.Cu") (net 76) (tstamp 1b182748-ca9c-4e02-8071-6b02dd0468d1)) + (segment (start 99.75 118.15) (end 97.5 115.9) (width 0.15) (layer "F.Cu") (net 76) (tstamp 1c55571f-3e78-463c-bc87-af2fb83d2ffb)) + (segment (start 94.15 112.6) (end 91.55 110) (width 0.15) (layer "F.Cu") (net 76) (tstamp 2ead3b34-c889-4f1b-9d26-75c619008b27)) + (segment (start 91.55 110) (end 91.55 109.0625) (width 0.15) (layer "F.Cu") (net 76) (tstamp 2f956ccc-d8c9-4f3f-8a02-bc1ec0fd1b13)) + (segment (start 94.15 113.9) (end 94.15 112.6) (width 0.15) (layer "F.Cu") (net 76) (tstamp 3255dd46-8b33-4f87-855d-eb8705450d9a)) + (segment (start 96.15 115.9) (end 94.15 113.9) (width 0.15) (layer "F.Cu") (net 76) (tstamp 839c66f5-40e2-4d28-82db-050ec5881d1c)) + (segment (start 97.5 115.9) (end 96.15 115.9) (width 0.15) (layer "F.Cu") (net 76) (tstamp 86b461b2-be22-4ea8-ba05-8ecdf1a5343d)) + (segment (start 92.05 110.05) (end 94.45 112.45) (width 0.15) (layer "F.Cu") (net 77) (tstamp 07d7caf0-a136-4a19-85be-b754a8b447a8)) + (segment (start 96.3 115.6) (end 97.85 115.6) (width 0.15) (layer "F.Cu") (net 77) (tstamp 33090d88-bc14-461e-869f-39938bd85838)) + (segment (start 97.85 115.6) (end 100.4 118.15) (width 0.15) (layer "F.Cu") (net 77) (tstamp 353d6f7b-ac9c-4b40-bbf7-e6af15b5e8e8)) + (segment (start 100.4 118.15) (end 100.4 119.05) (width 0.15) (layer "F.Cu") (net 77) (tstamp 428f5984-4943-462f-a35b-0824b08956a9)) + (segment (start 94.45 113.75) (end 96.3 115.6) (width 0.15) (layer "F.Cu") (net 77) (tstamp 640429e2-dabd-4015-bb42-ab8f987d2cb3)) + (segment (start 94.45 112.45) (end 94.45 113.75) (width 0.15) (layer "F.Cu") (net 77) (tstamp 6f459651-5161-492e-bf43-8a75ccfa9c6c)) + (segment (start 92.05 109.0625) (end 92.05 110.05) (width 0.15) (layer "F.Cu") (net 77) (tstamp a23f37c6-1cfc-4340-b6ce-c7375222ae2c)) + (segment (start 101.05 119.05) (end 101.05 118.15) (width 0.15) (layer "F.Cu") (net 78) (tstamp 441a7312-13f7-412a-a0ed-6020f86d94f9)) + (segment (start 94.75 113.6) (end 94.75 112.3) (width 0.15) (layer "F.Cu") (net 78) (tstamp 5b8b8c55-545f-429d-9a35-8f4a01341296)) + (segment (start 98.2 115.3) (end 96.45 115.3) (width 0.15) (layer "F.Cu") (net 78) (tstamp 5c319597-06df-4e12-8a4e-7205c1410c81)) + (segment (start 92.55 110.1) (end 92.55 109.0625) (width 0.15) (layer "F.Cu") (net 78) (tstamp 6259b82c-f361-467b-ad2f-2c9cc98d65f7)) + (segment (start 94.75 112.3) (end 92.55 110.1) (width 0.15) (layer "F.Cu") (net 78) (tstamp 9ca7fc01-5bc8-4301-8b02-d9eb8b48d4ca)) + (segment (start 101.05 118.15) (end 98.2 115.3) (width 0.15) (layer "F.Cu") (net 78) (tstamp ee02ee92-a08f-469f-88a5-f831999b7c9b)) + (segment (start 96.45 115.3) (end 94.75 113.6) (width 0.15) (layer "F.Cu") (net 78) (tstamp f59d8c17-ade1-4978-b317-db4c848bd099)) + (segment (start 94.05 109.0625) (end 94.05 109.95) (width 0.15) (layer "F.Cu") (net 79) (tstamp 0a0b2f67-2558-47e7-a41b-023d85b39d91)) + (segment (start 96.6 115) (end 98.55 115) (width 0.15) (layer "F.Cu") (net 79) (tstamp 1bdd0f7c-5473-4715-8c03-e836413e4541)) + (segment (start 94.05 109.95) (end 95.05 110.95) (width 0.15) (layer "F.Cu") (net 79) (tstamp 60283f45-d137-43bc-b86a-d7d02e31067f)) + (segment (start 95.05 113.45) (end 96.6 115) (width 0.15) (layer "F.Cu") (net 79) (tstamp 6582d36e-93d8-438c-be1e-f0426b23dfb8)) + (segment (start 101.7 118.15) (end 101.7 119.05) (width 0.15) (layer "F.Cu") (net 79) (tstamp 8758c357-5076-426f-8c73-efce9ba64f3a)) + (segment (start 98.55 115) (end 101.7 118.15) (width 0.15) (layer "F.Cu") (net 79) (tstamp a8576744-0724-47f0-a518-6307a518f95e)) + (segment (start 95.05 110.95) (end 95.05 113.45) (width 0.15) (layer "F.Cu") (net 79) (tstamp d696e063-d492-4abb-8d86-80324e0501fa)) + (segment (start 102.35 118.15) (end 102.35 119.05) (width 0.15) (layer "F.Cu") (net 80) (tstamp 4e635adc-134a-48b9-8540-ebd3465c7144)) + (segment (start 95.35 113.3) (end 96.75 114.7) (width 0.15) (layer "F.Cu") (net 80) (tstamp 71347d15-0071-4784-a831-d3cde7bc6cf0)) + (segment (start 96.75 114.7) (end 98.9 114.7) (width 0.15) (layer "F.Cu") (net 80) (tstamp 7bccb310-9d9f-455a-bd47-be0f8830803a)) + (segment (start 94.55 109.0625) (end 94.55 109.95) (width 0.15) (layer "F.Cu") (net 80) (tstamp 7bfc1130-0770-4b8f-86ff-ad5294b36ad9)) + (segment (start 95.35 110.75) (end 95.35 113.3) (width 0.15) (layer "F.Cu") (net 80) (tstamp 86061341-0b06-4ab7-864f-9696c1b54100)) + (segment (start 94.55 109.95) (end 95.35 110.75) (width 0.15) (layer "F.Cu") (net 80) (tstamp c2299e55-6aad-49d1-b430-f820cd51b6ee)) + (segment (start 98.9 114.7) (end 102.35 118.15) (width 0.15) (layer "F.Cu") (net 80) (tstamp e2bbff33-6067-4461-88b9-7b4c68d8dd25)) + (segment (start 88.05 109.0625) (end 88.05 110.2) (width 0.15) (layer "F.Cu") (net 81) (tstamp 4b3925c7-e242-4c23-8d32-b0da3d63e9a4)) + (segment (start 87.9 110.2) (end 88.05 110.2) (width 0.15) (layer "F.Cu") (net 81) (tstamp 596a3d68-a32c-45c9-b252-7d926258fc11)) + (segment (start 87.6 110.5) (end 87.9 110.2) (width 0.15) (layer "F.Cu") (net 81) (tstamp 939c2d27-a9b0-41ff-b9a0-bbd77c14fa79)) + (segment (start 78.1 124.95) (end 78.1 123.8) (width 0.15) (layer "F.Cu") (net 81) (tstamp cfdc0fc1-fc82-4bd8-a507-2491ffb15473)) + (segment (start 87.6 111.15) (end 87.6 110.5) (width 0.15) (layer "F.Cu") (net 81) (tstamp ed8df91f-20ff-4ec4-801f-9858a4704a41)) + (via (at 88.05 110.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 64b2c0af-a72d-425a-a0b9-d1b16d375eb9)) + (via (at 78.1 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp b8f1c735-bab1-4bfb-a6bb-672fbbb824a2)) + (segment (start 86.9 111.35) (end 88.05 110.2) (width 0.15) (layer "B.Cu") (net 81) (tstamp 3446ebe8-573b-4bde-9a07-df2ae09860f5)) + (segment (start 86.9 115) (end 86.9 111.35) (width 0.15) (layer "B.Cu") (net 81) (tstamp 7fc4a97b-4a9a-412e-8fac-ede535c7b6da)) + (segment (start 78.1 123.8) (end 86.9 115) (width 0.15) (layer "B.Cu") (net 81) (tstamp ccc928c0-e768-4a24-80da-b5a71d5e1a6c)) + (segment (start 49.675 98.5) (end 50.6 98.5) (width 0.4) (layer "F.Cu") (net 82) (tstamp 714ba705-e2ab-4bd2-93c0-08fda6987552)) + (segment (start 50.6 98.5) (end 51.65 98.5) (width 0.5) (layer "F.Cu") (net 82) (tstamp 9203a566-dc95-4be0-be20-0198f9368ef9)) + (segment (start 101.7125 101.4) (end 102.9 101.4) (width 0.15) (layer "F.Cu") (net 83) (tstamp 9157676b-5499-473b-8414-3ffd9b07cdd4)) + (segment (start 106.6 100.05) (end 104.25 100.05) (width 0.15) (layer "F.Cu") (net 83) (tstamp a198ee5f-dfe7-46ef-95f3-24892a06a629)) + (segment (start 104.25 100.05) (end 102.9 101.4) (width 0.15) (layer "F.Cu") (net 83) (tstamp fa461889-e8cf-4638-abfd-42483ce6650c)) + (segment (start 109.05 98.55) (end 111.15 100.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp 5cea9a53-03c5-49c2-889f-fe2d8a0d0e43)) + (segment (start 111.15 100.65) (end 112.9 100.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp b98c6c5d-ec68-459a-aaff-c06d7fa56bc8)) + (segment (start 108.2 98.55) (end 109.05 98.55) (width 0.15) (layer "F.Cu") (net 84) (tstamp d8accc7e-9d64-4eed-b119-91e9ed326b15)) + (segment (start 112.9 112.65) (end 114.7 112.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp 19808ea7-e203-450d-aff2-678b7ef7de81)) + (segment (start 100.6625 109.0625) (end 101 109.4) (width 0.15) (layer "F.Cu") (net 85) (tstamp 49ddb50e-1865-4480-9585-40caf0edcf50)) + (segment (start 124.4 112.65) (end 122.55 112.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp c160dc21-8253-44e4-8a66-96cc6251300f)) + (segment (start 100.05 109.0625) (end 100.6625 109.0625) (width 0.15) (layer "F.Cu") (net 85) (tstamp e0b45972-ee42-4d38-a27d-5b6045f7163b)) + (via (at 122.55 112.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 2aa0b453-5093-4a79-aeca-e764ffcdd11f)) + (via (at 114.75 112.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 373800d7-69c4-47cb-a671-f52f2d2cd85b)) + (via (at 101 109.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 62ee3147-cc9a-4af5-a24b-51ba1f9ad103)) + (segment (start 112.5 111.35) (end 113.8 112.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp 354ec5c5-3183-4a2e-ba79-a2aa14f48ae3)) + (segment (start 122.55 112.65) (end 114.75 112.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp 6a12335f-98a0-4f5d-8cbf-49be57c9f6e3)) + (segment (start 113.8 112.65) (end 114.75 112.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp 9cada7c5-8289-44bc-bbbc-b6d24d19bd1e)) + (segment (start 101 109.4) (end 102.6 109.4) (width 0.15) (layer "B.Cu") (net 85) (tstamp acd09b61-3531-4575-81b1-8e6536dc1cb7)) + (segment (start 104.55 111.35) (end 112.5 111.35) (width 0.15) (layer "B.Cu") (net 85) (tstamp ccc3504b-e620-4c94-bbab-be544b1356b0)) + (segment (start 102.6 109.4) (end 104.55 111.35) (width 0.15) (layer "B.Cu") (net 85) (tstamp d0f422d9-48b3-4372-be16-dd51ecfa5b2b)) + (segment (start 100.6 108.1) (end 100.35 108.1) (width 0.15) (layer "F.Cu") (net 86) (tstamp 19b95516-2bfa-4edb-ac4b-431972c9c7c7)) + (segment (start 122.55 111.15) (end 122.65 111.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 25684ba1-fc01-4b60-b6ee-4f12551e2e81)) + (segment (start 122.65 111.05) (end 124.4 111.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 300ac8e1-997a-41ee-b733-53a136fb991d)) + (segment (start 99.8 107.95) (end 99.55 108.2) (width 0.15) (layer "F.Cu") (net 86) (tstamp 42f9ee12-70d1-476c-919b-2c7bee6bbc93)) + (segment (start 99.55 108.2) (end 99.55 109.0625) (width 0.15) (layer "F.Cu") (net 86) (tstamp 4ab6d705-e3c4-4903-8942-b5ecaa50f940)) + (segment (start 114.65 111.05) (end 114.75 111.15) (width 0.15) (layer "F.Cu") (net 86) (tstamp 63529645-c737-41a4-9eb8-5b9ae699b5e4)) + (segment (start 112.9 111.05) (end 114.65 111.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 9256758f-22a0-475e-9161-dcbc0858c972)) + (segment (start 101 108.5) (end 100.6 108.1) (width 0.15) (layer "F.Cu") (net 86) (tstamp 97596b9f-ecf5-4606-98ab-7f24d6ca14a0)) + (segment (start 100.2 107.95) (end 99.8 107.95) (width 0.15) (layer "F.Cu") (net 86) (tstamp 97b2d6c0-0350-4fcb-8f7f-92b15cd12969)) + (segment (start 100.35 108.1) (end 100.2 107.95) (width 0.15) (layer "F.Cu") (net 86) (tstamp ddf8b7ae-04de-4f3e-9b19-5bcabe5580a1)) + (segment (start 101.9 108.5) (end 101 108.5) (width 0.15) (layer "F.Cu") (net 86) (tstamp e30068f9-cfa8-48ff-a4e2-140a24feefa7)) + (via (at 122.55 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 9070f74b-10e6-4656-b8f1-3bf71e98244d)) + (via (at 114.75 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 93c89d25-2865-4b0f-9545-bdc35523d547)) + (via (at 101.9 108.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp b7ded0d6-cee6-434e-8795-c7308e6b314b)) + (segment (start 114.65 111.05) (end 104.7 111.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp 33e2dfa6-7da7-468b-8aec-61f66e3bf5da)) + (segment (start 118.400002 111.15) (end 122.55 111.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp 6e7a3bca-0083-4f33-aa16-58b43c9341a6)) + (segment (start 102.15 108.5) (end 101.9 108.5) (width 0.15) (layer "B.Cu") (net 86) (tstamp 97517387-6544-4f96-9455-d4abc471e096)) + (segment (start 104.7 111.05) (end 102.15 108.5) (width 0.15) (layer "B.Cu") (net 86) (tstamp c2f0ea28-46a1-4e99-b2a2-7553e77bd9c9)) + (segment (start 114.75 111.15) (end 118.400002 111.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp d1ebb1d2-5edd-41fc-a02b-6f34f03c6be2)) + (segment (start 114.75 111.15) (end 114.65 111.05) (width 0.15) (layer "B.Cu") (net 86) (tstamp e4bfe3c2-a875-46ce-8a1b-3d80a635de2b)) + (segment (start 112.9 108.65) (end 114.6 108.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 3ce318e1-dc60-4e2c-9f5d-4cc0e5b70713)) + (segment (start 122.65 108.65) (end 124.4 108.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 510c3e29-8866-4dd6-918b-39f45f1be7ea)) + (segment (start 101.7125 106.9) (end 99.65 106.9) (width 0.15) (layer "F.Cu") (net 87) (tstamp 99389a78-f831-457a-89ca-545da76dfcfc)) + (segment (start 114.65 108.65) (end 114.75 108.75) (width 0.15) (layer "F.Cu") (net 87) (tstamp ab33d953-b41b-4e42-aefc-6b8c625176d8)) + (segment (start 122.55 108.75) (end 122.65 108.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp cc327d21-bbe7-465d-a79b-a47175dca663)) + (via (at 114.75 108.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 2fcb6135-91c1-4a3b-b0f8-50d9711bc622)) + (via (at 99.65 106.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 8a96d0e0-552a-4029-9f13-3edd9f929ab2)) + (via (at 122.55 108.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 9ff8a9d1-b503-4897-b438-4f981827a0e6)) + (segment (start 107.5 106.1) (end 109.95 108.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp 2464e01b-02a3-47a8-a655-e5135059fc3b)) + (segment (start 99.65 106.9) (end 100.75 106.9) (width 0.15) (layer "B.Cu") (net 87) (tstamp 425fde81-c1ef-4dcc-9111-a7cbbcdfe7d4)) + (segment (start 109.95 108.55) (end 114.55 108.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp 9d32ee21-90e7-4861-8683-c565b5077f45)) + (segment (start 120.890662 108.75) (end 122.55 108.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp 9e0bde37-9b23-4601-b79f-7648f29678f9)) + (segment (start 100.75 106.9) (end 101.55 106.1) (width 0.15) (layer "B.Cu") (net 87) (tstamp aa256bf7-0317-43c1-a92e-04224d0242eb)) + (segment (start 114.75 108.75) (end 120.890662 108.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp aba61e6a-f249-44e4-8abb-c48fbf48e59a)) + (segment (start 114.55 108.55) (end 114.75 108.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp ee9603a6-6942-4be5-90c9-5b6cb9a4ffb2)) + (segment (start 101.55 106.1) (end 107.5 106.1) (width 0.15) (layer "B.Cu") (net 87) (tstamp f0af57c8-9025-458c-8b7c-652d1f292c91)) + (segment (start 122.55 110.15) (end 122.65 110.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 09255c0f-84e8-434a-9dcc-04d93166aff5)) + (segment (start 98.05 108.2) (end 97.9 108.05) (width 0.15) (layer "F.Cu") (net 88) (tstamp 33246e9c-79ff-44b8-9e85-7e6228c82cca)) + (segment (start 112.9 110.25) (end 114.6 110.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 4d941d95-0f62-43b9-96c9-6d400ab587ba)) + (segment (start 97.9 108.05) (end 97.9 107.5) (width 0.15) (layer "F.Cu") (net 88) (tstamp 80318b4a-3985-4b15-a87e-8bc3562f67e5)) + (segment (start 122.65 110.25) (end 124.4 110.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 8c21de31-e0a8-4dc1-a8b1-ce464a50eb8b)) + (segment (start 114.65 110.25) (end 114.75 110.15) (width 0.15) (layer "F.Cu") (net 88) (tstamp 9869dd0c-d354-49c5-8c3b-327809b6042b)) + (segment (start 97.9 107.5) (end 98.5 106.9) (width 0.15) (layer "F.Cu") (net 88) (tstamp dcf4f251-941a-4525-bbea-c9ac6d700438)) + (segment (start 98.05 109.0625) (end 98.05 108.2) (width 0.15) (layer "F.Cu") (net 88) (tstamp fb78deb4-3a1b-42b1-a3c3-f693e5e658d0)) + (via (at 98.5 106.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 1506fd5b-e9e5-487a-bc11-65cbf0edfcae)) + (via (at 114.75 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 730691dc-92dc-4d23-b6d1-d0c414c99ef4)) + (via (at 122.55 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp b380584e-965a-4865-88ad-108e137d6978)) + (segment (start 111.175 110.75) (end 111.625 110.3) (width 0.15) (layer "B.Cu") (net 88) (tstamp 09106ea0-3ed1-47d0-80e3-e0310d74d94f)) + (segment (start 122.55 110.15) (end 114.75 110.15) (width 0.15) (layer "B.Cu") (net 88) (tstamp 2c072e2e-0ae1-46b8-bf1a-c8159a463c82)) + (segment (start 98.5 106.9) (end 98.9 106.9) (width 0.15) (layer "B.Cu") (net 88) (tstamp 417bc9c5-4aed-4e38-aff6-a56340a0b74b)) + (segment (start 98.9 106.9) (end 99.95 107.95) (width 0.15) (layer "B.Cu") (net 88) (tstamp 539536d0-5778-4924-8035-fb2789c84611)) + (segment (start 114.6 110.3) (end 114.75 110.15) (width 0.15) (layer "B.Cu") (net 88) (tstamp 7521155e-59e8-4d52-b9e2-4cf27f9b16c8)) + (segment (start 102.05 107.95) (end 104.85 110.75) (width 0.15) (layer "B.Cu") (net 88) (tstamp 84dbb6a2-939a-4a18-8164-10c0a6919f1b)) + (segment (start 99.95 107.95) (end 102.05 107.95) (width 0.15) (layer "B.Cu") (net 88) (tstamp 986133f2-2a06-46f3-86a2-88b9dc28a727)) + (segment (start 111.625 110.3) (end 114.6 110.3) (width 0.15) (layer "B.Cu") (net 88) (tstamp a4c30ef2-28a2-4d87-a9dc-629ca4765385)) + (segment (start 104.85 110.75) (end 111.175 110.75) (width 0.15) (layer "B.Cu") (net 88) (tstamp f330a196-32fc-479b-8541-02f5caa60f8e)) + (segment (start 124.4 103.85) (end 122.55 103.85) (width 0.15) (layer "F.Cu") (net 89) (tstamp 6eba8045-b613-4fcc-b330-a96966981d1f)) + (segment (start 101.7125 104.9) (end 99.2 104.9) (width 0.15) (layer "F.Cu") (net 89) (tstamp b0e4d887-5001-446e-864b-65d61490508d)) + (segment (start 114.7 103.85) (end 112.9 103.85) (width 0.15) (layer "F.Cu") (net 89) (tstamp c0b0b728-c120-4cef-aa48-907987b3cb3e)) + (segment (start 99.2 104.9) (end 98.95 105.15) (width 0.15) (layer "F.Cu") (net 89) (tstamp f6a2ccf7-8605-40e2-965f-e3f9f975a995)) + (via (at 98.95 105.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 1873f745-2d08-4765-acc0-7a9876e3598c)) + (via (at 122.55 103.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp b50892f2-3d88-4bbc-95f2-f3773eaa1fb2)) + (via (at 114.75 103.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp bd9cc080-59cf-487f-aace-130cb170ec07)) + (segment (start 122.55 103.85) (end 114.75 103.85) (width 0.15) (layer "B.Cu") (net 89) (tstamp 08810d08-373e-47be-819a-49bc4c68666e)) + (segment (start 99.2 104.9) (end 108.9 104.9) (width 0.15) (layer "B.Cu") (net 89) (tstamp 709760df-ce9f-45be-b237-b49443dba9cc)) + (segment (start 98.95 105.15) (end 99.2 104.9) (width 0.15) (layer "B.Cu") (net 89) (tstamp 72890bad-43e2-4416-8d40-701470f24552)) + (segment (start 114.75 103.85) (end 109.95 103.85) (width 0.15) (layer "B.Cu") (net 89) (tstamp e848f9fc-463f-48fe-9994-a572ae9a0213)) + (segment (start 109.95 103.85) (end 108.95 104.85) (width 0.15) (layer "B.Cu") (net 89) (tstamp fcb31dd3-92c2-48e9-8ee7-1d08ffa317a5)) + (segment (start 108.9 104.9) (end 108.95 104.85) (width 0.15) (layer "B.Cu") (net 89) (tstamp fe8ebeb9-d965-49d0-85d8-c325b00e0aa8)) + (segment (start 114.65 106.25) (end 114.75 106.35) (width 0.15) (layer "F.Cu") (net 90) (tstamp 476b3067-2918-414b-9cea-7890975c0cf8)) + (segment (start 122.65 106.25) (end 124.4 106.25) (width 0.15) (layer "F.Cu") (net 90) (tstamp 9d18ff7c-624e-4c8f-baa7-0fea6a24b8c6)) + (segment (start 122.55 106.35) (end 122.65 106.25) (width 0.15) (layer "F.Cu") (net 90) (tstamp c7f43fd4-2757-4994-9fcb-b6c5335cfb37)) + (segment (start 112.9 106.25) (end 114.6 106.25) (width 0.15) (layer "F.Cu") (net 90) (tstamp e7b27a0f-0990-42a3-be93-b985b1b339fe)) + (segment (start 101.7125 105.9) (end 99.65 105.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp f239b81b-a273-40bb-92a6-18b6966d3551)) + (via (at 99.65 105.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 1e6dae88-9451-4dea-a651-1fdebd59cad5)) + (via (at 122.55 106.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 8125bd12-6168-4a4e-9b3e-ff5ca6b695b9)) + (via (at 114.75 106.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 8a222955-f896-4f9d-8462-d11f8cbdd433)) + (segment (start 99.65 105.9) (end 100.75 105.9) (width 0.15) (layer "B.Cu") (net 90) (tstamp 052943c5-b713-4efe-9b4c-4ca369398ea3)) + (segment (start 110.6 106.35) (end 114.75 106.35) (width 0.15) (layer "B.Cu") (net 90) (tstamp 10675b70-c289-4b0f-b023-ac531fbb236e)) + (segment (start 100.75 105.9) (end 101.15 105.5) (width 0.15) (layer "B.Cu") (net 90) (tstamp 18753339-f095-45d7-9b08-df7b81c7745a)) + (segment (start 109.75 105.5) (end 110.6 106.35) (width 0.15) (layer "B.Cu") (net 90) (tstamp 33fda55e-b362-4d3e-b2b7-42a9bd5a56fa)) + (segment (start 122.55 106.35) (end 114.75 106.35) (width 0.15) (layer "B.Cu") (net 90) (tstamp 7774c18b-3398-47ae-98b5-c93fc5ed1197)) + (segment (start 101.15 105.5) (end 109.75 105.5) (width 0.15) (layer "B.Cu") (net 90) (tstamp da6cc1a4-1a88-4b54-beb4-bfac08ba5963)) + (segment (start 122.55 107.75) (end 122.65 107.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp 06c2d024-9cc4-4069-a79a-b2afaa16a625)) + (segment (start 112.9 107.85) (end 114.6 107.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp 4323c6a1-55cd-447c-8742-31e983916f06)) + (segment (start 122.65 107.85) (end 124.4 107.85) (width 0.15) (layer "F.Cu") (net 91) (tstamp 90ca7a77-4b1a-409c-968f-9be84a3424ad)) + (segment (start 101.7125 106.4) (end 100.55 106.4) (width 0.15) (layer "F.Cu") (net 91) (tstamp d9a974fa-8689-4dfa-8f0f-c77515383b85)) + (segment (start 114.65 107.85) (end 114.75 107.75) (width 0.15) (layer "F.Cu") (net 91) (tstamp dfd2c367-120f-4caf-a59b-84d56a13f7e2)) + (via (at 100.55 106.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 137fee80-26e6-4a7a-86b7-6028df2324ab)) + (via (at 114.75 107.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 572128c0-2e46-490f-80a9-d637e0e5b47e)) + (via (at 122.55 107.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 6f444f0d-b1ee-4236-b05d-96d4021d1866)) + (segment (start 114.75 107.75) (end 116.300002 107.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp 0c439653-132b-4540-b756-575b5bb4259c)) + (segment (start 100.75 106.4) (end 100.55 106.4) (width 0.15) (layer "B.Cu") (net 91) (tstamp 1059e76e-a023-492e-9729-1651590b0ee2)) + (segment (start 114.75 107.75) (end 110.6 107.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp 4b51130f-6225-42e0-b8ca-f9454d10b5f2)) + (segment (start 116.300002 107.75) (end 122.55 107.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp 96eaca75-9cab-4a49-806a-f3a6ab666a00)) + (segment (start 110.6 107.75) (end 108.65 105.8) (width 0.15) (layer "B.Cu") (net 91) (tstamp b4fa6b5a-0264-4370-a816-62ec6a6b345a)) + (segment (start 101.35 105.8) (end 100.75 106.4) (width 0.15) (layer "B.Cu") (net 91) (tstamp bc6e6bdd-4351-4ff8-8412-ccb77f288d93)) + (segment (start 108.65 105.8) (end 101.35 105.8) (width 0.15) (layer "B.Cu") (net 91) (tstamp e72df76d-a532-490c-8c96-d31a2fed04b1)) + (segment (start 101.7125 104.4) (end 99.65 104.4) (width 0.15) (layer "F.Cu") (net 92) (tstamp 30b02079-505a-4878-9cfd-d0df6b2c2728)) + (segment (start 124.4 102.25) (end 122.6 102.25) (width 0.15) (layer "F.Cu") (net 92) (tstamp 8dc49130-6b52-4f54-83a9-f7bdb23b439d)) + (via (at 122.6 102.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 91191376-92a8-4840-8dab-2fd0e6b34d07)) + (via (at 99.65 104.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp c7fc1af5-ca84-4147-b28f-beb7073aceea)) + (segment (start 113.45 101.55) (end 114.15 102.25) (width 0.15) (layer "B.Cu") (net 92) (tstamp 129600eb-19e0-4d3b-be0f-15ef84676157)) + (segment (start 114.15 102.25) (end 122.6 102.25) (width 0.15) (layer "B.Cu") (net 92) (tstamp 2fd3574b-abad-4d6f-a115-18b8cc2c48fe)) + (segment (start 109.7 102.45) (end 110.6 101.55) (width 0.15) (layer "B.Cu") (net 92) (tstamp 5656065f-33d4-472e-a125-6df36967bc03)) + (segment (start 107.85 102.45) (end 109.7 102.45) (width 0.15) (layer "B.Cu") (net 92) (tstamp 66d6f2a7-e110-435f-ac87-9489937fad25)) + (segment (start 110.6 101.55) (end 113.45 101.55) (width 0.15) (layer "B.Cu") (net 92) (tstamp 9f86cc34-9eba-4032-9900-7ba66bff6bc7)) + (segment (start 99.85 104.6) (end 105.7 104.6) (width 0.15) (layer "B.Cu") (net 92) (tstamp a34b672e-c113-45ef-9e26-ccf8945315f1)) + (segment (start 105.7 104.6) (end 107.85 102.45) (width 0.15) (layer "B.Cu") (net 92) (tstamp bc2da447-5fc8-44b9-b7da-b460f467bfe7)) + (segment (start 99.65 104.4) (end 99.85 104.6) (width 0.15) (layer "B.Cu") (net 92) (tstamp fbacfafc-a5fe-4d92-b276-9d69e260a74c)) + (segment (start 124.4 101.45) (end 123.25 101.45) (width 0.15) (layer "F.Cu") (net 93) (tstamp fe9724c8-9520-4e21-a1ef-d44226479c03)) + (segment (start 101.7125 102.9) (end 100.55 102.9) (width 0.15) (layer "F.Cu") (net 93) (tstamp ff1e0ac2-06a6-4ad9-9ca1-b750c667d85d)) + (via (at 100.55 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 3cc2516f-e26d-45ee-b895-42604085c3d1)) + (via (at 123.25 101.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp d870b310-039b-486b-bca4-ba9695c65767)) + (segment (start 114.25 100.95) (end 114.75 101.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp 0e768725-cacb-4834-963b-8baf3631532c)) + (segment (start 102.45 101.15) (end 110.1 101.15) (width 0.15) (layer "B.Cu") (net 93) (tstamp 1b9ef1ef-a856-4f5d-8e04-5fb5d8f5e849)) + (segment (start 110.3 100.95) (end 114.25 100.95) (width 0.15) (layer "B.Cu") (net 93) (tstamp 3701f97e-3105-470c-b2b9-06c1307e6616)) + (segment (start 110.1 101.15) (end 110.3 100.95) (width 0.15) (layer "B.Cu") (net 93) (tstamp 6744cc76-7571-4f4a-bfb4-651b00eaf6b1)) + (segment (start 114.75 101.45) (end 123.25 101.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp bbe12f81-0ddc-4254-b570-b58e4aa95be3)) + (segment (start 100.55 102.9) (end 100.7 102.9) (width 0.15) (layer "B.Cu") (net 93) (tstamp cb6d8463-16fe-462d-955f-16934e150d85)) + (segment (start 100.7 102.9) (end 102.45 101.15) (width 0.15) (layer "B.Cu") (net 93) (tstamp fc6572af-c6b0-4721-8146-77990ae9e4d9)) + (segment (start 101.7125 102.4) (end 99.65 102.4) (width 0.15) (layer "F.Cu") (net 94) (tstamp 951d23ac-875b-47e4-8307-20710649a560)) + (segment (start 124.4 100.65) (end 122.6 100.65) (width 0.15) (layer "F.Cu") (net 94) (tstamp ade2a492-6211-4dc4-98a9-243b30d428a4)) + (via (at 99.65 102.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp adac422a-8b9f-421a-b845-aa717dc9aed1)) + (via (at 122.6 100.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp c9b07cdb-760f-4f65-a58d-245052420970)) + (segment (start 122.6 100.65) (end 110.15 100.65) (width 0.15) (layer "B.Cu") (net 94) (tstamp 17d1b1d2-1a60-461f-a66b-8a591c2b9681)) + (segment (start 100.75 102.4) (end 99.65 102.4) (width 0.15) (layer "B.Cu") (net 94) (tstamp 8522f817-1f5b-45fa-b420-6b00a6e2602d)) + (segment (start 109.95 100.85) (end 102.3 100.85) (width 0.15) (layer "B.Cu") (net 94) (tstamp 8b6979dd-e5a0-4d9e-98d1-f113bab72e74)) + (segment (start 110.15 100.65) (end 109.95 100.85) (width 0.15) (layer "B.Cu") (net 94) (tstamp ce7cd86d-cedc-4e67-8127-c4f4912c320d)) + (segment (start 102.3 100.85) (end 100.75 102.4) (width 0.15) (layer "B.Cu") (net 94) (tstamp fe0df137-f552-4203-b08a-634cdfebfccd)) + (segment (start 101.7125 101.9) (end 100.55 101.9) (width 0.15) (layer "F.Cu") (net 95) (tstamp 3e6062dd-45e3-41ba-a00e-80c8e1153fee)) + (segment (start 124.4 99.85) (end 123.25 99.85) (width 0.15) (layer "F.Cu") (net 95) (tstamp c2f6963d-7a53-4625-a97e-ae96c5b78ea5)) + (via (at 100.55 101.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp 5a363e4b-38f9-4132-afa1-83c154e0e53e)) + (via (at 123.25 99.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp c4442116-01d5-43b4-95bc-6cb263af842b)) + (segment (start 109.8 100.55) (end 110 100.35) (width 0.15) (layer "B.Cu") (net 95) (tstamp 1c9cd250-d6e0-472c-a91b-36fed4783224)) + (segment (start 100.55 101.9) (end 100.8 101.9) (width 0.15) (layer "B.Cu") (net 95) (tstamp 2d9807c2-0110-4286-a84a-3bb87959b808)) + (segment (start 100.8 101.9) (end 102.15 100.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp 3ce11330-9593-4b99-b308-08e3db95612b)) + (segment (start 110 100.35) (end 114.9 100.35) (width 0.15) (layer "B.Cu") (net 95) (tstamp 45d4ab31-e58c-4d4a-b383-00dc0b2e4ca4)) + (segment (start 102.15 100.55) (end 109.8 100.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp 80441728-cdf9-44b7-892c-23b7afd0a8af)) + (segment (start 114.9 100.35) (end 115.4 99.85) (width 0.15) (layer "B.Cu") (net 95) (tstamp a2d5363d-881c-464b-ae24-ca1e73eb663a)) + (segment (start 115.4 99.85) (end 123.25 99.85) (width 0.15) (layer "B.Cu") (net 95) (tstamp bf3dad99-08e4-4ed2-816a-b7c6cf6093b0)) + (segment (start 124.4 99.05) (end 122.6 99.05) (width 0.15) (layer "F.Cu") (net 96) (tstamp 66149cd5-1b38-4895-93c6-3c97f5718e6a)) + (segment (start 101.7125 99.9) (end 99.65 99.9) (width 0.15) (layer "F.Cu") (net 96) (tstamp 75ec75f3-e960-4ba0-bbed-85a9a5957dd3)) + (via (at 122.6 99.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp 54a87a96-a348-4af7-9719-51c142c31973)) + (via (at 99.65 99.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp e7b9edcb-c850-4d16-a7e6-3a6633fd8ade)) + (segment (start 122.6 99.05) (end 114.75 99.05) (width 0.15) (layer "B.Cu") (net 96) (tstamp 57b410eb-155b-4816-a642-970565b1266c)) + (segment (start 114.75 99.05) (end 114.25 99.55) (width 0.15) (layer "B.Cu") (net 96) (tstamp b65f72d2-d779-4a31-b22d-fd15e5ee5ac6)) + (segment (start 100.95 99.9) (end 99.65 99.9) (width 0.15) (layer "B.Cu") (net 96) (tstamp d10c2470-b947-43ad-afac-b55f82251e08)) + (segment (start 101.3 99.55) (end 100.95 99.9) (width 0.15) (layer "B.Cu") (net 96) (tstamp d7c7b5ca-8297-441f-8359-504dcdf051cb)) + (segment (start 114.25 99.55) (end 101.3 99.55) (width 0.15) (layer "B.Cu") (net 96) (tstamp f5289f55-5cc8-4489-b94a-3bc350589446)) + (segment (start 97.9 95.85) (end 97.8 95.85) (width 0.15) (layer "F.Cu") (net 97) (tstamp 35306980-bbf9-444e-ad10-d15767c79dd7)) + (segment (start 98.65 94.728248) (end 98.65 95.1) (width 0.15) (layer "F.Cu") (net 97) (tstamp 451526a4-2a31-4e85-abaf-2b67c3ae58a4)) + (segment (start 98.55 94.628248) (end 98.65 94.728248) (width 0.15) (layer "F.Cu") (net 97) (tstamp 735a9ece-7762-4d39-8ef4-d6b94b1b81b9)) + (segment (start 124.4 95.85) (end 122.6 95.85) (width 0.15) (layer "F.Cu") (net 97) (tstamp 77f658ce-8e85-4809-840b-8ec58155e3b9)) + (segment (start 98.55 93.7375) (end 98.55 94.628248) (width 0.15) (layer "F.Cu") (net 97) (tstamp 91a186a5-4acd-42eb-82c8-516eaf546f4d)) + (segment (start 98.65 95.1) (end 97.9 95.85) (width 0.15) (layer "F.Cu") (net 97) (tstamp d5321fe3-552f-4353-9275-981f0fb3aae2)) + (via (at 122.6 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 6890cc83-bfe6-4940-834e-f6c27069e6dc)) + (via (at 97.8 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 88c1ea63-d10f-43d9-a64e-5ee8697e0fcf)) + (segment (start 98.65 95.1) (end 97.9 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 2bcd9d6f-f1f7-42a2-814f-6352123ccbe9)) + (segment (start 98.65 94.7) (end 98.65 95.1) (width 0.15) (layer "B.Cu") (net 97) (tstamp 2d07e83f-69ff-4515-8bdb-436d457f56fc)) + (segment (start 122.6 95.85) (end 114.8 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp 7d7f9a79-19f3-44a1-b9f4-1bd5145c972c)) + (segment (start 114.3 96.35) (end 111.8 96.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp 84134675-33a1-457f-aeca-112ab7693f98)) + (segment (start 114.8 95.85) (end 114.3 96.35) (width 0.15) (layer "B.Cu") (net 97) (tstamp 9ab7a274-186c-40c6-99ea-ec44882deb2c)) + (segment (start 109.85 94.4) (end 98.95 94.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp c78db5e5-cdfc-454a-a1a5-906ffdced605)) + (segment (start 97.9 95.85) (end 97.8 95.85) (width 0.15) (layer "B.Cu") (net 97) (tstamp d8f17398-d579-4602-97c0-2a292d03f953)) + (segment (start 98.95 94.4) (end 98.65 94.7) (width 0.15) (layer "B.Cu") (net 97) (tstamp eef4f72c-a276-47bc-a2e6-a612bc0e6974)) + (segment (start 111.8 96.35) (end 109.85 94.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp f6078483-718a-4534-982b-d4e5d20fd5bf)) + (segment (start 124.4 93.45) (end 121.95 93.45) (width 0.15) (layer "F.Cu") (net 98) (tstamp 0119464a-06f5-4c00-988b-0da8cd1051c2)) + (segment (start 95.55 93.7375) (end 95.55 92.7) (width 0.15) (layer "F.Cu") (net 98) (tstamp 3de5cfb7-e0cf-480c-866c-5804110af030)) + (segment (start 95.55 92.7) (end 95.45 92.6) (width 0.15) (layer "F.Cu") (net 98) (tstamp ff0b963f-4a3f-4a05-83d8-77d03ca42c68)) + (via (at 95.45 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 210bd94c-286c-465a-a391-093c9a43da92)) + (via (at 121.95 93.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp e9d2066d-9c53-438b-aaed-466780050d0a)) + (segment (start 99.3 91.65) (end 100.2 92.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp 486be1a4-89a3-4b0d-95b7-c1d9ea14dbd3)) + (segment (start 115.4 93.45) (end 121.95 93.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp 5247eddf-ad1d-43ec-9ec8-c018c5afe5af)) + (segment (start 95.45 92.2) (end 96 91.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 698d3768-16cc-412e-9f1f-953631654196)) + (segment (start 96 91.65) (end 99.3 91.65) (width 0.15) (layer "B.Cu") (net 98) (tstamp 77a038a8-9476-4ad2-a136-9f5682f94b06)) + (segment (start 114.5 93.95) (end 114.9 93.95) (width 0.15) (layer "B.Cu") (net 98) (tstamp 795e1941-57e0-45fc-ba82-2f910bf57633)) + (segment (start 95.45 92.6) (end 95.45 92.2) (width 0.15) (layer "B.Cu") (net 98) (tstamp 7df5e2ae-aba6-4e98-9d9b-78e5e84e47f8)) + (segment (start 114.9 93.95) (end 115.4 93.45) (width 0.15) (layer "B.Cu") (net 98) (tstamp 896a3b07-9cf4-4b4a-8ae9-83c910ec2864)) + (segment (start 110.65 92.55) (end 111.85 93.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp a61df12d-32d9-4efc-a2ca-4bcf01246b61)) + (segment (start 114.3 93.75) (end 114.5 93.95) (width 0.15) (layer "B.Cu") (net 98) (tstamp af826233-37b7-4221-9d89-ee7f947dc0ad)) + (segment (start 100.2 92.55) (end 110.65 92.55) (width 0.15) (layer "B.Cu") (net 98) (tstamp b6c4bd1f-cdee-4286-8f3a-47a945a60e78)) + (segment (start 111.85 93.75) (end 114.3 93.75) (width 0.15) (layer "B.Cu") (net 98) (tstamp e3d373bc-3f15-491c-bdc7-1ef4e655093b)) + (segment (start 100.05 92.35) (end 100.55 91.85) (width 0.15) (layer "F.Cu") (net 99) (tstamp 0a13393b-886d-4f2d-b3c7-870fd05b072b)) + (segment (start 112.9 93.45) (end 114.7 93.45) (width 0.15) (layer "F.Cu") (net 99) (tstamp 5fe5bf2f-1525-4a08-ad09-fdf25228903c)) + (segment (start 100.05 93.7375) (end 100.05 92.35) (width 0.15) (layer "F.Cu") (net 99) (tstamp ab8c45ec-3dc2-4a53-9205-f2f2049709d1)) + (via (at 114.7 93.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp 24f1a35b-40fc-4536-a0a2-c1a2be40dad9)) + (via (at 100.55 91.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp dcc83db7-0599-4d01-9017-a138b9a51483)) + (segment (start 112 93.45) (end 114.7 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp 42598e6a-1b9b-497a-96f9-ef3c07acd3d0)) + (segment (start 100.95 92.25) (end 110.8 92.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 53f6f44c-92dc-4c79-848a-a755a7afaae8)) + (segment (start 110.8 92.25) (end 112 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp 88a29aa4-6078-465f-9ce3-22aef5eac667)) + (segment (start 100.55 91.85) (end 100.95 92.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp c1c4b6fb-e233-46e4-aa37-20ed0fc3540c)) + (segment (start 94.55 93.7375) (end 94.55 94.9) (width 0.15) (layer "F.Cu") (net 100) (tstamp f51fa11a-a8e3-4bd4-9258-9a5607ec9e03)) + (segment (start 112.9 95.05) (end 114.7 95.05) (width 0.15) (layer "F.Cu") (net 100) (tstamp fd93788f-4e11-45c2-8dab-61ce664b374d)) + (via (at 114.7 95.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 6f60aa84-f575-4717-acef-f698294cf3fa)) + (via (at 94.55 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp def53d56-09f8-43ae-b810-ed0bc0ccdc36)) + (segment (start 110.225 93.45) (end 96 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 52ee7d34-15f6-410f-9ab0-27b043bc0b75)) + (segment (start 96 93.45) (end 94.55 94.9) (width 0.15) (layer "B.Cu") (net 100) (tstamp 5dbcb8a3-2344-425b-8e1e-b097e26e6fe5)) + (segment (start 111.825 95.05) (end 110.225 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 9b69ceaf-d6bb-4305-8d03-f752f7b57b1d)) + (segment (start 114.7 95.05) (end 111.825 95.05) (width 0.15) (layer "B.Cu") (net 100) (tstamp a09e65b2-a7ca-4bf9-a8b7-f8bec434fb43)) + (segment (start 112.9 99.85) (end 114.7 99.85) (width 0.15) (layer "F.Cu") (net 101) (tstamp 96e5b4c4-ee99-4fef-ae6d-5e3dfcad3914)) + (segment (start 101.7125 100.4) (end 100.55 100.4) (width 0.15) (layer "F.Cu") (net 101) (tstamp d6ba6955-8bf9-4fd3-a0d7-38f45248e375)) + (via (at 100.55 100.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp f551a373-ebbc-435c-bc71-8c0c469c7072)) + (via (at 114.7 99.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp fd848fd1-b8c6-4acf-ac68-66b521eccfeb)) + (segment (start 101.1 100.2) (end 100.75 100.2) (width 0.15) (layer "B.Cu") (net 101) (tstamp 05ea512b-a02f-4c95-9c0d-c45fea7a8699)) + (segment (start 101.45 99.85) (end 101.1 100.2) (width 0.15) (layer "B.Cu") (net 101) (tstamp 0bc1b709-da73-4137-9b33-399549d2fb2a)) + (segment (start 100.75 100.2) (end 100.55 100.4) (width 0.15) (layer "B.Cu") (net 101) (tstamp 19711402-493a-42b9-b514-8ef04a018a85)) + (segment (start 114.7 99.85) (end 101.45 99.85) (width 0.15) (layer "B.Cu") (net 101) (tstamp a84c6148-24f6-4e86-868e-5472231ab382)) + (segment (start 112.9 101.45) (end 114.05 101.45) (width 0.15) (layer "F.Cu") (net 102) (tstamp 5d60b1f0-7936-4164-9a36-afd4241b41ba)) + (segment (start 101.7125 103.4) (end 99.65 103.4) (width 0.15) (layer "F.Cu") (net 102) (tstamp 96a12c84-de43-4f2f-ac60-5609377b1c43)) + (via (at 99.65 103.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 7c3eec63-d95f-48bf-a636-8741acb8e065)) + (via (at 114.05 101.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp bc3f8692-b74e-41de-bfc4-bd7f7cead094)) + (segment (start 106.1 102.15) (end 109.55 102.15) (width 0.15) (layer "B.Cu") (net 102) (tstamp 522a734e-ff60-40ca-ae27-43d5f55fc087)) + (segment (start 99.65 103.4) (end 101.9 103.4) (width 0.15) (layer "B.Cu") (net 102) (tstamp 5feacb37-7c51-42e0-bd50-7489493ebb69)) + (segment (start 103.4 103.45) (end 103.75 103.1) (width 0.15) (layer "B.Cu") (net 102) (tstamp 6e50d24c-e855-4b92-a499-83c77426fc26)) + (segment (start 105.15 103.1) (end 106.1 102.15) (width 0.15) (layer "B.Cu") (net 102) (tstamp 7d5d58a1-a36a-44f9-84cb-7aa0b2bd5020)) + (segment (start 103.75 103.1) (end 105.15 103.1) (width 0.15) (layer "B.Cu") (net 102) (tstamp 8edf6ee6-942e-4d82-8146-985185ea1758)) + (segment (start 110.45 101.25) (end 113.85 101.25) (width 0.15) (layer "B.Cu") (net 102) (tstamp 8f503ac4-96b0-4a16-aae4-1aba6e0d32a3)) + (segment (start 109.55 102.15) (end 110.45 101.25) (width 0.15) (layer "B.Cu") (net 102) (tstamp 8f9a0384-015b-4a47-9c0b-a58c0bbfbdca)) + (segment (start 101.95 103.45) (end 103.4 103.45) (width 0.15) (layer "B.Cu") (net 102) (tstamp b3411fd1-e4d0-445a-baf7-f7422e227ecd)) + (segment (start 113.85 101.25) (end 114.05 101.45) (width 0.15) (layer "B.Cu") (net 102) (tstamp c618e737-4ede-479b-b085-c5fce76cdadf)) + (segment (start 101.9 103.4) (end 101.95 103.45) (width 0.15) (layer "B.Cu") (net 102) (tstamp f3ec4835-49f9-4a3f-8ce2-c06a33dd9b3b)) + (segment (start 110.25 118.15) (end 110.25 119.05) (width 0.15) (layer "F.Cu") (net 103) (tstamp 03d10b67-0ba6-4f61-a2c8-a36cc7f4f715)) + (segment (start 100.75 112.9) (end 103.45 115.6) (width 0.15) (layer "F.Cu") (net 103) (tstamp 13596c06-faf6-4aa3-9c11-070b7402375a)) + (segment (start 97.05 109.95) (end 96.85 110.15) (width 0.15) (layer "F.Cu") (net 103) (tstamp 1584b1d2-eda5-4444-94fc-5ba1aff4c551)) + (segment (start 107.7 115.6) (end 110.25 118.15) (width 0.15) (layer "F.Cu") (net 103) (tstamp 1d802846-c578-4a29-b6f1-20c90a93f6ac)) + (segment (start 97.05 109.0625) (end 97.05 109.95) (width 0.15) (layer "F.Cu") (net 103) (tstamp 84d3f751-6498-4212-ace8-26d0eeabcaa8)) + (segment (start 96.85 110.15) (end 96.85 111.6) (width 0.15) (layer "F.Cu") (net 103) (tstamp 84ede7c2-1ab5-42c6-b6ef-23f71ad8dbda)) + (segment (start 103.45 115.6) (end 107.7 115.6) (width 0.15) (layer "F.Cu") (net 103) (tstamp 9b13d7de-dff3-4d6b-a36a-adce0b7454bf)) + (segment (start 98.15 112.9) (end 100.75 112.9) (width 0.15) (layer "F.Cu") (net 103) (tstamp 9bfe86ff-77b2-4cde-b197-ad2a620e38f5)) + (segment (start 96.85 111.6) (end 98.15 112.9) (width 0.15) (layer "F.Cu") (net 103) (tstamp ea5ddfcc-0912-44ab-aa1d-8f243b9deed5)) + (segment (start 53.1994 93.3506) (end 51.1994 93.3506) (width 0.15) (layer "F.Cu") (net 105) (tstamp 3cdb21e9-2bdb-4090-a5e4-feaaa93c620d)) + (segment (start 53.213 96.458) (end 53.213 93.3642) (width 0.15) (layer "F.Cu") (net 105) (tstamp d3854237-ec68-4d46-9b4c-40e7e46e2510)) + (segment (start 53.213 93.3642) (end 53.1994 93.3506) (width 0.15) (layer "F.Cu") (net 105) (tstamp fae87e01-08ee-4326-be98-d2ec3e38e55e)) + (segment (start 101.7125 99.4) (end 100.55 99.4) (width 0.15) (layer "F.Cu") (net 106) (tstamp 38ee091b-cce4-41fc-ba7f-b097ac2d1d54)) + (segment (start 112.9 99.05) (end 114.05 99.05) (width 0.15) (layer "F.Cu") (net 106) (tstamp a86d8aa0-0aca-4795-8078-bdef874fd079)) + (via (at 114.05 99.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp 727f1876-f54d-491c-9265-372cf065f529)) + (via (at 100.55 99.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 106) (tstamp bc80c017-18f8-44ac-b401-895e94342b64)) + (segment (start 100.55 99.4) (end 100.7 99.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp 3769f05f-54de-4841-bb3f-5288ee1b7223)) + (segment (start 109.6 99.25) (end 109.8 99.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp b0a83193-fcf4-461d-b199-2cd39868f16f)) + (segment (start 100.7 99.25) (end 109.6 99.25) (width 0.15) (layer "B.Cu") (net 106) (tstamp b7ca3673-233d-419f-b969-735923c2a7c8)) + (segment (start 109.8 99.05) (end 114.05 99.05) (width 0.15) (layer "B.Cu") (net 106) (tstamp d5c02a4b-10bb-43cc-88a6-ef5079622732)) + (segment (start 124.4 98.25) (end 123.25 98.25) (width 0.15) (layer "F.Cu") (net 107) (tstamp 21744bfd-9518-4746-bff5-538d3d79010a)) + (segment (start 101.7125 98.9) (end 99.65 98.9) (width 0.15) (layer "F.Cu") (net 107) (tstamp 50c6d9a3-1af2-4b2c-a8dc-e53ec43170be)) + (via (at 99.65 98.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp 58e27ccd-0fa1-4829-9f3a-82df2e679d81)) + (via (at 123.25 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp db3ae858-4466-4d85-bf23-d0e260df38c6)) + (segment (start 99.65 98.9) (end 100.75 98.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp 1824b199-83f6-4f22-a867-bf6bf48faf4e)) + (segment (start 113.85 98.55) (end 114.3 98.55) (width 0.15) (layer "B.Cu") (net 107) (tstamp 2e7e88db-bc6a-42a5-b13f-8e6c1b617d31)) + (segment (start 114.9 98.75) (end 115.4 98.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp 3977e95a-5442-43b8-be61-8089bb556091)) + (segment (start 114.5 98.75) (end 114.9 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 4949f669-bf18-4bec-a0e6-695747bc8687)) + (segment (start 100.8 98.95) (end 109.45 98.95) (width 0.15) (layer "B.Cu") (net 107) (tstamp 6a059198-0c0b-4d3e-a116-7f9854710194)) + (segment (start 109.45 98.95) (end 109.65 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 799948cf-b0fd-47c3-b550-064bb3952594)) + (segment (start 114.3 98.55) (end 114.5 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp 8c0fecc9-b7d0-4c73-b00b-90e7ca98f089)) + (segment (start 113.65 98.75) (end 113.85 98.55) (width 0.15) (layer "B.Cu") (net 107) (tstamp 9fb632b2-5769-43ca-9875-f239578a1fa6)) + (segment (start 109.65 98.75) (end 113.65 98.75) (width 0.15) (layer "B.Cu") (net 107) (tstamp c6d8e2af-e532-402e-b3c1-b85f5d4ecf94)) + (segment (start 115.4 98.25) (end 123.25 98.25) (width 0.15) (layer "B.Cu") (net 107) (tstamp d0357894-7324-46bb-a0b4-a075acaf2082)) + (segment (start 100.75 98.9) (end 100.8 98.95) (width 0.15) (layer "B.Cu") (net 107) (tstamp fadd7bad-bb1a-4903-b798-53e8414a295d)) + (segment (start 101.7125 97.9) (end 99.65 97.9) (width 0.15) (layer "F.Cu") (net 108) (tstamp cdd51af2-168f-4870-b5cc-1dcfd8bcff26)) + (segment (start 112.9 98.25) (end 114.7 98.25) (width 0.15) (layer "F.Cu") (net 108) (tstamp fad038bd-372e-4320-bd0e-716c196bfb69)) + (via (at 99.65 97.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 010218a9-77f4-4f28-8aeb-9f0bda9f524c)) + (via (at 114.7 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 0e419580-90b7-439a-a5e9-2ff36c762116)) + (segment (start 114.7 98.25) (end 113.7 98.25) (width 0.15) (layer "B.Cu") (net 108) (tstamp 02a8bd7e-28f1-478d-9afb-501705f12439)) + (segment (start 113.7 98.25) (end 113.5 98.45) (width 0.15) (layer "B.Cu") (net 108) (tstamp 1676bbd2-52e9-457f-8d11-8cb6a426cf40)) + (segment (start 109.5 98.45) (end 109.3 98.65) (width 0.15) (layer "B.Cu") (net 108) (tstamp 4fce8cf7-5a9c-4c7a-a34d-a7fcb625a99d)) + (segment (start 113.5 98.45) (end 109.5 98.45) (width 0.15) (layer "B.Cu") (net 108) (tstamp 8bf0496e-7acc-48c2-a776-09bee665e52f)) + (segment (start 103.85 98.65) (end 103.1 97.9) (width 0.15) (layer "B.Cu") (net 108) (tstamp af788682-217e-4ea4-b366-faa1b8729a81)) + (segment (start 103.1 97.9) (end 99.65 97.9) (width 0.15) (layer "B.Cu") (net 108) (tstamp f1ed20e4-4f6a-491a-9cb9-518002c9edb6)) + (segment (start 109.3 98.65) (end 103.85 98.65) (width 0.15) (layer "B.Cu") (net 108) (tstamp fca688a2-397f-41c1-af7e-eecf8506e621)) + (segment (start 124.4 97.45) (end 122.6 97.45) (width 0.15) (layer "F.Cu") (net 109) (tstamp a9665cbf-93c5-435a-a50c-187b07e93c81)) + (segment (start 101.7125 96.4) (end 100.55 96.4) (width 0.15) (layer "F.Cu") (net 109) (tstamp cf192c62-521a-4063-851a-50b038a4e646)) + (via (at 122.6 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp 5d5024e5-3641-478e-9cdf-bbfe872df1d3)) + (via (at 100.55 96.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp a2b16df9-42f3-47d6-a240-a5d32468e8d5)) + (segment (start 108.9 96.2) (end 110.25 97.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 37bf7108-db7b-47fc-9144-77e2384dd62e)) + (segment (start 114.8 97.45) (end 122.6 97.45) (width 0.15) (layer "B.Cu") (net 109) (tstamp 53fac61e-a833-4407-ab67-9bed982b4e03)) + (segment (start 100.75 96.2) (end 102.35 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 5ec4ecec-fd5f-4652-b87e-0da99fe47c18)) + (segment (start 114.3 97.95) (end 114.8 97.45) (width 0.15) (layer "B.Cu") (net 109) (tstamp 5f3ec1ae-d53d-4ad9-8ae0-4fe5506118c4)) + (segment (start 100.55 96.4) (end 100.75 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp 753b9b33-d489-4e69-960e-2a48bd9ef7ec)) + (segment (start 110.25 97.55) (end 113.45 97.55) (width 0.15) (layer "B.Cu") (net 109) (tstamp 7fea3533-8b10-41d1-89c8-0313557825cd)) + (segment (start 103.55 96.2) (end 108.9 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp c1432e08-6d28-478e-ae94-e867f6af8a5d)) + (segment (start 102.35 96.2) (end 102.75 96.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp da526624-1ae9-4e46-ba7f-8267fbaa04d6)) + (segment (start 113.85 97.95) (end 114.3 97.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp ef58390c-ffa1-45d8-81c0-9bc6d737327f)) + (segment (start 102.75 96.6) (end 103.15 96.6) (width 0.15) (layer "B.Cu") (net 109) (tstamp f3ff0b73-3be8-4152-9abe-41174eee85c5)) + (segment (start 103.15 96.6) (end 103.55 96.2) (width 0.15) (layer "B.Cu") (net 109) (tstamp f82317c1-cbf2-496e-9eda-9adc9bf2273f)) + (segment (start 113.45 97.55) (end 113.85 97.95) (width 0.15) (layer "B.Cu") (net 109) (tstamp f90320e1-8475-4b6f-9b05-e398b2d43245)) + (segment (start 101.7125 95.9) (end 102.75 95.9) (width 0.15) (layer "F.Cu") (net 110) (tstamp 87ca525a-0480-411e-9e2a-ff6ae431ce41)) + (segment (start 102.75 95.9) (end 102.95 96.1) (width 0.15) (layer "F.Cu") (net 110) (tstamp 88024e70-7d79-4a2f-b30b-e6cdde200d9e)) + (segment (start 112.9 97.45) (end 114.05 97.45) (width 0.15) (layer "F.Cu") (net 110) (tstamp c519e67d-ae75-4b45-a284-799aeb14184d)) + (via (at 114.05 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 2001ef3c-8c26-4268-b5b5-1753c6b6939b)) + (via (at 102.95 96.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp dcd38665-31d2-43f9-9873-cb30edeb5068)) + (segment (start 110.4 97.25) (end 113.85 97.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 271b9c8a-b01e-402f-92ae-9f6ed90bd6b2)) + (segment (start 113.85 97.25) (end 114.05 97.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp 27dee903-c439-4cc8-b9d5-a726e1168551)) + (segment (start 102.95 96.1) (end 103.15 95.9) (width 0.15) (layer "B.Cu") (net 110) (tstamp 78cbc512-b733-44be-aeaa-92434da2a6ff)) + (segment (start 109.05 95.9) (end 110.4 97.25) (width 0.15) (layer "B.Cu") (net 110) (tstamp 97a65ae8-a7e4-4c07-9b30-89b7ecbc3d38)) + (segment (start 103.15 95.9) (end 109.05 95.9) (width 0.15) (layer "B.Cu") (net 110) (tstamp ed27c615-1762-4b8b-8ac0-3873a7456933)) + (segment (start 124.4 96.65) (end 123.25 96.65) (width 0.15) (layer "F.Cu") (net 111) (tstamp 04b946c0-31f2-4b17-8a3c-7b6694245691)) + (segment (start 101.7125 96.9) (end 99.65 96.9) (width 0.15) (layer "F.Cu") (net 111) (tstamp 2ca9feab-e83d-4c4d-8d1f-97e27e74cd51)) + (via (at 123.25 96.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp 932dc4e0-1b7e-49d4-bcc8-8f95840aa602)) + (via (at 99.65 96.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp e0360cfd-b9c5-4da7-8499-5762a7ceb9da)) + (segment (start 114.3 96.95) (end 110.55 96.95) (width 0.15) (layer "B.Cu") (net 111) (tstamp 0cb309bf-1860-4ac5-86f9-5219084ec388)) + (segment (start 123.25 96.65) (end 115.4 96.65) (width 0.15) (layer "B.Cu") (net 111) (tstamp 421d9caf-736d-4f2b-92b0-c3b7b88a2baf)) + (segment (start 114.5 97.15) (end 114.3 96.95) (width 0.15) (layer "B.Cu") (net 111) (tstamp 4ba3c490-a785-44d2-989f-050ce682abd8)) + (segment (start 114.9 97.15) (end 114.5 97.15) (width 0.15) (layer "B.Cu") (net 111) (tstamp 61dcd98b-cc54-4ff5-8335-f6542fe275ab)) + (segment (start 110.55 96.95) (end 109.2 95.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp 873e09a7-d667-4b97-b7a8-30ad92d55cc5)) + (segment (start 102.75 95.6) (end 102.45 95.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp c403f3c5-8a01-4e14-add2-b61e4eb933f4)) + (segment (start 115.4 96.65) (end 114.9 97.15) (width 0.15) (layer "B.Cu") (net 111) (tstamp c5b2a521-ac30-4a5f-bb6b-aa427325338b)) + (segment (start 102.45 95.9) (end 100.35 95.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp cb044df0-82a5-4582-a50d-2defbb19a43b)) + (segment (start 100.35 95.9) (end 99.65 96.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp db9c5e44-13ad-46dd-a3a8-c15057b716af)) + (segment (start 99.65 96.6) (end 99.65 96.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp ed1a8e3e-9d26-4b82-9518-230a311bc189)) + (segment (start 109.2 95.6) (end 102.75 95.6) (width 0.15) (layer "B.Cu") (net 111) (tstamp f0561e65-f3dd-412b-9086-9870544d2bab)) + (segment (start 99.05 94.8) (end 99.15 94.9) (width 0.15) (layer "F.Cu") (net 112) (tstamp 1ee8db72-11f9-49bb-b9ca-2da44d417c38)) + (segment (start 99.05 93.7375) (end 99.05 94.8) (width 0.15) (layer "F.Cu") (net 112) (tstamp 50dd326b-a243-4a13-addb-9fccc557a3e3)) + (segment (start 112.9 96.65) (end 114.7 96.65) (width 0.15) (layer "F.Cu") (net 112) (tstamp 51986a06-e019-4a9f-b20f-1eecadda4f06)) + (via (at 114.7 96.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp e67a4957-725e-4a2c-9739-e5977af2f7c3)) + (via (at 99.15 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp eabc430b-0dc2-4b9a-9464-e367773aced5)) + (segment (start 114.7 96.65) (end 111.65 96.65) (width 0.15) (layer "B.Cu") (net 112) (tstamp 6a8943ec-2b2f-438e-81e0-a0e6742fca77)) + (segment (start 111.65 96.65) (end 109.7 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp 86b755ba-5f40-4f5f-8471-3c26991c49f1)) + (segment (start 99.35 94.7) (end 99.15 94.9) (width 0.15) (layer "B.Cu") (net 112) (tstamp 927fe545-aa7c-4611-afaf-e62adab25e75)) + (segment (start 109.7 94.7) (end 99.35 94.7) (width 0.15) (layer "B.Cu") (net 112) (tstamp e35d50d7-9839-4980-b1d7-25cb5de278ee)) + (segment (start 96.7 94.95) (end 96.7 95.65) (width 0.15) (layer "F.Cu") (net 113) (tstamp 1a2521b4-e8b1-4fd2-b3d7-6b2a778d717c)) + (segment (start 97.05 94.6) (end 96.7 94.95) (width 0.15) (layer "F.Cu") (net 113) (tstamp 76c237a7-1585-4622-9d3e-f8957f048f31)) + (segment (start 112.9 95.85) (end 114.05 95.85) (width 0.15) (layer "F.Cu") (net 113) (tstamp ab9e9bbe-f793-4d22-aab2-8b24c0977b64)) + (segment (start 97.05 93.7375) (end 97.05 94.6) (width 0.15) (layer "F.Cu") (net 113) (tstamp c90bfb6e-4afd-4dd6-b267-8f9390c4105d)) + (via (at 96.7 95.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 8039e76e-4b63-4066-b2df-3913a8b24fb7)) + (via (at 114.05 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp e7df8884-b43e-49a1-975a-11b18c42723f)) + (segment (start 97.35 94.05) (end 96.7 94.7) (width 0.15) (layer "B.Cu") (net 113) (tstamp 1fb4af28-2bf5-4821-b324-d1dbb8fad577)) + (segment (start 96.7 94.7) (end 96.7 95.65) (width 0.15) (layer "B.Cu") (net 113) (tstamp 2ec6535f-d06e-43b9-81eb-2fd9b82d5f82)) + (segment (start 111.75 95.85) (end 109.95 94.05) (width 0.15) (layer "B.Cu") (net 113) (tstamp 31ef2f9d-515b-41aa-ac9b-f12cdc5cd940)) + (segment (start 114.05 95.85) (end 111.75 95.85) (width 0.15) (layer "B.Cu") (net 113) (tstamp 42c3b074-ee18-45df-aaa3-3ee481d97f1e)) + (segment (start 109.95 94.05) (end 97.35 94.05) (width 0.15) (layer "B.Cu") (net 113) (tstamp 94a82973-b3ea-4335-8aa4-f88e027dc13f)) + (segment (start 124.4 95.05) (end 123.25 95.05) (width 0.15) (layer "F.Cu") (net 114) (tstamp 07f9be8d-6892-4bcb-896d-47f7a326422e)) + (segment (start 96.05 93.7375) (end 96.05 94.9) (width 0.15) (layer "F.Cu") (net 114) (tstamp c7f4226b-8002-446a-a928-8390072873dc)) + (via (at 123.25 95.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 114) (tstamp 026ca6f1-1b73-4ce4-b4e5-306dc3e9a76c)) + (via (at 96.05 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 114) (tstamp f4080e19-7fdd-4908-8f74-2bb41c85cfec)) + (segment (start 96.05 94.9) (end 97.2 93.75) (width 0.15) (layer "B.Cu") (net 114) (tstamp 06e897df-4cfd-4c62-849e-7e56f8eefd22)) + (segment (start 110.1 93.75) (end 111.7 95.35) (width 0.15) (layer "B.Cu") (net 114) (tstamp 22b541e8-59b6-45f4-a4ad-d5fdacae3653)) + (segment (start 111.7 95.35) (end 114.3 95.35) (width 0.15) (layer "B.Cu") (net 114) (tstamp 28c82cbf-ed3e-441e-9273-ae3b83d304b3)) + (segment (start 114.5 95.55) (end 114.9 95.55) (width 0.15) (layer "B.Cu") (net 114) (tstamp 3bb10396-8b27-42e8-8998-ab4a5fa0e843)) + (segment (start 97.2 93.75) (end 110.1 93.75) (width 0.15) (layer "B.Cu") (net 114) (tstamp 54a09ddb-0e3f-45a8-a567-605e3676b49a)) + (segment (start 114.9 95.55) (end 115.4 95.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp 5a36c6f3-fc69-492c-845a-4bd566b9a804)) + (segment (start 114.3 95.35) (end 114.5 95.55) (width 0.15) (layer "B.Cu") (net 114) (tstamp 66024d0e-da34-4972-b116-d34990bb24f0)) + (segment (start 115.4 95.05) (end 123.25 95.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp c86d9ede-623a-43c4-87f1-97181108cbcf)) + (segment (start 96.55 93.7375) (end 96.55 92.7) (width 0.15) (layer "F.Cu") (net 115) (tstamp 162981c3-0209-44c6-b6a5-9bfe572a55e4)) + (segment (start 96.55 92.7) (end 96.45 92.6) (width 0.15) (layer "F.Cu") (net 115) (tstamp 81f2ed51-fd3a-459e-8f35-188bb9768201)) + (segment (start 124.4 94.25) (end 122.6 94.25) (width 0.15) (layer "F.Cu") (net 115) (tstamp a343859f-e8ea-4b17-9746-680f27abbdcd)) + (via (at 96.45 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp 1a8442a5-aee2-4504-ad24-bb861f653dbc)) + (via (at 122.6 94.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp cc69cd1d-4091-4d4f-805c-19ff2924cedf)) + (segment (start 114.8 94.25) (end 114.3 94.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp 17c06a7e-f66d-4a29-ba4f-66ca9b73ef15)) + (segment (start 111.95 94.75) (end 110.35 93.15) (width 0.15) (layer "B.Cu") (net 115) (tstamp 731a4a2b-09f6-4c03-bcba-de8ad7426a35)) + (segment (start 110.35 93.15) (end 97 93.15) (width 0.15) (layer "B.Cu") (net 115) (tstamp 79d4b281-cead-4d59-b0b9-e38ecc758619)) + (segment (start 122.6 94.25) (end 114.8 94.25) (width 0.15) (layer "B.Cu") (net 115) (tstamp 975d6637-a3cd-4746-afe6-3243f0b9bf16)) + (segment (start 114.3 94.75) (end 111.95 94.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp 9c260014-ae67-4a2a-b434-e0d5e0286f3d)) + (segment (start 97 93.15) (end 96.45 92.6) (width 0.15) (layer "B.Cu") (net 115) (tstamp fbdd4cd5-b580-441e-aa9b-a2e315a95ed5)) + (segment (start 99.55 93.7375) (end 99.55 92.6) (width 0.15) (layer "F.Cu") (net 116) (tstamp 08841ac1-3054-4153-9e72-14673ec042e3)) + (segment (start 112.9 94.25) (end 114.05 94.25) (width 0.15) (layer "F.Cu") (net 116) (tstamp 1681667d-1b22-4cc6-a3b7-9f88242b1a18)) + (via (at 114.05 94.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 48b29a6a-5e2e-4796-8b02-c25754a5036b)) + (via (at 99.55 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 60797d33-af72-4ab3-b6bf-9d79615532a9)) + (segment (start 99.8 92.85) (end 110.5 92.85) (width 0.15) (layer "B.Cu") (net 116) (tstamp 1b12f211-3ce8-4328-bc7e-5669e77eaf0b)) + (segment (start 99.55 92.6) (end 99.8 92.85) (width 0.15) (layer "B.Cu") (net 116) (tstamp 39273b83-44fb-4c14-b539-5768cc53a022)) + (segment (start 111.9 94.25) (end 114.05 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp 6fadb7ed-1392-414f-9ac2-078967ced214)) + (segment (start 110.5 92.85) (end 111.9 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp c883392e-6a53-4f62-b5f6-bf33e511dee7)) + (segment (start 75.85 104.9) (end 77.7 106.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp 0164203c-82e3-4b35-957e-3db884629d76)) + (segment (start 68.2625 107.442) (end 68.2625 110.998) (width 0.15) (layer "F.Cu") (net 117) (tstamp 14bd98ff-6d51-4489-b410-5c5c8d5035c2)) + (segment (start 63.754 115.5065) (end 63.754 118.6815) (width 0.15) (layer "F.Cu") (net 117) (tstamp 1d18194f-d32b-46aa-b9f9-e90a8a5c95f0)) + (segment (start 79.756 95.9485) (end 79.756 97.061) (width 0.15) (layer "F.Cu") (net 117) (tstamp 1d25fa15-7af4-4320-a448-950eb58aa1bb)) + (segment (start 80.01 95.6945) (end 79.756 95.9485) (width 0.15) (layer "F.Cu") (net 117) (tstamp 37da3976-0649-4b60-9164-8f9e3a5b8433)) + (segment (start 68.2625 110.998) (end 63.754 115.5065) (width 0.15) (layer "F.Cu") (net 117) (tstamp 39bf0136-8209-4e49-814f-3e6d8ccca2a0)) + (segment (start 79.756 98.298) (end 75.85 102.204) (width 0.15) (layer "F.Cu") (net 117) (tstamp 3a37926b-33c9-4747-aa79-1d0eecd992d2)) + (segment (start 83.15 106.4) (end 86.3875 106.4) (width 0.15) (layer "F.Cu") (net 117) (tstamp 56648327-2692-44c3-a61d-8260e366b034)) + (segment (start 79.756 97.061) (end 79.756 98.298) (width 0.15) (layer "F.Cu") (net 117) (tstamp 62b80929-11e4-40da-85cb-1a4ae0212d02)) + (segment (start 82.8 106.75) (end 83.15 106.4) (width 0.15) (layer "F.Cu") (net 117) (tstamp 6bf15a25-ccea-46a4-8d4d-4b0bec858dc6)) + (segment (start 75.85 102.204) (end 75.85 104.9) (width 0.15) (layer "F.Cu") (net 117) (tstamp 83a75e21-f5ff-49f3-a51e-1ad92fcf6624)) + (segment (start 70.0405 105.664) (end 68.2625 107.442) (width 0.15) (layer "F.Cu") (net 117) (tstamp 9f8cffb0-bc10-4c12-b414-670e4470a648)) + (segment (start 67.6275 120.142) (end 68.3895 120.904) (width 0.15) (layer "F.Cu") (net 117) (tstamp aa888815-a6d0-4697-babe-de05df3195f1)) + (segment (start 65.2145 120.142) (end 67.6275 120.142) (width 0.15) (layer "F.Cu") (net 117) (tstamp b17f9285-ad74-4191-b8da-abb3f977b49c)) + (segment (start 63.754 118.6815) (end 65.2145 120.142) (width 0.15) (layer "F.Cu") (net 117) (tstamp b3e6b24e-9cfe-4b0d-a4ac-15023ed1ad02)) + (segment (start 77.7 106.75) (end 82.8 106.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp d164b71a-002a-4acf-8c88-8d49a5cc4e5b)) + (via (at 80.01 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp d6b9ac0b-fd48-4b15-8b1d-a9d3b240c26d)) + (via (at 70.0405 105.664) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp ed8d1f65-e8ce-4443-b651-5e56526c4a01)) + (segment (start 70.0405 105.664) (end 71.9455 105.664) (width 0.15) (layer "B.Cu") (net 117) (tstamp 29098d57-810f-4be1-b8e8-81a965bd3631)) + (segment (start 80.01 97.5995) (end 80.01 95.6945) (width 0.15) (layer "B.Cu") (net 117) (tstamp 6ee22479-62f8-4a99-bdc0-70edab477ca0)) + (segment (start 71.9455 105.664) (end 80.01 97.5995) (width 0.15) (layer "B.Cu") (net 117) (tstamp 9d48755d-2524-43e3-92e3-06b2f9e07c8d)) + (segment (start 69.9135 120.904) (end 69.6595 120.904) (width 0.15) (layer "F.Cu") (net 118) (tstamp 053e2f44-71b4-4de8-9408-1dfd1859f12f)) + (segment (start 70.0405 112.3315) (end 73.5965 115.8875) (width 0.15) (layer "F.Cu") (net 118) (tstamp 18f7f917-fc7f-4a78-8f3a-5010942bc6be)) + (segment (start 73.5965 115.8875) (end 73.5965 117.983) (width 0.15) (layer "F.Cu") (net 118) (tstamp 2e27ce50-e48d-4894-8e25-cb93805de12f)) + (segment (start 86.3875 105.9) (end 85.4 105.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp 307ba85a-ce10-4189-b6d9-55e82feaca56)) + (segment (start 70.2945 108.1405) (end 70.0405 108.3945) (width 0.15) (layer "F.Cu") (net 118) (tstamp 31342641-392a-4d38-af4f-a014e4877222)) + (segment (start 73.5965 117.983) (end 72.4535 119.126) (width 0.15) (layer "F.Cu") (net 118) (tstamp 328b6fd4-3f80-4d30-9d19-bbdfa392702e)) + (segment (start 77.216 105.8035) (end 77.8625 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp 3b335ad3-b44b-47f7-9029-660fdaa17781)) + (segment (start 77.216 104.361) (end 77.216 103.124) (width 0.15) (layer "F.Cu") (net 118) (tstamp 58679917-7e53-4894-978b-f6afbcd1ec6b)) + (segment (start 85.4 105.9) (end 85.2 106.1) (width 0.15) (layer "F.Cu") (net 118) (tstamp 63021d80-0302-4d2b-a8b5-08c5c5cd698d)) + (segment (start 77.216 104.361) (end 77.216 105.8035) (width 0.15) (layer "F.Cu") (net 118) (tstamp 7ec43dca-646a-493a-8d40-71377d04e974)) + (segment (start 77.8625 106.45) (end 82.65 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp 88a8a6da-0ea4-4f74-b563-48926894a6ee)) + (segment (start 72.4535 119.126) (end 71.6915 119.126) (width 0.15) (layer "F.Cu") (net 118) (tstamp b55dcc64-ac46-4d83-bddb-312fc9098169)) + (segment (start 71.6915 119.126) (end 69.9135 120.904) (width 0.15) (layer "F.Cu") (net 118) (tstamp ccfc7d8f-7644-4269-85e4-ed52d93460fd)) + (segment (start 70.0405 108.3945) (end 70.0405 112.3315) (width 0.15) (layer "F.Cu") (net 118) (tstamp daceeb65-8c06-4284-9c32-d8bfb2483c70)) + (segment (start 83 106.1) (end 82.65 106.45) (width 0.15) (layer "F.Cu") (net 118) (tstamp e15e3b0d-c830-4636-804a-50b8fab879dc)) + (segment (start 85.2 106.1) (end 83 106.1) (width 0.15) (layer "F.Cu") (net 118) (tstamp fdad7730-36ba-4f09-8f53-abbb7713c2a3)) + (via (at 70.2945 108.1405) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp 80c9c49c-d71e-4500-aa29-baaca296e1a3)) + (via (at 77.216 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp c6557b60-9850-4372-bc8d-cea565de91ee)) + (segment (start 72.1995 108.1405) (end 77.216 103.124) (width 0.15) (layer "B.Cu") (net 118) (tstamp 171cfbd0-9314-4524-86b9-c24b912f7956)) + (segment (start 70.2945 108.1405) (end 72.1995 108.1405) (width 0.15) (layer "B.Cu") (net 118) (tstamp fca942cc-8027-4a48-b169-68a614a145a4)) + (segment (start 82.95 101.4) (end 86.3875 101.4) (width 0.15) (layer "F.Cu") (net 119) (tstamp 13c501b2-4ede-4392-9117-902a5e4b2aa6)) + (segment (start 81.026 99.476) (end 82.95 101.4) (width 0.15) (layer "F.Cu") (net 119) (tstamp 1bbda31a-9fe7-408b-93fd-3cbb271bd0ae)) + (segment (start 81.026 95.758) (end 81.0895 95.6945) (width 0.15) (layer "F.Cu") (net 119) (tstamp 7e4c98d7-53e3-418f-bb54-ac41b5740cc6)) + (segment (start 65.5955 119.126) (end 67.6275 119.126) (width 0.15) (layer "F.Cu") (net 119) (tstamp 8b8ce712-836d-40a0-b017-b3ba7b33a3c4)) + (segment (start 69.1515 107.823) (end 69.1515 111.506) (width 0.15) (layer "F.Cu") (net 119) (tstamp 8bace094-962d-4070-9f7d-fe5e47874144)) + (segment (start 67.6275 119.126) (end 68.3895 118.364) (width 0.15) (layer "F.Cu") (net 119) (tstamp 8bf7a665-5410-4c89-94ae-0480950a71be)) + (segment (start 70.0405 106.934) (end 69.1515 107.823) (width 0.15) (layer "F.Cu") (net 119) (tstamp 91b2556c-a83f-43ae-8da8-0cbc93a4a5b2)) + (segment (start 69.1515 111.506) (end 64.4525 116.205) (width 0.15) (layer "F.Cu") (net 119) (tstamp 98931c2e-f01c-4444-a3d6-35468a4ad1ee)) + (segment (start 81.026 97.061) (end 81.026 95.758) (width 0.15) (layer "F.Cu") (net 119) (tstamp b88d6497-ab4e-4b4f-87c6-e3796fe623b7)) + (segment (start 81.026 97.061) (end 81.026 99.476) (width 0.15) (layer "F.Cu") (net 119) (tstamp b9fc449b-a8f9-41b3-8680-e484c4d87630)) + (segment (start 64.4525 116.205) (end 64.4525 117.983) (width 0.15) (layer "F.Cu") (net 119) (tstamp ea88da52-6c24-4587-b2bb-8e7d5e0f74e3)) + (segment (start 64.4525 117.983) (end 65.5955 119.126) (width 0.15) (layer "F.Cu") (net 119) (tstamp f547a4ee-03a6-4f3f-8215-d9682325176f)) + (via (at 70.0405 106.934) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp 5f5b02f2-0197-4136-bbb7-3189a6b30314)) + (via (at 81.0895 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp ac42c6eb-d016-4eeb-951a-506c29174317)) + (segment (start 81.0895 97.8535) (end 81.0895 95.6945) (width 0.15) (layer "B.Cu") (net 119) (tstamp 40062910-13be-4619-9768-eae4dfc44737)) + (segment (start 72.009 106.934) (end 81.0895 97.8535) (width 0.15) (layer "B.Cu") (net 119) (tstamp bdab30f2-5193-495b-aed3-69673028246a)) + (segment (start 70.0405 106.934) (end 72.009 106.934) (width 0.15) (layer "B.Cu") (net 119) (tstamp cb7e9087-0ff8-4537-a0e1-ca8ee60a61a7)) + (segment (start 78.486 103.124) (end 78.486 104.361) (width 0.15) (layer "F.Cu") (net 120) (tstamp 1b9e4f4d-76a9-44f3-ac5b-f4c9f10403b1)) + (segment (start 86.3875 105.4) (end 85.25 105.4) (width 0.15) (layer "F.Cu") (net 120) (tstamp 54852ad3-cc86-411a-bfb5-3bd1d07df37e)) + (segment (start 79.1 108.3) (end 80.1 109.3) (width 0.15) (layer "F.Cu") (net 120) (tstamp 852cc8bb-6576-4f3d-a238-cf44368f75f7)) + (segment (start 79.1 108.1) (end 79.1 108.3) (width 0.15) (layer "F.Cu") (net 120) (tstamp 9dd86dc3-8544-4db8-bb5d-db1139074da1)) + (segment (start 80.1 109.3) (end 80.95 109.3) (width 0.15) (layer "F.Cu") (net 120) (tstamp b0e1feb3-f5e2-4fdf-b5e4-2813b5b52db6)) + (via (at 78.486 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp 593cf5ca-712a-4a7d-80ac-70cb0d39de4f)) + (via (at 79.1 108.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp 63e1e6f8-4eb3-4ea4-9166-6a511a24c045)) + (via (at 85.25 105.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp fcc8b4fe-e130-4fe9-b0b0-91f39117a2c5)) + (segment (start 79.1 106.6) (end 79.1 108.1) (width 0.15) (layer "B.Cu") (net 120) (tstamp 07dff2b5-2c49-4129-8441-3360c77d597b)) + (segment (start 78.486 103.124) (end 79.1 103.738) (width 0.15) (layer "B.Cu") (net 120) (tstamp 12f37fb9-aa4c-414e-8e71-067f21c6738b)) + (segment (start 79.25 106.45) (end 79.1 106.6) (width 0.15) (layer "B.Cu") (net 120) (tstamp 1af7e991-361a-4909-b496-dd48a97b64d4)) + (segment (start 79.1 103.738) (end 79.1 106.3) (width 0.15) (layer "B.Cu") (net 120) (tstamp 6710e9b9-c1f4-42a6-a021-35791ead85e9)) + (segment (start 84.2 106.45) (end 79.25 106.45) (width 0.15) (layer "B.Cu") (net 120) (tstamp 73da2abc-feb5-4646-b8c5-cf0a804bc24f)) + (segment (start 85.25 105.4) (end 84.2 106.45) (width 0.15) (layer "B.Cu") (net 120) (tstamp 957d2ac0-bc76-409b-a620-642733e5b40a)) + (segment (start 79.25 106.45) (end 79.1 106.3) (width 0.15) (layer "B.Cu") (net 120) (tstamp b0838d84-ddea-4ed5-a048-eab2c5f4d25a)) + (segment (start 91.05 108.2) (end 91.05 109.0625) (width 0.15) (layer "F.Cu") (net 121) (tstamp 748baac4-6cb4-406b-bab7-3d3a69f14741)) + (segment (start 115 120.5) (end 115 119.6) (width 0.15) (layer "F.Cu") (net 121) (tstamp 8a1922dd-fd7d-4f81-98ab-ffabbc03f8c1)) + (segment (start 91.8 107.8) (end 91.45 107.8) (width 0.15) (layer "F.Cu") (net 121) (tstamp dccd5455-d202-43b9-b071-d354d0929a16)) + (segment (start 91.45 107.8) (end 91.05 108.2) (width 0.15) (layer "F.Cu") (net 121) (tstamp f60203b2-36ae-4d3f-9d18-e506bb36bdb7)) + (via (at 91.8 107.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 121) (tstamp 399228d8-2ad2-4254-9dbc-a588832983a0)) + (via (at 115 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 121) (tstamp c71b9f19-7473-4daf-ac8f-258f1098cce8)) + (segment (start 110.3 121) (end 113.6 121) (width 0.15) (layer "B.Cu") (net 121) (tstamp 064bf1f4-29b9-4ddd-b440-3a9d7c6d4574)) + (segment (start 95.3 116.95) (end 106.25 116.95) (width 0.15) (layer "B.Cu") (net 121) (tstamp 17434fd7-ba84-43a0-ab15-bc0befc9d95b)) + (segment (start 106.25 116.95) (end 110.3 121) (width 0.15) (layer "B.Cu") (net 121) (tstamp 41dc2a3d-e179-46c8-aeda-da089dd029c1)) + (segment (start 91.8 107.8) (end 91.8 113.45) (width 0.15) (layer "B.Cu") (net 121) (tstamp 4f23ec20-2a0d-4a4c-b8e2-fd82f47c58fb)) + (segment (start 113.6 121) (end 115 119.6) (width 0.15) (layer "B.Cu") (net 121) (tstamp b573faa4-e3ec-456f-8846-a19818c1f6b5)) + (segment (start 91.8 113.45) (end 95.3 116.95) (width 0.15) (layer "B.Cu") (net 121) (tstamp c63b52c8-5a7c-4fb2-9e13-d46bdadd0ae4)) + (segment (start 62.357 114.1095) (end 62.357 124.7775) (width 0.15) (layer "F.Cu") (net 122) (tstamp 3e2c0f9c-9a2c-46d5-8a96-84f18539259e)) + (segment (start 62.738 125.1585) (end 63.4365 125.1585) (width 0.15) (layer "F.Cu") (net 122) (tstamp 41ac2a96-8091-4d37-bb4f-c50cdd39f397)) + (segment (start 70.0165 103.124) (end 66.4845 106.656) (width 0.15) (layer "F.Cu") (net 122) (tstamp 42045fc3-e018-4f31-836f-25e9f3f573b3)) + (segment (start 66.4845 106.656) (end 66.4845 109.982) (width 0.15) (layer "F.Cu") (net 122) (tstamp 4524c658-29c9-4477-8e5f-024c2ff76209)) + (segment (start 63.4365 125.1585) (end 66.334 125.1585) (width 0.15) (layer "F.Cu") (net 122) (tstamp 6468e2f0-5c51-4724-8c34-10fe60d33334)) + (segment (start 68.0485 123.444) (end 68.3895 123.444) (width 0.15) (layer "F.Cu") (net 122) (tstamp 674c1d89-4dc5-4102-b3c8-31115afd83e2)) + (segment (start 66.334 125.1585) (end 68.0485 123.444) (width 0.15) (layer "F.Cu") (net 122) (tstamp a20c1099-e7e2-463e-a5ec-4ec872620dbf)) + (segment (start 70.0405 103.124) (end 70.0165 103.124) (width 0.15) (layer "F.Cu") (net 122) (tstamp bc43cd11-8301-4872-8ff3-74797b98bc25)) + (segment (start 62.357 124.7775) (end 62.738 125.1585) (width 0.15) (layer "F.Cu") (net 122) (tstamp d26a1b82-7aeb-4526-9f18-164586054056)) + (segment (start 78.486 95.9485) (end 78.486 97.061) (width 0.15) (layer "F.Cu") (net 122) (tstamp f13f5d24-50d9-4e7d-8178-5f54100484dc)) + (segment (start 66.4845 109.982) (end 62.357 114.1095) (width 0.15) (layer "F.Cu") (net 122) (tstamp fd84b04c-9f67-4343-bd96-7fe38596ea2b)) + (segment (start 78.232 95.6945) (end 78.486 95.9485) (width 0.15) (layer "F.Cu") (net 122) (tstamp ff913b1f-26b4-450a-b2da-2b11d5609efb)) + (via (at 78.232 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 0c17420f-914f-47df-a097-2e67571da4a1)) + (via (at 70.0405 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp 301fb5ee-898f-4873-bb64-76b8bcac660b)) + (segment (start 78.232 96.568) (end 78.232 95.6945) (width 0.15) (layer "B.Cu") (net 122) (tstamp 34854977-3571-4b23-9ab8-a46b117ddfdf)) + (segment (start 71.676 103.124) (end 78.232 96.568) (width 0.15) (layer "B.Cu") (net 122) (tstamp 8d80e06b-2cb2-40dc-9a61-4744efc2be36)) + (segment (start 70.0405 103.124) (end 71.676 103.124) (width 0.15) (layer "B.Cu") (net 122) (tstamp f9fb7df6-c89a-452f-9b88-1683b7fd1cd1)) + (segment (start 63.0555 114.808) (end 63.0555 119.1895) (width 0.15) (layer "F.Cu") (net 123) (tstamp 26d7b08c-2df8-40d1-a14e-d47ec8feca6d)) + (segment (start 79.756 104.361) (end 79.756 103.124) (width 0.15) (layer "F.Cu") (net 123) (tstamp 332ee0aa-7f49-4f8a-9d24-a159d16cc440)) + (segment (start 67.3735 107.061) (end 67.3735 110.49) (width 0.15) (layer "F.Cu") (net 123) (tstamp 666b6665-514b-4e03-9ee9-a2d1c1ac99d2)) + (segment (start 64.389 120.4595) (end 63.4365 120.4595) (width 0.15) (layer "F.Cu") (net 123) (tstamp 855ac331-3110-4950-ad19-48798028ac37)) + (segment (start 67.3735 110.49) (end 63.0555 114.808) (width 0.15) (layer "F.Cu") (net 123) (tstamp 9998aa3a-adf8-4732-8287-c1fc9fa905d6)) + (segment (start 63.0555 119.1895) (end 63.4365 119.5705) (width 0.15) (layer "F.Cu") (net 123) (tstamp 9ff6c849-6db7-4a6f-aa03-31189c8d7b5e)) + (segment (start 67.1195 120.904) (end 64.8335 120.904) (width 0.15) (layer "F.Cu") (net 123) (tstamp aa2ef207-693a-4d23-ac6b-06e29b5ce866)) + (segment (start 70.0405 104.394) (end 67.3735 107.061) (width 0.15) (layer "F.Cu") (net 123) (tstamp ed1ab72b-373c-4cce-9793-25c74fabd062)) + (segment (start 64.8335 120.904) (end 64.389 120.4595) (width 0.15) (layer "F.Cu") (net 123) (tstamp f0ca504b-65b9-49ed-b07c-c08f684aecd5)) + (segment (start 68.3895 122.174) (end 67.1195 120.904) (width 0.15) (layer "F.Cu") (net 123) (tstamp f1728cd4-2d14-48b8-b37a-4524adba028e)) + (segment (start 63.4365 119.5705) (end 63.4365 120.4595) (width 0.15) (layer "F.Cu") (net 123) (tstamp ffb04248-3e8c-41d9-8c51-30215e289696)) + (via (at 70.0405 104.394) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 123) (tstamp 402d116b-3ada-4e44-9d90-a65eb074d289)) + (via (at 79.756 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 123) (tstamp ae8fa8f8-275e-4e6e-ad86-83be2e1981f6)) + (segment (start 81.9 95.1715) (end 81.9 98.35) (width 0.15) (layer "B.Cu") (net 123) (tstamp 0181ca46-0bb3-46c3-9446-51b92b556181)) + (segment (start 79.756 100.494) (end 79.756 103.124) (width 0.15) (layer "B.Cu") (net 123) (tstamp 0724c565-c053-4544-8412-ab5e8ee27552)) + (segment (start 70.0405 104.394) (end 71.8185 104.394) (width 0.15) (layer "B.Cu") (net 123) (tstamp 15e8cd93-8f5d-4f80-9b69-efd6ff0b5307)) + (segment (start 79.4385 94.8055) (end 81.534 94.8055) (width 0.15) (layer "B.Cu") (net 123) (tstamp 276067bb-8aa9-4510-8fb9-019074f68dba)) + (segment (start 79.121 95.123) (end 79.4385 94.8055) (width 0.15) (layer "B.Cu") (net 123) (tstamp 35664cb9-6829-4e42-85aa-a66e130fe5f4)) + (segment (start 79.121 97.0915) (end 79.121 95.123) (width 0.15) (layer "B.Cu") (net 123) (tstamp 3a19920d-cee2-47bd-9079-61bafd7a496d)) + (segment (start 81.9 98.35) (end 79.756 100.494) (width 0.15) (layer "B.Cu") (net 123) (tstamp 45649280-dadc-4545-9b40-deccb751d42c)) + (segment (start 81.534 94.8055) (end 81.9 95.1715) (width 0.15) (layer "B.Cu") (net 123) (tstamp 4bb539c4-44cf-434f-b2fc-40582f8f8f88)) + (segment (start 71.8185 104.394) (end 79.121 97.0915) (width 0.15) (layer "B.Cu") (net 123) (tstamp ec557ac5-17a3-47ff-bfc7-8053245621f6)) + (segment (start 96.05 109.95) (end 96.25 110.15) (width 0.15) (layer "F.Cu") (net 124) (tstamp 1a9103a1-fcc5-428b-98f2-31a2ccea949e)) + (segment (start 97.2 113.8) (end 100.3 113.8) (width 0.15) (layer "F.Cu") (net 124) (tstamp 26a146df-ea5d-4479-9d7c-4dd537c86e84)) + (segment (start 96.25 112.85) (end 97.2 113.8) (width 0.15) (layer "F.Cu") (net 124) (tstamp 48814570-ea1a-4c7a-9b80-d794c263b609)) + (segment (start 108.3 118.15) (end 108.3 119.05) (width 0.15) (layer "F.Cu") (net 124) (tstamp 5ca3bfff-de2b-4d04-b73d-2063acb1956d)) + (segment (start 103 116.5) (end 106.65 116.5) (width 0.15) (layer "F.Cu") (net 124) (tstamp 6df073ae-95e8-459d-a51e-9d5529e08f81)) + (segment (start 100.3 113.8) (end 103 116.5) (width 0.15) (layer "F.Cu") (net 124) (tstamp 8c69310e-f4be-443c-8d2d-aa6c86468892)) + (segment (start 106.65 116.5) (end 108.3 118.15) (width 0.15) (layer "F.Cu") (net 124) (tstamp 9fee2072-9cf9-48bb-b8b2-3e4fbf0e8444)) + (segment (start 96.25 110.15) (end 96.25 112.85) (width 0.15) (layer "F.Cu") (net 124) (tstamp bbf8d1d7-80b9-4fa2-8ece-4f44ce600fca)) + (segment (start 96.05 109.0625) (end 96.05 109.95) (width 0.15) (layer "F.Cu") (net 124) (tstamp e90934f3-98d0-43a1-a058-5c57f6a0c51d)) + (segment (start 54.6919 94.8506) (end 55.9114 94.8506) (width 0.15) (layer "F.Cu") (net 125) (tstamp 054afbb1-f95b-4ebd-b39b-da2f01b6d51b)) + (segment (start 55.3994 91.6506) (end 56.5494 91.6506) (width 0.15) (layer "F.Cu") (net 125) (tstamp 2830e7b1-cb7f-4fa0-8e59-8955e6440b2c)) + (segment (start 55.3994 90.0012) (end 55.4 90.0006) (width 0.15) (layer "F.Cu") (net 125) (tstamp 28b80d8d-409d-4f6e-ae2b-ca15c234f3f0)) + (segment (start 56.75 91.85) (end 56.75 94) (width 0.15) (layer "F.Cu") (net 125) (tstamp 31ff130f-0672-415e-86e6-0ae458699b4b)) + (segment (start 56.55 91.65) (end 56.75 91.85) (width 0.15) (layer "F.Cu") (net 125) (tstamp 4cef11de-7cd4-4f0d-830f-58154f09f15d)) + (segment (start 55.3994 91.6506) (end 55.3994 90.0012) (width 0.15) (layer "F.Cu") (net 125) (tstamp 51fdc533-1d8c-49d9-9054-6a88baf68805)) + (segment (start 54.483 96.458) (end 54.483 95.0595) (width 0.15) (layer "F.Cu") (net 125) (tstamp 5b7710df-c57b-4fd0-bea2-c09dbb7a2129)) + (segment (start 56.5494 91.6506) (end 56.55 91.65) (width 0.15) (layer "F.Cu") (net 125) (tstamp 6e7f01fe-2e1b-40f2-8fbd-ff11161c7a2e)) + (segment (start 54.483 95.0595) (end 54.6919 94.8506) (width 0.15) (layer "F.Cu") (net 125) (tstamp 9137a5db-d222-44de-ab11-8c9cae065808)) + (segment (start 56.75 94) (end 55.8994 94.8506) (width 0.15) (layer "F.Cu") (net 125) (tstamp a7e42594-ccc5-4b14-a555-93233caf1128)) + (segment (start 55.0765 99.8) (end 49.675 99.8) (width 0.15) (layer "F.Cu") (net 130) (tstamp 18abb7e2-57e8-4c45-a770-1003b6b59b4c)) + (segment (start 57.023 96.458) (end 57.023 97.8535) (width 0.15) (layer "F.Cu") (net 130) (tstamp 6b1e5b90-ce10-4cfe-ace3-f61269770058)) + (segment (start 57.023 97.8535) (end 55.0765 99.8) (width 0.15) (layer "F.Cu") (net 130) (tstamp e86985a0-cbeb-4956-806a-07071eafce59)) + (segment (start 54.932 99.5) (end 54.6735 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 0411c589-006c-4a8a-afe5-4d58b82a293d)) + (segment (start 55.753 96.458) (end 55.753 98.679) (width 0.15) (layer "F.Cu") (net 131) (tstamp 11ac9abf-384b-42d0-9b1e-740bc27128f2)) + (segment (start 53.7735 99.159607) (end 53.7735 99.2) (width 0.15) (layer "F.Cu") (net 131) (tstamp 3f6b4cc0-56d9-4512-ad25-ec808faa7343)) + (segment (start 53.4735 99.5) (end 50.9 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 5f0f6fe7-d9be-4582-9dbe-3153e7a65ae2)) + (segment (start 50.9 99.5) (end 50.55 99.15) (width 0.15) (layer "F.Cu") (net 131) (tstamp 62efda61-9bd0-411f-b7ee-0743dbc18122)) + (segment (start 54.3735 99.2) (end 54.3735 99.159607) (width 0.15) (layer "F.Cu") (net 131) (tstamp 70b6e24f-c682-41c8-864e-618ca1ea38d5)) + (segment (start 55.753 98.679) (end 54.932 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 7d03a030-a74f-4d4a-bfb4-d889232c9505)) + (segment (start 50.55 99.15) (end 49.675 99.15) (width 0.15) (layer "F.Cu") (net 131) (tstamp e21afc11-bc2a-445a-a864-f9c91b14565e)) + (arc (start 54.3735 99.159607) (mid 54.285632 98.947475) (end 54.0735 98.859607) (width 0.15) (layer "F.Cu") (net 131) (tstamp 0c8e4cdc-eae3-472a-8fc1-a52274d90b47)) + (arc (start 53.7735 99.2) (mid 53.685632 99.412132) (end 53.4735 99.5) (width 0.15) (layer "F.Cu") (net 131) (tstamp 4cd7e03c-6ba3-48f8-b694-60d88d14bd39)) + (arc (start 54.0735 98.859607) (mid 53.861368 98.947475) (end 53.7735 99.159607) (width 0.15) (layer "F.Cu") (net 131) (tstamp 5b98945b-baa6-4f91-ad7d-bdf20bc3b017)) + (arc (start 54.6735 99.5) (mid 54.461368 99.412132) (end 54.3735 99.2) (width 0.15) (layer "F.Cu") (net 131) (tstamp c1c2854a-e54b-4ec3-bfae-9a38bb9e4bda)) + (segment (start 74.295 123.5075) (end 71.6915 126.111) (width 0.15) (layer "F.Cu") (net 132) (tstamp 1363a345-ab8d-47c5-93ed-4814a0db4337)) + (segment (start 70.9295 111.8235) (end 74.295 115.189) (width 0.15) (layer "F.Cu") (net 132) (tstamp 22ffde4d-03bc-48ea-8308-e2f4f121235e)) + (segment (start 70.9295 109.2835) (end 70.9295 111.8235) (width 0.15) (layer "F.Cu") (net 132) (tstamp 3c53fb77-46e1-43a9-a4ff-1d3fe3cfa295)) + (segment (start 80.95 107.7) (end 80.1 107.7) (width 0.15) (layer "F.Cu") (net 132) (tstamp 441e91a8-fca1-4d5b-b58e-0d7056b9179a)) + (segment (start 69.723 126.111) (end 69.0245 125.4125) (width 0.15) (layer "F.Cu") (net 132) (tstamp 4547aeb1-6333-4326-ab44-b37c69cd798e)) + (segment (start 74.295 115.189) (end 74.295 123.5075) (width 0.15) (layer "F.Cu") (net 132) (tstamp 70736846-7955-4b6d-aa1c-80be953205a1)) + (segment (start 71.6915 126.111) (end 69.723 126.111) (width 0.15) (layer "F.Cu") (net 132) (tstamp a511b1d6-4404-4772-8083-7011e3132bae)) + (segment (start 71.1835 109.0295) (end 70.9295 109.2835) (width 0.15) (layer "F.Cu") (net 132) (tstamp ad2c4f4b-c1a1-4f8a-a24f-bee5fffda814)) + (segment (start 69.0245 125.4125) (end 69.0245 124.079) (width 0.15) (layer "F.Cu") (net 132) (tstamp cb60aa7d-9fbc-4bfe-ad93-c0b8a186f041)) + (segment (start 80.1 107.7) (end 80.05 107.65) (width 0.15) (layer "F.Cu") (net 132) (tstamp f0261fb4-9148-4fda-94bd-8dca131a6c46)) + (segment (start 69.0245 124.079) (end 69.6595 123.444) (width 0.15) (layer "F.Cu") (net 132) (tstamp fd7109b0-d926-4461-a00c-c2af41d6aa9b)) + (via (at 80.05 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 132) (tstamp 7a54130e-cdc8-4db4-9067-002134c72094)) + (via (at 71.1835 109.0295) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 132) (tstamp 87c2ebd7-674b-4904-b9bc-412b42068dfc)) + (segment (start 71.1835 109.0295) (end 79.7205 109.0295) (width 0.15) (layer "B.Cu") (net 132) (tstamp 2a90a7ce-550d-41dd-8bfd-f89d6a6d387c)) + (segment (start 80.05 108.7) (end 80.05 107.65) (width 0.15) (layer "B.Cu") (net 132) (tstamp 2a927021-cdea-4d26-b0c3-5670bb3715f0)) + (segment (start 79.7205 109.0295) (end 80.05 108.7) (width 0.15) (layer "B.Cu") (net 132) (tstamp b887dc30-4dfb-4d28-971d-e0174e37331c)) + (segment (start 114.65 105.45) (end 114.75 105.35) (width 0.15) (layer "F.Cu") (net 135) (tstamp 521a121c-e0d8-4130-947d-ae77e5d27ff0)) + (segment (start 112.9 105.45) (end 114.6 105.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp 733d6c87-021c-4521-ac64-5369356f98d2)) + (segment (start 122.65 105.45) (end 124.4 105.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp 9e1fcba5-db97-4fb0-ac9d-c931089f0717)) + (segment (start 101.7125 105.4) (end 100.55 105.4) (width 0.15) (layer "F.Cu") (net 135) (tstamp daf164b4-78dd-4e00-9fc4-f8f8b8ce3f90)) + (segment (start 122.55 105.35) (end 122.65 105.45) (width 0.15) (layer "F.Cu") (net 135) (tstamp fe6fa6e9-cac7-4808-811d-febcb1293081)) + (via (at 114.75 105.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 135) (tstamp 3c527a48-b7b5-4f36-8009-241d327a6391)) + (via (at 122.55 105.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 135) (tstamp bc2d49f5-3d36-4e79-981f-a74a49039492)) + (via (at 100.55 105.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 135) (tstamp e3f75929-9768-4bbc-bf8a-0d44cc9ebe20)) + (segment (start 114.75 105.35) (end 122.55 105.35) (width 0.15) (layer "B.Cu") (net 135) (tstamp 6d340194-36f7-4b60-8076-184e32487e69)) + (segment (start 100.75 105.2) (end 110.25 105.2) (width 0.15) (layer "B.Cu") (net 135) (tstamp 738c57e1-90a2-4d93-a3c6-2e92cb0ea4fd)) + (segment (start 111.65 105.35) (end 114.75 105.35) (width 0.15) (layer "B.Cu") (net 135) (tstamp 7a7eb848-1a27-4709-8ce1-ead052b96258)) + (segment (start 110.25 105.2) (end 110.5 104.95) (width 0.15) (layer "B.Cu") (net 135) (tstamp 7c2fde6d-3273-4f44-992d-e01b7738ae39)) + (segment (start 111.25 104.95) (end 111.65 105.35) (width 0.15) (layer "B.Cu") (net 135) (tstamp b08584d0-fb38-4e96-8951-74049b6a373f)) + (segment (start 110.5 104.95) (end 111.25 104.95) (width 0.15) (layer "B.Cu") (net 135) (tstamp cd83b8ca-8953-4b45-824d-bcbf8a863179)) + (segment (start 100.55 105.4) (end 100.75 105.2) (width 0.15) (layer "B.Cu") (net 135) (tstamp ffabb00b-5a3b-4396-9783-faefd3bf068f)) + (segment (start 94.05 92.6) (end 93.9 92.45) (width 0.15) (layer "F.Cu") (net 136) (tstamp 622f48cf-3209-4a4a-b27f-b5a56b9a6378)) + (segment (start 135.128 92.075) (end 135.128 90.932) (width 0.5) (layer "F.Cu") (net 136) (tstamp 79992bfd-a731-4969-8762-f3f7b25aa79e)) + (segment (start 94.05 93.7375) (end 94.05 92.6) (width 0.15) (layer "F.Cu") (net 136) (tstamp ab3cfc7c-46e1-445d-a820-aa84ea1edc24)) + (via (at 135.128 90.932) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 136) (tstamp 5d14fb21-2c44-4d85-ba39-d06590b2a57f)) + (via (at 93.9 92.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 136) (tstamp 99144119-5f2f-4185-a880-a259e1720596)) + (segment (start 135.128 90.932) (end 135.128 90.678) (width 0.15) (layer "B.Cu") (net 136) (tstamp 0bdc97af-e5af-466d-80d1-27edc8792ce4)) + (segment (start 135.128 90.678) (end 132.2 87.75) (width 0.15) (layer "B.Cu") (net 136) (tstamp 2e92171c-cd3f-46e2-b730-068e18cadc50)) + (segment (start 93.9 88.8) (end 93.9 92.45) (width 0.15) (layer "B.Cu") (net 136) (tstamp 95582926-a59d-41b5-ab95-8e876faf2173)) + (segment (start 132.2 87.75) (end 94.95 87.75) (width 0.15) (layer "B.Cu") (net 136) (tstamp 99510f7a-c1c2-4840-b951-15e519582675)) + (segment (start 94.95 87.75) (end 93.9 88.8) (width 0.15) (layer "B.Cu") (net 136) (tstamp eb4f8db5-0ed8-4df2-b4c0-ce8561ea4e92)) + (segment (start 93.55 93.7375) (end 93.55 92.8) (width 0.15) (layer "F.Cu") (net 137) (tstamp 31460f41-a167-4148-948d-b892ddd9f6c7)) + (segment (start 136.398 92.075) (end 136.398 90.932) (width 0.5) (layer "F.Cu") (net 137) (tstamp 4b5d9a3e-e0c1-419a-ae7b-535e0b38518e)) + (segment (start 92.95 92.2) (end 92.95 92) (width 0.15) (layer "F.Cu") (net 137) (tstamp 5a1de68b-3b57-4546-9c48-397eb2bdd0a8)) + (segment (start 93.55 92.8) (end 92.95 92.2) (width 0.15) (layer "F.Cu") (net 137) (tstamp cbea363f-43e2-4e34-981a-076be2d74ad6)) + (via (at 92.95 92) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 2ed6bf0c-1982-46cb-b847-36409541e010)) + (via (at 136.398 90.932) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 59a1e2e7-9bb0-43d5-b8bb-033a676bf201)) + (segment (start 94.8 87.45) (end 132.35 87.45) (width 0.15) (layer "B.Cu") (net 137) (tstamp 2e4b8d13-7601-484f-b222-41e39285ff4c)) + (segment (start 93.6 88.65) (end 94.8 87.45) (width 0.15) (layer "B.Cu") (net 137) (tstamp 67a2902c-1e1b-496f-a1cf-3a6572599d83)) + (segment (start 135.832 90.932) (end 136.398 90.932) (width 0.15) (layer "B.Cu") (net 137) (tstamp 92bcf305-006f-48a2-b8c8-bcb03a95d8a1)) + (segment (start 132.35 87.45) (end 135.832 90.932) (width 0.15) (layer "B.Cu") (net 137) (tstamp a9568207-fb9b-4a71-8373-0f148f679b8b)) + (segment (start 93.6 91.35) (end 93.6 88.65) (width 0.15) (layer "B.Cu") (net 137) (tstamp b634b15d-24d1-478b-ac3d-992e6e5a15bb)) + (segment (start 92.95 92) (end 93.6 91.35) (width 0.15) (layer "B.Cu") (net 137) (tstamp c42bc392-4a0f-41e1-81fd-6b537d3e1a43)) + (segment (start 60.833 96.458) (end 60.833 94.6275) (width 0.15) (layer "F.Cu") (net 140) (tstamp 9167e59c-dc0f-47c5-83f6-dfed3ce0002e)) + (segment (start 108.2 101.65) (end 108.2 100.05) (width 0.15) (layer "F.Cu") (net 141) (tstamp 21ae2fd5-2518-4601-ac77-3d70445dd240)) + (segment (start 113.7 123.15) (end 114 123.45) (width 0.15) (layer "F.Cu") (net 143) (tstamp 030ad50d-6456-41a0-941e-5eb4889582ad)) + (segment (start 114 123.45) (end 114 124.35) (width 0.15) (layer "F.Cu") (net 143) (tstamp 1905c60b-d0f9-4412-8159-85b37af2df90)) + (segment (start 114 125.8) (end 114 124.35) (width 0.15) (layer "F.Cu") (net 143) (tstamp 3534b3f9-6e70-4115-a4db-cb17e196852b)) + (segment (start 113.7 122.2) (end 113.7 123.15) (width 0.15) (layer "F.Cu") (net 143) (tstamp b6f5e3b7-e73d-44c5-92d4-a821534ddde7)) + (segment (start 68.35 114.65) (end 68.35 113.75) (width 0.15) (layer "F.Cu") (net 144) (tstamp f984fbde-cdac-4f31-bc88-b0736a570c2a)) + (via (at 68.35 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 144) (tstamp b5bcb3c5-3a0f-4fa0-a357-62f58a2011b3)) + (segment (start 69.9425 118.081) (end 69.9425 115.3425) (width 0.15) (layer "B.Cu") (net 144) (tstamp 25c2b5e2-b1d1-4957-8f86-7eb774e3da3e)) + (segment (start 69.9425 115.3425) (end 68.35 113.75) (width 0.15) (layer "B.Cu") (net 144) (tstamp 6d8918c3-2d0e-4915-9ad6-090e311c30b5)) + (segment (start 69.6595 118.364) (end 69.9425 118.081) (width 0.15) (layer "B.Cu") (net 144) (tstamp 8d48fc62-1c7b-4dd6-ac1e-a62f64fb89a7)) + (segment (start 118.35 122.2) (end 118.35 123.15) (width 0.15) (layer "F.Cu") (net 145) (tstamp 1219ae5b-a21a-4a6a-bc6a-db255335a9e0)) + (segment (start 118.35 123.15) (end 118.65 123.45) (width 0.15) (layer "F.Cu") (net 145) (tstamp a48daae3-78f2-4f53-99c6-1467f05bae2e)) + (segment (start 118.65 123.45) (end 118.65 124.35) (width 0.15) (layer "F.Cu") (net 145) (tstamp b1ffc832-3c66-4285-9895-886e5e1af5f1)) + (segment (start 119.65 120.5) (end 119.65 119.6) (width 0.15) (layer "F.Cu") (net 146) (tstamp 35c450c5-278c-4132-a5d9-47f7883ddeed)) + (segment (start 93.55 109.0625) (end 93.55 107.9) (width 0.15) (layer "F.Cu") (net 146) (tstamp 7d3b9ff6-088a-44ba-a297-b97c56bdc732)) + (via (at 119.65 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 146) (tstamp 232d646a-8329-413e-beed-1b4c3780134f)) + (via (at 93.55 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 146) (tstamp cf4ee83d-ae13-40e1-b0d6-87459f2750c8)) + (segment (start 93.95 113.65) (end 96.55 116.25) (width 0.15) (layer "B.Cu") (net 146) (tstamp 270f8100-c32a-4216-8bb0-f508eeb043fc)) + (segment (start 93.95 108.3) (end 93.95 113.65) (width 0.15) (layer "B.Cu") (net 146) (tstamp 528a4757-9d6f-4d41-a09a-95bd3c25eff5)) + (segment (start 93.55 107.9) (end 93.95 108.3) (width 0.15) (layer "B.Cu") (net 146) (tstamp 5bb917ad-c7cf-4efb-a106-ea852e59cbae)) + (segment (start 96.55 116.25) (end 106.9 116.25) (width 0.15) (layer "B.Cu") (net 146) (tstamp 7d12dbaf-9b97-4523-9886-42af512501db)) + (segment (start 118.7 118.65) (end 119.65 119.6) (width 0.15) (layer "B.Cu") (net 146) (tstamp d7787211-1eb9-4842-8926-ebb19d9b94c9)) + (segment (start 106.9 116.25) (end 109.3 118.65) (width 0.15) (layer "B.Cu") (net 146) (tstamp f8a57b40-d940-41d6-bedf-bb44da478e76)) + (segment (start 109.3 118.65) (end 118.7 118.65) (width 0.15) (layer "B.Cu") (net 146) (tstamp fc64b583-65e6-4acb-9506-1d71654e21a9)) + (segment (start 86.4 95.3875) (end 86.4 94.85) (width 0.15) (layer "F.Cu") (net 147) (tstamp 5cb50720-f8fd-46c4-a462-5c7fdc65f9b2)) + (segment (start 69.25 95.95) (end 70 95.95) (width 0.15) (layer "F.Cu") (net 147) (tstamp 7c6b63e6-00a1-466e-a214-bc8407bcf40b)) + (segment (start 86.3875 95.4) (end 86.4 95.3875) (width 0.15) (layer "F.Cu") (net 147) (tstamp c1049932-c58c-493e-aead-be028084fb6e)) + (via (at 86.4 94.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 147) (tstamp 3eafda7e-dba3-454d-83bb-c0a380cb2ae8)) + (via (at 70 95.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 147) (tstamp b1ebde0c-e746-4068-b0e8-210bc0524a88)) + (segment (start 86.4 94.85) (end 86.4 93.65) (width 0.15) (layer "B.Cu") (net 147) (tstamp 6b178bee-7919-4a1b-9338-b476cd142395)) + (segment (start 82.55 89.8) (end 76.15 89.8) (width 0.15) (layer "B.Cu") (net 147) (tstamp 8277ca5c-9354-416b-a31b-385d23f1fed6)) + (segment (start 86.4 93.65) (end 82.55 89.8) (width 0.15) (layer "B.Cu") (net 147) (tstamp 8b753b37-5f05-48f8-a363-d53e7f2e69d1)) + (segment (start 76.15 89.8) (end 70 95.95) (width 0.15) (layer "B.Cu") (net 147) (tstamp b87e7a30-ba4b-40ce-8aef-9b5fce3831e3)) + (segment (start 69.25 98.95) (end 70 98.95) (width 0.15) (layer "F.Cu") (net 148) (tstamp 24f445b0-408f-491f-9af3-49e5f45f69fa)) + (segment (start 86.3875 95.9) (end 85.35 95.9) (width 0.15) (layer "F.Cu") (net 148) (tstamp 335f997d-7ca3-489f-95a9-4f178e394b60)) + (segment (start 85.35 95.9) (end 85.2 95.75) (width 0.15) (layer "F.Cu") (net 148) (tstamp 384bc593-c00b-4368-b8f7-45cadd2bdab5)) + (via (at 70 98.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 148) (tstamp 12f26dd0-82ce-4c0a-9e7c-e1ad5e93d65a)) + (via (at 85.2 95.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 148) (tstamp 64c36a4a-03e8-406f-b087-a1c28abd7579)) + (segment (start 85.2 94.05) (end 82.5 91.35) (width 0.15) (layer "B.Cu") (net 148) (tstamp 271cf20b-4d4b-49f3-be37-92a5e0e21619)) + (segment (start 70 98.15) (end 70 98.95) (width 0.15) (layer "B.Cu") (net 148) (tstamp 6bfeb3e6-b379-48d5-804a-64e30954d0e7)) + (segment (start 76.8 91.35) (end 70 98.15) (width 0.15) (layer "B.Cu") (net 148) (tstamp 9e7509d3-1635-4a7c-bf77-ef689e7118da)) + (segment (start 82.5 91.35) (end 76.8 91.35) (width 0.15) (layer "B.Cu") (net 148) (tstamp e393c2ed-9464-440e-a486-eb1636dddfd8)) + (segment (start 85.2 95.75) (end 85.2 94.05) (width 0.15) (layer "B.Cu") (net 148) (tstamp f2c4e55a-befe-4bbb-ae0d-56dd5aba8525)) + (segment (start 86.3875 97.9) (end 87.25 97.9) (width 0.15) (layer "F.Cu") (net 149) (tstamp 58229306-570d-4250-a3ba-153e6a649375)) + (segment (start 87.25 97.9) (end 87.6 98.25) (width 0.15) (layer "F.Cu") (net 149) (tstamp 9f0c9867-c02f-4e89-b8b0-578e6367c7c1)) + (segment (start 69.25 97.45) (end 70 97.45) (width 0.15) (layer "F.Cu") (net 149) (tstamp abfce902-ca56-47c9-a019-27a0ca7c418f)) + (segment (start 87.6 98.25) (end 88.75 98.25) (width 0.15) (layer "F.Cu") (net 149) (tstamp f92694e5-9dd3-4eb2-b4d1-48f72362ca4c)) + (via (at 88.75 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp 8a0d8d3c-79a7-4856-836e-8d7f3cc25312)) + (via (at 70 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 149) (tstamp e32f77b4-05c5-485e-93fb-a5fdfee26dfa)) + (segment (start 70.25 97.45) (end 70 97.45) (width 0.15) (layer "B.Cu") (net 149) (tstamp 2cd13cc6-b9fc-466c-a2d0-49c91f0f669e)) + (segment (start 85.5 93.9) (end 82.65 91.05) (width 0.15) (layer "B.Cu") (net 149) (tstamp 3c128d8f-13e3-462d-9abf-654d70e1bc4e)) + (segment (start 85.5 95.35) (end 85.5 93.9) (width 0.15) (layer "B.Cu") (net 149) (tstamp 41e422c2-6967-495f-bc6e-894bc02b7b2f)) + (segment (start 76.65 91.05) (end 70.25 97.45) (width 0.15) (layer "B.Cu") (net 149) (tstamp 4626fb7c-eee1-47c1-b2c4-4b1f3910fd7d)) + (segment (start 82.65 91.05) (end 76.65 91.05) (width 0.15) (layer "B.Cu") (net 149) (tstamp 77913a8e-7c4b-4630-9f9b-a8128ad680c2)) + (segment (start 88.75 98.25) (end 88.75 97.3) (width 0.15) (layer "B.Cu") (net 149) (tstamp ae1fc643-a831-4b29-a824-8f9f9f47a120)) + (segment (start 87.75 96.3) (end 86.45 96.3) (width 0.15) (layer "B.Cu") (net 149) (tstamp b9df7a04-64d3-4bc7-bb3e-da29e9a4978a)) + (segment (start 88.75 97.3) (end 87.75 96.3) (width 0.15) (layer "B.Cu") (net 149) (tstamp c6d75314-45b1-48d0-a441-7223ba191403)) + (segment (start 86.45 96.3) (end 85.5 95.35) (width 0.15) (layer "B.Cu") (net 149) (tstamp cc1bc5a4-a753-496e-aa21-8b53194da664)) + (segment (start 86.3875 98.4) (end 87.3 98.4) (width 0.15) (layer "F.Cu") (net 150) (tstamp 347a1160-1b71-467f-a346-190f4a78e7ec)) + (segment (start 87.3 98.4) (end 87.9 99) (width 0.15) (layer "F.Cu") (net 150) (tstamp 9f20b9c2-3b2e-4f29-9cf4-e76b61abefee)) + (segment (start 69.25 100.45) (end 70 100.45) (width 0.15) (layer "F.Cu") (net 150) (tstamp e55d44d7-d6cd-40b7-bfdb-1a1451873a5e)) + (via (at 70 100.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 150) (tstamp 79128c02-4674-47b7-9012-f1f66a6abf91)) + (via (at 87.9 99) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 150) (tstamp 7e2ac9ae-09fb-4102-9816-c28bb7310279)) + (segment (start 87.3 98.4) (end 87.9 99) (width 0.15) (layer "B.Cu") (net 150) (tstamp 0932fc26-20bc-4ce4-a2ac-f5c523018f1a)) + (segment (start 84.3 97.85) (end 84.85 98.4) (width 0.15) (layer "B.Cu") (net 150) (tstamp 0fbe58cf-a759-44d1-ae96-f101228beab0)) + (segment (start 82.65 92.55) (end 84.3 94.2) (width 0.15) (layer "B.Cu") (net 150) (tstamp 4334f19a-68df-4c57-aa42-1c7e96f259c4)) + (segment (start 70 100.45) (end 77.9 92.55) (width 0.15) (layer "B.Cu") (net 150) (tstamp b6491825-b9d0-4e5b-a252-33adfef44345)) + (segment (start 84.3 94.2) (end 84.3 97.85) (width 0.15) (layer "B.Cu") (net 150) (tstamp c887bef6-c89b-4325-bcb5-e7f4ab8e7431)) + (segment (start 77.9 92.55) (end 82.65 92.55) (width 0.15) (layer "B.Cu") (net 150) (tstamp e572bb6b-a75f-467a-a145-2212c8f0777c)) + (segment (start 84.85 98.4) (end 87.3 98.4) (width 0.15) (layer "B.Cu") (net 150) (tstamp f08fc490-e58b-4312-b078-89278556ac78)) + (segment (start 128.95 120.4) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 151) (tstamp 065a5332-9ab4-45d3-9607-6342893015cb)) + (segment (start 129.35 120.4) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp 09ad776c-dba8-4775-8e13-1e4e317e149a)) + (segment (start 128.85 120.5) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp 22530b9e-411d-4e05-8975-cd03e9881192)) + (segment (start 102.8 95.4) (end 103.8 95.4) (width 0.5) (layer "F.Cu") (net 151) (tstamp 28b75256-97b0-47ba-b4e8-6a29c2305e52)) + (segment (start 135.2 120.4) (end 134 120.4) (width 0.6) (layer "F.Cu") (net 151) (tstamp 2fe8ad4f-e4ce-45ab-8615-6057e9ff290a)) + (segment (start 130.35 120.4) (end 130.35 121.35) (width 0.5) (layer "F.Cu") (net 151) (tstamp 3e0e23bd-6f89-48ba-93ba-fad1aa6ec2c0)) + (segment (start 130.35 120.4) (end 129.35 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp 4213cad6-d6c2-4c2b-b653-b913b16c186e)) + (segment (start 85.3 107.4) (end 84.3 107.4) (width 0.5) (layer "F.Cu") (net 151) (tstamp 4acc90c2-11e8-4259-ac05-beed33eda6ab)) + (segment (start 127.85 120.5) (end 126.6 120.5) (width 0.8) (layer "F.Cu") (net 151) (tstamp 4b3131b0-4022-4baf-885c-f427a8956141)) + (segment (start 132.75 120.4) (end 130.35 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp 50291fa1-9735-4250-aa82-6e2e1f8aa386)) + (segment (start 87.8 107.4) (end 86.3875 107.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 56739c26-e3fb-42c8-ab11-6f7ff2194bba)) + (segment (start 101.7125 95.4) (end 102.8 95.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 5ea8395c-6b9f-4e05-b962-89eb2bec1588)) + (segment (start 127.85 120.5) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 151) (tstamp 66a7dfca-688a-4ec5-bdbf-4a2dfcc43f5e)) + (segment (start 88.4 106.8) (end 87.8 107.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 68e78394-7a65-48d1-b9f8-225d1c5bdc72)) + (segment (start 95.3 100.05) (end 99.95 95.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 79b7eec7-1185-425d-a762-bfd9bd309e9f)) + (segment (start 130.35 120.4) (end 131.35 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp 87190d19-8cca-4e49-b826-f0fa4ea9a18a)) + (segment (start 86.3875 107.4) (end 85.3 107.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp 96780293-a010-4318-b396-e31412f93970)) + (segment (start 132.75 120.4) (end 132.75 121.35) (width 0.5) (layer "F.Cu") (net 151) (tstamp 9c1debb3-4511-4331-a1bc-d86331ea92a9)) + (segment (start 95.3 106.8) (end 88.4 106.8) (width 0.3) (layer "F.Cu") (net 151) (tstamp a1e60673-1ed3-4f56-9ed7-0cedce14e32c)) + (segment (start 131.75 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp a5e65b60-d5f2-4ce8-a92e-e6e4eda4db1a)) + (segment (start 131.35 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 151) (tstamp a9c74493-ff99-4da1-96c5-f8184c72734d)) + (segment (start 130.35 120.4) (end 128.95 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp b5643723-4552-4a8a-a14a-34405d04d985)) + (segment (start 132.75 120.4) (end 134 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp b7476033-ccf1-407a-96a7-7c18b93e3f63)) + (segment (start 132.75 120.4) (end 131.75 120.4) (width 0.8) (layer "F.Cu") (net 151) (tstamp de8d65c8-c9b2-47f9-8e5a-ba540c18dc2d)) + (segment (start 99.95 95.4) (end 101.7125 95.4) (width 0.3) (layer "F.Cu") (net 151) (tstamp e324bc28-2ac4-4b29-ba1b-c6077802f0a4)) + (segment (start 95.3 106.8) (end 95.3 100.05) (width 0.3) (layer "F.Cu") (net 151) (tstamp e32b0ea7-6d3b-4ef2-af3b-bc1b2147dd16)) + (segment (start 135.2 120.4) (end 135.2 121.15) (width 0.6) (layer "F.Cu") (net 151) (tstamp f1a52cee-5211-4c36-95c1-4bf3f95b3f60)) + (via (at 134 120.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp 0d3dfde0-51be-4352-90e8-28ce29825dd3)) + (via (at 135.2 121.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 151) (tstamp 388076a4-c85e-4d08-9b55-e30d21548bf1)) + (via (at 132.75 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 151) (tstamp 3c00f62d-63fb-4bde-a3bf-d6c0b5b38be9)) + (via (at 131.55 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp 589fd65e-0984-41c6-ba9f-36c0822c77e0)) + (via (at 126.6 120.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp 67049f18-9d45-4eb9-bea4-272516adca85)) + (via (at 130.35 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 151) (tstamp a42482f2-e065-4059-8562-c08464375354)) + (via (at 95.3 106.8) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp bca6cd3e-fe07-4792-8e63-fd2a5dd05b6a)) + (via (at 129.15 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 151) (tstamp e321c7dd-4f0a-4e7f-bd55-4a483b2d646d)) + (segment (start 135.2 121.15) (end 134.9905 120.9405) (width 0.8) (layer "B.Cu") (net 151) (tstamp 0162c65f-85a6-4ebf-a689-2362eaf42a8d)) + (segment (start 122.8 117.5) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp 0454debb-2b79-493a-8ced-98e8ac02e494)) + (segment (start 126.65 121.35) (end 126.25 120.95) (width 0.8) (layer "B.Cu") (net 151) (tstamp 08aba319-41cc-4ea4-b432-f70186984eec)) + (segment (start 130.35 121.35) (end 126.65 121.35) (width 0.8) (layer "B.Cu") (net 151) (tstamp 342d7cb9-9476-41c9-87b3-c2bcaa44458c)) + (segment (start 135 120.95) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 151) (tstamp 3c0ce421-7303-4fe3-9f42-c94eee656064)) + (segment (start 135.2 121.15) (end 134.25 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp 48f0ef2c-1167-4533-94f7-4d0386da6a90)) + (segment (start 126.25 120.95) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 4b2d10de-724d-4dc3-926e-bb2e1415913c)) + (segment (start 125.8 120.5) (end 126.6 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 4e88522f-3e79-4bb6-95d7-0dbcda19405f)) + (segment (start 135.2 121.15) (end 135 121.35) (width 0.8) (layer "B.Cu") (net 151) (tstamp 67715162-6d3b-4ab5-ad9d-4fe826568fcb)) + (segment (start 126.25 120.95) (end 135 120.95) (width 0.8) (layer "B.Cu") (net 151) (tstamp 680ca7fe-699a-458a-af9b-0e4b8682bb5a)) + (segment (start 107.55 114.9) (end 110.15 117.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 7258e7cd-d627-4e4c-a126-7ca1133ae7d4)) + (segment (start 125.5 120.2) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 88661f61-2612-47b8-ae1e-5e80d5be7464)) + (segment (start 126.6 120.5) (end 134.55 120.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp 9fbbc70b-ecf7-47bb-ad81-95e42b2f3f21)) + (segment (start 95.3 112.95) (end 97.25 114.9) (width 0.8) (layer "B.Cu") (net 151) (tstamp a2f12c92-d0ba-4f7a-a60d-0ecf635b0e31)) + (segment (start 134.55 120.5) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 151) (tstamp a6c86459-2a27-4fd3-bccd-0d5e8ba8cc1b)) + (segment (start 95.3 106.8) (end 95.3 112.95) (width 0.8) (layer "B.Cu") (net 151) (tstamp b21f3dd5-7921-4b23-8ade-707967d1895c)) + (segment (start 97.25 114.9) (end 107.55 114.9) (width 0.8) (layer "B.Cu") (net 151) (tstamp bde2a791-14bb-428b-9799-43c1b27a7e48)) + (segment (start 134.25 120.2) (end 129.15 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp c3dcd8ce-a9e8-42ba-afee-61d8bc9fa555)) + (segment (start 129.15 120.2) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 151) (tstamp c4f8c29d-bd5f-4523-868b-01085bc7b1a5)) + (segment (start 110.15 117.5) (end 122.8 117.5) (width 0.8) (layer "B.Cu") (net 151) (tstamp c72466fc-36eb-4b64-b93e-559e5e6b4890)) + (segment (start 135 121.35) (end 130.35 121.35) (width 0.8) (layer "B.Cu") (net 151) (tstamp e3641db2-9dfe-44e6-8b92-d3cc918b633e)) + (segment (start 102.95 100.9) (end 105.3 98.55) (width 0.15) (layer "F.Cu") (net 152) (tstamp 39b93d72-5d8b-4b84-9f35-b39388f51260)) + (segment (start 105.3 98.55) (end 106.6 98.55) (width 0.15) (layer "F.Cu") (net 152) (tstamp 5072dbb5-353d-4f74-9eb4-edd8da3158e5)) + (segment (start 101.7125 100.9) (end 102.95 100.9) (width 0.15) (layer "F.Cu") (net 152) (tstamp f7d5ad85-a348-42ea-a14b-06ab954f41d1)) + + (zone (net 1) (net_name "+5V") (layer "F.Cu") (tstamp 13df2165-9fa8-49c5-9b5a-5df4221611c0) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.8)) + (polygon + (pts + (xy 139.2 124.75) + (xy 141.35 124.75) + (xy 141.8 125.2) + (xy 141.8 126.3) + (xy 136.9 131.2) + (xy 136.9 123.8) + (xy 138.25 123.8) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 138.26719 123.817593) + (xy 138.272026 123.822026) + (xy 139.2 124.75) + (xy 141.318852 124.75) + (xy 141.36719 124.767593) + (xy 141.372026 124.772026) + (xy 141.777974 125.177974) + (xy 141.799714 125.224594) + (xy 141.8 125.231148) + (xy 141.8 126.268852) + (xy 141.782407 126.31719) + (xy 141.777974 126.322026) + (xy 137.028374 131.071626) + (xy 136.981754 131.093366) + (xy 136.932067 131.080052) + (xy 136.902562 131.037915) + (xy 136.9 131.018452) + (xy 136.9 129.1654) + (xy 136.9 128.67) + (xy 137.56 128.67) + (xy 137.56 129.103092) + (xy 137.66941 129.047345) + (xy 137.762344 128.954412) + (xy 137.822011 128.83731) + (xy 137.837399 128.740156) + (xy 137.8374 128.740149) + (xy 137.8374 128.67) + (xy 137.56 128.67) + (xy 136.9 128.67) + (xy 136.9 127.436906) + (xy 137.56 127.436906) + (xy 137.56 127.87) + (xy 137.8374 127.87) + (xy 137.8374 127.79985) + (xy 137.837399 127.799843) + (xy 137.822011 127.702689) + (xy 137.762343 127.585585) + (xy 137.669413 127.492655) + (xy 137.56 127.436906) + (xy 136.9 127.436906) + (xy 136.9 125.45) + (xy 139.266907 125.45) + (xy 139.322654 125.55941) + (xy 139.415587 125.652344) + (xy 139.53269 125.712011) + (xy 139.532688 125.712011) + (xy 139.629843 125.727399) + (xy 139.62985 125.7274) + (xy 139.7 125.7274) + (xy 139.7 125.45) + (xy 140.5 125.45) + (xy 140.5 125.7274) + (xy 140.57015 125.7274) + (xy 140.570156 125.727399) + (xy 140.66731 125.712011) + (xy 140.784412 125.652344) + (xy 140.877345 125.55941) + (xy 140.933093 125.45) + (xy 140.5 125.45) + (xy 139.7 125.45) + (xy 139.266907 125.45) + (xy 136.9 125.45) + (xy 136.9 124.6) + (xy 137.7 124.6) + (xy 137.7 124.7524) + (xy 137.808444 124.7524) + (xy 137.808454 124.752399) + (xy 137.876942 124.742419) + (xy 137.982603 124.690765) + (xy 138.065767 124.6076) + (xy 138.069483 124.6) + (xy 137.7 124.6) + (xy 136.9 124.6) + (xy 136.9 123.8752) + (xy 136.917593 123.826862) + (xy 136.962142 123.801142) + (xy 136.9752 123.8) + (xy 138.218852 123.8) + ) + ) + ) + (zone (net 151) (net_name "+1V2") (layer "F.Cu") (tstamp dd3a9731-4f7f-4c12-9dad-b31d249c6c14) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 101.05 95.1) + (xy 101.05 106.9) + (xy 99.55 108.4) + (xy 87.75 108.4) + (xy 87.05 107.7) + (xy 87.05 95.9) + (xy 88.55 94.4) + (xy 100.35 94.4) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 100.418934 94.476051) + (xy 100.441458 94.491458) + (xy 100.958902 95.008902) + (xy 100.980294 95.054778) + (xy 100.967193 95.103673) + (xy 100.947688 95.122757) + (xy 100.887784 95.162783) + (xy 100.887783 95.162784) + (xy 100.838053 95.237211) + (xy 100.83551 95.249999) + (xy 100.835511 95.25) + (xy 101.05 95.25) + (xy 101.05 95.55) + (xy 100.83551 95.55) + (xy 100.838053 95.562789) + (xy 100.868554 95.608437) + (xy 100.880585 95.657606) + (xy 100.868554 95.69066) + (xy 100.837583 95.737012) + (xy 100.8245 95.802789) + (xy 100.8245 95.969617) + (xy 100.807187 96.017183) + (xy 100.76335 96.042493) + (xy 100.716905 96.035551) + (xy 100.675304 96.014354) + (xy 100.675302 96.014353) + (xy 100.675304 96.014353) + (xy 100.55 95.994508) + (xy 100.424698 96.014353) + (xy 100.311656 96.071951) + (xy 100.221951 96.161656) + (xy 100.164353 96.274698) + (xy 100.144508 96.4) + (xy 100.164353 96.525302) + (xy 100.164353 96.525303) + (xy 100.164354 96.525304) + (xy 100.185551 96.566905) + (xy 100.19172 96.617146) + (xy 100.164152 96.659599) + (xy 100.119617 96.6745) + (xy 100.021543 96.6745) + (xy 99.973977 96.657187) + (xy 99.969228 96.652836) + (xy 99.888342 96.57195) + (xy 99.775304 96.514354) + (xy 99.775302 96.514353) + (xy 99.775301 96.514353) + (xy 99.65 96.494508) + (xy 99.524698 96.514353) + (xy 99.411656 96.571951) + (xy 99.321951 96.661656) + (xy 99.264353 96.774698) + (xy 99.244508 96.9) + (xy 99.264353 97.025301) + (xy 99.264353 97.025302) + (xy 99.264354 97.025304) + (xy 99.32195 97.138342) + (xy 99.411658 97.22805) + (xy 99.524696 97.285646) + (xy 99.65 97.305492) + (xy 99.775304 97.285646) + (xy 99.888342 97.22805) + (xy 99.969218 97.147173) + (xy 100.015093 97.125782) + (xy 100.021543 97.1255) + (xy 100.119617 97.1255) + (xy 100.167183 97.142813) + (xy 100.192493 97.18665) + (xy 100.185551 97.233094) + (xy 100.184713 97.23474) + (xy 100.164353 97.274697) + (xy 100.144508 97.4) + (xy 100.164353 97.525302) + (xy 100.164353 97.525303) + (xy 100.164354 97.525304) + (xy 100.185551 97.566905) + (xy 100.19172 97.617146) + (xy 100.164152 97.659599) + (xy 100.119617 97.6745) + (xy 100.021543 97.6745) + (xy 99.973977 97.657187) + (xy 99.969228 97.652836) + (xy 99.888342 97.57195) + (xy 99.775304 97.514354) + (xy 99.775302 97.514353) + (xy 99.775301 97.514353) + (xy 99.65 97.494508) + (xy 99.524698 97.514353) + (xy 99.411656 97.571951) + (xy 99.321951 97.661656) + (xy 99.264353 97.774698) + (xy 99.244508 97.9) + (xy 99.264353 98.025301) + (xy 99.264353 98.025302) + (xy 99.264354 98.025304) + (xy 99.32195 98.138342) + (xy 99.411658 98.22805) + (xy 99.524696 98.285646) + (xy 99.65 98.305492) + (xy 99.775304 98.285646) + (xy 99.888342 98.22805) + (xy 99.969218 98.147173) + (xy 100.015093 98.125782) + (xy 100.021543 98.1255) + (xy 100.119617 98.1255) + (xy 100.167183 98.142813) + (xy 100.192493 98.18665) + (xy 100.185551 98.233094) + (xy 100.176937 98.25) + (xy 100.164353 98.274697) + (xy 100.144508 98.4) + (xy 100.164353 98.525302) + (xy 100.164353 98.525303) + (xy 100.164354 98.525304) + (xy 100.185551 98.566905) + (xy 100.19172 98.617146) + (xy 100.164152 98.659599) + (xy 100.119617 98.6745) + (xy 100.021543 98.6745) + (xy 99.973977 98.657187) + (xy 99.969228 98.652836) + (xy 99.888342 98.57195) + (xy 99.775304 98.514354) + (xy 99.775302 98.514353) + (xy 99.775301 98.514353) + (xy 99.65 98.494508) + (xy 99.524698 98.514353) + (xy 99.411656 98.571951) + (xy 99.321951 98.661656) + (xy 99.264353 98.774698) + (xy 99.244508 98.9) + (xy 99.264353 99.025301) + (xy 99.264353 99.025302) + (xy 99.264354 99.025304) + (xy 99.32195 99.138342) + (xy 99.411658 99.22805) + (xy 99.524696 99.285646) + (xy 99.65 99.305492) + (xy 99.775304 99.285646) + (xy 99.888342 99.22805) + (xy 99.969218 99.147173) + (xy 100.015093 99.125782) + (xy 100.021543 99.1255) + (xy 100.119617 99.1255) + (xy 100.167183 99.142813) + (xy 100.192493 99.18665) + (xy 100.185551 99.233094) + (xy 100.182877 99.238343) + (xy 100.164353 99.274697) + (xy 100.144508 99.4) + (xy 100.164353 99.525302) + (xy 100.164353 99.525303) + (xy 100.164354 99.525304) + (xy 100.185551 99.566905) + (xy 100.19172 99.617146) + (xy 100.164152 99.659599) + (xy 100.119617 99.6745) + (xy 100.021543 99.6745) + (xy 99.973977 99.657187) + (xy 99.969228 99.652836) + (xy 99.888342 99.57195) + (xy 99.775304 99.514354) + (xy 99.775302 99.514353) + (xy 99.775301 99.514353) + (xy 99.65 99.494508) + (xy 99.524698 99.514353) + (xy 99.411656 99.571951) + (xy 99.321951 99.661656) + (xy 99.264353 99.774698) + (xy 99.244508 99.9) + (xy 99.264353 100.025301) + (xy 99.264353 100.025302) + (xy 99.264354 100.025304) + (xy 99.32195 100.138342) + (xy 99.411658 100.22805) + (xy 99.524696 100.285646) + (xy 99.65 100.305492) + (xy 99.775304 100.285646) + (xy 99.888342 100.22805) + (xy 99.969218 100.147173) + (xy 100.015093 100.125782) + (xy 100.021543 100.1255) + (xy 100.119617 100.1255) + (xy 100.167183 100.142813) + (xy 100.192493 100.18665) + (xy 100.185551 100.233094) + (xy 100.173511 100.256723) + (xy 100.164353 100.274697) + (xy 100.144508 100.4) + (xy 100.164353 100.525301) + (xy 100.164353 100.525302) + (xy 100.164354 100.525304) + (xy 100.22195 100.638342) + (xy 100.311658 100.72805) + (xy 100.424696 100.785646) + (xy 100.55 100.805492) + (xy 100.675304 100.785646) + (xy 100.716905 100.764448) + (xy 100.767146 100.75828) + (xy 100.809599 100.785848) + (xy 100.8245 100.830383) + (xy 100.8245 100.997205) + (xy 100.837583 101.062987) + (xy 100.868253 101.108889) + (xy 100.880284 101.158057) + (xy 100.868253 101.191111) + (xy 100.837583 101.237012) + (xy 100.8245 101.302789) + (xy 100.8245 101.469617) + (xy 100.807187 101.517183) + (xy 100.76335 101.542493) + (xy 100.716905 101.535551) + (xy 100.675304 101.514354) + (xy 100.675302 101.514353) + (xy 100.675304 101.514353) + (xy 100.55 101.494508) + (xy 100.424698 101.514353) + (xy 100.311656 101.571951) + (xy 100.221951 101.661656) + (xy 100.164353 101.774698) + (xy 100.144508 101.9) + (xy 100.164353 102.025302) + (xy 100.164353 102.025303) + (xy 100.164354 102.025304) + (xy 100.185551 102.066905) + (xy 100.19172 102.117146) + (xy 100.164152 102.159599) + (xy 100.119617 102.1745) + (xy 100.021543 102.1745) + (xy 99.973977 102.157187) + (xy 99.969228 102.152836) + (xy 99.888342 102.07195) + (xy 99.775304 102.014354) + (xy 99.775302 102.014353) + (xy 99.775301 102.014353) + (xy 99.65 101.994508) + (xy 99.524698 102.014353) + (xy 99.411656 102.071951) + (xy 99.321951 102.161656) + (xy 99.264353 102.274698) + (xy 99.244508 102.4) + (xy 99.264353 102.525301) + (xy 99.264353 102.525302) + (xy 99.264354 102.525304) + (xy 99.32195 102.638342) + (xy 99.411658 102.72805) + (xy 99.524696 102.785646) + (xy 99.65 102.805492) + (xy 99.775304 102.785646) + (xy 99.888342 102.72805) + (xy 99.969218 102.647173) + (xy 100.015093 102.625782) + (xy 100.021543 102.6255) + (xy 100.119617 102.6255) + (xy 100.167183 102.642813) + (xy 100.192493 102.68665) + (xy 100.185551 102.733094) + (xy 100.178378 102.747173) + (xy 100.164353 102.774697) + (xy 100.144508 102.9) + (xy 100.164353 103.025302) + (xy 100.164353 103.025303) + (xy 100.164354 103.025304) + (xy 100.185551 103.066905) + (xy 100.19172 103.117146) + (xy 100.164152 103.159599) + (xy 100.119617 103.1745) + (xy 100.021543 103.1745) + (xy 99.973977 103.157187) + (xy 99.969228 103.152836) + (xy 99.888342 103.07195) + (xy 99.775304 103.014354) + (xy 99.775302 103.014353) + (xy 99.775301 103.014353) + (xy 99.65 102.994508) + (xy 99.524698 103.014353) + (xy 99.411656 103.071951) + (xy 99.321951 103.161656) + (xy 99.264353 103.274698) + (xy 99.244508 103.4) + (xy 99.264353 103.525301) + (xy 99.264353 103.525302) + (xy 99.264354 103.525304) + (xy 99.32195 103.638342) + (xy 99.411658 103.72805) + (xy 99.524696 103.785646) + (xy 99.65 103.805492) + (xy 99.775304 103.785646) + (xy 99.888342 103.72805) + (xy 99.969218 103.647173) + (xy 100.015093 103.625782) + (xy 100.021543 103.6255) + (xy 100.119617 103.6255) + (xy 100.167183 103.642813) + (xy 100.192493 103.68665) + (xy 100.185551 103.733094) + (xy 100.173511 103.756723) + (xy 100.164353 103.774697) + (xy 100.144508 103.9) + (xy 100.164353 104.025302) + (xy 100.164353 104.025303) + (xy 100.164354 104.025304) + (xy 100.185551 104.066905) + (xy 100.19172 104.117146) + (xy 100.164152 104.159599) + (xy 100.119617 104.1745) + (xy 100.021543 104.1745) + (xy 99.973977 104.157187) + (xy 99.969228 104.152836) + (xy 99.888342 104.07195) + (xy 99.775304 104.014354) + (xy 99.775302 104.014353) + (xy 99.775301 104.014353) + (xy 99.65 103.994508) + (xy 99.524698 104.014353) + (xy 99.411656 104.071951) + (xy 99.321951 104.161656) + (xy 99.264353 104.274698) + (xy 99.244508 104.4) + (xy 99.264353 104.525302) + (xy 99.264353 104.525303) + (xy 99.264354 104.525304) + (xy 99.285551 104.566905) + (xy 99.29172 104.617146) + (xy 99.264152 104.659599) + (xy 99.219617 104.6745) + (xy 99.207842 104.6745) + (xy 99.203969 104.674399) + (xy 99.163933 104.6723) + (xy 99.141193 104.681029) + (xy 99.130066 104.684325) + (xy 99.106233 104.689391) + (xy 99.106232 104.689392) + (xy 99.099347 104.694394) + (xy 99.082381 104.703606) + (xy 99.07444 104.706654) + (xy 99.057211 104.723883) + (xy 99.048382 104.731423) + (xy 99.040784 104.736943) + (xy 98.992125 104.750895) + (xy 98.985714 104.750164) + (xy 98.950001 104.744508) + (xy 98.95 104.744508) + (xy 98.824698 104.764353) + (xy 98.711656 104.821951) + (xy 98.621951 104.911656) + (xy 98.564353 105.024698) + (xy 98.544508 105.15) + (xy 98.564353 105.275301) + (xy 98.564353 105.275302) + (xy 98.564354 105.275304) + (xy 98.62195 105.388342) + (xy 98.711658 105.47805) + (xy 98.824696 105.535646) + (xy 98.95 105.555492) + (xy 99.075304 105.535646) + (xy 99.188342 105.47805) + (xy 99.27805 105.388342) + (xy 99.335646 105.275304) + (xy 99.349485 105.187922) + (xy 99.374025 105.143652) + (xy 99.421282 105.125511) + (xy 99.422574 105.1255) + (xy 100.119617 105.1255) + (xy 100.167183 105.142813) + (xy 100.192493 105.18665) + (xy 100.185551 105.233094) + (xy 100.174906 105.253987) + (xy 100.164353 105.274697) + (xy 100.144508 105.4) + (xy 100.164353 105.525302) + (xy 100.164353 105.525303) + (xy 100.164354 105.525304) + (xy 100.185551 105.566905) + (xy 100.19172 105.617146) + (xy 100.164152 105.659599) + (xy 100.119617 105.6745) + (xy 100.021543 105.6745) + (xy 99.973977 105.657187) + (xy 99.969228 105.652836) + (xy 99.888342 105.57195) + (xy 99.775304 105.514354) + (xy 99.775302 105.514353) + (xy 99.775301 105.514353) + (xy 99.65 105.494508) + (xy 99.524698 105.514353) + (xy 99.411656 105.571951) + (xy 99.321951 105.661656) + (xy 99.264353 105.774698) + (xy 99.244508 105.9) + (xy 99.264353 106.025301) + (xy 99.264353 106.025302) + (xy 99.264354 106.025304) + (xy 99.32195 106.138342) + (xy 99.411658 106.22805) + (xy 99.524696 106.285646) + (xy 99.65 106.305492) + (xy 99.775304 106.285646) + (xy 99.888342 106.22805) + (xy 99.969218 106.147173) + (xy 100.015093 106.125782) + (xy 100.021543 106.1255) + (xy 100.119617 106.1255) + (xy 100.167183 106.142813) + (xy 100.192493 106.18665) + (xy 100.185551 106.233094) + (xy 100.173511 106.256723) + (xy 100.164353 106.274697) + (xy 100.144508 106.4) + (xy 100.164353 106.525302) + (xy 100.164353 106.525303) + (xy 100.164354 106.525304) + (xy 100.185551 106.566905) + (xy 100.19172 106.617146) + (xy 100.164152 106.659599) + (xy 100.119617 106.6745) + (xy 100.021543 106.6745) + (xy 99.973977 106.657187) + (xy 99.969228 106.652836) + (xy 99.888342 106.57195) + (xy 99.775304 106.514354) + (xy 99.775302 106.514353) + (xy 99.775301 106.514353) + (xy 99.65 106.494508) + (xy 99.524698 106.514353) + (xy 99.411656 106.571951) + (xy 99.321951 106.661656) + (xy 99.264353 106.774698) + (xy 99.244508 106.9) + (xy 99.264353 107.025301) + (xy 99.264353 107.025302) + (xy 99.264354 107.025304) + (xy 99.32195 107.138342) + (xy 99.411658 107.22805) + (xy 99.524696 107.285646) + (xy 99.65 107.305492) + (xy 99.775304 107.285646) + (xy 99.888342 107.22805) + (xy 99.969218 107.147173) + (xy 100.015093 107.125782) + (xy 100.021543 107.1255) + (xy 100.119617 107.1255) + (xy 100.167183 107.142813) + (xy 100.192493 107.18665) + (xy 100.185551 107.233094) + (xy 100.183454 107.237211) + (xy 100.164353 107.274697) + (xy 100.144508 107.4) + (xy 100.164354 107.525304) + (xy 100.211027 107.616905) + (xy 100.217196 107.667146) + (xy 100.189627 107.709599) + (xy 100.145093 107.7245) + (xy 99.807842 107.7245) + (xy 99.803969 107.724399) + (xy 99.763933 107.7223) + (xy 99.741193 107.731029) + (xy 99.730066 107.734325) + (xy 99.706233 107.739391) + (xy 99.706232 107.739392) + (xy 99.699347 107.744394) + (xy 99.682381 107.753606) + (xy 99.67444 107.756654) + (xy 99.657211 107.773883) + (xy 99.648382 107.781423) + (xy 99.6387 107.788457) + (xy 99.590041 107.802409) + (xy 99.543799 107.781819) + (xy 99.529271 107.762184) + (xy 99.478051 107.661659) + (xy 99.388343 107.571951) + (xy 99.388342 107.57195) + (xy 99.275304 107.514354) + (xy 99.275302 107.514353) + (xy 99.275301 107.514353) + (xy 99.15 107.494508) + (xy 99.024698 107.514353) + (xy 98.911656 107.571951) + (xy 98.852326 107.631282) + (xy 98.80645 107.652674) + (xy 98.757555 107.639573) + (xy 98.747674 107.631282) + (xy 98.688343 107.571951) + (xy 98.688342 107.57195) + (xy 98.575304 107.514354) + (xy 98.575302 107.514353) + (xy 98.575301 107.514353) + (xy 98.45 107.494508) + (xy 98.449999 107.494508) + (xy 98.406884 107.501336) + (xy 98.357195 107.491677) + (xy 98.32534 107.452338) + (xy 98.326224 107.401727) + (xy 98.342981 107.375923) + (xy 98.398591 107.320313) + (xy 98.444466 107.298922) + (xy 98.462489 107.29955) + (xy 98.5 107.305492) + (xy 98.625304 107.285646) + (xy 98.738342 107.22805) + (xy 98.82805 107.138342) + (xy 98.885646 107.025304) + (xy 98.905492 106.9) + (xy 98.885646 106.774696) + (xy 98.82805 106.661658) + (xy 98.738342 106.57195) + (xy 98.625304 106.514354) + (xy 98.625302 106.514353) + (xy 98.625301 106.514353) + (xy 98.5 106.494508) + (xy 98.374698 106.514353) + (xy 98.261656 106.571951) + (xy 98.171951 106.661656) + (xy 98.114353 106.774698) + (xy 98.094508 106.899999) + (xy 98.100448 106.937505) + (xy 98.090789 106.987194) + (xy 98.079685 107.001407) + (xy 97.746099 107.334994) + (xy 97.743291 107.337659) + (xy 97.71349 107.364493) + (xy 97.703581 107.386748) + (xy 97.698043 107.396948) + (xy 97.684773 107.417382) + (xy 97.681987 107.424641) + (xy 97.679455 107.423669) + (xy 97.660955 107.457026) + (xy 97.613694 107.475155) + (xy 97.578825 107.467101) + (xy 97.549851 107.452338) + (xy 97.475304 107.414354) + (xy 97.475302 107.414353) + (xy 97.475301 107.414353) + (xy 97.35 107.394508) + (xy 97.224698 107.414353) + (xy 97.111656 107.471951) + (xy 97.021951 107.561656) + (xy 96.964353 107.674698) + (xy 96.944508 107.8) + (xy 96.964353 107.925301) + (xy 96.964353 107.925302) + (xy 96.964354 107.925304) + (xy 97.015307 108.025304) + (xy 97.021951 108.038343) + (xy 97.031782 108.048174) + (xy 97.053174 108.09405) + (xy 97.040073 108.142945) + (xy 96.998609 108.171979) + (xy 96.979458 108.1745) + (xy 96.952794 108.1745) + (xy 96.887012 108.187583) + (xy 96.841111 108.218253) + (xy 96.791943 108.230284) + (xy 96.758889 108.218253) + (xy 96.712987 108.187583) + (xy 96.656411 108.17633) + (xy 96.647211 108.1745) + (xy 96.64721 108.1745) + (xy 96.452794 108.1745) + (xy 96.387012 108.187583) + (xy 96.341111 108.218253) + (xy 96.291943 108.230284) + (xy 96.258889 108.218253) + (xy 96.212987 108.187583) + (xy 96.156411 108.17633) + (xy 96.147211 108.1745) + (xy 96.14721 108.1745) + (xy 95.952794 108.1745) + (xy 95.887012 108.187583) + (xy 95.841111 108.218253) + (xy 95.791943 108.230284) + (xy 95.758889 108.218253) + (xy 95.712987 108.187583) + (xy 95.656411 108.17633) + (xy 95.647211 108.1745) + (xy 95.64721 108.1745) + (xy 95.452794 108.1745) + (xy 95.387012 108.187583) + (xy 95.341111 108.218253) + (xy 95.291943 108.230284) + (xy 95.258889 108.218253) + (xy 95.212987 108.187583) + (xy 95.156411 108.17633) + (xy 95.147211 108.1745) + (xy 95.14721 108.1745) + (xy 94.952794 108.1745) + (xy 94.887012 108.187583) + (xy 94.841111 108.218253) + (xy 94.791943 108.230284) + (xy 94.758889 108.218253) + (xy 94.712987 108.187583) + (xy 94.656411 108.17633) + (xy 94.647211 108.1745) + (xy 94.64721 108.1745) + (xy 94.452794 108.1745) + (xy 94.387012 108.187583) + (xy 94.341111 108.218253) + (xy 94.291943 108.230284) + (xy 94.258889 108.218253) + (xy 94.212987 108.187583) + (xy 94.147211 108.1745) + (xy 93.980382 108.1745) + (xy 93.932816 108.157187) + (xy 93.907506 108.11335) + (xy 93.914447 108.066908) + (xy 93.935646 108.025304) + (xy 93.955492 107.9) + (xy 93.935646 107.774696) + (xy 93.87805 107.661658) + (xy 93.788342 107.57195) + (xy 93.675304 107.514354) + (xy 93.675302 107.514353) + (xy 93.675301 107.514353) + (xy 93.55 107.494508) + (xy 93.424698 107.514353) + (xy 93.311656 107.571951) + (xy 93.221951 107.661656) + (xy 93.22195 107.661658) + (xy 93.169836 107.763938) + (xy 93.164353 107.774698) + (xy 93.144508 107.9) + (xy 93.164353 108.025301) + (xy 93.164353 108.025302) + (xy 93.164354 108.025304) + (xy 93.18555 108.066903) + (xy 93.185551 108.066905) + (xy 93.191719 108.117147) + (xy 93.16415 108.1596) + (xy 93.119616 108.1745) + (xy 92.952794 108.1745) + (xy 92.887012 108.187583) + (xy 92.841111 108.218253) + (xy 92.791943 108.230284) + (xy 92.758889 108.218253) + (xy 92.712987 108.187583) + (xy 92.656411 108.17633) + (xy 92.647211 108.1745) + (xy 92.64721 108.1745) + (xy 92.452794 108.1745) + (xy 92.387012 108.187583) + (xy 92.341111 108.218253) + (xy 92.291943 108.230284) + (xy 92.258889 108.218253) + (xy 92.212987 108.187583) + (xy 92.153445 108.17574) + (xy 92.11017 108.149481) + (xy 92.093899 108.101548) + (xy 92.112245 108.054371) + (xy 92.115557 108.050835) + (xy 92.128048 108.038344) + (xy 92.128049 108.038343) + (xy 92.12805 108.038342) + (xy 92.185646 107.925304) + (xy 92.205492 107.8) + (xy 92.185646 107.674696) + (xy 92.12805 107.561658) + (xy 92.038342 107.47195) + (xy 91.925304 107.414354) + (xy 91.925302 107.414353) + (xy 91.925301 107.414353) + (xy 91.8 107.394508) + (xy 91.674698 107.414353) + (xy 91.561656 107.471951) + (xy 91.482246 107.551362) + (xy 91.43637 107.572754) + (xy 91.42605 107.572935) + (xy 91.413935 107.5723) + (xy 91.413934 107.5723) + (xy 91.391193 107.581029) + (xy 91.380066 107.584325) + (xy 91.356233 107.589391) + (xy 91.356232 107.589392) + (xy 91.349347 107.594394) + (xy 91.332381 107.603606) + (xy 91.32444 107.606654) + (xy 91.307211 107.623883) + (xy 91.298389 107.631417) + (xy 91.29041 107.637215) + (xy 91.278675 107.645741) + (xy 91.274423 107.653106) + (xy 91.262666 107.668427) + (xy 91.148424 107.782669) + (xy 91.102548 107.804061) + (xy 91.053653 107.79096) + (xy 91.030166 107.763942) + (xy 90.97805 107.661658) + (xy 90.888342 107.57195) + (xy 90.775304 107.514354) + (xy 90.775302 107.514353) + (xy 90.775301 107.514353) + (xy 90.65 107.494508) + (xy 90.524698 107.514353) + (xy 90.411656 107.571951) + (xy 90.352326 107.631282) + (xy 90.30645 107.652674) + (xy 90.257555 107.639573) + (xy 90.247674 107.631282) + (xy 90.188343 107.571951) + (xy 90.188342 107.57195) + (xy 90.075304 107.514354) + (xy 90.075302 107.514353) + (xy 90.075301 107.514353) + (xy 89.95 107.494508) + (xy 89.824698 107.514353) + (xy 89.711656 107.571951) + (xy 89.62195 107.661657) + (xy 89.569834 107.763939) + (xy 89.532813 107.798461) + (xy 89.482264 107.801109) + (xy 89.451574 107.782669) + (xy 89.315006 107.646101) + (xy 89.31234 107.643291) + (xy 89.285508 107.613492) + (xy 89.285504 107.613489) + (xy 89.263252 107.603582) + (xy 89.253047 107.598041) + (xy 89.232619 107.584774) + (xy 89.232616 107.584773) + (xy 89.226505 107.583805) + (xy 89.224213 107.583442) + (xy 89.2057 107.577958) + (xy 89.197932 107.5745) + (xy 89.197931 107.5745) + (xy 89.173573 107.5745) + (xy 89.161993 107.573588) + (xy 89.159582 107.573206) + (xy 89.118836 107.552444) + (xy 89.038343 107.471951) + (xy 89.038342 107.47195) + (xy 88.925304 107.414354) + (xy 88.925302 107.414353) + (xy 88.925301 107.414353) + (xy 88.8 107.394508) + (xy 88.674698 107.414353) + (xy 88.561656 107.471951) + (xy 88.471951 107.561656) + (xy 88.414353 107.674698) + (xy 88.394508 107.8) + (xy 88.414353 107.925301) + (xy 88.414353 107.925302) + (xy 88.414354 107.925304) + (xy 88.465307 108.025304) + (xy 88.471951 108.038343) + (xy 88.484445 108.050837) + (xy 88.505837 108.096713) + (xy 88.492736 108.145608) + (xy 88.451272 108.174642) + (xy 88.446568 108.175738) + (xy 88.412241 108.182565) + (xy 88.387012 108.187583) + (xy 88.341111 108.218253) + (xy 88.291943 108.230284) + (xy 88.258889 108.218253) + (xy 88.212987 108.187583) + (xy 88.156411 108.17633) + (xy 88.147211 108.1745) + (xy 88.14721 108.1745) + (xy 87.952794 108.1745) + (xy 87.887014 108.187582) + (xy 87.812423 108.237422) + (xy 87.812422 108.237423) + (xy 87.772396 108.297327) + (xy 87.731574 108.327259) + (xy 87.681064 108.323948) + (xy 87.658541 108.308541) + (xy 87.141097 107.791097) + (xy 87.119705 107.745221) + (xy 87.132806 107.696326) + (xy 87.152312 107.677242) + (xy 87.212213 107.637218) + (xy 87.212216 107.637215) + (xy 87.261946 107.562788) + (xy 87.264489 107.55) + (xy 87.05 107.55) + (xy 87.05 107.25) + (xy 87.264489 107.25) + (xy 87.264489 107.249999) + (xy 87.261946 107.237211) + (xy 87.231445 107.191562) + (xy 87.219414 107.142393) + (xy 87.231443 107.109341) + (xy 87.262417 107.062986) + (xy 87.2755 106.997211) + (xy 87.275499 106.80279) + (xy 87.262417 106.737014) + (xy 87.231745 106.69111) + (xy 87.219714 106.641945) + (xy 87.231744 106.60889) + (xy 87.262417 106.562986) + (xy 87.2755 106.497211) + (xy 87.275499 106.30279) + (xy 87.262417 106.237014) + (xy 87.231745 106.19111) + (xy 87.219714 106.141945) + (xy 87.231744 106.10889) + (xy 87.262417 106.062986) + (xy 87.27426 106.003443) + (xy 87.300517 105.960171) + (xy 87.34845 105.943899) + (xy 87.395627 105.962244) + (xy 87.399163 105.965555) + (xy 87.411658 105.97805) + (xy 87.524696 106.035646) + (xy 87.65 106.055492) + (xy 87.775304 106.035646) + (xy 87.888342 105.97805) + (xy 87.97805 105.888342) + (xy 88.035646 105.775304) + (xy 88.055492 105.65) + (xy 88.035646 105.524696) + (xy 87.97805 105.411658) + (xy 87.897174 105.330782) + (xy 87.875782 105.284906) + (xy 87.8755 105.278456) + (xy 87.8755 105.25786) + (xy 87.875601 105.253987) + (xy 87.878107 105.206169) + (xy 87.880416 105.20629) + (xy 87.887908 105.167736) + (xy 87.927245 105.135878) + (xy 87.977856 105.136758) + (xy 87.984936 105.139911) + (xy 88.004737 105.15) + (xy 88.074695 105.185645) + (xy 88.074695 105.185646) + (xy 88.089079 105.187924) + (xy 88.2 105.205492) + (xy 88.325304 105.185646) + (xy 88.438342 105.12805) + (xy 88.52805 105.038342) + (xy 88.585646 104.925304) + (xy 88.605492 104.8) + (xy 88.585646 104.674696) + (xy 88.52805 104.561658) + (xy 88.438342 104.47195) + (xy 88.325304 104.414354) + (xy 88.325302 104.414353) + (xy 88.325301 104.414353) + (xy 88.2 104.394508) + (xy 88.074698 104.414353) + (xy 87.961656 104.471951) + (xy 87.936398 104.49721) + (xy 87.880781 104.552826) + (xy 87.834907 104.574218) + (xy 87.828457 104.5745) + (xy 87.774057 104.5745) + (xy 87.726491 104.557187) + (xy 87.721731 104.552826) + (xy 87.66733 104.498425) + (xy 87.645938 104.452549) + (xy 87.659039 104.403654) + (xy 87.686058 104.380166) + (xy 87.788342 104.32805) + (xy 87.87805 104.238342) + (xy 87.935646 104.125304) + (xy 87.955492 104) + (xy 87.935646 103.874696) + (xy 87.87805 103.761658) + (xy 87.818718 103.702326) + (xy 87.797326 103.65645) + (xy 87.810427 103.607555) + (xy 87.818718 103.597674) + (xy 87.838344 103.578048) + (xy 87.87805 103.538342) + (xy 87.935646 103.425304) + (xy 87.955492 103.3) + (xy 87.947573 103.25) + (xy 88.544508 103.25) + (xy 88.564353 103.375301) + (xy 88.564353 103.375302) + (xy 88.564354 103.375304) + (xy 88.62195 103.488342) + (xy 88.711658 103.57805) + (xy 88.824696 103.635646) + (xy 88.95 103.655492) + (xy 89.075304 103.635646) + (xy 89.188342 103.57805) + (xy 89.27805 103.488342) + (xy 89.335646 103.375304) + (xy 89.355492 103.25) + (xy 89.335646 103.124696) + (xy 89.27805 103.011658) + (xy 89.188342 102.92195) + (xy 89.075304 102.864354) + (xy 89.075302 102.864353) + (xy 89.075301 102.864353) + (xy 88.95 102.844508) + (xy 88.824698 102.864353) + (xy 88.711656 102.921951) + (xy 88.621951 103.011656) + (xy 88.564353 103.124698) + (xy 88.544508 103.25) + (xy 87.947573 103.25) + (xy 87.935646 103.174696) + (xy 87.87805 103.061658) + (xy 87.788342 102.97195) + (xy 87.78834 102.971948) + (xy 87.68606 102.919834) + (xy 87.651538 102.882814) + (xy 87.648888 102.832264) + (xy 87.667327 102.801576) + (xy 87.721732 102.747173) + (xy 87.767609 102.725781) + (xy 87.774057 102.7255) + (xy 87.828457 102.7255) + (xy 87.876023 102.742813) + (xy 87.880771 102.747163) + (xy 87.961658 102.82805) + (xy 88.074696 102.885646) + (xy 88.2 102.905492) + (xy 88.325304 102.885646) + (xy 88.438342 102.82805) + (xy 88.52805 102.738342) + (xy 88.585646 102.625304) + (xy 88.605492 102.5) + (xy 88.585646 102.374696) + (xy 88.52805 102.261658) + (xy 88.438342 102.17195) + (xy 88.325304 102.114354) + (xy 88.325302 102.114353) + (xy 88.325301 102.114353) + (xy 88.2 102.094508) + (xy 88.074698 102.114353) + (xy 87.983095 102.161027) + (xy 87.932853 102.167195) + (xy 87.890401 102.139626) + (xy 87.8755 102.095092) + (xy 87.8755 102.073572) + (xy 87.876411 102.061995) + (xy 87.880222 102.037934) + (xy 87.879815 102.030166) + (xy 87.881064 102.0301) + (xy 87.884751 101.987952) + (xy 87.899489 101.966902) + (xy 87.97805 101.888342) + (xy 88.035646 101.775304) + (xy 88.055492 101.65) + (xy 88.035646 101.524696) + (xy 87.97805 101.411658) + (xy 87.888342 101.32195) + (xy 87.775304 101.264354) + (xy 87.775302 101.264353) + (xy 87.775301 101.264353) + (xy 87.65 101.244508) + (xy 87.524698 101.264353) + (xy 87.411654 101.321952) + (xy 87.411653 101.321952) + (xy 87.39916 101.334446) + (xy 87.353283 101.355838) + (xy 87.30439 101.342735) + (xy 87.275357 101.301269) + (xy 87.274266 101.296591) + (xy 87.262417 101.237014) + (xy 87.231745 101.19111) + (xy 87.219714 101.141945) + (xy 87.231744 101.10889) + (xy 87.262417 101.062986) + (xy 87.2755 100.997211) + (xy 87.275499 100.80279) + (xy 87.262417 100.737014) + (xy 87.231745 100.69111) + (xy 87.219714 100.641945) + (xy 87.231744 100.60889) + (xy 87.262417 100.562986) + (xy 87.2755 100.497211) + (xy 87.275499 100.30279) + (xy 87.262417 100.237014) + (xy 87.231745 100.19111) + (xy 87.219714 100.141945) + (xy 87.231744 100.10889) + (xy 87.262417 100.062986) + (xy 87.2755 99.997211) + (xy 87.275499 99.80279) + (xy 87.262417 99.737014) + (xy 87.231745 99.69111) + (xy 87.219714 99.641945) + (xy 87.231744 99.60889) + (xy 87.262417 99.562986) + (xy 87.2755 99.497211) + (xy 87.275499 99.30279) + (xy 87.262417 99.237014) + (xy 87.231745 99.19111) + (xy 87.219714 99.141945) + (xy 87.231744 99.10889) + (xy 87.262417 99.062986) + (xy 87.2755 98.997211) + (xy 87.275499 98.873057) + (xy 87.292811 98.825491) + (xy 87.336648 98.800181) + (xy 87.386498 98.808971) + (xy 87.401825 98.820731) + (xy 87.479685 98.898591) + (xy 87.501077 98.944467) + (xy 87.500448 98.962492) + (xy 87.494508 98.999999) + (xy 87.514353 99.125301) + (xy 87.514353 99.125302) + (xy 87.514354 99.125304) + (xy 87.57195 99.238342) + (xy 87.661658 99.32805) + (xy 87.774696 99.385646) + (xy 87.9 99.405492) + (xy 88.025304 99.385646) + (xy 88.138342 99.32805) + (xy 88.22805 99.238342) + (xy 88.285646 99.125304) + (xy 88.305492 99) + (xy 88.285646 98.874696) + (xy 88.22805 98.761658) + (xy 88.138342 98.67195) + (xy 88.13834 98.671948) + (xy 88.027424 98.615434) + (xy 87.992902 98.578414) + (xy 87.990252 98.527864) + (xy 88.020716 98.487438) + (xy 88.061019 98.4755) + (xy 88.378457 98.4755) + (xy 88.426023 98.492813) + (xy 88.430771 98.497163) + (xy 88.511658 98.57805) + (xy 88.624696 98.635646) + (xy 88.75 98.655492) + (xy 88.875304 98.635646) + (xy 88.988342 98.57805) + (xy 89.07805 98.488342) + (xy 89.135646 98.375304) + (xy 89.155492 98.25) + (xy 89.135646 98.124696) + (xy 89.07805 98.011658) + (xy 88.988342 97.92195) + (xy 88.875304 97.864354) + (xy 88.875302 97.864353) + (xy 88.875301 97.864353) + (xy 88.75 97.844508) + (xy 88.624698 97.864353) + (xy 88.511656 97.921951) + (xy 88.481059 97.952549) + (xy 88.430781 98.002826) + (xy 88.384907 98.024218) + (xy 88.378457 98.0245) + (xy 87.724057 98.0245) + (xy 87.676491 98.007187) + (xy 87.671731 98.002826) + (xy 87.66733 97.998425) + (xy 87.645938 97.952549) + (xy 87.659039 97.903654) + (xy 87.686058 97.880166) + (xy 87.788342 97.82805) + (xy 87.87805 97.738342) + (xy 87.935646 97.625304) + (xy 87.955492 97.5) + (xy 87.935646 97.374696) + (xy 87.87805 97.261658) + (xy 87.818718 97.202326) + (xy 87.797326 97.15645) + (xy 87.810427 97.107555) + (xy 87.818718 97.097674) + (xy 87.841892 97.0745) + (xy 87.87805 97.038342) + (xy 87.935646 96.925304) + (xy 87.955492 96.8) + (xy 87.935646 96.674696) + (xy 87.87805 96.561658) + (xy 87.788342 96.47195) + (xy 87.687007 96.420317) + (xy 87.652487 96.383298) + (xy 87.649837 96.332749) + (xy 87.671089 96.299391) + (xy 87.674164 96.296621) + (xy 87.686509 96.285507) + (xy 87.696421 96.263241) + (xy 87.701953 96.253052) + (xy 87.715225 96.232618) + (xy 87.716553 96.224225) + (xy 87.72204 96.205701) + (xy 87.7255 96.197932) + (xy 87.7255 96.173572) + (xy 87.726411 96.161995) + (xy 87.730222 96.137935) + (xy 87.728021 96.12972) + (xy 87.7255 96.110568) + (xy 87.7255 95.255152) + (xy 87.742813 95.207586) + (xy 87.747159 95.202841) + (xy 87.748884 95.201115) + (xy 87.794753 95.17971) + (xy 87.811021 95.180276) + (xy 87.812066 95.180222) + (xy 87.820281 95.178021) + (xy 87.839433 95.1755) + (xy 88.192139 95.1755) + (xy 88.196012 95.175601) + (xy 88.236064 95.1777) + (xy 88.258816 95.168965) + (xy 88.269927 95.165674) + (xy 88.293768 95.160607) + (xy 88.300642 95.155612) + (xy 88.317621 95.146392) + (xy 88.32556 95.143346) + (xy 88.342794 95.126111) + (xy 88.351616 95.118576) + (xy 88.371323 95.10426) + (xy 88.375573 95.096897) + (xy 88.387329 95.081575) + (xy 88.451575 95.017329) + (xy 88.49745 94.995938) + (xy 88.546345 95.009039) + (xy 88.569834 95.03606) + (xy 88.62195 95.138342) + (xy 88.711658 95.22805) + (xy 88.824696 95.285646) + (xy 88.95 95.305492) + (xy 89.075304 95.285646) + (xy 89.188342 95.22805) + (xy 89.247674 95.168718) + (xy 89.29355 95.147326) + (xy 89.342445 95.160427) + (xy 89.352326 95.168718) + (xy 89.411658 95.22805) + (xy 89.524696 95.285646) + (xy 89.65 95.305492) + (xy 89.775304 95.285646) + (xy 89.888342 95.22805) + (xy 89.97805 95.138342) + (xy 90.030165 95.036059) + (xy 90.067185 95.001539) + (xy 90.117734 94.998889) + (xy 90.148425 95.01733) + (xy 90.284993 95.153898) + (xy 90.287659 95.156708) + (xy 90.314491 95.186507) + (xy 90.314493 95.186509) + (xy 90.336756 95.19642) + (xy 90.34695 95.201956) + (xy 90.367382 95.215226) + (xy 90.375779 95.216555) + (xy 90.394301 95.222042) + (xy 90.402068 95.2255) + (xy 90.426427 95.2255) + (xy 90.437977 95.226406) + (xy 90.440391 95.226789) + (xy 90.481161 95.247553) + (xy 90.561658 95.32805) + (xy 90.674696 95.385646) + (xy 90.8 95.405492) + (xy 90.925304 95.385646) + (xy 91.038342 95.32805) + (xy 91.12805 95.238342) + (xy 91.185646 95.125304) + (xy 91.205492 95) + (xy 91.185646 94.874696) + (xy 91.12805 94.761658) + (xy 91.115554 94.749162) + (xy 91.094162 94.703286) + (xy 91.107263 94.654391) + (xy 91.148727 94.625357) + (xy 91.153401 94.624267) + (xy 91.212986 94.612417) + (xy 91.258889 94.581745) + (xy 91.308055 94.569714) + (xy 91.341109 94.581744) + (xy 91.387014 94.612417) + (xy 91.452789 94.6255) + (xy 91.64721 94.625499) + (xy 91.712986 94.612417) + (xy 91.758889 94.581745) + (xy 91.808055 94.569714) + (xy 91.841109 94.581744) + (xy 91.887014 94.612417) + (xy 91.952789 94.6255) + (xy 92.14721 94.625499) + (xy 92.212986 94.612417) + (xy 92.258889 94.581745) + (xy 92.308055 94.569714) + (xy 92.341109 94.581744) + (xy 92.387014 94.612417) + (xy 92.452789 94.6255) + (xy 92.64721 94.625499) + (xy 92.712986 94.612417) + (xy 92.758889 94.581745) + (xy 92.808055 94.569714) + (xy 92.841109 94.581744) + (xy 92.887014 94.612417) + (xy 92.952789 94.6255) + (xy 93.14721 94.625499) + (xy 93.212986 94.612417) + (xy 93.258889 94.581745) + (xy 93.308055 94.569714) + (xy 93.341109 94.581744) + (xy 93.387014 94.612417) + (xy 93.452789 94.6255) + (xy 93.64721 94.625499) + (xy 93.712986 94.612417) + (xy 93.758889 94.581745) + (xy 93.808055 94.569714) + (xy 93.841109 94.581744) + (xy 93.887014 94.612417) + (xy 93.952789 94.6255) + (xy 94.119617 94.625499) + (xy 94.167183 94.642811) + (xy 94.192493 94.686649) + (xy 94.185552 94.733092) + (xy 94.170998 94.761656) + (xy 94.164353 94.774698) + (xy 94.144508 94.9) + (xy 94.164353 95.025301) + (xy 94.164353 95.025302) + (xy 94.164354 95.025304) + (xy 94.22195 95.138342) + (xy 94.311658 95.22805) + (xy 94.424696 95.285646) + (xy 94.55 95.305492) + (xy 94.675304 95.285646) + (xy 94.788342 95.22805) + (xy 94.87805 95.138342) + (xy 94.935646 95.025304) + (xy 94.955492 94.9) + (xy 94.935646 94.774696) + (xy 94.914447 94.733092) + (xy 94.90828 94.682852) + (xy 94.935849 94.6404) + (xy 94.98038 94.625499) + (xy 95.14721 94.625499) + (xy 95.212986 94.612417) + (xy 95.258889 94.581745) + (xy 95.308055 94.569714) + (xy 95.341109 94.581744) + (xy 95.387014 94.612417) + (xy 95.452789 94.6255) + (xy 95.619617 94.625499) + (xy 95.667183 94.642811) + (xy 95.692493 94.686649) + (xy 95.685552 94.733092) + (xy 95.670998 94.761656) + (xy 95.664353 94.774698) + (xy 95.644508 94.9) + (xy 95.664353 95.025301) + (xy 95.664353 95.025302) + (xy 95.664354 95.025304) + (xy 95.72195 95.138342) + (xy 95.811658 95.22805) + (xy 95.924696 95.285646) + (xy 96.05 95.305492) + (xy 96.175304 95.285646) + (xy 96.288342 95.22805) + (xy 96.348174 95.168218) + (xy 96.39405 95.146826) + (xy 96.442945 95.159927) + (xy 96.471979 95.201391) + (xy 96.4745 95.220544) + (xy 96.4745 95.278456) + (xy 96.457187 95.326022) + (xy 96.452826 95.330782) + (xy 96.371951 95.411656) + (xy 96.314353 95.524698) + (xy 96.294508 95.65) + (xy 96.314353 95.775301) + (xy 96.314353 95.775302) + (xy 96.314354 95.775304) + (xy 96.37195 95.888342) + (xy 96.461658 95.97805) + (xy 96.574696 96.035646) + (xy 96.7 96.055492) + (xy 96.825304 96.035646) + (xy 96.938342 95.97805) + (xy 97.02805 95.888342) + (xy 97.085646 95.775304) + (xy 97.105492 95.65) + (xy 97.085646 95.524696) + (xy 97.02805 95.411658) + (xy 96.947174 95.330782) + (xy 96.925782 95.284906) + (xy 96.9255 95.278456) + (xy 96.9255 95.074057) + (xy 96.942813 95.026491) + (xy 96.947165 95.02174) + (xy 96.951576 95.017329) + (xy 96.997451 94.995938) + (xy 97.046346 95.009039) + (xy 97.069833 95.036058) + (xy 97.12195 95.138342) + (xy 97.211658 95.22805) + (xy 97.324696 95.285646) + (xy 97.45 95.305492) + (xy 97.575304 95.285646) + (xy 97.688342 95.22805) + (xy 97.747674 95.168718) + (xy 97.79355 95.147326) + (xy 97.842445 95.160427) + (xy 97.852326 95.168718) + (xy 97.911658 95.22805) + (xy 98.01394 95.280165) + (xy 98.04846 95.317184) + (xy 98.05111 95.367733) + (xy 98.032669 95.398424) + (xy 97.987735 95.443358) + (xy 97.941859 95.46475) + (xy 97.923833 95.464121) + (xy 97.8 95.444508) + (xy 97.674698 95.464353) + (xy 97.561656 95.521951) + (xy 97.471951 95.611656) + (xy 97.414353 95.724698) + (xy 97.394508 95.85) + (xy 97.414353 95.975301) + (xy 97.414353 95.975302) + (xy 97.414354 95.975304) + (xy 97.47195 96.088342) + (xy 97.561658 96.17805) + (xy 97.674696 96.235646) + (xy 97.8 96.255492) + (xy 97.925304 96.235646) + (xy 98.038342 96.17805) + (xy 98.12805 96.088342) + (xy 98.185646 95.975304) + (xy 98.199206 95.889684) + (xy 98.219966 95.848937) + (xy 98.803915 95.264988) + (xy 98.806706 95.262341) + (xy 98.820413 95.249999) + (xy 98.831161 95.240322) + (xy 98.878092 95.22136) + (xy 98.91427 95.229381) + (xy 99.024695 95.285645) + (xy 99.024695 95.285646) + (xy 99.041827 95.288359) + (xy 99.15 95.305492) + (xy 99.275304 95.285646) + (xy 99.388342 95.22805) + (xy 99.47805 95.138342) + (xy 99.535646 95.025304) + (xy 99.555492 94.9) + (xy 99.535646 94.774696) + (xy 99.514447 94.733092) + (xy 99.50828 94.682852) + (xy 99.535849 94.6404) + (xy 99.580381 94.625499) + (xy 99.64721 94.625499) + (xy 99.712986 94.612417) + (xy 99.758889 94.581745) + (xy 99.808055 94.569714) + (xy 99.841109 94.581744) + (xy 99.887014 94.612417) + (xy 99.952789 94.6255) + (xy 100.14721 94.625499) + (xy 100.212986 94.612417) + (xy 100.287577 94.562577) + (xy 100.327603 94.502672) + (xy 100.368424 94.472741) + ) + ) + ) + (zone (net 2) (net_name "GND") (layers "F&B.Cu") (tstamp 6862bee8-6547-45b7-a52c-262c96f6a2a6) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 143.637 80.518) + (xy 144.272 80.899) + (xy 144.653 81.28) + (xy 144.907 81.788) + (xy 145.034 82.423) + (xy 145.034 129.54) + (xy 144.907 130.175) + (xy 144.653 130.683) + (xy 144.145 131.191) + (xy 143.637 131.445) + (xy 143.002 131.572) + (xy 48.133 131.572) + (xy 47.498 131.445) + (xy 46.99 131.191) + (xy 46.482 130.683) + (xy 46.228 130.175) + (xy 46.101 129.54) + (xy 46.101 93.599) + (xy 46.228 92.964) + (xy 46.482 92.456) + (xy 58.166 80.772) + (xy 58.674 80.518) + (xy 59.309 80.391) + (xy 143.002 80.391) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 83.640033 107.320147) + (xy 83.669538 107.362284) + (xy 83.6721 107.381747) + (xy 83.6721 107.647182) + (xy 83.680171 107.702567) + (xy 83.682449 107.718203) + (xy 83.736008 107.827761) + (xy 83.736009 107.827762) + (xy 83.73601 107.827764) + (xy 83.822235 107.913989) + (xy 83.822236 107.913989) + (xy 83.822239 107.913992) + (xy 83.931797 107.967551) + (xy 83.983848 107.975135) + (xy 84.002817 107.977899) + (xy 84.002822 107.977899) + (xy 84.002826 107.9779) + (xy 84.002828 107.9779) + (xy 84.597172 107.9779) + (xy 84.597174 107.9779) + (xy 84.597178 107.977899) + (xy 84.597182 107.977899) + (xy 84.606151 107.976592) + (xy 84.668203 107.967551) + (xy 84.777761 107.913992) + (xy 84.819653 107.8721) + (xy 84.866828 107.824926) + (xy 84.913448 107.803186) + (xy 84.920002 107.8029) + (xy 85.331704 107.8029) + (xy 85.331708 107.8029) + (xy 85.426055 107.787957) + (xy 85.539771 107.730016) + (xy 85.544861 107.724926) + (xy 85.591481 107.703186) + (xy 85.598035 107.7029) + (xy 85.702553 107.7029) + (xy 86.330878 107.7029) + (xy 86.89427 107.7029) + (xy 86.942608 107.720493) + (xy 86.968327 107.765041) + (xy 86.970006 107.774558) + (xy 86.976599 107.811954) + (xy 86.997989 107.857825) + (xy 86.997993 107.857831) + (xy 87.029447 107.902752) + (xy 87.546878 108.420183) + (xy 87.546886 108.42019) + (xy 87.546889 108.420193) + (xy 87.560812 108.431747) + (xy 87.569386 108.438862) + (xy 87.569395 108.438869) + (xy 87.591887 108.454255) + (xy 87.593337 108.455235) + (xy 87.593337 108.455236) + (xy 87.617912 108.463578) + (xy 87.670736 108.48151) + (xy 87.676811 108.481908) + (xy 87.723897 108.50262) + (xy 87.746652 108.548753) + (xy 87.7471 108.556947) + (xy 87.7471 109.747447) + (xy 87.747101 109.747448) + (xy 87.760323 109.813923) + (xy 87.76848 109.826131) + (xy 87.780706 109.876097) + (xy 87.759129 109.921082) + (xy 87.719984 109.960228) + (xy 87.662044 110.073941) + (xy 87.662042 110.073946) + (xy 87.658015 110.099374) + (xy 87.636915 110.140784) + (xy 87.444446 110.333252) + (xy 87.441592 110.335961) + (xy 87.411504 110.363052) + (xy 87.401507 110.385509) + (xy 87.395877 110.395878) + (xy 87.382485 110.416501) + (xy 87.382483 110.416506) + (xy 87.381148 110.424935) + (xy 87.375574 110.443754) + (xy 87.3721 110.451557) + (xy 87.3721 110.464962) + (xy 87.354507 110.5133) + (xy 87.328681 110.533116) + (xy 87.234026 110.577254) + (xy 87.234018 110.57726) + (xy 87.15226 110.659018) + (xy 87.152254 110.659026) + (xy 87.103388 110.763819) + (xy 87.103386 110.763825) + (xy 87.0971 110.811581) + (xy 87.0971 111.488418) + (xy 87.103386 111.536174) + (xy 87.103388 111.53618) + (xy 87.152254 111.640973) + (xy 87.15226 111.640981) + (xy 87.234018 111.722739) + (xy 87.234026 111.722745) + (xy 87.338819 111.771611) + (xy 87.338821 111.771611) + (xy 87.338824 111.771613) + (xy 87.38658 111.7779) + (xy 87.386582 111.7779) + (xy 87.813418 111.7779) + (xy 87.81342 111.7779) + (xy 87.861176 111.771613) + (xy 87.954114 111.728275) + (xy 87.965973 111.722745) + (xy 87.965973 111.722744) + (xy 87.965977 111.722743) + (xy 88.047743 111.640977) + (xy 88.059821 111.615077) + (xy 88.084461 111.562236) + (xy 88.096613 111.536176) + (xy 88.1029 111.48842) + (xy 88.1029 110.81158) + (xy 88.096613 110.763824) + (xy 88.067882 110.702212) + (xy 88.063399 110.65097) + (xy 88.092903 110.608832) + (xy 88.124271 110.596158) + (xy 88.176055 110.587957) + (xy 88.21276 110.569255) + (xy 88.263816 110.562986) + (xy 88.306957 110.591002) + (xy 88.3221 110.636259) + (xy 88.3221 112.142062) + (xy 88.321997 112.145998) + (xy 88.319876 112.186448) + (xy 88.328688 112.209402) + (xy 88.332039 112.220715) + (xy 88.337151 112.244763) + (xy 88.337152 112.244766) + (xy 88.342169 112.251671) + (xy 88.351536 112.268922) + (xy 88.354596 112.276895) + (xy 88.354597 112.276896) + (xy 88.371985 112.294284) + (xy 88.379644 112.303252) + (xy 88.394097 112.323144) + (xy 88.394099 112.323146) + (xy 88.401495 112.327416) + (xy 88.417066 112.339365) + (xy 89.671427 113.593726) + (xy 89.693167 113.640346) + (xy 89.679853 113.690033) + (xy 89.637716 113.719538) + (xy 89.618253 113.7221) + (xy 86.275547 113.7221) + (xy 86.227209 113.704507) + (xy 86.222373 113.700074) + (xy 84.010718 111.488418) + (xy 85.4971 111.488418) + (xy 85.503386 111.536174) + (xy 85.503388 111.53618) + (xy 85.552254 111.640973) + (xy 85.55226 111.640981) + (xy 85.634018 111.722739) + (xy 85.634021 111.722741) + (xy 85.634023 111.722743) + (xy 85.634025 111.722743) + (xy 85.639413 111.726517) + (xy 85.637532 111.729202) + (xy 85.664871 111.756498) + (xy 85.669394 111.807739) + (xy 85.663745 111.822472) + (xy 85.612043 111.923943) + (xy 85.592078 112.049999) + (xy 85.592078 112.05) + (xy 85.612043 112.176056) + (xy 85.655856 112.262043) + (xy 85.669984 112.289771) + (xy 85.760229 112.380016) + (xy 85.873943 112.437956) + (xy 85.873945 112.437957) + (xy 86 112.457922) + (xy 86.126055 112.437957) + (xy 86.239771 112.380016) + (xy 86.330016 112.289771) + (xy 86.387957 112.176055) + (xy 86.407922 112.05) + (xy 86.387957 111.923945) + (xy 86.336253 111.822471) + (xy 86.329985 111.771416) + (xy 86.358001 111.728275) + (xy 86.360689 111.726662) + (xy 86.360587 111.726517) + (xy 86.365971 111.722745) + (xy 86.365977 111.722743) + (xy 86.447743 111.640977) + (xy 86.459821 111.615077) + (xy 86.484461 111.562236) + (xy 86.496613 111.536176) + (xy 86.5029 111.48842) + (xy 86.5029 110.81158) + (xy 86.496613 110.763824) + (xy 86.496611 110.763819) + (xy 86.447745 110.659026) + (xy 86.447739 110.659018) + (xy 86.365981 110.57726) + (xy 86.365973 110.577254) + (xy 86.26118 110.528388) + (xy 86.261174 110.528386) + (xy 86.225992 110.523755) + (xy 86.21342 110.5221) + (xy 85.78658 110.5221) + (xy 85.77547 110.523562) + (xy 85.738825 110.528386) + (xy 85.738819 110.528388) + (xy 85.634026 110.577254) + (xy 85.634018 110.57726) + (xy 85.55226 110.659018) + (xy 85.552254 110.659026) + (xy 85.503388 110.763819) + (xy 85.503386 110.763825) + (xy 85.4971 110.811581) + (xy 85.4971 111.488418) + (xy 84.010718 111.488418) + (xy 83.199926 110.677626) + (xy 83.178186 110.631006) + (xy 83.1779 110.624452) + (xy 83.1779 109.05) + (xy 83.672601 109.05) + (xy 83.672601 109.147142) + (xy 83.682934 109.218059) + (xy 83.736421 109.327469) + (xy 83.82253 109.413578) + (xy 83.931941 109.467065) + (xy 83.93194 109.467065) + (xy 84.002863 109.477399) + (xy 84.15 109.477399) + (xy 84.15 109.05) + (xy 84.45 109.05) + (xy 84.45 109.477399) + (xy 84.59713 109.477399) + (xy 84.597142 109.477398) + (xy 84.668059 109.467065) + (xy 84.777469 109.413578) + (xy 84.863578 109.327469) + (xy 84.917065 109.218058) + (xy 84.927399 109.147141) + (xy 84.9274 109.147131) + (xy 84.9274 109.05) + (xy 84.45 109.05) + (xy 84.15 109.05) + (xy 83.672601 109.05) + (xy 83.1779 109.05) + (xy 83.1779 108.75) + (xy 83.6726 108.75) + (xy 84.15 108.75) + (xy 84.15 108.3226) + (xy 84.45 108.3226) + (xy 84.45 108.75) + (xy 84.927399 108.75) + (xy 84.927399 108.652869) + (xy 84.927398 108.652857) + (xy 84.917065 108.58194) + (xy 84.863578 108.47253) + (xy 84.777469 108.386421) + (xy 84.668058 108.332934) + (xy 84.668059 108.332934) + (xy 84.597141 108.3226) + (xy 84.45 108.3226) + (xy 84.15 108.3226) + (xy 84.00287 108.3226) + (xy 84.002857 108.322601) + (xy 83.93194 108.332934) + (xy 83.82253 108.386421) + (xy 83.736421 108.47253) + (xy 83.682934 108.581941) + (xy 83.6726 108.652858) + (xy 83.6726 108.75) + (xy 83.1779 108.75) + (xy 83.1779 107.725547) + (xy 83.195493 107.677209) + (xy 83.199926 107.672373) + (xy 83.543726 107.328573) + (xy 83.590346 107.306833) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.714136 107.664532) + (xy 90.73651 107.671802) + (xy 90.757412 107.682453) + (xy 90.783764 107.69588) + (xy 90.802797 107.709709) + (xy 90.840289 107.747201) + (xy 90.854118 107.766234) + (xy 90.889477 107.835629) + (xy 90.889478 107.83563) + (xy 90.910997 107.867533) + (xy 90.93436 107.894408) + (xy 90.952795 107.942431) + (xy 90.936048 107.991069) + (xy 90.93078 107.996918) + (xy 90.894456 108.033242) + (xy 90.891602 108.035952) + (xy 90.861504 108.063052) + (xy 90.851507 108.085509) + (xy 90.845877 108.095878) + (xy 90.832485 108.116501) + (xy 90.832483 108.116506) + (xy 90.831148 108.124935) + (xy 90.825572 108.143759) + (xy 90.821793 108.152246) + (xy 90.786058 108.189247) + (xy 90.7349 108.194623) + (xy 90.720693 108.188333) + (xy 90.720571 108.188629) + (xy 90.713725 108.185793) + (xy 90.7 108.183062) + (xy 90.7 109.941935) + (xy 90.713727 109.939205) + (xy 90.75777 109.909776) + (xy 90.807735 109.897549) + (xy 90.841325 109.909774) + (xy 90.886078 109.939677) + (xy 90.952553 109.9529) + (xy 91.147446 109.952899) + (xy 91.147447 109.952899) + (xy 91.147447 109.952898) + (xy 91.213922 109.939677) + (xy 91.213928 109.939672) + (xy 91.217297 109.938278) + (xy 91.268688 109.936033) + (xy 91.309499 109.967346) + (xy 91.321174 110.011688) + (xy 91.319876 110.036447) + (xy 91.328688 110.059402) + (xy 91.332039 110.070715) + (xy 91.336368 110.091078) + (xy 91.337152 110.094766) + (xy 91.34134 110.100531) + (xy 91.342169 110.101671) + (xy 91.351534 110.11892) + (xy 91.352523 110.121494) + (xy 91.354596 110.126895) + (xy 91.354597 110.126896) + (xy 91.371985 110.144284) + (xy 91.379644 110.153252) + (xy 91.394097 110.173144) + (xy 91.394099 110.173146) + (xy 91.401495 110.177416) + (xy 91.417066 110.189365) + (xy 93.900074 112.672373) + (xy 93.921814 112.718993) + (xy 93.9221 112.725547) + (xy 93.9221 113.618252) + (xy 93.904507 113.66659) + (xy 93.859958 113.69231) + (xy 93.8093 113.683377) + (xy 93.793726 113.671426) + (xy 93.316758 113.194458) + (xy 93.314047 113.191602) + (xy 93.286949 113.161506) + (xy 93.286945 113.161503) + (xy 93.264486 113.151504) + (xy 93.254116 113.145874) + (xy 93.233496 113.132483) + (xy 93.225064 113.131148) + (xy 93.206243 113.125573) + (xy 93.198442 113.1221) + (xy 93.173848 113.1221) + (xy 93.162084 113.121174) + (xy 93.137808 113.117329) + (xy 93.137804 113.117329) + (xy 93.12956 113.119538) + (xy 93.110099 113.1221) + (xy 90.325547 113.1221) + (xy 90.277209 113.104507) + (xy 90.272373 113.100074) + (xy 89.703573 112.531274) + (xy 89.681833 112.484654) + (xy 89.695147 112.434967) + (xy 89.737284 112.405462) + (xy 89.756747 112.4029) + (xy 89.763812 112.4029) + (xy 89.763813 112.4029) + (xy 89.788722 112.394805) + (xy 89.800173 112.392055) + (xy 89.826055 112.387957) + (xy 89.849397 112.376063) + (xy 89.860284 112.371554) + (xy 89.885193 112.363461) + (xy 89.906378 112.348068) + (xy 89.91643 112.341907) + (xy 89.939771 112.330016) + (xy 89.958294 112.311492) + (xy 89.967258 112.303835) + (xy 89.988444 112.288444) + (xy 90.003835 112.267258) + (xy 90.011492 112.258294) + (xy 90.030016 112.239771) + (xy 90.041907 112.21643) + (xy 90.048068 112.206378) + (xy 90.063461 112.185193) + (xy 90.071554 112.160284) + (xy 90.076063 112.149397) + (xy 90.087957 112.126055) + (xy 90.092055 112.100173) + (xy 90.094806 112.088721) + (xy 90.1029 112.063813) + (xy 90.1029 112.037624) + (xy 90.103826 112.025859) + (xy 90.107922 112) + (xy 90.107922 111.999999) + (xy 90.103826 111.974138) + (xy 90.1029 111.962374) + (xy 90.1029 111.936188) + (xy 90.101923 111.933181) + (xy 90.094804 111.911271) + (xy 90.092053 111.899812) + (xy 90.087957 111.873945) + (xy 90.087956 111.873943) + (xy 90.087956 111.873942) + (xy 90.076069 111.850613) + (xy 90.071552 111.839709) + (xy 90.063461 111.814808) + (xy 90.063461 111.814807) + (xy 90.048067 111.793619) + (xy 90.041906 111.783565) + (xy 90.030016 111.760229) + (xy 90.030015 111.760228) + (xy 90.030014 111.760226) + (xy 90.026537 111.75544) + (xy 90.029033 111.753626) + (xy 90.012204 111.717536) + (xy 90.025518 111.667849) + (xy 90.033934 111.657818) + (xy 90.063992 111.627761) + (xy 90.117551 111.518203) + (xy 90.1279 111.447174) + (xy 90.1279 111.3) + (xy 90.472601 111.3) + (xy 90.472601 111.447142) + (xy 90.482934 111.518059) + (xy 90.536421 111.627469) + (xy 90.62253 111.713578) + (xy 90.731941 111.767065) + (xy 90.73194 111.767065) + (xy 90.802863 111.777399) + (xy 90.9 111.777399) + (xy 90.9 111.3) + (xy 91.2 111.3) + (xy 91.2 111.777399) + (xy 91.29713 111.777399) + (xy 91.297142 111.777398) + (xy 91.368059 111.767065) + (xy 91.477469 111.713578) + (xy 91.563578 111.627469) + (xy 91.617065 111.518058) + (xy 91.627399 111.447141) + (xy 91.6274 111.447131) + (xy 91.6274 111.3) + (xy 91.2 111.3) + (xy 90.9 111.3) + (xy 90.472601 111.3) + (xy 90.1279 111.3) + (xy 90.1279 111) + (xy 90.4726 111) + (xy 90.9 111) + (xy 90.9 110.5226) + (xy 91.2 110.5226) + (xy 91.2 111) + (xy 91.627399 111) + (xy 91.627399 110.852869) + (xy 91.627398 110.852857) + (xy 91.617065 110.78194) + (xy 91.563578 110.67253) + (xy 91.477469 110.586421) + (xy 91.368058 110.532934) + (xy 91.368059 110.532934) + (xy 91.297141 110.5226) + (xy 91.2 110.5226) + (xy 90.9 110.5226) + (xy 90.80287 110.5226) + (xy 90.802856 110.522601) + (xy 90.73194 110.532934) + (xy 90.62253 110.586421) + (xy 90.536421 110.67253) + (xy 90.482934 110.781941) + (xy 90.4726 110.852858) + (xy 90.4726 111) + (xy 90.1279 111) + (xy 90.1279 110.852826) + (xy 90.117551 110.781797) + (xy 90.085464 110.716162) + (xy 90.080041 110.665012) + (xy 90.108766 110.62234) + (xy 90.118874 110.616139) + (xy 90.189771 110.580016) + (xy 90.280016 110.489771) + (xy 90.337957 110.376055) + (xy 90.357922 110.25) + (xy 90.353826 110.224138) + (xy 90.3529 110.212374) + (xy 90.3529 110.193862) + (xy 90.354897 110.176645) + (xy 90.35572 110.173146) + (xy 90.357817 110.164231) + (xy 90.357224 110.159983) + (xy 90.353621 110.134148) + (xy 90.3529 110.123759) + (xy 90.3529 110.016157) + (xy 90.370493 109.967819) + (xy 90.380393 109.958027) + (xy 90.4 109.941935) + (xy 90.4 108.183062) + (xy 90.380394 108.166973) + (xy 90.354189 108.122708) + (xy 90.3529 108.108842) + (xy 90.3529 108.061678) + (xy 90.354877 108.049563) + (xy 90.354229 108.049473) + (xy 90.355192 108.04257) + (xy 90.35298 107.994727) + (xy 90.3529 107.991254) + (xy 90.3529 107.971931) + (xy 90.3529 107.97193) + (xy 90.352566 107.970144) + (xy 90.351363 107.959779) + (xy 90.35106 107.953208) + (xy 90.351906 107.937979) + (xy 90.354049 107.924451) + (xy 90.357922 107.9) + (xy 90.352464 107.865542) + (xy 90.362278 107.815049) + (xy 90.394956 107.785625) + (xy 90.419057 107.774388) + (xy 90.463979 107.742933) + (xy 90.497205 107.709705) + (xy 90.516232 107.695881) + (xy 90.563492 107.6718) + (xy 90.585859 107.664533) + (xy 90.638236 107.656238) + (xy 90.661762 107.656238) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 93.341777 109.910076) + (xy 93.386078 109.939677) + (xy 93.452553 109.9529) + (xy 93.647446 109.952899) + (xy 93.647447 109.952899) + (xy 93.647447 109.952898) + (xy 93.713922 109.939677) + (xy 93.713928 109.939672) + (xy 93.718531 109.937767) + (xy 93.769922 109.935523) + (xy 93.810732 109.966838) + (xy 93.817514 109.980294) + (xy 93.828688 110.009403) + (xy 93.832039 110.020715) + (xy 93.837151 110.044763) + (xy 93.837152 110.044766) + (xy 93.842169 110.051671) + (xy 93.851536 110.068922) + (xy 93.854596 110.076895) + (xy 93.854597 110.076896) + (xy 93.871985 110.094284) + (xy 93.879644 110.103252) + (xy 93.894097 110.123144) + (xy 93.894099 110.123146) + (xy 93.901495 110.127416) + (xy 93.917066 110.139365) + (xy 94.800074 111.022373) + (xy 94.821814 111.068993) + (xy 94.8221 111.075547) + (xy 94.8221 111.868252) + (xy 94.804507 111.91659) + (xy 94.759958 111.94231) + (xy 94.7093 111.933377) + (xy 94.693726 111.921426) + (xy 92.844039 110.071739) + (xy 92.822299 110.025119) + (xy 92.835613 109.975432) + (xy 92.87775 109.945927) + (xy 92.911883 109.94481) + (xy 92.952553 109.9529) + (xy 93.147446 109.952899) + (xy 93.147447 109.952899) + (xy 93.147447 109.952898) + (xy 93.213922 109.939677) + (xy 93.247029 109.917556) + (xy 93.258221 109.910078) + (xy 93.308187 109.897851) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 89.014136 103.014532) + (xy 89.036511 103.021803) + (xy 89.083764 103.04588) + (xy 89.102797 103.059709) + (xy 89.140289 103.097201) + (xy 89.154119 103.116235) + (xy 89.178195 103.163487) + (xy 89.185465 103.185863) + (xy 89.19376 103.238235) + (xy 89.19376 103.261763) + (xy 89.185465 103.314135) + (xy 89.178195 103.336511) + (xy 89.154119 103.383763) + (xy 89.140289 103.402797) + (xy 89.102797 103.440289) + (xy 89.083763 103.454119) + (xy 89.036511 103.478195) + (xy 89.014135 103.485465) + (xy 88.961763 103.49376) + (xy 88.938237 103.49376) + (xy 88.912049 103.489612) + (xy 88.885863 103.485465) + (xy 88.863487 103.478195) + (xy 88.816235 103.454119) + (xy 88.797201 103.440289) + (xy 88.759709 103.402797) + (xy 88.745879 103.383763) + (xy 88.743969 103.380015) + (xy 88.721802 103.33651) + (xy 88.714534 103.314138) + (xy 88.706238 103.261755) + (xy 88.706238 103.238241) + (xy 88.714533 103.18586) + (xy 88.721801 103.16349) + (xy 88.745882 103.11623) + (xy 88.759709 103.097201) + (xy 88.797202 103.059707) + (xy 88.81623 103.045882) + (xy 88.86349 103.021801) + (xy 88.885861 103.014533) + (xy 88.938236 103.006238) + (xy 88.961762 103.006238) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 85.499995 98.05767) + (xy 85.519389 98.077491) + (xy 85.539922 98.108221) + (xy 85.552148 98.158187) + (xy 85.539923 98.191777) + (xy 85.510323 98.236078) + (xy 85.510322 98.23608) + (xy 85.4971 98.302551) + (xy 85.4971 98.497447) + (xy 85.497101 98.497448) + (xy 85.510323 98.563921) + (xy 85.512234 98.568536) + (xy 85.514474 98.619927) + (xy 85.483156 98.660735) + (xy 85.469706 98.667514) + (xy 85.440598 98.678688) + (xy 85.429286 98.682039) + (xy 85.405235 98.687151) + (xy 85.405229 98.687154) + (xy 85.398324 98.692171) + (xy 85.381085 98.701532) + (xy 85.373104 98.704596) + (xy 85.355712 98.721987) + (xy 85.346746 98.729645) + (xy 85.326852 98.7441) + (xy 85.322581 98.751497) + (xy 85.310634 98.767064) + (xy 85.123414 98.954284) + (xy 85.076794 98.976024) + (xy 85.036101 98.968114) + (xy 84.926055 98.912043) + (xy 84.926057 98.912043) + (xy 84.8 98.892078) + (xy 84.673943 98.912043) + (xy 84.560228 98.969984) + (xy 84.469984 99.060228) + (xy 84.412043 99.173943) + (xy 84.392078 99.299999) + (xy 84.392078 99.3) + (xy 84.412043 99.426056) + (xy 84.430745 99.46276) + (xy 84.437014 99.513816) + (xy 84.408998 99.556957) + (xy 84.363741 99.5721) + (xy 84.175547 99.5721) + (xy 84.127209 99.554507) + (xy 84.122373 99.550074) + (xy 83.199926 98.627626) + (xy 83.178186 98.581006) + (xy 83.1779 98.574452) + (xy 83.1779 98.229236) + (xy 83.195493 98.180898) + (xy 83.240042 98.155178) + (xy 83.2907 98.164111) + (xy 83.306274 98.176062) + (xy 83.360226 98.230014) + (xy 83.360228 98.230015) + (xy 83.360229 98.230016) + (xy 83.383567 98.241907) + (xy 83.393619 98.248067) + (xy 83.414807 98.263461) + (xy 83.428774 98.267999) + (xy 83.439709 98.271552) + (xy 83.450613 98.276069) + (xy 83.473942 98.287956) + (xy 83.473943 98.287956) + (xy 83.473945 98.287957) + (xy 83.499812 98.292053) + (xy 83.511271 98.294804) + (xy 83.536187 98.3029) + (xy 83.568292 98.3029) + (xy 83.679998 98.3029) + (xy 83.728336 98.320493) + (xy 83.733172 98.324926) + (xy 83.822235 98.413989) + (xy 83.822236 98.413989) + (xy 83.822239 98.413992) + (xy 83.931797 98.467551) + (xy 83.983848 98.475135) + (xy 84.002817 98.477899) + (xy 84.002822 98.477899) + (xy 84.002826 98.4779) + (xy 84.002828 98.4779) + (xy 84.597172 98.4779) + (xy 84.597174 98.4779) + (xy 84.597178 98.477899) + (xy 84.597182 98.477899) + (xy 84.606151 98.476592) + (xy 84.668203 98.467551) + (xy 84.777761 98.413992) + (xy 84.819766 98.371987) + (xy 84.866828 98.324926) + (xy 84.913448 98.303186) + (xy 84.920002 98.3029) + (xy 85.063812 98.3029) + (xy 85.063813 98.3029) + (xy 85.088722 98.294805) + (xy 85.100173 98.292055) + (xy 85.126055 98.287957) + (xy 85.149397 98.276063) + (xy 85.160284 98.271554) + (xy 85.185193 98.263461) + (xy 85.206378 98.248068) + (xy 85.21643 98.241907) + (xy 85.239771 98.230016) + (xy 85.330016 98.139771) + (xy 85.336201 98.133586) + (xy 85.336208 98.133576) + (xy 85.403689 98.066095) + (xy 85.450308 98.044356) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 85.322791 93.245493) + (xy 85.327627 93.249926) + (xy 86.399041 94.32134) + (xy 86.420781 94.36796) + (xy 86.407467 94.417647) + (xy 86.36533 94.447152) + (xy 86.357631 94.448788) + (xy 86.273943 94.462043) + (xy 86.160228 94.519984) + (xy 86.069984 94.610228) + (xy 86.012043 94.723943) + (xy 85.992078 94.849999) + (xy 85.992078 94.85) + (xy 86.012043 94.976057) + (xy 86.018007 94.987762) + (xy 86.024274 95.038819) + (xy 85.996256 95.081959) + (xy 85.951002 95.0971) + (xy 85.702552 95.0971) + (xy 85.702551 95.097101) + (xy 85.636078 95.110323) + (xy 85.560692 95.160693) + (xy 85.510323 95.236077) + (xy 85.510322 95.23608) + (xy 85.4971 95.302551) + (xy 85.4971 95.326479) + (xy 85.479507 95.374817) + (xy 85.434958 95.400537) + (xy 85.38776 95.393483) + (xy 85.326055 95.362043) + (xy 85.326057 95.362043) + (xy 85.2 95.342078) + (xy 85.073943 95.362043) + (xy 84.960228 95.419984) + (xy 84.869984 95.510228) + (xy 84.812043 95.623943) + (xy 84.792078 95.749999) + (xy 84.794101 95.762775) + (xy 84.784283 95.81327) + (xy 84.744306 95.84564) + (xy 84.692874 95.84474) + (xy 84.686799 95.842095) + (xy 84.66806 95.832934) + (xy 84.597141 95.8226) + (xy 84.45 95.8226) + (xy 84.45 96.25) + (xy 84.927399 96.25) + (xy 84.927399 96.186003) + (xy 84.944992 96.137665) + (xy 84.989541 96.111945) + (xy 85.03674 96.119) + (xy 85.073942 96.137956) + (xy 85.073943 96.137956) + (xy 85.073945 96.137957) + (xy 85.2 96.157922) + (xy 85.200001 96.157922) + (xy 85.230363 96.153113) + (xy 85.326055 96.137957) + (xy 85.326062 96.137953) + (xy 85.331659 96.136135) + (xy 85.354364 96.13435) + (xy 85.354298 96.133085) + (xy 85.362189 96.13267) + (xy 85.362194 96.132671) + (xy 85.367253 96.131315) + (xy 85.37044 96.130462) + (xy 85.389901 96.1279) + (xy 85.442588 96.1279) + (xy 85.490926 96.145493) + (xy 85.516646 96.190042) + (xy 85.512062 96.231882) + (xy 85.510322 96.23608) + (xy 85.4971 96.302551) + (xy 85.4971 96.497447) + (xy 85.497101 96.497448) + (xy 85.510323 96.563923) + (xy 85.540223 96.608672) + (xy 85.552449 96.658637) + (xy 85.540223 96.692228) + (xy 85.510794 96.736271) + (xy 85.508064 96.75) + (xy 87.266935 96.75) + (xy 87.264204 96.736268) + (xy 87.261372 96.729429) + (xy 87.262643 96.728902) + (xy 87.252359 96.686877) + (xy 87.275109 96.640742) + (xy 87.300161 96.624858) + (xy 87.309409 96.621307) + (xy 87.320722 96.617958) + (xy 87.344761 96.612849) + (xy 87.34476 96.612849) + (xy 87.344766 96.612848) + (xy 87.351674 96.607828) + (xy 87.368921 96.598464) + (xy 87.376896 96.595403) + (xy 87.394289 96.578009) + (xy 87.403248 96.570357) + (xy 87.423146 96.555901) + (xy 87.427415 96.548505) + (xy 87.439362 96.532935) + (xy 87.455068 96.517229) + (xy 87.501687 96.49549) + (xy 87.551374 96.508804) + (xy 87.563237 96.519116) + (xy 87.570233 96.526618) + (xy 87.571525 96.528003) + (xy 87.615322 96.561007) + (xy 87.683764 96.59588) + (xy 87.702797 96.609709) + (xy 87.740289 96.647201) + (xy 87.754119 96.666235) + (xy 87.778195 96.713487) + (xy 87.785465 96.735863) + (xy 87.79376 96.788235) + (xy 87.79376 96.811763) + (xy 87.785465 96.864135) + (xy 87.778195 96.88651) + (xy 87.75412 96.933761) + (xy 87.740291 96.952796) + (xy 87.707071 96.986016) + (xy 87.705737 96.987472) + (xy 87.698543 96.995324) + (xy 87.697751 96.996188) + (xy 87.689467 97.00606) + (xy 87.662474 97.057913) + (xy 87.624548 97.092665) + (xy 87.584009 97.097463) + (xy 87.550004 97.092078) + (xy 87.549999 97.092078) + (xy 87.534428 97.094543) + (xy 87.524133 97.096174) + (xy 87.512372 97.0971) + (xy 87.493867 97.0971) + (xy 87.476652 97.095103) + (xy 87.464231 97.092182) + (xy 87.464229 97.092182) + (xy 87.438215 97.095811) + (xy 87.435613 97.096174) + (xy 87.434143 97.096379) + (xy 87.423753 97.0971) + (xy 87.341158 97.0971) + (xy 87.29282 97.079507) + (xy 87.283029 97.069608) + (xy 87.266936 97.05) + (xy 85.508064 97.05) + (xy 85.491971 97.069608) + (xy 85.447705 97.095811) + (xy 85.433842 97.0971) + (xy 85.361679 97.0971) + (xy 85.349563 97.095123) + (xy 85.349473 97.095771) + (xy 85.34257 97.094807) + (xy 85.294727 97.09702) + (xy 85.291254 97.0971) + (xy 85.271927 97.0971) + (xy 85.270137 97.097435) + (xy 85.259792 97.098635) + (xy 85.253214 97.098939) + (xy 85.237979 97.098093) + (xy 85.217231 97.094807) + (xy 85.200001 97.092078) + (xy 85.2 97.092078) + (xy 85.073943 97.112043) + (xy 84.960228 97.169984) + (xy 84.869984 97.260228) + (xy 84.869982 97.260231) + (xy 84.833864 97.331116) + (xy 84.796243 97.366197) + (xy 84.744874 97.368889) + (xy 84.733841 97.364537) + (xy 84.668203 97.332449) + (xy 84.659054 97.331116) + (xy 84.597182 97.3221) + (xy 84.597174 97.3221) + (xy 84.002826 97.3221) + (xy 84.002817 97.3221) + (xy 83.931797 97.332449) + (xy 83.841613 97.376537) + (xy 83.822235 97.38601) + (xy 83.792191 97.416055) + (xy 83.745571 97.437795) + (xy 83.695884 97.424481) + (xy 83.694603 97.423406) + (xy 83.694561 97.423464) + (xy 83.689773 97.419985) + (xy 83.678011 97.413992) + (xy 83.666432 97.408091) + (xy 83.656375 97.401928) + (xy 83.635196 97.386541) + (xy 83.635194 97.38654) + (xy 83.635193 97.386539) + (xy 83.610283 97.378444) + (xy 83.599388 97.373931) + (xy 83.576056 97.362043) + (xy 83.576058 97.362043) + (xy 83.550187 97.357945) + (xy 83.538721 97.355192) + (xy 83.513813 97.3471) + (xy 83.487625 97.3471) + (xy 83.475861 97.346174) + (xy 83.45 97.342078) + (xy 83.424139 97.346174) + (xy 83.412375 97.3471) + (xy 83.386182 97.3471) + (xy 83.361281 97.355191) + (xy 83.349813 97.357945) + (xy 83.323943 97.362043) + (xy 83.300606 97.373933) + (xy 83.289712 97.378445) + (xy 83.276342 97.38279) + (xy 83.224933 97.380998) + (xy 83.186704 97.34658) + (xy 83.1779 97.311272) + (xy 83.1779 96.55) + (xy 83.672601 96.55) + (xy 83.672601 96.647142) + (xy 83.682934 96.718059) + (xy 83.736421 96.827469) + (xy 83.82253 96.913578) + (xy 83.931941 96.967065) + (xy 83.93194 96.967065) + (xy 84.002863 96.977399) + (xy 84.15 96.977399) + (xy 84.15 96.55) + (xy 84.45 96.55) + (xy 84.45 96.977399) + (xy 84.59713 96.977399) + (xy 84.597142 96.977398) + (xy 84.668059 96.967065) + (xy 84.777469 96.913578) + (xy 84.863578 96.827469) + (xy 84.917065 96.718058) + (xy 84.927399 96.647141) + (xy 84.9274 96.647131) + (xy 84.9274 96.55) + (xy 84.45 96.55) + (xy 84.15 96.55) + (xy 83.672601 96.55) + (xy 83.1779 96.55) + (xy 83.1779 96.25) + (xy 83.6726 96.25) + (xy 84.15 96.25) + (xy 84.15 95.8226) + (xy 84.00287 95.8226) + (xy 84.002857 95.822601) + (xy 83.93194 95.832934) + (xy 83.82253 95.886421) + (xy 83.736421 95.97253) + (xy 83.682934 96.081941) + (xy 83.6726 96.152858) + (xy 83.6726 96.25) + (xy 83.1779 96.25) + (xy 83.1779 94.757936) + (xy 83.178003 94.753999) + (xy 83.17819 94.75044) + (xy 83.180123 94.713552) + (xy 83.179014 94.710664) + (xy 83.171311 94.690595) + (xy 83.167959 94.67928) + (xy 83.163419 94.657922) + (xy 83.162848 94.655234) + (xy 83.157831 94.64833) + (xy 83.148463 94.631074) + (xy 83.147754 94.629228) + (xy 83.145404 94.623104) + (xy 83.128007 94.605707) + (xy 83.120355 94.596749) + (xy 83.105901 94.576854) + (xy 83.1059 94.576853) + (xy 83.105899 94.576852) + (xy 83.098506 94.572584) + (xy 83.082934 94.560634) + (xy 82.522531 94.000231) + (xy 82.500791 93.953611) + (xy 82.514105 93.903924) + (xy 82.541563 93.880054) + (xy 82.639771 93.830016) + (xy 82.730016 93.739771) + (xy 82.787957 93.626055) + (xy 82.807922 93.5) + (xy 82.787957 93.373945) + (xy 82.769255 93.33724) + (xy 82.762986 93.286184) + (xy 82.791002 93.243043) + (xy 82.836259 93.2279) + (xy 85.274453 93.2279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.122791 90.545493) + (xy 90.127627 90.549926) + (xy 90.52399 90.946289) + (xy 90.54573 90.992909) + (xy 90.532416 91.042596) + (xy 90.490279 91.072101) + (xy 90.439035 91.067617) + (xy 90.437789 91.067022) + (xy 90.36806 91.032934) + (xy 90.297141 91.0226) + (xy 90.2 91.0226) + (xy 90.2 92.277399) + (xy 90.29713 92.277399) + (xy 90.297142 92.277398) + (xy 90.368059 92.267065) + (xy 90.477469 92.213578) + (xy 90.563578 92.127469) + (xy 90.563579 92.127467) + (xy 90.579341 92.095227) + (xy 90.616376 92.059528) + (xy 90.667694 92.055986) + (xy 90.709282 92.08626) + (xy 90.7221 92.128254) + (xy 90.7221 92.32445) + (xy 90.704507 92.372788) + (xy 90.700074 92.377625) + (xy 90.394446 92.683252) + (xy 90.391592 92.685961) + (xy 90.361504 92.713052) + (xy 90.351507 92.735509) + (xy 90.345877 92.745878) + (xy 90.332485 92.766501) + (xy 90.332483 92.766506) + (xy 90.331148 92.774935) + (xy 90.325574 92.793754) + (xy 90.3221 92.801556) + (xy 90.320665 92.808309) + (xy 90.293405 92.851932) + (xy 90.244483 92.867827) + (xy 90.218332 92.862149) + (xy 90.213924 92.860323) + (xy 90.147448 92.8471) + (xy 89.952552 92.8471) + (xy 89.952551 92.847101) + (xy 89.886076 92.860323) + (xy 89.841327 92.890223) + (xy 89.791361 92.902449) + (xy 89.75777 92.890223) + (xy 89.713725 92.860793) + (xy 89.7 92.858062) + (xy 89.7 94.616935) + (xy 89.713731 94.614204) + (xy 89.720571 94.611372) + (xy 89.721097 94.612644) + (xy 89.763118 94.602359) + (xy 89.809254 94.625108) + (xy 89.82514 94.650161) + (xy 89.828687 94.6594) + (xy 89.832039 94.670715) + (xy 89.837151 94.694763) + (xy 89.837152 94.694766) + (xy 89.842169 94.701671) + (xy 89.851534 94.71892) + (xy 89.852253 94.72079) + (xy 89.854596 94.726895) + (xy 89.854597 94.726896) + (xy 89.871985 94.744284) + (xy 89.879644 94.753252) + (xy 89.894097 94.773144) + (xy 89.894099 94.773146) + (xy 89.901495 94.777416) + (xy 89.917066 94.789365) + (xy 89.932511 94.80481) + (xy 89.954251 94.85143) + (xy 89.940937 94.901117) + (xy 89.930622 94.912983) + (xy 89.922483 94.920571) + (xy 89.889472 94.964379) + (xy 89.854119 95.033763) + (xy 89.84029 95.052796) + (xy 89.802797 95.090289) + (xy 89.783763 95.104119) + (xy 89.736511 95.128195) + (xy 89.714135 95.135465) + (xy 89.661763 95.14376) + (xy 89.638237 95.14376) + (xy 89.612049 95.139612) + (xy 89.585863 95.135465) + (xy 89.563487 95.128195) + (xy 89.516235 95.104119) + (xy 89.497203 95.090291) + (xy 89.463978 95.057066) + (xy 89.453821 95.047759) + (xy 89.44394 95.039468) + (xy 89.443939 95.039467) + (xy 89.443936 95.039465) + (xy 89.392086 95.012474) + (xy 89.357333 94.974549) + (xy 89.352535 94.934008) + (xy 89.357922 94.9) + (xy 89.353826 94.874138) + (xy 89.3529 94.862374) + (xy 89.3529 94.843862) + (xy 89.354897 94.826645) + (xy 89.355966 94.8221) + (xy 89.357817 94.814231) + (xy 89.357652 94.813051) + (xy 89.353621 94.784148) + (xy 89.3529 94.773759) + (xy 89.3529 94.691157) + (xy 89.370493 94.642819) + (xy 89.380393 94.633027) + (xy 89.4 94.616935) + (xy 89.4 92.858062) + (xy 89.380394 92.841973) + (xy 89.354189 92.797708) + (xy 89.3529 92.783842) + (xy 89.3529 92.711678) + (xy 89.354877 92.699563) + (xy 89.354229 92.699473) + (xy 89.355192 92.69257) + (xy 89.35298 92.644727) + (xy 89.3529 92.641254) + (xy 89.3529 92.621931) + (xy 89.352757 92.621165) + (xy 89.352566 92.620144) + (xy 89.351363 92.609779) + (xy 89.35106 92.603208) + (xy 89.351906 92.587979) + (xy 89.353209 92.579757) + (xy 89.357922 92.55) + (xy 89.356045 92.538152) + (xy 89.350741 92.504661) + (xy 89.337957 92.423945) + (xy 89.280016 92.310229) + (xy 89.189771 92.219984) + (xy 89.189768 92.219982) + (xy 89.118884 92.183864) + (xy 89.083803 92.146243) + (xy 89.081111 92.094874) + (xy 89.085462 92.083841) + (xy 89.117551 92.018203) + (xy 89.1279 91.947174) + (xy 89.1279 91.8) + (xy 89.472601 91.8) + (xy 89.472601 91.947142) + (xy 89.482934 92.018059) + (xy 89.536421 92.127469) + (xy 89.62253 92.213578) + (xy 89.731941 92.267065) + (xy 89.73194 92.267065) + (xy 89.802863 92.277399) + (xy 89.9 92.277399) + (xy 89.9 91.8) + (xy 89.472601 91.8) + (xy 89.1279 91.8) + (xy 89.1279 91.5) + (xy 89.4726 91.5) + (xy 89.9 91.5) + (xy 89.9 91.0226) + (xy 89.80287 91.0226) + (xy 89.802856 91.022601) + (xy 89.73194 91.032934) + (xy 89.62253 91.086421) + (xy 89.536421 91.17253) + (xy 89.482934 91.281941) + (xy 89.4726 91.352858) + (xy 89.4726 91.5) + (xy 89.1279 91.5) + (xy 89.1279 91.352826) + (xy 89.127858 91.35254) + (xy 89.123117 91.320001) + (xy 89.117551 91.281797) + (xy 89.063992 91.172239) + (xy 89.033943 91.14219) + (xy 89.012204 91.095572) + (xy 89.025517 91.045885) + (xy 89.026595 91.044602) + (xy 89.026537 91.04456) + (xy 89.030014 91.039774) + (xy 89.032157 91.035568) + (xy 89.041907 91.01643) + (xy 89.048068 91.006378) + (xy 89.063461 90.985193) + (xy 89.071554 90.960284) + (xy 89.076063 90.949397) + (xy 89.087957 90.926055) + (xy 89.092055 90.900173) + (xy 89.094806 90.888721) + (xy 89.1029 90.863813) + (xy 89.1029 90.837624) + (xy 89.103826 90.825859) + (xy 89.10413 90.823943) + (xy 89.107922 90.8) + (xy 89.103826 90.774138) + (xy 89.1029 90.762374) + (xy 89.1029 90.736188) + (xy 89.102048 90.733565) + (xy 89.094804 90.711271) + (xy 89.092053 90.699812) + (xy 89.087957 90.673945) + (xy 89.087956 90.673943) + (xy 89.087956 90.673942) + (xy 89.076069 90.650613) + (xy 89.071551 90.639706) + (xy 89.067207 90.626334) + (xy 89.069005 90.574926) + (xy 89.103427 90.5367) + (xy 89.138728 90.5279) + (xy 90.074453 90.5279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 87.942698 91.267481) + (xy 87.972203 91.309618) + (xy 87.97398 91.339922) + (xy 87.9721 91.352826) + (xy 87.9721 91.947182) + (xy 87.979797 92) + (xy 87.982449 92.018203) + (xy 88.036008 92.127761) + (xy 88.036009 92.127762) + (xy 88.03601 92.127764) + (xy 88.125074 92.216828) + (xy 88.146814 92.263448) + (xy 88.1471 92.270002) + (xy 88.1471 92.413813) + (xy 88.155192 92.438721) + (xy 88.157945 92.450187) + (xy 88.162043 92.476057) + (xy 88.173931 92.499388) + (xy 88.178444 92.510283) + (xy 88.186539 92.535193) + (xy 88.18654 92.535194) + (xy 88.186541 92.535196) + (xy 88.201928 92.556375) + (xy 88.208091 92.566432) + (xy 88.214883 92.57976) + (xy 88.219985 92.589773) + (xy 88.314508 92.684296) + (xy 88.314522 92.684308) + (xy 88.383903 92.753689) + (xy 88.405643 92.800309) + (xy 88.392329 92.849996) + (xy 88.372506 92.869391) + (xy 88.341776 92.889923) + (xy 88.29181 92.902148) + (xy 88.258221 92.889922) + (xy 88.213922 92.860323) + (xy 88.213919 92.860322) + (xy 88.147448 92.8471) + (xy 87.952552 92.8471) + (xy 87.952551 92.847101) + (xy 87.886078 92.860323) + (xy 87.810692 92.910693) + (xy 87.760323 92.986077) + (xy 87.760322 92.98608) + (xy 87.7471 93.052551) + (xy 87.7471 93.4344) + (xy 87.729507 93.482738) + (xy 87.684958 93.508458) + (xy 87.6719 93.5096) + (xy 87.163048 93.5096) + (xy 87.11471 93.492007) + (xy 87.109874 93.487574) + (xy 86.422531 92.800231) + (xy 86.400791 92.753611) + (xy 86.414105 92.703924) + (xy 86.441563 92.680054) + (xy 86.539771 92.630016) + (xy 86.630016 92.539771) + (xy 86.687957 92.426055) + (xy 86.707922 92.3) + (xy 86.705401 92.284086) + (xy 86.702617 92.266503) + (xy 86.687957 92.173945) + (xy 86.669255 92.13724) + (xy 86.662986 92.086184) + (xy 86.691002 92.043043) + (xy 86.736259 92.0279) + (xy 86.992064 92.0279) + (xy 86.996 92.028003) + (xy 87.036447 92.030123) + (xy 87.036447 92.030122) + (xy 87.036448 92.030123) + (xy 87.059403 92.02131) + (xy 87.070722 92.017958) + (xy 87.094761 92.012849) + (xy 87.09476 92.012849) + (xy 87.094766 92.012848) + (xy 87.101674 92.007828) + (xy 87.118921 91.998464) + (xy 87.126896 91.995403) + (xy 87.144289 91.978009) + (xy 87.153248 91.970357) + (xy 87.173146 91.955901) + (xy 87.177415 91.948505) + (xy 87.189362 91.932935) + (xy 87.846392 91.275906) + (xy 87.893011 91.254167) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 143.0093 80.39246) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 145.03254 82.4157) + (xy 145.034 82.430448) + (xy 145.034 129.532552) + (xy 145.03254 129.5473) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.0093 131.57054) + (xy 142.994552 131.572) + (xy 138.1501 131.572) + (xy 138.101762 131.554407) + (xy 138.076042 131.509858) + (xy 138.0749 131.4968) + (xy 138.0749 130.279552) + (xy 138.092493 130.231214) + (xy 138.096926 130.226378) + (xy 138.783302 129.540002) + (xy 141.921892 129.540002) + (xy 141.940283 129.738468) + (xy 141.940284 129.738477) + (xy 141.994826 129.930171) + (xy 141.994832 129.930187) + (xy 142.083671 130.1086) + (xy 142.203787 130.26766) + (xy 142.20379 130.267663) + (xy 142.203791 130.267664) + (xy 142.219602 130.282078) + (xy 142.351087 130.401943) + (xy 142.351089 130.401944) + (xy 142.35109 130.401945) + (xy 142.520554 130.506873) + (xy 142.706414 130.578876) + (xy 142.90234 130.6155) + (xy 142.902345 130.6155) + (xy 143.101655 130.6155) + (xy 143.10166 130.6155) + (xy 143.297586 130.578876) + (xy 143.483446 130.506873) + (xy 143.65291 130.401945) + (xy 143.800209 130.267664) + (xy 143.920326 130.108604) + (xy 144.009171 129.93018) + (xy 144.063717 129.738469) + (xy 144.082108 129.54) + (xy 144.080996 129.528003) + (xy 144.075783 129.471746) + (xy 144.063717 129.341531) + (xy 144.063715 129.341525) + (xy 144.063715 129.341522) + (xy 144.011007 129.156274) + (xy 144.009171 129.14982) + (xy 143.975949 129.083102) + (xy 143.920328 128.971399) + (xy 143.904112 128.949926) + (xy 143.83339 128.856274) + (xy 143.800212 128.812339) + (xy 143.800209 128.812336) + (xy 143.652912 128.678056) + (xy 143.48345 128.573129) + (xy 143.483447 128.573128) + (xy 143.483446 128.573127) + (xy 143.351439 128.521987) + (xy 143.297584 128.501123) + (xy 143.188913 128.48081) + (xy 143.10166 128.4645) + (xy 142.90234 128.4645) + (xy 142.863213 128.471814) + (xy 142.706415 128.501123) + (xy 142.520549 128.573129) + (xy 142.351087 128.678056) + (xy 142.20379 128.812336) + (xy 142.203787 128.812339) + (xy 142.083671 128.971399) + (xy 141.994832 129.149812) + (xy 141.994826 129.149828) + (xy 141.940284 129.341522) + (xy 141.940283 129.341531) + (xy 141.921892 129.539997) + (xy 141.921892 129.540002) + (xy 138.783302 129.540002) + (xy 141.368314 126.95499) + (xy 142.171845 126.95499) + (xy 142.17705 127.050984) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.31388 127.466555) + (xy 142.313881 127.466556) + (xy 142.336051 127.492656) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.830598 127.054507) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.819691 126.855664) + (xy 143.797553 126.775931) + (xy 143.774327 126.692277) + (xy 143.77161 126.687152) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.689703 126.532955) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.209021 126.199283) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.934243 126.175995) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 141.368314 126.95499) + (xy 141.889605 126.433699) + (xy 141.889626 126.433678) + (xy 141.894371 126.428723) + (xy 141.898804 126.423887) + (xy 141.930785 126.371193) + (xy 141.948378 126.322855) + (xy 141.957899 126.268856) + (xy 141.9579 126.268856) + (xy 141.9579 125.231145) + (xy 141.957815 125.227254) + (xy 141.95775 125.224264) + (xy 141.957464 125.21771) + (xy 141.942819 125.157861) + (xy 141.921079 125.111241) + (xy 141.90771 125.092148) + (xy 141.88963 125.066327) + (xy 141.889619 125.066314) + (xy 141.483699 124.660394) + (xy 141.47873 124.655636) + (xy 141.478723 124.655629) + (xy 141.473887 124.651196) + (xy 141.473885 124.651194) + (xy 141.421191 124.619214) + (xy 141.372856 124.601622) + (xy 141.318856 124.5921) + (xy 141.318852 124.5921) + (xy 140.950236 124.5921) + (xy 140.901898 124.574507) + (xy 140.883232 124.55104) + (xy 140.877754 124.540289) + (xy 140.78471 124.447245) + (xy 140.667466 124.387507) + (xy 140.667468 124.387507) + (xy 140.570194 124.3721) + (xy 140.570192 124.3721) + (xy 139.629808 124.3721) + (xy 139.629805 124.3721) + (xy 139.532532 124.387507) + (xy 139.415289 124.447245) + (xy 139.400401 124.462134) + (xy 139.353781 124.483874) + (xy 139.304094 124.47056) + (xy 139.294053 124.462134) + (xy 138.648548 123.816629) + (xy 138.646763 123.814783) + (xy 138.602211 123.767079) + (xy 138.602204 123.767073) + (xy 138.564789 123.744321) + (xy 138.558424 123.739989) + (xy 138.52353 123.713528) + (xy 138.502838 123.705368) + (xy 138.491357 123.699665) + (xy 138.472352 123.688108) + (xy 138.472347 123.688106) + (xy 138.430177 123.67629) + (xy 138.42288 123.673836) + (xy 138.382138 123.65777) + (xy 138.360004 123.655494) + (xy 138.347408 123.6531) + (xy 138.342805 123.65181) + (xy 138.325994 123.6471) + (xy 138.325993 123.6471) + (xy 138.282205 123.6471) + (xy 138.274515 123.646706) + (xy 138.230943 123.642226) + (xy 138.227856 123.642332) + (xy 138.219116 123.6421) + (xy 138.218852 123.6421) + (xy 136.9752 123.6421) + (xy 136.967959 123.642415) + (xy 136.961434 123.6427) + (xy 136.948385 123.643842) + (xy 136.944353 123.644644) + (xy 136.944296 123.644357) + (xy 136.926477 123.6471) + (xy 136.791497 123.6471) + (xy 136.722911 123.657094) + (xy 136.617099 123.708822) + (xy 136.533822 123.792099) + (xy 136.482094 123.897911) + (xy 136.4721 123.966497) + (xy 136.4721 124.433502) + (xy 136.481726 124.499562) + (xy 136.482094 124.502088) + (xy 136.499724 124.538152) + (xy 136.533822 124.6079) + (xy 136.617099 124.691177) + (xy 136.617101 124.691178) + (xy 136.617102 124.691179) + (xy 136.699927 124.731669) + (xy 136.735626 124.768704) + (xy 136.7421 124.799228) + (xy 136.7421 126.609397) + (xy 136.728335 126.652765) + (xy 136.715508 126.670936) + (xy 136.713734 126.673346) + (xy 136.676236 126.722216) + (xy 136.674173 126.725322) + (xy 136.673527 126.726471) + (xy 136.673313 126.727015) + (xy 136.66481 126.74276) + (xy 136.664473 126.743236) + (xy 136.66447 126.743242) + (xy 136.644409 126.799687) + (xy 136.643028 126.80328) + (xy 136.62133 126.855664) + (xy 136.620056 126.860419) + (xy 136.619581 126.860291) + (xy 136.618918 126.862845) + (xy 136.618988 126.862862) + (xy 136.617768 126.867867) + (xy 136.61771 126.868439) + (xy 136.613769 126.885906) + (xy 136.613574 126.886452) + (xy 136.60973 126.942649) + (xy 136.609262 126.94733) + (xy 136.602329 127) + (xy 136.602329 127.004925) + (xy 136.602304 127.004925) + (xy 136.602471 127.016675) + (xy 136.602226 127.019055) + (xy 136.602325 127.019627) + (xy 136.603241 127.037511) + (xy 136.603202 127.038077) + (xy 136.603202 127.038085) + (xy 136.613932 127.089718) + (xy 136.614861 127.0952) + (xy 136.62133 127.144336) + (xy 136.622607 127.149101) + (xy 136.621824 127.14931) + (xy 136.6261 127.170808) + (xy 136.6261 127.485287) + (xy 136.608507 127.533625) + (xy 136.604074 127.538461) + (xy 136.557245 127.585289) + (xy 136.497507 127.702532) + (xy 136.4821 127.799805) + (xy 136.4821 128.740194) + (xy 136.497507 128.837467) + (xy 136.557245 128.95471) + (xy 136.579743 128.977208) + (xy 136.601483 129.023828) + (xy 136.588169 129.073515) + (xy 136.570771 129.09122) + (xy 136.544442 129.110348) + (xy 136.544441 129.110349) + (xy 136.415752 129.253272) + (xy 136.319594 129.419824) + (xy 136.260162 129.602737) + (xy 136.24006 129.794) + (xy 136.244688 129.838032) + (xy 136.2451 129.845893) + (xy 136.2451 131.4968) + (xy 136.227507 131.545138) + (xy 136.182958 131.570858) + (xy 136.1699 131.572) + (xy 135.354712 131.572) + (xy 135.309274 131.55672) + (xy 135.26513 131.523245) + (xy 135.265128 131.523244) + (xy 135.128593 131.469401) + (xy 135.048696 131.459806) + (xy 135.002801 131.436575) + (xy 134.982577 131.389277) + (xy 134.99066 131.351002) + (xy 135.007956 131.317057) + (xy 135.007956 131.317056) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.24934 131.351003) + (xy 134.255609 131.402059) + (xy 134.227592 131.4452) + (xy 134.191303 131.459806) + (xy 134.111406 131.469401) + (xy 133.974871 131.523244) + (xy 133.97487 131.523245) + (xy 133.930725 131.55672) + (xy 133.885288 131.572) + (xy 132.814712 131.572) + (xy 132.769274 131.55672) + (xy 132.72513 131.523245) + (xy 132.725128 131.523244) + (xy 132.588593 131.469401) + (xy 132.508696 131.459806) + (xy 132.462801 131.436575) + (xy 132.442577 131.389277) + (xy 132.45066 131.351002) + (xy 132.467956 131.317057) + (xy 132.467956 131.317056) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.70934 131.351003) + (xy 131.715609 131.402059) + (xy 131.687592 131.4452) + (xy 131.651303 131.459806) + (xy 131.571406 131.469401) + (xy 131.434871 131.523244) + (xy 131.43487 131.523245) + (xy 131.390725 131.55672) + (xy 131.345288 131.572) + (xy 130.274712 131.572) + (xy 130.229274 131.55672) + (xy 130.18513 131.523245) + (xy 130.185128 131.523244) + (xy 130.048597 131.469403) + (xy 129.962803 131.4591) + (xy 129.962802 131.4591) + (xy 129.117198 131.4591) + (xy 129.117197 131.4591) + (xy 129.031402 131.469403) + (xy 128.894871 131.523244) + (xy 128.89487 131.523245) + (xy 128.850725 131.55672) + (xy 128.805288 131.572) + (xy 127.734712 131.572) + (xy 127.689274 131.55672) + (xy 127.64513 131.523245) + (xy 127.645128 131.523244) + (xy 127.508597 131.469403) + (xy 127.422803 131.4591) + (xy 127.422802 131.4591) + (xy 126.577198 131.4591) + (xy 126.577197 131.4591) + (xy 126.491402 131.469403) + (xy 126.354871 131.523244) + (xy 126.35487 131.523245) + (xy 126.310725 131.55672) + (xy 126.265288 131.572) + (xy 125.194712 131.572) + (xy 125.149274 131.55672) + (xy 125.10513 131.523245) + (xy 125.105128 131.523244) + (xy 124.968597 131.469403) + (xy 124.882803 131.4591) + (xy 124.882802 131.4591) + (xy 124.7631 131.4591) + (xy 124.714762 131.441507) + (xy 124.689042 131.396958) + (xy 124.6879 131.3839) + (xy 124.6879 129.217928) + (xy 124.688003 129.213991) + (xy 124.690123 129.173551) + (xy 124.68131 129.150595) + (xy 124.67796 129.139288) + (xy 124.672848 129.115234) + (xy 124.66783 129.108327) + (xy 124.658463 129.091075) + (xy 124.655403 129.083104) + (xy 124.655402 129.083102) + (xy 124.638018 129.065718) + (xy 124.630355 129.056747) + (xy 124.615901 129.036854) + (xy 124.608656 129.032671) + (xy 124.608503 129.032582) + (xy 124.592933 129.020634) + (xy 123.992299 128.42) + (xy 134.7826 128.42) + (xy 134.7826 128.740156) + (xy 134.797988 128.83731) + (xy 134.857656 128.954414) + (xy 134.950585 129.047343) + (xy 135.06769 129.107011) + (xy 135.067688 129.107011) + (xy 135.164843 129.122399) + (xy 135.16485 129.1224) + (xy 135.31 129.1224) + (xy 135.31 128.42) + (xy 135.61 128.42) + (xy 135.61 129.1224) + (xy 135.75515 129.1224) + (xy 135.755156 129.122399) + (xy 135.85231 129.107011) + (xy 135.969414 129.047343) + (xy 136.062343 128.954414) + (xy 136.122011 128.83731) + (xy 136.137399 128.740156) + (xy 136.1374 128.740149) + (xy 136.1374 128.42) + (xy 135.61 128.42) + (xy 135.31 128.42) + (xy 134.7826 128.42) + (xy 123.992299 128.42) + (xy 123.692299 128.12) + (xy 134.7826 128.12) + (xy 135.31 128.12) + (xy 135.31 127.4176) + (xy 135.61 127.4176) + (xy 135.61 128.12) + (xy 136.1374 128.12) + (xy 136.1374 127.79985) + (xy 136.137399 127.799843) + (xy 136.122011 127.702689) + (xy 136.062343 127.585585) + (xy 135.969414 127.492656) + (xy 135.852309 127.432988) + (xy 135.852311 127.432988) + (xy 135.755156 127.4176) + (xy 135.61 127.4176) + (xy 135.31 127.4176) + (xy 135.164843 127.4176) + (xy 135.067689 127.432988) + (xy 134.950585 127.492656) + (xy 134.857656 127.585585) + (xy 134.797988 127.702689) + (xy 134.7826 127.799843) + (xy 134.7826 128.12) + (xy 123.692299 128.12) + (xy 122.316758 126.744458) + (xy 122.314047 126.741602) + (xy 122.286949 126.711506) + (xy 122.286945 126.711503) + (xy 122.264486 126.701504) + (xy 122.254116 126.695874) + (xy 122.233496 126.682483) + (xy 122.225064 126.681148) + (xy 122.206243 126.675573) + (xy 122.198442 126.6721) + (xy 122.173848 126.6721) + (xy 122.162084 126.671174) + (xy 122.137808 126.667329) + (xy 122.137804 126.667329) + (xy 122.12956 126.669538) + (xy 122.110099 126.6721) + (xy 114.207929 126.6721) + (xy 114.203992 126.671997) + (xy 114.163551 126.669876) + (xy 114.140597 126.678688) + (xy 114.129286 126.682039) + (xy 114.105235 126.687151) + (xy 114.105229 126.687154) + (xy 114.098324 126.692171) + (xy 114.081085 126.701532) + (xy 114.073104 126.704596) + (xy 114.055712 126.721987) + (xy 114.046746 126.729645) + (xy 114.026854 126.744098) + (xy 114.026852 126.744101) + (xy 114.022583 126.751495) + (xy 114.010634 126.767065) + (xy 113.251008 127.52669) + (xy 113.204388 127.54843) + (xy 113.186072 127.54779) + (xy 113.150003 127.542078) + (xy 113.149999 127.542078) + (xy 113.023943 127.562043) + (xy 112.910228 127.619984) + (xy 112.819984 127.710228) + (xy 112.762043 127.823943) + (xy 112.742078 127.949999) + (xy 112.742078 127.95) + (xy 112.762043 128.076056) + (xy 112.797423 128.145493) + (xy 112.819984 128.189771) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.480016 128.189771) + (xy 113.515566 128.12) + (xy 114.7166 128.12) + (xy 115.244 128.12) + (xy 115.244 127.4176) + (xy 115.544 127.4176) + (xy 115.544 128.12) + (xy 116.0714 128.12) + (xy 116.0714 127.79985) + (xy 116.071399 127.799843) + (xy 116.056011 127.702689) + (xy 115.996343 127.585585) + (xy 115.903414 127.492656) + (xy 115.786309 127.432988) + (xy 115.786311 127.432988) + (xy 115.689156 127.4176) + (xy 115.544 127.4176) + (xy 115.244 127.4176) + (xy 115.098843 127.4176) + (xy 115.001689 127.432988) + (xy 114.884585 127.492656) + (xy 114.791656 127.585585) + (xy 114.731988 127.702689) + (xy 114.7166 127.799843) + (xy 114.7166 128.12) + (xy 113.515566 128.12) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.552208 127.913927) + (xy 113.562022 127.863433) + (xy 113.5733 127.848997) + (xy 114.272374 127.149924) + (xy 114.318993 127.128186) + (xy 114.325547 127.1279) + (xy 122.024453 127.1279) + (xy 122.072791 127.145493) + (xy 122.077627 127.149926) + (xy 124.210074 129.282373) + (xy 124.231814 129.328993) + (xy 124.2321 129.335547) + (xy 124.2321 131.3839) + (xy 124.214507 131.432238) + (xy 124.169958 131.457958) + (xy 124.1569 131.4591) + (xy 124.037197 131.4591) + (xy 123.951402 131.469403) + (xy 123.814871 131.523244) + (xy 123.81487 131.523245) + (xy 123.770725 131.55672) + (xy 123.725288 131.572) + (xy 122.654712 131.572) + (xy 122.609274 131.55672) + (xy 122.56513 131.523245) + (xy 122.565128 131.523244) + (xy 122.428597 131.469403) + (xy 122.342803 131.4591) + (xy 122.342802 131.4591) + (xy 121.497198 131.4591) + (xy 121.497197 131.4591) + (xy 121.411402 131.469403) + (xy 121.274871 131.523244) + (xy 121.27487 131.523245) + (xy 121.230725 131.55672) + (xy 121.185288 131.572) + (xy 120.114712 131.572) + (xy 120.069274 131.55672) + (xy 120.02513 131.523245) + (xy 120.025128 131.523244) + (xy 119.888597 131.469403) + (xy 119.802803 131.4591) + (xy 119.802802 131.4591) + (xy 119.6831 131.4591) + (xy 119.634762 131.441507) + (xy 119.609042 131.396958) + (xy 119.6079 131.3839) + (xy 119.6079 131.187936) + (xy 119.608003 131.183999) + (xy 119.610123 131.143551) + (xy 119.601311 131.120595) + (xy 119.597959 131.10928) + (xy 119.592848 131.085235) + (xy 119.592848 131.085234) + (xy 119.590439 131.081919) + (xy 119.587831 131.07833) + (xy 119.578463 131.061074) + (xy 119.575404 131.053104) + (xy 119.568021 131.045721) + (xy 119.558007 131.035707) + (xy 119.550355 131.026749) + (xy 119.535901 131.006854) + (xy 119.5359 131.006853) + (xy 119.535899 131.006852) + (xy 119.528506 131.002584) + (xy 119.512934 130.990634) + (xy 118.906758 130.384458) + (xy 118.904047 130.381602) + (xy 118.904006 130.381557) + (xy 118.876949 130.351506) + (xy 118.876945 130.351503) + (xy 118.854486 130.341504) + (xy 118.844116 130.335874) + (xy 118.823496 130.322483) + (xy 118.815064 130.321148) + (xy 118.796243 130.315573) + (xy 118.788442 130.3121) + (xy 118.763848 130.3121) + (xy 118.752084 130.311174) + (xy 118.727808 130.307329) + (xy 118.727804 130.307329) + (xy 118.71956 130.309538) + (xy 118.700099 130.3121) + (xy 114.665547 130.3121) + (xy 114.617209 130.294507) + (xy 114.612373 130.290074) + (xy 112.742299 128.42) + (xy 114.7166 128.42) + (xy 114.7166 128.740156) + (xy 114.731988 128.83731) + (xy 114.791656 128.954414) + (xy 114.884585 129.047343) + (xy 115.00169 129.107011) + (xy 115.001688 129.107011) + (xy 115.098843 129.122399) + (xy 115.09885 129.1224) + (xy 115.244 129.1224) + (xy 115.244 128.42) + (xy 115.544 128.42) + (xy 115.544 129.1224) + (xy 115.68915 129.1224) + (xy 115.689156 129.122399) + (xy 115.78631 129.107011) + (xy 115.903414 129.047343) + (xy 115.996343 128.954414) + (xy 116.056011 128.83731) + (xy 116.071393 128.740194) + (xy 116.4161 128.740194) + (xy 116.431507 128.837467) + (xy 116.491245 128.95471) + (xy 116.519074 128.982539) + (xy 116.540814 129.029159) + (xy 116.5411 129.035713) + (xy 116.5411 129.498829) + (xy 116.540456 129.508644) + (xy 116.53633 129.539997) + (xy 116.536329 129.540001) + (xy 116.540568 129.572207) + (xy 116.541034 129.576864) + (xy 116.541098 129.577807) + (xy 116.545994 129.613435) + (xy 116.54605 129.613854) + (xy 116.555505 129.685661) + (xy 116.556236 129.689283) + (xy 116.556597 129.690573) + (xy 116.584753 129.755396) + (xy 116.585253 129.756576) + (xy 116.611041 129.818833) + (xy 116.613506 129.823102) + (xy 116.613073 129.823351) + (xy 116.614413 129.825628) + (xy 116.61448 129.825588) + (xy 116.617149 129.829978) + (xy 116.659481 129.882011) + (xy 116.660807 129.88369) + (xy 116.699669 129.934336) + (xy 116.70315 129.937817) + (xy 116.703132 129.937834) + (xy 116.711564 129.94603) + (xy 116.713067 129.947877) + (xy 116.713069 129.94788) + (xy 116.764918 129.984477) + (xy 116.767332 129.986254) + (xy 116.779966 129.995948) + (xy 116.815164 130.022957) + (xy 116.817747 130.024026) + (xy 116.832339 130.032068) + (xy 116.837237 130.035526) + (xy 116.837238 130.035526) + (xy 116.837239 130.035527) + (xy 116.893686 130.055588) + (xy 116.897251 130.056958) + (xy 116.949664 130.078669) + (xy 116.956022 130.079505) + (xy 116.971389 130.083204) + (xy 116.980452 130.086425) + (xy 117.036641 130.090268) + (xy 117.041324 130.090736) + (xy 117.048163 130.091636) + (xy 117.094 130.097671) + (xy 117.103944 130.096361) + (xy 117.118889 130.095894) + (xy 117.132082 130.096797) + (xy 117.132085 130.096797) + (xy 117.132085 130.096796) + (xy 117.132086 130.096797) + (xy 117.183752 130.08606) + (xy 117.189189 130.085138) + (xy 117.238336 130.078669) + (xy 117.25093 130.073451) + (xy 117.264409 130.069299) + (xy 117.280896 130.065874) + (xy 117.280897 130.065873) + (xy 117.280899 130.065873) + (xy 117.32455 130.043254) + (xy 117.330363 130.040549) + (xy 117.372836 130.022957) + (xy 117.386513 130.01246) + (xy 117.397695 130.005352) + (xy 117.415844 129.995949) + (xy 117.449167 129.964826) + (xy 117.454701 129.960139) + (xy 117.488328 129.934337) + (xy 117.488328 129.934336) + (xy 117.488333 129.934333) + (xy 117.501022 129.917795) + (xy 117.509349 129.90862) + (xy 117.526923 129.892209) + (xy 117.548748 129.856317) + (xy 117.553326 129.84963) + (xy 117.576957 129.818836) + (xy 117.586312 129.796249) + (xy 117.591523 129.785977) + (xy 117.605894 129.762347) + (xy 117.616258 129.725352) + (xy 117.619195 129.716862) + (xy 117.623905 129.705494) + (xy 117.632669 129.684336) + (xy 117.636328 129.656536) + (xy 117.638471 129.646075) + (xy 117.6469 129.615994) + (xy 117.6469 129.58117) + (xy 117.647543 129.571355) + (xy 117.650153 129.551527) + (xy 117.651671 129.54) + (xy 117.647543 129.508644) + (xy 117.6469 129.498829) + (xy 117.6469 129.035713) + (xy 117.664493 128.987375) + (xy 117.668926 128.982539) + (xy 117.680069 128.971396) + (xy 117.696755 128.95471) + (xy 117.756493 128.837466) + (xy 117.7719 128.740194) + (xy 118.4481 128.740194) + (xy 118.463507 128.837467) + (xy 118.523245 128.95471) + (xy 118.551074 128.982539) + (xy 118.572814 129.029159) + (xy 118.5731 129.035713) + (xy 118.5731 129.498829) + (xy 118.572456 129.508644) + (xy 118.56833 129.539997) + (xy 118.568329 129.540001) + (xy 118.572568 129.572207) + (xy 118.573034 129.576864) + (xy 118.573098 129.577807) + (xy 118.577994 129.613435) + (xy 118.57805 129.613854) + (xy 118.587505 129.685661) + (xy 118.588236 129.689283) + (xy 118.588597 129.690573) + (xy 118.616753 129.755396) + (xy 118.617253 129.756576) + (xy 118.643041 129.818833) + (xy 118.645506 129.823102) + (xy 118.645073 129.823351) + (xy 118.646413 129.825628) + (xy 118.64648 129.825588) + (xy 118.649149 129.829978) + (xy 118.691481 129.882011) + (xy 118.692807 129.88369) + (xy 118.731669 129.934336) + (xy 118.73515 129.937817) + (xy 118.735132 129.937834) + (xy 118.743564 129.94603) + (xy 118.745067 129.947877) + (xy 118.745069 129.94788) + (xy 118.796918 129.984477) + (xy 118.799332 129.986254) + (xy 118.811966 129.995948) + (xy 118.847164 130.022957) + (xy 118.849747 130.024026) + (xy 118.864339 130.032068) + (xy 118.869237 130.035526) + (xy 118.869238 130.035526) + (xy 118.869239 130.035527) + (xy 118.925686 130.055588) + (xy 118.929251 130.056958) + (xy 118.981664 130.078669) + (xy 118.988022 130.079505) + (xy 119.003389 130.083204) + (xy 119.012452 130.086425) + (xy 119.068641 130.090268) + (xy 119.073324 130.090736) + (xy 119.080163 130.091636) + (xy 119.126 130.097671) + (xy 119.135944 130.096361) + (xy 119.150889 130.095894) + (xy 119.164082 130.096797) + (xy 119.164085 130.096797) + (xy 119.164085 130.096796) + (xy 119.164086 130.096797) + (xy 119.215752 130.08606) + (xy 119.221189 130.085138) + (xy 119.270336 130.078669) + (xy 119.28293 130.073451) + (xy 119.296409 130.069299) + (xy 119.312896 130.065874) + (xy 119.312897 130.065873) + (xy 119.312899 130.065873) + (xy 119.35655 130.043254) + (xy 119.362363 130.040549) + (xy 119.404836 130.022957) + (xy 119.418513 130.01246) + (xy 119.429695 130.005352) + (xy 119.447844 129.995949) + (xy 119.481167 129.964826) + (xy 119.486701 129.960139) + (xy 119.520328 129.934337) + (xy 119.520328 129.934336) + (xy 119.520333 129.934333) + (xy 119.533022 129.917795) + (xy 119.541349 129.90862) + (xy 119.558923 129.892209) + (xy 119.580748 129.856317) + (xy 119.585326 129.84963) + (xy 119.608957 129.818836) + (xy 119.618312 129.796249) + (xy 119.623523 129.785977) + (xy 119.637894 129.762347) + (xy 119.648258 129.725352) + (xy 119.651195 129.716862) + (xy 119.655905 129.705494) + (xy 119.664669 129.684336) + (xy 119.668328 129.656536) + (xy 119.670471 129.646075) + (xy 119.6789 129.615994) + (xy 119.6789 129.58117) + (xy 119.679543 129.571355) + (xy 119.682153 129.551527) + (xy 119.683671 129.54) + (xy 119.679543 129.508644) + (xy 119.6789 129.498829) + (xy 119.6789 129.035713) + (xy 119.696493 128.987375) + (xy 119.700926 128.982539) + (xy 119.712069 128.971396) + (xy 119.728755 128.95471) + (xy 119.788493 128.837466) + (xy 119.8039 128.740192) + (xy 119.8039 128.42) + (xy 120.1486 128.42) + (xy 120.1486 128.740156) + (xy 120.163988 128.83731) + (xy 120.223656 128.954414) + (xy 120.316585 129.047343) + (xy 120.43369 129.107011) + (xy 120.433688 129.107011) + (xy 120.530843 129.122399) + (xy 120.53085 129.1224) + (xy 120.676 129.1224) + (xy 120.676 128.42) + (xy 120.976 128.42) + (xy 120.976 129.1224) + (xy 121.12115 129.1224) + (xy 121.121156 129.122399) + (xy 121.21831 129.107011) + (xy 121.335414 129.047343) + (xy 121.428343 128.954414) + (xy 121.488011 128.83731) + (xy 121.503399 128.740156) + (xy 121.5034 128.740149) + (xy 121.5034 128.42) + (xy 120.976 128.42) + (xy 120.676 128.42) + (xy 120.1486 128.42) + (xy 119.8039 128.42) + (xy 119.8039 128.12) + (xy 120.1486 128.12) + (xy 120.676 128.12) + (xy 120.676 127.4176) + (xy 120.976 127.4176) + (xy 120.976 128.12) + (xy 121.5034 128.12) + (xy 121.5034 127.79985) + (xy 121.503399 127.799843) + (xy 121.488011 127.702689) + (xy 121.428343 127.585585) + (xy 121.335414 127.492656) + (xy 121.218309 127.432988) + (xy 121.218311 127.432988) + (xy 121.121156 127.4176) + (xy 120.976 127.4176) + (xy 120.676 127.4176) + (xy 120.530843 127.4176) + (xy 120.433689 127.432988) + (xy 120.316585 127.492656) + (xy 120.223656 127.585585) + (xy 120.163988 127.702689) + (xy 120.1486 127.799843) + (xy 120.1486 128.12) + (xy 119.8039 128.12) + (xy 119.8039 127.799808) + (xy 119.788493 127.702534) + (xy 119.728755 127.58529) + (xy 119.63571 127.492245) + (xy 119.518466 127.432507) + (xy 119.518468 127.432507) + (xy 119.421194 127.4171) + (xy 119.421192 127.4171) + (xy 118.830808 127.4171) + (xy 118.830805 127.4171) + (xy 118.733532 127.432507) + (xy 118.616289 127.492245) + (xy 118.523245 127.585289) + (xy 118.463507 127.702532) + (xy 118.4481 127.799805) + (xy 118.4481 128.740194) + (xy 117.7719 128.740194) + (xy 117.7719 128.740192) + (xy 117.7719 127.799808) + (xy 117.756493 127.702534) + (xy 117.696755 127.58529) + (xy 117.60371 127.492245) + (xy 117.486466 127.432507) + (xy 117.486468 127.432507) + (xy 117.389194 127.4171) + (xy 117.389192 127.4171) + (xy 116.798808 127.4171) + (xy 116.798805 127.4171) + (xy 116.701532 127.432507) + (xy 116.584289 127.492245) + (xy 116.491245 127.585289) + (xy 116.431507 127.702532) + (xy 116.4161 127.799805) + (xy 116.4161 128.740194) + (xy 116.071393 128.740194) + (xy 116.071399 128.740156) + (xy 116.0714 128.740149) + (xy 116.0714 128.42) + (xy 115.544 128.42) + (xy 115.244 128.42) + (xy 114.7166 128.42) + (xy 112.742299 128.42) + (xy 112.705847 128.383548) + (xy 110.785758 126.463458) + (xy 110.783047 126.460602) + (xy 110.755949 126.430506) + (xy 110.755945 126.430503) + (xy 110.733486 126.420504) + (xy 110.723116 126.414874) + (xy 110.702496 126.401483) + (xy 110.694064 126.400148) + (xy 110.675243 126.394573) + (xy 110.667442 126.3911) + (xy 110.642848 126.3911) + (xy 110.631084 126.390174) + (xy 110.606808 126.386329) + (xy 110.606804 126.386329) + (xy 110.59856 126.388538) + (xy 110.579099 126.3911) + (xy 110.171048 126.3911) + (xy 110.12271 126.373507) + (xy 110.117873 126.369074) + (xy 109.849925 126.101125) + (xy 109.828186 126.054505) + (xy 109.8279 126.047951) + (xy 109.8279 125.844779) + (xy 109.845493 125.796441) + (xy 109.861313 125.782258) + (xy 109.883673 125.767318) + (xy 109.933636 125.755093) + (xy 109.967229 125.76732) + (xy 110.034141 125.81203) + (xy 110.1 125.825129) + (xy 110.1 125.1) + (xy 110.4 125.1) + (xy 110.4 125.825129) + (xy 110.465858 125.81203) + (xy 110.53322 125.76702) + (xy 110.583186 125.754793) + (xy 110.61678 125.76702) + (xy 110.684141 125.81203) + (xy 110.75 125.825129) + (xy 110.75 125.1) + (xy 111.05 125.1) + (xy 111.05 125.825129) + (xy 111.115858 125.81203) + (xy 111.18322 125.76702) + (xy 111.233186 125.754793) + (xy 111.26678 125.76702) + (xy 111.334141 125.81203) + (xy 111.4 125.825129) + (xy 111.4 125.1) + (xy 111.7 125.1) + (xy 111.7 125.825129) + (xy 111.765858 125.81203) + (xy 111.853481 125.753481) + (xy 111.91203 125.665859) + (xy 111.912031 125.665857) + (xy 111.9274 125.588592) + (xy 111.9274 125.1) + (xy 111.7 125.1) + (xy 111.4 125.1) + (xy 111.05 125.1) + (xy 110.75 125.1) + (xy 110.4 125.1) + (xy 110.1 125.1) + (xy 110.1 124.8) + (xy 110.4 124.8) + (xy 110.75 124.8) + (xy 111.05 124.8) + (xy 111.4 124.8) + (xy 111.4 124.074868) + (xy 111.7 124.074868) + (xy 111.7 124.8) + (xy 111.9274 124.8) + (xy 111.9274 124.311407) + (xy 111.912031 124.234142) + (xy 111.91203 124.23414) + (xy 111.853481 124.146518) + (xy 111.765859 124.087969) + (xy 111.765857 124.087968) + (xy 111.7 124.074868) + (xy 111.4 124.074868) + (xy 111.399999 124.074868) + (xy 111.334142 124.087968) + (xy 111.334137 124.08797) + (xy 111.266779 124.132979) + (xy 111.216814 124.145206) + (xy 111.183221 124.132979) + (xy 111.115862 124.08797) + (xy 111.115857 124.087968) + (xy 111.05 124.074868) + (xy 111.05 124.8) + (xy 110.75 124.8) + (xy 110.75 124.074868) + (xy 110.749999 124.074868) + (xy 110.684142 124.087968) + (xy 110.684137 124.08797) + (xy 110.616779 124.132979) + (xy 110.566814 124.145206) + (xy 110.533221 124.132979) + (xy 110.465862 124.08797) + (xy 110.465857 124.087968) + (xy 110.4 124.074868) + (xy 110.4 124.8) + (xy 110.1 124.8) + (xy 110.1 124.074868) + (xy 110.099999 124.074868) + (xy 110.034142 124.087968) + (xy 110.034141 124.087969) + (xy 109.967228 124.132679) + (xy 109.917262 124.144905) + (xy 109.88367 124.132679) + (xy 109.83634 124.101054) + (xy 109.816054 124.087499) + (xy 109.816052 124.087498) + (xy 109.738637 124.0721) + (xy 109.461362 124.0721) + (xy 109.461361 124.072101) + (xy 109.383945 124.087499) + (xy 109.316777 124.132379) + (xy 109.266812 124.144605) + (xy 109.233219 124.132378) + (xy 109.21132 124.117745) + (xy 109.180904 124.076261) + (xy 109.1779 124.055219) + (xy 109.1779 123.423035) + (xy 109.195493 123.374697) + (xy 109.199926 123.369861) + (xy 109.238712 123.331075) + (xy 109.280016 123.289771) + (xy 109.337957 123.176055) + (xy 109.357922 123.05) + (xy 109.337957 122.923945) + (xy 109.280016 122.810229) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.619984 123.289771) + (xy 108.700074 123.369861) + (xy 108.721814 123.416481) + (xy 108.7221 123.423035) + (xy 108.7221 123.470765) + (xy 108.704507 123.519103) + (xy 108.659958 123.544823) + (xy 108.6093 123.53589) + (xy 108.593726 123.523939) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173943 123.412043) + (xy 108.060228 123.469984) + (xy 108.006274 123.523939) + (xy 107.959654 123.545679) + (xy 107.909967 123.532365) + (xy 107.880462 123.490228) + (xy 107.8779 123.470765) + (xy 107.8779 123.423035) + (xy 107.895493 123.374697) + (xy 107.899926 123.369861) + (xy 107.938712 123.331075) + (xy 107.980016 123.289771) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.319984 123.289771) + (xy 107.400074 123.369861) + (xy 107.421814 123.416481) + (xy 107.4221 123.423035) + (xy 107.4221 123.470765) + (xy 107.404507 123.519103) + (xy 107.359958 123.544823) + (xy 107.3093 123.53589) + (xy 107.293726 123.523939) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873943 123.412043) + (xy 106.760228 123.469984) + (xy 106.706274 123.523939) + (xy 106.659654 123.545679) + (xy 106.609967 123.532365) + (xy 106.580462 123.490228) + (xy 106.5779 123.470765) + (xy 106.5779 123.423035) + (xy 106.595493 123.374697) + (xy 106.599926 123.369861) + (xy 106.638712 123.331075) + (xy 106.680016 123.289771) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.019984 123.289771) + (xy 106.100074 123.369861) + (xy 106.121814 123.416481) + (xy 106.1221 123.423035) + (xy 106.1221 123.470765) + (xy 106.104507 123.519103) + (xy 106.059958 123.544823) + (xy 106.0093 123.53589) + (xy 105.993726 123.523939) + (xy 105.939771 123.469984) + (xy 105.826055 123.412043) + (xy 105.826057 123.412043) + (xy 105.7 123.392078) + (xy 105.573943 123.412043) + (xy 105.460228 123.469984) + (xy 105.369984 123.560228) + (xy 105.312043 123.673943) + (xy 105.292078 123.799999) + (xy 105.292078 123.8) + (xy 105.312043 123.926056) + (xy 105.313902 123.929704) + (xy 105.3221 123.963847) + (xy 105.3221 125.588635) + (xy 105.322101 125.588637) + (xy 105.329319 125.624926) + (xy 105.337499 125.666054) + (xy 105.396158 125.753842) + (xy 105.483946 125.812501) + (xy 105.561363 125.8279) + (xy 105.838636 125.827899) + (xy 105.838637 125.827899) + (xy 105.838637 125.827898) + (xy 105.916054 125.812501) + (xy 105.983223 125.767619) + (xy 106.033186 125.755393) + (xy 106.066775 125.767618) + (xy 106.133946 125.812501) + (xy 106.211363 125.8279) + (xy 106.488636 125.827899) + (xy 106.488637 125.827899) + (xy 106.488637 125.827898) + (xy 106.566054 125.812501) + (xy 106.633223 125.767619) + (xy 106.683186 125.755393) + (xy 106.716775 125.767618) + (xy 106.783946 125.812501) + (xy 106.861363 125.8279) + (xy 107.138636 125.827899) + (xy 107.138637 125.827899) + (xy 107.138637 125.827898) + (xy 107.216054 125.812501) + (xy 107.283223 125.767619) + (xy 107.333186 125.755393) + (xy 107.366775 125.767618) + (xy 107.433946 125.812501) + (xy 107.511363 125.8279) + (xy 107.788636 125.827899) + (xy 107.788637 125.827899) + (xy 107.788637 125.827898) + (xy 107.866054 125.812501) + (xy 107.933223 125.767619) + (xy 107.983186 125.755393) + (xy 108.016775 125.767618) + (xy 108.083946 125.812501) + (xy 108.161363 125.8279) + (xy 108.438636 125.827899) + (xy 108.438637 125.827899) + (xy 108.438637 125.827898) + (xy 108.516054 125.812501) + (xy 108.583223 125.767619) + (xy 108.633186 125.755393) + (xy 108.666775 125.767618) + (xy 108.733946 125.812501) + (xy 108.811363 125.8279) + (xy 109.088636 125.827899) + (xy 109.088637 125.827899) + (xy 109.088637 125.827898) + (xy 109.166054 125.812501) + (xy 109.233223 125.767618) + (xy 109.283184 125.755393) + (xy 109.316774 125.767617) + (xy 109.338678 125.782253) + (xy 109.369095 125.823734) + (xy 109.3721 125.844779) + (xy 109.3721 126.165562) + (xy 109.371997 126.169498) + (xy 109.369876 126.209948) + (xy 109.378688 126.232902) + (xy 109.382039 126.244215) + (xy 109.387151 126.268263) + (xy 109.387152 126.268266) + (xy 109.392169 126.275171) + (xy 109.401536 126.292422) + (xy 109.404596 126.300395) + (xy 109.404597 126.300396) + (xy 109.421985 126.317784) + (xy 109.429644 126.326752) + (xy 109.444097 126.346644) + (xy 109.444099 126.346646) + (xy 109.451495 126.350916) + (xy 109.467066 126.362865) + (xy 109.878731 126.77453) + (xy 109.881442 126.777386) + (xy 109.903149 126.801495) + (xy 109.908551 126.807494) + (xy 109.931016 126.817495) + (xy 109.941387 126.823127) + (xy 109.962002 126.836516) + (xy 109.970428 126.83785) + (xy 109.989259 126.843428) + (xy 109.997053 126.846898) + (xy 109.997055 126.846898) + (xy 109.997058 126.8469) + (xy 110.021652 126.8469) + (xy 110.033415 126.847825) + (xy 110.057694 126.851671) + (xy 110.062753 126.850315) + (xy 110.06594 126.849462) + (xy 110.085401 126.8469) + (xy 110.493453 126.8469) + (xy 110.541791 126.864493) + (xy 110.546627 126.868926) + (xy 110.621427 126.943726) + (xy 110.643167 126.990346) + (xy 110.629853 127.040033) + (xy 110.587716 127.069538) + (xy 110.568253 127.0721) + (xy 102.975548 127.0721) + (xy 102.92721 127.054507) + (xy 102.922374 127.050074) + (xy 101.949926 126.077626) + (xy 101.928186 126.031006) + (xy 101.9279 126.024452) + (xy 101.9279 125.844779) + (xy 101.945493 125.796441) + (xy 101.961313 125.782258) + (xy 101.983673 125.767318) + (xy 102.033636 125.755093) + (xy 102.067229 125.76732) + (xy 102.134141 125.81203) + (xy 102.2 125.825129) + (xy 102.2 125.1) + (xy 102.5 125.1) + (xy 102.5 125.825129) + (xy 102.565858 125.81203) + (xy 102.653481 125.753481) + (xy 102.71203 125.665859) + (xy 102.712031 125.665857) + (xy 102.7274 125.588592) + (xy 102.7274 125.1) + (xy 102.5 125.1) + (xy 102.2 125.1) + (xy 102.2 124.074868) + (xy 102.5 124.074868) + (xy 102.5 124.8) + (xy 102.7274 124.8) + (xy 102.7274 124.311407) + (xy 102.712031 124.234142) + (xy 102.71203 124.23414) + (xy 102.653481 124.146518) + (xy 102.565859 124.087969) + (xy 102.565857 124.087968) + (xy 102.5 124.074868) + (xy 102.2 124.074868) + (xy 102.199999 124.074868) + (xy 102.134142 124.087968) + (xy 102.134141 124.087969) + (xy 102.067228 124.132679) + (xy 102.017262 124.144905) + (xy 101.98367 124.132679) + (xy 101.93634 124.101054) + (xy 101.916054 124.087499) + (xy 101.916052 124.087498) + (xy 101.838637 124.0721) + (xy 101.561362 124.0721) + (xy 101.561361 124.072101) + (xy 101.483946 124.087498) + (xy 101.416779 124.132379) + (xy 101.366813 124.144605) + (xy 101.333221 124.132379) + (xy 101.28634 124.101054) + (xy 101.266054 124.087499) + (xy 101.266052 124.087498) + (xy 101.188637 124.0721) + (xy 100.911362 124.0721) + (xy 100.911361 124.072101) + (xy 100.833946 124.087498) + (xy 100.766779 124.132379) + (xy 100.716813 124.144605) + (xy 100.683221 124.132379) + (xy 100.63634 124.101054) + (xy 100.616054 124.087499) + (xy 100.616052 124.087498) + (xy 100.538637 124.0721) + (xy 100.261362 124.0721) + (xy 100.261361 124.072101) + (xy 100.183946 124.087498) + (xy 100.116779 124.132379) + (xy 100.066813 124.144605) + (xy 100.033221 124.132379) + (xy 99.98634 124.101054) + (xy 99.966054 124.087499) + (xy 99.966052 124.087498) + (xy 99.888637 124.0721) + (xy 99.611362 124.0721) + (xy 99.611361 124.072101) + (xy 99.533946 124.087498) + (xy 99.466779 124.132379) + (xy 99.416813 124.144605) + (xy 99.383221 124.132379) + (xy 99.33634 124.101054) + (xy 99.316054 124.087499) + (xy 99.316052 124.087498) + (xy 99.238637 124.0721) + (xy 98.961362 124.0721) + (xy 98.961361 124.072101) + (xy 98.883946 124.087498) + (xy 98.816779 124.132379) + (xy 98.766813 124.144605) + (xy 98.733221 124.132379) + (xy 98.68634 124.101054) + (xy 98.666054 124.087499) + (xy 98.666052 124.087498) + (xy 98.588637 124.0721) + (xy 98.311362 124.0721) + (xy 98.311361 124.072101) + (xy 98.233946 124.087498) + (xy 98.166779 124.132379) + (xy 98.116813 124.144605) + (xy 98.083221 124.132379) + (xy 98.03634 124.101054) + (xy 98.016054 124.087499) + (xy 98.016052 124.087498) + (xy 97.938637 124.0721) + (xy 97.661362 124.0721) + (xy 97.661361 124.072101) + (xy 97.583946 124.087498) + (xy 97.516779 124.132379) + (xy 97.466813 124.144605) + (xy 97.433221 124.132379) + (xy 97.38634 124.101054) + (xy 97.366054 124.087499) + (xy 97.366052 124.087498) + (xy 97.288637 124.0721) + (xy 97.011362 124.0721) + (xy 97.011359 124.072101) + (xy 96.967769 124.080771) + (xy 96.916928 124.072945) + (xy 96.883012 124.03427) + (xy 96.8779 124.007016) + (xy 96.8779 123.963847) + (xy 96.886098 123.929704) + (xy 96.886735 123.928453) + (xy 96.887957 123.926055) + (xy 96.907922 123.8) + (xy 96.887957 123.673945) + (xy 96.830016 123.560229) + (xy 96.739771 123.469984) + (xy 96.626055 123.412043) + (xy 96.626057 123.412043) + (xy 96.5 123.392078) + (xy 96.373943 123.412043) + (xy 96.260228 123.469984) + (xy 96.169984 123.560228) + (xy 96.112043 123.673943) + (xy 96.092078 123.799999) + (xy 96.092078 123.8) + (xy 96.112043 123.926056) + (xy 96.113902 123.929704) + (xy 96.1221 123.963847) + (xy 96.1221 125.588635) + (xy 96.122101 125.588637) + (xy 96.129319 125.624926) + (xy 96.137499 125.666054) + (xy 96.196158 125.753842) + (xy 96.283946 125.812501) + (xy 96.361363 125.8279) + (xy 96.638636 125.827899) + (xy 96.638637 125.827899) + (xy 96.638637 125.827898) + (xy 96.716054 125.812501) + (xy 96.783223 125.767618) + (xy 96.833184 125.755393) + (xy 96.866774 125.767617) + (xy 96.888678 125.782253) + (xy 96.919095 125.823734) + (xy 96.9221 125.844779) + (xy 96.9221 125.892062) + (xy 96.921997 125.895998) + (xy 96.919876 125.936448) + (xy 96.928688 125.959402) + (xy 96.932039 125.970715) + (xy 96.937151 125.994763) + (xy 96.937152 125.994766) + (xy 96.942169 126.001671) + (xy 96.951536 126.018922) + (xy 96.954596 126.026895) + (xy 96.954597 126.026896) + (xy 96.971985 126.044284) + (xy 96.979644 126.053252) + (xy 96.994097 126.073144) + (xy 96.994099 126.073146) + (xy 97.001495 126.077416) + (xy 97.017066 126.089365) + (xy 98.810074 127.882373) + (xy 98.831814 127.928993) + (xy 98.8321 127.935547) + (xy 98.8321 131.3839) + (xy 98.814507 131.432238) + (xy 98.769958 131.457958) + (xy 98.7569 131.4591) + (xy 98.637197 131.4591) + (xy 98.551402 131.469403) + (xy 98.414871 131.523244) + (xy 98.41487 131.523245) + (xy 98.370725 131.55672) + (xy 98.325288 131.572) + (xy 97.254712 131.572) + (xy 97.209274 131.55672) + (xy 97.16513 131.523245) + (xy 97.165128 131.523244) + (xy 97.028597 131.469403) + (xy 96.942803 131.4591) + (xy 96.942802 131.4591) + (xy 96.8231 131.4591) + (xy 96.774762 131.441507) + (xy 96.749042 131.396958) + (xy 96.7479 131.3839) + (xy 96.7479 130.177936) + (xy 96.748003 130.173999) + (xy 96.750123 130.133553) + (xy 96.74131 130.110596) + (xy 96.737958 130.099277) + (xy 96.732849 130.075237) + (xy 96.732848 130.075235) + (xy 96.732848 130.075234) + (xy 96.727829 130.068327) + (xy 96.718463 130.051073) + (xy 96.715405 130.043105) + (xy 96.707826 130.035526) + (xy 96.698007 130.025707) + (xy 96.690355 130.016749) + (xy 96.675901 129.996854) + (xy 96.6759 129.996853) + (xy 96.675899 129.996852) + (xy 96.668506 129.992584) + (xy 96.652934 129.980634) + (xy 92.749926 126.077626) + (xy 92.728186 126.031006) + (xy 92.7279 126.024452) + (xy 92.7279 125.844779) + (xy 92.745493 125.796441) + (xy 92.761313 125.782258) + (xy 92.783673 125.767318) + (xy 92.833636 125.755093) + (xy 92.867229 125.76732) + (xy 92.934141 125.81203) + (xy 93 125.825129) + (xy 93 125.1) + (xy 93.3 125.1) + (xy 93.3 125.825129) + (xy 93.365858 125.81203) + (xy 93.453481 125.753481) + (xy 93.51203 125.665859) + (xy 93.512031 125.665857) + (xy 93.5274 125.588592) + (xy 93.5274 125.1) + (xy 93.3 125.1) + (xy 93 125.1) + (xy 93 124.074868) + (xy 93.3 124.074868) + (xy 93.3 124.8) + (xy 93.5274 124.8) + (xy 93.5274 124.311407) + (xy 93.512031 124.234142) + (xy 93.51203 124.23414) + (xy 93.453481 124.146518) + (xy 93.365859 124.087969) + (xy 93.365857 124.087968) + (xy 93.3 124.074868) + (xy 93 124.074868) + (xy 92.999999 124.074868) + (xy 92.934142 124.087968) + (xy 92.934141 124.087969) + (xy 92.867228 124.132679) + (xy 92.817262 124.144905) + (xy 92.78367 124.132679) + (xy 92.73634 124.101054) + (xy 92.716054 124.087499) + (xy 92.716052 124.087498) + (xy 92.638637 124.0721) + (xy 92.361362 124.0721) + (xy 92.361361 124.072101) + (xy 92.283946 124.087498) + (xy 92.216779 124.132379) + (xy 92.166813 124.144605) + (xy 92.133221 124.132379) + (xy 92.08634 124.101054) + (xy 92.066054 124.087499) + (xy 92.066052 124.087498) + (xy 91.988637 124.0721) + (xy 91.711362 124.0721) + (xy 91.711361 124.072101) + (xy 91.633946 124.087498) + (xy 91.566779 124.132379) + (xy 91.516813 124.144605) + (xy 91.483221 124.132379) + (xy 91.43634 124.101054) + (xy 91.416054 124.087499) + (xy 91.416052 124.087498) + (xy 91.338637 124.0721) + (xy 91.061362 124.0721) + (xy 91.061361 124.072101) + (xy 90.983946 124.087498) + (xy 90.916779 124.132379) + (xy 90.866813 124.144605) + (xy 90.833221 124.132379) + (xy 90.78634 124.101054) + (xy 90.766054 124.087499) + (xy 90.766052 124.087498) + (xy 90.688637 124.0721) + (xy 90.411362 124.0721) + (xy 90.411361 124.072101) + (xy 90.333946 124.087498) + (xy 90.266779 124.132379) + (xy 90.216813 124.144605) + (xy 90.183221 124.132379) + (xy 90.13634 124.101054) + (xy 90.116054 124.087499) + (xy 90.116052 124.087498) + (xy 90.038637 124.0721) + (xy 89.761362 124.0721) + (xy 89.761361 124.072101) + (xy 89.683946 124.087498) + (xy 89.616779 124.132379) + (xy 89.566813 124.144605) + (xy 89.533221 124.132379) + (xy 89.48634 124.101054) + (xy 89.466054 124.087499) + (xy 89.466052 124.087498) + (xy 89.388637 124.0721) + (xy 89.111362 124.0721) + (xy 89.111361 124.072101) + (xy 89.033946 124.087498) + (xy 88.966779 124.132379) + (xy 88.916813 124.144605) + (xy 88.883221 124.132379) + (xy 88.83634 124.101054) + (xy 88.816054 124.087499) + (xy 88.816052 124.087498) + (xy 88.738637 124.0721) + (xy 88.461362 124.0721) + (xy 88.461361 124.072101) + (xy 88.383946 124.087498) + (xy 88.316779 124.132379) + (xy 88.266813 124.144605) + (xy 88.233221 124.132379) + (xy 88.18634 124.101054) + (xy 88.166054 124.087499) + (xy 88.166052 124.087498) + (xy 88.088637 124.0721) + (xy 87.811362 124.0721) + (xy 87.811359 124.072101) + (xy 87.767769 124.080771) + (xy 87.716928 124.072945) + (xy 87.683012 124.03427) + (xy 87.6779 124.007016) + (xy 87.6779 123.963847) + (xy 87.686098 123.929704) + (xy 87.686735 123.928453) + (xy 87.687957 123.926055) + (xy 87.707922 123.8) + (xy 87.687957 123.673945) + (xy 87.630016 123.560229) + (xy 87.539771 123.469984) + (xy 87.426055 123.412043) + (xy 87.426057 123.412043) + (xy 87.3 123.392078) + (xy 87.173943 123.412043) + (xy 87.060228 123.469984) + (xy 86.969984 123.560228) + (xy 86.912043 123.673943) + (xy 86.892078 123.799999) + (xy 86.892078 123.8) + (xy 86.912043 123.926056) + (xy 86.913902 123.929704) + (xy 86.9221 123.963847) + (xy 86.9221 125.588635) + (xy 86.922101 125.588637) + (xy 86.929319 125.624926) + (xy 86.937499 125.666054) + (xy 86.996158 125.753842) + (xy 87.083946 125.812501) + (xy 87.161363 125.8279) + (xy 87.438636 125.827899) + (xy 87.459096 125.823829) + (xy 87.509935 125.831653) + (xy 87.543852 125.870327) + (xy 87.544976 125.921755) + (xy 87.52694 125.950758) + (xy 85.027626 128.450074) + (xy 84.981006 128.471814) + (xy 84.974452 128.4721) + (xy 81.238936 128.4721) + (xy 81.235 128.471997) + (xy 81.233192 128.471902) + (xy 81.194551 128.469876) + (xy 81.19455 128.469876) + (xy 81.171597 128.478688) + (xy 81.160286 128.482039) + (xy 81.136235 128.487151) + (xy 81.136229 128.487154) + (xy 81.129324 128.492171) + (xy 81.112085 128.501532) + (xy 81.104104 128.504596) + (xy 81.086712 128.521987) + (xy 81.077746 128.529645) + (xy 81.057854 128.544098) + (xy 81.057852 128.544101) + (xy 81.053583 128.551495) + (xy 81.041634 128.567065) + (xy 78.584456 131.024242) + (xy 78.581602 131.026952) + (xy 78.551504 131.054052) + (xy 78.544262 131.07032) + (xy 78.541649 131.076191) + (xy 78.541507 131.076509) + (xy 78.535877 131.086878) + (xy 78.522485 131.107501) + (xy 78.522483 131.107506) + (xy 78.521148 131.115935) + (xy 78.515574 131.134754) + (xy 78.5121 131.142557) + (xy 78.5121 131.167152) + (xy 78.511174 131.178916) + (xy 78.507329 131.203191) + (xy 78.507329 131.203194) + (xy 78.509538 131.211438) + (xy 78.5121 131.2309) + (xy 78.5121 131.3839) + (xy 78.494507 131.432238) + (xy 78.449958 131.457958) + (xy 78.4369 131.4591) + (xy 78.317197 131.4591) + (xy 78.231402 131.469403) + (xy 78.094871 131.523244) + (xy 78.09487 131.523245) + (xy 78.050725 131.55672) + (xy 78.005288 131.572) + (xy 76.934712 131.572) + (xy 76.889274 131.55672) + (xy 76.84513 131.523245) + (xy 76.845128 131.523244) + (xy 76.708597 131.469403) + (xy 76.622803 131.4591) + (xy 76.622802 131.4591) + (xy 76.5031 131.4591) + (xy 76.454762 131.441507) + (xy 76.429042 131.396958) + (xy 76.4279 131.3839) + (xy 76.4279 131.175547) + (xy 76.445493 131.127209) + (xy 76.449926 131.122373) + (xy 79.472373 128.099926) + (xy 79.518993 128.078186) + (xy 79.525547 128.0779) + (xy 84.723064 128.0779) + (xy 84.727 128.078003) + (xy 84.767447 128.080123) + (xy 84.767447 128.080122) + (xy 84.767448 128.080123) + (xy 84.790403 128.07131) + (xy 84.801722 128.067958) + (xy 84.825761 128.062849) + (xy 84.82576 128.062849) + (xy 84.825766 128.062848) + (xy 84.832674 128.057828) + (xy 84.849921 128.048464) + (xy 84.857896 128.045403) + (xy 84.875289 128.028009) + (xy 84.884248 128.020357) + (xy 84.904146 128.005901) + (xy 84.908415 127.998505) + (xy 84.920362 127.982935) + (xy 85.09899 127.804307) + (xy 85.145609 127.782568) + (xy 85.163925 127.783207) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.530016 127.620771) + (xy 85.587957 127.507055) + (xy 85.607922 127.381) + (xy 85.587957 127.254945) + (xy 85.530016 127.141229) + (xy 85.439771 127.050984) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380998) + (xy 84.792078 127.381002) + (xy 84.79779 127.41707) + (xy 84.787974 127.467565) + (xy 84.776691 127.482007) + (xy 84.658626 127.600074) + (xy 84.612006 127.621814) + (xy 84.605451 127.6221) + (xy 79.407928 127.6221) + (xy 79.403991 127.621997) + (xy 79.363551 127.619876) + (xy 79.340597 127.628688) + (xy 79.329286 127.632039) + (xy 79.305235 127.637151) + (xy 79.305229 127.637154) + (xy 79.298324 127.642171) + (xy 79.281085 127.651532) + (xy 79.273104 127.654596) + (xy 79.255712 127.671987) + (xy 79.246746 127.679645) + (xy 79.226854 127.694098) + (xy 79.226852 127.694101) + (xy 79.222583 127.701495) + (xy 79.210634 127.717065) + (xy 76.044456 130.883242) + (xy 76.041602 130.885952) + (xy 76.011504 130.913052) + (xy 76.001507 130.935509) + (xy 75.995877 130.945878) + (xy 75.982485 130.966501) + (xy 75.982483 130.966506) + (xy 75.981148 130.974935) + (xy 75.975574 130.993754) + (xy 75.9721 131.001557) + (xy 75.9721 131.026152) + (xy 75.971174 131.037916) + (xy 75.967329 131.062191) + (xy 75.967329 131.062194) + (xy 75.969538 131.070438) + (xy 75.9721 131.0899) + (xy 75.9721 131.3839) + (xy 75.954507 131.432238) + (xy 75.909958 131.457958) + (xy 75.8969 131.4591) + (xy 75.777197 131.4591) + (xy 75.691402 131.469403) + (xy 75.554871 131.523244) + (xy 75.55487 131.523245) + (xy 75.510725 131.55672) + (xy 75.465288 131.572) + (xy 48.140448 131.572) + (xy 48.1257 131.57054) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.468874 130.656747) + (xy 46.394105 130.507209) + (xy 46.361596 130.442191) + (xy 46.331279 130.381557) + (xy 46.317968 130.354935) + (xy 46.313751 130.346501) + (xy 46.301742 130.322483) + (xy 46.298287 130.315573) + (xy 46.288274 130.295547) + (xy 46.284997 130.288993) + (xy 46.274332 130.267663) + (xy 46.261687 130.242373) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.21971 130.133553) + (xy 46.10246 129.5473) + (xy 46.101738 129.540002) + (xy 47.052892 129.540002) + (xy 47.071283 129.738468) + (xy 47.071284 129.738477) + (xy 47.125826 129.930171) + (xy 47.125832 129.930187) + (xy 47.214671 130.1086) + (xy 47.334787 130.26766) + (xy 47.33479 130.267663) + (xy 47.334791 130.267664) + (xy 47.350602 130.282078) + (xy 47.482087 130.401943) + (xy 47.482089 130.401944) + (xy 47.48209 130.401945) + (xy 47.651554 130.506873) + (xy 47.837414 130.578876) + (xy 48.03334 130.6155) + (xy 48.033345 130.6155) + (xy 48.232655 130.6155) + (xy 48.23266 130.6155) + (xy 48.428586 130.578876) + (xy 48.614446 130.506873) + (xy 48.78391 130.401945) + (xy 48.931209 130.267664) + (xy 49.051326 130.108604) + (xy 49.140171 129.93018) + (xy 49.194717 129.738469) + (xy 49.213108 129.54) + (xy 49.211996 129.528003) + (xy 49.206783 129.471746) + (xy 49.194717 129.341531) + (xy 49.194715 129.341525) + (xy 49.194715 129.341522) + (xy 49.142007 129.156274) + (xy 49.140171 129.14982) + (xy 49.106949 129.083102) + (xy 49.051328 128.971399) + (xy 49.035112 128.949926) + (xy 48.96439 128.856274) + (xy 48.931212 128.812339) + (xy 48.931209 128.812336) + (xy 48.783912 128.678056) + (xy 48.61445 128.573129) + (xy 48.614447 128.573128) + (xy 48.614446 128.573127) + (xy 48.482439 128.521987) + (xy 48.428584 128.501123) + (xy 48.319913 128.48081) + (xy 48.23266 128.4645) + (xy 48.03334 128.4645) + (xy 47.994213 128.471814) + (xy 47.837415 128.501123) + (xy 47.651549 128.573129) + (xy 47.482087 128.678056) + (xy 47.33479 128.812336) + (xy 47.334787 128.812339) + (xy 47.214671 128.971399) + (xy 47.125832 129.149812) + (xy 47.125826 129.149828) + (xy 47.071284 129.341522) + (xy 47.071283 129.341531) + (xy 47.052892 129.539997) + (xy 47.052892 129.540002) + (xy 46.101738 129.540002) + (xy 46.101 129.532552) + (xy 46.101 128.42) + (xy 73.8226 128.42) + (xy 73.8226 128.740156) + (xy 73.837988 128.83731) + (xy 73.897656 128.954414) + (xy 73.990585 129.047343) + (xy 74.10769 129.107011) + (xy 74.107688 129.107011) + (xy 74.204843 129.122399) + (xy 74.20485 129.1224) + (xy 74.35 129.1224) + (xy 74.35 128.42) + (xy 74.65 128.42) + (xy 74.65 129.1224) + (xy 74.79515 129.1224) + (xy 74.795156 129.122399) + (xy 74.89231 129.107011) + (xy 75.009414 129.047343) + (xy 75.102343 128.954414) + (xy 75.162011 128.83731) + (xy 75.177393 128.740194) + (xy 75.5221 128.740194) + (xy 75.537507 128.837467) + (xy 75.597245 128.95471) + (xy 75.625074 128.982539) + (xy 75.646814 129.029159) + (xy 75.6471 129.035713) + (xy 75.6471 129.498829) + (xy 75.646456 129.508644) + (xy 75.64233 129.539997) + (xy 75.642329 129.540001) + (xy 75.646568 129.572207) + (xy 75.647034 129.576864) + (xy 75.647098 129.577807) + (xy 75.651994 129.613435) + (xy 75.65205 129.613854) + (xy 75.661505 129.685661) + (xy 75.662236 129.689283) + (xy 75.662597 129.690573) + (xy 75.690753 129.755396) + (xy 75.691253 129.756576) + (xy 75.717041 129.818833) + (xy 75.719506 129.823102) + (xy 75.719073 129.823351) + (xy 75.720413 129.825628) + (xy 75.72048 129.825588) + (xy 75.723149 129.829978) + (xy 75.765481 129.882011) + (xy 75.766807 129.88369) + (xy 75.805669 129.934336) + (xy 75.80915 129.937817) + (xy 75.809132 129.937834) + (xy 75.817564 129.94603) + (xy 75.819067 129.947877) + (xy 75.819069 129.94788) + (xy 75.870918 129.984477) + (xy 75.873332 129.986254) + (xy 75.885966 129.995948) + (xy 75.921164 130.022957) + (xy 75.923747 130.024026) + (xy 75.938339 130.032068) + (xy 75.943237 130.035526) + (xy 75.943238 130.035526) + (xy 75.943239 130.035527) + (xy 75.999686 130.055588) + (xy 76.003251 130.056958) + (xy 76.055664 130.078669) + (xy 76.062022 130.079505) + (xy 76.077389 130.083204) + (xy 76.086452 130.086425) + (xy 76.142641 130.090268) + (xy 76.147324 130.090736) + (xy 76.154163 130.091636) + (xy 76.2 130.097671) + (xy 76.209944 130.096361) + (xy 76.224889 130.095894) + (xy 76.238082 130.096797) + (xy 76.238085 130.096797) + (xy 76.238085 130.096796) + (xy 76.238086 130.096797) + (xy 76.289752 130.08606) + (xy 76.295189 130.085138) + (xy 76.344336 130.078669) + (xy 76.35693 130.073451) + (xy 76.370409 130.069299) + (xy 76.386896 130.065874) + (xy 76.386897 130.065873) + (xy 76.386899 130.065873) + (xy 76.43055 130.043254) + (xy 76.436363 130.040549) + (xy 76.478836 130.022957) + (xy 76.492513 130.01246) + (xy 76.503695 130.005352) + (xy 76.521844 129.995949) + (xy 76.555167 129.964826) + (xy 76.560701 129.960139) + (xy 76.594328 129.934337) + (xy 76.594328 129.934336) + (xy 76.594333 129.934333) + (xy 76.607022 129.917795) + (xy 76.615349 129.90862) + (xy 76.632923 129.892209) + (xy 76.654748 129.856317) + (xy 76.659326 129.84963) + (xy 76.682957 129.818836) + (xy 76.692312 129.796249) + (xy 76.697523 129.785977) + (xy 76.711894 129.762347) + (xy 76.722258 129.725352) + (xy 76.725195 129.716862) + (xy 76.729905 129.705494) + (xy 76.738669 129.684336) + (xy 76.742328 129.656536) + (xy 76.744471 129.646075) + (xy 76.7529 129.615994) + (xy 76.7529 129.58117) + (xy 76.753543 129.571355) + (xy 76.756153 129.551527) + (xy 76.757671 129.54) + (xy 76.753543 129.508644) + (xy 76.7529 129.498829) + (xy 76.7529 129.035713) + (xy 76.770493 128.987375) + (xy 76.774926 128.982539) + (xy 76.786069 128.971396) + (xy 76.802755 128.95471) + (xy 76.848994 128.86396) + (xy 76.886615 128.828878) + (xy 76.915998 128.8229) + (xy 77.301829 128.8229) + (xy 77.311644 128.823543) + (xy 77.343 128.827671) + (xy 77.375217 128.823429) + (xy 77.379889 128.822963) + (xy 77.380796 128.8229) + (xy 77.380818 128.8229) + (xy 77.416659 128.817973) + (xy 77.487336 128.808669) + (xy 77.487339 128.808667) + (xy 77.488696 128.808489) + (xy 77.492255 128.80777) + (xy 77.493571 128.807402) + (xy 77.493573 128.807402) + (xy 77.558416 128.779236) + (xy 77.559443 128.7788) + (xy 77.621836 128.752957) + (xy 77.621842 128.752951) + (xy 77.626107 128.750491) + (xy 77.626363 128.750935) + (xy 77.62863 128.749597) + (xy 77.628585 128.749522) + (xy 77.632977 128.746851) + (xy 77.632977 128.74685) + (xy 77.632979 128.74685) + (xy 77.685027 128.704504) + (xy 77.686665 128.703211) + (xy 77.737333 128.664333) + (xy 77.737334 128.66433) + (xy 77.737337 128.664329) + (xy 77.74082 128.660847) + (xy 77.740838 128.660865) + (xy 77.749033 128.652432) + (xy 77.750878 128.650932) + (xy 77.787493 128.599057) + (xy 77.789261 128.596657) + (xy 77.807316 128.573129) + (xy 77.825957 128.548836) + (xy 77.827023 128.546259) + (xy 77.835072 128.531655) + (xy 77.838525 128.526764) + (xy 77.838527 128.52676) + (xy 77.838737 128.526169) + (xy 77.858591 128.470304) + (xy 77.859953 128.46676) + (xy 77.881669 128.414336) + (xy 77.882505 128.407977) + (xy 77.886202 128.392612) + (xy 77.889425 128.383548) + (xy 77.893268 128.327353) + (xy 77.893736 128.322673) + (xy 77.894956 128.313409) + (xy 77.900671 128.27) + (xy 77.899361 128.260055) + (xy 77.898894 128.24511) + (xy 77.899797 128.231915) + (xy 77.899797 128.231914) + (xy 77.889064 128.180268) + (xy 77.888136 128.174791) + (xy 77.881669 128.125664) + (xy 77.876447 128.11306) + (xy 77.872299 128.099589) + (xy 77.868874 128.083104) + (xy 77.84625 128.039443) + (xy 77.843546 128.03363) + (xy 77.825957 127.991165) + (xy 77.825012 127.989934) + (xy 77.815457 127.977481) + (xy 77.808358 127.966315) + (xy 77.798949 127.948156) + (xy 77.798946 127.948153) + (xy 77.798945 127.948151) + (xy 77.767835 127.91484) + (xy 77.763133 127.909291) + (xy 77.759379 127.904399) + (xy 77.737333 127.875667) + (xy 77.720797 127.862978) + (xy 77.711621 127.854649) + (xy 77.695208 127.837076) + (xy 77.695206 127.837074) + (xy 77.659322 127.815253) + (xy 77.652617 127.810662) + (xy 77.638468 127.799805) + (xy 77.621836 127.787043) + (xy 77.621834 127.787042) + (xy 77.621829 127.787039) + (xy 77.599257 127.777689) + (xy 77.588968 127.772469) + (xy 77.565345 127.758105) + (xy 77.565342 127.758103) + (xy 77.528361 127.747742) + (xy 77.519874 127.744808) + (xy 77.487334 127.73133) + (xy 77.459538 127.72767) + (xy 77.449071 127.725526) + (xy 77.418994 127.7171) + (xy 77.384171 127.7171) + (xy 77.374356 127.716457) + (xy 77.343 127.712329) + (xy 77.311644 127.716457) + (xy 77.301829 127.7171) + (xy 76.915998 127.7171) + (xy 76.86766 127.699507) + (xy 76.848994 127.67604) + (xy 76.824867 127.628688) + (xy 76.802755 127.58529) + (xy 76.70971 127.492245) + (xy 76.592466 127.432507) + (xy 76.592468 127.432507) + (xy 76.495194 127.4171) + (xy 76.495192 127.4171) + (xy 75.904808 127.4171) + (xy 75.904805 127.4171) + (xy 75.807532 127.432507) + (xy 75.690289 127.492245) + (xy 75.597245 127.585289) + (xy 75.537507 127.702532) + (xy 75.5221 127.799805) + (xy 75.5221 128.740194) + (xy 75.177393 128.740194) + (xy 75.177399 128.740156) + (xy 75.1774 128.740149) + (xy 75.1774 128.42) + (xy 74.65 128.42) + (xy 74.35 128.42) + (xy 73.8226 128.42) + (xy 46.101 128.42) + (xy 46.101 128.12) + (xy 73.8226 128.12) + (xy 74.35 128.12) + (xy 74.35 127.4176) + (xy 74.65 127.4176) + (xy 74.65 128.12) + (xy 75.1774 128.12) + (xy 75.1774 127.79985) + (xy 75.177399 127.799843) + (xy 75.162011 127.702689) + (xy 75.102343 127.585585) + (xy 75.009414 127.492656) + (xy 74.892309 127.432988) + (xy 74.892311 127.432988) + (xy 74.795156 127.4176) + (xy 74.65 127.4176) + (xy 74.35 127.4176) + (xy 74.204843 127.4176) + (xy 74.107689 127.432988) + (xy 73.990585 127.492656) + (xy 73.897656 127.585585) + (xy 73.837988 127.702689) + (xy 73.8226 127.799843) + (xy 73.8226 128.12) + (xy 46.101 128.12) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.30805 127.050984) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.44488 127.466555) + (xy 47.444881 127.466556) + (xy 47.467051 127.492656) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.961598 127.054507) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.950691 126.855664) + (xy 48.928553 126.775931) + (xy 48.905327 126.692277) + (xy 48.90261 126.687152) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.820703 126.532955) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.340021 126.199283) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.065243 126.175995) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 114.121694) + (xy 62.124329 114.121694) + (xy 62.126538 114.129938) + (xy 62.1291 114.1494) + (xy 62.1291 124.769562) + (xy 62.128997 124.773498) + (xy 62.126876 124.813948) + (xy 62.135688 124.836902) + (xy 62.139039 124.848215) + (xy 62.144151 124.872263) + (xy 62.144152 124.872266) + (xy 62.149169 124.879171) + (xy 62.158536 124.896422) + (xy 62.161596 124.904395) + (xy 62.161597 124.904396) + (xy 62.178985 124.921784) + (xy 62.186644 124.930752) + (xy 62.201097 124.950644) + (xy 62.201099 124.950646) + (xy 62.208495 124.954916) + (xy 62.224066 124.966865) + (xy 62.571231 125.31403) + (xy 62.573942 125.316886) + (xy 62.601051 125.346994) + (xy 62.623516 125.356995) + (xy 62.633887 125.362627) + (xy 62.654502 125.376016) + (xy 62.662928 125.37735) + (xy 62.681759 125.382928) + (xy 62.689553 125.386398) + (xy 62.689555 125.386398) + (xy 62.689558 125.3864) + (xy 62.714152 125.3864) + (xy 62.725916 125.387326) + (xy 62.758001 125.392408) + (xy 62.757801 125.393669) + (xy 62.798902 125.406233) + (xy 62.821804 125.434512) + (xy 62.863754 125.524473) + (xy 62.86376 125.524481) + (xy 62.945518 125.606239) + (xy 62.945526 125.606245) + (xy 63.050319 125.655111) + (xy 63.050321 125.655111) + (xy 63.050324 125.655113) + (xy 63.09808 125.6614) + (xy 63.098082 125.6614) + (xy 63.774918 125.6614) + (xy 63.77492 125.6614) + (xy 63.822676 125.655113) + (xy 63.896918 125.620493) + (xy 63.927473 125.606245) + (xy 63.927473 125.606244) + (xy 63.927477 125.606243) + (xy 64.009243 125.524477) + (xy 64.010314 125.522181) + (xy 64.053384 125.429819) + (xy 64.089757 125.393445) + (xy 64.121538 125.3864) + (xy 66.326064 125.3864) + (xy 66.33 125.386503) + (xy 66.370447 125.388623) + (xy 66.370447 125.388622) + (xy 66.370448 125.388623) + (xy 66.393403 125.37981) + (xy 66.404722 125.376458) + (xy 66.428761 125.371349) + (xy 66.42876 125.371349) + (xy 66.428766 125.371348) + (xy 66.435674 125.366328) + (xy 66.452921 125.356964) + (xy 66.460896 125.353903) + (xy 66.478289 125.336509) + (xy 66.487248 125.328857) + (xy 66.507146 125.314401) + (xy 66.511415 125.307005) + (xy 66.523362 125.291435) + (xy 67.131634 124.683163) + (xy 67.178253 124.661424) + (xy 67.22794 124.674738) + (xy 67.257445 124.716875) + (xy 67.25988 124.740707) + (xy 67.259038 124.755165) + (xy 67.258893 124.75766) + (xy 67.265041 124.792525) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.068682 125.457842) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.656275 125.083619) + (xy 68.69568 125.050555) + (xy 68.74712 125.050555) + (xy 68.786525 125.08362) + (xy 68.7966 125.12122) + (xy 68.7966 125.404562) + (xy 68.796497 125.408498) + (xy 68.794376 125.448948) + (xy 68.803188 125.471902) + (xy 68.80654 125.483217) + (xy 68.809897 125.49901) + (xy 68.802738 125.549949) + (xy 68.764511 125.584369) + (xy 68.724576 125.588919) + (xy 68.643501 125.576078) + (xy 68.6435 125.576078) + (xy 68.517443 125.596043) + (xy 68.403728 125.653984) + (xy 68.313484 125.744228) + (xy 68.255543 125.857943) + (xy 68.235578 125.983999) + (xy 68.235578 125.984) + (xy 68.255543 126.110056) + (xy 68.307561 126.212146) + (xy 68.313484 126.223771) + (xy 68.403729 126.314016) + (xy 68.517443 126.371956) + (xy 68.517445 126.371957) + (xy 68.6435 126.391922) + (xy 68.769555 126.371957) + (xy 68.883271 126.314016) + (xy 68.973516 126.223771) + (xy 69.031457 126.110055) + (xy 69.051422 125.984) + (xy 69.045796 125.94848) + (xy 69.055611 125.897987) + (xy 69.095587 125.865614) + (xy 69.147019 125.866511) + (xy 69.173244 125.883543) + (xy 69.556231 126.26653) + (xy 69.558942 126.269386) + (xy 69.57537 126.287632) + (xy 69.586051 126.299494) + (xy 69.608516 126.309495) + (xy 69.618887 126.315127) + (xy 69.639502 126.328516) + (xy 69.647928 126.32985) + (xy 69.666759 126.335428) + (xy 69.674553 126.338898) + (xy 69.674555 126.338898) + (xy 69.674558 126.3389) + (xy 69.699152 126.3389) + (xy 69.710915 126.339825) + (xy 69.735194 126.343671) + (xy 69.740253 126.342315) + (xy 69.74344 126.341462) + (xy 69.762901 126.3389) + (xy 71.683564 126.3389) + (xy 71.6875 126.339003) + (xy 71.727947 126.341123) + (xy 71.727947 126.341122) + (xy 71.727948 126.341123) + (xy 71.750903 126.33231) + (xy 71.762222 126.328958) + (xy 71.786261 126.323849) + (xy 71.78626 126.323849) + (xy 71.786266 126.323848) + (xy 71.793174 126.318828) + (xy 71.810421 126.309464) + (xy 71.818396 126.306403) + (xy 71.835789 126.289009) + (xy 71.844748 126.281357) + (xy 71.864646 126.266901) + (xy 71.868915 126.259505) + (xy 71.880862 126.243935) + (xy 74.324798 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.769984 124.039771) + (xy 77.784647 124.054434) + (xy 77.806387 124.101054) + (xy 77.794 124.149387) + (xy 77.737499 124.233946) + (xy 77.737498 124.233947) + (xy 77.7221 124.311362) + (xy 77.7221 125.588635) + (xy 77.722101 125.588637) + (xy 77.729319 125.624926) + (xy 77.737499 125.666054) + (xy 77.796158 125.753842) + (xy 77.883946 125.812501) + (xy 77.961363 125.8279) + (xy 78.238636 125.827899) + (xy 78.238637 125.827899) + (xy 78.238637 125.827898) + (xy 78.306706 125.81436) + (xy 78.357547 125.822185) + (xy 78.391463 125.86086) + (xy 78.392585 125.912288) + (xy 78.38838 125.922255) + (xy 78.362043 125.973943) + (xy 78.342078 126.099999) + (xy 78.342078 126.1) + (xy 78.362043 126.226056) + (xy 78.414929 126.32985) + (xy 78.419984 126.339771) + (xy 78.510229 126.430016) + (xy 78.623943 126.487956) + (xy 78.623945 126.487957) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.043726 126.376061) + (xy 79.090346 126.354321) + (xy 79.140033 126.367635) + (xy 79.169538 126.409772) + (xy 79.1721 126.429235) + (xy 79.1721 126.476964) + (xy 79.154507 126.525302) + (xy 79.150075 126.530138) + (xy 79.069983 126.61023) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.043649 127.038085) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.526055 127.237957) + (xy 79.639771 127.180016) + (xy 79.730016 127.089771) + (xy 79.787957 126.976055) + (xy 79.807922 126.85) + (xy 79.787957 126.723945) + (xy 79.730016 126.610229) + (xy 79.649925 126.530138) + (xy 79.628186 126.483518) + (xy 79.6279 126.476964) + (xy 79.6279 126.429235) + (xy 79.645493 126.380897) + (xy 79.690042 126.355177) + (xy 79.7407 126.36411) + (xy 79.756274 126.376061) + (xy 79.810229 126.430016) + (xy 79.923943 126.487956) + (xy 79.923945 126.487957) + (xy 80.05 126.507922) + (xy 80.176055 126.487957) + (xy 80.289771 126.430016) + (xy 80.343726 126.376061) + (xy 80.390346 126.354321) + (xy 80.440033 126.367635) + (xy 80.469538 126.409772) + (xy 80.4721 126.429235) + (xy 80.4721 126.476964) + (xy 80.454507 126.525302) + (xy 80.450075 126.530138) + (xy 80.369983 126.61023) + (xy 80.312043 126.723943) + (xy 80.292078 126.849999) + (xy 80.292078 126.85) + (xy 80.312043 126.976056) + (xy 80.343649 127.038085) + (xy 80.369984 127.089771) + (xy 80.460229 127.180016) + (xy 80.573943 127.237956) + (xy 80.573945 127.237957) + (xy 80.7 127.257922) + (xy 80.826055 127.237957) + (xy 80.939771 127.180016) + (xy 81.030016 127.089771) + (xy 81.087957 126.976055) + (xy 81.107922 126.85) + (xy 81.087957 126.723945) + (xy 81.030016 126.610229) + (xy 80.949925 126.530138) + (xy 80.928186 126.483518) + (xy 80.9279 126.476964) + (xy 80.9279 126.429235) + (xy 80.945493 126.380897) + (xy 80.990042 126.355177) + (xy 81.0407 126.36411) + (xy 81.056274 126.376061) + (xy 81.110229 126.430016) + (xy 81.223943 126.487956) + (xy 81.223945 126.487957) + (xy 81.35 126.507922) + (xy 81.476055 126.487957) + (xy 81.589771 126.430016) + (xy 81.643726 126.376061) + (xy 81.690346 126.354321) + (xy 81.740033 126.367635) + (xy 81.769538 126.409772) + (xy 81.7721 126.429235) + (xy 81.7721 126.476964) + (xy 81.754507 126.525302) + (xy 81.750075 126.530138) + (xy 81.669983 126.61023) + (xy 81.612043 126.723943) + (xy 81.592078 126.849999) + (xy 81.592078 126.85) + (xy 81.612043 126.976056) + (xy 81.643649 127.038085) + (xy 81.669984 127.089771) + (xy 81.760229 127.180016) + (xy 81.873943 127.237956) + (xy 81.873945 127.237957) + (xy 82 127.257922) + (xy 82.126055 127.237957) + (xy 82.239771 127.180016) + (xy 82.330016 127.089771) + (xy 82.387957 126.976055) + (xy 82.407922 126.85) + (xy 82.387957 126.723945) + (xy 82.330016 126.610229) + (xy 82.249925 126.530138) + (xy 82.228186 126.483518) + (xy 82.2279 126.476964) + (xy 82.2279 126.429235) + (xy 82.245493 126.380897) + (xy 82.290042 126.355177) + (xy 82.3407 126.36411) + (xy 82.356274 126.376061) + (xy 82.410229 126.430016) + (xy 82.523943 126.487956) + (xy 82.523945 126.487957) + (xy 82.65 126.507922) + (xy 82.776055 126.487957) + (xy 82.889771 126.430016) + (xy 82.943726 126.376061) + (xy 82.990346 126.354321) + (xy 83.040033 126.367635) + (xy 83.069538 126.409772) + (xy 83.0721 126.429235) + (xy 83.0721 126.476964) + (xy 83.054507 126.525302) + (xy 83.050075 126.530138) + (xy 82.969983 126.61023) + (xy 82.912043 126.723943) + (xy 82.892078 126.849999) + (xy 82.892078 126.85) + (xy 82.912043 126.976056) + (xy 82.943649 127.038085) + (xy 82.969984 127.089771) + (xy 83.060229 127.180016) + (xy 83.173943 127.237956) + (xy 83.173945 127.237957) + (xy 83.3 127.257922) + (xy 83.426055 127.237957) + (xy 83.539771 127.180016) + (xy 83.630016 127.089771) + (xy 83.687957 126.976055) + (xy 83.707922 126.85) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.549925 126.530138) + (xy 83.528186 126.483518) + (xy 83.5279 126.476964) + (xy 83.5279 125.844779) + (xy 83.545493 125.796441) + (xy 83.561313 125.782258) + (xy 83.583673 125.767318) + (xy 83.633636 125.755093) + (xy 83.667229 125.76732) + (xy 83.734141 125.81203) + (xy 83.8 125.825129) + (xy 83.8 125.1) + (xy 84.1 125.1) + (xy 84.1 125.825129) + (xy 84.165858 125.81203) + (xy 84.253481 125.753481) + (xy 84.31203 125.665859) + (xy 84.312031 125.665857) + (xy 84.3274 125.588592) + (xy 84.3274 125.1) + (xy 84.1 125.1) + (xy 83.8 125.1) + (xy 83.8 124.074868) + (xy 84.1 124.074868) + (xy 84.1 124.8) + (xy 84.3274 124.8) + (xy 84.3274 124.311407) + (xy 84.312031 124.234142) + (xy 84.31203 124.23414) + (xy 84.253481 124.146518) + (xy 84.165859 124.087969) + (xy 84.165857 124.087968) + (xy 84.1 124.074868) + (xy 83.8 124.074868) + (xy 83.799999 124.074868) + (xy 83.734142 124.087968) + (xy 83.734141 124.087969) + (xy 83.667228 124.132679) + (xy 83.617262 124.144905) + (xy 83.58367 124.132679) + (xy 83.53634 124.101054) + (xy 83.516054 124.087499) + (xy 83.516052 124.087498) + (xy 83.438637 124.0721) + (xy 83.161362 124.0721) + (xy 83.161361 124.072101) + (xy 83.083946 124.087498) + (xy 83.016779 124.132379) + (xy 82.966813 124.144605) + (xy 82.933221 124.132379) + (xy 82.88634 124.101054) + (xy 82.866054 124.087499) + (xy 82.866052 124.087498) + (xy 82.788637 124.0721) + (xy 82.511362 124.0721) + (xy 82.511361 124.072101) + (xy 82.433946 124.087498) + (xy 82.366779 124.132379) + (xy 82.316813 124.144605) + (xy 82.283221 124.132379) + (xy 82.23634 124.101054) + (xy 82.216054 124.087499) + (xy 82.216052 124.087498) + (xy 82.138637 124.0721) + (xy 81.861362 124.0721) + (xy 81.861361 124.072101) + (xy 81.783946 124.087498) + (xy 81.716779 124.132379) + (xy 81.666813 124.144605) + (xy 81.633221 124.132379) + (xy 81.58634 124.101054) + (xy 81.566054 124.087499) + (xy 81.566052 124.087498) + (xy 81.488637 124.0721) + (xy 81.211362 124.0721) + (xy 81.211361 124.072101) + (xy 81.133946 124.087498) + (xy 81.066779 124.132379) + (xy 81.016813 124.144605) + (xy 80.983221 124.132379) + (xy 80.93634 124.101054) + (xy 80.916054 124.087499) + (xy 80.916052 124.087498) + (xy 80.838637 124.0721) + (xy 80.561362 124.0721) + (xy 80.561361 124.072101) + (xy 80.483946 124.087498) + (xy 80.416779 124.132379) + (xy 80.366813 124.144605) + (xy 80.333221 124.132379) + (xy 80.28634 124.101054) + (xy 80.266054 124.087499) + (xy 80.266052 124.087498) + (xy 80.188637 124.0721) + (xy 79.911362 124.0721) + (xy 79.911361 124.072101) + (xy 79.833946 124.087498) + (xy 79.766779 124.132379) + (xy 79.716813 124.144605) + (xy 79.683221 124.132379) + (xy 79.63634 124.101054) + (xy 79.616054 124.087499) + (xy 79.616052 124.087498) + (xy 79.538637 124.0721) + (xy 79.261362 124.0721) + (xy 79.261361 124.072101) + (xy 79.183946 124.087498) + (xy 79.116779 124.132379) + (xy 79.066813 124.144605) + (xy 79.033221 124.132379) + (xy 78.98634 124.101054) + (xy 78.966054 124.087499) + (xy 78.966052 124.087498) + (xy 78.888637 124.0721) + (xy 78.611362 124.0721) + (xy 78.611359 124.072101) + (xy 78.543292 124.085639) + (xy 78.492451 124.077813) + (xy 78.458535 124.039138) + (xy 78.457414 123.98771) + (xy 78.461616 123.977751) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 78.487957 123.673945) + (xy 78.430016 123.560229) + (xy 78.339771 123.469984) + (xy 78.226055 123.412043) + (xy 78.226057 123.412043) + (xy 78.1 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 74.324798 123.8) + (xy 74.450558 123.67424) + (xy 74.453375 123.671566) + (xy 74.483494 123.644449) + (xy 74.484437 123.642332) + (xy 74.493492 123.621991) + (xy 74.499126 123.611614) + (xy 74.512516 123.590997) + (xy 74.512516 123.590995) + (xy 74.513198 123.586692) + (xy 74.51385 123.582572) + (xy 74.51943 123.563734) + (xy 74.522018 123.557922) + (xy 74.5229 123.555942) + (xy 74.5229 123.531346) + (xy 74.523826 123.519581) + (xy 74.523902 123.519103) + (xy 74.527671 123.495306) + (xy 74.527129 123.493284) + (xy 74.525462 123.487059) + (xy 74.5229 123.467599) + (xy 74.5229 122.624911) + (xy 113.3471 122.624911) + (xy 113.347101 122.624912) + (xy 113.361772 122.698673) + (xy 113.361773 122.698677) + (xy 113.417669 122.782331) + (xy 113.438678 122.796368) + (xy 113.469095 122.83785) + (xy 113.4721 122.858895) + (xy 113.4721 123.142062) + (xy 113.471997 123.145998) + (xy 113.469876 123.186448) + (xy 113.478688 123.209402) + (xy 113.482039 123.220715) + (xy 113.487151 123.244763) + (xy 113.487152 123.244766) + (xy 113.492169 123.251671) + (xy 113.501536 123.268922) + (xy 113.504596 123.276895) + (xy 113.504597 123.276896) + (xy 113.521985 123.294284) + (xy 113.529644 123.303252) + (xy 113.544097 123.323144) + (xy 113.544098 123.323145) + (xy 113.544099 123.323146) + (xy 113.551492 123.327414) + (xy 113.567067 123.339366) + (xy 113.750074 123.522373) + (xy 113.771814 123.568993) + (xy 113.7721 123.575547) + (xy 113.7721 123.664962) + (xy 113.754507 123.7133) + (xy 113.728681 123.733116) + (xy 113.634026 123.777254) + (xy 113.634018 123.77726) + (xy 113.55226 123.859018) + (xy 113.552254 123.859026) + (xy 113.503388 123.963819) + (xy 113.503386 123.963825) + (xy 113.4971 124.011581) + (xy 113.4971 124.688418) + (xy 113.503386 124.736174) + (xy 113.503388 124.73618) + (xy 113.552254 124.840973) + (xy 113.55226 124.840981) + (xy 113.634018 124.922739) + (xy 113.634026 124.922745) + (xy 113.696404 124.951832) + (xy 113.713628 124.959864) + (xy 113.728681 124.966883) + (xy 113.765054 125.003256) + (xy 113.7721 125.035037) + (xy 113.7721 125.114962) + (xy 113.754507 125.1633) + (xy 113.728681 125.183116) + (xy 113.634026 125.227254) + (xy 113.634018 125.22726) + (xy 113.55226 125.309018) + (xy 113.552254 125.309026) + (xy 113.503388 125.413819) + (xy 113.503386 125.413825) + (xy 113.498763 125.448948) + (xy 113.497335 125.459799) + (xy 113.4971 125.461581) + (xy 113.4971 126.138418) + (xy 113.503386 126.186174) + (xy 113.503388 126.18618) + (xy 113.552254 126.290973) + (xy 113.55226 126.290981) + (xy 113.634018 126.372739) + (xy 113.634026 126.372745) + (xy 113.738819 126.421611) + (xy 113.738821 126.421611) + (xy 113.738824 126.421613) + (xy 113.78658 126.4279) + (xy 113.786582 126.4279) + (xy 114.213418 126.4279) + (xy 114.21342 126.4279) + (xy 114.261176 126.421613) + (xy 114.319163 126.394573) + (xy 114.365973 126.372745) + (xy 114.365973 126.372744) + (xy 114.365977 126.372743) + (xy 114.447743 126.290977) + (xy 114.448658 126.289016) + (xy 114.485973 126.208993) + (xy 114.496613 126.186176) + (xy 114.5029 126.13842) + (xy 114.5029 126.138418) + (xy 115.0971 126.138418) + (xy 115.103386 126.186174) + (xy 115.103388 126.18618) + (xy 115.152254 126.290973) + (xy 115.15226 126.290981) + (xy 115.234018 126.372739) + (xy 115.234026 126.372745) + (xy 115.338819 126.421611) + (xy 115.338821 126.421611) + (xy 115.338824 126.421613) + (xy 115.38658 126.4279) + (xy 115.386582 126.4279) + (xy 115.813418 126.4279) + (xy 115.81342 126.4279) + (xy 115.861176 126.421613) + (xy 115.919163 126.394573) + (xy 115.965973 126.372745) + (xy 115.965973 126.372744) + (xy 115.965977 126.372743) + (xy 116.047743 126.290977) + (xy 116.087477 126.205766) + (xy 116.12385 126.169394) + (xy 116.175094 126.16491) + (xy 116.189772 126.170545) + (xy 116.223942 126.187956) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.680016 126.039771) + (xy 116.737957 125.926055) + (xy 116.757922 125.8) + (xy 116.737957 125.673945) + (xy 116.680016 125.560229) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.189771 125.429455) + (xy 116.138714 125.435724) + (xy 116.095573 125.407707) + (xy 116.087477 125.394232) + (xy 116.047745 125.309026) + (xy 116.047739 125.309018) + (xy 115.965981 125.22726) + (xy 115.965973 125.227254) + (xy 115.871319 125.183116) + (xy 115.834945 125.146743) + (xy 115.8279 125.114962) + (xy 115.8279 125.035037) + (xy 115.845493 124.986699) + (xy 115.871319 124.966883) + (xy 115.886372 124.959864) + (xy 115.965977 124.922743) + (xy 116.047743 124.840977) + (xy 116.096613 124.736176) + (xy 116.1029 124.68842) + (xy 116.1029 124.01158) + (xy 116.096613 123.963824) + (xy 116.096611 123.963819) + (xy 116.047745 123.859026) + (xy 116.047739 123.859018) + (xy 115.965981 123.77726) + (xy 115.965973 123.777254) + (xy 115.86118 123.728388) + (xy 115.861174 123.728386) + (xy 115.825992 123.723755) + (xy 115.81342 123.7221) + (xy 115.38658 123.7221) + (xy 115.37547 123.723562) + (xy 115.338825 123.728386) + (xy 115.338819 123.728388) + (xy 115.234026 123.777254) + (xy 115.234018 123.77726) + (xy 115.15226 123.859018) + (xy 115.152254 123.859026) + (xy 115.103388 123.963819) + (xy 115.103386 123.963825) + (xy 115.0971 124.011581) + (xy 115.0971 124.688418) + (xy 115.103386 124.736174) + (xy 115.103388 124.73618) + (xy 115.152254 124.840973) + (xy 115.15226 124.840981) + (xy 115.234018 124.922739) + (xy 115.234026 124.922745) + (xy 115.296404 124.951832) + (xy 115.313628 124.959864) + (xy 115.328681 124.966883) + (xy 115.365054 125.003256) + (xy 115.3721 125.035037) + (xy 115.3721 125.114962) + (xy 115.354507 125.1633) + (xy 115.328681 125.183116) + (xy 115.234026 125.227254) + (xy 115.234018 125.22726) + (xy 115.15226 125.309018) + (xy 115.152254 125.309026) + (xy 115.103388 125.413819) + (xy 115.103386 125.413825) + (xy 115.098763 125.448948) + (xy 115.097335 125.459799) + (xy 115.0971 125.461581) + (xy 115.0971 126.138418) + (xy 114.5029 126.138418) + (xy 114.5029 125.46158) + (xy 114.496613 125.413824) + (xy 114.496611 125.413819) + (xy 114.447745 125.309026) + (xy 114.447739 125.309018) + (xy 114.365981 125.22726) + (xy 114.365973 125.227254) + (xy 114.271319 125.183116) + (xy 114.234945 125.146743) + (xy 114.2279 125.114962) + (xy 114.2279 125.035037) + (xy 114.245493 124.986699) + (xy 114.271319 124.966883) + (xy 114.286372 124.959864) + (xy 114.365977 124.922743) + (xy 114.447743 124.840977) + (xy 114.496613 124.736176) + (xy 114.5029 124.68842) + (xy 114.5029 124.01158) + (xy 114.496613 123.963824) + (xy 114.496611 123.963819) + (xy 114.447745 123.859026) + (xy 114.447739 123.859018) + (xy 114.365981 123.77726) + (xy 114.365973 123.777254) + (xy 114.271319 123.733116) + (xy 114.234945 123.696743) + (xy 114.2279 123.664962) + (xy 114.2279 123.457936) + (xy 114.228003 123.453999) + (xy 114.230123 123.413555) + (xy 114.230122 123.413554) + (xy 114.230123 123.413552) + (xy 114.221306 123.390586) + (xy 114.21796 123.379288) + (xy 114.212848 123.355234) + (xy 114.20783 123.348327) + (xy 114.198463 123.331075) + (xy 114.195403 123.323104) + (xy 114.195402 123.323102) + (xy 114.178018 123.305718) + (xy 114.170355 123.296747) + (xy 114.155901 123.276854) + (xy 114.154517 123.276055) + (xy 114.148506 123.272584) + (xy 114.132934 123.260635) + (xy 113.9723 123.1) + (xy 114.392078 123.1) + (xy 114.412043 123.226056) + (xy 114.444508 123.289771) + (xy 114.469984 123.339771) + (xy 114.560229 123.430016) + (xy 114.673943 123.487956) + (xy 114.673945 123.487957) + (xy 114.8 123.507922) + (xy 114.926055 123.487957) + (xy 115.039771 123.430016) + (xy 115.130016 123.339771) + (xy 115.187957 123.226055) + (xy 115.187957 123.226048) + (xy 115.189566 123.221101) + (xy 115.207911 123.191163) + (xy 115.218143 123.180931) + (xy 115.230181 123.171155) + (xy 115.242318 123.163227) + (xy 115.262171 123.137718) + (xy 115.268332 123.130743) + (xy 115.270216 123.12886) + (xy 115.282082 123.112238) + (xy 115.283927 123.109766) + (xy 115.291529 123.1) + (xy 115.314658 123.070284) + (xy 115.314658 123.070283) + (xy 115.31466 123.070281) + (xy 115.317626 123.064801) + (xy 115.317957 123.06498) + (xy 115.319028 123.062898) + (xy 115.318689 123.062733) + (xy 115.321424 123.057138) + (xy 115.321424 123.057137) + (xy 115.321426 123.057135) + (xy 115.335712 123.009148) + (xy 115.336659 123.006195) + (xy 115.344451 122.983501) + (xy 115.3529 122.958889) + (xy 115.3529 122.958885) + (xy 115.353925 122.952744) + (xy 115.354294 122.952805) + (xy 115.354632 122.950489) + (xy 115.354262 122.950443) + (xy 115.355032 122.944258) + (xy 115.355033 122.944255) + (xy 115.352964 122.894229) + (xy 115.3529 122.891122) + (xy 115.3529 122.748044) + (xy 115.370493 122.699706) + (xy 115.415042 122.673986) + (xy 115.46224 122.68104) + (xy 115.471896 122.68596) + (xy 115.483566 122.691907) + (xy 115.493619 122.698067) + (xy 115.514807 122.713461) + (xy 115.534883 122.719984) + (xy 115.539709 122.721552) + (xy 115.550613 122.726069) + (xy 115.573942 122.737956) + (xy 115.573943 122.737956) + (xy 115.573945 122.737957) + (xy 115.599812 122.742053) + (xy 115.611271 122.744804) + (xy 115.636187 122.7529) + (xy 115.668292 122.7529) + (xy 115.879998 122.7529) + (xy 115.928336 122.770493) + (xy 115.933172 122.774926) + (xy 116.022237 122.863991) + (xy 116.022238 122.863991) + (xy 116.022239 122.863992) + (xy 116.054926 122.879971) + (xy 116.090625 122.917004) + (xy 116.0971 122.94753) + (xy 116.0971 123.112374) + (xy 116.096174 123.124138) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.165014 123.380016) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.903826 123.124138) + (xy 116.9029 123.112374) + (xy 116.9029 122.94753) + (xy 116.920493 122.899192) + (xy 116.945072 122.879971) + (xy 116.977761 122.863992) + (xy 117.015019 122.826734) + (xy 117.066828 122.774926) + (xy 117.113448 122.753186) + (xy 117.120002 122.7529) + (xy 117.263812 122.7529) + (xy 117.263813 122.7529) + (xy 117.288722 122.744805) + (xy 117.300173 122.742055) + (xy 117.326055 122.737957) + (xy 117.349397 122.726063) + (xy 117.360284 122.721554) + (xy 117.385193 122.713461) + (xy 117.406378 122.698068) + (xy 117.41643 122.691907) + (xy 117.439771 122.680016) + (xy 117.494876 122.624911) + (xy 117.9971 122.624911) + (xy 117.997101 122.624912) + (xy 118.011772 122.698673) + (xy 118.011773 122.698677) + (xy 118.067669 122.782331) + (xy 118.088678 122.796368) + (xy 118.119095 122.83785) + (xy 118.1221 122.858895) + (xy 118.1221 123.142062) + (xy 118.121997 123.145998) + (xy 118.119876 123.186448) + (xy 118.128688 123.209402) + (xy 118.132039 123.220715) + (xy 118.137151 123.244763) + (xy 118.137152 123.244766) + (xy 118.142169 123.251671) + (xy 118.151536 123.268922) + (xy 118.154596 123.276895) + (xy 118.154597 123.276896) + (xy 118.171985 123.294284) + (xy 118.179644 123.303252) + (xy 118.194097 123.323144) + (xy 118.194098 123.323145) + (xy 118.194099 123.323146) + (xy 118.201492 123.327414) + (xy 118.217067 123.339366) + (xy 118.400074 123.522373) + (xy 118.421814 123.568993) + (xy 118.4221 123.575547) + (xy 118.4221 123.664962) + (xy 118.404507 123.7133) + (xy 118.378681 123.733116) + (xy 118.284026 123.777254) + (xy 118.284018 123.77726) + (xy 118.20226 123.859018) + (xy 118.202254 123.859026) + (xy 118.153388 123.963819) + (xy 118.153386 123.963825) + (xy 118.1471 124.011581) + (xy 118.1471 124.688418) + (xy 118.153386 124.736174) + (xy 118.153388 124.73618) + (xy 118.202254 124.840973) + (xy 118.20226 124.840981) + (xy 118.284018 124.922739) + (xy 118.284026 124.922745) + (xy 118.388819 124.971611) + (xy 118.388821 124.971611) + (xy 118.388824 124.971613) + (xy 118.43658 124.9779) + (xy 118.436582 124.9779) + (xy 118.863418 124.9779) + (xy 118.86342 124.9779) + (xy 118.911176 124.971613) + (xy 119.015977 124.922743) + (xy 119.097743 124.840977) + (xy 119.146613 124.736176) + (xy 119.1529 124.68842) + (xy 119.1529 124.688418) + (xy 119.7471 124.688418) + (xy 119.753386 124.736174) + (xy 119.753388 124.73618) + (xy 119.802254 124.840973) + (xy 119.80226 124.840981) + (xy 119.884018 124.922739) + (xy 119.884026 124.922745) + (xy 119.988819 124.971611) + (xy 119.988821 124.971611) + (xy 119.988824 124.971613) + (xy 120.03658 124.9779) + (xy 120.036582 124.9779) + (xy 120.463418 124.9779) + (xy 120.46342 124.9779) + (xy 120.511176 124.971613) + (xy 120.615977 124.922743) + (xy 120.68872 124.85) + (xy 128.592329 124.85) + (xy 128.595049 124.870665) + (xy 128.595648 124.883045) + (xy 128.594827 124.907069) + (xy 128.594828 124.907076) + (xy 128.60477 124.947876) + (xy 128.606264 124.955861) + (xy 128.61133 124.994333) + (xy 128.611331 124.994337) + (xy 128.620683 125.016915) + (xy 128.624269 125.027887) + (xy 128.630813 125.054742) + (xy 128.630814 125.054745) + (xy 128.649639 125.088223) + (xy 128.653566 125.096301) + (xy 128.667043 125.128837) + (xy 128.667044 125.128839) + (xy 128.684106 125.151074) + (xy 128.689994 125.159995) + (xy 128.705302 125.187219) + (xy 128.705309 125.187228) + (xy 128.729924 125.211843) + (xy 128.736409 125.219237) + (xy 128.755666 125.244332) + (xy 128.780763 125.263591) + (xy 128.788157 125.270076) + (xy 128.951449 125.433368) + (xy 128.953234 125.435214) + (xy 128.992551 125.477313) + (xy 128.997791 125.482923) + (xy 128.998274 125.483217) + (xy 129.035213 125.505679) + (xy 129.041576 125.51001) + (xy 129.076471 125.536472) + (xy 129.076474 125.536473) + (xy 129.09716 125.544631) + (xy 129.108646 125.550336) + (xy 129.121082 125.557898) + (xy 129.127653 125.561894) + (xy 129.169832 125.573711) + (xy 129.1771 125.576155) + (xy 129.217862 125.59223) + (xy 129.239989 125.594503) + (xy 129.252581 125.596896) + (xy 129.274006 125.6029) + (xy 129.317796 125.6029) + (xy 129.325485 125.603293) + (xy 129.369054 125.607773) + (xy 129.369054 125.607772) + (xy 129.369056 125.607773) + (xy 129.390971 125.603994) + (xy 129.40375 125.6029) + (xy 129.584287 125.6029) + (xy 129.632625 125.620493) + (xy 129.637461 125.624926) + (xy 129.665291 125.652756) + (xy 129.691004 125.665857) + (xy 129.756039 125.698993) + (xy 129.791122 125.736613) + (xy 129.7971 125.765997) + (xy 129.7971 126.058829) + (xy 129.796456 126.068644) + (xy 129.792862 126.095956) + (xy 129.792329 126.100001) + (xy 129.796568 126.132207) + (xy 129.797034 126.136864) + (xy 129.797098 126.137807) + (xy 129.801994 126.173435) + (xy 129.80205 126.173854) + (xy 129.811505 126.245661) + (xy 129.812236 126.249283) + (xy 129.812597 126.250573) + (xy 129.840753 126.315396) + (xy 129.841253 126.316576) + (xy 129.867041 126.378833) + (xy 129.869506 126.383102) + (xy 129.869073 126.383351) + (xy 129.870413 126.385628) + (xy 129.87048 126.385588) + (xy 129.873149 126.389978) + (xy 129.915481 126.442011) + (xy 129.916807 126.44369) + (xy 129.955669 126.494336) + (xy 129.95915 126.497817) + (xy 129.959132 126.497834) + (xy 129.967564 126.50603) + (xy 129.969067 126.507877) + (xy 129.969069 126.50788) + (xy 130.020918 126.544477) + (xy 130.023332 126.546254) + (xy 130.03053 126.551777) + (xy 130.071164 126.582957) + (xy 130.073747 126.584026) + (xy 130.088339 126.592068) + (xy 130.093237 126.595526) + (xy 130.093238 126.595526) + (xy 130.093239 126.595527) + (xy 130.149686 126.615588) + (xy 130.153251 126.616958) + (xy 130.205664 126.638669) + (xy 130.212022 126.639505) + (xy 130.227389 126.643204) + (xy 130.236452 126.646425) + (xy 130.292641 126.650268) + (xy 130.297324 126.650736) + (xy 130.304163 126.651636) + (xy 130.35 126.657671) + (xy 130.359944 126.656361) + (xy 130.374889 126.655894) + (xy 130.388082 126.656797) + (xy 130.388085 126.656797) + (xy 130.388085 126.656796) + (xy 130.388086 126.656797) + (xy 130.439752 126.64606) + (xy 130.445189 126.645138) + (xy 130.494336 126.638669) + (xy 130.50693 126.633451) + (xy 130.520409 126.629299) + (xy 130.536896 126.625874) + (xy 130.536897 126.625873) + (xy 130.536899 126.625873) + (xy 130.58055 126.603254) + (xy 130.586363 126.600549) + (xy 130.628836 126.582957) + (xy 130.642513 126.57246) + (xy 130.653695 126.565352) + (xy 130.671844 126.555949) + (xy 130.705167 126.524826) + (xy 130.710701 126.520139) + (xy 130.718787 126.513935) + (xy 130.73977 126.497834) + (xy 130.744328 126.494337) + (xy 130.744328 126.494336) + (xy 130.744333 126.494333) + (xy 130.757022 126.477795) + (xy 130.765349 126.46862) + (xy 130.782923 126.452209) + (xy 130.804748 126.416317) + (xy 130.809326 126.40963) + (xy 130.832957 126.378836) + (xy 130.842312 126.356249) + (xy 130.847523 126.345977) + (xy 130.861894 126.322347) + (xy 130.872258 126.285352) + (xy 130.875195 126.276862) + (xy 130.875896 126.275171) + (xy 130.888669 126.244336) + (xy 130.892328 126.216536) + (xy 130.894471 126.206075) + (xy 130.9029 126.175994) + (xy 130.9029 126.14117) + (xy 130.903543 126.131355) + (xy 130.903719 126.130015) + (xy 130.907671 126.1) + (xy 130.903543 126.068644) + (xy 130.9029 126.058829) + (xy 130.9029 125.765997) + (xy 130.920493 125.717659) + (xy 130.943959 125.698993) + (xy 131.03471 125.652755) + (xy 131.062539 125.624926) + (xy 131.109159 125.603186) + (xy 131.115713 125.6029) + (xy 131.339285 125.6029) + (xy 131.341851 125.602943) + (xy 131.352098 125.603294) + (xy 131.407071 125.605172) + (xy 131.407072 125.605172) + (xy 131.407072 125.605171) + (xy 131.407073 125.605172) + (xy 131.407623 125.605038) + (xy 131.425428 125.6029) + (xy 131.674006 125.6029) + (xy 131.717796 125.6029) + (xy 131.725485 125.603293) + (xy 131.769054 125.607773) + (xy 131.769054 125.607772) + (xy 131.769056 125.607773) + (xy 131.790971 125.603994) + (xy 131.80375 125.6029) + (xy 131.984287 125.6029) + (xy 132.032625 125.620493) + (xy 132.037461 125.624926) + (xy 132.065291 125.652756) + (xy 132.091004 125.665857) + (xy 132.156039 125.698993) + (xy 132.191122 125.736613) + (xy 132.1971 125.765997) + (xy 132.1971 126.058829) + (xy 132.196456 126.068644) + (xy 132.192862 126.095956) + (xy 132.192329 126.100001) + (xy 132.196568 126.132207) + (xy 132.197034 126.136864) + (xy 132.197098 126.137807) + (xy 132.201994 126.173435) + (xy 132.20205 126.173854) + (xy 132.211505 126.245661) + (xy 132.212236 126.249283) + (xy 132.212597 126.250573) + (xy 132.240753 126.315396) + (xy 132.241253 126.316576) + (xy 132.267041 126.378833) + (xy 132.269506 126.383102) + (xy 132.269073 126.383351) + (xy 132.270413 126.385628) + (xy 132.27048 126.385588) + (xy 132.273149 126.389978) + (xy 132.315481 126.442011) + (xy 132.316807 126.44369) + (xy 132.355669 126.494336) + (xy 132.35915 126.497817) + (xy 132.359132 126.497834) + (xy 132.367564 126.50603) + (xy 132.369067 126.507877) + (xy 132.369069 126.50788) + (xy 132.420918 126.544477) + (xy 132.423332 126.546254) + (xy 132.43053 126.551777) + (xy 132.471164 126.582957) + (xy 132.473747 126.584026) + (xy 132.488339 126.592068) + (xy 132.493237 126.595526) + (xy 132.493238 126.595526) + (xy 132.493239 126.595527) + (xy 132.549686 126.615588) + (xy 132.553251 126.616958) + (xy 132.605664 126.638669) + (xy 132.612022 126.639505) + (xy 132.627389 126.643204) + (xy 132.636452 126.646425) + (xy 132.692641 126.650268) + (xy 132.697324 126.650736) + (xy 132.704163 126.651636) + (xy 132.75 126.657671) + (xy 132.759944 126.656361) + (xy 132.774889 126.655894) + (xy 132.788082 126.656797) + (xy 132.788085 126.656797) + (xy 132.788085 126.656796) + (xy 132.788086 126.656797) + (xy 132.839752 126.64606) + (xy 132.845189 126.645138) + (xy 132.894336 126.638669) + (xy 132.90693 126.633451) + (xy 132.920409 126.629299) + (xy 132.936896 126.625874) + (xy 132.936897 126.625873) + (xy 132.936899 126.625873) + (xy 132.98055 126.603254) + (xy 132.986363 126.600549) + (xy 133.028836 126.582957) + (xy 133.042513 126.57246) + (xy 133.053695 126.565352) + (xy 133.071844 126.555949) + (xy 133.105167 126.524826) + (xy 133.110701 126.520139) + (xy 133.118787 126.513935) + (xy 133.13977 126.497834) + (xy 133.144328 126.494337) + (xy 133.144328 126.494336) + (xy 133.144333 126.494333) + (xy 133.157022 126.477795) + (xy 133.165349 126.46862) + (xy 133.182923 126.452209) + (xy 133.204748 126.416317) + (xy 133.209326 126.40963) + (xy 133.232957 126.378836) + (xy 133.242312 126.356249) + (xy 133.247523 126.345977) + (xy 133.261894 126.322347) + (xy 133.272258 126.285352) + (xy 133.275195 126.276862) + (xy 133.275896 126.275171) + (xy 133.288669 126.244336) + (xy 133.292328 126.216536) + (xy 133.294471 126.206075) + (xy 133.3029 126.175994) + (xy 133.3029 126.14117) + (xy 133.303543 126.131355) + (xy 133.303719 126.130015) + (xy 133.307671 126.1) + (xy 133.303543 126.068644) + (xy 133.3029 126.058829) + (xy 133.3029 125.765997) + (xy 133.320493 125.717659) + (xy 133.343959 125.698993) + (xy 133.43471 125.652755) + (xy 133.462539 125.624926) + (xy 133.509159 125.603186) + (xy 133.515713 125.6029) + (xy 133.839285 125.6029) + (xy 133.841851 125.602943) + (xy 133.848036 125.603155) + (xy 133.912215 125.605348) + (xy 133.912119 125.608151) + (xy 133.952104 125.618137) + (xy 133.955451 125.620532) + (xy 133.97647 125.636472) + (xy 133.976471 125.636472) + (xy 133.976472 125.636473) + (xy 133.997162 125.644632) + (xy 134.008643 125.650334) + (xy 134.027653 125.661894) + (xy 134.069831 125.673711) + (xy 134.077105 125.676157) + (xy 134.117862 125.69223) + (xy 134.139994 125.694505) + (xy 134.15259 125.696899) + (xy 134.174006 125.7029) + (xy 134.217795 125.7029) + (xy 134.225484 125.703293) + (xy 134.269053 125.707773) + (xy 134.269053 125.707772) + (xy 134.269055 125.707773) + (xy 134.29097 125.703994) + (xy 134.303749 125.7029) + (xy 134.5719 125.7029) + (xy 134.620238 125.720493) + (xy 134.645958 125.765042) + (xy 134.6471 125.7781) + (xy 134.6471 126.008829) + (xy 134.646457 126.018644) + (xy 134.642329 126.050001) + (xy 134.646568 126.082207) + (xy 134.647034 126.086864) + (xy 134.647098 126.087807) + (xy 134.651994 126.123435) + (xy 134.65205 126.123854) + (xy 134.661505 126.195661) + (xy 134.662236 126.199283) + (xy 134.662597 126.200573) + (xy 134.690753 126.265396) + (xy 134.691253 126.266576) + (xy 134.717041 126.328833) + (xy 134.719506 126.333102) + (xy 134.719073 126.333351) + (xy 134.720413 126.335628) + (xy 134.72048 126.335588) + (xy 134.723149 126.339978) + (xy 134.739122 126.359611) + (xy 134.762655 126.388538) + (xy 134.765481 126.392011) + (xy 134.766807 126.39369) + (xy 134.805669 126.444336) + (xy 134.80915 126.447817) + (xy 134.809132 126.447834) + (xy 134.817564 126.45603) + (xy 134.819067 126.457877) + (xy 134.819069 126.45788) + (xy 134.870918 126.494477) + (xy 134.873332 126.496254) + (xy 134.888481 126.507878) + (xy 134.921164 126.532957) + (xy 134.923747 126.534026) + (xy 134.938339 126.542068) + (xy 134.943237 126.545526) + (xy 134.943238 126.545526) + (xy 134.943239 126.545527) + (xy 134.999686 126.565588) + (xy 135.003251 126.566958) + (xy 135.055664 126.588669) + (xy 135.062022 126.589505) + (xy 135.077389 126.593204) + (xy 135.086452 126.596425) + (xy 135.142641 126.600268) + (xy 135.147324 126.600736) + (xy 135.154163 126.601636) + (xy 135.2 126.607671) + (xy 135.209944 126.606361) + (xy 135.224889 126.605894) + (xy 135.238082 126.606797) + (xy 135.238085 126.606797) + (xy 135.238085 126.606796) + (xy 135.238086 126.606797) + (xy 135.289752 126.59606) + (xy 135.295189 126.595138) + (xy 135.344336 126.588669) + (xy 135.35693 126.583451) + (xy 135.370409 126.579299) + (xy 135.386896 126.575874) + (xy 135.386897 126.575873) + (xy 135.386899 126.575873) + (xy 135.43055 126.553254) + (xy 135.436363 126.550549) + (xy 135.478836 126.532957) + (xy 135.492513 126.52246) + (xy 135.503695 126.515352) + (xy 135.521844 126.505949) + (xy 135.555167 126.474826) + (xy 135.560701 126.470139) + (xy 135.594328 126.444337) + (xy 135.594328 126.444336) + (xy 135.594333 126.444333) + (xy 135.607022 126.427795) + (xy 135.615349 126.41862) + (xy 135.632923 126.402209) + (xy 135.654748 126.366317) + (xy 135.659326 126.35963) + (xy 135.682957 126.328836) + (xy 135.692312 126.306249) + (xy 135.697523 126.295977) + (xy 135.711894 126.272347) + (xy 135.722258 126.235352) + (xy 135.725195 126.226862) + (xy 135.72553 126.226055) + (xy 135.738669 126.194336) + (xy 135.742328 126.166536) + (xy 135.744471 126.156075) + (xy 135.7529 126.125994) + (xy 135.7529 126.09117) + (xy 135.753543 126.081355) + (xy 135.754381 126.074986) + (xy 135.757671 126.05) + (xy 135.753543 126.018644) + (xy 135.7529 126.008829) + (xy 135.7529 125.751673) + (xy 135.770493 125.703335) + (xy 135.795073 125.684114) + (xy 135.882898 125.641179) + (xy 135.966177 125.5579) + (xy 135.966179 125.557898) + (xy 136.017906 125.452088) + (xy 136.026649 125.392078) + (xy 136.027899 125.383502) + (xy 136.0279 125.383492) + (xy 136.0279 124.916507) + (xy 136.027899 124.916497) + (xy 136.021454 124.872266) + (xy 136.017906 124.847912) + (xy 135.966179 124.742102) + (xy 135.966177 124.7421) + (xy 135.966177 124.742099) + (xy 135.8829 124.658822) + (xy 135.867301 124.651196) + (xy 135.777088 124.607094) + (xy 135.708502 124.5971) + (xy 135.708494 124.5971) + (xy 135.275994 124.5971) + (xy 135.22146 124.5971) + (xy 135.216328 124.596925) + (xy 135.161914 124.593203) + (xy 135.150729 124.595527) + (xy 135.13543 124.5971) + (xy 134.510167 124.5971) + (xy 134.461829 124.579507) + (xy 134.456993 124.575074) + (xy 134.420071 124.538152) + (xy 134.413584 124.530756) + (xy 134.394333 124.505667) + (xy 134.386372 124.499558) + (xy 134.375482 124.489329) + (xy 134.366784 124.479354) + (xy 134.322674 124.450422) + (xy 134.31814 124.447201) + (xy 134.277794 124.416243) + (xy 134.274691 124.414182) + (xy 134.273526 124.413526) + (xy 134.2629 124.409336) + (xy 134.249247 124.402261) + (xy 134.239699 124.395999) + (xy 134.239692 124.395995) + (xy 134.192838 124.381124) + (xy 134.186811 124.378924) + (xy 134.144338 124.361331) + (xy 134.139582 124.360057) + (xy 134.13971 124.359578) + (xy 134.13715 124.358914) + (xy 134.137133 124.358987) + (xy 134.13214 124.35777) + (xy 134.120768 124.356601) + (xy 134.105713 124.353472) + (xy 134.094827 124.350017) + (xy 134.083454 124.349628) + (xy 134.049272 124.34846) + (xy 134.042027 124.347861) + (xy 134.000001 124.342329) + (xy 133.995076 124.342329) + (xy 133.995076 124.342304) + (xy 133.983323 124.342471) + (xy 133.980944 124.342226) + (xy 133.969682 124.344168) + (xy 133.954343 124.345217) + (xy 133.942931 124.344827) + (xy 133.942921 124.344828) + (xy 133.902122 124.35477) + (xy 133.894138 124.356264) + (xy 133.855668 124.36133) + (xy 133.85566 124.361332) + (xy 133.853073 124.362404) + (xy 133.837086 124.367031) + (xy 133.831166 124.368052) + (xy 133.820851 124.372957) + (xy 133.806367 124.378105) + (xy 133.795261 124.380812) + (xy 133.795259 124.380812) + (xy 133.795259 124.380813) + (xy 133.763098 124.398896) + (xy 133.761771 124.399642) + (xy 133.753697 124.403567) + (xy 133.721159 124.417045) + (xy 133.716065 124.420954) + (xy 133.7026 124.429195) + (xy 133.693909 124.433329) + (xy 133.693908 124.433329) + (xy 133.685292 124.440841) + (xy 133.672742 124.4497) + (xy 133.662774 124.455306) + (xy 133.643007 124.475074) + (xy 133.596387 124.496814) + (xy 133.589833 124.4971) + (xy 133.515713 124.4971) + (xy 133.467375 124.479507) + (xy 133.462539 124.475074) + (xy 133.43471 124.447245) + (xy 133.317466 124.387507) + (xy 133.317468 124.387507) + (xy 133.220194 124.3721) + (xy 133.220192 124.3721) + (xy 132.279808 124.3721) + (xy 132.279805 124.3721) + (xy 132.182532 124.387507) + (xy 132.06529 124.447244) + (xy 132.053773 124.458762) + (xy 132.007152 124.4805) + (xy 131.957465 124.467185) + (xy 131.947426 124.45876) + (xy 131.944336 124.45567) + (xy 131.944334 124.455668) + (xy 131.944333 124.455667) + (xy 131.936372 124.449558) + (xy 131.925477 124.439324) + (xy 131.916784 124.429354) + (xy 131.880116 124.405303) + (xy 131.872669 124.400418) + (xy 131.868137 124.397199) + (xy 131.833933 124.370954) + (xy 131.828836 124.367043) + (xy 131.828834 124.367042) + (xy 131.827785 124.366237) + (xy 131.824691 124.364182) + (xy 131.823531 124.36353) + (xy 131.823529 124.363528) + (xy 131.812902 124.359337) + (xy 131.79925 124.352262) + (xy 131.789699 124.345998) + (xy 131.78969 124.345993) + (xy 131.742831 124.331121) + (xy 131.736807 124.328923) + (xy 131.694336 124.311331) + (xy 131.694334 124.31133) + (xy 131.689582 124.310057) + (xy 131.68971 124.309578) + (xy 131.68715 124.308914) + (xy 131.687133 124.308987) + (xy 131.68214 124.30777) + (xy 131.670768 124.306601) + (xy 131.655713 124.303472) + (xy 131.644827 124.300017) + (xy 131.633454 124.299628) + (xy 131.599272 124.29846) + (xy 131.592027 124.297861) + (xy 131.550001 124.292329) + (xy 131.545076 124.292329) + (xy 131.545076 124.292304) + (xy 131.533323 124.292471) + (xy 131.530944 124.292226) + (xy 131.519682 124.294168) + (xy 131.504343 124.295217) + (xy 131.492931 124.294827) + (xy 131.492922 124.294828) + (xy 131.452123 124.30477) + (xy 131.444139 124.306264) + (xy 131.405667 124.31133) + (xy 131.405657 124.311333) + (xy 131.403069 124.312405) + (xy 131.387087 124.31703) + (xy 131.381171 124.31805) + (xy 131.381165 124.318052) + (xy 131.370844 124.32296) + (xy 131.356365 124.328106) + (xy 131.34526 124.330813) + (xy 131.345256 124.330814) + (xy 131.311771 124.349642) + (xy 131.303695 124.353568) + (xy 131.27116 124.367045) + (xy 131.271158 124.367046) + (xy 131.266065 124.370954) + (xy 131.2526 124.379195) + (xy 131.24391 124.383328) + (xy 131.243908 124.383329) + (xy 131.235296 124.390839) + (xy 131.222734 124.399706) + (xy 131.21278 124.405303) + (xy 131.21277 124.40531) + (xy 131.188156 124.429924) + (xy 131.180764 124.436408) + (xy 131.155662 124.45567) + (xy 131.152573 124.45876) + (xy 131.105953 124.4805) + (xy 131.056266 124.467186) + (xy 131.046225 124.45876) + (xy 131.03471 124.447245) + (xy 130.917466 124.387507) + (xy 130.917468 124.387507) + (xy 130.820194 124.3721) + (xy 130.820192 124.3721) + (xy 129.879808 124.3721) + (xy 129.879805 124.3721) + (xy 129.782532 124.387507) + (xy 129.665289 124.447245) + (xy 129.653775 124.45876) + (xy 129.607155 124.4805) + (xy 129.557468 124.467186) + (xy 129.547427 124.45876) + (xy 129.544336 124.455669) + (xy 129.518571 124.435899) + (xy 129.514931 124.43292) + (xy 129.514227 124.432306) + (xy 129.485467 124.410496) + (xy 129.485128 124.410237) + (xy 129.427787 124.366238) + (xy 129.424691 124.364182) + (xy 129.423524 124.363525) + (xy 129.357833 124.337619) + (xy 129.356645 124.337139) + (xy 129.294339 124.311331) + (xy 129.289582 124.310057) + (xy 129.28971 124.309578) + (xy 129.287148 124.308914) + (xy 129.287131 124.308987) + (xy 129.282137 124.307769) + (xy 129.215426 124.300911) + (xy 129.213302 124.300662) + (xy 129.15 124.292329) + (xy 129.145076 124.292329) + (xy 129.145076 124.292304) + (xy 129.133324 124.292471) + (xy 129.130948 124.292227) + (xy 129.130947 124.292227) + (xy 129.130946 124.292227) + (xy 129.112073 124.295481) + (xy 129.06839 124.303012) + (xy 129.065431 124.303461) + (xy 129.005669 124.31133) + (xy 129.00566 124.311332) + (xy 129.003073 124.312404) + (xy 128.987086 124.317031) + (xy 128.981165 124.318052) + (xy 128.927073 124.343777) + (xy 128.923555 124.345341) + (xy 128.871168 124.36704) + (xy 128.871159 124.367046) + (xy 128.866065 124.370954) + (xy 128.8526 124.379195) + (xy 128.84391 124.383328) + (xy 128.843908 124.383329) + (xy 128.801455 124.420346) + (xy 128.797814 124.423326) + (xy 128.776969 124.439321) + (xy 128.755667 124.455667) + (xy 128.749559 124.463625) + (xy 128.739327 124.474519) + (xy 128.729354 124.483214) + (xy 128.700425 124.527321) + (xy 128.697206 124.531854) + (xy 128.667042 124.571166) + (xy 128.661826 124.583758) + (xy 128.655235 124.596216) + (xy 128.645995 124.610303) + (xy 128.645994 124.610305) + (xy 128.631121 124.657169) + (xy 128.628921 124.663197) + (xy 128.61133 124.705665) + (xy 128.60908 124.722756) + (xy 128.606201 124.735686) + (xy 128.600018 124.755165) + (xy 128.600017 124.755175) + (xy 128.59846 124.800726) + (xy 128.597861 124.80797) + (xy 128.592329 124.849999) + (xy 128.592329 124.85) + (xy 120.68872 124.85) + (xy 120.697743 124.840977) + (xy 120.737477 124.755766) + (xy 120.77385 124.719394) + (xy 120.825094 124.71491) + (xy 120.839772 124.720545) + (xy 120.873942 124.737956) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.330016 124.589771) + (xy 121.387957 124.476055) + (xy 121.407922 124.35) + (xy 121.407658 124.348336) + (xy 121.402475 124.315608) + (xy 121.387957 124.223945) + (xy 121.330016 124.110229) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.839771 123.979455) + (xy 120.788714 123.985724) + (xy 120.745573 123.957707) + (xy 120.737477 123.944232) + (xy 120.697745 123.859026) + (xy 120.697739 123.859018) + (xy 120.615981 123.77726) + (xy 120.615973 123.777254) + (xy 120.51118 123.728388) + (xy 120.511174 123.728386) + (xy 120.475992 123.723755) + (xy 120.46342 123.7221) + (xy 120.03658 123.7221) + (xy 120.02547 123.723562) + (xy 119.988825 123.728386) + (xy 119.988819 123.728388) + (xy 119.884026 123.777254) + (xy 119.884018 123.77726) + (xy 119.80226 123.859018) + (xy 119.802254 123.859026) + (xy 119.753388 123.963819) + (xy 119.753386 123.963825) + (xy 119.7471 124.011581) + (xy 119.7471 124.688418) + (xy 119.1529 124.688418) + (xy 119.1529 124.01158) + (xy 119.146613 123.963824) + (xy 119.146611 123.963819) + (xy 119.097745 123.859026) + (xy 119.097739 123.859018) + (xy 119.015981 123.77726) + (xy 119.015973 123.777254) + (xy 118.921319 123.733116) + (xy 118.884945 123.696743) + (xy 118.8779 123.664962) + (xy 118.8779 123.457936) + (xy 118.878003 123.453999) + (xy 118.880123 123.413555) + (xy 118.880122 123.413554) + (xy 118.880123 123.413552) + (xy 118.871306 123.390586) + (xy 118.86796 123.379288) + (xy 118.862848 123.355234) + (xy 118.85783 123.348327) + (xy 118.848463 123.331075) + (xy 118.845403 123.323104) + (xy 118.845402 123.323102) + (xy 118.828018 123.305718) + (xy 118.820355 123.296747) + (xy 118.805901 123.276854) + (xy 118.804517 123.276055) + (xy 118.798506 123.272584) + (xy 118.782934 123.260635) + (xy 118.6223 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.294508 123.289771) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 120.022831 122.944258) + (xy 120.011096 122.921226) + (xy 120.0029 122.887086) + (xy 120.0029 122.704236) + (xy 120.020493 122.655898) + (xy 120.065042 122.630178) + (xy 120.1157 122.639111) + (xy 120.131274 122.651062) + (xy 120.160226 122.680014) + (xy 120.160228 122.680015) + (xy 120.160229 122.680016) + (xy 120.183567 122.691907) + (xy 120.193619 122.698067) + (xy 120.214807 122.713461) + (xy 120.234883 122.719984) + (xy 120.239709 122.721552) + (xy 120.250613 122.726069) + (xy 120.273942 122.737956) + (xy 120.273943 122.737956) + (xy 120.273945 122.737957) + (xy 120.299812 122.742053) + (xy 120.311271 122.744804) + (xy 120.336187 122.7529) + (xy 120.368292 122.7529) + (xy 120.579998 122.7529) + (xy 120.628336 122.770493) + (xy 120.633172 122.774926) + (xy 120.722237 122.863991) + (xy 120.722238 122.863991) + (xy 120.722239 122.863992) + (xy 120.754926 122.879971) + (xy 120.790625 122.917004) + (xy 120.7971 122.94753) + (xy 120.7971 123.112374) + (xy 120.796174 123.124138) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.865014 123.380016) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.40055 123.5) + (xy 129.4976 123.5) + (xy 129.4976 123.645156) + (xy 129.512988 123.74231) + (xy 129.572656 123.859414) + (xy 129.665585 123.952343) + (xy 129.78269 124.012011) + (xy 129.782688 124.012011) + (xy 129.879843 124.027399) + (xy 129.87985 124.0274) + (xy 130.2 124.0274) + (xy 130.2 123.5) + (xy 130.5 123.5) + (xy 130.5 124.0274) + (xy 130.82015 124.0274) + (xy 130.820156 124.027399) + (xy 130.91731 124.012011) + (xy 131.034414 123.952343) + (xy 131.127343 123.859414) + (xy 131.187011 123.74231) + (xy 131.202399 123.645156) + (xy 131.2024 123.645149) + (xy 131.2024 123.5) + (xy 131.8976 123.5) + (xy 131.8976 123.645156) + (xy 131.912988 123.74231) + (xy 131.972656 123.859414) + (xy 132.065585 123.952343) + (xy 132.18269 124.012011) + (xy 132.182688 124.012011) + (xy 132.279843 124.027399) + (xy 132.27985 124.0274) + (xy 132.6 124.0274) + (xy 132.6 123.5) + (xy 132.9 123.5) + (xy 132.9 124.0274) + (xy 133.22015 124.0274) + (xy 133.220156 124.027399) + (xy 133.31731 124.012011) + (xy 133.434414 123.952343) + (xy 133.527343 123.859414) + (xy 133.587011 123.74231) + (xy 133.602399 123.645156) + (xy 133.6024 123.645149) + (xy 133.6024 123.5) + (xy 132.9 123.5) + (xy 132.6 123.5) + (xy 131.8976 123.5) + (xy 131.2024 123.5) + (xy 130.5 123.5) + (xy 130.2 123.5) + (xy 129.4976 123.5) + (xy 121.40055 123.5) + (xy 121.439771 123.480016) + (xy 121.519787 123.4) + (xy 134.3726 123.4) + (xy 134.3726 123.483454) + (xy 134.38258 123.551942) + (xy 134.434235 123.657605) + (xy 134.517394 123.740764) + (xy 134.623057 123.792419) + (xy 134.623056 123.792419) + (xy 134.691545 123.802399) + (xy 134.691556 123.8024) + (xy 135.05 123.8024) + (xy 135.05 123.4) + (xy 135.35 123.4) + (xy 135.35 123.8024) + (xy 135.708444 123.8024) + (xy 135.708454 123.802399) + (xy 135.776942 123.792419) + (xy 135.882605 123.740764) + (xy 135.965764 123.657605) + (xy 136.017419 123.551942) + (xy 136.024988 123.5) + (xy 139.2476 123.5) + (xy 139.2476 123.645156) + (xy 139.262988 123.74231) + (xy 139.322656 123.859414) + (xy 139.415585 123.952343) + (xy 139.53269 124.012011) + (xy 139.532688 124.012011) + (xy 139.629843 124.027399) + (xy 139.62985 124.0274) + (xy 139.95 124.0274) + (xy 139.95 123.5) + (xy 140.25 123.5) + (xy 140.25 124.0274) + (xy 140.57015 124.0274) + (xy 140.570156 124.027399) + (xy 140.66731 124.012011) + (xy 140.784414 123.952343) + (xy 140.877343 123.859414) + (xy 140.937011 123.74231) + (xy 140.952399 123.645156) + (xy 140.9524 123.645149) + (xy 140.9524 123.5) + (xy 140.25 123.5) + (xy 139.95 123.5) + (xy 139.2476 123.5) + (xy 136.024988 123.5) + (xy 136.027399 123.483454) + (xy 136.0274 123.483444) + (xy 136.0274 123.4) + (xy 135.35 123.4) + (xy 135.05 123.4) + (xy 134.3726 123.4) + (xy 121.519787 123.4) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.600003 123.2) + (xy 129.4976 123.2) + (xy 130.2 123.2) + (xy 130.2 122.6726) + (xy 130.5 122.6726) + (xy 130.5 123.2) + (xy 131.2024 123.2) + (xy 131.8976 123.2) + (xy 132.6 123.2) + (xy 132.6 122.6726) + (xy 132.9 122.6726) + (xy 132.9 123.2) + (xy 133.6024 123.2) + (xy 139.2476 123.2) + (xy 139.95 123.2) + (xy 139.95 122.6726) + (xy 140.25 122.6726) + (xy 140.25 123.2) + (xy 140.9524 123.2) + (xy 140.9524 123.05485) + (xy 140.952399 123.054843) + (xy 140.937011 122.957689) + (xy 140.877343 122.840585) + (xy 140.784414 122.747656) + (xy 140.667309 122.687988) + (xy 140.667311 122.687988) + (xy 140.570156 122.6726) + (xy 140.25 122.6726) + (xy 139.95 122.6726) + (xy 139.629843 122.6726) + (xy 139.532689 122.687988) + (xy 139.415585 122.747656) + (xy 139.322656 122.840585) + (xy 139.262988 122.957689) + (xy 139.2476 123.054843) + (xy 139.2476 123.2) + (xy 133.6024 123.2) + (xy 133.6024 123.1) + (xy 134.3726 123.1) + (xy 135.05 123.1) + (xy 135.05 122.6976) + (xy 135.35 122.6976) + (xy 135.35 123.1) + (xy 136.0274 123.1) + (xy 136.0274 123.016555) + (xy 136.027399 123.016545) + (xy 136.017419 122.948057) + (xy 135.965764 122.842394) + (xy 135.882605 122.759235) + (xy 135.776942 122.70758) + (xy 135.776943 122.70758) + (xy 135.708454 122.6976) + (xy 135.35 122.6976) + (xy 135.05 122.6976) + (xy 134.691545 122.6976) + (xy 134.623057 122.70758) + (xy 134.517394 122.759235) + (xy 134.434235 122.842394) + (xy 134.38258 122.948057) + (xy 134.3726 123.016545) + (xy 134.3726 123.1) + (xy 133.6024 123.1) + (xy 133.6024 123.05485) + (xy 133.602399 123.054843) + (xy 133.587011 122.957689) + (xy 133.527343 122.840585) + (xy 133.434414 122.747656) + (xy 133.317309 122.687988) + (xy 133.317311 122.687988) + (xy 133.220156 122.6726) + (xy 132.9 122.6726) + (xy 132.6 122.6726) + (xy 132.279843 122.6726) + (xy 132.182689 122.687988) + (xy 132.065585 122.747656) + (xy 131.972656 122.840585) + (xy 131.912988 122.957689) + (xy 131.8976 123.054843) + (xy 131.8976 123.2) + (xy 131.2024 123.2) + (xy 131.2024 123.05485) + (xy 131.202399 123.054843) + (xy 131.187011 122.957689) + (xy 131.127343 122.840585) + (xy 131.034414 122.747656) + (xy 130.917309 122.687988) + (xy 130.917311 122.687988) + (xy 130.820156 122.6726) + (xy 130.5 122.6726) + (xy 130.2 122.6726) + (xy 129.879843 122.6726) + (xy 129.782689 122.687988) + (xy 129.665585 122.747656) + (xy 129.572656 122.840585) + (xy 129.512988 122.957689) + (xy 129.4976 123.054843) + (xy 129.4976 123.2) + (xy 121.600003 123.2) + (xy 121.607922 123.15) + (xy 121.603826 123.124138) + (xy 121.6029 123.112374) + (xy 121.6029 122.94753) + (xy 121.620493 122.899192) + (xy 121.645072 122.879971) + (xy 121.677761 122.863992) + (xy 121.715019 122.826734) + (xy 121.766828 122.774926) + (xy 121.813448 122.753186) + (xy 121.820002 122.7529) + (xy 121.963812 122.7529) + (xy 121.963813 122.7529) + (xy 121.988722 122.744805) + (xy 122.000173 122.742055) + (xy 122.026055 122.737957) + (xy 122.049397 122.726063) + (xy 122.060284 122.721554) + (xy 122.085193 122.713461) + (xy 122.106378 122.698068) + (xy 122.11643 122.691907) + (xy 122.139771 122.680016) + (xy 122.230016 122.589771) + (xy 122.236201 122.583586) + (xy 122.236208 122.583576) + (xy 122.283576 122.536208) + (xy 122.283586 122.536201) + (xy 122.380014 122.439773) + (xy 122.380016 122.439771) + (xy 122.391907 122.41643) + (xy 122.398068 122.406378) + (xy 122.413461 122.385193) + (xy 122.421554 122.360284) + (xy 122.426063 122.349397) + (xy 122.437957 122.326055) + (xy 122.442055 122.300173) + (xy 122.444805 122.288722) + (xy 122.4529 122.263813) + (xy 122.4529 122.237624) + (xy 122.453826 122.225859) + (xy 122.457922 122.2) + (xy 122.457922 122.199999) + (xy 122.453826 122.174138) + (xy 122.4529 122.162374) + (xy 122.4529 122.136188) + (xy 122.4529 122.136187) + (xy 122.444804 122.111271) + (xy 122.442053 122.099812) + (xy 122.437957 122.073945) + (xy 122.437956 122.073943) + (xy 122.437956 122.073942) + (xy 122.426069 122.050613) + (xy 122.421552 122.039709) + (xy 122.413461 122.014808) + (xy 122.413461 122.014807) + (xy 122.398067 121.993619) + (xy 122.391906 121.983565) + (xy 122.380016 121.960229) + (xy 122.380015 121.960228) + (xy 122.380014 121.960226) + (xy 122.361499 121.941711) + (xy 122.353836 121.93274) + (xy 122.338444 121.911556) + (xy 122.317259 121.896164) + (xy 122.308291 121.888504) + (xy 122.289771 121.869984) + (xy 122.266432 121.858091) + (xy 122.256375 121.851928) + (xy 122.235196 121.836541) + (xy 122.235194 121.83654) + (xy 122.235193 121.836539) + (xy 122.210283 121.828444) + (xy 122.199388 121.823931) + (xy 122.176056 121.812043) + (xy 122.176058 121.812043) + (xy 122.150187 121.807945) + (xy 122.138721 121.805192) + (xy 122.113813 121.7971) + (xy 122.087625 121.7971) + (xy 122.075861 121.796174) + (xy 122.05 121.792078) + (xy 122.024139 121.796174) + (xy 122.012375 121.7971) + (xy 121.986182 121.7971) + (xy 121.961281 121.805191) + (xy 121.949813 121.807945) + (xy 121.923943 121.812043) + (xy 121.900606 121.823933) + (xy 121.889712 121.828445) + (xy 121.86481 121.836537) + (xy 121.864805 121.836539) + (xy 121.843623 121.851929) + (xy 121.833567 121.858091) + (xy 121.81023 121.869982) + (xy 121.805442 121.873462) + (xy 121.803644 121.870987) + (xy 121.76739 121.887807) + (xy 121.717729 121.874397) + (xy 121.707813 121.86606) + (xy 121.677761 121.836008) + (xy 121.568203 121.782449) + (xy 121.497182 121.7721) + (xy 121.497174 121.7721) + (xy 120.902826 121.7721) + (xy 120.902817 121.7721) + (xy 120.831797 121.782449) + (xy 120.722237 121.836009) + (xy 120.64219 121.916056) + (xy 120.59557 121.937795) + (xy 120.545883 121.924481) + (xy 120.535847 121.91606) + (xy 120.489771 121.869984) + (xy 120.466432 121.858091) + (xy 120.456375 121.851928) + (xy 120.435196 121.836541) + (xy 120.435194 121.83654) + (xy 120.435193 121.836539) + (xy 120.410283 121.828444) + (xy 120.399388 121.823931) + (xy 120.376056 121.812043) + (xy 120.376058 121.812043) + (xy 120.350187 121.807945) + (xy 120.338721 121.805192) + (xy 120.313813 121.7971) + (xy 120.287625 121.7971) + (xy 120.275861 121.796174) + (xy 120.25 121.792078) + (xy 120.224139 121.796174) + (xy 120.212375 121.7971) + (xy 120.186182 121.7971) + (xy 120.161281 121.805191) + (xy 120.149813 121.807945) + (xy 120.123941 121.812043) + (xy 120.123941 121.812044) + (xy 120.109221 121.819543) + (xy 120.058164 121.825808) + (xy 120.015025 121.797789) + (xy 120.001331 121.767207) + (xy 119.995513 121.737956) + (xy 119.988227 121.701323) + (xy 119.932331 121.617669) + (xy 119.848677 121.561773) + (xy 119.848675 121.561772) + (xy 119.774911 121.5471) + (xy 119.525088 121.5471) + (xy 119.525087 121.547101) + (xy 119.451326 121.561772) + (xy 119.451324 121.561772) + (xy 119.451323 121.561773) + (xy 119.368108 121.617376) + (xy 119.367669 121.617669) + (xy 119.311773 121.701323) + (xy 119.311772 121.701324) + (xy 119.2971 121.775088) + (xy 119.2971 122.18229) + (xy 119.296843 122.188501) + (xy 119.293441 122.229545) + (xy 119.294798 122.234901) + (xy 119.2971 122.253364) + (xy 119.2971 122.887086) + (xy 119.288904 122.921226) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 118.6223 123.1) + (xy 118.599925 123.077625) + (xy 118.578186 123.031005) + (xy 118.5779 123.024451) + (xy 118.5779 122.858895) + (xy 118.595493 122.810557) + (xy 118.611319 122.79637) + (xy 118.632331 122.782331) + (xy 118.688227 122.698677) + (xy 118.7029 122.624911) + (xy 118.702899 121.77509) + (xy 118.688227 121.701323) + (xy 118.632331 121.617669) + (xy 118.548677 121.561773) + (xy 118.548675 121.561772) + (xy 118.474911 121.5471) + (xy 118.225088 121.5471) + (xy 118.225087 121.547101) + (xy 118.151326 121.561772) + (xy 118.151324 121.561772) + (xy 118.151323 121.561773) + (xy 118.068108 121.617376) + (xy 118.067669 121.617669) + (xy 118.011773 121.701323) + (xy 118.011772 121.701324) + (xy 117.9971 121.775088) + (xy 117.9971 122.624911) + (xy 117.494876 122.624911) + (xy 117.530016 122.589771) + (xy 117.536201 122.583586) + (xy 117.536208 122.583576) + (xy 117.583576 122.536208) + (xy 117.583586 122.536201) + (xy 117.680014 122.439773) + (xy 117.680016 122.439771) + (xy 117.691907 122.41643) + (xy 117.698068 122.406378) + (xy 117.713461 122.385193) + (xy 117.721554 122.360284) + (xy 117.726063 122.349397) + (xy 117.737957 122.326055) + (xy 117.742055 122.300173) + (xy 117.744805 122.288722) + (xy 117.7529 122.263813) + (xy 117.7529 122.237624) + (xy 117.753826 122.225859) + (xy 117.757922 122.2) + (xy 117.757922 122.199999) + (xy 117.753826 122.174138) + (xy 117.7529 122.162374) + (xy 117.7529 122.136188) + (xy 117.7529 122.136187) + (xy 117.744804 122.111271) + (xy 117.742053 122.099812) + (xy 117.737957 122.073945) + (xy 117.737956 122.073943) + (xy 117.737956 122.073942) + (xy 117.726069 122.050613) + (xy 117.721552 122.039709) + (xy 117.713461 122.014808) + (xy 117.713461 122.014807) + (xy 117.698067 121.993619) + (xy 117.691906 121.983565) + (xy 117.680016 121.960229) + (xy 117.680015 121.960228) + (xy 117.680014 121.960226) + (xy 117.661499 121.941711) + (xy 117.653836 121.93274) + (xy 117.638444 121.911556) + (xy 117.617259 121.896164) + (xy 117.608291 121.888504) + (xy 117.589771 121.869984) + (xy 117.566432 121.858091) + (xy 117.556375 121.851928) + (xy 117.535196 121.836541) + (xy 117.535194 121.83654) + (xy 117.535193 121.836539) + (xy 117.510283 121.828444) + (xy 117.499388 121.823931) + (xy 117.476056 121.812043) + (xy 117.476058 121.812043) + (xy 117.450187 121.807945) + (xy 117.438721 121.805192) + (xy 117.413813 121.7971) + (xy 117.387625 121.7971) + (xy 117.375861 121.796174) + (xy 117.35 121.792078) + (xy 117.324139 121.796174) + (xy 117.312375 121.7971) + (xy 117.286182 121.7971) + (xy 117.261281 121.805191) + (xy 117.249813 121.807945) + (xy 117.223943 121.812043) + (xy 117.200606 121.823933) + (xy 117.189712 121.828445) + (xy 117.16481 121.836537) + (xy 117.164805 121.836539) + (xy 117.143623 121.851929) + (xy 117.133567 121.858091) + (xy 117.11023 121.869982) + (xy 117.105442 121.873462) + (xy 117.103644 121.870987) + (xy 117.06739 121.887807) + (xy 117.017729 121.874397) + (xy 117.007813 121.86606) + (xy 116.977761 121.836008) + (xy 116.868203 121.782449) + (xy 116.797182 121.7721) + (xy 116.797174 121.7721) + (xy 116.202826 121.7721) + (xy 116.202817 121.7721) + (xy 116.131797 121.782449) + (xy 116.022237 121.836009) + (xy 115.94219 121.916056) + (xy 115.89557 121.937795) + (xy 115.845883 121.924481) + (xy 115.835847 121.91606) + (xy 115.789771 121.869984) + (xy 115.766432 121.858091) + (xy 115.756375 121.851928) + (xy 115.735196 121.836541) + (xy 115.735194 121.83654) + (xy 115.735193 121.836539) + (xy 115.710283 121.828444) + (xy 115.699388 121.823931) + (xy 115.676056 121.812043) + (xy 115.676058 121.812043) + (xy 115.650187 121.807945) + (xy 115.638721 121.805192) + (xy 115.613813 121.7971) + (xy 115.587625 121.7971) + (xy 115.575861 121.796174) + (xy 115.55 121.792078) + (xy 115.524139 121.796174) + (xy 115.512375 121.7971) + (xy 115.486182 121.7971) + (xy 115.461281 121.805191) + (xy 115.449813 121.807944) + (xy 115.433612 121.810511) + (xy 115.383117 121.800699) + (xy 115.350742 121.760725) + (xy 115.348089 121.750908) + (xy 115.345513 121.737956) + (xy 115.338227 121.701323) + (xy 115.282331 121.617669) + (xy 115.198677 121.561773) + (xy 115.198675 121.561772) + (xy 115.124911 121.5471) + (xy 114.875088 121.5471) + (xy 114.875087 121.547101) + (xy 114.801326 121.561772) + (xy 114.801324 121.561772) + (xy 114.801323 121.561773) + (xy 114.718108 121.617376) + (xy 114.717669 121.617669) + (xy 114.661773 121.701323) + (xy 114.661772 121.701324) + (xy 114.6471 121.775088) + (xy 114.6471 122.18229) + (xy 114.646843 122.188501) + (xy 114.643441 122.229545) + (xy 114.644798 122.234901) + (xy 114.6471 122.253364) + (xy 114.6471 122.679638) + (xy 114.629507 122.727976) + (xy 114.606041 122.746641) + (xy 114.560229 122.769983) + (xy 114.469983 122.86023) + (xy 114.412043 122.973943) + (xy 114.392078 123.099999) + (xy 114.392078 123.1) + (xy 113.9723 123.1) + (xy 113.949925 123.077625) + (xy 113.928186 123.031005) + (xy 113.9279 123.024451) + (xy 113.9279 122.858895) + (xy 113.945493 122.810557) + (xy 113.961319 122.79637) + (xy 113.982331 122.782331) + (xy 114.038227 122.698677) + (xy 114.0529 122.624911) + (xy 114.052899 121.77509) + (xy 114.038227 121.701323) + (xy 113.982331 121.617669) + (xy 113.898677 121.561773) + (xy 113.898675 121.561772) + (xy 113.824911 121.5471) + (xy 113.575088 121.5471) + (xy 113.575087 121.547101) + (xy 113.501326 121.561772) + (xy 113.501324 121.561772) + (xy 113.501323 121.561773) + (xy 113.418108 121.617376) + (xy 113.417669 121.617669) + (xy 113.361773 121.701323) + (xy 113.361772 121.701324) + (xy 113.3471 121.775088) + (xy 113.3471 122.624911) + (xy 74.5229 122.624911) + (xy 74.5229 120.7) + (xy 75.972601 120.7) + (xy 75.972601 120.797142) + (xy 75.982934 120.868059) + (xy 76.036421 120.977469) + (xy 76.12253 121.063578) + (xy 76.231941 121.117065) + (xy 76.23194 121.117065) + (xy 76.302863 121.127399) + (xy 76.45 121.127399) + (xy 76.45 120.7) + (xy 76.75 120.7) + (xy 76.75 121.127399) + (xy 76.89713 121.127399) + (xy 76.897142 121.127398) + (xy 76.968059 121.117065) + (xy 77.077469 121.063578) + (xy 77.163578 120.977469) + (xy 77.217065 120.868058) + (xy 77.227399 120.797141) + (xy 77.2274 120.797131) + (xy 77.2274 120.7) + (xy 85.172601 120.7) + (xy 85.172601 120.797142) + (xy 85.182934 120.868059) + (xy 85.236421 120.977469) + (xy 85.32253 121.063578) + (xy 85.431941 121.117065) + (xy 85.43194 121.117065) + (xy 85.502863 121.127399) + (xy 85.65 121.127399) + (xy 85.65 120.7) + (xy 85.95 120.7) + (xy 85.95 121.127399) + (xy 86.09713 121.127399) + (xy 86.097142 121.127398) + (xy 86.168059 121.117065) + (xy 86.277469 121.063578) + (xy 86.363578 120.977469) + (xy 86.417065 120.868058) + (xy 86.427399 120.797141) + (xy 86.4274 120.797131) + (xy 86.4274 120.7) + (xy 94.372601 120.7) + (xy 94.372601 120.797142) + (xy 94.382934 120.868059) + (xy 94.436421 120.977469) + (xy 94.52253 121.063578) + (xy 94.631941 121.117065) + (xy 94.63194 121.117065) + (xy 94.702863 121.127399) + (xy 94.85 121.127399) + (xy 94.85 120.7) + (xy 95.15 120.7) + (xy 95.15 121.127399) + (xy 95.29713 121.127399) + (xy 95.297142 121.127398) + (xy 95.368059 121.117065) + (xy 95.477469 121.063578) + (xy 95.563578 120.977469) + (xy 95.617065 120.868058) + (xy 95.627399 120.797141) + (xy 95.6274 120.797131) + (xy 95.6274 120.7) + (xy 103.572601 120.7) + (xy 103.572601 120.797142) + (xy 103.582934 120.868059) + (xy 103.636421 120.977469) + (xy 103.72253 121.063578) + (xy 103.831941 121.117065) + (xy 103.83194 121.117065) + (xy 103.902863 121.127399) + (xy 104.05 121.127399) + (xy 104.05 120.7) + (xy 104.35 120.7) + (xy 104.35 121.127399) + (xy 104.49713 121.127399) + (xy 104.497142 121.127398) + (xy 104.568059 121.117065) + (xy 104.677469 121.063578) + (xy 104.763578 120.977469) + (xy 104.817065 120.868058) + (xy 104.827399 120.797141) + (xy 104.8274 120.797131) + (xy 104.8274 120.7) + (xy 104.35 120.7) + (xy 104.05 120.7) + (xy 103.572601 120.7) + (xy 95.6274 120.7) + (xy 95.15 120.7) + (xy 94.85 120.7) + (xy 94.372601 120.7) + (xy 86.4274 120.7) + (xy 85.95 120.7) + (xy 85.65 120.7) + (xy 85.172601 120.7) + (xy 77.2274 120.7) + (xy 76.75 120.7) + (xy 76.45 120.7) + (xy 75.972601 120.7) + (xy 74.5229 120.7) + (xy 74.5229 120.65) + (xy 113.347601 120.65) + (xy 113.347601 120.924861) + (xy 113.362244 120.998481) + (xy 113.41803 121.081969) + (xy 113.501518 121.137755) + (xy 113.55 121.147398) + (xy 113.55 120.65) + (xy 113.85 120.65) + (xy 113.85 121.147397) + (xy 113.898478 121.137756) + (xy 113.89848 121.137755) + (xy 113.983219 121.081133) + (xy 114.033184 121.068906) + (xy 114.066779 121.081133) + (xy 114.151518 121.137755) + (xy 114.2 121.147398) + (xy 114.2 121.147397) + (xy 114.5 121.147397) + (xy 114.548478 121.137756) + (xy 114.548483 121.137754) + (xy 114.63277 121.081435) + (xy 114.682735 121.069208) + (xy 114.716328 121.081435) + (xy 114.801319 121.138225) + (xy 114.801321 121.138226) + (xy 114.801323 121.138227) + (xy 114.875089 121.1529) + (xy 115.12491 121.152899) + (xy 115.124911 121.152899) + (xy 115.124911 121.152898) + (xy 115.198677 121.138227) + (xy 115.282331 121.082331) + (xy 115.337343 121) + (xy 115.872601 121) + (xy 115.872601 121.097142) + (xy 115.882934 121.168059) + (xy 115.936421 121.277469) + (xy 116.02253 121.363578) + (xy 116.131941 121.417065) + (xy 116.13194 121.417065) + (xy 116.202863 121.427399) + (xy 116.35 121.427399) + (xy 116.35 121) + (xy 116.65 121) + (xy 116.65 121.427399) + (xy 116.79713 121.427399) + (xy 116.797142 121.427398) + (xy 116.868059 121.417065) + (xy 116.977469 121.363578) + (xy 117.063578 121.277469) + (xy 117.117065 121.168058) + (xy 117.127399 121.097141) + (xy 117.1274 121.097131) + (xy 117.1274 121) + (xy 116.65 121) + (xy 116.35 121) + (xy 115.872601 121) + (xy 115.337343 121) + (xy 115.338227 120.998677) + (xy 115.3529 120.924911) + (xy 115.3529 120.7) + (xy 115.8726 120.7) + (xy 116.35 120.7) + (xy 116.35 120.2726) + (xy 116.65 120.2726) + (xy 116.65 120.7) + (xy 117.127399 120.7) + (xy 117.127399 120.65) + (xy 117.997601 120.65) + (xy 117.997601 120.924861) + (xy 118.012244 120.998481) + (xy 118.06803 121.081969) + (xy 118.151518 121.137755) + (xy 118.2 121.147398) + (xy 118.2 120.65) + (xy 118.5 120.65) + (xy 118.5 121.147397) + (xy 118.548478 121.137756) + (xy 118.54848 121.137755) + (xy 118.633219 121.081133) + (xy 118.683184 121.068906) + (xy 118.716779 121.081133) + (xy 118.801518 121.137755) + (xy 118.85 121.147398) + (xy 118.85 121.147397) + (xy 119.15 121.147397) + (xy 119.198478 121.137756) + (xy 119.198483 121.137754) + (xy 119.28277 121.081435) + (xy 119.332735 121.069208) + (xy 119.366328 121.081435) + (xy 119.451319 121.138225) + (xy 119.451321 121.138226) + (xy 119.451323 121.138227) + (xy 119.525089 121.1529) + (xy 119.77491 121.152899) + (xy 119.774911 121.152899) + (xy 119.774911 121.152898) + (xy 119.848677 121.138227) + (xy 119.932331 121.082331) + (xy 119.987343 121) + (xy 120.572601 121) + (xy 120.572601 121.097142) + (xy 120.582934 121.168059) + (xy 120.636421 121.277469) + (xy 120.72253 121.363578) + (xy 120.831941 121.417065) + (xy 120.83194 121.417065) + (xy 120.902863 121.427399) + (xy 121.05 121.427399) + (xy 121.05 121) + (xy 121.35 121) + (xy 121.35 121.427399) + (xy 121.49713 121.427399) + (xy 121.497142 121.427398) + (xy 121.568059 121.417065) + (xy 121.677469 121.363578) + (xy 121.763578 121.277469) + (xy 121.817065 121.168058) + (xy 121.827399 121.097141) + (xy 121.8274 121.097131) + (xy 121.8274 121) + (xy 121.35 121) + (xy 121.05 121) + (xy 120.572601 121) + (xy 119.987343 121) + (xy 119.988227 120.998677) + (xy 120.0029 120.924911) + (xy 120.0029 120.7) + (xy 120.5726 120.7) + (xy 121.05 120.7) + (xy 121.05 120.2726) + (xy 121.35 120.2726) + (xy 121.35 120.7) + (xy 121.827399 120.7) + (xy 121.827399 120.602869) + (xy 121.827398 120.602857) + (xy 121.817065 120.53194) + (xy 121.801452 120.500002) + (xy 126.042329 120.500002) + (xy 126.043637 120.509943) + (xy 126.044105 120.524882) + (xy 126.043202 120.538082) + (xy 126.043203 120.538087) + (xy 126.053932 120.589718) + (xy 126.054861 120.595201) + (xy 126.06133 120.644332) + (xy 126.061331 120.644337) + (xy 126.066549 120.656934) + (xy 126.070699 120.670406) + (xy 126.07404 120.686484) + (xy 126.074127 120.686899) + (xy 126.096744 120.730547) + (xy 126.09945 120.736365) + (xy 126.11704 120.778831) + (xy 126.117042 120.778834) + (xy 126.117043 120.778836) + (xy 126.121409 120.784526) + (xy 126.127539 120.792515) + (xy 126.134644 120.803692) + (xy 126.14405 120.821843) + (xy 126.144051 120.821844) + (xy 126.175163 120.855157) + (xy 126.179854 120.860693) + (xy 126.205667 120.894333) + (xy 126.222202 120.907021) + (xy 126.231378 120.915349) + (xy 126.247791 120.932923) + (xy 126.283684 120.954749) + (xy 126.290382 120.959337) + (xy 126.32116 120.982955) + (xy 126.321162 120.982956) + (xy 126.321164 120.982957) + (xy 126.330631 120.986878) + (xy 126.343745 120.992311) + (xy 126.354032 120.99753) + (xy 126.377653 121.011894) + (xy 126.414645 121.022258) + (xy 126.423136 121.025195) + (xy 126.455661 121.038668) + (xy 126.455664 121.038669) + (xy 126.483465 121.042328) + (xy 126.493924 121.044471) + (xy 126.524006 121.0529) + (xy 126.558829 121.0529) + (xy 126.568644 121.053543) + (xy 126.6 121.057671) + (xy 126.631356 121.053543) + (xy 126.641171 121.0529) + (xy 127.20943 121.0529) + (xy 127.242457 121.06054) + (xy 127.256797 121.067551) + (xy 127.308848 121.075135) + (xy 127.327817 121.077899) + (xy 127.327822 121.077899) + (xy 127.327826 121.0779) + (xy 127.327828 121.0779) + (xy 128.372172 121.0779) + (xy 128.372174 121.0779) + (xy 128.372178 121.077899) + (xy 128.372182 121.077899) + (xy 128.381151 121.076592) + (xy 128.443203 121.067551) + (xy 128.457542 121.06054) + (xy 128.49057 121.0529) + (xy 128.839285 121.0529) + (xy 128.841851 121.052943) + (xy 128.857832 121.053489) + (xy 128.90707 121.055172) + (xy 128.907072 121.055172) + (xy 128.907072 121.055171) + (xy 128.907073 121.055172) + (xy 128.949627 121.044801) + (xy 128.957178 121.043366) + (xy 129.000573 121.037402) + (xy 129.020976 121.028538) + (xy 129.033115 121.024456) + (xy 129.054741 121.019187) + (xy 129.092927 120.997714) + (xy 129.099807 120.994297) + (xy 129.139979 120.97685) + (xy 129.148684 120.969767) + (xy 129.196142 120.9529) + (xy 129.274006 120.9529) + (xy 129.317796 120.9529) + (xy 129.325485 120.953293) + (xy 129.369054 120.957773) + (xy 129.369054 120.957772) + (xy 129.369056 120.957773) + (xy 129.390971 120.953994) + (xy 129.40375 120.9529) + (xy 129.584287 120.9529) + (xy 129.632625 120.970493) + (xy 129.637461 120.974926) + (xy 129.66529 121.002755) + (xy 129.782532 121.062492) + (xy 129.782534 121.062493) + (xy 129.879808 121.0779) + (xy 129.879809 121.0779) + (xy 129.882726 121.078362) + (xy 129.882483 121.079894) + (xy 129.924562 121.099027) + (xy 129.946747 121.145436) + (xy 129.9471 121.152709) + (xy 129.9471 121.312374) + (xy 129.946174 121.324138) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.015068 121.580123) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 130.756828 121.343095) + (xy 130.753826 121.324138) + (xy 130.7529 121.312374) + (xy 130.7529 121.152709) + (xy 130.770493 121.104371) + (xy 130.815042 121.078651) + (xy 130.817274 121.078368) + (xy 130.817274 121.078362) + (xy 130.820191 121.0779) + (xy 130.820192 121.0779) + (xy 130.917466 121.062493) + (xy 131.03471 121.002755) + (xy 131.062539 120.974926) + (xy 131.109159 120.953186) + (xy 131.115713 120.9529) + (xy 131.339285 120.9529) + (xy 131.341851 120.952943) + (xy 131.352098 120.953294) + (xy 131.407071 120.955172) + (xy 131.407072 120.955172) + (xy 131.407072 120.955171) + (xy 131.407073 120.955172) + (xy 131.407623 120.955038) + (xy 131.425428 120.9529) + (xy 131.674006 120.9529) + (xy 131.717796 120.9529) + (xy 131.725485 120.953293) + (xy 131.769054 120.957773) + (xy 131.769054 120.957772) + (xy 131.769056 120.957773) + (xy 131.790971 120.953994) + (xy 131.80375 120.9529) + (xy 131.984287 120.9529) + (xy 132.032625 120.970493) + (xy 132.037461 120.974926) + (xy 132.06529 121.002755) + (xy 132.182532 121.062492) + (xy 132.182534 121.062493) + (xy 132.279808 121.0779) + (xy 132.279809 121.0779) + (xy 132.282726 121.078362) + (xy 132.282483 121.079894) + (xy 132.324562 121.099027) + (xy 132.346747 121.145436) + (xy 132.3471 121.152709) + (xy 132.3471 121.312374) + (xy 132.346174 121.324138) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.415068 121.580123) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.156828 121.343095) + (xy 133.153826 121.324138) + (xy 133.1529 121.312374) + (xy 133.1529 121.152709) + (xy 133.170493 121.104371) + (xy 133.215042 121.078651) + (xy 133.217274 121.078368) + (xy 133.217274 121.078362) + (xy 133.220191 121.0779) + (xy 133.220192 121.0779) + (xy 133.317466 121.062493) + (xy 133.43471 121.002755) + (xy 133.462539 120.974926) + (xy 133.509159 120.953186) + (xy 133.515713 120.9529) + (xy 133.958829 120.9529) + (xy 133.968644 120.953543) + (xy 134 120.957671) + (xy 134.032217 120.953429) + (xy 134.036889 120.952963) + (xy 134.037796 120.9529) + (xy 134.037818 120.9529) + (xy 134.073659 120.947973) + (xy 134.144336 120.938669) + (xy 134.144339 120.938667) + (xy 134.145696 120.938489) + (xy 134.149255 120.93777) + (xy 134.150571 120.937402) + (xy 134.150573 120.937402) + (xy 134.215416 120.909236) + (xy 134.216443 120.9088) + (xy 134.278836 120.882957) + (xy 134.278842 120.882951) + (xy 134.283107 120.880491) + (xy 134.283363 120.880935) + (xy 134.28563 120.879597) + (xy 134.285585 120.879522) + (xy 134.289974 120.876851) + (xy 134.289979 120.87685) + (xy 134.292768 120.87458) + (xy 134.298686 120.869767) + (xy 134.346144 120.8529) + (xy 134.547293 120.8529) + (xy 134.579073 120.859945) + (xy 134.604609 120.871853) + (xy 134.65054 120.8779) + (xy 134.6719 120.8779) + (xy 134.720238 120.895493) + (xy 134.745958 120.940042) + (xy 134.7471 120.9531) + (xy 134.7471 121.112228) + (xy 134.746335 121.122929) + (xy 134.742443 121.15) + (xy 134.746493 121.178172) + (xy 134.747048 121.183251) + (xy 134.7471 121.183948) + (xy 134.75189 121.215726) + (xy 134.751964 121.21623) + (xy 134.761227 121.280646) + (xy 134.76183 121.283374) + (xy 134.762334 121.285008) + (xy 134.789639 121.341709) + (xy 134.79029 121.343095) + (xy 134.815079 121.397374) + (xy 134.817989 121.401901) + (xy 134.817399 121.402279) + (xy 134.818197 121.40348) + (xy 134.81839 121.403349) + (xy 134.821563 121.408003) + (xy 134.821568 121.408008) + (xy 134.821569 121.40801) + (xy 134.86194 121.451519) + (xy 134.863591 121.45336) + (xy 134.88956 121.48333) + (xy 134.900366 121.495801) + (xy 134.904428 121.499321) + (xy 134.904351 121.499409) + (xy 134.913423 121.507004) + (xy 134.914432 121.508091) + (xy 134.937251 121.521265) + (xy 134.962671 121.535941) + (xy 134.965728 121.537805) + (xy 134.969186 121.540027) + (xy 135.009924 121.566208) + (xy 135.011818 121.566764) + (xy 135.028224 121.573789) + (xy 135.032668 121.576355) + (xy 135.03267 121.576355) + (xy 135.032671 121.576356) + (xy 135.049177 121.580123) + (xy 135.083478 121.587951) + (xy 135.08791 121.589107) + (xy 135.134883 121.6029) + (xy 135.140495 121.6029) + (xy 135.157229 121.604786) + (xy 135.165766 121.606734) + (xy 135.16577 121.606735) + (xy 135.165771 121.606734) + (xy 135.165772 121.606735) + (xy 135.214148 121.60311) + (xy 135.219767 121.6029) + (xy 135.265114 121.6029) + (xy 135.265117 121.6029) + (xy 135.273988 121.600294) + (xy 135.289552 121.597458) + (xy 135.301918 121.596533) + (xy 135.343753 121.580112) + (xy 135.350017 121.57797) + (xy 135.390071 121.56621) + (xy 135.390072 121.566209) + (xy 135.390076 121.566208) + (xy 135.400912 121.559243) + (xy 135.414083 121.55251) + (xy 135.429008 121.546653) + (xy 135.461318 121.520886) + (xy 135.467534 121.516429) + (xy 135.475692 121.511186) + (xy 135.499636 121.495799) + (xy 135.510444 121.483324) + (xy 135.520391 121.473777) + (xy 135.535749 121.46153) + (xy 135.539985 121.455317) + (xy 135.556993 121.43037) + (xy 135.562291 121.42349) + (xy 135.567859 121.417065) + (xy 135.580671 121.402279) + (xy 135.584918 121.397378) + (xy 135.584918 121.397377) + (xy 135.584921 121.397374) + (xy 135.593279 121.379069) + (xy 135.599543 121.36796) + (xy 135.612658 121.348726) + (xy 135.622713 121.316124) + (xy 135.626157 121.30708) + (xy 135.639023 121.278909) + (xy 135.642401 121.255407) + (xy 135.644973 121.24396) + (xy 135.6529 121.218264) + (xy 135.6529 121.187771) + (xy 135.653665 121.17707) + (xy 135.65496 121.168059) + (xy 135.657557 121.15) + (xy 135.653665 121.122929) + (xy 135.6529 121.112228) + (xy 135.6529 120.9531) + (xy 135.670493 120.904762) + (xy 135.715042 120.879042) + (xy 135.7281 120.8779) + (xy 135.749458 120.8779) + (xy 135.74946 120.8779) + (xy 135.795391 120.871853) + (xy 135.896197 120.824847) + (xy 135.974847 120.746197) + (xy 136.021853 120.645391) + (xy 136.0279 120.59946) + (xy 136.4721 120.59946) + (xy 136.472549 120.602869) + (xy 136.478147 120.645391) + (xy 136.525151 120.746194) + (xy 136.525156 120.746201) + (xy 136.603798 120.824843) + (xy 136.603801 120.824845) + (xy 136.603803 120.824847) + (xy 136.704609 120.871853) + (xy 136.75054 120.8779) + (xy 136.7719 120.8779) + (xy 136.820238 120.895493) + (xy 136.845958 120.940042) + (xy 136.8471 120.9531) + (xy 136.8471 121.112228) + (xy 136.846335 121.122929) + (xy 136.842443 121.15) + (xy 136.846493 121.178172) + (xy 136.847048 121.183251) + (xy 136.8471 121.183948) + (xy 136.85189 121.215726) + (xy 136.851964 121.21623) + (xy 136.861227 121.280646) + (xy 136.86183 121.283374) + (xy 136.862334 121.285008) + (xy 136.889639 121.341709) + (xy 136.89029 121.343095) + (xy 136.915079 121.397374) + (xy 136.917989 121.401901) + (xy 136.917399 121.402279) + (xy 136.918197 121.40348) + (xy 136.91839 121.403349) + (xy 136.921563 121.408003) + (xy 136.921568 121.408008) + (xy 136.921569 121.40801) + (xy 136.96194 121.451519) + (xy 136.963591 121.45336) + (xy 136.98956 121.48333) + (xy 137.000366 121.495801) + (xy 137.004428 121.499321) + (xy 137.004351 121.499409) + (xy 137.013423 121.507004) + (xy 137.014432 121.508091) + (xy 137.037251 121.521265) + (xy 137.062671 121.535941) + (xy 137.065728 121.537805) + (xy 137.069186 121.540027) + (xy 137.109924 121.566208) + (xy 137.111818 121.566764) + (xy 137.128224 121.573789) + (xy 137.132668 121.576355) + (xy 137.13267 121.576355) + (xy 137.132671 121.576356) + (xy 137.149177 121.580123) + (xy 137.183478 121.587951) + (xy 137.18791 121.589107) + (xy 137.234883 121.6029) + (xy 137.240495 121.6029) + (xy 137.257229 121.604786) + (xy 137.265766 121.606734) + (xy 137.26577 121.606735) + (xy 137.265771 121.606734) + (xy 137.265772 121.606735) + (xy 137.314148 121.60311) + (xy 137.319767 121.6029) + (xy 137.365114 121.6029) + (xy 137.365117 121.6029) + (xy 137.373988 121.600294) + (xy 137.389552 121.597458) + (xy 137.401918 121.596533) + (xy 137.443753 121.580112) + (xy 137.450017 121.57797) + (xy 137.490071 121.56621) + (xy 137.490072 121.566209) + (xy 137.490076 121.566208) + (xy 137.500912 121.559243) + (xy 137.514083 121.55251) + (xy 137.529008 121.546653) + (xy 137.561318 121.520886) + (xy 137.567534 121.516429) + (xy 137.575692 121.511186) + (xy 137.599636 121.495799) + (xy 137.610444 121.483324) + (xy 137.620391 121.473777) + (xy 137.635749 121.46153) + (xy 137.639985 121.455317) + (xy 137.656993 121.43037) + (xy 137.662291 121.42349) + (xy 137.667859 121.417065) + (xy 137.680671 121.402279) + (xy 137.684918 121.397378) + (xy 137.684918 121.397377) + (xy 137.684921 121.397374) + (xy 137.693279 121.379069) + (xy 137.699543 121.36796) + (xy 137.712658 121.348726) + (xy 137.722713 121.316124) + (xy 137.726157 121.30708) + (xy 137.739023 121.278909) + (xy 137.742401 121.255407) + (xy 137.744973 121.24396) + (xy 137.7529 121.218264) + (xy 137.7529 121.187771) + (xy 137.753665 121.17707) + (xy 137.75496 121.168059) + (xy 137.757557 121.15) + (xy 137.753665 121.122929) + (xy 137.7529 121.112228) + (xy 137.7529 120.9531) + (xy 137.770493 120.904762) + (xy 137.815042 120.879042) + (xy 137.8281 120.8779) + (xy 137.849458 120.8779) + (xy 137.84946 120.8779) + (xy 137.895391 120.871853) + (xy 137.920926 120.859945) + (xy 137.952707 120.8529) + (xy 138.059572 120.8529) + (xy 138.098645 120.863848) + (xy 138.172527 120.908777) + (xy 138.177655 120.911895) + (xy 138.324004 120.9529) + (xy 138.324006 120.9529) + (xy 139.334287 120.9529) + (xy 139.382625 120.970493) + (xy 139.387461 120.974926) + (xy 139.41529 121.002755) + (xy 139.532532 121.062492) + (xy 139.532534 121.062493) + (xy 139.629808 121.0779) + (xy 139.629812 121.0779) + (xy 140.570188 121.0779) + (xy 140.570192 121.0779) + (xy 140.667466 121.062493) + (xy 140.78471 121.002755) + (xy 140.812539 120.974926) + (xy 140.859159 120.953186) + (xy 140.865713 120.9529) + (xy 141.089285 120.9529) + (xy 141.091851 120.952943) + (xy 141.102098 120.953294) + (xy 141.15707 120.955172) + (xy 141.157072 120.955172) + (xy 141.157072 120.955171) + (xy 141.157073 120.955172) + (xy 141.199627 120.944801) + (xy 141.207178 120.943366) + (xy 141.250573 120.937402) + (xy 141.270976 120.928538) + (xy 141.283115 120.924456) + (xy 141.304741 120.919187) + (xy 141.342927 120.897714) + (xy 141.349807 120.894297) + (xy 141.389979 120.87685) + (xy 141.407241 120.862805) + (xy 141.417833 120.855596) + (xy 141.437223 120.844695) + (xy 141.468195 120.813722) + (xy 141.473905 120.80857) + (xy 141.507874 120.780936) + (xy 141.507875 120.780934) + (xy 141.507878 120.780932) + (xy 141.520713 120.762746) + (xy 141.528965 120.752951) + (xy 141.711848 120.570068) + (xy 141.719226 120.563597) + (xy 141.744333 120.544333) + (xy 141.764125 120.518537) + (xy 141.767117 120.514885) + (xy 141.76769 120.514227) + (xy 141.7677 120.514218) + (xy 141.789539 120.485418) + (xy 141.832957 120.428836) + (xy 141.832959 120.42883) + (xy 141.83379 120.427748) + (xy 141.835807 120.424711) + (xy 141.83647 120.423532) + (xy 141.836472 120.42353) + (xy 141.862445 120.357663) + (xy 141.86281 120.356762) + (xy 141.888669 120.294336) + (xy 141.88867 120.294331) + (xy 141.889946 120.289572) + (xy 141.890432 120.289702) + (xy 141.89109 120.287158) + (xy 141.891012 120.287139) + (xy 141.892229 120.282142) + (xy 141.892229 120.28214) + (xy 141.89223 120.282138) + (xy 141.899093 120.215372) + (xy 141.899336 120.213306) + (xy 141.907671 120.150003) + (xy 141.907671 120.145069) + (xy 141.907698 120.145069) + (xy 141.90753 120.133308) + (xy 141.907773 120.130947) + (xy 141.907772 120.130945) + (xy 141.907773 120.130942) + (xy 141.896987 120.068387) + (xy 141.896536 120.065425) + (xy 141.888669 120.005664) + (xy 141.887598 120.003078) + (xy 141.882967 119.987077) + (xy 141.882934 119.986887) + (xy 141.881948 119.981167) + (xy 141.86544 119.946457) + (xy 141.856223 119.927075) + (xy 141.854658 119.923556) + (xy 141.85196 119.917043) + (xy 141.832957 119.871165) + (xy 141.832955 119.871162) + (xy 141.829052 119.866075) + (xy 141.8208 119.852592) + (xy 141.820084 119.851087) + (xy 141.816671 119.84391) + (xy 141.788962 119.812132) + (xy 141.779656 119.801459) + (xy 141.776675 119.797816) + (xy 141.752303 119.766054) + (xy 141.744333 119.755667) + (xy 141.744332 119.755666) + (xy 141.736375 119.74956) + (xy 141.725474 119.73932) + (xy 141.716786 119.729355) + (xy 141.716782 119.729352) + (xy 141.672683 119.700428) + (xy 141.668148 119.697208) + (xy 141.628837 119.667044) + (xy 141.628836 119.667043) + (xy 141.625372 119.665608) + (xy 141.616231 119.661821) + (xy 141.603772 119.65523) + (xy 141.595825 119.650018) + (xy 141.589693 119.645996) + (xy 141.542828 119.631121) + (xy 141.53682 119.628928) + (xy 141.494334 119.61133) + (xy 141.477236 119.609079) + (xy 141.464305 119.6062) + (xy 141.446694 119.600611) + (xy 141.444826 119.600018) + (xy 141.44427 119.599999) + (xy 141.399278 119.598461) + (xy 141.392034 119.597862) + (xy 141.35 119.592329) + (xy 141.349998 119.592329) + (xy 141.32933 119.595049) + (xy 141.316951 119.595648) + (xy 141.292929 119.594827) + (xy 141.29292 119.594828) + (xy 141.25212 119.60477) + (xy 141.244137 119.606264) + (xy 141.205667 119.61133) + (xy 141.205663 119.611331) + (xy 141.183078 119.620685) + (xy 141.172115 119.624267) + (xy 141.147963 119.630154) + (xy 141.145259 119.630813) + (xy 141.111764 119.649644) + (xy 141.103693 119.653567) + (xy 141.071163 119.667043) + (xy 141.048927 119.684104) + (xy 141.040014 119.689987) + (xy 141.012779 119.705303) + (xy 141.012768 119.705311) + (xy 140.988152 119.729927) + (xy 140.98076 119.73641) + (xy 140.955668 119.755665) + (xy 140.936413 119.780757) + (xy 140.929931 119.788148) + (xy 140.905948 119.812132) + (xy 140.859328 119.833873) + (xy 140.80964 119.82056) + (xy 140.799598 119.812133) + (xy 140.78471 119.797245) + (xy 140.667466 119.737507) + (xy 140.667468 119.737507) + (xy 140.570194 119.7221) + (xy 140.570192 119.7221) + (xy 139.629808 119.7221) + (xy 139.629805 119.7221) + (xy 139.532532 119.737507) + (xy 139.415289 119.797245) + (xy 139.387461 119.825074) + (xy 139.340841 119.846814) + (xy 139.334287 119.8471) + (xy 138.362182 119.8471) + (xy 138.290359 119.856972) + (xy 138.249424 119.862598) + (xy 138.172934 119.895822) + (xy 138.121589 119.898942) + (xy 138.08025 119.868328) + (xy 138.068262 119.818305) + (xy 138.074821 119.795065) + (xy 138.121362 119.69526) + (xy 138.121363 119.695257) + (xy 138.1274 119.6494) + (xy 138.1274 119.6) + (xy 136.4726 119.6) + (xy 136.4726 119.6494) + (xy 136.478636 119.695257) + (xy 136.478638 119.695263) + (xy 136.525567 119.795902) + (xy 136.525573 119.79591) + (xy 136.601135 119.871472) + (xy 136.622875 119.918092) + (xy 136.609561 119.967779) + (xy 136.601135 119.97782) + (xy 136.525156 120.053798) + (xy 136.525151 120.053805) + (xy 136.478147 120.154608) + (xy 136.478147 120.154609) + (xy 136.4721 120.20054) + (xy 136.4721 120.59946) + (xy 136.0279 120.59946) + (xy 136.0279 120.20054) + (xy 136.021853 120.154609) + (xy 135.974847 120.053803) + (xy 135.974845 120.053801) + (xy 135.974843 120.053798) + (xy 135.896201 119.975156) + (xy 135.896194 119.975151) + (xy 135.795391 119.928147) + (xy 135.787248 119.927075) + (xy 135.74946 119.9221) + (xy 134.65054 119.9221) + (xy 134.642565 119.92315) + (xy 134.60461 119.928146) + (xy 134.604607 119.928147) + (xy 134.586001 119.936823) + (xy 134.579073 119.940054) + (xy 134.547293 119.9471) + (xy 134.343534 119.9471) + (xy 134.297756 119.931561) + (xy 134.293307 119.928147) + (xy 134.278836 119.917043) + (xy 134.278834 119.917042) + (xy 134.278829 119.917039) + (xy 134.256257 119.907689) + (xy 134.245968 119.902469) + (xy 134.222345 119.888105) + (xy 134.222342 119.888103) + (xy 134.185361 119.877742) + (xy 134.176874 119.874808) + (xy 134.144334 119.86133) + (xy 134.116538 119.85767) + (xy 134.106071 119.855526) + (xy 134.075994 119.8471) + (xy 134.041171 119.8471) + (xy 134.031356 119.846457) + (xy 134 119.842329) + (xy 133.968644 119.846457) + (xy 133.958829 119.8471) + (xy 133.515713 119.8471) + (xy 133.467375 119.829507) + (xy 133.462539 119.825074) + (xy 133.43471 119.797245) + (xy 133.317466 119.737507) + (xy 133.317468 119.737507) + (xy 133.220194 119.7221) + (xy 133.220192 119.7221) + (xy 132.279808 119.7221) + (xy 132.279805 119.7221) + (xy 132.182532 119.737507) + (xy 132.06529 119.797244) + (xy 132.053773 119.808762) + (xy 132.007152 119.8305) + (xy 131.957465 119.817185) + (xy 131.947426 119.80876) + (xy 131.944336 119.80567) + (xy 131.944334 119.805668) + (xy 131.944333 119.805667) + (xy 131.936372 119.799558) + (xy 131.925477 119.789324) + (xy 131.916784 119.779354) + (xy 131.880671 119.755667) + (xy 131.872669 119.750418) + (xy 131.868137 119.747199) + (xy 131.84488 119.729354) + (xy 131.828836 119.717043) + (xy 131.828834 119.717042) + (xy 131.827785 119.716237) + (xy 131.824691 119.714182) + (xy 131.823531 119.71353) + (xy 131.823529 119.713528) + (xy 131.812902 119.709337) + (xy 131.79925 119.702262) + (xy 131.789699 119.695998) + (xy 131.789696 119.695996) + (xy 131.789694 119.695995) + (xy 131.789693 119.695994) + (xy 131.78969 119.695993) + (xy 131.742831 119.681121) + (xy 131.736807 119.678923) + (xy 131.694336 119.661331) + (xy 131.694334 119.66133) + (xy 131.689582 119.660057) + (xy 131.68971 119.659578) + (xy 131.68715 119.658914) + (xy 131.687133 119.658987) + (xy 131.68214 119.65777) + (xy 131.670768 119.656601) + (xy 131.655713 119.653472) + (xy 131.644827 119.650017) + (xy 131.633454 119.649628) + (xy 131.599272 119.64846) + (xy 131.592027 119.647861) + (xy 131.550001 119.642329) + (xy 131.545076 119.642329) + (xy 131.545076 119.642304) + (xy 131.533323 119.642471) + (xy 131.530944 119.642226) + (xy 131.519682 119.644168) + (xy 131.504343 119.645217) + (xy 131.492931 119.644827) + (xy 131.492922 119.644828) + (xy 131.452123 119.65477) + (xy 131.444139 119.656264) + (xy 131.405667 119.66133) + (xy 131.405657 119.661333) + (xy 131.403069 119.662405) + (xy 131.387087 119.66703) + (xy 131.381171 119.66805) + (xy 131.381165 119.668052) + (xy 131.370844 119.67296) + (xy 131.356365 119.678106) + (xy 131.347976 119.680151) + (xy 131.345256 119.680814) + (xy 131.311771 119.699642) + (xy 131.303695 119.703567) + (xy 131.299502 119.705305) + (xy 131.27116 119.717045) + (xy 131.271158 119.717046) + (xy 131.266065 119.720954) + (xy 131.2526 119.729195) + (xy 131.24391 119.733328) + (xy 131.243908 119.733329) + (xy 131.235296 119.740839) + (xy 131.222734 119.749706) + (xy 131.21278 119.755303) + (xy 131.21277 119.75531) + (xy 131.188156 119.779924) + (xy 131.180764 119.786408) + (xy 131.155662 119.80567) + (xy 131.152573 119.80876) + (xy 131.105953 119.8305) + (xy 131.056266 119.817186) + (xy 131.046225 119.80876) + (xy 131.03471 119.797245) + (xy 130.917466 119.737507) + (xy 130.917468 119.737507) + (xy 130.820194 119.7221) + (xy 130.820192 119.7221) + (xy 129.879808 119.7221) + (xy 129.879805 119.7221) + (xy 129.782532 119.737507) + (xy 129.66529 119.797244) + (xy 129.653773 119.808762) + (xy 129.607152 119.8305) + (xy 129.557465 119.817185) + (xy 129.547426 119.80876) + (xy 129.544336 119.80567) + (xy 129.544334 119.805668) + (xy 129.544333 119.805667) + (xy 129.536372 119.799558) + (xy 129.525477 119.789324) + (xy 129.516784 119.779354) + (xy 129.516783 119.779353) + (xy 129.472674 119.750422) + (xy 129.46814 119.747201) + (xy 129.427794 119.716243) + (xy 129.424691 119.714182) + (xy 129.423526 119.713526) + (xy 129.4129 119.709336) + (xy 129.399247 119.702261) + (xy 129.389699 119.695999) + (xy 129.389692 119.695995) + (xy 129.342838 119.681124) + (xy 129.336811 119.678924) + (xy 129.294338 119.661331) + (xy 129.289582 119.660057) + (xy 129.28971 119.659578) + (xy 129.28715 119.658914) + (xy 129.287133 119.658987) + (xy 129.28214 119.65777) + (xy 129.270768 119.656601) + (xy 129.255713 119.653472) + (xy 129.244827 119.650017) + (xy 129.233454 119.649628) + (xy 129.199272 119.64846) + (xy 129.192027 119.647861) + (xy 129.150001 119.642329) + (xy 129.145076 119.642329) + (xy 129.145076 119.642304) + (xy 129.133323 119.642471) + (xy 129.130944 119.642226) + (xy 129.119682 119.644168) + (xy 129.104343 119.645217) + (xy 129.092931 119.644827) + (xy 129.092921 119.644828) + (xy 129.052122 119.65477) + (xy 129.044138 119.656264) + (xy 129.005668 119.66133) + (xy 129.00566 119.661332) + (xy 129.003073 119.662404) + (xy 128.987086 119.667031) + (xy 128.981166 119.668052) + (xy 128.970851 119.672957) + (xy 128.956367 119.678105) + (xy 128.945261 119.680812) + (xy 128.94526 119.680812) + (xy 128.911764 119.699644) + (xy 128.903693 119.703567) + (xy 128.871161 119.717044) + (xy 128.871157 119.717046) + (xy 128.866064 119.720954) + (xy 128.8526 119.729194) + (xy 128.843915 119.733325) + (xy 128.843907 119.73333) + (xy 128.835295 119.740839) + (xy 128.822741 119.749701) + (xy 128.812779 119.755303) + (xy 128.812768 119.755311) + (xy 128.788152 119.779927) + (xy 128.78076 119.78641) + (xy 128.755667 119.805665) + (xy 128.749559 119.813625) + (xy 128.73933 119.824516) + (xy 128.729352 119.833217) + (xy 128.72935 119.833219) + (xy 128.723084 119.842772) + (xy 128.713382 119.854697) + (xy 128.643007 119.925074) + (xy 128.596387 119.946814) + (xy 128.589832 119.9471) + (xy 128.49057 119.9471) + (xy 128.457542 119.939459) + (xy 128.443203 119.932449) + (xy 128.372182 119.9221) + (xy 128.372174 119.9221) + (xy 127.327826 119.9221) + (xy 127.327817 119.9221) + (xy 127.256797 119.932449) + (xy 127.243383 119.939007) + (xy 127.242458 119.939459) + (xy 127.20943 119.9471) + (xy 126.641171 119.9471) + (xy 126.631356 119.946457) + (xy 126.629053 119.946153) + (xy 126.6 119.942329) + (xy 126.599999 119.942329) + (xy 126.599998 119.942329) + (xy 126.567792 119.946568) + (xy 126.563137 119.947034) + (xy 126.56219 119.947099) + (xy 126.562183 119.9471) + (xy 126.562182 119.9471) + (xy 126.559491 119.947469) + (xy 126.526564 119.951994) + (xy 126.526145 119.95205) + (xy 126.454352 119.961503) + (xy 126.45072 119.962236) + (xy 126.449429 119.962597) + (xy 126.449427 119.962598) + (xy 126.449424 119.962598) + (xy 126.449421 119.9626) + (xy 126.38461 119.990749) + (xy 126.383433 119.991249) + (xy 126.321163 120.017043) + (xy 126.316898 120.019506) + (xy 126.316652 120.019079) + (xy 126.31438 120.020414) + (xy 126.314418 120.020476) + (xy 126.31002 120.02315) + (xy 126.258011 120.065461) + (xy 126.256335 120.066785) + (xy 126.205666 120.105666) + (xy 126.202187 120.109146) + (xy 126.20217 120.109129) + (xy 126.193985 120.117551) + (xy 126.192125 120.119064) + (xy 126.19212 120.11907) + (xy 126.155508 120.170936) + (xy 126.153734 120.173345) + (xy 126.117046 120.221159) + (xy 126.117042 120.221167) + (xy 126.115969 120.223757) + (xy 126.107938 120.238329) + (xy 126.104474 120.243237) + (xy 126.104472 120.24324) + (xy 126.084409 120.299688) + (xy 126.083028 120.30328) + (xy 126.06133 120.355664) + (xy 126.060493 120.362024) + (xy 126.056797 120.377385) + (xy 126.053574 120.386452) + (xy 126.04973 120.442649) + (xy 126.049262 120.44733) + (xy 126.042329 120.5) + (xy 126.042329 120.500002) + (xy 121.801452 120.500002) + (xy 121.763578 120.42253) + (xy 121.677469 120.336421) + (xy 121.568058 120.282934) + (xy 121.568059 120.282934) + (xy 121.497141 120.2726) + (xy 121.35 120.2726) + (xy 121.05 120.2726) + (xy 120.90287 120.2726) + (xy 120.902857 120.272601) + (xy 120.83194 120.282934) + (xy 120.72253 120.336421) + (xy 120.636421 120.42253) + (xy 120.582934 120.531941) + (xy 120.5726 120.602858) + (xy 120.5726 120.7) + (xy 120.0029 120.7) + (xy 120.002899 120.07509) + (xy 119.988227 120.001323) + (xy 119.954514 119.950868) + (xy 119.942289 119.900905) + (xy 119.963868 119.855918) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.057583 119.597862) + (xy 120.051649 119.560396) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.523943 119.212043) + (xy 119.410228 119.269984) + (xy 119.319984 119.360228) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.276042 119.75353) + (xy 119.282311 119.804586) + (xy 119.254295 119.847727) + (xy 119.205102 119.862767) + (xy 119.194367 119.861425) + (xy 119.15 119.852599) + (xy 119.15 121.147397) + (xy 118.85 121.147397) + (xy 118.85 120.65) + (xy 118.5 120.65) + (xy 118.2 120.65) + (xy 117.997601 120.65) + (xy 117.127399 120.65) + (xy 117.127399 120.602869) + (xy 117.127398 120.602857) + (xy 117.117065 120.53194) + (xy 117.063578 120.42253) + (xy 116.991048 120.35) + (xy 117.9976 120.35) + (xy 118.2 120.35) + (xy 118.2 119.852601) + (xy 118.151518 119.862244) + (xy 118.06803 119.91803) + (xy 118.012244 120.001517) + (xy 118.012243 120.001519) + (xy 117.9976 120.075138) + (xy 117.9976 120.35) + (xy 116.991048 120.35) + (xy 116.977469 120.336421) + (xy 116.868058 120.282934) + (xy 116.868059 120.282934) + (xy 116.797141 120.2726) + (xy 116.65 120.2726) + (xy 116.35 120.2726) + (xy 116.20287 120.2726) + (xy 116.202857 120.272601) + (xy 116.13194 120.282934) + (xy 116.02253 120.336421) + (xy 115.936421 120.42253) + (xy 115.882934 120.531941) + (xy 115.8726 120.602858) + (xy 115.8726 120.7) + (xy 115.3529 120.7) + (xy 115.352899 120.07509) + (xy 115.338227 120.001323) + (xy 115.304514 119.950868) + (xy 115.292289 119.900905) + (xy 115.313868 119.855918) + (xy 115.317186 119.8526) + (xy 118.5 119.8526) + (xy 118.5 120.35) + (xy 118.85 120.35) + (xy 118.85 119.852601) + (xy 118.801518 119.862244) + (xy 118.71678 119.918866) + (xy 118.666814 119.931093) + (xy 118.63322 119.918866) + (xy 118.54848 119.862243) + (xy 118.5 119.8526) + (xy 115.317186 119.8526) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 115.407583 119.597862) + (xy 115.401649 119.560396) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.626042 119.75353) + (xy 114.632311 119.804586) + (xy 114.604295 119.847727) + (xy 114.555102 119.862767) + (xy 114.544367 119.861425) + (xy 114.5 119.852599) + (xy 114.5 121.147397) + (xy 114.2 121.147397) + (xy 114.2 120.65) + (xy 113.85 120.65) + (xy 113.55 120.65) + (xy 113.347601 120.65) + (xy 74.5229 120.65) + (xy 74.5229 120.4) + (xy 75.9726 120.4) + (xy 76.45 120.4) + (xy 76.45 119.9726) + (xy 76.75 119.9726) + (xy 76.75 120.4) + (xy 77.227399 120.4) + (xy 77.227399 120.302869) + (xy 77.227398 120.302857) + (xy 77.217065 120.23194) + (xy 77.163578 120.12253) + (xy 77.077469 120.036421) + (xy 76.968058 119.982934) + (xy 76.968059 119.982934) + (xy 76.897141 119.9726) + (xy 76.75 119.9726) + (xy 76.45 119.9726) + (xy 76.30287 119.9726) + (xy 76.302857 119.972601) + (xy 76.23194 119.982934) + (xy 76.12253 120.036421) + (xy 76.036421 120.12253) + (xy 75.982934 120.231941) + (xy 75.9726 120.302858) + (xy 75.9726 120.4) + (xy 74.5229 120.4) + (xy 74.5229 119.200004) + (xy 75.242443 119.200004) + (xy 75.244919 119.217233) + (xy 75.245632 119.230738) + (xy 75.244864 119.251282) + (xy 75.244864 119.251285) + (xy 75.25439 119.28684) + (xy 75.256186 119.295596) + (xy 75.260976 119.328909) + (xy 75.260977 119.328911) + (xy 75.269711 119.348036) + (xy 75.273942 119.359804) + (xy 75.277174 119.371864) + (xy 75.2802 119.383158) + (xy 75.297866 119.411272) + (xy 75.302597 119.420043) + (xy 75.315077 119.447371) + (xy 75.31508 119.447376) + (xy 75.331212 119.465993) + (xy 75.338048 119.475223) + (xy 75.352837 119.498758) + (xy 75.375268 119.518061) + (xy 75.383046 119.525813) + (xy 75.39604 119.540809) + (xy 75.400364 119.545799) + (xy 75.423078 119.560396) + (xy 75.424124 119.561068) + (xy 75.432514 119.567326) + (xy 75.45632 119.587813) + (xy 75.480136 119.598203) + (xy 75.490717 119.603865) + (xy 75.502335 119.611331) + (xy 75.509924 119.616208) + (xy 75.525171 119.620685) + (xy 75.540487 119.625183) + (xy 75.549371 119.62841) + (xy 75.581457 119.642409) + (xy 75.603676 119.644912) + (xy 75.616445 119.647486) + (xy 75.622964 119.6494) + (xy 75.634883 119.6529) + (xy 75.670346 119.6529) + (xy 75.678766 119.653373) + (xy 75.717125 119.657695) + (xy 75.735539 119.65421) + (xy 75.749519 119.6529) + (xy 75.765115 119.6529) + (xy 75.765117 119.6529) + (xy 75.802597 119.641894) + (xy 75.80979 119.640162) + (xy 75.851273 119.632314) + (xy 75.864643 119.625247) + (xy 75.878598 119.619577) + (xy 75.883173 119.618234) + (xy 75.890074 119.616209) + (xy 75.890076 119.616208) + (xy 75.925959 119.593146) + (xy 75.931463 119.589931) + (xy 75.971979 119.568519) + (xy 75.980103 119.560394) + (xy 75.992621 119.550306) + (xy 75.999636 119.545799) + (xy 75.999638 119.545797) + (xy 76.002658 119.54318) + (xy 76.050709 119.524819) + (xy 76.099321 119.54164) + (xy 76.105081 119.546835) + (xy 76.122235 119.563989) + (xy 76.122236 119.563989) + (xy 76.122239 119.563992) + (xy 76.231797 119.617551) + (xy 76.283848 119.625135) + (xy 76.302817 119.627899) + (xy 76.302822 119.627899) + (xy 76.302826 119.6279) + (xy 76.302828 119.6279) + (xy 76.897172 119.6279) + (xy 76.897174 119.6279) + (xy 76.897178 119.627899) + (xy 76.897182 119.627899) + (xy 76.906673 119.626516) + (xy 76.968203 119.617551) + (xy 77.077761 119.563992) + (xy 77.116827 119.524925) + (xy 77.163446 119.503186) + (xy 77.170001 119.5029) + (xy 77.50894 119.5029) + (xy 77.508943 119.5029) + (xy 77.610003 119.487668) + (xy 77.612507 119.486462) + (xy 77.614271 119.485613) + (xy 77.665455 119.48049) + (xy 77.707957 119.509466) + (xy 77.7221 119.553365) + (xy 77.7221 119.986152) + (xy 77.713905 120.020289) + (xy 77.712045 120.023937) + (xy 77.712042 120.023947) + (xy 77.692078 120.149999) + (xy 77.692078 120.15) + (xy 77.712043 120.276056) + (xy 77.760962 120.372065) + (xy 77.769984 120.389771) + (xy 77.860229 120.480016) + (xy 77.973943 120.537956) + (xy 77.973945 120.537957) + (xy 78.1 120.557922) + (xy 78.226055 120.537957) + (xy 78.339771 120.480016) + (xy 78.419787 120.4) + (xy 85.1726 120.4) + (xy 85.65 120.4) + (xy 85.65 119.9726) + (xy 85.95 119.9726) + (xy 85.95 120.4) + (xy 86.427399 120.4) + (xy 86.427399 120.302869) + (xy 86.427398 120.302857) + (xy 86.417065 120.23194) + (xy 86.363578 120.12253) + (xy 86.277469 120.036421) + (xy 86.168058 119.982934) + (xy 86.168059 119.982934) + (xy 86.097141 119.9726) + (xy 85.95 119.9726) + (xy 85.65 119.9726) + (xy 85.50287 119.9726) + (xy 85.502857 119.972601) + (xy 85.43194 119.982934) + (xy 85.32253 120.036421) + (xy 85.236421 120.12253) + (xy 85.182934 120.231941) + (xy 85.1726 120.302858) + (xy 85.1726 120.4) + (xy 78.419787 120.4) + (xy 78.430016 120.389771) + (xy 78.487957 120.276055) + (xy 78.507922 120.15) + (xy 78.505277 120.133303) + (xy 78.496065 120.075138) + (xy 78.487957 120.023945) + (xy 78.487955 120.023941) + (xy 78.486127 120.018314) + (xy 78.488479 120.017549) + (xy 78.483412 119.97627) + (xy 78.511428 119.933129) + (xy 78.56062 119.91809) + (xy 78.571354 119.919432) + (xy 78.6 119.925129) + (xy 78.6 118.174868) + (xy 78.599999 118.174868) + (xy 78.571355 118.180566) + (xy 78.520514 118.172741) + (xy 78.486598 118.134066) + (xy 78.485476 118.082638) + (xy 78.486963 118.079114) + (xy 78.487956 118.076057) + (xy 78.487956 118.076056) + (xy 78.487957 118.076055) + (xy 78.507922 117.95) + (xy 78.507374 117.946543) + (xy 78.499619 117.897577) + (xy 78.487957 117.823945) + (xy 78.430016 117.710229) + (xy 78.339771 117.619984) + (xy 78.226055 117.562043) + (xy 78.226057 117.562043) + (xy 78.1 117.542078) + (xy 77.973943 117.562043) + (xy 77.860228 117.619984) + (xy 77.769984 117.710228) + (xy 77.712043 117.823943) + (xy 77.692078 117.949999) + (xy 77.692078 117.95) + (xy 77.712043 118.076056) + (xy 77.713902 118.079704) + (xy 77.7221 118.113847) + (xy 77.7221 118.550371) + (xy 77.704507 118.598709) + (xy 77.659958 118.624429) + (xy 77.624735 118.62223) + (xy 77.543265 118.5971) + (xy 77.543264 118.5971) + (xy 77.170001 118.5971) + (xy 77.121663 118.579507) + (xy 77.116837 118.575084) + (xy 77.077761 118.536008) + (xy 77.07776 118.536007) + (xy 77.074925 118.533172) + (xy 77.053186 118.486551) + (xy 77.0529 118.479998) + (xy 77.0529 118.237771) + (xy 77.053665 118.22707) + (xy 77.054992 118.217837) + (xy 77.057557 118.2) + (xy 77.053504 118.171811) + (xy 77.05295 118.166728) + (xy 77.0529 118.166066) + (xy 77.0529 118.166057) + (xy 77.04805 118.133877) + (xy 77.039023 118.071091) + (xy 77.038771 118.069338) + (xy 77.038175 118.066644) + (xy 77.037668 118.065001) + (xy 77.037668 118.064997) + (xy 77.021994 118.032449) + (xy 77.010357 118.008284) + (xy 77.009706 118.006896) + (xy 76.999004 117.983462) + (xy 76.984921 117.952626) + (xy 76.984919 117.952624) + (xy 76.982012 117.9481) + (xy 76.982546 117.947756) + (xy 76.981752 117.946543) + (xy 76.981604 117.946645) + (xy 76.97843 117.941989) + (xy 76.938081 117.898504) + (xy 76.936392 117.89662) + (xy 76.899638 117.854203) + (xy 76.895574 117.850682) + (xy 76.895651 117.850592) + (xy 76.886574 117.842993) + (xy 76.88557 117.841911) + (xy 76.885569 117.84191) + (xy 76.885568 117.841909) + (xy 76.837322 117.814054) + (xy 76.834268 117.812192) + (xy 76.790079 117.783793) + (xy 76.790076 117.783792) + (xy 76.788179 117.783235) + (xy 76.771775 117.77621) + (xy 76.767332 117.773645) + (xy 76.76733 117.773644) + (xy 76.767329 117.773644) + (xy 76.716536 117.76205) + (xy 76.712087 117.76089) + (xy 76.665118 117.7471) + (xy 76.665117 117.7471) + (xy 76.659505 117.7471) + (xy 76.642771 117.745214) + (xy 76.634233 117.743265) + (xy 76.634229 117.743264) + (xy 76.585852 117.74689) + (xy 76.580233 117.7471) + (xy 76.534881 117.7471) + (xy 76.526011 117.749705) + (xy 76.510448 117.75254) + (xy 76.498083 117.753466) + (xy 76.456262 117.769879) + (xy 76.44998 117.772029) + (xy 76.409923 117.783792) + (xy 76.409921 117.783793) + (xy 76.399085 117.790756) + (xy 76.385914 117.797489) + (xy 76.370996 117.803344) + (xy 76.370993 117.803346) + (xy 76.338693 117.829103) + (xy 76.332468 117.833568) + (xy 76.322409 117.840033) + (xy 76.300364 117.854201) + (xy 76.300363 117.854201) + (xy 76.300363 117.854202) + (xy 76.28955 117.866679) + (xy 76.279612 117.876219) + (xy 76.26425 117.88847) + (xy 76.264247 117.888473) + (xy 76.243008 117.919625) + (xy 76.237711 117.926505) + (xy 76.215078 117.952627) + (xy 76.206719 117.970928) + (xy 76.200452 117.982043) + (xy 76.187344 118.00127) + (xy 76.187339 118.00128) + (xy 76.177287 118.033866) + (xy 76.173834 118.042935) + (xy 76.160978 118.071086) + (xy 76.160976 118.071094) + (xy 76.157598 118.094583) + (xy 76.155025 118.106038) + (xy 76.1471 118.131735) + (xy 76.1471 118.162228) + (xy 76.146335 118.172929) + (xy 76.142443 118.2) + (xy 76.146335 118.22707) + (xy 76.1471 118.237771) + (xy 76.1471 118.479998) + (xy 76.129507 118.528336) + (xy 76.125075 118.533172) + (xy 76.122239 118.536007) + (xy 76.122239 118.536008) + (xy 76.083172 118.575074) + (xy 76.036554 118.596814) + (xy 76.029999 118.5971) + (xy 75.879654 118.5971) + (xy 75.871234 118.596627) + (xy 75.832877 118.592305) + (xy 75.832868 118.592305) + (xy 75.775518 118.603156) + (xy 75.772748 118.603627) + (xy 75.74132 118.608364) + (xy 75.714997 118.612332) + (xy 75.714993 118.612333) + (xy 75.70961 118.613994) + (xy 75.709592 118.613938) + (xy 75.70403 118.615768) + (xy 75.70405 118.615823) + (xy 75.698725 118.617686) + (xy 75.647108 118.644966) + (xy 75.6446 118.646232) + (xy 75.591991 118.671567) + (xy 75.587331 118.674745) + (xy 75.587298 118.674697) + (xy 75.582519 118.678087) + (xy 75.582554 118.678134) + (xy 75.578024 118.681477) + (xy 75.536723 118.722777) + (xy 75.534701 118.724725) + (xy 75.491907 118.764434) + (xy 75.488396 118.768837) + (xy 75.48835 118.7688) + (xy 75.48059 118.778911) + (xy 75.419895 118.839605) + (xy 75.407385 118.849687) + (xy 75.400366 118.854199) + (xy 75.400362 118.854202) + (xy 75.370072 118.889158) + (xy 75.366419 118.893082) + (xy 75.35575 118.903751) + (xy 75.347859 118.914443) + (xy 75.344192 118.919025) + (xy 75.315078 118.952627) + (xy 75.315077 118.952628) + (xy 75.310859 118.961863) + (xy 75.302967 118.975268) + (xy 75.29506 118.985982) + (xy 75.28052 119.027532) + (xy 75.277947 119.033929) + (xy 75.260976 119.071093) + (xy 75.259015 119.084732) + (xy 75.255562 119.098862) + (xy 75.249967 119.114852) + (xy 75.248456 119.155233) + (xy 75.247744 119.16312) + (xy 75.242443 119.199998) + (xy 75.242443 119.200004) + (xy 74.5229 119.200004) + (xy 74.5229 115.196936) + (xy 74.523003 115.192999) + (xy 74.525123 115.152555) + (xy 74.525122 115.152554) + (xy 74.525123 115.152552) + (xy 74.516306 115.129586) + (xy 74.51296 115.118288) + (xy 74.507848 115.094234) + (xy 74.50283 115.087327) + (xy 74.493463 115.070075) + (xy 74.490403 115.062104) + (xy 74.490402 115.062102) + (xy 74.473018 115.044718) + (xy 74.465355 115.035747) + (xy 74.450901 115.015854) + (xy 74.443503 115.011582) + (xy 74.427933 114.999634) + (xy 71.179426 111.751126) + (xy 71.157686 111.704506) + (xy 71.1574 111.697952) + (xy 71.1574 109.505782) + (xy 71.174993 109.457444) + (xy 71.219542 109.431724) + (xy 71.220765 109.431519) + (xy 71.309555 109.417457) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.571457 109.155555) + (xy 71.591422 109.0295) + (xy 71.591383 109.029256) + (xy 71.585495 108.992078) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029498) + (xy 70.775578 109.029503) + (xy 70.7811 109.064372) + (xy 70.771284 109.114866) + (xy 70.757146 109.132017) + (xy 70.741005 109.14655) + (xy 70.731007 109.169009) + (xy 70.725377 109.179378) + (xy 70.711985 109.200001) + (xy 70.711983 109.200006) + (xy 70.710648 109.208435) + (xy 70.705074 109.227254) + (xy 70.7016 109.235057) + (xy 70.7016 109.259652) + (xy 70.700674 109.271416) + (xy 70.697487 109.291542) + (xy 70.696829 109.295694) + (xy 70.697982 109.299999) + (xy 70.699038 109.303938) + (xy 70.7016 109.3234) + (xy 70.7016 111.815562) + (xy 70.701497 111.819498) + (xy 70.699376 111.859948) + (xy 70.708188 111.882902) + (xy 70.711539 111.894215) + (xy 70.716651 111.918263) + (xy 70.716652 111.918266) + (xy 70.720776 111.923943) + (xy 70.721669 111.925171) + (xy 70.731036 111.942422) + (xy 70.734096 111.950395) + (xy 70.734097 111.950396) + (xy 70.751485 111.967784) + (xy 70.759144 111.976752) + (xy 70.773597 111.996644) + (xy 70.773599 111.996646) + (xy 70.780995 112.000916) + (xy 70.796566 112.012865) + (xy 74.045074 115.261373) + (xy 74.066814 115.307993) + (xy 74.0671 115.314547) + (xy 74.0671 123.381952) + (xy 74.049507 123.43029) + (xy 74.045074 123.435126) + (xy 71.619126 125.861074) + (xy 71.572506 125.882814) + (xy 71.565952 125.8831) + (xy 69.848547 125.8831) + (xy 69.800209 125.865507) + (xy 69.795373 125.861074) + (xy 69.274426 125.340126) + (xy 69.252686 125.293506) + (xy 69.2524 125.286952) + (xy 69.2524 125.131252) + (xy 69.269993 125.082914) + (xy 69.314542 125.057194) + (xy 69.3652 125.066127) + (xy 69.38792 125.086346) + (xy 69.390219 125.089435) + (xy 69.390221 125.089438) + (xy 69.492821 125.227254) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.100682 125.457842) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.642796 125.162393) + (xy 70.729967 125.011407) + (xy 70.779969 124.844388) + (xy 70.790107 124.67034) + (xy 70.759832 124.498646) + (xy 70.753175 124.483214) + (xy 70.690779 124.338562) + (xy 70.613041 124.234142) + (xy 70.586668 124.198717) + (xy 70.556852 124.173698) + (xy 70.453117 124.086653) + (xy 70.453116 124.086652) + (xy 70.297315 124.008406) + (xy 70.127671 123.9682) + (xy 70.095771 123.9682) + (xy 70.047433 123.950607) + (xy 70.021713 123.906058) + (xy 70.030646 123.8554) + (xy 70.046196 123.837667) + (xy 70.045855 123.837326) + (xy 70.049337 123.833843) + (xy 70.049338 123.833841) + (xy 70.04934 123.83384) + (xy 70.136955 123.719659) + (xy 70.192031 123.586691) + (xy 70.192729 123.581382) + (xy 70.216479 123.535755) + (xy 70.264002 123.516068) + (xy 70.313062 123.531534) + (xy 70.333422 123.555405) + (xy 70.356569 123.598177) + (xy 70.502938 123.786233) + (xy 70.502942 123.786237) + (xy 70.534955 123.815707) + (xy 70.678266 123.947633) + (xy 70.877766 124.077973) + (xy 70.877768 124.077974) + (xy 71.0451 124.151373) + (xy 71.095998 124.173699) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356572 122.019818) + (xy 70.333016 122.063345) + (xy 70.294536 122.097482) + (xy 70.243115 122.098898) + (xy 70.202815 122.066931) + (xy 70.192323 122.037362) + (xy 70.191543 122.031439) + (xy 70.139597 121.906033) + (xy 69.871632 122.173999) + (xy 69.871632 122.174001) + (xy 70.136493 122.438862) + (xy 70.158233 122.485482) + (xy 70.157493 122.504413) + (xy 70.12655 122.689842) + (xy 70.12655 122.689848) + (xy 70.12655 122.928152) + (xy 70.129237 122.944255) + (xy 70.130602 122.952435) + (xy 70.121203 123.003009) + (xy 70.081495 123.03571) + (xy 70.030057 123.035236) + (xy 70.010649 123.024471) + (xy 69.982092 123.002559) + (xy 69.93516 122.966545) + (xy 69.935161 122.966545) + (xy 69.802194 122.91147) + (xy 69.802193 122.911469) + (xy 69.802191 122.911469) + (xy 69.724883 122.901291) + (xy 69.679256 122.877538) + (xy 69.659572 122.830013) + (xy 69.6595 122.826734) + (xy 69.6595 122.79076) + (xy 69.677093 122.742422) + (xy 69.721642 122.716702) + (xy 69.724885 122.716203) + (xy 69.802065 122.706042) + (xy 69.927466 122.654098) + (xy 69.927466 122.654097) + (xy 69.681526 122.408157) + (xy 69.659786 122.361537) + (xy 69.6595 122.354983) + (xy 69.6595 121.993016) + (xy 69.677093 121.944678) + (xy 69.681526 121.939842) + (xy 69.927466 121.693901) + (xy 69.802062 121.641956) + (xy 69.724884 121.631796) + (xy 69.679257 121.608043) + (xy 69.659572 121.560519) + (xy 69.6595 121.557239) + (xy 69.6595 121.521265) + (xy 69.677093 121.472927) + (xy 69.721642 121.447207) + (xy 69.724845 121.446713) + (xy 69.802191 121.436531) + (xy 69.935159 121.381455) + (xy 70.04934 121.29384) + (xy 70.136955 121.179659) + (xy 70.192031 121.046691) + (xy 70.203697 120.958073) + (xy 70.225079 120.914719) + (xy 71.763874 119.375926) + (xy 71.810494 119.354186) + (xy 71.817048 119.3539) + (xy 72.445564 119.3539) + (xy 72.4495 119.354003) + (xy 72.489947 119.356123) + (xy 72.489947 119.356122) + (xy 72.489948 119.356123) + (xy 72.512903 119.34731) + (xy 72.524222 119.343958) + (xy 72.548261 119.338849) + (xy 72.54826 119.338849) + (xy 72.548266 119.338848) + (xy 72.555174 119.333828) + (xy 72.572421 119.324464) + (xy 72.580396 119.321403) + (xy 72.597789 119.304009) + (xy 72.606748 119.296357) + (xy 72.626646 119.281901) + (xy 72.630915 119.274505) + (xy 72.642862 119.258935) + (xy 73.752047 118.14975) + (xy 73.754865 118.147075) + (xy 73.784994 118.119949) + (xy 73.794996 118.09748) + (xy 73.800623 118.087117) + (xy 73.814016 118.066497) + (xy 73.81535 118.058072) + (xy 73.82093 118.039234) + (xy 73.822784 118.03507) + (xy 73.8244 118.031442) + (xy 73.8244 118.006846) + (xy 73.825326 117.995081) + (xy 73.829171 117.970806) + (xy 73.828322 117.967637) + (xy 73.826962 117.962559) + (xy 73.8244 117.943099) + (xy 73.8244 115.895428) + (xy 73.824503 115.891491) + (xy 73.826623 115.851051) + (xy 73.81781 115.828095) + (xy 73.81446 115.816788) + (xy 73.809348 115.792734) + (xy 73.80433 115.785827) + (xy 73.794963 115.768575) + (xy 73.791903 115.760604) + (xy 73.791902 115.760602) + (xy 73.774518 115.743218) + (xy 73.766855 115.734247) + (xy 73.752401 115.714354) + (xy 73.751502 115.713835) + (xy 73.745003 115.710082) + (xy 73.729433 115.698134) + (xy 70.290426 112.259126) + (xy 70.268686 112.212506) + (xy 70.2684 112.205952) + (xy 70.2684 108.616782) + (xy 70.285993 108.568444) + (xy 70.330542 108.542724) + (xy 70.331765 108.542519) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.624516 108.380271) + (xy 70.682457 108.266555) + (xy 70.702422 108.1405) + (xy 70.682457 108.014445) + (xy 70.624516 107.900729) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140498) + (xy 69.886578 108.140503) + (xy 69.8921 108.175372) + (xy 69.882284 108.225866) + (xy 69.868146 108.243017) + (xy 69.852005 108.25755) + (xy 69.842007 108.280009) + (xy 69.836377 108.290378) + (xy 69.822985 108.311001) + (xy 69.822983 108.311006) + (xy 69.821648 108.319435) + (xy 69.816074 108.338254) + (xy 69.8126 108.346057) + (xy 69.8126 108.370652) + (xy 69.811674 108.382416) + (xy 69.807829 108.406691) + (xy 69.807829 108.406694) + (xy 69.810038 108.414938) + (xy 69.8126 108.4344) + (xy 69.8126 112.323562) + (xy 69.812497 112.327498) + (xy 69.810376 112.367948) + (xy 69.819188 112.390902) + (xy 69.822539 112.402215) + (xy 69.826766 112.4221) + (xy 69.827652 112.426266) + (xy 69.831763 112.431925) + (xy 69.832669 112.433171) + (xy 69.842036 112.450422) + (xy 69.845096 112.458395) + (xy 69.845097 112.458396) + (xy 69.862485 112.475784) + (xy 69.870144 112.484752) + (xy 69.884597 112.504644) + (xy 69.884599 112.504646) + (xy 69.891995 112.508916) + (xy 69.907566 112.520865) + (xy 73.346574 115.959873) + (xy 73.368314 116.006493) + (xy 73.3686 116.013047) + (xy 73.3686 117.857452) + (xy 73.351007 117.90579) + (xy 73.346574 117.910626) + (xy 72.381126 118.876074) + (xy 72.334506 118.897814) + (xy 72.327952 118.8981) + (xy 71.699436 118.8981) + (xy 71.6955 118.897997) + (xy 71.693783 118.897907) + (xy 71.655052 118.895876) + (xy 71.632088 118.904691) + (xy 71.620779 118.90804) + (xy 71.596736 118.913151) + (xy 71.596734 118.913151) + (xy 71.589827 118.91817) + (xy 71.572583 118.927532) + (xy 71.564604 118.930595) + (xy 71.54721 118.947989) + (xy 71.53824 118.95565) + (xy 71.518354 118.970098) + (xy 71.518351 118.970102) + (xy 71.514082 118.977495) + (xy 71.502135 118.993063) + (xy 70.057326 120.437872) + (xy 70.010706 120.459612) + (xy 69.961019 120.446298) + (xy 69.958373 120.444358) + (xy 69.94992 120.437872) + (xy 69.935159 120.426545) + (xy 69.935156 120.426544) + (xy 69.935155 120.426543) + (xy 69.802194 120.37147) + (xy 69.802193 120.371469) + (xy 69.802191 120.371469) + (xy 69.724883 120.361291) + (xy 69.679256 120.337538) + (xy 69.659572 120.290013) + (xy 69.6595 120.286734) + (xy 69.6595 120.251265) + (xy 69.677093 120.202927) + (xy 69.721642 120.177207) + (xy 69.724845 120.176713) + (xy 69.802191 120.166531) + (xy 69.935159 120.111455) + (xy 70.04934 120.02384) + (xy 70.136955 119.909659) + (xy 70.192031 119.776691) + (xy 70.210817 119.634) + (xy 70.192031 119.491309) + (xy 70.136955 119.358342) + (xy 70.095269 119.304016) + (xy 70.049343 119.244163) + (xy 70.049341 119.244161) + (xy 70.04934 119.24416) + (xy 69.935159 119.156545) + (xy 69.935157 119.156544) + (xy 69.802194 119.10147) + (xy 69.802193 119.101469) + (xy 69.802191 119.101469) + (xy 69.724883 119.091291) + (xy 69.679256 119.067538) + (xy 69.659572 119.020013) + (xy 69.6595 119.016734) + (xy 69.6595 118.981265) + (xy 69.677093 118.932927) + (xy 69.721642 118.907207) + (xy 69.724845 118.906713) + (xy 69.802191 118.896531) + (xy 69.935159 118.841455) + (xy 70.04934 118.75384) + (xy 70.136955 118.639659) + (xy 70.192031 118.506691) + (xy 70.210817 118.364) + (xy 70.192031 118.221309) + (xy 70.136955 118.088342) + (xy 70.093293 118.031441) + (xy 70.049343 117.974163) + (xy 70.049341 117.974161) + (xy 70.04934 117.97416) + (xy 69.935159 117.886545) + (xy 69.935157 117.886544) + (xy 69.802194 117.83147) + (xy 69.802193 117.831469) + (xy 69.802191 117.831469) + (xy 69.6595 117.812683) + (xy 69.659499 117.812683) + (xy 69.521381 117.830867) + (xy 69.47116 117.819733) + (xy 69.439845 117.778923) + (xy 69.442089 117.727532) + (xy 69.470241 117.693482) + (xy 69.507154 117.669205) + (xy 69.626796 117.542393) + (xy 69.713967 117.391407) + (xy 69.763969 117.224388) + (xy 69.764623 117.213155) + (xy 70.12655 117.213155) + (xy 70.165772 117.448198) + (xy 70.165773 117.448202) + (xy 70.165774 117.448206) + (xy 70.209763 117.57634) + (xy 70.243152 117.6736) + (xy 70.243153 117.673602) + (xy 70.356569 117.883177) + (xy 70.502938 118.071233) + (xy 70.502942 118.071237) + (xy 70.589994 118.151373) + (xy 70.678266 118.232633) + (xy 70.877766 118.362973) + (xy 70.877768 118.362974) + (xy 71.089079 118.455664) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.745257 118.5219) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.62606 118.071235) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.243153 116.514397) + (xy 70.243152 116.514399) + (xy 70.165775 116.739791) + (xy 70.165772 116.739801) + (xy 70.12655 116.974844) + (xy 70.12655 117.213155) + (xy 69.764623 117.213155) + (xy 69.774107 117.05034) + (xy 69.743832 116.878646) + (xy 69.742495 116.875547) + (xy 69.674779 116.718562) + (xy 69.647793 116.682314) + (xy 69.570668 116.578717) + (xy 69.570667 116.578716) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.584736 117.698568) + (xy 68.610456 117.743116) + (xy 68.601523 117.793774) + (xy 68.562117 117.826839) + (xy 68.526583 117.83073) + (xy 68.3895 117.812683) + (xy 68.246809 117.831469) + (xy 68.246806 117.831469) + (xy 68.246806 117.83147) + (xy 68.113846 117.886543) + (xy 68.11384 117.886546) + (xy 67.999659 117.974159) + (xy 67.912046 118.08834) + (xy 67.912043 118.088346) + (xy 67.85697 118.221306) + (xy 67.856969 118.221309) + (xy 67.843822 118.321167) + (xy 67.838183 118.364) + (xy 67.856969 118.506692) + (xy 67.857523 118.508028) + (xy 67.857561 118.508899) + (xy 67.858245 118.511452) + (xy 67.857678 118.511603) + (xy 67.859765 118.559419) + (xy 67.841221 118.589977) + (xy 67.555126 118.876074) + (xy 67.508505 118.897814) + (xy 67.501951 118.8981) + (xy 65.721047 118.8981) + (xy 65.672709 118.880507) + (xy 65.667873 118.876074) + (xy 64.702426 117.910626) + (xy 64.680686 117.864006) + (xy 64.6804 117.857452) + (xy 64.6804 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.129763 117.57634) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.422938 118.071233) + (xy 65.422942 118.071237) + (xy 65.509994 118.151373) + (xy 65.598266 118.232633) + (xy 65.797766 118.362973) + (xy 65.797768 118.362974) + (xy 66.009079 118.455664) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.665257 118.5219) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 64.6804 117.213155) + (xy 64.6804 116.330546) + (xy 64.697993 116.282208) + (xy 64.702415 116.277383) + (xy 65.99138 114.988418) + (xy 67.8471 114.988418) + (xy 67.853386 115.036174) + (xy 67.853388 115.03618) + (xy 67.902254 115.140973) + (xy 67.90226 115.140981) + (xy 67.984018 115.222739) + (xy 67.984026 115.222745) + (xy 68.088819 115.271611) + (xy 68.088821 115.271611) + (xy 68.088824 115.271613) + (xy 68.13658 115.2779) + (xy 68.136582 115.2779) + (xy 68.563418 115.2779) + (xy 68.56342 115.2779) + (xy 68.611176 115.271613) + (xy 68.704918 115.2279) + (xy 68.715973 115.222745) + (xy 68.715973 115.222744) + (xy 68.715977 115.222743) + (xy 68.797743 115.140977) + (xy 68.815628 115.102624) + (xy 68.846611 115.03618) + (xy 68.846613 115.036176) + (xy 68.8529 114.98842) + (xy 68.8529 114.988418) + (xy 69.4471 114.988418) + (xy 69.453386 115.036174) + (xy 69.453388 115.03618) + (xy 69.502254 115.140973) + (xy 69.50226 115.140981) + (xy 69.584018 115.222739) + (xy 69.584026 115.222745) + (xy 69.688819 115.271611) + (xy 69.688821 115.271611) + (xy 69.688824 115.271613) + (xy 69.73658 115.2779) + (xy 69.736582 115.2779) + (xy 70.163418 115.2779) + (xy 70.16342 115.2779) + (xy 70.211176 115.271613) + (xy 70.304918 115.2279) + (xy 70.315973 115.222745) + (xy 70.315973 115.222744) + (xy 70.315977 115.222743) + (xy 70.397743 115.140977) + (xy 70.415628 115.102624) + (xy 70.446611 115.03618) + (xy 70.446613 115.036176) + (xy 70.4529 114.98842) + (xy 70.4529 114.31158) + (xy 70.446613 114.263824) + (xy 70.446611 114.263819) + (xy 70.397745 114.159026) + (xy 70.397742 114.159022) + (xy 70.360411 114.121691) + (xy 70.315977 114.077257) + (xy 70.315975 114.077256) + (xy 70.315973 114.077254) + (xy 70.310584 114.07348) + (xy 70.312457 114.070805) + (xy 70.285098 114.043436) + (xy 70.280624 113.992191) + (xy 70.286254 113.977528) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.189771 113.419984) + (xy 70.076055 113.362043) + (xy 70.076057 113.362043) + (xy 69.95 113.342078) + (xy 69.823943 113.362043) + (xy 69.710228 113.419984) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.613746 113.977528) + (xy 69.620015 114.028584) + (xy 69.591999 114.071725) + (xy 69.589312 114.073339) + (xy 69.589413 114.073484) + (xy 69.584022 114.077258) + (xy 69.502257 114.159022) + (xy 69.502254 114.159026) + (xy 69.453388 114.263819) + (xy 69.453386 114.263825) + (xy 69.4471 114.311581) + (xy 69.4471 114.988418) + (xy 68.8529 114.988418) + (xy 68.8529 114.31158) + (xy 68.846613 114.263824) + (xy 68.846611 114.263819) + (xy 68.797745 114.159026) + (xy 68.797742 114.159022) + (xy 68.760411 114.121691) + (xy 68.715977 114.077257) + (xy 68.715975 114.077256) + (xy 68.715973 114.077254) + (xy 68.710584 114.07348) + (xy 68.712457 114.070805) + (xy 68.685098 114.043436) + (xy 68.680624 113.992191) + (xy 68.686254 113.977528) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 68.013746 113.977528) + (xy 68.020015 114.028584) + (xy 67.991999 114.071725) + (xy 67.989312 114.073339) + (xy 67.989413 114.073484) + (xy 67.984022 114.077258) + (xy 67.902257 114.159022) + (xy 67.902254 114.159026) + (xy 67.853388 114.263819) + (xy 67.853386 114.263825) + (xy 67.8471 114.311581) + (xy 67.8471 114.988418) + (xy 65.99138 114.988418) + (xy 69.307047 111.67275) + (xy 69.309865 111.670075) + (xy 69.339994 111.642949) + (xy 69.349996 111.620481) + (xy 69.355621 111.610121) + (xy 69.369016 111.589496) + (xy 69.370351 111.581061) + (xy 69.375924 111.562248) + (xy 69.3794 111.554442) + (xy 69.3794 111.529852) + (xy 69.380326 111.518086) + (xy 69.384172 111.493806) + (xy 69.38196 111.485553) + (xy 69.3794 111.466095) + (xy 69.3794 107.948547) + (xy 69.396993 107.900209) + (xy 69.401426 107.895373) + (xy 69.669386 107.627413) + (xy 69.939491 107.357307) + (xy 69.98611 107.335568) + (xy 70.004421 107.336207) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.370516 107.173771) + (xy 70.428457 107.060055) + (xy 70.448422 106.934) + (xy 70.446829 106.923945) + (xy 70.442554 106.896952) + (xy 70.428457 106.807945) + (xy 70.370516 106.694229) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 69.638291 106.97007) + (xy 69.628476 107.020565) + (xy 69.617191 107.035008) + (xy 68.995956 107.656242) + (xy 68.993102 107.658952) + (xy 68.963004 107.686052) + (xy 68.953007 107.708509) + (xy 68.947377 107.718878) + (xy 68.933985 107.739501) + (xy 68.933983 107.739506) + (xy 68.932648 107.747935) + (xy 68.927074 107.766754) + (xy 68.9236 107.774557) + (xy 68.9236 107.799152) + (xy 68.922674 107.810916) + (xy 68.918829 107.835191) + (xy 68.918829 107.835194) + (xy 68.921038 107.843438) + (xy 68.9236 107.8629) + (xy 68.9236 111.380451) + (xy 68.906007 111.428789) + (xy 68.901574 111.433625) + (xy 64.296956 116.038242) + (xy 64.294102 116.040952) + (xy 64.264004 116.068052) + (xy 64.254007 116.090509) + (xy 64.248377 116.100878) + (xy 64.234985 116.121501) + (xy 64.234983 116.121506) + (xy 64.233648 116.129935) + (xy 64.228074 116.148754) + (xy 64.2246 116.156557) + (xy 64.2246 116.181152) + (xy 64.223674 116.192916) + (xy 64.219829 116.217191) + (xy 64.219829 116.217194) + (xy 64.222038 116.225438) + (xy 64.2246 116.2449) + (xy 64.2246 117.975062) + (xy 64.224497 117.978998) + (xy 64.222376 118.019448) + (xy 64.231188 118.042402) + (xy 64.234539 118.053715) + (xy 64.239651 118.077763) + (xy 64.239652 118.077766) + (xy 64.244669 118.084671) + (xy 64.254036 118.101922) + (xy 64.257096 118.109895) + (xy 64.257097 118.109896) + (xy 64.274485 118.127284) + (xy 64.282144 118.136252) + (xy 64.296597 118.156144) + (xy 64.296599 118.156146) + (xy 64.303995 118.160416) + (xy 64.319566 118.172365) + (xy 65.428731 119.28153) + (xy 65.431442 119.284386) + (xy 65.458551 119.314494) + (xy 65.481016 119.324495) + (xy 65.491387 119.330127) + (xy 65.512002 119.343516) + (xy 65.520428 119.34485) + (xy 65.539259 119.350428) + (xy 65.547053 119.353898) + (xy 65.547055 119.353898) + (xy 65.547058 119.3539) + (xy 65.571652 119.3539) + (xy 65.583415 119.354825) + (xy 65.607694 119.358671) + (xy 65.612753 119.357315) + (xy 65.61594 119.356462) + (xy 65.635401 119.3539) + (xy 65.861817 119.3539) + (xy 65.910155 119.371493) + (xy 65.935875 119.416042) + (xy 65.928821 119.46324) + (xy 65.906043 119.507943) + (xy 65.886078 119.633999) + (xy 65.886078 119.634) + (xy 65.906043 119.760056) + (xy 65.928821 119.80476) + (xy 65.93509 119.855816) + (xy 65.907073 119.898957) + (xy 65.861817 119.9141) + (xy 65.340047 119.9141) + (xy 65.291709 119.896507) + (xy 65.286873 119.892074) + (xy 64.003926 118.609126) + (xy 63.982186 118.562506) + (xy 63.9819 118.555952) + (xy 63.9819 115.632047) + (xy 63.999493 115.583709) + (xy 64.003926 115.578873) + (xy 66.162815 113.419984) + (xy 68.418057 111.164741) + (xy 68.420875 111.162066) + (xy 68.450994 111.134949) + (xy 68.460996 111.11248) + (xy 68.466624 111.102115) + (xy 68.480016 111.081496) + (xy 68.48135 111.073071) + (xy 68.48693 111.054234) + (xy 68.4904 111.046442) + (xy 68.4904 111.021846) + (xy 68.491326 111.010081) + (xy 68.495171 110.985806) + (xy 68.494629 110.983784) + (xy 68.492962 110.977559) + (xy 68.4904 110.958099) + (xy 68.4904 107.567547) + (xy 68.507993 107.519209) + (xy 68.512426 107.514373) + (xy 69.224245 106.802554) + (xy 69.939491 106.087307) + (xy 69.98611 106.065568) + (xy 70.004421 106.066207) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.370516 105.903771) + (xy 70.428457 105.790055) + (xy 70.448422 105.664) + (xy 70.428457 105.537945) + (xy 70.370516 105.424229) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663998) + (xy 69.632578 105.664003) + (xy 69.63829 105.700072) + (xy 69.628474 105.750566) + (xy 69.61719 105.765008) + (xy 68.106956 107.275242) + (xy 68.104102 107.277952) + (xy 68.074004 107.305052) + (xy 68.064007 107.327509) + (xy 68.058377 107.337878) + (xy 68.044985 107.358501) + (xy 68.044983 107.358506) + (xy 68.043648 107.366935) + (xy 68.038074 107.385754) + (xy 68.0346 107.393557) + (xy 68.0346 107.41815) + (xy 68.033674 107.429914) + (xy 68.029828 107.45419) + (xy 68.029829 107.454194) + (xy 68.032038 107.462438) + (xy 68.0346 107.4819) + (xy 68.0346 110.872451) + (xy 68.017007 110.920789) + (xy 68.012574 110.925625) + (xy 63.598456 115.339742) + (xy 63.595602 115.342452) + (xy 63.565504 115.369552) + (xy 63.555507 115.392009) + (xy 63.549877 115.402378) + (xy 63.536485 115.423001) + (xy 63.536483 115.423006) + (xy 63.535148 115.431435) + (xy 63.529574 115.450254) + (xy 63.5261 115.458057) + (xy 63.5261 115.482652) + (xy 63.525174 115.494416) + (xy 63.521329 115.518691) + (xy 63.521329 115.518694) + (xy 63.523538 115.526938) + (xy 63.5261 115.5464) + (xy 63.5261 118.673562) + (xy 63.525997 118.677498) + (xy 63.523876 118.717948) + (xy 63.532688 118.740902) + (xy 63.536039 118.752215) + (xy 63.541151 118.776263) + (xy 63.541152 118.776266) + (xy 63.546169 118.783171) + (xy 63.555536 118.800422) + (xy 63.558596 118.808395) + (xy 63.558597 118.808396) + (xy 63.575985 118.825784) + (xy 63.583644 118.834752) + (xy 63.598097 118.854644) + (xy 63.598099 118.854646) + (xy 63.605495 118.858916) + (xy 63.621066 118.870865) + (xy 65.04774 120.29754) + (xy 65.050451 120.300396) + (xy 65.077551 120.330494) + (xy 65.100023 120.340498) + (xy 65.110374 120.346119) + (xy 65.131003 120.359516) + (xy 65.139428 120.36085) + (xy 65.158259 120.366428) + (xy 65.166053 120.369898) + (xy 65.166055 120.369898) + (xy 65.166058 120.3699) + (xy 65.190652 120.3699) + (xy 65.202415 120.370825) + (xy 65.226694 120.374671) + (xy 65.231753 120.373315) + (xy 65.23494 120.372462) + (xy 65.254401 120.3699) + (xy 67.501952 120.3699) + (xy 67.55029 120.387493) + (xy 67.555127 120.391926) + (xy 67.841221 120.678021) + (xy 67.86296 120.724641) + (xy 67.858058 120.756498) + (xy 67.858245 120.756548) + (xy 67.857789 120.758247) + (xy 67.857526 120.759961) + (xy 67.856972 120.761298) + (xy 67.85697 120.761306) + (xy 67.856969 120.761309) + (xy 67.838183 120.904) + (xy 67.856969 121.046691) + (xy 67.856969 121.046693) + (xy 67.85697 121.046694) + (xy 67.904963 121.162563) + (xy 67.907206 121.213954) + (xy 67.875891 121.254764) + (xy 67.825671 121.265897) + (xy 67.782313 121.244514) + (xy 67.72557 121.187771) + (xy 67.286258 120.748458) + (xy 67.283547 120.745602) + (xy 67.27256 120.7334) + (xy 67.256449 120.715506) + (xy 67.256445 120.715503) + (xy 67.233986 120.705504) + (xy 67.223616 120.699874) + (xy 67.202996 120.686483) + (xy 67.194564 120.685148) + (xy 67.175743 120.679573) + (xy 67.167942 120.6761) + (xy 67.143348 120.6761) + (xy 67.131584 120.675174) + (xy 67.107308 120.671329) + (xy 67.107304 120.671329) + (xy 67.09906 120.673538) + (xy 67.079599 120.6761) + (xy 64.959048 120.6761) + (xy 64.91071 120.658507) + (xy 64.905873 120.654074) + (xy 64.737487 120.485688) + (xy 64.555748 120.303948) + (xy 64.553038 120.301092) + (xy 64.54695 120.294331) + (xy 64.525949 120.271006) + (xy 64.525945 120.271003) + (xy 64.503486 120.261004) + (xy 64.493116 120.255374) + (xy 64.472496 120.241983) + (xy 64.464064 120.240648) + (xy 64.445243 120.235073) + (xy 64.437442 120.2316) + (xy 64.412848 120.2316) + (xy 64.401084 120.230674) + (xy 64.376808 120.226829) + (xy 64.376804 120.226829) + (xy 64.36856 120.229038) + (xy 64.349099 120.2316) + (xy 64.121538 120.2316) + (xy 64.0732 120.214007) + (xy 64.053384 120.188181) + (xy 64.009245 120.093526) + (xy 64.009239 120.093518) + (xy 63.927481 120.01176) + (xy 63.927473 120.011754) + (xy 63.82268 119.962888) + (xy 63.822674 119.962886) + (xy 63.787492 119.958255) + (xy 63.77492 119.9566) + (xy 63.774918 119.9566) + (xy 63.7396 119.9566) + (xy 63.691262 119.939007) + (xy 63.665542 119.894458) + (xy 63.6644 119.8814) + (xy 63.6644 119.578428) + (xy 63.664503 119.574491) + (xy 63.665053 119.563992) + (xy 63.666623 119.534052) + (xy 63.66628 119.533159) + (xy 63.65781 119.511095) + (xy 63.65446 119.499788) + (xy 63.649348 119.475734) + (xy 63.64433 119.468827) + (xy 63.634963 119.451575) + (xy 63.631903 119.443605) + (xy 63.631903 119.443604) + (xy 63.614513 119.426214) + (xy 63.606854 119.417247) + (xy 63.5924 119.397353) + (xy 63.585006 119.393084) + (xy 63.569434 119.381134) + (xy 63.305426 119.117126) + (xy 63.283686 119.070506) + (xy 63.2834 119.063952) + (xy 63.2834 114.933546) + (xy 63.300993 114.885208) + (xy 63.305415 114.880383) + (xy 67.529047 110.65675) + (xy 67.531865 110.654075) + (xy 67.561994 110.626949) + (xy 67.571996 110.60448) + (xy 67.577623 110.594117) + (xy 67.591016 110.573497) + (xy 67.59235 110.565072) + (xy 67.59793 110.546234) + (xy 67.599252 110.543265) + (xy 67.6014 110.538442) + (xy 67.6014 110.513846) + (xy 67.602326 110.502081) + (xy 67.603022 110.497687) + (xy 67.606171 110.477806) + (xy 67.605629 110.475784) + (xy 67.603962 110.469559) + (xy 67.6014 110.450099) + (xy 67.6014 107.186546) + (xy 67.618993 107.138208) + (xy 67.623415 107.133383) + (xy 69.93949 104.817307) + (xy 69.986109 104.795568) + (xy 70.004425 104.796207) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.370516 104.633771) + (xy 70.428457 104.520055) + (xy 70.448422 104.394) + (xy 70.428457 104.267945) + (xy 70.370516 104.154229) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393998) + (xy 69.632578 104.394003) + (xy 69.63829 104.430072) + (xy 69.628474 104.480566) + (xy 69.61719 104.495008) + (xy 67.217956 106.894242) + (xy 67.215102 106.896952) + (xy 67.185004 106.924052) + (xy 67.175007 106.946509) + (xy 67.169377 106.956878) + (xy 67.155985 106.977501) + (xy 67.155983 106.977506) + (xy 67.154648 106.985935) + (xy 67.149074 107.004754) + (xy 67.1456 107.012557) + (xy 67.1456 107.037152) + (xy 67.144674 107.048916) + (xy 67.140829 107.073191) + (xy 67.140829 107.073194) + (xy 67.143038 107.081438) + (xy 67.1456 107.1009) + (xy 67.1456 110.364451) + (xy 67.128007 110.412789) + (xy 67.123574 110.417625) + (xy 62.899956 114.641242) + (xy 62.897102 114.643952) + (xy 62.867004 114.671052) + (xy 62.857007 114.693509) + (xy 62.851377 114.703878) + (xy 62.837985 114.724501) + (xy 62.837983 114.724506) + (xy 62.836648 114.732935) + (xy 62.831074 114.751754) + (xy 62.8276 114.759557) + (xy 62.8276 114.784152) + (xy 62.826674 114.795916) + (xy 62.822829 114.820191) + (xy 62.822829 114.820194) + (xy 62.825038 114.828438) + (xy 62.8276 114.8479) + (xy 62.8276 119.181562) + (xy 62.827497 119.185498) + (xy 62.825376 119.225948) + (xy 62.834188 119.248902) + (xy 62.837539 119.260215) + (xy 62.842651 119.284263) + (xy 62.842652 119.284266) + (xy 62.847669 119.291171) + (xy 62.857036 119.308422) + (xy 62.860096 119.316395) + (xy 62.860097 119.316396) + (xy 62.877485 119.333784) + (xy 62.885144 119.342752) + (xy 62.899597 119.362644) + (xy 62.899599 119.362646) + (xy 62.906995 119.366916) + (xy 62.922566 119.378865) + (xy 63.186574 119.642873) + (xy 63.208314 119.689493) + (xy 63.2086 119.696047) + (xy 63.2086 119.8814) + (xy 63.191007 119.929738) + (xy 63.146458 119.955458) + (xy 63.1334 119.9566) + (xy 63.09808 119.9566) + (xy 63.08697 119.958062) + (xy 63.050325 119.962886) + (xy 63.050319 119.962888) + (xy 62.945526 120.011754) + (xy 62.945518 120.01176) + (xy 62.86376 120.093518) + (xy 62.863754 120.093526) + (xy 62.814888 120.198319) + (xy 62.814886 120.198325) + (xy 62.810461 120.231941) + (xy 62.8086 120.24608) + (xy 62.8086 120.67292) + (xy 62.808897 120.675174) + (xy 62.814886 120.720674) + (xy 62.814888 120.72068) + (xy 62.863754 120.825473) + (xy 62.86376 120.825481) + (xy 62.945518 120.907239) + (xy 62.945526 120.907245) + (xy 63.050319 120.956111) + (xy 63.050321 120.956111) + (xy 63.050324 120.956113) + (xy 63.09808 120.9624) + (xy 63.098082 120.9624) + (xy 63.774918 120.9624) + (xy 63.77492 120.9624) + (xy 63.822676 120.956113) + (xy 63.88959 120.92491) + (xy 63.927473 120.907245) + (xy 63.927473 120.907244) + (xy 63.927477 120.907243) + (xy 64.009243 120.825477) + (xy 64.022456 120.797142) + (xy 64.053384 120.730819) + (xy 64.089757 120.694445) + (xy 64.121538 120.6874) + (xy 64.263452 120.6874) + (xy 64.31179 120.704993) + (xy 64.316626 120.709425) + (xy 64.501494 120.894294) + (xy 64.66675 121.05955) + (xy 64.66946 121.062406) + (xy 64.686593 121.081435) + (xy 64.696551 121.092494) + (xy 64.719023 121.102498) + (xy 64.729374 121.108119) + (xy 64.750003 121.121516) + (xy 64.758428 121.12285) + (xy 64.777259 121.128428) + (xy 64.785053 121.131898) + (xy 64.785055 121.131898) + (xy 64.785058 121.1319) + (xy 64.809652 121.1319) + (xy 64.821415 121.132825) + (xy 64.845694 121.136671) + (xy 64.850753 121.135315) + (xy 64.85394 121.134462) + (xy 64.873401 121.1319) + (xy 66.993953 121.1319) + (xy 67.042291 121.149493) + (xy 67.047127 121.153926) + (xy 67.41427 121.521069) + (xy 67.43601 121.567689) + (xy 67.422696 121.617376) + (xy 67.380559 121.646881) + (xy 67.329315 121.642397) + (xy 67.319966 121.637198) + (xy 67.171236 121.540027) + (xy 67.171232 121.540026) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.140667 123.323104) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.422938 123.786233) + (xy 65.422942 123.786237) + (xy 65.454955 123.815707) + (xy 65.598266 123.947633) + (xy 65.797766 124.077973) + (xy 65.797768 124.077974) + (xy 65.9651 124.151373) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.7465 124.225992) + (xy 66.797675 124.231179) + (xy 66.833545 124.268049) + (xy 66.837323 124.31935) + (xy 66.818134 124.352064) + (xy 66.261625 124.908574) + (xy 66.215006 124.930314) + (xy 66.208452 124.9306) + (xy 64.121538 124.9306) + (xy 64.0732 124.913007) + (xy 64.053384 124.887181) + (xy 64.009245 124.792526) + (xy 64.009239 124.792518) + (xy 63.927481 124.71076) + (xy 63.927473 124.710754) + (xy 63.82268 124.661888) + (xy 63.822674 124.661886) + (xy 63.786838 124.657169) + (xy 63.77492 124.6556) + (xy 63.09808 124.6556) + (xy 63.08697 124.657062) + (xy 63.050325 124.661886) + (xy 63.050319 124.661888) + (xy 62.945526 124.710754) + (xy 62.945518 124.71076) + (xy 62.86376 124.792518) + (xy 62.863755 124.792525) + (xy 62.855261 124.810741) + (xy 62.818886 124.847114) + (xy 62.767642 124.851596) + (xy 62.733933 124.832133) + (xy 62.606926 124.705126) + (xy 62.585186 124.658506) + (xy 62.5849 124.651952) + (xy 62.5849 123.771918) + (xy 62.8086 123.771918) + (xy 62.814886 123.819674) + (xy 62.814888 123.81968) + (xy 62.863754 123.924473) + (xy 62.86376 123.924481) + (xy 62.945518 124.006239) + (xy 62.945526 124.006245) + (xy 63.050319 124.055111) + (xy 63.050321 124.055111) + (xy 63.050324 124.055113) + (xy 63.09808 124.0614) + (xy 63.098082 124.0614) + (xy 63.774918 124.0614) + (xy 63.77492 124.0614) + (xy 63.822676 124.055113) + (xy 63.916032 124.01158) + (xy 63.927473 124.006245) + (xy 63.927473 124.006244) + (xy 63.927477 124.006243) + (xy 64.009243 123.924477) + (xy 64.058113 123.819676) + (xy 64.0644 123.77192) + (xy 64.0644 123.34508) + (xy 64.058113 123.297324) + (xy 64.054591 123.289771) + (xy 64.009245 123.192526) + (xy 64.009239 123.192518) + (xy 63.927481 123.11076) + (xy 63.927473 123.110754) + (xy 63.842473 123.071118) + (xy 63.8061 123.034745) + (xy 63.801616 122.983501) + (xy 63.807248 122.968829) + (xy 63.824457 122.935055) + (xy 63.844422 122.809) + (xy 63.824457 122.682945) + (xy 63.824456 122.682943) + (xy 63.824456 122.682942) + (xy 63.824456 122.682941) + (xy 63.807251 122.649176) + (xy 63.800981 122.59812) + (xy 63.828997 122.554978) + (xy 63.842462 122.546886) + (xy 63.927477 122.507243) + (xy 64.009243 122.425477) + (xy 64.058113 122.320676) + (xy 64.0644 122.27292) + (xy 64.0644 121.84608) + (xy 64.058113 121.798324) + (xy 64.057864 121.797789) + (xy 64.009245 121.693526) + (xy 64.009239 121.693518) + (xy 63.927481 121.61176) + (xy 63.927473 121.611754) + (xy 63.82268 121.562888) + (xy 63.822674 121.562886) + (xy 63.787492 121.558255) + (xy 63.77492 121.5566) + (xy 63.09808 121.5566) + (xy 63.08697 121.558062) + (xy 63.050325 121.562886) + (xy 63.050319 121.562888) + (xy 62.945526 121.611754) + (xy 62.945518 121.61176) + (xy 62.86376 121.693518) + (xy 62.863754 121.693526) + (xy 62.814888 121.798319) + (xy 62.814886 121.798325) + (xy 62.8086 121.846081) + (xy 62.8086 122.272918) + (xy 62.814886 122.320674) + (xy 62.814888 122.32068) + (xy 62.863754 122.425473) + (xy 62.86376 122.425481) + (xy 62.945518 122.507239) + (xy 62.945526 122.507245) + (xy 63.030526 122.546881) + (xy 63.066899 122.583254) + (xy 63.071383 122.634498) + (xy 63.065749 122.649175) + (xy 63.048543 122.682943) + (xy 63.028578 122.808999) + (xy 63.028578 122.809) + (xy 63.048543 122.935056) + (xy 63.065749 122.968824) + (xy 63.072018 123.01988) + (xy 63.044002 123.063021) + (xy 63.030526 123.071118) + (xy 62.945526 123.110754) + (xy 62.945518 123.11076) + (xy 62.86376 123.192518) + (xy 62.863754 123.192526) + (xy 62.814888 123.297319) + (xy 62.814886 123.297325) + (xy 62.8086 123.345081) + (xy 62.8086 123.771918) + (xy 62.5849 123.771918) + (xy 62.5849 114.235046) + (xy 62.602493 114.186708) + (xy 62.606915 114.181883) + (xy 66.640047 110.14875) + (xy 66.642865 110.146075) + (xy 66.670212 110.121453) + (xy 66.672993 110.11895) + (xy 66.672993 110.118949) + (xy 66.672994 110.118949) + (xy 66.682996 110.09648) + (xy 66.688623 110.086117) + (xy 66.702016 110.065497) + (xy 66.70335 110.057072) + (xy 66.70893 110.038234) + (xy 66.7124 110.030442) + (xy 66.7124 110.005846) + (xy 66.713326 109.994081) + (xy 66.717171 109.969806) + (xy 66.716376 109.966838) + (xy 66.714962 109.961559) + (xy 66.7124 109.942099) + (xy 66.7124 106.781546) + (xy 66.729993 106.733208) + (xy 66.734415 106.728383) + (xy 69.918771 103.544026) + (xy 69.96539 103.522287) + (xy 69.983708 103.522927) + (xy 70.040499 103.531922) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.370516 103.363771) + (xy 70.428457 103.250055) + (xy 70.448422 103.124) + (xy 70.447805 103.120107) + (xy 70.438907 103.063923) + (xy 70.428457 102.997945) + (xy 70.370516 102.884229) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124003) + (xy 69.635009 103.139354) + (xy 69.625193 103.189848) + (xy 69.613909 103.20429) + (xy 66.328956 106.489242) + (xy 66.326102 106.491952) + (xy 66.296004 106.519052) + (xy 66.286007 106.541509) + (xy 66.280377 106.551878) + (xy 66.266985 106.572501) + (xy 66.266983 106.572506) + (xy 66.265648 106.580935) + (xy 66.260074 106.599754) + (xy 66.2566 106.607557) + (xy 66.2566 106.632152) + (xy 66.255674 106.643916) + (xy 66.251829 106.668191) + (xy 66.251829 106.668194) + (xy 66.254038 106.676438) + (xy 66.2566 106.6959) + (xy 66.2566 109.856451) + (xy 66.239007 109.904789) + (xy 66.234574 109.909625) + (xy 62.201456 113.942742) + (xy 62.198602 113.945452) + (xy 62.168504 113.972552) + (xy 62.160839 113.989771) + (xy 62.158572 113.994864) + (xy 62.158507 113.995009) + (xy 62.152877 114.005378) + (xy 62.139485 114.026001) + (xy 62.139483 114.026006) + (xy 62.138148 114.034435) + (xy 62.132574 114.053254) + (xy 62.1291 114.061057) + (xy 62.1291 114.085652) + (xy 62.128174 114.097416) + (xy 62.124329 114.121691) + (xy 62.124329 114.121694) + (xy 46.101 114.121694) + (xy 46.101 104.649305) + (xy 46.118593 104.600967) + (xy 46.163142 104.575247) + (xy 46.204979 104.57983) + (xy 46.342738 104.636893) + (xy 46.460519 104.6524) + (xy 46.85 104.6524) + (xy 46.85 103.75) + (xy 47.15 103.75) + (xy 47.15 104.6524) + (xy 47.539481 104.6524) + (xy 47.657259 104.636894) + (xy 47.8038 104.576193) + (xy 47.803801 104.576193) + (xy 47.929637 104.479637) + (xy 48.026193 104.353801) + (xy 48.026193 104.3538) + (xy 48.086894 104.207259) + (xy 48.1024 104.089481) + (xy 48.1024 103.75) + (xy 47.15 103.75) + (xy 46.85 103.75) + (xy 46.85 102.5476) + (xy 47.15 102.5476) + (xy 47.15 103.45) + (xy 48.1024 103.45) + (xy 48.1024 103.110518) + (xy 48.094433 103.05) + (xy 48.597601 103.05) + (xy 48.597601 103.593241) + (xy 48.608261 103.682019) + (xy 48.663966 103.823278) + (xy 48.663968 103.823281) + (xy 48.755722 103.944277) + (xy 48.876718 104.036031) + (xy 48.876721 104.036033) + (xy 49.017979 104.091738) + (xy 49.106757 104.102399) + (xy 49.4 104.102399) + (xy 49.4 103.05) + (xy 49.7 103.05) + (xy 49.7 104.102399) + (xy 49.993242 104.102399) + (xy 50.082019 104.091738) + (xy 50.223278 104.036033) + (xy 50.223281 104.036031) + (xy 50.344277 103.944277) + (xy 50.436031 103.823281) + (xy 50.436033 103.823278) + (xy 50.491738 103.68202) + (xy 50.5024 103.593242) + (xy 50.5024 103.05) + (xy 49.7 103.05) + (xy 49.4 103.05) + (xy 48.597601 103.05) + (xy 48.094433 103.05) + (xy 48.086894 102.99274) + (xy 48.026193 102.846199) + (xy 48.026193 102.846198) + (xy 47.952379 102.75) + (xy 48.5976 102.75) + (xy 49.4 102.75) + (xy 49.4 101.6976) + (xy 49.7 101.6976) + (xy 49.7 102.75) + (xy 50.502399 102.75) + (xy 50.502399 102.278223) + (xy 52.7601 102.278223) + (xy 52.763037 102.303548) + (xy 52.80878 102.407145) + (xy 52.808782 102.407148) + (xy 52.873496 102.471862) + (xy 52.895236 102.518482) + (xy 52.883955 102.560581) + (xy 52.885671 102.561456) + (xy 52.882984 102.566728) + (xy 52.882984 102.566729) + (xy 52.876214 102.580016) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.876187 103.032931) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.249071 103.208708) + (xy 53.299564 103.218522) + (xy 53.314008 103.229807) + (xy 57.022693 106.938493) + (xy 60.72974 110.64554) + (xy 60.732451 110.648396) + (xy 60.757502 110.676219) + (xy 60.759551 110.678494) + (xy 60.782023 110.688498) + (xy 60.792374 110.694119) + (xy 60.813003 110.707516) + (xy 60.821428 110.70885) + (xy 60.840259 110.714428) + (xy 60.848053 110.717898) + (xy 60.848055 110.717898) + (xy 60.848058 110.7179) + (xy 60.872652 110.7179) + (xy 60.884415 110.718825) + (xy 60.908694 110.722671) + (xy 60.913753 110.721315) + (xy 60.91694 110.720462) + (xy 60.936401 110.7179) + (xy 62.174464 110.7179) + (xy 62.222802 110.735493) + (xy 62.227638 110.739925) + (xy 62.307729 110.820016) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.952184 110.469559) + (xy 62.945117 110.424935) + (xy 62.935457 110.363945) + (xy 62.935001 110.363051) + (xy 62.919818 110.333252) + (xy 62.877516 110.250229) + (xy 62.787271 110.159984) + (xy 62.673555 110.102043) + (xy 62.673557 110.102043) + (xy 62.5475 110.082078) + (xy 62.421443 110.102043) + (xy 62.30773 110.159983) + (xy 62.227638 110.240075) + (xy 62.181018 110.261814) + (xy 62.174464 110.2621) + (xy 61.022047 110.2621) + (xy 60.973709 110.244507) + (xy 60.968873 110.240074) + (xy 54.1068 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.06484 103.599825) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.440071 103.780208) + (xy 57.490564 103.790022) + (xy 57.505009 103.801308) + (xy 63.186574 109.482873) + (xy 63.208314 109.529493) + (xy 63.2086 109.536047) + (xy 63.2086 111.196464) + (xy 63.191007 111.244802) + (xy 63.186575 111.249638) + (xy 63.106483 111.32973) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.087196 111.771416) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.562555 111.957457) + (xy 63.676271 111.899516) + (xy 63.766516 111.809271) + (xy 63.824457 111.695555) + (xy 63.844422 111.5695) + (xy 63.824457 111.443445) + (xy 63.766516 111.329729) + (xy 63.686425 111.249638) + (xy 63.664686 111.203018) + (xy 63.6644 111.196464) + (xy 63.6644 109.418428) + (xy 63.664503 109.414491) + (xy 63.666623 109.374051) + (xy 63.65781 109.351095) + (xy 63.65446 109.339788) + (xy 63.649348 109.315734) + (xy 63.64433 109.308827) + (xy 63.634963 109.291575) + (xy 63.631903 109.283604) + (xy 63.631902 109.283602) + (xy 63.614518 109.266218) + (xy 63.606855 109.257247) + (xy 63.592401 109.237354) + (xy 63.588424 109.235058) + (xy 63.585003 109.233082) + (xy 63.569433 109.221134) + (xy 57.827308 103.479008) + (xy 57.805568 103.432388) + (xy 57.806207 103.414079) + (xy 57.811922 103.378) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.643771 103.047984) + (xy 57.530055 102.990043) + (xy 57.530057 102.990043) + (xy 57.404 102.970078) + (xy 57.277943 102.990043) + (xy 57.164228 103.047984) + (xy 57.073984 103.138228) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 54.1068 103.378) + (xy 53.636308 102.907508) + (xy 53.614568 102.860888) + (xy 53.615207 102.842579) + (xy 53.620922 102.8065) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.543015 102.566728) + (xy 53.540329 102.561456) + (xy 53.542314 102.560444) + (xy 53.530661 102.519779) + (xy 53.55159 102.47279) + (xy 53.552445 102.471919) + (xy 53.61722 102.407145) + (xy 53.662962 102.30355) + (xy 53.6659 102.278226) + (xy 53.6659 102.278223) + (xy 54.0301 102.278223) + (xy 54.033038 102.30355) + (xy 54.033038 102.303552) + (xy 54.073692 102.395623) + (xy 54.0801 102.425998) + (xy 54.0801 102.768874) + (xy 54.079174 102.780638) + (xy 54.075078 102.806499) + (xy 54.075078 102.8065) + (xy 54.095043 102.932556) + (xy 54.146187 103.032931) + (xy 54.152984 103.046271) + (xy 54.243229 103.136516) + (xy 54.356943 103.194456) + (xy 54.356945 103.194457) + (xy 54.483 103.214422) + (xy 54.609055 103.194457) + (xy 54.722771 103.136516) + (xy 54.813016 103.046271) + (xy 54.870957 102.932555) + (xy 54.890922 102.8065) + (xy 54.886826 102.780638) + (xy 54.8859 102.768874) + (xy 54.8859 102.425998) + (xy 54.892308 102.395623) + (xy 54.932961 102.303552) + (xy 54.932962 102.30355) + (xy 54.9359 102.278226) + (xy 54.9359 102.278223) + (xy 55.3001 102.278223) + (xy 55.303038 102.30355) + (xy 55.303038 102.303552) + (xy 55.343692 102.395623) + (xy 55.3501 102.425998) + (xy 55.3501 102.768874) + (xy 55.349174 102.780638) + (xy 55.345078 102.806499) + (xy 55.345078 102.8065) + (xy 55.365043 102.932556) + (xy 55.416187 103.032931) + (xy 55.422984 103.046271) + (xy 55.513229 103.136516) + (xy 55.626943 103.194456) + (xy 55.626945 103.194457) + (xy 55.753 103.214422) + (xy 55.879055 103.194457) + (xy 55.992771 103.136516) + (xy 56.083016 103.046271) + (xy 56.140957 102.932555) + (xy 56.160922 102.8065) + (xy 56.156826 102.780638) + (xy 56.1559 102.768874) + (xy 56.1559 102.425998) + (xy 56.162308 102.395623) + (xy 56.202961 102.303552) + (xy 56.202962 102.30355) + (xy 56.2059 102.278226) + (xy 56.2059 101.558) + (xy 56.5706 101.558) + (xy 56.5706 102.27815) + (xy 56.573532 102.303432) + (xy 56.619199 102.406857) + (xy 56.619201 102.40686) + (xy 56.699139 102.486798) + (xy 56.699142 102.4868) + (xy 56.802567 102.532467) + (xy 56.82785 102.5354) + (xy 56.873 102.5354) + (xy 56.873 101.558) + (xy 57.173 101.558) + (xy 57.173 102.5354) + (xy 57.21815 102.5354) + (xy 57.243432 102.532467) + (xy 57.346857 102.4868) + (xy 57.34686 102.486798) + (xy 57.426798 102.40686) + (xy 57.4268 102.406857) + (xy 57.472467 102.303432) + (xy 57.475392 102.278223) + (xy 57.8401 102.278223) + (xy 57.843037 102.303548) + (xy 57.88878 102.407145) + (xy 57.888782 102.407148) + (xy 57.96885 102.487216) + (xy 57.968852 102.487217) + (xy 57.968855 102.48722) + (xy 58.020275 102.509923) + (xy 58.057387 102.545542) + (xy 58.0651 102.578716) + (xy 58.0651 102.798562) + (xy 58.064997 102.802498) + (xy 58.062876 102.842948) + (xy 58.071688 102.865902) + (xy 58.075039 102.877215) + (xy 58.080151 102.901263) + (xy 58.080152 102.901266) + (xy 58.085169 102.908171) + (xy 58.094536 102.925422) + (xy 58.097596 102.933395) + (xy 58.097597 102.933396) + (xy 58.114985 102.950784) + (xy 58.122644 102.959752) + (xy 58.137097 102.979644) + (xy 58.137099 102.979646) + (xy 58.144495 102.983916) + (xy 58.160066 102.995865) + (xy 58.634231 103.47003) + (xy 58.636942 103.472886) + (xy 58.659056 103.497447) + (xy 58.664051 103.502994) + (xy 58.686516 103.512995) + (xy 58.696887 103.518627) + (xy 58.717502 103.532016) + (xy 58.725928 103.53335) + (xy 58.744759 103.538928) + (xy 58.752553 103.542398) + (xy 58.752555 103.542398) + (xy 58.752558 103.5424) + (xy 58.777152 103.5424) + (xy 58.788915 103.543325) + (xy 58.813194 103.547171) + (xy 58.818253 103.545815) + (xy 58.82144 103.544962) + (xy 58.840901 103.5424) + (xy 59.500953 103.5424) + (xy 59.549291 103.559993) + (xy 59.554127 103.564426) + (xy 64.774074 108.784373) + (xy 64.795814 108.830993) + (xy 64.7961 108.837547) + (xy 64.7961 109.28495) + (xy 64.778507 109.333288) + (xy 64.774074 109.338125) + (xy 64.744007 109.368191) + (xy 64.697386 109.38993) + (xy 64.67907 109.38929) + (xy 64.643002 109.383578) + (xy 64.642999 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.235078 109.791499) + (xy 64.235078 109.7915) + (xy 64.255043 109.917556) + (xy 64.312932 110.031168) + (xy 64.312984 110.031271) + (xy 64.403229 110.121516) + (xy 64.456716 110.148769) + (xy 64.500439 110.171047) + (xy 64.535521 110.208668) + (xy 64.538213 110.260038) + (xy 64.519473 110.291225) + (xy 64.426508 110.38419) + (xy 64.379888 110.40593) + (xy 64.361572 110.40529) + (xy 64.325503 110.399578) + (xy 64.325499 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.994021 111.044399) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.733422 110.8075) + (xy 64.727708 110.771427) + (xy 64.737522 110.720933) + (xy 64.748804 110.706493) + (xy 65.497058 109.95824) + (xy 65.499875 109.955566) + (xy 65.529994 109.928449) + (xy 65.539992 109.90599) + (xy 65.545626 109.895614) + (xy 65.551512 109.886552) + (xy 65.559016 109.874997) + (xy 65.56035 109.866572) + (xy 65.56593 109.847734) + (xy 65.566928 109.845493) + (xy 65.5694 109.839942) + (xy 65.5694 109.815346) + (xy 65.570326 109.803581) + (xy 65.570434 109.8029) + (xy 65.574171 109.779306) + (xy 65.573629 109.777284) + (xy 65.571962 109.771059) + (xy 65.5694 109.751599) + (xy 65.5694 108.592936) + (xy 65.569503 108.588999) + (xy 65.571623 108.548555) + (xy 65.571622 108.548554) + (xy 65.571623 108.548552) + (xy 65.562806 108.525586) + (xy 65.55946 108.514288) + (xy 65.554348 108.490234) + (xy 65.54933 108.483327) + (xy 65.539963 108.466075) + (xy 65.536903 108.458104) + (xy 65.536902 108.458102) + (xy 65.519518 108.440718) + (xy 65.511855 108.431747) + (xy 65.497401 108.411854) + (xy 65.490003 108.407582) + (xy 65.474433 108.395634) + (xy 59.986308 102.907508) + (xy 59.964568 102.860888) + (xy 59.965207 102.842579) + (xy 59.970922 102.8065) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.893015 102.566728) + (xy 59.890329 102.561456) + (xy 59.892314 102.560444) + (xy 59.880661 102.519779) + (xy 59.90159 102.47279) + (xy 59.902445 102.471919) + (xy 59.96722 102.407145) + (xy 60.012962 102.30355) + (xy 60.0159 102.278226) + (xy 60.0159 101.558) + (xy 60.3806 101.558) + (xy 60.3806 102.27815) + (xy 60.383532 102.303432) + (xy 60.429199 102.406857) + (xy 60.429201 102.40686) + (xy 60.509139 102.486798) + (xy 60.509142 102.4868) + (xy 60.612567 102.532467) + (xy 60.63785 102.5354) + (xy 60.683 102.5354) + (xy 60.683 101.558) + (xy 60.983 101.558) + (xy 60.983 102.5354) + (xy 61.02815 102.5354) + (xy 61.053432 102.532467) + (xy 61.156857 102.4868) + (xy 61.15686 102.486798) + (xy 61.236798 102.40686) + (xy 61.2368 102.406857) + (xy 61.282467 102.303432) + (xy 61.285392 102.278223) + (xy 61.6501 102.278223) + (xy 61.653038 102.30355) + (xy 61.653038 102.303552) + (xy 61.693692 102.395623) + (xy 61.7001 102.425998) + (xy 61.7001 102.768874) + (xy 61.699174 102.780638) + (xy 61.695078 102.806499) + (xy 61.695078 102.8065) + (xy 61.715043 102.932556) + (xy 61.766187 103.032931) + (xy 61.772984 103.046271) + (xy 61.863229 103.136516) + (xy 61.976943 103.194456) + (xy 61.976945 103.194457) + (xy 62.103 103.214422) + (xy 62.229055 103.194457) + (xy 62.342771 103.136516) + (xy 62.433016 103.046271) + (xy 62.490957 102.932555) + (xy 62.510922 102.8065) + (xy 62.506826 102.780638) + (xy 62.5059 102.768874) + (xy 62.5059 102.425998) + (xy 62.512308 102.395623) + (xy 62.552961 102.303552) + (xy 62.552962 102.30355) + (xy 62.5559 102.278226) + (xy 62.5559 101.8861) + (xy 62.573493 101.837762) + (xy 62.618042 101.812042) + (xy 62.6311 101.8109) + (xy 62.722298 101.8109) + (xy 62.734063 101.811826) + (xy 62.737687 101.8124) + (xy 62.763875 101.8124) + (xy 62.775638 101.813325) + (xy 62.8015 101.817422) + (xy 62.827361 101.813325) + (xy 62.839125 101.8124) + (xy 63.197498 101.8124) + (xy 63.245836 101.829993) + (xy 63.250672 101.834426) + (xy 63.339737 101.923491) + (xy 63.339738 101.923491) + (xy 63.339739 101.923492) + (xy 63.372426 101.939471) + (xy 63.408125 101.976504) + (xy 63.4146 102.00703) + (xy 63.4146 102.197374) + (xy 63.413674 102.209138) + (xy 63.410703 102.2279) + (xy 63.409578 102.235) + (xy 63.4146 102.266707) + (xy 63.4146 102.266708) + (xy 63.415454 102.2721) + (xy 63.419813 102.299621) + (xy 63.429543 102.361056) + (xy 63.462633 102.425998) + (xy 63.487484 102.474771) + (xy 63.577729 102.565016) + (xy 63.691443 102.622956) + (xy 63.691445 102.622957) + (xy 63.8175 102.642922) + (xy 63.943555 102.622957) + (xy 64.057271 102.565016) + (xy 64.147516 102.474771) + (xy 64.205457 102.361055) + (xy 64.215187 102.299621) + (xy 64.2204 102.266708) + (xy 64.2204 102.266707) + (xy 64.225422 102.235) + (xy 64.224297 102.2279) + (xy 64.221326 102.209138) + (xy 64.2204 102.197374) + (xy 64.2204 102.00703) + (xy 64.237993 101.958692) + (xy 64.262572 101.939471) + (xy 64.295261 101.923492) + (xy 64.338468 101.880285) + (xy 64.384328 101.834426) + (xy 64.430948 101.812686) + (xy 64.437502 101.8124) + (xy 64.579812 101.8124) + (xy 64.579813 101.8124) + (xy 64.604722 101.804305) + (xy 64.616173 101.801555) + (xy 64.642055 101.797457) + (xy 64.665397 101.785563) + (xy 64.676284 101.781054) + (xy 64.701193 101.772961) + (xy 64.722378 101.757568) + (xy 64.73243 101.751407) + (xy 64.755771 101.739516) + (xy 64.846016 101.649271) + (xy 64.852201 101.643086) + (xy 64.852208 101.643076) + (xy 64.940076 101.555208) + (xy 64.940086 101.555201) + (xy 65.036514 101.458773) + (xy 65.036516 101.458771) + (xy 65.048407 101.435431) + (xy 65.054567 101.425379) + (xy 65.069962 101.404192) + (xy 65.078052 101.379291) + (xy 65.082569 101.368384) + (xy 65.094457 101.345055) + (xy 65.098555 101.319174) + (xy 65.101302 101.307734) + (xy 65.1094 101.282813) + (xy 65.1094 101.256624) + (xy 65.110326 101.244859) + (xy 65.114422 101.219) + (xy 65.114422 101.218999) + (xy 65.110326 101.193138) + (xy 65.1094 101.181374) + (xy 65.1094 101.155188) + (xy 65.109399 101.155185) + (xy 65.107738 101.150074) + (xy 65.101307 101.13028) + (xy 65.098552 101.118809) + (xy 65.094457 101.092945) + (xy 65.082568 101.069613) + (xy 65.078053 101.058711) + (xy 65.069962 101.033807) + (xy 65.069961 101.033805) + (xy 65.054567 101.012618) + (xy 65.048403 101.00256) + (xy 65.036516 100.979229) + (xy 65.017997 100.96071) + (xy 65.010337 100.951741) + (xy 65.009071 100.949999) + (xy 64.994945 100.930555) + (xy 64.980967 100.920399) + (xy 64.973753 100.915158) + (xy 64.964785 100.907498) + (xy 64.946271 100.888984) + (xy 64.945305 100.888492) + (xy 64.922941 100.877096) + (xy 64.91288 100.870931) + (xy 64.891695 100.855539) + (xy 64.891693 100.855538) + (xy 64.866783 100.847444) + (xy 64.855884 100.842929) + (xy 64.83256 100.831045) + (xy 64.832552 100.831042) + (xy 64.806694 100.826947) + (xy 64.795223 100.824193) + (xy 64.770314 100.8161) + (xy 64.770313 100.8161) + (xy 64.744125 100.8161) + (xy 64.732361 100.815174) + (xy 64.730694 100.81491) + (xy 64.7065 100.811078) + (xy 64.682306 100.81491) + (xy 64.680639 100.815174) + (xy 64.668875 100.8161) + (xy 64.642682 100.8161) + (xy 64.617781 100.824191) + (xy 64.606312 100.826945) + (xy 64.580443 100.831043) + (xy 64.557111 100.842931) + (xy 64.546214 100.847444) + (xy 64.521312 100.855536) + (xy 64.521305 100.855539) + (xy 64.500117 100.870933) + (xy 64.490061 100.877095) + (xy 64.485328 100.879507) + (xy 64.466726 100.888985) + (xy 64.466725 100.888986) + (xy 64.430907 100.924805) + (xy 64.384287 100.946545) + (xy 64.3346 100.933231) + (xy 64.324559 100.924805) + (xy 64.295264 100.89551) + (xy 64.295262 100.895509) + (xy 64.295261 100.895508) + (xy 64.185703 100.841949) + (xy 64.114682 100.8316) + (xy 64.114674 100.8316) + (xy 63.520326 100.8316) + (xy 63.520317 100.8316) + (xy 63.449297 100.841949) + (xy 63.370517 100.880462) + (xy 63.339735 100.89551) + (xy 63.250672 100.984574) + (xy 63.204052 101.006314) + (xy 63.197498 101.0066) + (xy 62.879202 101.0066) + (xy 62.867437 101.005674) + (xy 62.863813 101.0051) + (xy 62.831708 101.0051) + (xy 62.829655 101.0051) + (xy 62.817891 101.004174) + (xy 62.801501 101.001578) + (xy 62.801499 101.001578) + (xy 62.785109 101.004174) + (xy 62.773345 101.0051) + (xy 62.6311 101.0051) + (xy 62.582762 100.987507) + (xy 62.557042 100.942958) + (xy 62.5559 100.9299) + (xy 62.5559 100.6) + (xy 67.1476 100.6) + (xy 67.1476 100.788364) + (xy 67.153876 100.836043) + (xy 67.153878 100.836049) + (xy 67.202669 100.940682) + (xy 67.202675 100.94069) + (xy 67.284309 101.022324) + (xy 67.284317 101.02233) + (xy 67.38895 101.071121) + (xy 67.388956 101.071123) + (xy 67.436636 101.0774) + (xy 67.5 101.0774) + (xy 67.5 100.6) + (xy 67.8 100.6) + (xy 67.8 101.0774) + (xy 67.863364 101.0774) + (xy 67.911043 101.071123) + (xy 67.911049 101.071121) + (xy 68.015682 101.02233) + (xy 68.01569 101.022324) + (xy 68.097324 100.94069) + (xy 68.09733 100.940682) + (xy 68.146121 100.836049) + (xy 68.146123 100.836043) + (xy 68.152393 100.78842) + (xy 68.7471 100.78842) + (xy 68.748755 100.800992) + (xy 68.753386 100.836174) + (xy 68.753388 100.83618) + (xy 68.802254 100.940973) + (xy 68.80226 100.940981) + (xy 68.884018 101.022739) + (xy 68.884026 101.022745) + (xy 68.988819 101.071611) + (xy 68.988821 101.071611) + (xy 68.988824 101.071613) + (xy 69.03658 101.0779) + (xy 69.036582 101.0779) + (xy 69.463418 101.0779) + (xy 69.46342 101.0779) + (xy 69.511176 101.071613) + (xy 69.583353 101.037956) + (xy 69.615973 101.022745) + (xy 69.615973 101.022744) + (xy 69.615977 101.022743) + (xy 69.697743 100.940977) + (xy 69.737477 100.855766) + (xy 69.77385 100.819394) + (xy 69.825094 100.81491) + (xy 69.839772 100.820545) + (xy 69.873942 100.837956) + (xy 69.873943 100.837956) + (xy 69.873945 100.837957) + (xy 70 100.857922) + (xy 70.126055 100.837957) + (xy 70.239771 100.780016) + (xy 70.330016 100.689771) + (xy 70.387957 100.576055) + (xy 70.407922 100.45) + (xy 70.387957 100.323945) + (xy 70.330016 100.210229) + (xy 70.239771 100.119984) + (xy 70.126055 100.062043) + (xy 70.126057 100.062043) + (xy 70 100.042078) + (xy 69.873943 100.062043) + (xy 69.839771 100.079455) + (xy 69.788714 100.085724) + (xy 69.745573 100.057707) + (xy 69.737477 100.044232) + (xy 69.697745 99.959026) + (xy 69.697739 99.959018) + (xy 69.615981 99.87726) + (xy 69.615973 99.877254) + (xy 69.51118 99.828388) + (xy 69.511174 99.828386) + (xy 69.475992 99.823755) + (xy 69.46342 99.8221) + (xy 69.03658 99.8221) + (xy 69.02547 99.823562) + (xy 68.988825 99.828386) + (xy 68.988819 99.828388) + (xy 68.884026 99.877254) + (xy 68.884018 99.87726) + (xy 68.80226 99.959018) + (xy 68.802254 99.959026) + (xy 68.753388 100.063819) + (xy 68.753386 100.063825) + (xy 68.749971 100.089771) + (xy 68.7471 100.11158) + (xy 68.7471 100.78842) + (xy 68.152393 100.78842) + (xy 68.1524 100.788364) + (xy 68.1524 100.6) + (xy 67.8 100.6) + (xy 67.5 100.6) + (xy 67.1476 100.6) + (xy 62.5559 100.6) + (xy 62.5559 100.537776) + (xy 62.554295 100.523945) + (xy 62.552962 100.51245) + (xy 62.512306 100.420375) + (xy 62.5059 100.390001) + (xy 62.5059 100.0595) + (xy 63.190101 100.0595) + (xy 63.190101 100.156642) + (xy 63.200434 100.227559) + (xy 63.253921 100.336969) + (xy 63.34003 100.423078) + (xy 63.449441 100.476565) + (xy 63.44944 100.476565) + (xy 63.520363 100.486899) + (xy 63.6675 100.486899) + (xy 63.6675 100.0595) + (xy 63.9675 100.0595) + (xy 63.9675 100.486899) + (xy 64.11463 100.486899) + (xy 64.114642 100.486898) + (xy 64.185559 100.476565) + (xy 64.294969 100.423078) + (xy 64.381078 100.336969) + (xy 64.399151 100.3) + (xy 67.1476 100.3) + (xy 67.5 100.3) + (xy 67.5 99.8226) + (xy 67.8 99.8226) + (xy 67.8 100.3) + (xy 68.1524 100.3) + (xy 68.1524 100.111635) + (xy 68.146123 100.063956) + (xy 68.146121 100.06395) + (xy 68.09733 99.959317) + (xy 68.097324 99.959309) + (xy 68.01569 99.877675) + (xy 68.015682 99.877669) + (xy 67.911049 99.828878) + (xy 67.911043 99.828876) + (xy 67.863364 99.8226) + (xy 67.8 99.8226) + (xy 67.5 99.8226) + (xy 67.436636 99.8226) + (xy 67.388956 99.828876) + (xy 67.38895 99.828878) + (xy 67.284317 99.877669) + (xy 67.284309 99.877675) + (xy 67.202675 99.959309) + (xy 67.202669 99.959317) + (xy 67.153878 100.06395) + (xy 67.153876 100.063956) + (xy 67.1476 100.111635) + (xy 67.1476 100.3) + (xy 64.399151 100.3) + (xy 64.434565 100.227558) + (xy 64.444899 100.156641) + (xy 64.4449 100.156631) + (xy 64.4449 100.0595) + (xy 63.9675 100.0595) + (xy 63.6675 100.0595) + (xy 63.190101 100.0595) + (xy 62.5059 100.0595) + (xy 62.5059 100.050124) + (xy 62.506826 100.038359) + (xy 62.508467 100.028003) + (xy 62.510922 100.0125) + (xy 62.508698 99.998461) + (xy 62.502497 99.959309) + (xy 62.490957 99.886445) + (xy 62.433016 99.772729) + (xy 62.419787 99.7595) + (xy 63.1901 99.7595) + (xy 63.6675 99.7595) + (xy 63.6675 99.3321) + (xy 63.9675 99.3321) + (xy 63.9675 99.7595) + (xy 64.444899 99.7595) + (xy 64.444899 99.662369) + (xy 64.444898 99.662357) + (xy 64.434565 99.59144) + (xy 64.381078 99.48203) + (xy 64.294969 99.395921) + (xy 64.185558 99.342434) + (xy 64.185559 99.342434) + (xy 64.114641 99.3321) + (xy 63.9675 99.3321) + (xy 63.6675 99.3321) + (xy 63.52037 99.3321) + (xy 63.520357 99.332101) + (xy 63.44944 99.342434) + (xy 63.34003 99.395921) + (xy 63.253921 99.48203) + (xy 63.200434 99.591441) + (xy 63.1901 99.662358) + (xy 63.1901 99.7595) + (xy 62.419787 99.7595) + (xy 62.342771 99.682484) + (xy 62.229055 99.624543) + (xy 62.229057 99.624543) + (xy 62.103 99.604578) + (xy 61.976943 99.624543) + (xy 61.863228 99.682484) + (xy 61.772984 99.772728) + (xy 61.715043 99.886443) + (xy 61.697267 99.998677) + (xy 61.695078 100.0125) + (xy 61.697533 100.028003) + (xy 61.699174 100.038359) + (xy 61.7001 100.050124) + (xy 61.7001 100.390001) + (xy 61.693693 100.420376) + (xy 61.653037 100.512451) + (xy 61.6501 100.537776) + (xy 61.6501 102.278223) + (xy 61.285392 102.278223) + (xy 61.2854 102.27815) + (xy 61.2854 101.558) + (xy 60.983 101.558) + (xy 60.683 101.558) + (xy 60.3806 101.558) + (xy 60.0159 101.558) + (xy 60.0159 101.258) + (xy 60.3806 101.258) + (xy 60.683 101.258) + (xy 60.683 100.2806) + (xy 60.983 100.2806) + (xy 60.983 101.258) + (xy 61.2854 101.258) + (xy 61.2854 100.537849) + (xy 61.282467 100.512567) + (xy 61.2368 100.409142) + (xy 61.236798 100.409139) + (xy 61.15686 100.329201) + (xy 61.156857 100.329199) + (xy 61.053432 100.283532) + (xy 61.02815 100.2806) + (xy 60.983 100.2806) + (xy 60.683 100.2806) + (xy 60.63785 100.2806) + (xy 60.612567 100.283532) + (xy 60.509142 100.329199) + (xy 60.509139 100.329201) + (xy 60.429201 100.409139) + (xy 60.429199 100.409142) + (xy 60.383532 100.512567) + (xy 60.3806 100.537849) + (xy 60.3806 101.258) + (xy 60.0159 101.258) + (xy 60.0159 100.537774) + (xy 60.012962 100.51245) + (xy 59.96722 100.408855) + (xy 59.967219 100.408854) + (xy 59.967217 100.408851) + (xy 59.887148 100.328782) + (xy 59.887141 100.328777) + (xy 59.835725 100.306075) + (xy 59.798613 100.270457) + (xy 59.7909 100.237283) + (xy 59.7909 99.884047) + (xy 59.808493 99.835709) + (xy 59.812926 99.830873) + (xy 60.460873 99.182926) + (xy 60.507493 99.161186) + (xy 60.514047 99.1609) + (xy 62.920564 99.1609) + (xy 62.9245 99.161003) + (xy 62.964947 99.163123) + (xy 62.964947 99.163122) + (xy 62.964948 99.163123) + (xy 62.987903 99.15431) + (xy 62.999222 99.150958) + (xy 63.023261 99.145849) + (xy 63.02326 99.145849) + (xy 63.023266 99.145848) + (xy 63.030174 99.140828) + (xy 63.047421 99.131464) + (xy 63.055396 99.128403) + (xy 63.072789 99.111009) + (xy 63.081748 99.103357) + (xy 63.101646 99.088901) + (xy 63.105915 99.081505) + (xy 63.117862 99.065935) + (xy 63.233797 98.95) + (xy 66.492078 98.95) + (xy 66.512043 99.076056) + (xy 66.560731 99.171611) + (xy 66.569984 99.189771) + (xy 66.660229 99.280016) + (xy 66.773943 99.337956) + (xy 66.773945 99.337957) + (xy 66.9 99.357922) + (xy 67.026055 99.337957) + (xy 67.060228 99.320544) + (xy 67.111282 99.314275) + (xy 67.154424 99.34229) + (xy 67.162522 99.355767) + (xy 67.202254 99.440973) + (xy 67.20226 99.440981) + (xy 67.284018 99.522739) + (xy 67.284026 99.522745) + (xy 67.388819 99.571611) + (xy 67.388821 99.571611) + (xy 67.388824 99.571613) + (xy 67.43658 99.5779) + (xy 67.436582 99.5779) + (xy 67.863418 99.5779) + (xy 67.86342 99.5779) + (xy 67.911176 99.571613) + (xy 67.973147 99.542715) + (xy 68.015973 99.522745) + (xy 68.015973 99.522744) + (xy 68.015977 99.522743) + (xy 68.097743 99.440977) + (xy 68.103614 99.428388) + (xy 68.135782 99.359402) + (xy 68.146613 99.336176) + (xy 68.1529 99.28842) + (xy 68.7471 99.28842) + (xy 68.748755 99.300992) + (xy 68.753386 99.336174) + (xy 68.753388 99.33618) + (xy 68.802254 99.440973) + (xy 68.80226 99.440981) + (xy 68.884018 99.522739) + (xy 68.884026 99.522745) + (xy 68.988819 99.571611) + (xy 68.988821 99.571611) + (xy 68.988824 99.571613) + (xy 69.03658 99.5779) + (xy 69.036582 99.5779) + (xy 69.463418 99.5779) + (xy 69.46342 99.5779) + (xy 69.511176 99.571613) + (xy 69.573147 99.542715) + (xy 69.615973 99.522745) + (xy 69.615973 99.522744) + (xy 69.615977 99.522743) + (xy 69.697743 99.440977) + (xy 69.737477 99.355766) + (xy 69.77385 99.319394) + (xy 69.825094 99.31491) + (xy 69.839772 99.320545) + (xy 69.873942 99.337956) + (xy 69.873943 99.337956) + (xy 69.873945 99.337957) + (xy 70 99.357922) + (xy 70.126055 99.337957) + (xy 70.239771 99.280016) + (xy 70.330016 99.189771) + (xy 70.387957 99.076055) + (xy 70.407922 98.95) + (xy 70.387957 98.823945) + (xy 70.330016 98.710229) + (xy 70.239771 98.619984) + (xy 70.126055 98.562043) + (xy 70.126057 98.562043) + (xy 70 98.542078) + (xy 69.873943 98.562043) + (xy 69.839771 98.579455) + (xy 69.788714 98.585724) + (xy 69.745573 98.557707) + (xy 69.737477 98.544232) + (xy 69.697745 98.459026) + (xy 69.697739 98.459018) + (xy 69.615981 98.37726) + (xy 69.615973 98.377254) + (xy 69.51118 98.328388) + (xy 69.511174 98.328386) + (xy 69.475992 98.323755) + (xy 69.46342 98.3221) + (xy 69.03658 98.3221) + (xy 69.02547 98.323562) + (xy 68.988825 98.328386) + (xy 68.988819 98.328388) + (xy 68.884026 98.377254) + (xy 68.884018 98.37726) + (xy 68.80226 98.459018) + (xy 68.802254 98.459026) + (xy 68.753388 98.563819) + (xy 68.753386 98.563825) + (xy 68.749006 98.5971) + (xy 68.7471 98.61158) + (xy 68.7471 99.28842) + (xy 68.1529 99.28842) + (xy 68.1529 98.61158) + (xy 68.146613 98.563824) + (xy 68.146568 98.563727) + (xy 68.097745 98.459026) + (xy 68.097739 98.459018) + (xy 68.015981 98.37726) + (xy 68.015973 98.377254) + (xy 67.91118 98.328388) + (xy 67.911174 98.328386) + (xy 67.875992 98.323755) + (xy 67.86342 98.3221) + (xy 67.43658 98.3221) + (xy 67.42547 98.323562) + (xy 67.388825 98.328386) + (xy 67.388819 98.328388) + (xy 67.284026 98.377254) + (xy 67.284018 98.37726) + (xy 67.20226 98.459018) + (xy 67.202254 98.459026) + (xy 67.162522 98.544232) + (xy 67.126148 98.580606) + (xy 67.074904 98.585088) + (xy 67.060228 98.579455) + (xy 67.026055 98.562043) + (xy 67.026057 98.562043) + (xy 66.9 98.542078) + (xy 66.773943 98.562043) + (xy 66.660228 98.619984) + (xy 66.569984 98.710228) + (xy 66.512043 98.823943) + (xy 66.492078 98.949999) + (xy 66.492078 98.95) + (xy 63.233797 98.95) + (xy 63.973057 98.210741) + (xy 63.975875 98.208066) + (xy 64.005994 98.180949) + (xy 64.015996 98.15848) + (xy 64.021624 98.148115) + (xy 64.035016 98.127496) + (xy 64.03635 98.119071) + (xy 64.04193 98.100234) + (xy 64.0454 98.092442) + (xy 64.0454 98.067846) + (xy 64.046326 98.056081) + (xy 64.050171 98.031806) + (xy 64.048637 98.02608) + (xy 64.047962 98.023559) + (xy 64.0454 98.004099) + (xy 64.0454 97.8346) + (xy 64.062993 97.786262) + (xy 64.107542 97.760542) + (xy 64.1206 97.7594) + (xy 64.155918 97.7594) + (xy 64.15592 97.7594) + (xy 64.203676 97.753113) + (xy 64.264423 97.724786) + (xy 64.308473 97.704245) + (xy 64.308473 97.704244) + (xy 64.308477 97.704243) + (xy 64.390243 97.622477) + (xy 64.407533 97.5854) + (xy 64.439111 97.51768) + (xy 64.439113 97.517676) + (xy 64.4454 97.46992) + (xy 64.4454 97.45) + (xy 66.492078 97.45) + (xy 66.512043 97.576056) + (xy 66.559316 97.668834) + (xy 66.569984 97.689771) + (xy 66.660229 97.780016) + (xy 66.773943 97.837956) + (xy 66.773945 97.837957) + (xy 66.9 97.857922) + (xy 67.026055 97.837957) + (xy 67.060228 97.820544) + (xy 67.111282 97.814275) + (xy 67.154424 97.84229) + (xy 67.162522 97.855767) + (xy 67.202254 97.940973) + (xy 67.20226 97.940981) + (xy 67.284018 98.022739) + (xy 67.284026 98.022745) + (xy 67.388819 98.071611) + (xy 67.388821 98.071611) + (xy 67.388824 98.071613) + (xy 67.43658 98.0779) + (xy 67.436582 98.0779) + (xy 67.863418 98.0779) + (xy 67.86342 98.0779) + (xy 67.911176 98.071613) + (xy 67.969628 98.044356) + (xy 68.015973 98.022745) + (xy 68.015973 98.022744) + (xy 68.015977 98.022743) + (xy 68.097743 97.940977) + (xy 68.0996 97.936996) + (xy 68.134551 97.862043) + (xy 68.146613 97.836176) + (xy 68.1529 97.78842) + (xy 68.1529 97.788418) + (xy 68.7471 97.788418) + (xy 68.753386 97.836174) + (xy 68.753388 97.83618) + (xy 68.802254 97.940973) + (xy 68.80226 97.940981) + (xy 68.884018 98.022739) + (xy 68.884026 98.022745) + (xy 68.988819 98.071611) + (xy 68.988821 98.071611) + (xy 68.988824 98.071613) + (xy 69.03658 98.0779) + (xy 69.036582 98.0779) + (xy 69.463418 98.0779) + (xy 69.46342 98.0779) + (xy 69.511176 98.071613) + (xy 69.569628 98.044356) + (xy 69.615973 98.022745) + (xy 69.615973 98.022744) + (xy 69.615977 98.022743) + (xy 69.697743 97.940977) + (xy 69.737477 97.855766) + (xy 69.77385 97.819394) + (xy 69.825094 97.81491) + (xy 69.839772 97.820545) + (xy 69.873942 97.837956) + (xy 69.873943 97.837956) + (xy 69.873945 97.837957) + (xy 70 97.857922) + (xy 70.126055 97.837957) + (xy 70.239771 97.780016) + (xy 70.330016 97.689771) + (xy 70.387957 97.576055) + (xy 70.407922 97.45) + (xy 70.407871 97.449681) + (xy 70.397787 97.38601) + (xy 70.387957 97.323945) + (xy 70.330016 97.210229) + (xy 70.239771 97.119984) + (xy 70.126055 97.062043) + (xy 70.126057 97.062043) + (xy 70 97.042078) + (xy 69.873943 97.062043) + (xy 69.839771 97.079455) + (xy 69.788714 97.085724) + (xy 69.745573 97.057707) + (xy 69.737477 97.044232) + (xy 69.697745 96.959026) + (xy 69.697739 96.959018) + (xy 69.615981 96.87726) + (xy 69.615973 96.877254) + (xy 69.51118 96.828388) + (xy 69.511174 96.828386) + (xy 69.475992 96.823755) + (xy 69.46342 96.8221) + (xy 69.03658 96.8221) + (xy 69.02547 96.823562) + (xy 68.988825 96.828386) + (xy 68.988819 96.828388) + (xy 68.884026 96.877254) + (xy 68.884018 96.87726) + (xy 68.80226 96.959018) + (xy 68.802254 96.959026) + (xy 68.753388 97.063819) + (xy 68.753386 97.063825) + (xy 68.7471 97.111581) + (xy 68.7471 97.788418) + (xy 68.1529 97.788418) + (xy 68.1529 97.11158) + (xy 68.146613 97.063824) + (xy 68.146611 97.063819) + (xy 68.097745 96.959026) + (xy 68.097739 96.959018) + (xy 68.015981 96.87726) + (xy 68.015973 96.877254) + (xy 67.91118 96.828388) + (xy 67.911174 96.828386) + (xy 67.875992 96.823755) + (xy 67.86342 96.8221) + (xy 67.43658 96.8221) + (xy 67.42547 96.823562) + (xy 67.388825 96.828386) + (xy 67.388819 96.828388) + (xy 67.284026 96.877254) + (xy 67.284018 96.87726) + (xy 67.20226 96.959018) + (xy 67.202254 96.959026) + (xy 67.162522 97.044232) + (xy 67.126148 97.080606) + (xy 67.074904 97.085088) + (xy 67.060228 97.079455) + (xy 67.05317 97.075859) + (xy 67.026055 97.062043) + (xy 67.026057 97.062043) + (xy 66.9 97.042078) + (xy 66.773943 97.062043) + (xy 66.660228 97.119984) + (xy 66.569984 97.210228) + (xy 66.512043 97.323943) + (xy 66.492078 97.449999) + (xy 66.492078 97.45) + (xy 64.4454 97.45) + (xy 64.4454 97.04308) + (xy 64.439113 96.995324) + (xy 64.434853 96.986188) + (xy 64.390245 96.890526) + (xy 64.390239 96.890518) + (xy 64.308481 96.80876) + (xy 64.308473 96.808754) + (xy 64.20368 96.759888) + (xy 64.203674 96.759886) + (xy 64.168492 96.755255) + (xy 64.15592 96.7536) + (xy 63.47908 96.7536) + (xy 63.46797 96.755062) + (xy 63.431325 96.759886) + (xy 63.431319 96.759888) + (xy 63.326526 96.808754) + (xy 63.326518 96.80876) + (xy 63.24476 96.890518) + (xy 63.244754 96.890526) + (xy 63.195888 96.995319) + (xy 63.195886 96.995325) + (xy 63.191459 97.028956) + (xy 63.1896 97.04308) + (xy 63.1896 97.46992) + (xy 63.190651 97.4779) + (xy 63.195886 97.517674) + (xy 63.195888 97.51768) + (xy 63.244754 97.622473) + (xy 63.24476 97.622481) + (xy 63.326518 97.704239) + (xy 63.326526 97.704245) + (xy 63.431319 97.753111) + (xy 63.431321 97.753111) + (xy 63.431324 97.753113) + (xy 63.47908 97.7594) + (xy 63.5144 97.7594) + (xy 63.562738 97.776993) + (xy 63.588458 97.821542) + (xy 63.5896 97.8346) + (xy 63.5896 97.918452) + (xy 63.572007 97.96679) + (xy 63.567574 97.971626) + (xy 62.856126 98.683074) + (xy 62.809506 98.704814) + (xy 62.802952 98.7051) + (xy 60.396429 98.7051) + (xy 60.392492 98.704997) + (xy 60.352051 98.702876) + (xy 60.329097 98.711688) + (xy 60.317786 98.715039) + (xy 60.293735 98.720151) + (xy 60.293729 98.720154) + (xy 60.286824 98.725171) + (xy 60.269585 98.734532) + (xy 60.261604 98.737596) + (xy 60.244212 98.754987) + (xy 60.235246 98.762645) + (xy 60.215354 98.777098) + (xy 60.215352 98.777101) + (xy 60.211083 98.784495) + (xy 60.199134 98.800065) + (xy 59.407456 99.591742) + (xy 59.404602 99.594452) + (xy 59.374504 99.621552) + (xy 59.364507 99.644009) + (xy 59.358877 99.654378) + (xy 59.345485 99.675001) + (xy 59.345483 99.675006) + (xy 59.344148 99.683435) + (xy 59.338574 99.702254) + (xy 59.3351 99.710057) + (xy 59.3351 99.734652) + (xy 59.334174 99.746416) + (xy 59.330329 99.770691) + (xy 59.330329 99.770694) + (xy 59.332538 99.778938) + (xy 59.3351 99.7984) + (xy 59.3351 100.237283) + (xy 59.317507 100.285621) + (xy 59.290275 100.306075) + (xy 59.238858 100.328777) + (xy 59.238851 100.328782) + (xy 59.158782 100.408851) + (xy 59.15878 100.408854) + (xy 59.113037 100.512451) + (xy 59.1101 100.537776) + (xy 59.1101 102.278223) + (xy 59.113037 102.303548) + (xy 59.15878 102.407145) + (xy 59.158782 102.407148) + (xy 59.223496 102.471862) + (xy 59.245236 102.518482) + (xy 59.233955 102.560581) + (xy 59.235671 102.561456) + (xy 59.232984 102.566728) + (xy 59.232984 102.566729) + (xy 59.226214 102.580016) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.197821 102.97726) + (xy 59.20409 103.028316) + (xy 59.176073 103.071457) + (xy 59.130817 103.0866) + (xy 58.926548 103.0866) + (xy 58.87821 103.069007) + (xy 58.873373 103.064574) + (xy 58.542925 102.734125) + (xy 58.521186 102.687505) + (xy 58.5209 102.680951) + (xy 58.5209 102.578716) + (xy 58.538493 102.530378) + (xy 58.565724 102.509924) + (xy 58.617145 102.48722) + (xy 58.69722 102.407145) + (xy 58.742962 102.30355) + (xy 58.7459 102.278226) + (xy 58.7459 100.537774) + (xy 58.742962 100.51245) + (xy 58.69722 100.408855) + (xy 58.697219 100.408854) + (xy 58.697217 100.408851) + (xy 58.617148 100.328782) + (xy 58.617145 100.32878) + (xy 58.513548 100.283037) + (xy 58.4941 100.280781) + (xy 58.488226 100.2801) + (xy 58.097774 100.2801) + (xy 58.09251 100.28071) + (xy 58.072451 100.283037) + (xy 57.968854 100.32878) + (xy 57.968851 100.328782) + (xy 57.888782 100.408851) + (xy 57.88878 100.408854) + (xy 57.843037 100.512451) + (xy 57.8401 100.537776) + (xy 57.8401 102.278223) + (xy 57.475392 102.278223) + (xy 57.4754 102.27815) + (xy 57.4754 101.558) + (xy 57.173 101.558) + (xy 56.873 101.558) + (xy 56.5706 101.558) + (xy 56.2059 101.558) + (xy 56.2059 101.258) + (xy 56.5706 101.258) + (xy 56.873 101.258) + (xy 56.873 100.2806) + (xy 57.173 100.2806) + (xy 57.173 101.258) + (xy 57.4754 101.258) + (xy 57.4754 100.537849) + (xy 57.472467 100.512567) + (xy 57.4268 100.409142) + (xy 57.426798 100.409139) + (xy 57.34686 100.329201) + (xy 57.346857 100.329199) + (xy 57.243432 100.283532) + (xy 57.21815 100.2806) + (xy 57.173 100.2806) + (xy 56.873 100.2806) + (xy 56.82785 100.2806) + (xy 56.802567 100.283532) + (xy 56.699142 100.329199) + (xy 56.699139 100.329201) + (xy 56.619201 100.409139) + (xy 56.619199 100.409142) + (xy 56.573532 100.512567) + (xy 56.5706 100.537849) + (xy 56.5706 101.258) + (xy 56.2059 101.258) + (xy 56.2059 100.537774) + (xy 56.202962 100.51245) + (xy 56.15722 100.408855) + (xy 56.157219 100.408854) + (xy 56.157217 100.408851) + (xy 56.077148 100.328782) + (xy 56.077145 100.32878) + (xy 55.973548 100.283037) + (xy 55.9541 100.280781) + (xy 55.948226 100.2801) + (xy 55.557774 100.2801) + (xy 55.55251 100.28071) + (xy 55.532451 100.283037) + (xy 55.428854 100.32878) + (xy 55.428851 100.328782) + (xy 55.348782 100.408851) + (xy 55.34878 100.408854) + (xy 55.303037 100.512451) + (xy 55.3001 100.537776) + (xy 55.3001 102.278223) + (xy 54.9359 102.278223) + (xy 54.9359 100.537774) + (xy 54.932962 100.51245) + (xy 54.88722 100.408855) + (xy 54.887219 100.408854) + (xy 54.887217 100.408851) + (xy 54.807148 100.328782) + (xy 54.807145 100.32878) + (xy 54.703548 100.283037) + (xy 54.6841 100.280781) + (xy 54.678226 100.2801) + (xy 54.287774 100.2801) + (xy 54.28251 100.28071) + (xy 54.262451 100.283037) + (xy 54.158854 100.32878) + (xy 54.158851 100.328782) + (xy 54.078782 100.408851) + (xy 54.07878 100.408854) + (xy 54.033037 100.512451) + (xy 54.0301 100.537776) + (xy 54.0301 102.278223) + (xy 53.6659 102.278223) + (xy 53.6659 100.537774) + (xy 53.662962 100.51245) + (xy 53.61722 100.408855) + (xy 53.617219 100.408854) + (xy 53.617217 100.408851) + (xy 53.537148 100.328782) + (xy 53.537145 100.32878) + (xy 53.433548 100.283037) + (xy 53.4141 100.280781) + (xy 53.408226 100.2801) + (xy 53.017774 100.2801) + (xy 53.01251 100.28071) + (xy 52.992451 100.283037) + (xy 52.888854 100.32878) + (xy 52.888851 100.328782) + (xy 52.808782 100.408851) + (xy 52.80878 100.408854) + (xy 52.763037 100.512451) + (xy 52.7601 100.537776) + (xy 52.7601 102.278223) + (xy 50.502399 102.278223) + (xy 50.502399 102.206758) + (xy 50.491738 102.11798) + (xy 50.436033 101.976721) + (xy 50.436031 101.976718) + (xy 50.344277 101.855722) + (xy 50.223281 101.763968) + (xy 50.223278 101.763966) + (xy 50.08202 101.708261) + (xy 49.993243 101.6976) + (xy 49.7 101.6976) + (xy 49.4 101.6976) + (xy 49.106758 101.6976) + (xy 49.01798 101.708261) + (xy 48.876721 101.763966) + (xy 48.876718 101.763968) + (xy 48.755722 101.855722) + (xy 48.663968 101.976718) + (xy 48.663966 101.976721) + (xy 48.608261 102.117979) + (xy 48.5976 102.206757) + (xy 48.5976 102.75) + (xy 47.952379 102.75) + (xy 47.929637 102.720362) + (xy 47.8038 102.623806) + (xy 47.657259 102.563105) + (xy 47.539481 102.5476) + (xy 47.15 102.5476) + (xy 46.85 102.5476) + (xy 46.460519 102.5476) + (xy 46.34274 102.563105) + (xy 46.204978 102.62017) + (xy 46.153587 102.622414) + (xy 46.112777 102.591099) + (xy 46.101 102.550694) + (xy 46.101 102.084992) + (xy 46.118593 102.036654) + (xy 46.163142 102.010934) + (xy 46.2138 102.019867) + (xy 46.214481 102.020265) + (xy 46.273848 102.055375) + (xy 46.273847 102.055375) + (xy 46.42607 102.0996) + (xy 46.42608 102.099602) + (xy 46.46163 102.102399) + (xy 46.461637 102.1024) + (xy 46.85 102.1024) + (xy 46.85 101.15) + (xy 47.15 101.15) + (xy 47.15 102.1024) + (xy 47.538363 102.1024) + (xy 47.538369 102.102399) + (xy 47.573919 102.099602) + (xy 47.573929 102.0996) + (xy 47.72615 102.055375) + (xy 47.862594 101.974683) + (xy 47.862601 101.974677) + (xy 47.974677 101.862601) + (xy 47.974683 101.862594) + (xy 48.055375 101.72615) + (xy 48.0996 101.573929) + (xy 48.099602 101.573919) + (xy 48.102399 101.538369) + (xy 48.1024 101.538362) + (xy 48.1024 101.25) + (xy 48.852601 101.25) + (xy 48.862244 101.298481) + (xy 48.91803 101.381969) + (xy 49.001517 101.437755) + (xy 49.001519 101.437756) + (xy 49.075138 101.452399) + (xy 49.525 101.452399) + (xy 49.525 101.25) + (xy 49.825 101.25) + (xy 49.825 101.452399) + (xy 50.27486 101.452399) + (xy 50.274861 101.452398) + (xy 50.348481 101.437755) + (xy 50.431969 101.381969) + (xy 50.487756 101.29848) + (xy 50.497399 101.25) + (xy 49.825 101.25) + (xy 49.525 101.25) + (xy 48.852601 101.25) + (xy 48.1024 101.25) + (xy 48.1024 101.15) + (xy 47.15 101.15) + (xy 46.85 101.15) + (xy 46.85 99.8976) + (xy 47.15 99.8976) + (xy 47.15 100.85) + (xy 48.1024 100.85) + (xy 48.1024 100.574911) + (xy 48.8471 100.574911) + (xy 48.847101 100.574912) + (xy 48.860427 100.641912) + (xy 48.861773 100.648677) + (xy 48.917669 100.732331) + (xy 48.91767 100.732331) + (xy 48.918565 100.733671) + (xy 48.930791 100.783637) + (xy 48.918564 100.817229) + (xy 48.862244 100.901516) + (xy 48.862243 100.901519) + (xy 48.8526 100.949999) + (xy 48.8526 100.95) + (xy 50.497399 100.95) + (xy 50.487755 100.901518) + (xy 50.431434 100.81723) + (xy 50.419207 100.767264) + (xy 50.431434 100.73367) + (xy 50.432326 100.732333) + (xy 50.432331 100.732331) + (xy 50.488227 100.648677) + (xy 50.5029 100.574911) + (xy 50.502899 100.32509) + (xy 50.488227 100.251323) + (xy 50.432331 100.167669) + (xy 50.432329 100.167668) + (xy 50.431735 100.166778) + (xy 50.419509 100.116812) + (xy 50.431736 100.083219) + (xy 50.432328 100.082332) + (xy 50.432331 100.082331) + (xy 50.446371 100.061318) + (xy 50.487853 100.030904) + (xy 50.508895 100.0279) + (xy 55.068564 100.0279) + (xy 55.0725 100.028003) + (xy 55.112947 100.030123) + (xy 55.112947 100.030122) + (xy 55.112948 100.030123) + (xy 55.135903 100.02131) + (xy 55.147222 100.017958) + (xy 55.171261 100.012849) + (xy 55.17126 100.012849) + (xy 55.171266 100.012848) + (xy 55.178174 100.007828) + (xy 55.195421 99.998464) + (xy 55.203396 99.995403) + (xy 55.220789 99.978009) + (xy 55.229748 99.970357) + (xy 55.249646 99.955901) + (xy 55.253915 99.948505) + (xy 55.265862 99.932935) + (xy 57.178557 98.020241) + (xy 57.181375 98.017566) + (xy 57.211494 97.990449) + (xy 57.221496 97.96798) + (xy 57.22713 97.957607) + (xy 57.23233 97.9496) + (xy 57.240516 97.936996) + (xy 57.241851 97.928561) + (xy 57.247424 97.909748) + (xy 57.2509 97.901942) + (xy 57.2509 97.877352) + (xy 57.251826 97.865586) + (xy 57.253381 97.855768) + (xy 57.255672 97.841306) + (xy 57.25346 97.833053) + (xy 57.2509 97.813595) + (xy 57.2509 97.628716) + (xy 57.268493 97.580378) + (xy 57.295724 97.559924) + (xy 57.347145 97.53722) + (xy 57.42722 97.457145) + (xy 57.472962 97.35355) + (xy 57.4759 97.328226) + (xy 57.4759 95.587774) + (xy 57.472962 95.56245) + (xy 57.42722 95.458855) + (xy 57.427219 95.458854) + (xy 57.427217 95.458851) + (xy 57.347148 95.378782) + (xy 57.347145 95.37878) + (xy 57.243548 95.333037) + (xy 57.2241 95.330781) + (xy 57.218226 95.3301) + (xy 56.827774 95.3301) + (xy 56.82251 95.33071) + (xy 56.802451 95.333037) + (xy 56.698854 95.37878) + (xy 56.698851 95.378782) + (xy 56.618782 95.458851) + (xy 56.61878 95.458854) + (xy 56.573037 95.562451) + (xy 56.572342 95.568445) + (xy 56.5701 95.587774) + (xy 56.5701 97.328226) + (xy 56.57059 97.332449) + (xy 56.573037 97.353548) + (xy 56.61878 97.457145) + (xy 56.618782 97.457148) + (xy 56.69885 97.537216) + (xy 56.698852 97.537217) + (xy 56.698855 97.53722) + (xy 56.750275 97.559923) + (xy 56.787387 97.595542) + (xy 56.7951 97.628716) + (xy 56.7951 97.727952) + (xy 56.777507 97.77629) + (xy 56.773074 97.781126) + (xy 56.109274 98.444926) + (xy 56.062654 98.466666) + (xy 56.012967 98.453352) + (xy 55.983462 98.411215) + (xy 55.9809 98.391752) + (xy 55.9809 97.628716) + (xy 55.998493 97.580378) + (xy 56.025724 97.559924) + (xy 56.077145 97.53722) + (xy 56.15722 97.457145) + (xy 56.202962 97.35355) + (xy 56.2059 97.328226) + (xy 56.2059 95.587774) + (xy 56.202962 95.56245) + (xy 56.15722 95.458855) + (xy 56.157219 95.458854) + (xy 56.157217 95.458851) + (xy 56.077148 95.378782) + (xy 56.077145 95.37878) + (xy 55.973548 95.333037) + (xy 55.9541 95.330781) + (xy 55.948226 95.3301) + (xy 55.557774 95.3301) + (xy 55.55251 95.33071) + (xy 55.532451 95.333037) + (xy 55.428854 95.37878) + (xy 55.428851 95.378782) + (xy 55.348782 95.458851) + (xy 55.34878 95.458854) + (xy 55.303037 95.562451) + (xy 55.302342 95.568445) + (xy 55.3001 95.587774) + (xy 55.3001 97.328226) + (xy 55.30059 97.332449) + (xy 55.303037 97.353548) + (xy 55.34878 97.457145) + (xy 55.348782 97.457148) + (xy 55.42885 97.537216) + (xy 55.428852 97.537217) + (xy 55.428855 97.53722) + (xy 55.480275 97.559923) + (xy 55.517387 97.595542) + (xy 55.5251 97.628716) + (xy 55.5251 98.553452) + (xy 55.507507 98.60179) + (xy 55.503074 98.606626) + (xy 54.859626 99.250074) + (xy 54.813006 99.271814) + (xy 54.806452 99.2721) + (xy 54.683399 99.2721) + (xy 54.663941 99.269538) + (xy 54.658571 99.268099) + (xy 54.616436 99.238596) + (xy 54.605397 99.214918) + (xy 54.603958 99.209549) + (xy 54.6014 99.1901) + (xy 54.6014 99.131665) + (xy 54.601393 99.131537) + (xy 54.601393 99.122739) + (xy 54.601394 99.100132) + (xy 54.574925 98.984154) + (xy 54.574921 98.984146) + (xy 54.523311 98.876972) + (xy 54.486784 98.831168) + (xy 54.449143 98.783966) + (xy 54.356137 98.709795) + (xy 54.248958 98.658179) + (xy 54.13298 98.631707) + (xy 54.132977 98.631707) + (xy 54.104656 98.631707) + (xy 54.104637 98.6317) + (xy 54.0735 98.6317) + (xy 54.014019 98.6317) + (xy 53.946413 98.647131) + (xy 53.898038 98.658172) + (xy 53.898034 98.658174) + (xy 53.790862 98.709787) + (xy 53.790856 98.709791) + (xy 53.697852 98.78396) + (xy 53.62368 98.876972) + (xy 53.572069 98.984146) + (xy 53.545599 99.100129) + (xy 53.5456 99.131715) + (xy 53.5456 99.1901) + (xy 53.543038 99.209559) + (xy 53.5416 99.214927) + (xy 53.512096 99.257063) + (xy 53.48843 99.268099) + (xy 53.483062 99.269537) + (xy 53.463601 99.2721) + (xy 51.025548 99.2721) + (xy 50.97721 99.254507) + (xy 50.972373 99.250074) + (xy 50.753574 99.031274) + (xy 50.731835 98.984654) + (xy 50.745149 98.934967) + (xy 50.787286 98.905462) + (xy 50.806749 98.9029) + (xy 51.029998 98.9029) + (xy 51.078336 98.920493) + (xy 51.083172 98.924926) + (xy 51.172235 99.013989) + (xy 51.172236 99.013989) + (xy 51.172239 99.013992) + (xy 51.281797 99.067551) + (xy 51.333848 99.075135) + (xy 51.352817 99.077899) + (xy 51.352822 99.077899) + (xy 51.352826 99.0779) + (xy 51.352828 99.0779) + (xy 51.947172 99.0779) + (xy 51.947174 99.0779) + (xy 51.947178 99.077899) + (xy 51.947182 99.077899) + (xy 51.95983 99.076056) + (xy 52.018203 99.067551) + (xy 52.127761 99.013992) + (xy 52.213992 98.927761) + (xy 52.267551 98.818203) + (xy 52.2779 98.747174) + (xy 52.2779 98.252826) + (xy 52.277488 98.25) + (xy 52.274847 98.231874) + (xy 52.267551 98.181797) + (xy 52.213992 98.072239) + (xy 52.213989 98.072236) + (xy 52.213989 98.072235) + (xy 52.127764 97.98601) + (xy 52.127762 97.986009) + (xy 52.127761 97.986008) + (xy 52.018203 97.932449) + (xy 51.947182 97.9221) + (xy 51.947174 97.9221) + (xy 51.352826 97.9221) + (xy 51.352817 97.9221) + (xy 51.281797 97.932449) + (xy 51.264353 97.940977) + (xy 51.172235 97.98601) + (xy 51.083172 98.075074) + (xy 51.036552 98.096814) + (xy 51.029998 98.0971) + (xy 50.568289 98.0971) + (xy 50.473943 98.112043) + (xy 50.421227 98.138904) + (xy 50.387087 98.1471) + (xy 49.075088 98.1471) + (xy 49.075087 98.147101) + (xy 49.001326 98.161772) + (xy 49.001324 98.161772) + (xy 49.001323 98.161773) + (xy 48.928009 98.21076) + (xy 48.917669 98.217669) + (xy 48.861773 98.301323) + (xy 48.861772 98.301324) + (xy 48.8471 98.375088) + (xy 48.8471 98.624911) + (xy 48.847101 98.624912) + (xy 48.859481 98.687154) + (xy 48.861773 98.698677) + (xy 48.917669 98.782331) + (xy 48.91767 98.782332) + (xy 48.918264 98.78322) + (xy 48.93049 98.833186) + (xy 48.918264 98.866777) + (xy 48.861774 98.95132) + (xy 48.861772 98.951324) + (xy 48.8471 99.025088) + (xy 48.8471 99.274911) + (xy 48.847101 99.274912) + (xy 48.860531 99.342434) + (xy 48.861773 99.348677) + (xy 48.917669 99.432331) + (xy 48.91767 99.432332) + (xy 48.918264 99.43322) + (xy 48.93049 99.483186) + (xy 48.918264 99.516777) + (xy 48.861774 99.60132) + (xy 48.861772 99.601324) + (xy 48.8471 99.675088) + (xy 48.8471 99.924911) + (xy 48.847101 99.924912) + (xy 48.86173 99.998461) + (xy 48.861773 99.998677) + (xy 48.917669 100.082331) + (xy 48.91767 100.082332) + (xy 48.918264 100.08322) + (xy 48.93049 100.133186) + (xy 48.918264 100.166777) + (xy 48.861774 100.25132) + (xy 48.861772 100.251324) + (xy 48.8471 100.325088) + (xy 48.8471 100.574911) + (xy 48.1024 100.574911) + (xy 48.1024 100.461637) + (xy 48.102399 100.46163) + (xy 48.099602 100.42608) + (xy 48.0996 100.42607) + (xy 48.055375 100.273849) + (xy 47.974683 100.137405) + (xy 47.974677 100.137398) + (xy 47.862601 100.025322) + (xy 47.862594 100.025316) + (xy 47.72615 99.944624) + (xy 47.573929 99.900399) + (xy 47.573919 99.900397) + (xy 47.538369 99.8976) + (xy 47.15 99.8976) + (xy 46.85 99.8976) + (xy 46.46163 99.8976) + (xy 46.42608 99.900397) + (xy 46.42607 99.900399) + (xy 46.273849 99.944624) + (xy 46.21448 99.979735) + (xy 46.163918 99.989197) + (xy 46.119103 99.963945) + (xy 46.101004 99.915795) + (xy 46.101 99.915007) + (xy 46.101 99.684992) + (xy 46.118593 99.636654) + (xy 46.163142 99.610934) + (xy 46.2138 99.619867) + (xy 46.214481 99.620265) + (xy 46.273848 99.655375) + (xy 46.273847 99.655375) + (xy 46.42607 99.6996) + (xy 46.42608 99.699602) + (xy 46.46163 99.702399) + (xy 46.461637 99.7024) + (xy 46.85 99.7024) + (xy 46.85 98.75) + (xy 47.15 98.75) + (xy 47.15 99.7024) + (xy 47.538363 99.7024) + (xy 47.538369 99.702399) + (xy 47.573919 99.699602) + (xy 47.573929 99.6996) + (xy 47.72615 99.655375) + (xy 47.862594 99.574683) + (xy 47.862601 99.574677) + (xy 47.974677 99.462601) + (xy 47.974683 99.462594) + (xy 48.055375 99.32615) + (xy 48.0996 99.173929) + (xy 48.099602 99.173919) + (xy 48.102399 99.138369) + (xy 48.1024 99.138362) + (xy 48.1024 98.75) + (xy 47.15 98.75) + (xy 46.85 98.75) + (xy 46.85 97.4976) + (xy 47.15 97.4976) + (xy 47.15 98.45) + (xy 48.1024 98.45) + (xy 48.1024 98.061637) + (xy 48.102399 98.06163) + (xy 48.099602 98.02608) + (xy 48.0996 98.02607) + (xy 48.055375 97.873849) + (xy 47.974683 97.737405) + (xy 47.974677 97.737398) + (xy 47.862601 97.625322) + (xy 47.862594 97.625316) + (xy 47.72615 97.544624) + (xy 47.573929 97.500399) + (xy 47.573919 97.500397) + (xy 47.538369 97.4976) + (xy 47.15 97.4976) + (xy 46.85 97.4976) + (xy 46.46163 97.4976) + (xy 46.42608 97.500397) + (xy 46.42607 97.500399) + (xy 46.273849 97.544624) + (xy 46.21448 97.579735) + (xy 46.163918 97.589197) + (xy 46.119103 97.563945) + (xy 46.101004 97.515795) + (xy 46.101 97.515007) + (xy 46.101 97.049305) + (xy 46.118593 97.000967) + (xy 46.163142 96.975247) + (xy 46.204979 96.97983) + (xy 46.342738 97.036893) + (xy 46.460519 97.0524) + (xy 46.85 97.0524) + (xy 46.85 96.15) + (xy 47.15 96.15) + (xy 47.15 97.0524) + (xy 47.539481 97.0524) + (xy 47.657259 97.036894) + (xy 47.8038 96.976193) + (xy 47.803801 96.976193) + (xy 47.929637 96.879637) + (xy 47.952378 96.85) + (xy 48.597601 96.85) + (xy 48.597601 97.393241) + (xy 48.608261 97.482019) + (xy 48.663966 97.623278) + (xy 48.663968 97.623281) + (xy 48.755722 97.744277) + (xy 48.876718 97.836031) + (xy 48.876721 97.836033) + (xy 49.017979 97.891738) + (xy 49.106757 97.902399) + (xy 49.4 97.902399) + (xy 49.4 96.85) + (xy 49.7 96.85) + (xy 49.7 97.902399) + (xy 49.993242 97.902399) + (xy 50.082019 97.891738) + (xy 50.223278 97.836033) + (xy 50.223281 97.836031) + (xy 50.344277 97.744277) + (xy 50.436031 97.623281) + (xy 50.436033 97.623278) + (xy 50.491738 97.48202) + (xy 50.5024 97.393242) + (xy 50.5024 97.15) + (xy 51.022601 97.15) + (xy 51.022601 97.247142) + (xy 51.032934 97.318059) + (xy 51.086421 97.427469) + (xy 51.17253 97.513578) + (xy 51.281941 97.567065) + (xy 51.28194 97.567065) + (xy 51.352863 97.577399) + (xy 51.5 97.577399) + (xy 51.5 97.15) + (xy 51.8 97.15) + (xy 51.8 97.577399) + (xy 51.94713 97.577399) + (xy 51.947142 97.577398) + (xy 52.018059 97.567065) + (xy 52.127469 97.513578) + (xy 52.213578 97.427469) + (xy 52.267065 97.318058) + (xy 52.277399 97.247141) + (xy 52.2774 97.247131) + (xy 52.2774 97.15) + (xy 51.8 97.15) + (xy 51.5 97.15) + (xy 51.022601 97.15) + (xy 50.5024 97.15) + (xy 50.5024 96.85) + (xy 51.0226 96.85) + (xy 51.5 96.85) + (xy 51.5 96.4226) + (xy 51.8 96.4226) + (xy 51.8 96.85) + (xy 52.277399 96.85) + (xy 52.277399 96.752869) + (xy 52.277398 96.752857) + (xy 52.267065 96.68194) + (xy 52.213578 96.57253) + (xy 52.127469 96.486421) + (xy 52.018058 96.432934) + (xy 52.018059 96.432934) + (xy 51.947141 96.4226) + (xy 51.8 96.4226) + (xy 51.5 96.4226) + (xy 51.35287 96.4226) + (xy 51.352857 96.422601) + (xy 51.28194 96.432934) + (xy 51.17253 96.486421) + (xy 51.086421 96.57253) + (xy 51.032934 96.681941) + (xy 51.0226 96.752858) + (xy 51.0226 96.85) + (xy 50.5024 96.85) + (xy 49.7 96.85) + (xy 49.4 96.85) + (xy 48.597601 96.85) + (xy 47.952378 96.85) + (xy 48.026193 96.753801) + (xy 48.026193 96.7538) + (xy 48.086894 96.607259) + (xy 48.094432 96.55) + (xy 48.5976 96.55) + (xy 49.4 96.55) + (xy 49.4 95.4976) + (xy 49.7 95.4976) + (xy 49.7 96.55) + (xy 50.502399 96.55) + (xy 50.502399 96.006758) + (xy 50.491738 95.91798) + (xy 50.436033 95.776721) + (xy 50.436031 95.776718) + (xy 50.344277 95.655722) + (xy 50.223281 95.563968) + (xy 50.223278 95.563966) + (xy 50.08202 95.508261) + (xy 49.993243 95.4976) + (xy 49.7 95.4976) + (xy 49.4 95.4976) + (xy 49.106758 95.4976) + (xy 49.01798 95.508261) + (xy 48.876721 95.563966) + (xy 48.876718 95.563968) + (xy 48.755722 95.655722) + (xy 48.663968 95.776718) + (xy 48.663966 95.776721) + (xy 48.608261 95.917979) + (xy 48.5976 96.006757) + (xy 48.5976 96.55) + (xy 48.094432 96.55) + (xy 48.1024 96.489481) + (xy 48.1024 96.15) + (xy 47.15 96.15) + (xy 46.85 96.15) + (xy 46.85 94.9476) + (xy 47.15 94.9476) + (xy 47.15 95.85) + (xy 48.1024 95.85) + (xy 48.1024 95.510518) + (xy 48.086894 95.39274) + (xy 48.026193 95.246199) + (xy 48.026193 95.246198) + (xy 47.929637 95.120362) + (xy 47.8038 95.023806) + (xy 47.657259 94.963105) + (xy 47.539481 94.9476) + (xy 47.15 94.9476) + (xy 46.85 94.9476) + (xy 46.460519 94.9476) + (xy 46.34274 94.963105) + (xy 46.204978 95.02017) + (xy 46.153587 95.022414) + (xy 46.112777 94.991099) + (xy 46.101 94.950694) + (xy 46.101 93.606448) + (xy 46.101737 93.599002) + (xy 47.052892 93.599002) + (xy 47.071283 93.797468) + (xy 47.071284 93.797477) + (xy 47.125826 93.989171) + (xy 47.125832 93.989187) + (xy 47.214671 94.1676) + (xy 47.334787 94.32666) + (xy 47.33479 94.326663) + (xy 47.482087 94.460943) + (xy 47.482089 94.460944) + (xy 47.48209 94.460945) + (xy 47.537887 94.495493) + (xy 47.648551 94.564014) + (xy 47.651554 94.565873) + (xy 47.837414 94.637876) + (xy 48.03334 94.6745) + (xy 48.033345 94.6745) + (xy 48.232655 94.6745) + (xy 48.23266 94.6745) + (xy 48.428586 94.637876) + (xy 48.614446 94.565873) + (xy 48.78391 94.460945) + (xy 48.931209 94.326664) + (xy 49.051326 94.167604) + (xy 49.140171 93.98918) + (xy 49.184921 93.831899) + (xy 49.194715 93.797477) + (xy 49.194715 93.797475) + (xy 49.194717 93.797469) + (xy 49.213108 93.599) + (xy 49.212995 93.597782) + (xy 50.5715 93.597782) + (xy 50.579527 93.652868) + (xy 50.581849 93.668803) + (xy 50.635408 93.778361) + (xy 50.635409 93.778362) + (xy 50.63541 93.778364) + (xy 50.721635 93.864589) + (xy 50.721636 93.864589) + (xy 50.721639 93.864592) + (xy 50.831197 93.918151) + (xy 50.883248 93.925735) + (xy 50.902217 93.928499) + (xy 50.902222 93.928499) + (xy 50.902226 93.9285) + (xy 50.902228 93.9285) + (xy 51.496572 93.9285) + (xy 51.496574 93.9285) + (xy 51.496578 93.928499) + (xy 51.496582 93.928499) + (xy 51.505551 93.927192) + (xy 51.567603 93.918151) + (xy 51.677161 93.864592) + (xy 51.763392 93.778361) + (xy 51.816951 93.668803) + (xy 51.820731 93.642857) + (xy 51.845109 93.597562) + (xy 51.8929 93.578534) + (xy 51.895145 93.5785) + (xy 52.2713 93.5785) + (xy 52.319638 93.596093) + (xy 52.345358 93.640642) + (xy 52.3465 93.6537) + (xy 52.3465 93.705164) + (xy 52.34936 93.735656) + (xy 52.349369 93.73575) + (xy 52.350776 93.739771) + (xy 52.394459 93.864614) + (xy 52.394461 93.864617) + (xy 52.475533 93.974466) + (xy 52.526108 94.011792) + (xy 52.575768 94.048443) + (xy 52.585382 94.055538) + (xy 52.585385 94.05554) + (xy 52.616319 94.066363) + (xy 52.71425 94.100631) + (xy 52.744835 94.103499) + (xy 52.744837 94.1035) + (xy 52.744844 94.1035) + (xy 52.9099 94.1035) + (xy 52.958238 94.121093) + (xy 52.983958 94.165642) + (xy 52.9851 94.1787) + (xy 52.9851 95.287283) + (xy 52.967507 95.335621) + (xy 52.940275 95.356075) + (xy 52.888858 95.378777) + (xy 52.888851 95.378782) + (xy 52.808782 95.458851) + (xy 52.80878 95.458854) + (xy 52.763037 95.562451) + (xy 52.762342 95.568445) + (xy 52.7601 95.587774) + (xy 52.7601 97.328226) + (xy 52.76059 97.332449) + (xy 52.763037 97.353548) + (xy 52.80878 97.457145) + (xy 52.808782 97.457148) + (xy 52.888851 97.537217) + (xy 52.888854 97.537219) + (xy 52.888855 97.53722) + (xy 52.99245 97.582962) + (xy 53.017774 97.5859) + (xy 53.017776 97.5859) + (xy 53.408224 97.5859) + (xy 53.408226 97.5859) + (xy 53.43355 97.582962) + (xy 53.537145 97.53722) + (xy 53.61722 97.457145) + (xy 53.662962 97.35355) + (xy 53.6659 97.328226) + (xy 54.0301 97.328226) + (xy 54.03059 97.332449) + (xy 54.033037 97.353548) + (xy 54.07878 97.457145) + (xy 54.078782 97.457148) + (xy 54.158851 97.537217) + (xy 54.158854 97.537219) + (xy 54.158855 97.53722) + (xy 54.26245 97.582962) + (xy 54.287774 97.5859) + (xy 54.287776 97.5859) + (xy 54.678224 97.5859) + (xy 54.678226 97.5859) + (xy 54.70355 97.582962) + (xy 54.807145 97.53722) + (xy 54.88722 97.457145) + (xy 54.932962 97.35355) + (xy 54.9359 97.328226) + (xy 54.9359 95.587774) + (xy 54.932962 95.56245) + (xy 54.88722 95.458855) + (xy 54.887219 95.458854) + (xy 54.887217 95.458851) + (xy 54.807148 95.378782) + (xy 54.807141 95.378777) + (xy 54.755725 95.356075) + (xy 54.718613 95.320457) + (xy 54.7109 95.287283) + (xy 54.7109 95.185047) + (xy 54.728493 95.136709) + (xy 54.732926 95.131873) + (xy 54.764273 95.100526) + (xy 54.810893 95.078786) + (xy 54.817447 95.0785) + (xy 55.891464 95.0785) + (xy 55.8954 95.078603) + (xy 55.935845 95.080723) + (xy 55.935845 95.080722) + (xy 55.935848 95.080723) + (xy 55.943919 95.077624) + (xy 55.955222 95.074275) + (xy 56.006166 95.063448) + (xy 56.084546 95.006501) + (xy 56.105209 94.970709) + (xy 56.117155 94.955142) + (xy 56.591116 94.481182) + (xy 57.6651 94.481182) + (xy 57.671454 94.524786) + (xy 57.675449 94.552203) + (xy 57.729008 94.661761) + (xy 57.729009 94.661762) + (xy 57.72901 94.661764) + (xy 57.815237 94.747991) + (xy 57.815238 94.747991) + (xy 57.815239 94.747992) + (xy 57.847926 94.763971) + (xy 57.883625 94.801004) + (xy 57.8901 94.83153) + (xy 57.8901 95.021874) + (xy 57.889174 95.033638) + (xy 57.885464 95.057066) + (xy 57.885078 95.0595) + (xy 57.888439 95.080723) + (xy 57.889174 95.085359) + (xy 57.8901 95.097124) + (xy 57.8901 95.440001) + (xy 57.883693 95.470376) + (xy 57.843037 95.562451) + (xy 57.842342 95.568445) + (xy 57.8401 95.587774) + (xy 57.8401 97.328226) + (xy 57.841707 97.342078) + (xy 57.843038 97.35355) + (xy 57.843038 97.353552) + (xy 57.883692 97.445623) + (xy 57.8901 97.475998) + (xy 57.8901 97.815874) + (xy 57.889174 97.827638) + (xy 57.885078 97.853499) + (xy 57.885078 97.8535) + (xy 57.905043 97.979556) + (xy 57.939758 98.047687) + (xy 57.962984 98.093271) + (xy 58.053229 98.183516) + (xy 58.166943 98.241456) + (xy 58.166945 98.241457) + (xy 58.293 98.261422) + (xy 58.419055 98.241457) + (xy 58.532771 98.183516) + (xy 58.623016 98.093271) + (xy 58.680957 97.979555) + (xy 58.700922 97.8535) + (xy 58.697034 97.828955) + (xy 58.696826 97.827638) + (xy 58.6959 97.815874) + (xy 58.6959 97.475998) + (xy 58.702308 97.445623) + (xy 58.742961 97.353552) + (xy 58.742962 97.35355) + (xy 58.7459 97.328226) + (xy 59.1101 97.328226) + (xy 59.111707 97.342078) + (xy 59.113038 97.35355) + (xy 59.113038 97.353552) + (xy 59.153692 97.445623) + (xy 59.1601 97.475998) + (xy 59.1601 97.815874) + (xy 59.159174 97.827638) + (xy 59.155078 97.853499) + (xy 59.155078 97.8535) + (xy 59.175043 97.979556) + (xy 59.209758 98.047687) + (xy 59.232984 98.093271) + (xy 59.323229 98.183516) + (xy 59.436943 98.241456) + (xy 59.436945 98.241457) + (xy 59.563 98.261422) + (xy 59.689055 98.241457) + (xy 59.802771 98.183516) + (xy 59.893016 98.093271) + (xy 59.950957 97.979555) + (xy 59.970922 97.8535) + (xy 59.967034 97.828955) + (xy 59.966826 97.827638) + (xy 59.9659 97.815874) + (xy 59.9659 97.475998) + (xy 59.972308 97.445623) + (xy 60.012961 97.353552) + (xy 60.012962 97.35355) + (xy 60.0159 97.328226) + (xy 60.0159 95.587774) + (xy 60.012962 95.56245) + (xy 59.972306 95.470375) + (xy 59.9659 95.440001) + (xy 59.9659 95.097124) + (xy 59.966826 95.085359) + (xy 59.967561 95.080723) + (xy 59.970922 95.0595) + (xy 59.970536 95.057066) + (xy 59.963474 95.012474) + (xy 59.950957 94.933445) + (xy 59.903813 94.84092) + (xy 60.2051 94.84092) + (xy 60.206484 94.85143) + (xy 60.211386 94.888674) + (xy 60.211388 94.88868) + (xy 60.260254 94.993473) + (xy 60.26026 94.993481) + (xy 60.342018 95.075239) + (xy 60.342026 95.075245) + (xy 60.446819 95.124111) + (xy 60.446821 95.124111) + (xy 60.446824 95.124113) + (xy 60.49458 95.1304) + (xy 60.5299 95.1304) + (xy 60.578238 95.147993) + (xy 60.603958 95.192542) + (xy 60.6051 95.2056) + (xy 60.6051 95.287283) + (xy 60.587507 95.335621) + (xy 60.560275 95.356075) + (xy 60.508858 95.378777) + (xy 60.508851 95.378782) + (xy 60.428782 95.458851) + (xy 60.42878 95.458854) + (xy 60.383037 95.562451) + (xy 60.382342 95.568445) + (xy 60.3801 95.587774) + (xy 60.3801 97.328226) + (xy 60.38059 97.332449) + (xy 60.383037 97.353548) + (xy 60.42878 97.457145) + (xy 60.428782 97.457148) + (xy 60.508851 97.537217) + (xy 60.508854 97.537219) + (xy 60.508855 97.53722) + (xy 60.61245 97.582962) + (xy 60.637774 97.5859) + (xy 60.637776 97.5859) + (xy 61.028224 97.5859) + (xy 61.028226 97.5859) + (xy 61.05355 97.582962) + (xy 61.157145 97.53722) + (xy 61.23722 97.457145) + (xy 61.282962 97.35355) + (xy 61.2859 97.328226) + (xy 61.2859 96.608) + (xy 61.6506 96.608) + (xy 61.6506 97.32815) + (xy 61.653532 97.353432) + (xy 61.699199 97.456857) + (xy 61.699201 97.45686) + (xy 61.779139 97.536798) + (xy 61.779142 97.5368) + (xy 61.882567 97.582467) + (xy 61.90785 97.5854) + (xy 61.953 97.5854) + (xy 61.953 96.608) + (xy 62.253 96.608) + (xy 62.253 97.5854) + (xy 62.29815 97.5854) + (xy 62.323432 97.582467) + (xy 62.426857 97.5368) + (xy 62.42686 97.536798) + (xy 62.506798 97.45686) + (xy 62.5068 97.456857) + (xy 62.552467 97.353432) + (xy 62.5554 97.32815) + (xy 62.5554 96.608) + (xy 62.253 96.608) + (xy 61.953 96.608) + (xy 61.6506 96.608) + (xy 61.2859 96.608) + (xy 61.2859 96.308) + (xy 61.6506 96.308) + (xy 61.953 96.308) + (xy 61.953 95.3306) + (xy 62.253 95.3306) + (xy 62.253 96.308) + (xy 62.5554 96.308) + (xy 62.5554 95.869918) + (xy 63.1896 95.869918) + (xy 63.195886 95.917674) + (xy 63.195888 95.91768) + (xy 63.244754 96.022473) + (xy 63.24476 96.022481) + (xy 63.326518 96.104239) + (xy 63.326526 96.104245) + (xy 63.431319 96.153111) + (xy 63.431321 96.153111) + (xy 63.431324 96.153113) + (xy 63.47908 96.1594) + (xy 63.479082 96.1594) + (xy 64.155918 96.1594) + (xy 64.15592 96.1594) + (xy 64.203676 96.153113) + (xy 64.29196 96.111945) + (xy 64.308473 96.104245) + (xy 64.308473 96.104244) + (xy 64.308477 96.104243) + (xy 64.390243 96.022477) + (xy 64.397574 96.006757) + (xy 64.42404 95.95) + (xy 66.492078 95.95) + (xy 66.512043 96.076056) + (xy 66.559837 96.169857) + (xy 66.569984 96.189771) + (xy 66.660229 96.280016) + (xy 66.773943 96.337956) + (xy 66.773945 96.337957) + (xy 66.9 96.357922) + (xy 67.026055 96.337957) + (xy 67.060228 96.320544) + (xy 67.111282 96.314275) + (xy 67.154424 96.34229) + (xy 67.162522 96.355767) + (xy 67.202254 96.440973) + (xy 67.20226 96.440981) + (xy 67.284018 96.522739) + (xy 67.284026 96.522745) + (xy 67.388819 96.571611) + (xy 67.388821 96.571611) + (xy 67.388824 96.571613) + (xy 67.43658 96.5779) + (xy 67.436582 96.5779) + (xy 67.863418 96.5779) + (xy 67.86342 96.5779) + (xy 67.911176 96.571613) + (xy 67.979111 96.539934) + (xy 68.015973 96.522745) + (xy 68.015973 96.522744) + (xy 68.015977 96.522743) + (xy 68.097743 96.440977) + (xy 68.106313 96.4226) + (xy 68.12841 96.375213) + (xy 68.146613 96.336176) + (xy 68.1529 96.28842) + (xy 68.1529 96.288418) + (xy 68.7471 96.288418) + (xy 68.753386 96.336174) + (xy 68.753388 96.33618) + (xy 68.802254 96.440973) + (xy 68.80226 96.440981) + (xy 68.884018 96.522739) + (xy 68.884026 96.522745) + (xy 68.988819 96.571611) + (xy 68.988821 96.571611) + (xy 68.988824 96.571613) + (xy 69.03658 96.5779) + (xy 69.036582 96.5779) + (xy 69.463418 96.5779) + (xy 69.46342 96.5779) + (xy 69.511176 96.571613) + (xy 69.579111 96.539934) + (xy 69.615973 96.522745) + (xy 69.615973 96.522744) + (xy 69.615977 96.522743) + (xy 69.697743 96.440977) + (xy 69.737477 96.355766) + (xy 69.77385 96.319394) + (xy 69.825094 96.31491) + (xy 69.839772 96.320545) + (xy 69.873942 96.337956) + (xy 69.873943 96.337956) + (xy 69.873945 96.337957) + (xy 70 96.357922) + (xy 70.126055 96.337957) + (xy 70.239771 96.280016) + (xy 70.330016 96.189771) + (xy 70.387957 96.076055) + (xy 70.407922 95.95) + (xy 70.407726 95.948765) + (xy 70.401912 95.912052) + (xy 70.387957 95.823945) + (xy 70.330016 95.710229) + (xy 70.239771 95.619984) + (xy 70.126055 95.562043) + (xy 70.126057 95.562043) + (xy 70 95.542078) + (xy 69.873943 95.562043) + (xy 69.839771 95.579455) + (xy 69.788714 95.585724) + (xy 69.745573 95.557707) + (xy 69.737477 95.544232) + (xy 69.697745 95.459026) + (xy 69.697739 95.459018) + (xy 69.615981 95.37726) + (xy 69.615973 95.377254) + (xy 69.51118 95.328388) + (xy 69.511174 95.328386) + (xy 69.475992 95.323755) + (xy 69.46342 95.3221) + (xy 69.03658 95.3221) + (xy 69.02547 95.323562) + (xy 68.988825 95.328386) + (xy 68.988819 95.328388) + (xy 68.884026 95.377254) + (xy 68.884018 95.37726) + (xy 68.80226 95.459018) + (xy 68.802254 95.459026) + (xy 68.753388 95.563819) + (xy 68.753386 95.563825) + (xy 68.7471 95.611581) + (xy 68.7471 96.288418) + (xy 68.1529 96.288418) + (xy 68.1529 95.61158) + (xy 68.146613 95.563824) + (xy 68.145973 95.562451) + (xy 68.097745 95.459026) + (xy 68.097739 95.459018) + (xy 68.015981 95.37726) + (xy 68.015973 95.377254) + (xy 67.91118 95.328388) + (xy 67.911174 95.328386) + (xy 67.875992 95.323755) + (xy 67.86342 95.3221) + (xy 67.43658 95.3221) + (xy 67.42547 95.323562) + (xy 67.388825 95.328386) + (xy 67.388819 95.328388) + (xy 67.284026 95.377254) + (xy 67.284018 95.37726) + (xy 67.20226 95.459018) + (xy 67.202254 95.459026) + (xy 67.162522 95.544232) + (xy 67.126148 95.580606) + (xy 67.074904 95.585088) + (xy 67.060228 95.579455) + (xy 67.026055 95.562043) + (xy 67.026057 95.562043) + (xy 66.9 95.542078) + (xy 66.773943 95.562043) + (xy 66.660228 95.619984) + (xy 66.569984 95.710228) + (xy 66.512043 95.823943) + (xy 66.492078 95.949999) + (xy 66.492078 95.95) + (xy 64.42404 95.95) + (xy 64.430374 95.936416) + (xy 64.439113 95.917676) + (xy 64.4454 95.86992) + (xy 64.4454 95.44308) + (xy 64.439113 95.395324) + (xy 64.431975 95.380016) + (xy 64.390245 95.290526) + (xy 64.390239 95.290518) + (xy 64.308481 95.20876) + (xy 64.308473 95.208754) + (xy 64.207828 95.161822) + (xy 64.171454 95.125448) + (xy 64.166972 95.074204) + (xy 64.172602 95.059534) + (xy 64.196724 95.012194) + (xy 70.667329 95.012194) + (xy 70.669538 95.020438) + (xy 70.6721 95.0399) + (xy 70.6721 107.442062) + (xy 70.671997 107.445998) + (xy 70.669876 107.486448) + (xy 70.678688 107.509402) + (xy 70.682039 107.520715) + (xy 70.687151 107.544763) + (xy 70.687152 107.544766) + (xy 70.692169 107.551671) + (xy 70.701536 107.568922) + (xy 70.704596 107.576895) + (xy 70.704597 107.576896) + (xy 70.721985 107.594284) + (xy 70.729644 107.603252) + (xy 70.744097 107.623144) + (xy 70.744099 107.623146) + (xy 70.751495 107.627416) + (xy 70.767066 107.639365) + (xy 79.150074 116.022373) + (xy 79.171814 116.068993) + (xy 79.1721 116.075547) + (xy 79.1721 118.155219) + (xy 79.154507 118.203557) + (xy 79.138678 118.217746) + (xy 79.116327 118.23268) + (xy 79.066361 118.244906) + (xy 79.032769 118.232679) + (xy 78.965859 118.187969) + (xy 78.965857 118.187968) + (xy 78.9 118.174868) + (xy 78.9 119.925129) + (xy 78.965858 119.91203) + (xy 79.03277 119.86732) + (xy 79.082735 119.855093) + (xy 79.116327 119.867319) + (xy 79.183946 119.912501) + (xy 79.261363 119.9279) + (xy 79.538636 119.927899) + (xy 79.538637 119.927899) + (xy 79.538637 119.927898) + (xy 79.616054 119.912501) + (xy 79.683223 119.867619) + (xy 79.733186 119.855393) + (xy 79.766775 119.867618) + (xy 79.833946 119.912501) + (xy 79.911363 119.9279) + (xy 80.188636 119.927899) + (xy 80.188637 119.927899) + (xy 80.188637 119.927898) + (xy 80.266054 119.912501) + (xy 80.333223 119.867619) + (xy 80.383186 119.855393) + (xy 80.416775 119.867618) + (xy 80.483946 119.912501) + (xy 80.561363 119.9279) + (xy 80.838636 119.927899) + (xy 80.838637 119.927899) + (xy 80.838637 119.927898) + (xy 80.916054 119.912501) + (xy 80.983223 119.867619) + (xy 81.033186 119.855393) + (xy 81.066775 119.867618) + (xy 81.133946 119.912501) + (xy 81.211363 119.9279) + (xy 81.488636 119.927899) + (xy 81.488637 119.927899) + (xy 81.488637 119.927898) + (xy 81.566054 119.912501) + (xy 81.633223 119.867619) + (xy 81.683186 119.855393) + (xy 81.716775 119.867618) + (xy 81.783946 119.912501) + (xy 81.861363 119.9279) + (xy 82.138636 119.927899) + (xy 82.138637 119.927899) + (xy 82.138637 119.927898) + (xy 82.216054 119.912501) + (xy 82.283223 119.867619) + (xy 82.333186 119.855393) + (xy 82.366775 119.867618) + (xy 82.433946 119.912501) + (xy 82.511363 119.9279) + (xy 82.788636 119.927899) + (xy 82.788637 119.927899) + (xy 82.788637 119.927898) + (xy 82.866054 119.912501) + (xy 82.933223 119.867619) + (xy 82.983186 119.855393) + (xy 83.016775 119.867618) + (xy 83.083946 119.912501) + (xy 83.161363 119.9279) + (xy 83.438636 119.927899) + (xy 83.438637 119.927899) + (xy 83.438637 119.927898) + (xy 83.516054 119.912501) + (xy 83.583223 119.867619) + (xy 83.633186 119.855393) + (xy 83.666775 119.867618) + (xy 83.733946 119.912501) + (xy 83.811363 119.9279) + (xy 84.088636 119.927899) + (xy 84.088637 119.927899) + (xy 84.088637 119.927898) + (xy 84.166054 119.912501) + (xy 84.253842 119.853842) + (xy 84.312501 119.766054) + (xy 84.3279 119.688637) + (xy 84.3279 119.149999) + (xy 84.542078 119.149999) + (xy 84.542174 119.150606) + (xy 84.5431 119.162371) + (xy 84.5431 119.214448) + (xy 84.559192 119.263976) + (xy 84.561944 119.275436) + (xy 84.562041 119.276051) + (xy 84.562042 119.276054) + (xy 84.562321 119.276601) + (xy 84.566835 119.2875) + (xy 84.582927 119.337028) + (xy 84.582929 119.337032) + (xy 84.613537 119.379159) + (xy 84.6197 119.389216) + (xy 84.619981 119.389768) + (xy 84.619984 119.389772) + (xy 84.620417 119.390205) + (xy 84.62808 119.399176) + (xy 84.658691 119.441307) + (xy 84.700821 119.471917) + (xy 84.709793 119.479581) + (xy 84.710224 119.480012) + (xy 84.710227 119.480014) + (xy 84.710229 119.480016) + (xy 84.710775 119.480294) + (xy 84.720841 119.486462) + (xy 84.762966 119.517069) + (xy 84.762967 119.517069) + (xy 84.762968 119.51707) + (xy 84.812497 119.533162) + (xy 84.823396 119.537677) + (xy 84.823945 119.537957) + (xy 84.824551 119.538053) + (xy 84.836021 119.540806) + (xy 84.885554 119.5569) + (xy 84.93763 119.5569) + (xy 84.949392 119.557825) + (xy 84.95 119.557922) + (xy 84.950607 119.557825) + (xy 84.96237 119.5569) + (xy 85.014444 119.5569) + (xy 85.014446 119.5569) + (xy 85.063971 119.540808) + (xy 85.075442 119.538054) + (xy 85.075461 119.538051) + (xy 85.076055 119.537957) + (xy 85.076595 119.537681) + (xy 85.087508 119.533159) + (xy 85.137032 119.51707) + (xy 85.165163 119.496631) + (xy 85.21461 119.482451) + (xy 85.261603 119.503372) + (xy 85.26254 119.504294) + (xy 85.322235 119.563989) + (xy 85.322236 119.563989) + (xy 85.322239 119.563992) + (xy 85.431797 119.617551) + (xy 85.483848 119.625135) + (xy 85.502817 119.627899) + (xy 85.502822 119.627899) + (xy 85.502826 119.6279) + (xy 85.502828 119.6279) + (xy 86.097172 119.6279) + (xy 86.097174 119.6279) + (xy 86.097178 119.627899) + (xy 86.097182 119.627899) + (xy 86.106673 119.626516) + (xy 86.168203 119.617551) + (xy 86.277761 119.563992) + (xy 86.316827 119.524925) + (xy 86.363446 119.503186) + (xy 86.370001 119.5029) + (xy 86.70894 119.5029) + (xy 86.708943 119.5029) + (xy 86.810003 119.487668) + (xy 86.812507 119.486462) + (xy 86.814271 119.485613) + (xy 86.865455 119.48049) + (xy 86.907957 119.509466) + (xy 86.9221 119.553365) + (xy 86.9221 119.986152) + (xy 86.913905 120.020289) + (xy 86.912045 120.023937) + (xy 86.912042 120.023947) + (xy 86.892078 120.149999) + (xy 86.892078 120.15) + (xy 86.912043 120.276056) + (xy 86.960962 120.372065) + (xy 86.969984 120.389771) + (xy 87.060229 120.480016) + (xy 87.173943 120.537956) + (xy 87.173945 120.537957) + (xy 87.3 120.557922) + (xy 87.426055 120.537957) + (xy 87.539771 120.480016) + (xy 87.619787 120.4) + (xy 94.3726 120.4) + (xy 94.85 120.4) + (xy 94.85 119.9726) + (xy 95.15 119.9726) + (xy 95.15 120.4) + (xy 95.627399 120.4) + (xy 95.627399 120.302869) + (xy 95.627398 120.302857) + (xy 95.617065 120.23194) + (xy 95.563578 120.12253) + (xy 95.477469 120.036421) + (xy 95.368058 119.982934) + (xy 95.368059 119.982934) + (xy 95.297141 119.9726) + (xy 95.15 119.9726) + (xy 94.85 119.9726) + (xy 94.70287 119.9726) + (xy 94.702857 119.972601) + (xy 94.63194 119.982934) + (xy 94.52253 120.036421) + (xy 94.436421 120.12253) + (xy 94.382934 120.231941) + (xy 94.3726 120.302858) + (xy 94.3726 120.4) + (xy 87.619787 120.4) + (xy 87.630016 120.389771) + (xy 87.687957 120.276055) + (xy 87.707922 120.15) + (xy 87.705277 120.133303) + (xy 87.696065 120.075138) + (xy 87.687957 120.023945) + (xy 87.687955 120.023941) + (xy 87.686127 120.018314) + (xy 87.688479 120.017549) + (xy 87.683412 119.97627) + (xy 87.711428 119.933129) + (xy 87.76062 119.91809) + (xy 87.771354 119.919432) + (xy 87.8 119.925129) + (xy 87.8 118.174868) + (xy 87.799999 118.174868) + (xy 87.771355 118.180566) + (xy 87.720514 118.172741) + (xy 87.686598 118.134066) + (xy 87.685476 118.082638) + (xy 87.686963 118.079114) + (xy 87.687956 118.076057) + (xy 87.687956 118.076056) + (xy 87.687957 118.076055) + (xy 87.707922 117.95) + (xy 87.707374 117.946543) + (xy 87.699619 117.897577) + (xy 87.687957 117.823945) + (xy 87.630016 117.710229) + (xy 87.539771 117.619984) + (xy 87.426055 117.562043) + (xy 87.426057 117.562043) + (xy 87.3 117.542078) + (xy 87.173943 117.562043) + (xy 87.060228 117.619984) + (xy 86.969984 117.710228) + (xy 86.912043 117.823943) + (xy 86.892078 117.949999) + (xy 86.892078 117.95) + (xy 86.912043 118.076056) + (xy 86.913902 118.079704) + (xy 86.9221 118.113847) + (xy 86.9221 118.550371) + (xy 86.904507 118.598709) + (xy 86.859958 118.624429) + (xy 86.824735 118.62223) + (xy 86.743265 118.5971) + (xy 86.743264 118.5971) + (xy 86.4281 118.5971) + (xy 86.379762 118.579507) + (xy 86.354042 118.534958) + (xy 86.3529 118.5219) + (xy 86.3529 118.14117) + (xy 86.353543 118.131355) + (xy 86.35408 118.127278) + (xy 86.357671 118.1) + (xy 86.35343 118.067788) + (xy 86.352963 118.063112) + (xy 86.3529 118.062198) + (xy 86.3529 118.062182) + (xy 86.349895 118.04032) + (xy 86.348007 118.026585) + (xy 86.347949 118.026159) + (xy 86.347547 118.023102) + (xy 86.338669 117.955664) + (xy 86.338668 117.955662) + (xy 86.338492 117.954322) + (xy 86.337766 117.950728) + (xy 86.337402 117.949427) + (xy 86.309274 117.884669) + (xy 86.308773 117.883488) + (xy 86.290675 117.839799) + (xy 86.282957 117.821165) + (xy 86.282951 117.821158) + (xy 86.280492 117.816897) + (xy 86.28093 117.816643) + (xy 86.279594 117.814375) + (xy 86.279524 117.814418) + (xy 86.27685 117.810021) + (xy 86.260878 117.790389) + (xy 86.234522 117.757993) + (xy 86.233199 117.756318) + (xy 86.226656 117.747791) + (xy 86.194333 117.705667) + (xy 86.194328 117.705663) + (xy 86.190847 117.702181) + (xy 86.190864 117.702163) + (xy 86.182439 117.693973) + (xy 86.180936 117.692127) + (xy 86.180934 117.692124) + (xy 86.180933 117.692123) + (xy 86.180932 117.692122) + (xy 86.12903 117.655486) + (xy 86.126665 117.653744) + (xy 86.078839 117.617044) + (xy 86.078826 117.617037) + (xy 86.076243 117.615967) + (xy 86.061665 117.607934) + (xy 86.056766 117.604476) + (xy 86.056763 117.604474) + (xy 86.056761 117.604473) + (xy 86.056757 117.604471) + (xy 86.056752 117.604469) + (xy 86.000314 117.58441) + (xy 85.996721 117.583029) + (xy 85.944337 117.561331) + (xy 85.944333 117.56133) + (xy 85.937974 117.560493) + (xy 85.922609 117.556795) + (xy 85.913548 117.553575) + (xy 85.857351 117.54973) + (xy 85.85267 117.549262) + (xy 85.8 117.542329) + (xy 85.799995 117.542329) + (xy 85.790053 117.543637) + (xy 85.775116 117.544105) + (xy 85.761916 117.543202) + (xy 85.76191 117.543203) + (xy 85.71028 117.553932) + (xy 85.704797 117.554861) + (xy 85.655668 117.56133) + (xy 85.655659 117.561332) + (xy 85.643064 117.566549) + (xy 85.629595 117.570698) + (xy 85.613108 117.574125) + (xy 85.613106 117.574125) + (xy 85.613104 117.574126) + (xy 85.613103 117.574126) + (xy 85.613098 117.574128) + (xy 85.569448 117.596746) + (xy 85.563631 117.599452) + (xy 85.521167 117.617041) + (xy 85.521165 117.617043) + (xy 85.507481 117.627542) + (xy 85.496305 117.634646) + (xy 85.478158 117.644049) + (xy 85.444842 117.675162) + (xy 85.439298 117.679859) + (xy 85.405665 117.705668) + (xy 85.405663 117.70567) + (xy 85.392975 117.722205) + (xy 85.384649 117.731378) + (xy 85.367078 117.747789) + (xy 85.367075 117.747792) + (xy 85.345245 117.783688) + (xy 85.340657 117.790389) + (xy 85.317043 117.821164) + (xy 85.317043 117.821165) + (xy 85.307688 117.843746) + (xy 85.302468 117.854032) + (xy 85.288108 117.877647) + (xy 85.288104 117.877657) + (xy 85.277737 117.914652) + (xy 85.274804 117.923134) + (xy 85.26133 117.955665) + (xy 85.25767 117.983462) + (xy 85.255526 117.993929) + (xy 85.2471 118.024006) + (xy 85.2471 118.058829) + (xy 85.246457 118.068644) + (xy 85.242638 118.097656) + (xy 85.242329 118.1) + (xy 85.244957 118.119961) + (xy 85.246457 118.131355) + (xy 85.2471 118.14117) + (xy 85.2471 118.5679) + (xy 85.229507 118.616238) + (xy 85.184958 118.641958) + (xy 85.1719 118.6431) + (xy 85.014881 118.6431) + (xy 85.014857 118.643101) + (xy 84.985553 118.643101) + (xy 84.979813 118.644966) + (xy 84.960345 118.651291) + (xy 84.948876 118.654044) + (xy 84.939193 118.655577) + (xy 84.922694 118.658191) + (xy 84.922692 118.658191) + (xy 84.922688 118.658193) + (xy 84.899074 118.670225) + (xy 84.888176 118.674739) + (xy 84.862967 118.68293) + (xy 84.84152 118.698512) + (xy 84.831464 118.704674) + (xy 84.807851 118.716706) + (xy 84.807844 118.716711) + (xy 84.784924 118.739631) + (xy 84.784921 118.739633) + (xy 84.784922 118.739634) + (xy 84.639637 118.884919) + (xy 84.639634 118.884923) + (xy 84.62808 118.900824) + (xy 84.620431 118.909781) + (xy 84.619986 118.910225) + (xy 84.61998 118.910233) + (xy 84.619693 118.910798) + (xy 84.61354 118.920835) + (xy 84.58293 118.962967) + (xy 84.580613 118.970098) + (xy 84.567387 119.010806) + (xy 84.566839 119.012492) + (xy 84.562327 119.023385) + (xy 84.562044 119.023938) + (xy 84.562043 119.023942) + (xy 84.561946 119.024558) + (xy 84.559194 119.036016) + (xy 84.5431 119.085549) + (xy 84.5431 119.137629) + (xy 84.542174 119.149392) + (xy 84.542078 119.149998) + (xy 84.542078 119.149999) + (xy 84.3279 119.149999) + (xy 84.327899 118.411364) + (xy 84.312501 118.333946) + (xy 84.253842 118.246158) + (xy 84.21132 118.217745) + (xy 84.180904 118.176261) + (xy 84.1779 118.155219) + (xy 84.1779 117.357936) + (xy 84.178004 117.353992) + (xy 84.178258 117.349156) + (xy 84.198362 117.301807) + (xy 84.244198 117.278459) + (xy 84.253354 117.2779) + (xy 87.674453 117.2779) + (xy 87.722791 117.295493) + (xy 87.727627 117.299926) + (xy 88.350074 117.922373) + (xy 88.371814 117.968993) + (xy 88.3721 117.975547) + (xy 88.3721 118.155219) + (xy 88.354507 118.203557) + (xy 88.338678 118.217746) + (xy 88.316327 118.23268) + (xy 88.266361 118.244906) + (xy 88.232769 118.232679) + (xy 88.165859 118.187969) + (xy 88.165857 118.187968) + (xy 88.1 118.174868) + (xy 88.1 119.925129) + (xy 88.165858 119.91203) + (xy 88.23277 119.86732) + (xy 88.282735 119.855093) + (xy 88.316327 119.867319) + (xy 88.383946 119.912501) + (xy 88.461363 119.9279) + (xy 88.738636 119.927899) + (xy 88.738637 119.927899) + (xy 88.738637 119.927898) + (xy 88.816054 119.912501) + (xy 88.883223 119.867619) + (xy 88.933186 119.855393) + (xy 88.966775 119.867618) + (xy 89.033946 119.912501) + (xy 89.111363 119.9279) + (xy 89.388636 119.927899) + (xy 89.388637 119.927899) + (xy 89.388637 119.927898) + (xy 89.466054 119.912501) + (xy 89.533223 119.867619) + (xy 89.583186 119.855393) + (xy 89.616775 119.867618) + (xy 89.683946 119.912501) + (xy 89.761363 119.9279) + (xy 90.038636 119.927899) + (xy 90.038637 119.927899) + (xy 90.038637 119.927898) + (xy 90.116054 119.912501) + (xy 90.183223 119.867619) + (xy 90.233186 119.855393) + (xy 90.266775 119.867618) + (xy 90.333946 119.912501) + (xy 90.411363 119.9279) + (xy 90.688636 119.927899) + (xy 90.688637 119.927899) + (xy 90.688637 119.927898) + (xy 90.766054 119.912501) + (xy 90.833223 119.867619) + (xy 90.883186 119.855393) + (xy 90.916775 119.867618) + (xy 90.983946 119.912501) + (xy 91.061363 119.9279) + (xy 91.338636 119.927899) + (xy 91.338637 119.927899) + (xy 91.338637 119.927898) + (xy 91.416054 119.912501) + (xy 91.483223 119.867619) + (xy 91.533186 119.855393) + (xy 91.566775 119.867618) + (xy 91.633946 119.912501) + (xy 91.711363 119.9279) + (xy 91.988636 119.927899) + (xy 91.988637 119.927899) + (xy 91.988637 119.927898) + (xy 92.066054 119.912501) + (xy 92.133223 119.867619) + (xy 92.183186 119.855393) + (xy 92.216775 119.867618) + (xy 92.283946 119.912501) + (xy 92.361363 119.9279) + (xy 92.638636 119.927899) + (xy 92.638637 119.927899) + (xy 92.638637 119.927898) + (xy 92.716054 119.912501) + (xy 92.783223 119.867619) + (xy 92.833186 119.855393) + (xy 92.866775 119.867618) + (xy 92.933946 119.912501) + (xy 93.011363 119.9279) + (xy 93.288636 119.927899) + (xy 93.288637 119.927899) + (xy 93.288637 119.927898) + (xy 93.366054 119.912501) + (xy 93.453842 119.853842) + (xy 93.512501 119.766054) + (xy 93.5279 119.688637) + (xy 93.5279 119.149999) + (xy 93.742078 119.149999) + (xy 93.742174 119.150606) + (xy 93.7431 119.162371) + (xy 93.7431 119.214448) + (xy 93.759192 119.263976) + (xy 93.761944 119.275436) + (xy 93.762041 119.276051) + (xy 93.762042 119.276054) + (xy 93.762321 119.276601) + (xy 93.766835 119.2875) + (xy 93.782927 119.337028) + (xy 93.782929 119.337032) + (xy 93.813537 119.379159) + (xy 93.8197 119.389216) + (xy 93.819981 119.389768) + (xy 93.819984 119.389772) + (xy 93.820417 119.390205) + (xy 93.82808 119.399176) + (xy 93.858691 119.441307) + (xy 93.900821 119.471917) + (xy 93.909793 119.479581) + (xy 93.910224 119.480012) + (xy 93.910227 119.480014) + (xy 93.910229 119.480016) + (xy 93.910775 119.480294) + (xy 93.920841 119.486462) + (xy 93.962966 119.517069) + (xy 93.962967 119.517069) + (xy 93.962968 119.51707) + (xy 94.012497 119.533162) + (xy 94.023396 119.537677) + (xy 94.023945 119.537957) + (xy 94.024551 119.538053) + (xy 94.036021 119.540806) + (xy 94.085554 119.5569) + (xy 94.13763 119.5569) + (xy 94.149392 119.557825) + (xy 94.15 119.557922) + (xy 94.150607 119.557825) + (xy 94.16237 119.5569) + (xy 94.214444 119.5569) + (xy 94.214446 119.5569) + (xy 94.263971 119.540808) + (xy 94.275442 119.538054) + (xy 94.275461 119.538051) + (xy 94.276055 119.537957) + (xy 94.276595 119.537681) + (xy 94.287508 119.533159) + (xy 94.337032 119.51707) + (xy 94.365163 119.496631) + (xy 94.41461 119.482451) + (xy 94.461603 119.503372) + (xy 94.46254 119.504294) + (xy 94.522235 119.563989) + (xy 94.522236 119.563989) + (xy 94.522239 119.563992) + (xy 94.631797 119.617551) + (xy 94.683848 119.625135) + (xy 94.702817 119.627899) + (xy 94.702822 119.627899) + (xy 94.702826 119.6279) + (xy 94.702828 119.6279) + (xy 95.297172 119.6279) + (xy 95.297174 119.6279) + (xy 95.297178 119.627899) + (xy 95.297182 119.627899) + (xy 95.306673 119.626516) + (xy 95.368203 119.617551) + (xy 95.477761 119.563992) + (xy 95.516827 119.524925) + (xy 95.563446 119.503186) + (xy 95.570001 119.5029) + (xy 95.90894 119.5029) + (xy 95.908943 119.5029) + (xy 96.010003 119.487668) + (xy 96.012507 119.486462) + (xy 96.014271 119.485613) + (xy 96.065455 119.48049) + (xy 96.107957 119.509466) + (xy 96.1221 119.553365) + (xy 96.1221 119.986152) + (xy 96.113905 120.020289) + (xy 96.112045 120.023937) + (xy 96.112042 120.023947) + (xy 96.092078 120.149999) + (xy 96.092078 120.15) + (xy 96.112043 120.276056) + (xy 96.160962 120.372065) + (xy 96.169984 120.389771) + (xy 96.260229 120.480016) + (xy 96.373943 120.537956) + (xy 96.373945 120.537957) + (xy 96.5 120.557922) + (xy 96.626055 120.537957) + (xy 96.739771 120.480016) + (xy 96.819787 120.4) + (xy 103.5726 120.4) + (xy 104.05 120.4) + (xy 104.05 119.9726) + (xy 104.35 119.9726) + (xy 104.35 120.4) + (xy 104.827399 120.4) + (xy 104.827399 120.302869) + (xy 104.827398 120.302857) + (xy 104.817065 120.23194) + (xy 104.763578 120.12253) + (xy 104.677469 120.036421) + (xy 104.568058 119.982934) + (xy 104.568059 119.982934) + (xy 104.497141 119.9726) + (xy 104.35 119.9726) + (xy 104.05 119.9726) + (xy 103.90287 119.9726) + (xy 103.902857 119.972601) + (xy 103.83194 119.982934) + (xy 103.72253 120.036421) + (xy 103.636421 120.12253) + (xy 103.582934 120.231941) + (xy 103.5726 120.302858) + (xy 103.5726 120.4) + (xy 96.819787 120.4) + (xy 96.830016 120.389771) + (xy 96.887957 120.276055) + (xy 96.907922 120.15) + (xy 96.905277 120.133303) + (xy 96.896065 120.075138) + (xy 96.887957 120.023945) + (xy 96.887955 120.023941) + (xy 96.886127 120.018314) + (xy 96.888479 120.017549) + (xy 96.883412 119.97627) + (xy 96.911428 119.933129) + (xy 96.96062 119.91809) + (xy 96.971354 119.919432) + (xy 97 119.925129) + (xy 97 118.174868) + (xy 96.999999 118.174868) + (xy 96.971355 118.180566) + (xy 96.920514 118.172741) + (xy 96.886598 118.134066) + (xy 96.885476 118.082638) + (xy 96.886963 118.079114) + (xy 96.887956 118.076057) + (xy 96.887956 118.076056) + (xy 96.887957 118.076055) + (xy 96.907922 117.95) + (xy 96.907374 117.946543) + (xy 96.899619 117.897577) + (xy 96.887957 117.823945) + (xy 96.830016 117.710229) + (xy 96.739771 117.619984) + (xy 96.626055 117.562043) + (xy 96.626057 117.562043) + (xy 96.5 117.542078) + (xy 96.373943 117.562043) + (xy 96.260228 117.619984) + (xy 96.169984 117.710228) + (xy 96.112043 117.823943) + (xy 96.092078 117.949999) + (xy 96.092078 117.95) + (xy 96.112043 118.076056) + (xy 96.113902 118.079704) + (xy 96.1221 118.113847) + (xy 96.1221 118.550371) + (xy 96.104507 118.598709) + (xy 96.059958 118.624429) + (xy 96.024735 118.62223) + (xy 95.943265 118.5971) + (xy 95.943264 118.5971) + (xy 95.6281 118.5971) + (xy 95.579762 118.579507) + (xy 95.554042 118.534958) + (xy 95.5529 118.5219) + (xy 95.5529 118.14117) + (xy 95.553543 118.131355) + (xy 95.55408 118.127278) + (xy 95.557671 118.1) + (xy 95.55343 118.067788) + (xy 95.552963 118.063112) + (xy 95.5529 118.062198) + (xy 95.5529 118.062182) + (xy 95.549895 118.04032) + (xy 95.548007 118.026585) + (xy 95.547949 118.026159) + (xy 95.547547 118.023102) + (xy 95.538669 117.955664) + (xy 95.538668 117.955662) + (xy 95.538492 117.954322) + (xy 95.537766 117.950728) + (xy 95.537402 117.949427) + (xy 95.509274 117.884669) + (xy 95.508773 117.883488) + (xy 95.490675 117.839799) + (xy 95.482957 117.821165) + (xy 95.482951 117.821158) + (xy 95.480492 117.816897) + (xy 95.48093 117.816643) + (xy 95.479594 117.814375) + (xy 95.479524 117.814418) + (xy 95.47685 117.810021) + (xy 95.460878 117.790389) + (xy 95.434522 117.757993) + (xy 95.433199 117.756318) + (xy 95.426656 117.747791) + (xy 95.394333 117.705667) + (xy 95.394328 117.705663) + (xy 95.390847 117.702181) + (xy 95.390864 117.702163) + (xy 95.382439 117.693973) + (xy 95.380936 117.692127) + (xy 95.380934 117.692124) + (xy 95.380933 117.692123) + (xy 95.380932 117.692122) + (xy 95.32903 117.655486) + (xy 95.326665 117.653744) + (xy 95.278839 117.617044) + (xy 95.278826 117.617037) + (xy 95.276243 117.615967) + (xy 95.261665 117.607934) + (xy 95.256766 117.604476) + (xy 95.256763 117.604474) + (xy 95.256761 117.604473) + (xy 95.256757 117.604471) + (xy 95.256752 117.604469) + (xy 95.200314 117.58441) + (xy 95.196721 117.583029) + (xy 95.144337 117.561331) + (xy 95.144333 117.56133) + (xy 95.137974 117.560493) + (xy 95.122609 117.556795) + (xy 95.113548 117.553575) + (xy 95.057351 117.54973) + (xy 95.05267 117.549262) + (xy 95 117.542329) + (xy 94.999995 117.542329) + (xy 94.990053 117.543637) + (xy 94.975116 117.544105) + (xy 94.961916 117.543202) + (xy 94.96191 117.543203) + (xy 94.91028 117.553932) + (xy 94.904797 117.554861) + (xy 94.855668 117.56133) + (xy 94.855659 117.561332) + (xy 94.843064 117.566549) + (xy 94.829595 117.570698) + (xy 94.813108 117.574125) + (xy 94.813106 117.574125) + (xy 94.813104 117.574126) + (xy 94.813103 117.574126) + (xy 94.813098 117.574128) + (xy 94.769448 117.596746) + (xy 94.763631 117.599452) + (xy 94.721167 117.617041) + (xy 94.721165 117.617043) + (xy 94.707481 117.627542) + (xy 94.696305 117.634646) + (xy 94.678158 117.644049) + (xy 94.644842 117.675162) + (xy 94.639298 117.679859) + (xy 94.605665 117.705668) + (xy 94.605663 117.70567) + (xy 94.592975 117.722205) + (xy 94.584649 117.731378) + (xy 94.567078 117.747789) + (xy 94.567075 117.747792) + (xy 94.545245 117.783688) + (xy 94.540657 117.790389) + (xy 94.517043 117.821164) + (xy 94.517043 117.821165) + (xy 94.507688 117.843746) + (xy 94.502468 117.854032) + (xy 94.488108 117.877647) + (xy 94.488104 117.877657) + (xy 94.477737 117.914652) + (xy 94.474804 117.923134) + (xy 94.46133 117.955665) + (xy 94.45767 117.983462) + (xy 94.455526 117.993929) + (xy 94.4471 118.024006) + (xy 94.4471 118.058829) + (xy 94.446457 118.068644) + (xy 94.442638 118.097656) + (xy 94.442329 118.1) + (xy 94.444957 118.119961) + (xy 94.446457 118.131355) + (xy 94.4471 118.14117) + (xy 94.4471 118.5679) + (xy 94.429507 118.616238) + (xy 94.384958 118.641958) + (xy 94.3719 118.6431) + (xy 94.214881 118.6431) + (xy 94.214857 118.643101) + (xy 94.185553 118.643101) + (xy 94.179813 118.644966) + (xy 94.160345 118.651291) + (xy 94.148876 118.654044) + (xy 94.139193 118.655577) + (xy 94.122694 118.658191) + (xy 94.122692 118.658191) + (xy 94.122688 118.658193) + (xy 94.099074 118.670225) + (xy 94.088176 118.674739) + (xy 94.062967 118.68293) + (xy 94.04152 118.698512) + (xy 94.031464 118.704674) + (xy 94.007851 118.716706) + (xy 94.007844 118.716711) + (xy 93.984924 118.739631) + (xy 93.984921 118.739633) + (xy 93.984922 118.739634) + (xy 93.839637 118.884919) + (xy 93.839634 118.884923) + (xy 93.82808 118.900824) + (xy 93.820431 118.909781) + (xy 93.819986 118.910225) + (xy 93.81998 118.910233) + (xy 93.819693 118.910798) + (xy 93.81354 118.920835) + (xy 93.78293 118.962967) + (xy 93.780613 118.970098) + (xy 93.767387 119.010806) + (xy 93.766839 119.012492) + (xy 93.762327 119.023385) + (xy 93.762044 119.023938) + (xy 93.762043 119.023942) + (xy 93.761946 119.024558) + (xy 93.759194 119.036016) + (xy 93.7431 119.085549) + (xy 93.7431 119.137629) + (xy 93.742174 119.149392) + (xy 93.742078 119.149998) + (xy 93.742078 119.149999) + (xy 93.5279 119.149999) + (xy 93.527899 118.411364) + (xy 93.512501 118.333946) + (xy 93.453842 118.246158) + (xy 93.433601 118.232633) + (xy 93.411457 118.217836) + (xy 93.381041 118.176351) + (xy 93.37814 118.151372) + (xy 93.378367 118.147056) + (xy 93.380123 118.113552) + (xy 93.378719 118.109896) + (xy 93.37131 118.090595) + (xy 93.367958 118.07928) + (xy 93.362848 118.055234) + (xy 93.35783 118.048327) + (xy 93.348463 118.031075) + (xy 93.345403 118.023104) + (xy 93.345402 118.023102) + (xy 93.328018 118.005718) + (xy 93.320355 117.996747) + (xy 93.305901 117.976854) + (xy 93.30124 117.974163) + (xy 93.298503 117.972582) + (xy 93.282933 117.960634) + (xy 90.416758 115.094458) + (xy 90.414047 115.091602) + (xy 90.386949 115.061506) + (xy 90.386945 115.061503) + (xy 90.364486 115.051504) + (xy 90.354116 115.045874) + (xy 90.333496 115.032483) + (xy 90.325064 115.031148) + (xy 90.306243 115.025573) + (xy 90.298442 115.0221) + (xy 90.273848 115.0221) + (xy 90.262084 115.021174) + (xy 90.237808 115.017329) + (xy 90.237804 115.017329) + (xy 90.22956 115.019538) + (xy 90.210099 115.0221) + (xy 85.075548 115.0221) + (xy 85.02721 115.004507) + (xy 85.022374 115.000074) + (xy 78.1223 108.1) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.759164 108.318535) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.907837 108.454273) + (xy 78.936501 108.468878) + (xy 78.939959 108.470756) + (xy 78.95149 108.477413) + (xy 78.967066 108.489365) + (xy 79.933231 109.45553) + (xy 79.935942 109.458386) + (xy 79.943756 109.467065) + (xy 79.963051 109.488494) + (xy 79.985516 109.498496) + (xy 79.995884 109.504125) + (xy 80.016504 109.517516) + (xy 80.024936 109.518851) + (xy 80.043755 109.524426) + (xy 80.051558 109.5279) + (xy 80.076147 109.5279) + (xy 80.08791 109.528825) + (xy 80.112194 109.532672) + (xy 80.118459 109.530993) + (xy 80.120443 109.530462) + (xy 80.139904 109.5279) + (xy 80.264962 109.5279) + (xy 80.3133 109.545493) + (xy 80.333116 109.571319) + (xy 80.377254 109.665973) + (xy 80.37726 109.665981) + (xy 80.459018 109.747739) + (xy 80.459026 109.747745) + (xy 80.563819 109.796611) + (xy 80.563821 109.796611) + (xy 80.563824 109.796613) + (xy 80.61158 109.8029) + (xy 80.611582 109.8029) + (xy 81.288418 109.8029) + (xy 81.28842 109.8029) + (xy 81.336176 109.796613) + (xy 81.410311 109.762043) + (xy 81.440973 109.747745) + (xy 81.440973 109.747744) + (xy 81.440977 109.747743) + (xy 81.522743 109.665977) + (xy 81.571613 109.561176) + (xy 81.5779 109.51342) + (xy 81.5779 109.08658) + (xy 81.571613 109.038824) + (xy 81.569564 109.03443) + (xy 81.522745 108.934026) + (xy 81.522739 108.934018) + (xy 81.440981 108.85226) + (xy 81.440973 108.852254) + (xy 81.33618 108.803388) + (xy 81.336174 108.803386) + (xy 81.300992 108.798755) + (xy 81.28842 108.7971) + (xy 80.61158 108.7971) + (xy 80.60047 108.798562) + (xy 80.563825 108.803386) + (xy 80.563819 108.803388) + (xy 80.459026 108.852254) + (xy 80.459018 108.85226) + (xy 80.37726 108.934018) + (xy 80.377254 108.934026) + (xy 80.333116 109.028681) + (xy 80.296743 109.065055) + (xy 80.264962 109.0721) + (xy 80.225548 109.0721) + (xy 80.17721 109.054507) + (xy 80.172374 109.050074) + (xy 79.479468 108.357168) + (xy 79.457728 108.310548) + (xy 79.465637 108.269857) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.339771 107.769984) + (xy 79.226055 107.712043) + (xy 79.226057 107.712043) + (xy 79.1 107.692078) + (xy 78.973943 107.712043) + (xy 78.860228 107.769984) + (xy 78.769984 107.860228) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 78.1223 108.1) + (xy 77.6723 107.65) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.70764 107.865544) + (xy 79.719984 107.889771) + (xy 79.810229 107.980016) + (xy 79.923943 108.037956) + (xy 79.923945 108.037957) + (xy 80.05 108.057922) + (xy 80.176055 108.037957) + (xy 80.176057 108.037956) + (xy 80.176058 108.037956) + (xy 80.222204 108.014443) + (xy 80.258687 107.995853) + (xy 80.309743 107.989584) + (xy 80.352884 108.0176) + (xy 80.360981 108.031075) + (xy 80.377255 108.065975) + (xy 80.37726 108.065981) + (xy 80.459018 108.147739) + (xy 80.459026 108.147745) + (xy 80.563819 108.196611) + (xy 80.563821 108.196611) + (xy 80.563824 108.196613) + (xy 80.61158 108.2029) + (xy 80.611582 108.2029) + (xy 81.288418 108.2029) + (xy 81.28842 108.2029) + (xy 81.336176 108.196613) + (xy 81.420514 108.157285) + (xy 81.440973 108.147745) + (xy 81.440973 108.147744) + (xy 81.440977 108.147743) + (xy 81.522743 108.065977) + (xy 81.524108 108.063051) + (xy 81.557587 107.991254) + (xy 81.571613 107.961176) + (xy 81.5779 107.91342) + (xy 81.5779 107.48658) + (xy 81.571613 107.438824) + (xy 81.571209 107.437957) + (xy 81.522745 107.334026) + (xy 81.522739 107.334018) + (xy 81.440981 107.25226) + (xy 81.440973 107.252254) + (xy 81.33618 107.203388) + (xy 81.336174 107.203386) + (xy 81.300992 107.198755) + (xy 81.28842 107.1971) + (xy 80.61158 107.1971) + (xy 80.60047 107.198562) + (xy 80.563825 107.203386) + (xy 80.563819 107.203388) + (xy 80.459026 107.252254) + (xy 80.459018 107.25226) + (xy 80.393707 107.317572) + (xy 80.347087 107.339312) + (xy 80.2974 107.325998) + (xy 80.293219 107.322489) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 77.6723 107.65) + (xy 75.349926 105.327626) + (xy 75.328186 105.281006) + (xy 75.3279 105.274452) + (xy 75.3279 97.225547) + (xy 75.333194 97.211) + (xy 76.7136 97.211) + (xy 76.7136 97.774364) + (xy 76.719876 97.822043) + (xy 76.719878 97.822049) + (xy 76.768669 97.926682) + (xy 76.768675 97.92669) + (xy 76.850309 98.008324) + (xy 76.850317 98.00833) + (xy 76.95495 98.057121) + (xy 76.954956 98.057123) + (xy 77.002636 98.0634) + (xy 77.066 98.0634) + (xy 77.066 97.211) + (xy 77.366 97.211) + (xy 77.366 98.0634) + (xy 77.429364 98.0634) + (xy 77.477043 98.057123) + (xy 77.477049 98.057121) + (xy 77.581682 98.00833) + (xy 77.58169 98.008324) + (xy 77.663324 97.92669) + (xy 77.66333 97.926682) + (xy 77.712121 97.822049) + (xy 77.712123 97.822043) + (xy 77.7184 97.774364) + (xy 77.7184 97.211) + (xy 77.366 97.211) + (xy 77.066 97.211) + (xy 76.7136 97.211) + (xy 75.333194 97.211) + (xy 75.345493 97.177209) + (xy 75.349926 97.172373) + (xy 75.611299 96.911) + (xy 76.7136 96.911) + (xy 77.066 96.911) + (xy 77.066 96.0586) + (xy 77.366 96.0586) + (xy 77.366 96.911) + (xy 77.7184 96.911) + (xy 77.7184 96.347635) + (xy 77.712123 96.299956) + (xy 77.712121 96.29995) + (xy 77.66333 96.195317) + (xy 77.663324 96.195309) + (xy 77.58169 96.113675) + (xy 77.581682 96.113669) + (xy 77.477049 96.064878) + (xy 77.477043 96.064876) + (xy 77.429364 96.0586) + (xy 77.366 96.0586) + (xy 77.066 96.0586) + (xy 77.002636 96.0586) + (xy 76.954956 96.064876) + (xy 76.95495 96.064878) + (xy 76.850317 96.113669) + (xy 76.850309 96.113675) + (xy 76.768675 96.195309) + (xy 76.768669 96.195317) + (xy 76.719878 96.29995) + (xy 76.719876 96.299956) + (xy 76.7136 96.347635) + (xy 76.7136 96.911) + (xy 75.611299 96.911) + (xy 76.827799 95.6945) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.876246 95.883757) + (xy 77.901984 95.934271) + (xy 77.992229 96.024516) + (xy 78.043452 96.050615) + (xy 78.078534 96.088236) + (xy 78.081226 96.139605) + (xy 78.062486 96.170793) + (xy 78.03826 96.195018) + (xy 78.038254 96.195026) + (xy 77.989388 96.299819) + (xy 77.989386 96.299825) + (xy 77.984601 96.336176) + (xy 77.9831 96.34758) + (xy 77.9831 97.77442) + (xy 77.983837 97.780015) + (xy 77.989386 97.822174) + (xy 77.989388 97.82218) + (xy 78.038254 97.926973) + (xy 78.03826 97.926981) + (xy 78.120018 98.008739) + (xy 78.120026 98.008745) + (xy 78.224819 98.057611) + (xy 78.224821 98.057611) + (xy 78.224824 98.057613) + (xy 78.27258 98.0639) + (xy 78.272582 98.0639) + (xy 78.699418 98.0639) + (xy 78.69942 98.0639) + (xy 78.747176 98.057613) + (xy 78.823333 98.0221) + (xy 78.851973 98.008745) + (xy 78.851973 98.008744) + (xy 78.851977 98.008743) + (xy 78.933743 97.926977) + (xy 78.935647 97.922895) + (xy 78.96237 97.865586) + (xy 78.982613 97.822176) + (xy 78.9889 97.77442) + (xy 78.9889 96.34758) + (xy 78.982613 96.299824) + (xy 78.982611 96.299819) + (xy 78.933745 96.195026) + (xy 78.933739 96.195018) + (xy 78.851981 96.11326) + (xy 78.851973 96.113254) + (xy 78.757319 96.069116) + (xy 78.720945 96.032743) + (xy 78.7139 96.000962) + (xy 78.7139 95.956428) + (xy 78.714003 95.952491) + (xy 78.716123 95.912051) + (xy 78.70731 95.889095) + (xy 78.70396 95.877788) + (xy 78.698848 95.853734) + (xy 78.69383 95.846827) + (xy 78.684463 95.829575) + (xy 78.681403 95.821604) + (xy 78.681402 95.821602) + (xy 78.664018 95.804218) + (xy 78.656358 95.79525) + (xy 78.648074 95.78385) + (xy 78.633891 95.734404) + (xy 78.634631 95.727903) + (xy 78.639922 95.6945) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 76.827799 95.6945) + (xy 77.772373 94.749926) + (xy 77.818993 94.728186) + (xy 77.825547 94.7279) + (xy 81.224453 94.7279) + (xy 81.272791 94.745493) + (xy 81.277627 94.749926) + (xy 81.800074 95.272373) + (xy 81.821814 95.318993) + (xy 81.8221 95.325547) + (xy 81.8221 99.292062) + (xy 81.821997 99.295998) + (xy 81.819876 99.336448) + (xy 81.828688 99.359402) + (xy 81.832039 99.370715) + (xy 81.837151 99.394763) + (xy 81.837152 99.394766) + (xy 81.842169 99.401671) + (xy 81.851536 99.418922) + (xy 81.854596 99.426895) + (xy 81.854597 99.426896) + (xy 81.871985 99.444284) + (xy 81.879644 99.453252) + (xy 81.894097 99.473144) + (xy 81.894099 99.473146) + (xy 81.901495 99.477416) + (xy 81.917065 99.489364) + (xy 82.604237 100.176537) + (xy 83.28324 100.85554) + (xy 83.285951 100.858396) + (xy 83.313051 100.888494) + (xy 83.335523 100.898498) + (xy 83.345874 100.904119) + (xy 83.366503 100.917516) + (xy 83.374928 100.91885) + (xy 83.393759 100.924428) + (xy 83.401553 100.927898) + (xy 83.401555 100.927898) + (xy 83.401558 100.9279) + (xy 83.426152 100.9279) + (xy 83.437915 100.928825) + (xy 83.462194 100.932671) + (xy 83.467253 100.931315) + (xy 83.47044 100.930462) + (xy 83.489901 100.9279) + (xy 85.024452 100.9279) + (xy 85.07279 100.945493) + (xy 85.077627 100.949926) + (xy 85.171426 101.043726) + (xy 85.193165 101.090346) + (xy 85.179851 101.140033) + (xy 85.137714 101.169538) + (xy 85.118251 101.1721) + (xy 83.075547 101.1721) + (xy 83.027209 101.154507) + (xy 83.022373 101.150074) + (xy 81.275926 99.403626) + (xy 81.254186 99.357006) + (xy 81.2539 99.350452) + (xy 81.2539 98.121037) + (xy 81.271493 98.072699) + (xy 81.297319 98.052883) + (xy 81.391973 98.008745) + (xy 81.391973 98.008744) + (xy 81.391977 98.008743) + (xy 81.473743 97.926977) + (xy 81.475647 97.922895) + (xy 81.50237 97.865586) + (xy 81.522613 97.822176) + (xy 81.5289 97.77442) + (xy 81.5289 96.34758) + (xy 81.522613 96.299824) + (xy 81.522611 96.299819) + (xy 81.473745 96.195026) + (xy 81.473739 96.195018) + (xy 81.391981 96.11326) + (xy 81.391972 96.113254) + (xy 81.386024 96.11048) + (xy 81.349652 96.074106) + (xy 81.34517 96.022862) + (xy 81.36463 95.989156) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.497422 95.6945) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.759484 95.934271) + (xy 80.773978 95.948765) + (xy 80.795718 95.995385) + (xy 80.782404 96.045072) + (xy 80.752585 96.070093) + (xy 80.660026 96.113254) + (xy 80.660018 96.11326) + (xy 80.57826 96.195018) + (xy 80.578254 96.195026) + (xy 80.529388 96.299819) + (xy 80.529386 96.299825) + (xy 80.524601 96.336176) + (xy 80.5231 96.34758) + (xy 80.5231 97.77442) + (xy 80.523837 97.780015) + (xy 80.529386 97.822174) + (xy 80.529388 97.82218) + (xy 80.578254 97.926973) + (xy 80.57826 97.926981) + (xy 80.660018 98.008739) + (xy 80.660026 98.008745) + (xy 80.754681 98.052883) + (xy 80.791054 98.089256) + (xy 80.7981 98.121037) + (xy 80.7981 99.468062) + (xy 80.797997 99.471998) + (xy 80.795876 99.512448) + (xy 80.804688 99.535402) + (xy 80.808039 99.546715) + (xy 80.811697 99.563922) + (xy 80.813152 99.570766) + (xy 80.815993 99.574677) + (xy 80.818169 99.577671) + (xy 80.827536 99.594922) + (xy 80.830596 99.602895) + (xy 80.830597 99.602896) + (xy 80.847985 99.620284) + (xy 80.855644 99.629252) + (xy 80.870097 99.649144) + (xy 80.870099 99.649146) + (xy 80.877495 99.653416) + (xy 80.893065 99.665364) + (xy 81.850439 100.622739) + (xy 82.78324 101.55554) + (xy 82.785951 101.558396) + (xy 82.813051 101.588494) + (xy 82.835523 101.598498) + (xy 82.845874 101.604119) + (xy 82.866503 101.617516) + (xy 82.874928 101.61885) + (xy 82.893759 101.624428) + (xy 82.901553 101.627898) + (xy 82.901555 101.627898) + (xy 82.901558 101.6279) + (xy 82.926152 101.6279) + (xy 82.937915 101.628825) + (xy 82.962194 101.632671) + (xy 82.967253 101.631315) + (xy 82.97044 101.630462) + (xy 82.989901 101.6279) + (xy 85.442588 101.6279) + (xy 85.490926 101.645493) + (xy 85.516646 101.690042) + (xy 85.512062 101.731882) + (xy 85.510322 101.73608) + (xy 85.4971 101.802551) + (xy 85.4971 101.997447) + (xy 85.497101 101.997448) + (xy 85.510323 102.063923) + (xy 85.539922 102.108222) + (xy 85.552148 102.158188) + (xy 85.539923 102.191777) + (xy 85.510323 102.236078) + (xy 85.510322 102.23608) + (xy 85.4971 102.302551) + (xy 85.4971 102.497447) + (xy 85.497101 102.497448) + (xy 85.510323 102.563923) + (xy 85.539922 102.608222) + (xy 85.552148 102.658188) + (xy 85.539923 102.691777) + (xy 85.510323 102.736078) + (xy 85.510322 102.73608) + (xy 85.4971 102.802551) + (xy 85.4971 102.997447) + (xy 85.497101 102.997448) + (xy 85.510323 103.063923) + (xy 85.540223 103.108672) + (xy 85.552449 103.158637) + (xy 85.540223 103.192228) + (xy 85.510794 103.236271) + (xy 85.508064 103.25) + (xy 87.266935 103.25) + (xy 87.264204 103.236268) + (xy 87.261372 103.229429) + (xy 87.262643 103.228902) + (xy 87.252359 103.186877) + (xy 87.275109 103.140742) + (xy 87.300161 103.124858) + (xy 87.309409 103.121307) + (xy 87.320722 103.117958) + (xy 87.344761 103.112849) + (xy 87.34476 103.112849) + (xy 87.344766 103.112848) + (xy 87.351674 103.107828) + (xy 87.368921 103.098464) + (xy 87.376896 103.095403) + (xy 87.394289 103.078009) + (xy 87.403248 103.070357) + (xy 87.423146 103.055901) + (xy 87.427415 103.048505) + (xy 87.439362 103.032935) + (xy 87.454811 103.017486) + (xy 87.50143 102.995747) + (xy 87.551117 103.009061) + (xy 87.562979 103.019372) + (xy 87.570577 103.027519) + (xy 87.57058 103.027522) + (xy 87.614375 103.060524) + (xy 87.683763 103.095878) + (xy 87.702795 103.109707) + (xy 87.740289 103.147201) + (xy 87.754118 103.166234) + (xy 87.778194 103.213485) + (xy 87.785465 103.235863) + (xy 87.787704 103.249999) + (xy 87.791616 103.274698) + (xy 87.791617 103.274705) + (xy 87.79376 103.288242) + (xy 87.793759 103.311763) + (xy 87.785465 103.364134) + (xy 87.778195 103.38651) + (xy 87.75412 103.433761) + (xy 87.740291 103.452796) + (xy 87.707071 103.486016) + (xy 87.707066 103.486022) + (xy 87.698269 103.495623) + (xy 87.697751 103.496188) + (xy 87.689467 103.50606) + (xy 87.662474 103.557913) + (xy 87.624548 103.592665) + (xy 87.584009 103.597463) + (xy 87.550004 103.592078) + (xy 87.549999 103.592078) + (xy 87.534428 103.594543) + (xy 87.524133 103.596174) + (xy 87.512372 103.5971) + (xy 87.493867 103.5971) + (xy 87.476652 103.595103) + (xy 87.464231 103.592182) + (xy 87.464229 103.592182) + (xy 87.438286 103.595801) + (xy 87.435613 103.596174) + (xy 87.434143 103.596379) + (xy 87.423753 103.5971) + (xy 87.341158 103.5971) + (xy 87.29282 103.579507) + (xy 87.283029 103.569608) + (xy 87.266936 103.55) + (xy 85.508064 103.55) + (xy 85.491971 103.569608) + (xy 85.447705 103.595811) + (xy 85.433842 103.5971) + (xy 85.361679 103.5971) + (xy 85.349563 103.595123) + (xy 85.349473 103.595771) + (xy 85.34257 103.594807) + (xy 85.294727 103.59702) + (xy 85.291254 103.5971) + (xy 85.271927 103.5971) + (xy 85.270137 103.597435) + (xy 85.259792 103.598635) + (xy 85.253214 103.598939) + (xy 85.237979 103.598093) + (xy 85.20735 103.593242) + (xy 85.200001 103.592078) + (xy 85.2 103.592078) + (xy 85.073943 103.612043) + (xy 84.960228 103.669984) + (xy 84.869984 103.760228) + (xy 84.869982 103.760231) + (xy 84.833864 103.831116) + (xy 84.796243 103.866197) + (xy 84.744874 103.868889) + (xy 84.733841 103.864537) + (xy 84.668203 103.832449) + (xy 84.659054 103.831116) + (xy 84.597182 103.8221) + (xy 84.597174 103.8221) + (xy 84.002826 103.8221) + (xy 84.002817 103.8221) + (xy 83.931797 103.832449) + (xy 83.895898 103.849999) + (xy 83.822235 103.88601) + (xy 83.73601 103.972235) + (xy 83.736008 103.972238) + (xy 83.736008 103.972239) + (xy 83.734142 103.976056) + (xy 83.682449 104.081797) + (xy 83.6721 104.152817) + (xy 83.6721 104.647182) + (xy 83.679797 104.7) + (xy 83.682449 104.718203) + (xy 83.736008 104.827761) + (xy 83.736009 104.827762) + (xy 83.73601 104.827764) + (xy 83.822236 104.91399) + (xy 83.822237 104.91399) + (xy 83.822239 104.913992) + (xy 83.847317 104.926251) + (xy 83.883016 104.963286) + (xy 83.886558 105.014604) + (xy 83.885809 105.017051) + (xy 83.878446 105.03971) + (xy 83.873933 105.050606) + (xy 83.862043 105.073943) + (xy 83.857945 105.099813) + (xy 83.855191 105.111281) + (xy 83.8471 105.136182) + (xy 83.8471 105.162374) + (xy 83.846174 105.174138) + (xy 83.842078 105.2) + (xy 83.84587 105.223943) + (xy 83.846174 105.225859) + (xy 83.8471 105.237624) + (xy 83.8471 105.263813) + (xy 83.855192 105.288721) + (xy 83.857945 105.300187) + (xy 83.862043 105.326057) + (xy 83.873931 105.349388) + (xy 83.878444 105.360283) + (xy 83.886539 105.385193) + (xy 83.88654 105.385194) + (xy 83.886541 105.385196) + (xy 83.901928 105.406375) + (xy 83.908091 105.416432) + (xy 83.919984 105.439771) + (xy 83.938504 105.458291) + (xy 83.946164 105.467259) + (xy 83.961556 105.488444) + (xy 83.98274 105.503836) + (xy 83.991711 105.511499) + (xy 84.010226 105.530014) + (xy 84.010228 105.530015) + (xy 84.010229 105.530016) + (xy 84.033567 105.541907) + (xy 84.043619 105.548067) + (xy 84.064807 105.563461) + (xy 84.089709 105.571552) + (xy 84.100613 105.576069) + (xy 84.123942 105.587956) + (xy 84.123943 105.587956) + (xy 84.123945 105.587957) + (xy 84.149812 105.592053) + (xy 84.161271 105.594804) + (xy 84.186187 105.6029) + (xy 84.212375 105.6029) + (xy 84.224138 105.603825) + (xy 84.25 105.607922) + (xy 84.275861 105.603825) + (xy 84.287625 105.6029) + (xy 84.313812 105.6029) + (xy 84.313813 105.6029) + (xy 84.338722 105.594805) + (xy 84.350173 105.592055) + (xy 84.376055 105.587957) + (xy 84.399397 105.576063) + (xy 84.410284 105.571554) + (xy 84.435193 105.563461) + (xy 84.456378 105.548068) + (xy 84.46643 105.541907) + (xy 84.489771 105.530016) + (xy 84.580016 105.439771) + (xy 84.630016 105.389771) + (xy 84.641907 105.366431) + (xy 84.648067 105.356379) + (xy 84.663462 105.335192) + (xy 84.66643 105.326057) + (xy 84.671552 105.310291) + (xy 84.676069 105.299384) + (xy 84.677583 105.296413) + (xy 84.687957 105.276055) + (xy 84.692055 105.250174) + (xy 84.694802 105.238734) + (xy 84.7029 105.213813) + (xy 84.7029 105.086187) + (xy 84.7029 104.99753) + (xy 84.720493 104.949192) + (xy 84.745072 104.929971) + (xy 84.777761 104.913992) + (xy 84.813653 104.8781) + (xy 84.866828 104.824926) + (xy 84.913448 104.803186) + (xy 84.920002 104.8029) + (xy 85.063812 104.8029) + (xy 85.063813 104.8029) + (xy 85.088722 104.794805) + (xy 85.100173 104.792055) + (xy 85.126055 104.787957) + (xy 85.149397 104.776063) + (xy 85.160284 104.771554) + (xy 85.185193 104.763461) + (xy 85.206378 104.748068) + (xy 85.21643 104.741907) + (xy 85.239771 104.730016) + (xy 85.330016 104.639771) + (xy 85.336201 104.633586) + (xy 85.336208 104.633576) + (xy 85.403689 104.566095) + (xy 85.450308 104.544356) + (xy 85.499995 104.55767) + (xy 85.519389 104.577491) + (xy 85.539922 104.608221) + (xy 85.552148 104.658187) + (xy 85.539923 104.691777) + (xy 85.510323 104.736078) + (xy 85.510322 104.73608) + (xy 85.4971 104.802551) + (xy 85.4971 104.951003) + (xy 85.479507 104.999341) + (xy 85.434958 105.025061) + (xy 85.387759 105.018006) + (xy 85.376057 105.012043) + (xy 85.25 104.992078) + (xy 85.123943 105.012043) + (xy 85.010228 105.069984) + (xy 84.919984 105.160228) + (xy 84.862043 105.273943) + (xy 84.842078 105.399999) + (xy 84.842078 105.4) + (xy 84.862043 105.526056) + (xy 84.919984 105.639771) + (xy 85.010228 105.730015) + (xy 85.01502 105.733497) + (xy 85.014289 105.734502) + (xy 85.045076 105.767517) + (xy 85.047768 105.818886) + (xy 85.016811 105.859968) + (xy 84.975854 105.8721) + (xy 83.007936 105.8721) + (xy 83.004 105.871997) + (xy 83.002192 105.871902) + (xy 82.963551 105.869876) + (xy 82.96355 105.869876) + (xy 82.940597 105.878688) + (xy 82.929286 105.882039) + (xy 82.905235 105.887151) + (xy 82.905229 105.887154) + (xy 82.898324 105.892171) + (xy 82.881085 105.901532) + (xy 82.873104 105.904596) + (xy 82.855712 105.921987) + (xy 82.846746 105.929645) + (xy 82.826852 105.9441) + (xy 82.826851 105.9441) + (xy 82.822583 105.951493) + (xy 82.810637 105.967061) + (xy 82.577626 106.200074) + (xy 82.531005 106.221814) + (xy 82.524451 106.2221) + (xy 77.988047 106.2221) + (xy 77.939709 106.204507) + (xy 77.934873 106.200074) + (xy 77.465926 105.731126) + (xy 77.444186 105.684506) + (xy 77.4439 105.677952) + (xy 77.4439 105.421037) + (xy 77.461493 105.372699) + (xy 77.487319 105.352883) + (xy 77.525258 105.335192) + (xy 77.570723 105.313991) + (xy 77.581973 105.308745) + (xy 77.581973 105.308744) + (xy 77.581977 105.308743) + (xy 77.663743 105.226977) + (xy 77.712613 105.122176) + (xy 77.7189 105.07442) + (xy 77.9831 105.07442) + (xy 77.984146 105.082364) + (xy 77.989386 105.122174) + (xy 77.989388 105.12218) + (xy 78.038254 105.226973) + (xy 78.03826 105.226981) + (xy 78.120018 105.308739) + (xy 78.120026 105.308745) + (xy 78.224819 105.357611) + (xy 78.224821 105.357611) + (xy 78.224824 105.357613) + (xy 78.27258 105.3639) + (xy 78.272582 105.3639) + (xy 78.699418 105.3639) + (xy 78.69942 105.3639) + (xy 78.747176 105.357613) + (xy 78.814852 105.326055) + (xy 78.851973 105.308745) + (xy 78.851973 105.308744) + (xy 78.851977 105.308743) + (xy 78.933743 105.226977) + (xy 78.982613 105.122176) + (xy 78.9889 105.07442) + (xy 79.2531 105.07442) + (xy 79.254146 105.082364) + (xy 79.259386 105.122174) + (xy 79.259388 105.12218) + (xy 79.308254 105.226973) + (xy 79.30826 105.226981) + (xy 79.390018 105.308739) + (xy 79.390026 105.308745) + (xy 79.494819 105.357611) + (xy 79.494821 105.357611) + (xy 79.494824 105.357613) + (xy 79.54258 105.3639) + (xy 79.542582 105.3639) + (xy 79.969418 105.3639) + (xy 79.96942 105.3639) + (xy 80.017176 105.357613) + (xy 80.084852 105.326055) + (xy 80.121973 105.308745) + (xy 80.121973 105.308744) + (xy 80.121977 105.308743) + (xy 80.203743 105.226977) + (xy 80.252613 105.122176) + (xy 80.2589 105.07442) + (xy 80.5231 105.07442) + (xy 80.524146 105.082364) + (xy 80.529386 105.122174) + (xy 80.529388 105.12218) + (xy 80.578254 105.226973) + (xy 80.578259 105.22698) + (xy 80.601073 105.249793) + (xy 80.622814 105.296413) + (xy 80.6231 105.302968) + (xy 80.6231 105.562874) + (xy 80.622174 105.574638) + (xy 80.618078 105.600499) + (xy 80.618078 105.6005) + (xy 80.638043 105.726556) + (xy 80.676765 105.802551) + (xy 80.695984 105.840271) + (xy 80.786229 105.930516) + (xy 80.899943 105.988456) + (xy 80.899945 105.988457) + (xy 81.026 106.008422) + (xy 81.152055 105.988457) + (xy 81.265771 105.930516) + (xy 81.356016 105.840271) + (xy 81.413957 105.726555) + (xy 81.433922 105.6005) + (xy 81.43302 105.594808) + (xy 81.429826 105.574638) + (xy 81.4289 105.562874) + (xy 81.4289 105.302968) + (xy 81.446493 105.25463) + (xy 81.450927 105.249793) + (xy 81.47374 105.22698) + (xy 81.473743 105.226977) + (xy 81.522613 105.122176) + (xy 81.5289 105.07442) + (xy 81.5289 104.8781) + (xy 81.546493 104.829762) + (xy 81.591042 104.804042) + (xy 81.6041 104.8029) + (xy 81.912375 104.8029) + (xy 81.924138 104.803825) + (xy 81.95 104.807922) + (xy 81.975861 104.803825) + (xy 81.987625 104.8029) + (xy 82.179998 104.8029) + (xy 82.228336 104.820493) + (xy 82.233172 104.824926) + (xy 82.297717 104.889471) + (xy 82.319457 104.936091) + (xy 82.311545 104.976789) + (xy 82.308091 104.983567) + (xy 82.301929 104.993621) + (xy 82.286541 105.014801) + (xy 82.286537 105.01481) + (xy 82.278445 105.039712) + (xy 82.273933 105.050606) + (xy 82.262043 105.073943) + (xy 82.257945 105.099813) + (xy 82.255191 105.111281) + (xy 82.2471 105.136182) + (xy 82.2471 105.162374) + (xy 82.246174 105.174138) + (xy 82.242078 105.2) + (xy 82.24587 105.223943) + (xy 82.246174 105.225859) + (xy 82.2471 105.237624) + (xy 82.2471 105.263813) + (xy 82.255192 105.288721) + (xy 82.257945 105.300187) + (xy 82.262043 105.326057) + (xy 82.273931 105.349388) + (xy 82.278444 105.360283) + (xy 82.286539 105.385193) + (xy 82.28654 105.385194) + (xy 82.286541 105.385196) + (xy 82.301928 105.406375) + (xy 82.308091 105.416432) + (xy 82.319984 105.439771) + (xy 82.338504 105.458291) + (xy 82.346164 105.467259) + (xy 82.361556 105.488444) + (xy 82.38274 105.503836) + (xy 82.391711 105.511499) + (xy 82.410226 105.530014) + (xy 82.410228 105.530015) + (xy 82.410229 105.530016) + (xy 82.433567 105.541907) + (xy 82.443619 105.548067) + (xy 82.464807 105.563461) + (xy 82.489709 105.571552) + (xy 82.500613 105.576069) + (xy 82.523942 105.587956) + (xy 82.523943 105.587956) + (xy 82.523945 105.587957) + (xy 82.549812 105.592053) + (xy 82.561271 105.594804) + (xy 82.586187 105.6029) + (xy 82.612375 105.6029) + (xy 82.624138 105.603825) + (xy 82.65 105.607922) + (xy 82.675861 105.603825) + (xy 82.687625 105.6029) + (xy 82.713812 105.6029) + (xy 82.713813 105.6029) + (xy 82.738722 105.594805) + (xy 82.750173 105.592055) + (xy 82.776055 105.587957) + (xy 82.799397 105.576063) + (xy 82.810284 105.571554) + (xy 82.835193 105.563461) + (xy 82.856378 105.548068) + (xy 82.86643 105.541907) + (xy 82.889771 105.530016) + (xy 82.980016 105.439771) + (xy 82.986201 105.433586) + (xy 82.986208 105.433576) + (xy 83.033576 105.386208) + (xy 83.033586 105.386201) + (xy 83.130014 105.289773) + (xy 83.130016 105.289771) + (xy 83.141907 105.26643) + (xy 83.148068 105.256378) + (xy 83.163461 105.235193) + (xy 83.171554 105.210284) + (xy 83.176063 105.199397) + (xy 83.187957 105.176055) + (xy 83.192055 105.150173) + (xy 83.194805 105.138722) + (xy 83.2029 105.113813) + (xy 83.2029 104.99753) + (xy 83.220493 104.949192) + (xy 83.245072 104.929971) + (xy 83.277761 104.913992) + (xy 83.363992 104.827761) + (xy 83.417551 104.718203) + (xy 83.427488 104.65) + (xy 83.427899 104.647182) + (xy 83.4279 104.647172) + (xy 83.4279 104.152827) + (xy 83.427899 104.152817) + (xy 83.423047 104.119517) + (xy 83.417551 104.081797) + (xy 83.363992 103.972239) + (xy 83.363989 103.972236) + (xy 83.363989 103.972235) + (xy 83.277764 103.88601) + (xy 83.277762 103.886009) + (xy 83.277761 103.886008) + (xy 83.168203 103.832449) + (xy 83.097182 103.8221) + (xy 83.097174 103.8221) + (xy 82.502826 103.8221) + (xy 82.502817 103.8221) + (xy 82.431797 103.832449) + (xy 82.395898 103.849999) + (xy 82.322235 103.88601) + (xy 82.233172 103.975074) + (xy 82.186552 103.996814) + (xy 82.179998 103.9971) + (xy 81.987625 103.9971) + (xy 81.975861 103.996174) + (xy 81.969831 103.995219) + (xy 81.95 103.992078) + (xy 81.930169 103.995219) + (xy 81.924139 103.996174) + (xy 81.912375 103.9971) + (xy 81.6041 103.9971) + (xy 81.555762 103.979507) + (xy 81.530042 103.934958) + (xy 81.5289 103.9219) + (xy 81.5289 103.647581) + (xy 81.528666 103.645799) + (xy 81.522613 103.599824) + (xy 81.522611 103.599819) + (xy 81.473745 103.495026) + (xy 81.473739 103.495018) + (xy 81.391981 103.41326) + (xy 81.391973 103.413254) + (xy 81.28718 103.364388) + (xy 81.287174 103.364386) + (xy 81.251992 103.359755) + (xy 81.23942 103.3581) + (xy 80.81258 103.3581) + (xy 80.80147 103.359562) + (xy 80.764825 103.364386) + (xy 80.764819 103.364388) + (xy 80.660026 103.413254) + (xy 80.660018 103.41326) + (xy 80.57826 103.495018) + (xy 80.578254 103.495026) + (xy 80.529388 103.599819) + (xy 80.529386 103.599825) + (xy 80.524189 103.639307) + (xy 80.5231 103.64758) + (xy 80.5231 105.07442) + (xy 80.2589 105.07442) + (xy 80.2589 103.64758) + (xy 80.252613 103.599824) + (xy 80.252611 103.599819) + (xy 80.203745 103.495026) + (xy 80.203739 103.495018) + (xy 80.120085 103.411364) + (xy 80.098345 103.364744) + (xy 80.106255 103.32405) + (xy 80.131401 103.274698) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.163305 103.120107) + (xy 80.154407 103.063923) + (xy 80.152202 103.05) + (xy 82.172601 103.05) + (xy 82.172601 103.147142) + (xy 82.182934 103.218059) + (xy 82.236421 103.327469) + (xy 82.32253 103.413578) + (xy 82.431941 103.467065) + (xy 82.43194 103.467065) + (xy 82.502863 103.477399) + (xy 82.65 103.477399) + (xy 82.65 103.05) + (xy 82.95 103.05) + (xy 82.95 103.477399) + (xy 83.09713 103.477399) + (xy 83.097142 103.477398) + (xy 83.168059 103.467065) + (xy 83.277469 103.413578) + (xy 83.363578 103.327469) + (xy 83.417065 103.218058) + (xy 83.427399 103.147141) + (xy 83.4274 103.147131) + (xy 83.4274 103.05) + (xy 83.672601 103.05) + (xy 83.672601 103.147142) + (xy 83.682934 103.218059) + (xy 83.736421 103.327469) + (xy 83.82253 103.413578) + (xy 83.931941 103.467065) + (xy 83.93194 103.467065) + (xy 84.002863 103.477399) + (xy 84.15 103.477399) + (xy 84.15 103.05) + (xy 84.45 103.05) + (xy 84.45 103.477399) + (xy 84.59713 103.477399) + (xy 84.597142 103.477398) + (xy 84.668059 103.467065) + (xy 84.777469 103.413578) + (xy 84.863578 103.327469) + (xy 84.917065 103.218058) + (xy 84.927399 103.147141) + (xy 84.9274 103.147131) + (xy 84.9274 103.05) + (xy 84.45 103.05) + (xy 84.15 103.05) + (xy 83.672601 103.05) + (xy 83.4274 103.05) + (xy 82.95 103.05) + (xy 82.65 103.05) + (xy 82.172601 103.05) + (xy 80.152202 103.05) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 79.995771 102.793984) + (xy 79.909447 102.75) + (xy 82.1726 102.75) + (xy 82.65 102.75) + (xy 82.65 102.3226) + (xy 82.95 102.3226) + (xy 82.95 102.75) + (xy 83.427399 102.75) + (xy 83.6726 102.75) + (xy 84.15 102.75) + (xy 84.15 102.3226) + (xy 84.45 102.3226) + (xy 84.45 102.75) + (xy 84.927399 102.75) + (xy 84.927399 102.652869) + (xy 84.927398 102.652857) + (xy 84.917065 102.58194) + (xy 84.863578 102.47253) + (xy 84.777469 102.386421) + (xy 84.668058 102.332934) + (xy 84.668059 102.332934) + (xy 84.597141 102.3226) + (xy 84.45 102.3226) + (xy 84.15 102.3226) + (xy 84.00287 102.3226) + (xy 84.002857 102.322601) + (xy 83.93194 102.332934) + (xy 83.82253 102.386421) + (xy 83.736421 102.47253) + (xy 83.682934 102.581941) + (xy 83.6726 102.652858) + (xy 83.6726 102.75) + (xy 83.427399 102.75) + (xy 83.427399 102.652869) + (xy 83.427398 102.652857) + (xy 83.417065 102.58194) + (xy 83.363578 102.47253) + (xy 83.277469 102.386421) + (xy 83.168058 102.332934) + (xy 83.168059 102.332934) + (xy 83.097141 102.3226) + (xy 82.95 102.3226) + (xy 82.65 102.3226) + (xy 82.50287 102.3226) + (xy 82.502857 102.322601) + (xy 82.43194 102.332934) + (xy 82.32253 102.386421) + (xy 82.236421 102.47253) + (xy 82.182934 102.581941) + (xy 82.1726 102.652858) + (xy 82.1726 102.75) + (xy 79.909447 102.75) + (xy 79.882055 102.736043) + (xy 79.882057 102.736043) + (xy 79.756 102.716078) + (xy 79.629943 102.736043) + (xy 79.516228 102.793984) + (xy 79.425984 102.884228) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.405745 103.32405) + (xy 79.412014 103.375106) + (xy 79.391915 103.411364) + (xy 79.30826 103.495018) + (xy 79.308254 103.495026) + (xy 79.259388 103.599819) + (xy 79.259386 103.599825) + (xy 79.254189 103.639307) + (xy 79.2531 103.64758) + (xy 79.2531 105.07442) + (xy 78.9889 105.07442) + (xy 78.9889 103.64758) + (xy 78.982613 103.599824) + (xy 78.982611 103.599819) + (xy 78.933745 103.495026) + (xy 78.933739 103.495018) + (xy 78.850085 103.411364) + (xy 78.828345 103.364744) + (xy 78.836255 103.32405) + (xy 78.861401 103.274698) + (xy 78.873957 103.250055) + (xy 78.893922 103.124) + (xy 78.893305 103.120107) + (xy 78.884407 103.063923) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.135745 103.32405) + (xy 78.142014 103.375106) + (xy 78.121915 103.411364) + (xy 78.03826 103.495018) + (xy 78.038254 103.495026) + (xy 77.989388 103.599819) + (xy 77.989386 103.599825) + (xy 77.984189 103.639307) + (xy 77.9831 103.64758) + (xy 77.9831 105.07442) + (xy 77.7189 105.07442) + (xy 77.7189 103.64758) + (xy 77.712613 103.599824) + (xy 77.712611 103.599819) + (xy 77.663745 103.495026) + (xy 77.663739 103.495018) + (xy 77.580085 103.411364) + (xy 77.558345 103.364744) + (xy 77.566255 103.32405) + (xy 77.591401 103.274698) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 77.623305 103.120107) + (xy 77.614407 103.063923) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 76.828043 103.250056) + (xy 76.865745 103.32405) + (xy 76.872014 103.375106) + (xy 76.851915 103.411364) + (xy 76.76826 103.495018) + (xy 76.768254 103.495026) + (xy 76.719388 103.599819) + (xy 76.719386 103.599825) + (xy 76.714189 103.639307) + (xy 76.7131 103.64758) + (xy 76.7131 105.07442) + (xy 76.714146 105.082364) + (xy 76.719386 105.122174) + (xy 76.719388 105.12218) + (xy 76.768254 105.226973) + (xy 76.76826 105.226981) + (xy 76.850018 105.308739) + (xy 76.850026 105.308745) + (xy 76.944681 105.352883) + (xy 76.981054 105.389256) + (xy 76.9881 105.421037) + (xy 76.9881 105.534252) + (xy 76.970507 105.58259) + (xy 76.925958 105.60831) + (xy 76.8753 105.599377) + (xy 76.859726 105.587426) + (xy 76.099926 104.827626) + (xy 76.078186 104.781006) + (xy 76.0779 104.774452) + (xy 76.0779 102.329546) + (xy 76.095493 102.281208) + (xy 76.099915 102.276383) + (xy 79.911547 98.46475) + (xy 79.914365 98.462075) + (xy 79.944494 98.434949) + (xy 79.954496 98.41248) + (xy 79.960123 98.402117) + (xy 79.973516 98.381497) + (xy 79.97485 98.373072) + (xy 79.98043 98.354234) + (xy 79.982717 98.349098) + (xy 79.9839 98.346442) + (xy 79.9839 98.321846) + (xy 79.984826 98.310081) + (xy 79.988671 98.285806) + (xy 79.988129 98.283784) + (xy 79.986462 98.277559) + (xy 79.9839 98.258099) + (xy 79.9839 98.121037) + (xy 80.001493 98.072699) + (xy 80.027319 98.052883) + (xy 80.121973 98.008745) + (xy 80.121973 98.008744) + (xy 80.121977 98.008743) + (xy 80.203743 97.926977) + (xy 80.205647 97.922895) + (xy 80.23237 97.865586) + (xy 80.252613 97.822176) + (xy 80.2589 97.77442) + (xy 80.2589 96.34758) + (xy 80.252613 96.299824) + (xy 80.252611 96.299819) + (xy 80.203745 96.195026) + (xy 80.203742 96.195022) + (xy 80.193705 96.184985) + (xy 80.179512 96.170792) + (xy 80.157773 96.124174) + (xy 80.171086 96.074487) + (xy 80.198547 96.050615) + (xy 80.249771 96.024516) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694498) + (xy 79.602078 95.694503) + (xy 79.6076 95.729372) + (xy 79.597784 95.779866) + (xy 79.583646 95.797017) + (xy 79.567505 95.81155) + (xy 79.560287 95.827764) + (xy 79.557986 95.832934) + (xy 79.557507 95.834009) + (xy 79.551877 95.844378) + (xy 79.538485 95.865001) + (xy 79.538483 95.865006) + (xy 79.537148 95.873435) + (xy 79.531574 95.892254) + (xy 79.5281 95.900057) + (xy 79.5281 95.924652) + (xy 79.527174 95.936416) + (xy 79.524005 95.956428) + (xy 79.523329 95.960694) + (xy 79.525322 95.968134) + (xy 79.525538 95.968938) + (xy 79.5281 95.9884) + (xy 79.5281 96.000962) + (xy 79.510507 96.0493) + (xy 79.484681 96.069116) + (xy 79.390026 96.113254) + (xy 79.390018 96.11326) + (xy 79.30826 96.195018) + (xy 79.308254 96.195026) + (xy 79.259388 96.299819) + (xy 79.259386 96.299825) + (xy 79.254601 96.336176) + (xy 79.2531 96.34758) + (xy 79.2531 97.77442) + (xy 79.253837 97.780015) + (xy 79.259386 97.822174) + (xy 79.259388 97.82218) + (xy 79.308254 97.926973) + (xy 79.30826 97.926981) + (xy 79.390018 98.008739) + (xy 79.390026 98.008745) + (xy 79.484681 98.052883) + (xy 79.521054 98.089256) + (xy 79.5281 98.121037) + (xy 79.5281 98.172451) + (xy 79.510507 98.220789) + (xy 79.506074 98.225625) + (xy 75.694456 102.037242) + (xy 75.691602 102.039952) + (xy 75.661504 102.067052) + (xy 75.651507 102.089509) + (xy 75.645877 102.099878) + (xy 75.632485 102.120501) + (xy 75.632483 102.120506) + (xy 75.631148 102.128935) + (xy 75.625574 102.147754) + (xy 75.6221 102.155557) + (xy 75.6221 102.180152) + (xy 75.621174 102.191916) + (xy 75.617329 102.216191) + (xy 75.617329 102.216194) + (xy 75.619538 102.224438) + (xy 75.6221 102.2439) + (xy 75.6221 104.892062) + (xy 75.621997 104.895998) + (xy 75.619876 104.936448) + (xy 75.628688 104.959402) + (xy 75.632039 104.970715) + (xy 75.637151 104.994763) + (xy 75.637152 104.994766) + (xy 75.641151 105.000271) + (xy 75.642169 105.001671) + (xy 75.651534 105.01892) + (xy 75.652523 105.021494) + (xy 75.654596 105.026895) + (xy 75.654597 105.026896) + (xy 75.671985 105.044284) + (xy 75.679644 105.053252) + (xy 75.694097 105.073144) + (xy 75.694099 105.073146) + (xy 75.701495 105.077416) + (xy 75.717066 105.089365) + (xy 77.533231 106.90553) + (xy 77.535942 106.908386) + (xy 77.550047 106.924052) + (xy 77.563051 106.938494) + (xy 77.585516 106.948495) + (xy 77.595887 106.954127) + (xy 77.616502 106.967516) + (xy 77.624928 106.96885) + (xy 77.643759 106.974428) + (xy 77.651553 106.977898) + (xy 77.651555 106.977898) + (xy 77.651558 106.9779) + (xy 77.676152 106.9779) + (xy 77.687915 106.978825) + (xy 77.712194 106.982671) + (xy 77.717253 106.981315) + (xy 77.72044 106.980462) + (xy 77.739901 106.9779) + (xy 82.792064 106.9779) + (xy 82.796 106.978003) + (xy 82.836447 106.980123) + (xy 82.836447 106.980122) + (xy 82.836448 106.980123) + (xy 82.859403 106.97131) + (xy 82.870722 106.967958) + (xy 82.894761 106.962849) + (xy 82.89476 106.962849) + (xy 82.894766 106.962848) + (xy 82.901674 106.957828) + (xy 82.918921 106.948464) + (xy 82.926896 106.945403) + (xy 82.944289 106.928009) + (xy 82.953249 106.920356) + (xy 82.973146 106.905901) + (xy 82.977415 106.898506) + (xy 82.98936 106.882938) + (xy 83.222375 106.649925) + (xy 83.268995 106.628186) + (xy 83.275549 106.6279) + (xy 83.418253 106.6279) + (xy 83.466591 106.645493) + (xy 83.492311 106.690042) + (xy 83.483378 106.7407) + (xy 83.471431 106.756269) + (xy 82.905743 107.321956) + (xy 82.794457 107.433242) + (xy 82.791603 107.43595) + (xy 82.761505 107.463051) + (xy 82.754438 107.478926) + (xy 82.752351 107.483614) + (xy 82.751508 107.485507) + (xy 82.745878 107.495877) + (xy 82.732485 107.516501) + (xy 82.732483 107.516506) + (xy 82.731148 107.524935) + (xy 82.725574 107.543754) + (xy 82.7221 107.551557) + (xy 82.7221 107.576152) + (xy 82.721174 107.587916) + (xy 82.717543 107.610845) + (xy 82.717329 107.612194) + (xy 82.718381 107.616122) + (xy 82.719538 107.620438) + (xy 82.7221 107.6399) + (xy 82.7221 110.742062) + (xy 82.721997 110.745998) + (xy 82.719876 110.786448) + (xy 82.728688 110.809402) + (xy 82.732039 110.820715) + (xy 82.737151 110.844763) + (xy 82.737152 110.844766) + (xy 82.742169 110.851671) + (xy 82.751534 110.86892) + (xy 82.752368 110.871091) + (xy 82.754596 110.876895) + (xy 82.754597 110.876896) + (xy 82.771985 110.894284) + (xy 82.779644 110.903252) + (xy 82.794097 110.923144) + (xy 82.794099 110.923146) + (xy 82.801495 110.927416) + (xy 82.817066 110.939365) + (xy 85.983231 114.10553) + (xy 85.985942 114.108386) + (xy 86.013051 114.138494) + (xy 86.035516 114.148495) + (xy 86.045885 114.154126) + (xy 86.049193 114.156274) + (xy 86.066502 114.167516) + (xy 86.074928 114.16885) + (xy 86.093759 114.174428) + (xy 86.101553 114.177898) + (xy 86.101555 114.177898) + (xy 86.101558 114.1779) + (xy 86.126152 114.1779) + (xy 86.137915 114.178825) + (xy 86.162194 114.182671) + (xy 86.167253 114.181315) + (xy 86.17044 114.180462) + (xy 86.189901 114.1779) + (xy 92.724453 114.1779) + (xy 92.772791 114.195493) + (xy 92.777627 114.199926) + (xy 95.533231 116.95553) + (xy 95.535942 116.958386) + (xy 95.558472 116.983409) + (xy 95.563051 116.988494) + (xy 95.585516 116.998496) + (xy 95.595884 117.004125) + (xy 95.616504 117.017516) + (xy 95.624936 117.018851) + (xy 95.643755 117.024426) + (xy 95.651558 117.0279) + (xy 95.676147 117.0279) + (xy 95.68791 117.028825) + (xy 95.712194 117.032672) + (xy 95.718459 117.030993) + (xy 95.720443 117.030462) + (xy 95.739904 117.0279) + (xy 96.674453 117.0279) + (xy 96.722791 117.045493) + (xy 96.727627 117.049926) + (xy 97.550074 117.872373) + (xy 97.571814 117.918993) + (xy 97.5721 117.925547) + (xy 97.5721 118.155219) + (xy 97.554507 118.203557) + (xy 97.538678 118.217746) + (xy 97.516327 118.23268) + (xy 97.466361 118.244906) + (xy 97.432769 118.232679) + (xy 97.365859 118.187969) + (xy 97.365857 118.187968) + (xy 97.3 118.174868) + (xy 97.3 119.925129) + (xy 97.365858 119.91203) + (xy 97.43277 119.86732) + (xy 97.482735 119.855093) + (xy 97.516327 119.867319) + (xy 97.583946 119.912501) + (xy 97.661363 119.9279) + (xy 97.938636 119.927899) + (xy 97.938637 119.927899) + (xy 97.938637 119.927898) + (xy 98.016054 119.912501) + (xy 98.083223 119.867619) + (xy 98.133186 119.855393) + (xy 98.166775 119.867618) + (xy 98.233946 119.912501) + (xy 98.311363 119.9279) + (xy 98.588636 119.927899) + (xy 98.588637 119.927899) + (xy 98.588637 119.927898) + (xy 98.666054 119.912501) + (xy 98.733223 119.867619) + (xy 98.783186 119.855393) + (xy 98.816775 119.867618) + (xy 98.883946 119.912501) + (xy 98.961363 119.9279) + (xy 99.238636 119.927899) + (xy 99.238637 119.927899) + (xy 99.238637 119.927898) + (xy 99.316054 119.912501) + (xy 99.383223 119.867619) + (xy 99.433186 119.855393) + (xy 99.466775 119.867618) + (xy 99.533946 119.912501) + (xy 99.611363 119.9279) + (xy 99.888636 119.927899) + (xy 99.888637 119.927899) + (xy 99.888637 119.927898) + (xy 99.966054 119.912501) + (xy 100.033223 119.867619) + (xy 100.083186 119.855393) + (xy 100.116775 119.867618) + (xy 100.183946 119.912501) + (xy 100.261363 119.9279) + (xy 100.538636 119.927899) + (xy 100.538637 119.927899) + (xy 100.538637 119.927898) + (xy 100.616054 119.912501) + (xy 100.683223 119.867619) + (xy 100.733186 119.855393) + (xy 100.766775 119.867618) + (xy 100.833946 119.912501) + (xy 100.911363 119.9279) + (xy 101.188636 119.927899) + (xy 101.188637 119.927899) + (xy 101.188637 119.927898) + (xy 101.266054 119.912501) + (xy 101.333223 119.867619) + (xy 101.383186 119.855393) + (xy 101.416775 119.867618) + (xy 101.483946 119.912501) + (xy 101.561363 119.9279) + (xy 101.838636 119.927899) + (xy 101.838637 119.927899) + (xy 101.838637 119.927898) + (xy 101.916054 119.912501) + (xy 101.983223 119.867619) + (xy 102.033186 119.855393) + (xy 102.066775 119.867618) + (xy 102.133946 119.912501) + (xy 102.211363 119.9279) + (xy 102.488636 119.927899) + (xy 102.488637 119.927899) + (xy 102.488637 119.927898) + (xy 102.566054 119.912501) + (xy 102.653842 119.853842) + (xy 102.712501 119.766054) + (xy 102.7279 119.688637) + (xy 102.7279 119.149999) + (xy 102.942078 119.149999) + (xy 102.942174 119.150606) + (xy 102.9431 119.162371) + (xy 102.9431 119.214448) + (xy 102.959192 119.263976) + (xy 102.961944 119.275436) + (xy 102.962041 119.276051) + (xy 102.962042 119.276054) + (xy 102.962321 119.276601) + (xy 102.966835 119.2875) + (xy 102.982927 119.337028) + (xy 102.982929 119.337032) + (xy 103.013537 119.379159) + (xy 103.0197 119.389216) + (xy 103.019981 119.389768) + (xy 103.019984 119.389772) + (xy 103.020417 119.390205) + (xy 103.02808 119.399176) + (xy 103.058691 119.441307) + (xy 103.100821 119.471917) + (xy 103.109793 119.479581) + (xy 103.110224 119.480012) + (xy 103.110227 119.480014) + (xy 103.110229 119.480016) + (xy 103.110775 119.480294) + (xy 103.120841 119.486462) + (xy 103.162966 119.517069) + (xy 103.162967 119.517069) + (xy 103.162968 119.51707) + (xy 103.212497 119.533162) + (xy 103.223396 119.537677) + (xy 103.223945 119.537957) + (xy 103.224551 119.538053) + (xy 103.236021 119.540806) + (xy 103.285554 119.5569) + (xy 103.33763 119.5569) + (xy 103.349392 119.557825) + (xy 103.35 119.557922) + (xy 103.350607 119.557825) + (xy 103.36237 119.5569) + (xy 103.414444 119.5569) + (xy 103.414446 119.5569) + (xy 103.463971 119.540808) + (xy 103.475442 119.538054) + (xy 103.475461 119.538051) + (xy 103.476055 119.537957) + (xy 103.476595 119.537681) + (xy 103.487508 119.533159) + (xy 103.537032 119.51707) + (xy 103.565163 119.496631) + (xy 103.61461 119.482451) + (xy 103.661603 119.503372) + (xy 103.66254 119.504294) + (xy 103.722235 119.563989) + (xy 103.722236 119.563989) + (xy 103.722239 119.563992) + (xy 103.831797 119.617551) + (xy 103.883848 119.625135) + (xy 103.902817 119.627899) + (xy 103.902822 119.627899) + (xy 103.902826 119.6279) + (xy 103.902828 119.6279) + (xy 104.497172 119.6279) + (xy 104.497174 119.6279) + (xy 104.497178 119.627899) + (xy 104.497182 119.627899) + (xy 104.506673 119.626516) + (xy 104.568203 119.617551) + (xy 104.677761 119.563992) + (xy 104.716827 119.524925) + (xy 104.763446 119.503186) + (xy 104.770001 119.5029) + (xy 105.10894 119.5029) + (xy 105.108943 119.5029) + (xy 105.210003 119.487668) + (xy 105.212507 119.486462) + (xy 105.214271 119.485613) + (xy 105.265455 119.48049) + (xy 105.307957 119.509466) + (xy 105.3221 119.553365) + (xy 105.3221 119.986152) + (xy 105.313905 120.020289) + (xy 105.312045 120.023937) + (xy 105.312042 120.023947) + (xy 105.292078 120.149999) + (xy 105.292078 120.15) + (xy 105.312043 120.276056) + (xy 105.360962 120.372065) + (xy 105.369984 120.389771) + (xy 105.460229 120.480016) + (xy 105.573943 120.537956) + (xy 105.573945 120.537957) + (xy 105.7 120.557922) + (xy 105.826055 120.537957) + (xy 105.939771 120.480016) + (xy 106.030016 120.389771) + (xy 106.05028 120.35) + (xy 113.3476 120.35) + (xy 113.55 120.35) + (xy 113.55 119.852601) + (xy 113.501518 119.862244) + (xy 113.41803 119.91803) + (xy 113.362244 120.001517) + (xy 113.362243 120.001519) + (xy 113.3476 120.075138) + (xy 113.3476 120.35) + (xy 106.05028 120.35) + (xy 106.087957 120.276055) + (xy 106.107922 120.15) + (xy 106.105277 120.133303) + (xy 106.096065 120.075138) + (xy 106.087957 120.023945) + (xy 106.087955 120.023941) + (xy 106.086127 120.018314) + (xy 106.088479 120.017549) + (xy 106.083412 119.97627) + (xy 106.111428 119.933129) + (xy 106.16062 119.91809) + (xy 106.171354 119.919432) + (xy 106.2 119.925129) + (xy 106.2 118.174868) + (xy 106.199999 118.174868) + (xy 106.171355 118.180566) + (xy 106.120514 118.172741) + (xy 106.086598 118.134066) + (xy 106.085476 118.082638) + (xy 106.086963 118.079114) + (xy 106.087956 118.076057) + (xy 106.087956 118.076056) + (xy 106.087957 118.076055) + (xy 106.107922 117.95) + (xy 106.107374 117.946543) + (xy 106.099619 117.897577) + (xy 106.087957 117.823945) + (xy 106.030016 117.710229) + (xy 105.939771 117.619984) + (xy 105.826055 117.562043) + (xy 105.826057 117.562043) + (xy 105.7 117.542078) + (xy 105.573943 117.562043) + (xy 105.460228 117.619984) + (xy 105.369984 117.710228) + (xy 105.312043 117.823943) + (xy 105.292078 117.949999) + (xy 105.292078 117.95) + (xy 105.312043 118.076056) + (xy 105.313902 118.079704) + (xy 105.3221 118.113847) + (xy 105.3221 118.550371) + (xy 105.304507 118.598709) + (xy 105.259958 118.624429) + (xy 105.224735 118.62223) + (xy 105.143265 118.5971) + (xy 105.143264 118.5971) + (xy 104.8281 118.5971) + (xy 104.779762 118.579507) + (xy 104.754042 118.534958) + (xy 104.7529 118.5219) + (xy 104.7529 118.14117) + (xy 104.753543 118.131355) + (xy 104.75408 118.127278) + (xy 104.757671 118.1) + (xy 104.75343 118.067788) + (xy 104.752963 118.063112) + (xy 104.7529 118.062198) + (xy 104.7529 118.062182) + (xy 104.749895 118.04032) + (xy 104.748007 118.026585) + (xy 104.747949 118.026159) + (xy 104.747547 118.023102) + (xy 104.738669 117.955664) + (xy 104.738668 117.955662) + (xy 104.738492 117.954322) + (xy 104.737766 117.950728) + (xy 104.737402 117.949427) + (xy 104.709274 117.884669) + (xy 104.708773 117.883488) + (xy 104.690675 117.839799) + (xy 104.682957 117.821165) + (xy 104.682951 117.821158) + (xy 104.680492 117.816897) + (xy 104.68093 117.816643) + (xy 104.679594 117.814375) + (xy 104.679524 117.814418) + (xy 104.67685 117.810021) + (xy 104.660878 117.790389) + (xy 104.634522 117.757993) + (xy 104.633199 117.756318) + (xy 104.626656 117.747791) + (xy 104.594333 117.705667) + (xy 104.594328 117.705663) + (xy 104.590847 117.702181) + (xy 104.590864 117.702163) + (xy 104.582439 117.693973) + (xy 104.580936 117.692127) + (xy 104.580934 117.692124) + (xy 104.580933 117.692123) + (xy 104.580932 117.692122) + (xy 104.52903 117.655486) + (xy 104.526665 117.653744) + (xy 104.478839 117.617044) + (xy 104.478826 117.617037) + (xy 104.476243 117.615967) + (xy 104.461665 117.607934) + (xy 104.456766 117.604476) + (xy 104.456763 117.604474) + (xy 104.456761 117.604473) + (xy 104.456757 117.604471) + (xy 104.456752 117.604469) + (xy 104.400314 117.58441) + (xy 104.396721 117.583029) + (xy 104.344337 117.561331) + (xy 104.344333 117.56133) + (xy 104.337974 117.560493) + (xy 104.322609 117.556795) + (xy 104.313548 117.553575) + (xy 104.257351 117.54973) + (xy 104.25267 117.549262) + (xy 104.2 117.542329) + (xy 104.199995 117.542329) + (xy 104.190053 117.543637) + (xy 104.175116 117.544105) + (xy 104.161916 117.543202) + (xy 104.16191 117.543203) + (xy 104.11028 117.553932) + (xy 104.104797 117.554861) + (xy 104.055668 117.56133) + (xy 104.055659 117.561332) + (xy 104.043064 117.566549) + (xy 104.029595 117.570698) + (xy 104.013108 117.574125) + (xy 104.013106 117.574125) + (xy 104.013104 117.574126) + (xy 104.013103 117.574126) + (xy 104.013098 117.574128) + (xy 103.969448 117.596746) + (xy 103.963631 117.599452) + (xy 103.921167 117.617041) + (xy 103.921165 117.617043) + (xy 103.907481 117.627542) + (xy 103.896305 117.634646) + (xy 103.878158 117.644049) + (xy 103.844842 117.675162) + (xy 103.839298 117.679859) + (xy 103.805665 117.705668) + (xy 103.805663 117.70567) + (xy 103.792975 117.722205) + (xy 103.784649 117.731378) + (xy 103.767078 117.747789) + (xy 103.767075 117.747792) + (xy 103.745245 117.783688) + (xy 103.740657 117.790389) + (xy 103.717043 117.821164) + (xy 103.717043 117.821165) + (xy 103.707688 117.843746) + (xy 103.702468 117.854032) + (xy 103.688108 117.877647) + (xy 103.688104 117.877657) + (xy 103.677737 117.914652) + (xy 103.674804 117.923134) + (xy 103.66133 117.955665) + (xy 103.65767 117.983462) + (xy 103.655526 117.993929) + (xy 103.6471 118.024006) + (xy 103.6471 118.058829) + (xy 103.646457 118.068644) + (xy 103.642638 118.097656) + (xy 103.642329 118.1) + (xy 103.644957 118.119961) + (xy 103.646457 118.131355) + (xy 103.6471 118.14117) + (xy 103.6471 118.5679) + (xy 103.629507 118.616238) + (xy 103.584958 118.641958) + (xy 103.5719 118.6431) + (xy 103.414881 118.6431) + (xy 103.414857 118.643101) + (xy 103.385553 118.643101) + (xy 103.379813 118.644966) + (xy 103.360345 118.651291) + (xy 103.348876 118.654044) + (xy 103.339193 118.655577) + (xy 103.322694 118.658191) + (xy 103.322692 118.658191) + (xy 103.322688 118.658193) + (xy 103.299074 118.670225) + (xy 103.288176 118.674739) + (xy 103.262967 118.68293) + (xy 103.24152 118.698512) + (xy 103.231464 118.704674) + (xy 103.207851 118.716706) + (xy 103.207844 118.716711) + (xy 103.184924 118.739631) + (xy 103.184921 118.739633) + (xy 103.184922 118.739634) + (xy 103.039637 118.884919) + (xy 103.039634 118.884923) + (xy 103.02808 118.900824) + (xy 103.020431 118.909781) + (xy 103.019986 118.910225) + (xy 103.01998 118.910233) + (xy 103.019693 118.910798) + (xy 103.01354 118.920835) + (xy 102.98293 118.962967) + (xy 102.980613 118.970098) + (xy 102.967387 119.010806) + (xy 102.966839 119.012492) + (xy 102.962327 119.023385) + (xy 102.962044 119.023938) + (xy 102.962043 119.023942) + (xy 102.961946 119.024558) + (xy 102.959194 119.036016) + (xy 102.9431 119.085549) + (xy 102.9431 119.137629) + (xy 102.942174 119.149392) + (xy 102.942078 119.149998) + (xy 102.942078 119.149999) + (xy 102.7279 119.149999) + (xy 102.727899 118.411364) + (xy 102.712501 118.333946) + (xy 102.653842 118.246158) + (xy 102.65384 118.246157) + (xy 102.65384 118.246156) + (xy 102.653839 118.246155) + (xy 102.611458 118.217837) + (xy 102.581041 118.176354) + (xy 102.57814 118.151377) + (xy 102.580123 118.113552) + (xy 102.571306 118.090586) + (xy 102.567958 118.07928) + (xy 102.562848 118.055234) + (xy 102.55783 118.048327) + (xy 102.548463 118.031075) + (xy 102.545403 118.023104) + (xy 102.545402 118.023102) + (xy 102.528018 118.005718) + (xy 102.520355 117.996747) + (xy 102.505901 117.976854) + (xy 102.50124 117.974163) + (xy 102.498503 117.972582) + (xy 102.482933 117.960634) + (xy 99.278574 114.756274) + (xy 99.256834 114.709654) + (xy 99.270148 114.659967) + (xy 99.312285 114.630462) + (xy 99.331748 114.6279) + (xy 99.874453 114.6279) + (xy 99.922791 114.645493) + (xy 99.927627 114.649926) + (xy 102.533231 117.25553) + (xy 102.535942 117.258386) + (xy 102.548384 117.272205) + (xy 102.563051 117.288494) + (xy 102.583935 117.297792) + (xy 102.585516 117.298496) + (xy 102.595884 117.304125) + (xy 102.616504 117.317516) + (xy 102.624936 117.318851) + (xy 102.643755 117.324426) + (xy 102.651558 117.3279) + (xy 102.676147 117.3279) + (xy 102.68791 117.328825) + (xy 102.712194 117.332672) + (xy 102.719543 117.330703) + (xy 102.720443 117.330462) + (xy 102.739904 117.3279) + (xy 106.124453 117.3279) + (xy 106.172791 117.345493) + (xy 106.177627 117.349926) + (xy 106.750074 117.922373) + (xy 106.771814 117.968993) + (xy 106.7721 117.975547) + (xy 106.7721 118.155219) + (xy 106.754507 118.203557) + (xy 106.738678 118.217746) + (xy 106.716327 118.23268) + (xy 106.666361 118.244906) + (xy 106.632769 118.232679) + (xy 106.565859 118.187969) + (xy 106.565857 118.187968) + (xy 106.5 118.174868) + (xy 106.5 119.925129) + (xy 106.565858 119.91203) + (xy 106.63277 119.86732) + (xy 106.682735 119.855093) + (xy 106.716327 119.867319) + (xy 106.783946 119.912501) + (xy 106.861363 119.9279) + (xy 107.138636 119.927899) + (xy 107.138637 119.927899) + (xy 107.138637 119.927898) + (xy 107.216054 119.912501) + (xy 107.283223 119.867619) + (xy 107.333186 119.855393) + (xy 107.366775 119.867618) + (xy 107.433946 119.912501) + (xy 107.511363 119.9279) + (xy 107.788636 119.927899) + (xy 107.788637 119.927899) + (xy 107.788637 119.927898) + (xy 107.866054 119.912501) + (xy 107.933223 119.867619) + (xy 107.983186 119.855393) + (xy 108.016775 119.867618) + (xy 108.083946 119.912501) + (xy 108.161363 119.9279) + (xy 108.438636 119.927899) + (xy 108.438637 119.927899) + (xy 108.438637 119.927898) + (xy 108.516054 119.912501) + (xy 108.583223 119.867619) + (xy 108.633186 119.855393) + (xy 108.666775 119.867618) + (xy 108.733946 119.912501) + (xy 108.811363 119.9279) + (xy 109.088636 119.927899) + (xy 109.088637 119.927899) + (xy 109.088637 119.927898) + (xy 109.166054 119.912501) + (xy 109.233223 119.867619) + (xy 109.283186 119.855393) + (xy 109.316775 119.867618) + (xy 109.383946 119.912501) + (xy 109.461363 119.9279) + (xy 109.738636 119.927899) + (xy 109.738637 119.927899) + (xy 109.738637 119.927898) + (xy 109.816054 119.912501) + (xy 109.883223 119.867619) + (xy 109.933186 119.855393) + (xy 109.966775 119.867618) + (xy 110.033946 119.912501) + (xy 110.111363 119.9279) + (xy 110.388636 119.927899) + (xy 110.388637 119.927899) + (xy 110.388637 119.927898) + (xy 110.466054 119.912501) + (xy 110.533223 119.867619) + (xy 110.583186 119.855393) + (xy 110.616775 119.867618) + (xy 110.683946 119.912501) + (xy 110.761363 119.9279) + (xy 111.038636 119.927899) + (xy 111.038637 119.927899) + (xy 111.038637 119.927898) + (xy 111.116054 119.912501) + (xy 111.183223 119.867619) + (xy 111.233186 119.855393) + (xy 111.266775 119.867618) + (xy 111.333946 119.912501) + (xy 111.411363 119.9279) + (xy 111.688636 119.927899) + (xy 111.688637 119.927899) + (xy 111.688637 119.927898) + (xy 111.766054 119.912501) + (xy 111.853842 119.853842) + (xy 111.854672 119.8526) + (xy 113.85 119.8526) + (xy 113.85 120.35) + (xy 114.2 120.35) + (xy 114.2 119.852601) + (xy 114.151518 119.862244) + (xy 114.06678 119.918866) + (xy 114.016814 119.931093) + (xy 113.98322 119.918866) + (xy 113.89848 119.862243) + (xy 113.85 119.8526) + (xy 111.854672 119.8526) + (xy 111.912501 119.766054) + (xy 111.9279 119.688637) + (xy 111.927899 118.600002) + (xy 126.042329 118.600002) + (xy 126.043637 118.609943) + (xy 126.044105 118.624882) + (xy 126.043202 118.638082) + (xy 126.043203 118.638087) + (xy 126.046519 118.654044) + (xy 126.052219 118.681477) + (xy 126.053932 118.689718) + (xy 126.054861 118.695201) + (xy 126.06133 118.744332) + (xy 126.061331 118.744337) + (xy 126.066549 118.756934) + (xy 126.070699 118.770406) + (xy 126.071916 118.776263) + (xy 126.074127 118.786899) + (xy 126.096744 118.830547) + (xy 126.09945 118.836365) + (xy 126.11704 118.878831) + (xy 126.117044 118.878837) + (xy 126.127539 118.892515) + (xy 126.134644 118.903692) + (xy 126.142164 118.918203) + (xy 126.144051 118.921844) + (xy 126.168468 118.947989) + (xy 126.175163 118.955157) + (xy 126.179854 118.960693) + (xy 126.205667 118.994333) + (xy 126.222202 119.007021) + (xy 126.231378 119.015349) + (xy 126.233969 119.018123) + (xy 126.245802 119.030794) + (xy 126.247791 119.032923) + (xy 126.283684 119.054749) + (xy 126.290382 119.059337) + (xy 126.32116 119.082955) + (xy 126.321162 119.082956) + (xy 126.321164 119.082957) + (xy 126.327422 119.085549) + (xy 126.343745 119.092311) + (xy 126.354032 119.09753) + (xy 126.377653 119.111894) + (xy 126.414645 119.122258) + (xy 126.423136 119.125195) + (xy 126.455661 119.138668) + (xy 126.455664 119.138669) + (xy 126.483465 119.142328) + (xy 126.493924 119.144471) + (xy 126.524006 119.1529) + (xy 126.558829 119.1529) + (xy 126.568644 119.153543) + (xy 126.6 119.157671) + (xy 126.631356 119.153543) + (xy 126.641171 119.1529) + (xy 127.20943 119.1529) + (xy 127.242457 119.16054) + (xy 127.256797 119.167551) + (xy 127.308848 119.175135) + (xy 127.327817 119.177899) + (xy 127.327822 119.177899) + (xy 127.327826 119.1779) + (xy 127.327828 119.1779) + (xy 128.372172 119.1779) + (xy 128.372174 119.1779) + (xy 128.372178 119.177899) + (xy 128.372182 119.177899) + (xy 128.381151 119.176592) + (xy 128.443203 119.167551) + (xy 128.552761 119.113992) + (xy 128.638992 119.027761) + (xy 128.692551 118.918203) + (xy 128.702488 118.85) + (xy 129.4976 118.85) + (xy 129.4976 118.995156) + (xy 129.512988 119.09231) + (xy 129.572656 119.209414) + (xy 129.665585 119.302343) + (xy 129.78269 119.362011) + (xy 129.782688 119.362011) + (xy 129.879843 119.377399) + (xy 129.87985 119.3774) + (xy 130.2 119.3774) + (xy 130.2 118.85) + (xy 130.5 118.85) + (xy 130.5 119.3774) + (xy 130.82015 119.3774) + (xy 130.820156 119.377399) + (xy 130.91731 119.362011) + (xy 131.034414 119.302343) + (xy 131.127343 119.209414) + (xy 131.187011 119.09231) + (xy 131.202399 118.995156) + (xy 131.2024 118.995149) + (xy 131.2024 118.85) + (xy 131.8976 118.85) + (xy 131.8976 118.995156) + (xy 131.912988 119.09231) + (xy 131.972656 119.209414) + (xy 132.065585 119.302343) + (xy 132.18269 119.362011) + (xy 132.182688 119.362011) + (xy 132.279843 119.377399) + (xy 132.27985 119.3774) + (xy 132.6 119.3774) + (xy 132.6 118.85) + (xy 132.9 118.85) + (xy 132.9 119.3774) + (xy 133.22015 119.3774) + (xy 133.220156 119.377399) + (xy 133.31731 119.362011) + (xy 133.434414 119.302343) + (xy 133.527343 119.209414) + (xy 133.587011 119.09231) + (xy 133.602399 118.995156) + (xy 133.6024 118.995149) + (xy 133.6024 118.85) + (xy 132.9 118.85) + (xy 132.6 118.85) + (xy 131.8976 118.85) + (xy 131.2024 118.85) + (xy 130.5 118.85) + (xy 130.2 118.85) + (xy 129.4976 118.85) + (xy 128.702488 118.85) + (xy 128.7029 118.847174) + (xy 128.7029 118.69946) + (xy 134.3721 118.69946) + (xy 134.374371 118.716706) + (xy 134.378147 118.745391) + (xy 134.425151 118.846194) + (xy 134.425156 118.846201) + (xy 134.503798 118.924843) + (xy 134.503801 118.924845) + (xy 134.503803 118.924847) + (xy 134.604609 118.971853) + (xy 134.65054 118.9779) + (xy 134.650542 118.9779) + (xy 135.749458 118.9779) + (xy 135.74946 118.9779) + (xy 135.795391 118.971853) + (xy 135.896197 118.924847) + (xy 135.974847 118.846197) + (xy 136.021853 118.745391) + (xy 136.0279 118.69946) + (xy 136.4721 118.69946) + (xy 136.474371 118.716706) + (xy 136.478147 118.745391) + (xy 136.525151 118.846194) + (xy 136.525156 118.846201) + (xy 136.601135 118.92218) + (xy 136.622875 118.9688) + (xy 136.609561 119.018487) + (xy 136.601135 119.028528) + (xy 136.525573 119.104089) + (xy 136.525567 119.104097) + (xy 136.478638 119.204736) + (xy 136.478636 119.204742) + (xy 136.4726 119.250599) + (xy 136.4726 119.3) + (xy 138.1274 119.3) + (xy 138.1274 119.250599) + (xy 138.121363 119.204742) + (xy 138.121361 119.204736) + (xy 138.074432 119.104097) + (xy 138.074426 119.104089) + (xy 137.998865 119.028528) + (xy 137.977125 118.981908) + (xy 137.990439 118.932221) + (xy 137.998865 118.92218) + (xy 138.071044 118.85) + (xy 139.2476 118.85) + (xy 139.2476 118.995156) + (xy 139.262988 119.09231) + (xy 139.322656 119.209414) + (xy 139.415585 119.302343) + (xy 139.53269 119.362011) + (xy 139.532688 119.362011) + (xy 139.629843 119.377399) + (xy 139.62985 119.3774) + (xy 139.95 119.3774) + (xy 139.95 118.85) + (xy 140.25 118.85) + (xy 140.25 119.3774) + (xy 140.57015 119.3774) + (xy 140.570156 119.377399) + (xy 140.66731 119.362011) + (xy 140.784414 119.302343) + (xy 140.877343 119.209414) + (xy 140.937011 119.09231) + (xy 140.952399 118.995156) + (xy 140.9524 118.995149) + (xy 140.9524 118.85) + (xy 140.25 118.85) + (xy 139.95 118.85) + (xy 139.2476 118.85) + (xy 138.071044 118.85) + (xy 138.074843 118.846201) + (xy 138.074847 118.846197) + (xy 138.121853 118.745391) + (xy 138.1279 118.69946) + (xy 138.1279 118.55) + (xy 139.2476 118.55) + (xy 139.95 118.55) + (xy 139.95 118.0226) + (xy 140.25 118.0226) + (xy 140.25 118.55) + (xy 140.9524 118.55) + (xy 140.9524 118.40485) + (xy 140.952399 118.404843) + (xy 140.937011 118.307689) + (xy 140.877343 118.190585) + (xy 140.784414 118.097656) + (xy 140.667309 118.037988) + (xy 140.667311 118.037988) + (xy 140.570156 118.0226) + (xy 140.25 118.0226) + (xy 139.95 118.0226) + (xy 139.629843 118.0226) + (xy 139.532689 118.037988) + (xy 139.415585 118.097656) + (xy 139.322656 118.190585) + (xy 139.262988 118.307689) + (xy 139.2476 118.404843) + (xy 139.2476 118.55) + (xy 138.1279 118.55) + (xy 138.1279 118.30054) + (xy 138.121853 118.254609) + (xy 138.074847 118.153803) + (xy 138.074845 118.153801) + (xy 138.074843 118.153798) + (xy 137.996201 118.075156) + (xy 137.996194 118.075151) + (xy 137.895391 118.028147) + (xy 137.84946 118.0221) + (xy 137.849458 118.0221) + (xy 137.8281 118.0221) + (xy 137.779762 118.004507) + (xy 137.754042 117.959958) + (xy 137.7529 117.9469) + (xy 137.7529 117.787771) + (xy 137.753665 117.77707) + (xy 137.756407 117.757996) + (xy 137.757557 117.75) + (xy 137.753502 117.721801) + (xy 137.75295 117.71673) + (xy 137.7529 117.716072) + (xy 137.7529 117.716057) + (xy 137.748057 117.683928) + (xy 137.739023 117.621091) + (xy 137.738771 117.619338) + (xy 137.738175 117.616644) + (xy 137.737668 117.615001) + (xy 137.737668 117.614997) + (xy 137.710357 117.558284) + (xy 137.709706 117.556896) + (xy 137.699004 117.533462) + (xy 137.684921 117.502626) + (xy 137.684919 117.502624) + (xy 137.682012 117.4981) + (xy 137.682546 117.497756) + (xy 137.681752 117.496543) + (xy 137.681604 117.496645) + (xy 137.67843 117.491989) + (xy 137.638081 117.448504) + (xy 137.636392 117.44662) + (xy 137.599638 117.404203) + (xy 137.595574 117.400682) + (xy 137.595651 117.400592) + (xy 137.586574 117.392993) + (xy 137.58557 117.391911) + (xy 137.585569 117.39191) + (xy 137.585568 117.391909) + (xy 137.537322 117.364054) + (xy 137.534268 117.362192) + (xy 137.490079 117.333793) + (xy 137.490076 117.333792) + (xy 137.488179 117.333235) + (xy 137.471775 117.32621) + (xy 137.467332 117.323645) + (xy 137.46733 117.323644) + (xy 137.467329 117.323644) + (xy 137.416536 117.31205) + (xy 137.412087 117.31089) + (xy 137.365118 117.2971) + (xy 137.365117 117.2971) + (xy 137.359505 117.2971) + (xy 137.342771 117.295214) + (xy 137.334233 117.293265) + (xy 137.334229 117.293264) + (xy 137.285852 117.29689) + (xy 137.280233 117.2971) + (xy 137.234881 117.2971) + (xy 137.226011 117.299705) + (xy 137.210448 117.30254) + (xy 137.198083 117.303466) + (xy 137.156262 117.319879) + (xy 137.14998 117.322029) + (xy 137.109923 117.333792) + (xy 137.109921 117.333793) + (xy 137.099085 117.340756) + (xy 137.085914 117.347489) + (xy 137.070996 117.353344) + (xy 137.070993 117.353346) + (xy 137.038693 117.379103) + (xy 137.032468 117.383568) + (xy 137.000364 117.404201) + (xy 137.000363 117.404201) + (xy 137.000363 117.404202) + (xy 136.98955 117.416679) + (xy 136.979612 117.426219) + (xy 136.96425 117.43847) + (xy 136.964247 117.438473) + (xy 136.943008 117.469625) + (xy 136.937711 117.476505) + (xy 136.915078 117.502627) + (xy 136.906719 117.520928) + (xy 136.900452 117.532043) + (xy 136.887344 117.55127) + (xy 136.887339 117.55128) + (xy 136.877287 117.583866) + (xy 136.873834 117.592935) + (xy 136.860978 117.621086) + (xy 136.860976 117.621094) + (xy 136.857598 117.644583) + (xy 136.855025 117.656038) + (xy 136.8471 117.681735) + (xy 136.8471 117.712228) + (xy 136.846335 117.722929) + (xy 136.842443 117.75) + (xy 136.846335 117.77707) + (xy 136.8471 117.787771) + (xy 136.8471 117.9469) + (xy 136.829507 117.995238) + (xy 136.784958 118.020958) + (xy 136.7719 118.0221) + (xy 136.75054 118.0221) + (xy 136.719919 118.026131) + (xy 136.704608 118.028147) + (xy 136.603805 118.075151) + (xy 136.603798 118.075156) + (xy 136.525156 118.153798) + (xy 136.525151 118.153805) + (xy 136.478147 118.254608) + (xy 136.478147 118.254609) + (xy 136.4721 118.30054) + (xy 136.4721 118.69946) + (xy 136.0279 118.69946) + (xy 136.0279 118.30054) + (xy 136.021853 118.254609) + (xy 135.974847 118.153803) + (xy 135.974845 118.153801) + (xy 135.974843 118.153798) + (xy 135.896201 118.075156) + (xy 135.896194 118.075151) + (xy 135.795391 118.028147) + (xy 135.74946 118.0221) + (xy 134.65054 118.0221) + (xy 134.619919 118.026131) + (xy 134.604608 118.028147) + (xy 134.503805 118.075151) + (xy 134.503798 118.075156) + (xy 134.425156 118.153798) + (xy 134.425151 118.153805) + (xy 134.378147 118.254608) + (xy 134.378147 118.254609) + (xy 134.3721 118.30054) + (xy 134.3721 118.69946) + (xy 128.7029 118.69946) + (xy 128.7029 118.55) + (xy 129.4976 118.55) + (xy 130.2 118.55) + (xy 130.2 118.0226) + (xy 130.5 118.0226) + (xy 130.5 118.55) + (xy 131.2024 118.55) + (xy 131.8976 118.55) + (xy 132.6 118.55) + (xy 132.6 118.0226) + (xy 132.9 118.0226) + (xy 132.9 118.55) + (xy 133.6024 118.55) + (xy 133.6024 118.40485) + (xy 133.602399 118.404843) + (xy 133.587011 118.307689) + (xy 133.527343 118.190585) + (xy 133.434414 118.097656) + (xy 133.317309 118.037988) + (xy 133.317311 118.037988) + (xy 133.220156 118.0226) + (xy 132.9 118.0226) + (xy 132.6 118.0226) + (xy 132.279843 118.0226) + (xy 132.182689 118.037988) + (xy 132.065585 118.097656) + (xy 131.972656 118.190585) + (xy 131.912988 118.307689) + (xy 131.8976 118.404843) + (xy 131.8976 118.55) + (xy 131.2024 118.55) + (xy 131.2024 118.40485) + (xy 131.202399 118.404843) + (xy 131.187011 118.307689) + (xy 131.127343 118.190585) + (xy 131.034414 118.097656) + (xy 130.917309 118.037988) + (xy 130.917311 118.037988) + (xy 130.820156 118.0226) + (xy 130.5 118.0226) + (xy 130.2 118.0226) + (xy 129.879843 118.0226) + (xy 129.782689 118.037988) + (xy 129.665585 118.097656) + (xy 129.572656 118.190585) + (xy 129.512988 118.307689) + (xy 129.4976 118.404843) + (xy 129.4976 118.55) + (xy 128.7029 118.55) + (xy 128.7029 118.352826) + (xy 128.692551 118.281797) + (xy 128.638992 118.172239) + (xy 128.638989 118.172236) + (xy 128.638989 118.172235) + (xy 128.552764 118.08601) + (xy 128.552762 118.086009) + (xy 128.552761 118.086008) + (xy 128.497165 118.058829) + (xy 128.445072 118.033362) + (xy 128.409373 117.996326) + (xy 128.4029 117.965803) + (xy 128.4029 117.69117) + (xy 128.403543 117.681355) + (xy 128.404358 117.675162) + (xy 128.407671 117.65) + (xy 128.40343 117.617788) + (xy 128.402963 117.613112) + (xy 128.4029 117.612198) + (xy 128.4029 117.612182) + (xy 128.399646 117.588511) + (xy 128.398007 117.576585) + (xy 128.397949 117.576159) + (xy 128.397682 117.574128) + (xy 128.388669 117.505664) + (xy 128.388668 117.505662) + (xy 128.388492 117.504322) + (xy 128.387766 117.500728) + (xy 128.387402 117.499427) + (xy 128.359274 117.434669) + (xy 128.358773 117.433488) + (xy 128.341549 117.391909) + (xy 128.332957 117.371165) + (xy 128.332951 117.371158) + (xy 128.330492 117.366897) + (xy 128.33093 117.366643) + (xy 128.329594 117.364375) + (xy 128.329524 117.364418) + (xy 128.32685 117.360021) + (xy 128.299341 117.326208) + (xy 128.284522 117.307993) + (xy 128.283199 117.306318) + (xy 128.279844 117.301946) + (xy 128.244333 117.255667) + (xy 128.244328 117.255663) + (xy 128.240847 117.252181) + (xy 128.240864 117.252163) + (xy 128.232439 117.243973) + (xy 128.230936 117.242127) + (xy 128.230934 117.242124) + (xy 128.230933 117.242123) + (xy 128.230932 117.242122) + (xy 128.17903 117.205486) + (xy 128.176665 117.203744) + (xy 128.128839 117.167044) + (xy 128.128826 117.167037) + (xy 128.126243 117.165967) + (xy 128.111665 117.157934) + (xy 128.106766 117.154476) + (xy 128.106763 117.154474) + (xy 128.106761 117.154473) + (xy 128.106757 117.154471) + (xy 128.106752 117.154469) + (xy 128.050314 117.13441) + (xy 128.046721 117.133029) + (xy 127.994337 117.111331) + (xy 127.994333 117.11133) + (xy 127.987974 117.110493) + (xy 127.972609 117.106795) + (xy 127.963548 117.103575) + (xy 127.907351 117.09973) + (xy 127.90267 117.099262) + (xy 127.85 117.092329) + (xy 127.849995 117.092329) + (xy 127.840053 117.093637) + (xy 127.825116 117.094105) + (xy 127.811916 117.093202) + (xy 127.81191 117.093203) + (xy 127.76028 117.103932) + (xy 127.754797 117.104861) + (xy 127.705668 117.11133) + (xy 127.705659 117.111332) + (xy 127.693064 117.116549) + (xy 127.679595 117.120698) + (xy 127.663108 117.124125) + (xy 127.663106 117.124125) + (xy 127.663104 117.124126) + (xy 127.663103 117.124126) + (xy 127.663098 117.124128) + (xy 127.619448 117.146746) + (xy 127.613631 117.149452) + (xy 127.571167 117.167041) + (xy 127.571165 117.167043) + (xy 127.557481 117.177542) + (xy 127.546305 117.184646) + (xy 127.528158 117.194049) + (xy 127.494842 117.225162) + (xy 127.489298 117.229859) + (xy 127.455665 117.255668) + (xy 127.455663 117.25567) + (xy 127.442975 117.272205) + (xy 127.434649 117.281378) + (xy 127.417078 117.297789) + (xy 127.417075 117.297792) + (xy 127.395245 117.333688) + (xy 127.390657 117.340389) + (xy 127.367043 117.371164) + (xy 127.367043 117.371165) + (xy 127.357688 117.393746) + (xy 127.352468 117.404032) + (xy 127.338108 117.427647) + (xy 127.338104 117.427657) + (xy 127.327737 117.464652) + (xy 127.324804 117.473134) + (xy 127.31133 117.505665) + (xy 127.30767 117.533462) + (xy 127.305526 117.543929) + (xy 127.2971 117.574006) + (xy 127.2971 117.608829) + (xy 127.296457 117.618644) + (xy 127.292329 117.649999) + (xy 127.296457 117.681355) + (xy 127.2971 117.69117) + (xy 127.2971 117.965803) + (xy 127.279507 118.014141) + (xy 127.254931 118.03336) + (xy 127.242461 118.039457) + (xy 127.20943 118.0471) + (xy 126.641171 118.0471) + (xy 126.631356 118.046457) + (xy 126.629053 118.046153) + (xy 126.6 118.042329) + (xy 126.599999 118.042329) + (xy 126.599998 118.042329) + (xy 126.567792 118.046568) + (xy 126.563137 118.047034) + (xy 126.56219 118.047099) + (xy 126.562183 118.0471) + (xy 126.562182 118.0471) + (xy 126.559491 118.047469) + (xy 126.526564 118.051994) + (xy 126.526145 118.05205) + (xy 126.454352 118.061503) + (xy 126.45072 118.062236) + (xy 126.449429 118.062597) + (xy 126.449427 118.062598) + (xy 126.449424 118.062598) + (xy 126.449421 118.0626) + (xy 126.38461 118.090749) + (xy 126.383433 118.091249) + (xy 126.321163 118.117043) + (xy 126.316898 118.119506) + (xy 126.316652 118.119079) + (xy 126.31438 118.120414) + (xy 126.314418 118.120476) + (xy 126.31002 118.12315) + (xy 126.258011 118.165461) + (xy 126.256335 118.166785) + (xy 126.205666 118.205666) + (xy 126.202187 118.209146) + (xy 126.20217 118.209129) + (xy 126.193985 118.217551) + (xy 126.192125 118.219064) + (xy 126.19212 118.21907) + (xy 126.155508 118.270936) + (xy 126.153734 118.273345) + (xy 126.117046 118.321159) + (xy 126.117042 118.321167) + (xy 126.115969 118.323757) + (xy 126.107938 118.338329) + (xy 126.104474 118.343237) + (xy 126.104472 118.34324) + (xy 126.084409 118.399688) + (xy 126.083028 118.40328) + (xy 126.06133 118.455664) + (xy 126.060493 118.462024) + (xy 126.056797 118.477385) + (xy 126.053574 118.486452) + (xy 126.04973 118.542649) + (xy 126.049262 118.54733) + (xy 126.042329 118.6) + (xy 126.042329 118.600002) + (xy 111.927899 118.600002) + (xy 111.927899 118.411364) + (xy 111.912501 118.333946) + (xy 111.853842 118.246158) + (xy 111.766054 118.187499) + (xy 111.766052 118.187498) + (xy 111.688637 118.1721) + (xy 111.411362 118.1721) + (xy 111.411361 118.172101) + (xy 111.333946 118.187498) + (xy 111.266779 118.232379) + (xy 111.216813 118.244605) + (xy 111.183221 118.232379) + (xy 111.152728 118.212004) + (xy 111.116054 118.187499) + (xy 111.116052 118.187498) + (xy 111.038637 118.1721) + (xy 110.761362 118.1721) + (xy 110.761361 118.172101) + (xy 110.683945 118.187499) + (xy 110.616777 118.232379) + (xy 110.566812 118.244605) + (xy 110.53322 118.232378) + (xy 110.511458 118.217837) + (xy 110.481041 118.176354) + (xy 110.47814 118.151377) + (xy 110.480123 118.113552) + (xy 110.478719 118.109895) + (xy 110.471311 118.090595) + (xy 110.467959 118.07928) + (xy 110.462848 118.055235) + (xy 110.462848 118.055234) + (xy 110.461744 118.053715) + (xy 110.457831 118.048329) + (xy 110.448463 118.031074) + (xy 110.445404 118.023104) + (xy 110.438922 118.016622) + (xy 110.428007 118.005707) + (xy 110.420354 117.996747) + (xy 110.405901 117.976854) + (xy 110.4059 117.976853) + (xy 110.405899 117.976852) + (xy 110.398506 117.972584) + (xy 110.382934 117.960634) + (xy 107.9223 115.5) + (xy 112.322601 115.5) + (xy 112.322601 115.647142) + (xy 112.332934 115.718059) + (xy 112.386421 115.827469) + (xy 112.47253 115.913578) + (xy 112.581941 115.967065) + (xy 112.58194 115.967065) + (xy 112.652863 115.977399) + (xy 112.75 115.977399) + (xy 112.75 115.5) + (xy 113.05 115.5) + (xy 113.05 115.977399) + (xy 113.14713 115.977399) + (xy 113.147142 115.977398) + (xy 113.218059 115.967065) + (xy 113.327469 115.913578) + (xy 113.413578 115.827469) + (xy 113.467065 115.718058) + (xy 113.477399 115.647141) + (xy 113.4774 115.647131) + (xy 113.4774 115.5) + (xy 113.05 115.5) + (xy 112.75 115.5) + (xy 112.322601 115.5) + (xy 107.9223 115.5) + (xy 107.866758 115.444458) + (xy 107.864047 115.441602) + (xy 107.836949 115.411506) + (xy 107.836945 115.411503) + (xy 107.814486 115.401504) + (xy 107.804116 115.395874) + (xy 107.783496 115.382483) + (xy 107.775064 115.381148) + (xy 107.756243 115.375573) + (xy 107.748442 115.3721) + (xy 107.723848 115.3721) + (xy 107.712084 115.371174) + (xy 107.687808 115.367329) + (xy 107.687804 115.367329) + (xy 107.67956 115.369538) + (xy 107.660099 115.3721) + (xy 103.575548 115.3721) + (xy 103.52721 115.354507) + (xy 103.522374 115.350074) + (xy 103.3723 115.2) + (xy 112.3226 115.2) + (xy 112.75 115.2) + (xy 112.75 114.7226) + (xy 113.05 114.7226) + (xy 113.05 115.2) + (xy 113.477399 115.2) + (xy 113.477399 115.052869) + (xy 113.477398 115.052857) + (xy 113.467065 114.98194) + (xy 113.413578 114.87253) + (xy 113.327469 114.786421) + (xy 113.218058 114.732934) + (xy 113.218059 114.732934) + (xy 113.147141 114.7226) + (xy 113.05 114.7226) + (xy 112.75 114.7226) + (xy 112.65287 114.7226) + (xy 112.652856 114.722601) + (xy 112.58194 114.732934) + (xy 112.47253 114.786421) + (xy 112.386421 114.87253) + (xy 112.332934 114.981941) + (xy 112.3226 115.052858) + (xy 112.3226 115.2) + (xy 103.3723 115.2) + (xy 102.627676 114.455376) + (xy 113.792199 114.455376) + (xy 113.792369 114.466546) + (xy 113.792304 114.467121) + (xy 113.792304 114.467125) + (xy 113.802825 114.522735) + (xy 113.80337 114.526011) + (xy 113.810975 114.578905) + (xy 113.810977 114.57891) + (xy 113.811417 114.579873) + (xy 113.816903 114.597133) + (xy 113.817686 114.601273) + (xy 113.817688 114.601277) + (xy 113.842458 114.648147) + (xy 113.844376 114.652043) + (xy 113.865079 114.697374) + (xy 113.86508 114.697375) + (xy 113.868157 114.700927) + (xy 113.877809 114.715031) + (xy 113.881482 114.721981) + (xy 113.905699 114.746197) + (xy 113.92744 114.792817) + (xy 113.914127 114.842504) + (xy 113.9057 114.852546) + (xy 113.88601 114.872235) + (xy 113.886008 114.872238) + (xy 113.886008 114.872239) + (xy 113.854182 114.93734) + (xy 113.832449 114.981797) + (xy 113.8221 115.052817) + (xy 113.8221 115.647182) + (xy 113.829185 115.695801) + (xy 113.832449 115.718203) + (xy 113.886008 115.827761) + (xy 113.905699 115.847452) + (xy 113.92744 115.894071) + (xy 113.914127 115.943759) + (xy 113.907552 115.951596) + (xy 113.907583 115.951623) + (xy 113.90575 115.953751) + (xy 113.897859 115.964443) + (xy 113.894192 115.969025) + (xy 113.865078 116.002627) + (xy 113.865077 116.002628) + (xy 113.860859 116.011863) + (xy 113.852967 116.025268) + (xy 113.84506 116.035982) + (xy 113.83052 116.077532) + (xy 113.827947 116.083929) + (xy 113.810976 116.121093) + (xy 113.809015 116.134732) + (xy 113.805562 116.148862) + (xy 113.799967 116.164852) + (xy 113.798456 116.205233) + (xy 113.797744 116.21312) + (xy 113.792443 116.249998) + (xy 113.792443 116.250004) + (xy 113.794919 116.267233) + (xy 113.795632 116.280738) + (xy 113.794864 116.301282) + (xy 113.794864 116.301285) + (xy 113.80439 116.33684) + (xy 113.806186 116.345596) + (xy 113.810976 116.378909) + (xy 113.810977 116.378911) + (xy 113.819711 116.398036) + (xy 113.823942 116.409804) + (xy 113.828476 116.426724) + (xy 113.8302 116.433158) + (xy 113.847866 116.461272) + (xy 113.852597 116.470043) + (xy 113.865077 116.497371) + (xy 113.86508 116.497376) + (xy 113.881212 116.515993) + (xy 113.888048 116.525223) + (xy 113.902837 116.548758) + (xy 113.925268 116.568061) + (xy 113.933046 116.575813) + (xy 113.939628 116.583409) + (xy 113.950364 116.595799) + (xy 113.957371 116.600302) + (xy 113.974124 116.611068) + (xy 113.982514 116.617326) + (xy 114.00632 116.637813) + (xy 114.030136 116.648203) + (xy 114.040717 116.653865) + (xy 114.058327 116.665182) + (xy 114.059924 116.666208) + (xy 114.075463 116.670771) + (xy 114.090487 116.675183) + (xy 114.099371 116.67841) + (xy 114.131457 116.692409) + (xy 114.153676 116.694912) + (xy 114.166445 116.697486) + (xy 114.175893 116.70026) + (xy 114.184883 116.7029) + (xy 114.220346 116.7029) + (xy 114.228766 116.703373) + (xy 114.267125 116.707695) + (xy 114.285539 116.70421) + (xy 114.299519 116.7029) + (xy 114.315115 116.7029) + (xy 114.315117 116.7029) + (xy 114.352597 116.691894) + (xy 114.35979 116.690162) + (xy 114.401273 116.682314) + (xy 114.414643 116.675247) + (xy 114.428598 116.669577) + (xy 114.433173 116.668234) + (xy 114.440074 116.666209) + (xy 114.440076 116.666208) + (xy 114.475959 116.643146) + (xy 114.481463 116.639931) + (xy 114.521979 116.618519) + (xy 114.530103 116.610394) + (xy 114.542621 116.600306) + (xy 114.549636 116.595799) + (xy 114.579942 116.560822) + (xy 114.583573 116.556923) + (xy 114.699282 116.441214) + (xy 114.70555 116.435612) + (xy 114.735749 116.41153) + (xy 114.76865 116.363271) + (xy 114.770248 116.361018) + (xy 114.80494 116.314016) + (xy 114.804941 116.31401) + (xy 114.807573 116.309033) + (xy 114.807627 116.309061) + (xy 114.810267 116.303831) + (xy 114.810212 116.303805) + (xy 114.812657 116.298728) + (xy 114.812658 116.298726) + (xy 114.829872 116.242917) + (xy 114.830726 116.240322) + (xy 114.850032 116.185151) + (xy 114.850032 116.185143) + (xy 114.85108 116.17961) + (xy 114.851138 116.179621) + (xy 114.852119 116.173847) + (xy 114.85206 116.173838) + (xy 114.8529 116.168265) + (xy 114.8529 116.109882) + (xy 114.852953 116.10707) + (xy 114.855136 116.04872) + (xy 114.854505 116.043119) + (xy 114.854563 116.043112) + (xy 114.8529 116.030473) + (xy 114.8529 115.920001) + (xy 114.870493 115.871663) + (xy 114.874915 115.866837) + (xy 114.913992 115.827761) + (xy 114.913992 115.82776) + (xy 114.916828 115.824925) + (xy 114.963449 115.803186) + (xy 114.970002 115.8029) + (xy 115.315116 115.8029) + (xy 115.315117 115.8029) + (xy 115.343087 115.794686) + (xy 115.353051 115.792483) + (xy 115.385003 115.787668) + (xy 115.40761 115.77678) + (xy 115.419033 115.772386) + (xy 115.440076 115.766208) + (xy 115.467633 115.748498) + (xy 115.475643 115.744017) + (xy 115.50801 115.728431) + (xy 115.523743 115.713831) + (xy 115.534238 115.705694) + (xy 115.549633 115.695801) + (xy 115.549633 115.6958) + (xy 115.549636 115.695799) + (xy 115.573455 115.668308) + (xy 115.579122 115.662446) + (xy 115.608091 115.635568) + (xy 115.617011 115.620115) + (xy 115.625297 115.608478) + (xy 115.634921 115.597374) + (xy 115.651522 115.56102) + (xy 115.654789 115.554683) + (xy 115.676355 115.517332) + (xy 115.679517 115.503475) + (xy 115.680693 115.5) + (xy 122.322601 115.5) + (xy 122.322601 115.647142) + (xy 122.332934 115.718059) + (xy 122.386421 115.827469) + (xy 122.47253 115.913578) + (xy 122.581941 115.967065) + (xy 122.58194 115.967065) + (xy 122.652863 115.977399) + (xy 122.75 115.977399) + (xy 122.75 115.5) + (xy 123.05 115.5) + (xy 123.05 115.977399) + (xy 123.14713 115.977399) + (xy 123.147142 115.977398) + (xy 123.218059 115.967065) + (xy 123.327469 115.913578) + (xy 123.413578 115.827469) + (xy 123.467065 115.718058) + (xy 123.477399 115.647141) + (xy 123.4774 115.647131) + (xy 123.4774 115.5) + (xy 123.05 115.5) + (xy 122.75 115.5) + (xy 122.322601 115.5) + (xy 115.680693 115.5) + (xy 115.684425 115.488975) + (xy 115.689023 115.478909) + (xy 115.695223 115.435782) + (xy 115.69634 115.429768) + (xy 115.706735 115.384228) + (xy 115.705944 115.373677) + (xy 115.706499 115.357351) + (xy 115.707557 115.35) + (xy 115.700845 115.303326) + (xy 115.700292 115.298259) + (xy 115.697528 115.261373) + (xy 115.696533 115.248082) + (xy 115.693992 115.24161) + (xy 115.689561 115.224837) + (xy 115.689023 115.221091) + (xy 115.679391 115.2) + (xy 122.3226 115.2) + (xy 122.75 115.2) + (xy 122.75 114.7226) + (xy 123.05 114.7226) + (xy 123.05 115.2) + (xy 123.477399 115.2) + (xy 123.477399 115.052869) + (xy 123.477398 115.052857) + (xy 123.467065 114.98194) + (xy 123.413578 114.87253) + (xy 123.327469 114.786421) + (xy 123.218058 114.732934) + (xy 123.218059 114.732934) + (xy 123.147141 114.7226) + (xy 123.05 114.7226) + (xy 122.75 114.7226) + (xy 122.65287 114.7226) + (xy 122.652856 114.722601) + (xy 122.58194 114.732934) + (xy 122.47253 114.786421) + (xy 122.386421 114.87253) + (xy 122.332934 114.981941) + (xy 122.3226 115.052858) + (xy 122.3226 115.2) + (xy 115.679391 115.2) + (xy 115.667929 115.174902) + (xy 115.666359 115.1712) + (xy 115.646653 115.120992) + (xy 115.644594 115.11841) + (xy 115.634981 115.102757) + (xy 115.634921 115.102626) + (xy 115.599336 115.061558) + (xy 115.597376 115.0592) + (xy 115.579018 115.03618) + (xy 115.56153 115.014251) + (xy 115.561528 115.01425) + (xy 115.561527 115.014248) + (xy 115.559951 115.012785) + (xy 115.553947 115.007437) + (xy 115.5537 115.007723) + (xy 115.549638 115.004203) + (xy 115.549636 115.004201) + (xy 115.500897 114.972878) + (xy 115.499243 114.971783) + (xy 115.448726 114.937342) + (xy 115.448724 114.937341) + (xy 115.448722 114.93734) + (xy 115.447335 114.936671) + (xy 115.4416 114.934488) + (xy 115.440078 114.933793) + (xy 115.440077 114.933792) + (xy 115.440076 114.933792) + (xy 115.405893 114.923754) + (xy 115.381064 114.916464) + (xy 115.380086 114.916169) + (xy 115.318265 114.8971) + (xy 115.318264 114.8971) + (xy 114.970002 114.8971) + (xy 114.921664 114.879507) + (xy 114.916828 114.875074) + (xy 114.874926 114.833172) + (xy 114.853186 114.786552) + (xy 114.8529 114.779998) + (xy 114.8529 114.629654) + (xy 114.853373 114.621234) + (xy 114.853379 114.621174) + (xy 114.857695 114.582875) + (xy 114.846841 114.525511) + (xy 114.84637 114.522737) + (xy 114.84637 114.522735) + (xy 114.837668 114.464997) + (xy 114.837666 114.464993) + (xy 114.836006 114.459609) + (xy 114.836063 114.459591) + (xy 114.834232 114.454024) + (xy 114.834174 114.454045) + (xy 114.832313 114.448725) + (xy 114.805033 114.397111) + (xy 114.803765 114.394598) + (xy 114.778434 114.341995) + (xy 114.775257 114.337336) + (xy 114.775305 114.337302) + (xy 114.771913 114.33252) + (xy 114.771866 114.332555) + (xy 114.768522 114.328024) + (xy 114.752078 114.31158) + (xy 114.727222 114.286724) + (xy 114.725298 114.284726) + (xy 114.68557 114.24191) + (xy 114.681163 114.238396) + (xy 114.681199 114.238349) + (xy 114.671083 114.230586) + (xy 114.58359 114.143093) + (xy 114.579931 114.139164) + (xy 114.57935 114.138494) + (xy 114.549636 114.104201) + (xy 114.509206 114.078218) + (xy 114.50523 114.075478) + (xy 114.464016 114.04506) + (xy 114.458311 114.043063) + (xy 114.442498 114.035348) + (xy 114.440081 114.033794) + (xy 114.440074 114.033791) + (xy 114.390536 114.019244) + (xy 114.386889 114.018071) + (xy 114.335154 113.999969) + (xy 114.335153 113.999968) + (xy 114.335151 113.999968) + (xy 114.33515 113.999967) + (xy 114.335147 113.999967) + (xy 114.332753 113.999878) + (xy 114.320046 113.997808) + (xy 114.315117 113.9971) + (xy 114.259882 113.9971) + (xy 114.257072 113.997047) + (xy 114.254516 113.996951) + (xy 114.198719 113.994863) + (xy 114.193118 113.995495) + (xy 114.193111 113.995436) + (xy 114.190135 113.995828) + (xy 114.190208 113.996334) + (xy 114.184889 113.997098) + (xy 114.12846 114.013666) + (xy 114.126741 114.014148) + (xy 114.066847 114.030198) + (xy 114.065374 114.03084) + (xy 114.060034 114.03372) + (xy 114.007458 114.067508) + (xy 114.006813 114.067918) + (xy 113.95124 114.102838) + (xy 113.907125 114.154099) + (xy 113.90696 114.154291) + (xy 113.863 114.205025) + (xy 113.862187 114.206319) + (xy 113.836675 114.26479) + (xy 113.836155 114.265954) + (xy 113.810976 114.32109) + (xy 113.809968 114.324525) + (xy 113.807988 114.329974) + (xy 113.807591 114.331457) + (xy 113.800854 114.391242) + (xy 113.800562 114.393521) + (xy 113.792443 114.449999) + (xy 113.792443 114.455376) + (xy 113.792199 114.455376) + (xy 102.627676 114.455376) + (xy 101.7723 113.6) + (xy 111.994434 113.6) + (xy 112.008086 113.668638) + (xy 112.067076 113.756923) + (xy 112.155361 113.815913) + (xy 112.155363 113.815914) + (xy 112.233211 113.831399) + (xy 112.75 113.831399) + (xy 112.75 113.6) + (xy 113.05 113.6) + (xy 113.05 113.831399) + (xy 113.566788 113.831399) + (xy 113.566788 113.831398) + (xy 113.644638 113.815913) + (xy 113.732924 113.756923) + (xy 113.791913 113.668638) + (xy 113.791914 113.668636) + (xy 113.805567 113.6) + (xy 113.05 113.6) + (xy 112.75 113.6) + (xy 111.994434 113.6) + (xy 101.7723 113.6) + (xy 100.963139 112.790839) + (xy 111.9921 112.790839) + (xy 111.992101 112.79084) + (xy 112.007614 112.868834) + (xy 112.066715 112.957285) + (xy 112.112346 112.987774) + (xy 112.142762 113.029256) + (xy 112.139399 113.080585) + (xy 112.112347 113.112826) + (xy 112.067075 113.143076) + (xy 112.008086 113.231361) + (xy 112.008085 113.231363) + (xy 111.994432 113.299999) + (xy 111.994433 113.3) + (xy 113.805566 113.3) + (xy 113.791913 113.231361) + (xy 113.732923 113.143076) + (xy 113.687654 113.112828) + (xy 113.657237 113.071344) + (xy 113.660602 113.020015) + (xy 113.687652 112.987775) + (xy 113.733285 112.957285) + (xy 113.740757 112.946101) + (xy 113.763998 112.911321) + (xy 113.805481 112.880904) + (xy 113.826524 112.8779) + (xy 114.376964 112.8779) + (xy 114.425302 112.895493) + (xy 114.430138 112.899925) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.080016 112.889771) + (xy 115.137957 112.776055) + (xy 115.157922 112.65) + (xy 122.142078 112.65) + (xy 122.162043 112.776056) + (xy 122.209316 112.868834) + (xy 122.219984 112.889771) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.869862 112.899925) + (xy 122.916482 112.878186) + (xy 122.923036 112.8779) + (xy 123.473476 112.8779) + (xy 123.521814 112.895493) + (xy 123.536003 112.911321) + (xy 123.565269 112.955121) + (xy 123.577495 113.005087) + (xy 123.554744 113.051222) + (xy 123.50766 113.071939) + (xy 123.502742 113.0721) + (xy 123.413848 113.0721) + (xy 123.379708 113.063904) + (xy 123.376055 113.062043) + (xy 123.376057 113.062043) + (xy 123.25 113.042078) + (xy 123.123943 113.062043) + (xy 123.010228 113.119984) + (xy 122.919984 113.210228) + (xy 122.862043 113.323943) + (xy 122.842078 113.449999) + (xy 122.842078 113.45) + (xy 122.862043 113.576056) + (xy 122.909316 113.668834) + (xy 122.919984 113.689771) + (xy 123.010229 113.780016) + (xy 123.123943 113.837956) + (xy 123.123945 113.837957) + (xy 123.25 113.857922) + (xy 123.376055 113.837957) + (xy 123.378339 113.836793) + (xy 123.379708 113.836096) + (xy 123.413848 113.8279) + (xy 123.705645 113.8279) + (xy 123.720315 113.829344) + (xy 123.733161 113.8319) + (xy 123.938574 113.831899) + (xy 123.986911 113.849492) + (xy 124.012631 113.89404) + (xy 124.012848 113.918863) + (xy 123.993118 114.043436) + (xy 123.992078 114.05) + (xy 123.993829 114.061058) + (xy 123.996174 114.075859) + (xy 123.9971 114.087624) + (xy 123.9971 114.729998) + (xy 123.979507 114.778336) + (xy 123.975074 114.783172) + (xy 123.88601 114.872235) + (xy 123.886008 114.872238) + (xy 123.886008 114.872239) + (xy 123.854182 114.93734) + (xy 123.832449 114.981797) + (xy 123.8221 115.052817) + (xy 123.8221 115.647182) + (xy 123.829185 115.695801) + (xy 123.832449 115.718203) + (xy 123.886008 115.827761) + (xy 123.905699 115.847452) + (xy 123.92744 115.894071) + (xy 123.914127 115.943759) + (xy 123.907552 115.951596) + (xy 123.907583 115.951623) + (xy 123.90575 115.953751) + (xy 123.897859 115.964443) + (xy 123.894192 115.969025) + (xy 123.865078 116.002627) + (xy 123.865077 116.002628) + (xy 123.860859 116.011863) + (xy 123.852967 116.025268) + (xy 123.84506 116.035982) + (xy 123.83052 116.077532) + (xy 123.827947 116.083929) + (xy 123.810976 116.121093) + (xy 123.809015 116.134732) + (xy 123.805562 116.148862) + (xy 123.799967 116.164852) + (xy 123.798456 116.205233) + (xy 123.797744 116.21312) + (xy 123.792443 116.249998) + (xy 123.792443 116.250004) + (xy 123.794919 116.267233) + (xy 123.795632 116.280738) + (xy 123.794864 116.301282) + (xy 123.794864 116.301285) + (xy 123.80439 116.33684) + (xy 123.806186 116.345596) + (xy 123.810976 116.378909) + (xy 123.810977 116.378911) + (xy 123.819711 116.398036) + (xy 123.823942 116.409804) + (xy 123.828476 116.426724) + (xy 123.8302 116.433158) + (xy 123.847866 116.461272) + (xy 123.852597 116.470043) + (xy 123.865077 116.497371) + (xy 123.86508 116.497376) + (xy 123.881212 116.515993) + (xy 123.888048 116.525223) + (xy 123.902837 116.548758) + (xy 123.925268 116.568061) + (xy 123.933046 116.575813) + (xy 123.939628 116.583409) + (xy 123.950364 116.595799) + (xy 123.957371 116.600302) + (xy 123.974124 116.611068) + (xy 123.982514 116.617326) + (xy 124.00632 116.637813) + (xy 124.030136 116.648203) + (xy 124.040717 116.653865) + (xy 124.058327 116.665182) + (xy 124.059924 116.666208) + (xy 124.075463 116.670771) + (xy 124.090487 116.675183) + (xy 124.099371 116.67841) + (xy 124.131457 116.692409) + (xy 124.153676 116.694912) + (xy 124.166445 116.697486) + (xy 124.175893 116.70026) + (xy 124.184883 116.7029) + (xy 124.220346 116.7029) + (xy 124.228766 116.703373) + (xy 124.267125 116.707695) + (xy 124.285539 116.70421) + (xy 124.299519 116.7029) + (xy 124.315115 116.7029) + (xy 124.315117 116.7029) + (xy 124.352597 116.691894) + (xy 124.35979 116.690162) + (xy 124.401273 116.682314) + (xy 124.414643 116.675247) + (xy 124.428598 116.669577) + (xy 124.433173 116.668234) + (xy 124.440074 116.666209) + (xy 124.440076 116.666208) + (xy 124.475959 116.643146) + (xy 124.481463 116.639931) + (xy 124.521979 116.618519) + (xy 124.530103 116.610394) + (xy 124.542621 116.600306) + (xy 124.549636 116.595799) + (xy 124.579942 116.560822) + (xy 124.583573 116.556923) + (xy 124.699282 116.441214) + (xy 124.70555 116.435612) + (xy 124.735749 116.41153) + (xy 124.76865 116.363271) + (xy 124.770248 116.361018) + (xy 124.80494 116.314016) + (xy 124.804941 116.31401) + (xy 124.807573 116.309033) + (xy 124.807627 116.309061) + (xy 124.810267 116.303831) + (xy 124.810212 116.303805) + (xy 124.812657 116.298728) + (xy 124.812658 116.298726) + (xy 124.829872 116.242917) + (xy 124.830726 116.240322) + (xy 124.850032 116.185151) + (xy 124.850032 116.185143) + (xy 124.85108 116.17961) + (xy 124.851138 116.179621) + (xy 124.852119 116.173847) + (xy 124.85206 116.173838) + (xy 124.8529 116.168265) + (xy 124.8529 116.109882) + (xy 124.852953 116.10707) + (xy 124.855136 116.04872) + (xy 124.854505 116.043119) + (xy 124.854563 116.043112) + (xy 124.8529 116.030473) + (xy 124.8529 115.920001) + (xy 124.870493 115.871663) + (xy 124.874915 115.866837) + (xy 124.913992 115.827761) + (xy 124.913992 115.82776) + (xy 124.916828 115.824925) + (xy 124.963449 115.803186) + (xy 124.970002 115.8029) + (xy 125.315116 115.8029) + (xy 125.315117 115.8029) + (xy 125.343087 115.794686) + (xy 125.353051 115.792483) + (xy 125.385003 115.787668) + (xy 125.40761 115.77678) + (xy 125.419033 115.772386) + (xy 125.440076 115.766208) + (xy 125.467633 115.748498) + (xy 125.475643 115.744017) + (xy 125.50801 115.728431) + (xy 125.523743 115.713831) + (xy 125.534238 115.705694) + (xy 125.549633 115.695801) + (xy 125.549633 115.6958) + (xy 125.549636 115.695799) + (xy 125.573455 115.668308) + (xy 125.579122 115.662446) + (xy 125.608091 115.635568) + (xy 125.617011 115.620115) + (xy 125.625297 115.608478) + (xy 125.634921 115.597374) + (xy 125.651522 115.56102) + (xy 125.654789 115.554683) + (xy 125.676355 115.517332) + (xy 125.679517 115.503475) + (xy 125.684425 115.488975) + (xy 125.689023 115.478909) + (xy 125.695223 115.435782) + (xy 125.69634 115.429768) + (xy 125.706735 115.384228) + (xy 125.705944 115.373677) + (xy 125.706499 115.357351) + (xy 125.707557 115.35) + (xy 125.700845 115.303326) + (xy 125.700292 115.298259) + (xy 125.697528 115.261373) + (xy 125.696533 115.248082) + (xy 125.693992 115.24161) + (xy 125.689561 115.224837) + (xy 125.689023 115.221091) + (xy 125.667929 115.174902) + (xy 125.666359 115.1712) + (xy 125.646653 115.120992) + (xy 125.644594 115.11841) + (xy 125.634981 115.102757) + (xy 125.634921 115.102626) + (xy 125.599336 115.061558) + (xy 125.597376 115.0592) + (xy 125.579018 115.03618) + (xy 125.56153 115.014251) + (xy 125.561528 115.01425) + (xy 125.561527 115.014248) + (xy 125.559951 115.012785) + (xy 125.553947 115.007437) + (xy 125.5537 115.007723) + (xy 125.549638 115.004203) + (xy 125.549636 115.004201) + (xy 125.500897 114.972878) + (xy 125.499243 114.971783) + (xy 125.448726 114.937342) + (xy 125.448724 114.937341) + (xy 125.448722 114.93734) + (xy 125.447335 114.936671) + (xy 125.4416 114.934488) + (xy 125.440078 114.933793) + (xy 125.440077 114.933792) + (xy 125.440076 114.933792) + (xy 125.405893 114.923754) + (xy 125.381064 114.916464) + (xy 125.380086 114.916169) + (xy 125.318265 114.8971) + (xy 125.318264 114.8971) + (xy 124.970002 114.8971) + (xy 124.921664 114.879507) + (xy 124.916828 114.875074) + (xy 124.824926 114.783172) + (xy 124.803186 114.736552) + (xy 124.8029 114.729998) + (xy 124.8029 114.087624) + (xy 124.803826 114.075859) + (xy 124.806171 114.061058) + (xy 124.807922 114.05) + (xy 124.806882 114.043436) + (xy 124.787152 113.918863) + (xy 124.796967 113.868368) + (xy 124.836943 113.835996) + (xy 124.861426 113.831899) + (xy 125.066838 113.831899) + (xy 125.144834 113.816386) + (xy 125.233285 113.757285) + (xy 125.292386 113.668834) + (xy 125.3079 113.590839) + (xy 125.3079 113.5) + (xy 125.822601 113.5) + (xy 125.822601 113.597142) + (xy 125.832934 113.668059) + (xy 125.886421 113.777469) + (xy 125.97253 113.863578) + (xy 126.081941 113.917065) + (xy 126.08194 113.917065) + (xy 126.152863 113.927399) + (xy 126.3 113.927399) + (xy 126.3 113.5) + (xy 126.6 113.5) + (xy 126.6 113.927399) + (xy 126.74713 113.927399) + (xy 126.747142 113.927398) + (xy 126.818059 113.917065) + (xy 126.927469 113.863578) + (xy 127.013578 113.777469) + (xy 127.067065 113.668058) + (xy 127.077399 113.597141) + (xy 127.0774 113.597131) + (xy 127.0774 113.5) + (xy 126.6 113.5) + (xy 126.3 113.5) + (xy 125.822601 113.5) + (xy 125.3079 113.5) + (xy 125.307899 113.309162) + (xy 125.292386 113.231166) + (xy 125.271562 113.2) + (xy 125.8226 113.2) + (xy 126.3 113.2) + (xy 126.3 112.7726) + (xy 126.6 112.7726) + (xy 126.6 113.2) + (xy 127.077399 113.2) + (xy 127.077399 113.102869) + (xy 127.077398 113.102857) + (xy 127.067065 113.03194) + (xy 127.013578 112.92253) + (xy 126.927469 112.836421) + (xy 126.818058 112.782934) + (xy 126.818059 112.782934) + (xy 126.747141 112.7726) + (xy 126.6 112.7726) + (xy 126.3 112.7726) + (xy 126.15287 112.7726) + (xy 126.152857 112.772601) + (xy 126.08194 112.782934) + (xy 125.97253 112.836421) + (xy 125.886421 112.92253) + (xy 125.832934 113.031941) + (xy 125.8226 113.102858) + (xy 125.8226 113.2) + (xy 125.271562 113.2) + (xy 125.233285 113.142715) + (xy 125.188104 113.112526) + (xy 125.157687 113.071044) + (xy 125.161051 113.019714) + (xy 125.188105 112.987473) + (xy 125.199266 112.980016) + (xy 125.233285 112.957285) + (xy 125.292386 112.868834) + (xy 125.3079 112.790839) + (xy 125.307899 112.509162) + (xy 125.292386 112.431166) + (xy 125.286328 112.4221) + (xy 125.253775 112.373381) + (xy 125.251489 112.36996) + (xy 125.239263 112.319996) + (xy 125.262014 112.273861) + (xy 125.309097 112.253143) + (xy 125.34664 112.260427) + (xy 125.351274 112.262658) + (xy 125.481736 112.3029) + (xy 125.879999 112.3029) + (xy 125.928337 112.320493) + (xy 125.933162 112.324915) + (xy 125.972239 112.363992) + (xy 126.081797 112.417551) + (xy 126.133848 112.425135) + (xy 126.152817 112.427899) + (xy 126.152822 112.427899) + (xy 126.152826 112.4279) + (xy 126.152828 112.4279) + (xy 126.747172 112.4279) + (xy 126.747174 112.4279) + (xy 126.747178 112.427899) + (xy 126.747182 112.427899) + (xy 126.756151 112.426592) + (xy 126.818203 112.417551) + (xy 126.927761 112.363992) + (xy 126.944917 112.346835) + (xy 126.991536 112.325095) + (xy 127.041224 112.338408) + (xy 127.047336 112.343176) + (xy 127.05036 112.345796) + (xy 127.050363 112.345798) + (xy 127.050364 112.345799) + (xy 127.090788 112.371777) + (xy 127.094772 112.374523) + (xy 127.135984 112.40494) + (xy 127.14168 112.406933) + (xy 127.157504 112.414653) + (xy 127.159923 112.416208) + (xy 127.179016 112.421814) + (xy 127.209459 112.430753) + (xy 127.213088 112.431919) + (xy 127.264849 112.450032) + (xy 127.267237 112.450121) + (xy 127.279851 112.452176) + (xy 127.28488 112.452899) + (xy 127.284883 112.4529) + (xy 127.340118 112.4529) + (xy 127.342927 112.452952) + (xy 127.361961 112.453665) + (xy 127.401279 112.455136) + (xy 127.401279 112.455135) + (xy 127.401281 112.455136) + (xy 127.401282 112.455135) + (xy 127.406881 112.454505) + (xy 127.406887 112.454563) + (xy 127.409866 112.454171) + (xy 127.409794 112.453665) + (xy 127.415113 112.4529) + (xy 127.415117 112.4529) + (xy 127.471589 112.436316) + (xy 127.473211 112.435861) + (xy 127.533157 112.4198) + (xy 127.533162 112.419796) + (xy 127.534643 112.419151) + (xy 127.539948 112.416289) + (xy 127.540072 112.416209) + (xy 127.540076 112.416208) + (xy 127.592641 112.382426) + (xy 127.59318 112.382084) + (xy 127.596472 112.380016) + (xy 127.648758 112.347163) + (xy 127.692926 112.295838) + (xy 127.734921 112.247374) + (xy 127.734922 112.24737) + (xy 127.737001 112.244972) + (xy 127.737812 112.243681) + (xy 127.737811 112.243681) + (xy 127.737813 112.24368) + (xy 127.763349 112.185148) + (xy 127.763825 112.184082) + (xy 127.789023 112.128909) + (xy 127.789023 112.128907) + (xy 127.790026 112.125492) + (xy 127.79201 112.12003) + (xy 127.792409 112.118542) + (xy 127.79565 112.089771) + (xy 127.799151 112.058691) + (xy 127.799429 112.056525) + (xy 127.807557 112) + (xy 127.807557 111.999999) + (xy 127.807557 111.994617) + (xy 127.807804 111.994617) + (xy 127.807633 111.983425) + (xy 127.807633 111.983422) + (xy 127.807695 111.982875) + (xy 127.797175 111.927276) + (xy 127.79663 111.924001) + (xy 127.79626 111.921426) + (xy 127.789023 111.871091) + (xy 127.788584 111.87013) + (xy 127.783096 111.852866) + (xy 127.782314 111.848727) + (xy 127.757529 111.801833) + (xy 127.755638 111.797989) + (xy 127.734921 111.752626) + (xy 127.731841 111.749071) + (xy 127.722188 111.734964) + (xy 127.718518 111.72802) + (xy 127.701481 111.710983) + (xy 127.683572 111.693074) + (xy 127.679927 111.689159) + (xy 127.661462 111.667849) + (xy 127.649636 111.654201) + (xy 127.649635 111.6542) + (xy 127.649635 111.654199) + (xy 127.642615 111.649688) + (xy 127.630099 111.639601) + (xy 127.541222 111.550724) + (xy 127.535602 111.544436) + (xy 127.534517 111.543076) + (xy 127.514681 111.518202) + (xy 127.511531 111.514252) + (xy 127.51153 111.514251) + (xy 127.498841 111.5056) + (xy 127.463266 111.481345) + (xy 127.461033 111.479761) + (xy 127.414016 111.44506) + (xy 127.414015 111.445059) + (xy 127.414013 111.445058) + (xy 127.409038 111.442429) + (xy 127.409065 111.442377) + (xy 127.403826 111.439732) + (xy 127.403801 111.439786) + (xy 127.398728 111.437343) + (xy 127.398727 111.437342) + (xy 127.398726 111.437342) + (xy 127.355752 111.424086) + (xy 127.342954 111.420138) + (xy 127.340285 111.419259) + (xy 127.28515 111.399967) + (xy 127.279617 111.39892) + (xy 127.279627 111.398864) + (xy 127.273843 111.397882) + (xy 127.273835 111.397939) + (xy 127.268267 111.3971) + (xy 127.268264 111.3971) + (xy 127.209882 111.3971) + (xy 127.207072 111.397047) + (xy 127.204516 111.396951) + (xy 127.148719 111.394863) + (xy 127.143118 111.395495) + (xy 127.143111 111.395436) + (xy 127.130473 111.3971) + (xy 127.020001 111.3971) + (xy 126.971663 111.379507) + (xy 126.966837 111.375084) + (xy 126.927761 111.336008) + (xy 126.92776 111.336007) + (xy 126.924925 111.333172) + (xy 126.903186 111.286551) + (xy 126.9029 111.279998) + (xy 126.9029 111.037771) + (xy 126.903665 111.02707) + (xy 126.907557 111) + (xy 126.905516 110.985806) + (xy 126.903502 110.971801) + (xy 126.90295 110.96673) + (xy 126.9029 110.966072) + (xy 126.9029 110.966057) + (xy 126.898057 110.933928) + (xy 126.889023 110.871091) + (xy 126.889022 110.87109) + (xy 126.888771 110.86934) + (xy 126.888173 110.866638) + (xy 126.887668 110.865002) + (xy 126.887668 110.864997) + (xy 126.885108 110.859681) + (xy 126.860342 110.808254) + (xy 126.859727 110.806945) + (xy 126.839222 110.762043) + (xy 126.83492 110.752623) + (xy 126.832015 110.748104) + (xy 126.832549 110.74776) + (xy 126.831752 110.746543) + (xy 126.831604 110.746645) + (xy 126.82843 110.741989) + (xy 126.826515 110.739925) + (xy 126.788081 110.698504) + (xy 126.786392 110.69662) + (xy 126.749638 110.654203) + (xy 126.745574 110.650682) + (xy 126.745651 110.650592) + (xy 126.736574 110.642993) + (xy 126.73557 110.641911) + (xy 126.735569 110.64191) + (xy 126.735568 110.641909) + (xy 126.687322 110.614054) + (xy 126.684268 110.612192) + (xy 126.640079 110.583793) + (xy 126.640076 110.583792) + (xy 126.638179 110.583235) + (xy 126.621775 110.57621) + (xy 126.617332 110.573645) + (xy 126.61733 110.573644) + (xy 126.617329 110.573644) + (xy 126.566536 110.56205) + (xy 126.562087 110.56089) + (xy 126.515118 110.5471) + (xy 126.515117 110.5471) + (xy 126.509505 110.5471) + (xy 126.492771 110.545214) + (xy 126.484233 110.543265) + (xy 126.484229 110.543264) + (xy 126.435852 110.54689) + (xy 126.430233 110.5471) + (xy 126.384881 110.5471) + (xy 126.376011 110.549705) + (xy 126.360448 110.55254) + (xy 126.348083 110.553466) + (xy 126.306262 110.569879) + (xy 126.29998 110.572029) + (xy 126.259923 110.583792) + (xy 126.259921 110.583793) + (xy 126.249085 110.590756) + (xy 126.235914 110.597489) + (xy 126.220996 110.603344) + (xy 126.220993 110.603346) + (xy 126.188693 110.629103) + (xy 126.182468 110.633568) + (xy 126.150364 110.654201) + (xy 126.150363 110.654201) + (xy 126.150363 110.654202) + (xy 126.13955 110.666679) + (xy 126.129612 110.676219) + (xy 126.11425 110.68847) + (xy 126.114247 110.688473) + (xy 126.093008 110.719625) + (xy 126.087711 110.726505) + (xy 126.065078 110.752627) + (xy 126.056719 110.770928) + (xy 126.050452 110.782043) + (xy 126.037344 110.80127) + (xy 126.037339 110.80128) + (xy 126.027287 110.833866) + (xy 126.023834 110.842935) + (xy 126.010978 110.871086) + (xy 126.010976 110.871094) + (xy 126.007598 110.894583) + (xy 126.005025 110.906038) + (xy 125.9971 110.931735) + (xy 125.9971 110.962228) + (xy 125.996335 110.972929) + (xy 125.992443 111) + (xy 125.996335 111.02707) + (xy 125.9971 111.037771) + (xy 125.9971 111.279998) + (xy 125.979507 111.328336) + (xy 125.975075 111.333172) + (xy 125.972239 111.336007) + (xy 125.972239 111.336008) + (xy 125.933172 111.375074) + (xy 125.886554 111.396814) + (xy 125.879999 111.3971) + (xy 125.516057 111.3971) + (xy 125.414997 111.412332) + (xy 125.414995 111.412332) + (xy 125.341051 111.447942) + (xy 125.289866 111.453064) + (xy 125.247365 111.424086) + (xy 125.233433 111.374569) + (xy 125.245895 111.338412) + (xy 125.292386 111.268834) + (xy 125.3079 111.190839) + (xy 125.307899 110.909162) + (xy 125.292386 110.831166) + (xy 125.233285 110.742715) + (xy 125.232198 110.741989) + (xy 125.203287 110.722671) + (xy 125.188104 110.712526) + (xy 125.157687 110.671044) + (xy 125.161051 110.619714) + (xy 125.188105 110.587473) + (xy 125.188107 110.587472) + (xy 125.233285 110.557285) + (xy 125.292386 110.468834) + (xy 125.3079 110.390839) + (xy 125.307899 110.109162) + (xy 125.292386 110.031166) + (xy 125.233285 109.942715) + (xy 125.232363 109.942099) + (xy 125.211931 109.928447) + (xy 125.187653 109.912224) + (xy 125.157237 109.870743) + (xy 125.1606 109.819413) + (xy 125.187654 109.787172) + (xy 125.232924 109.756924) + (xy 125.291913 109.668638) + (xy 125.291914 109.668636) + (xy 125.305567 109.6) + (xy 123.494434 109.6) + (xy 123.508086 109.668638) + (xy 123.567076 109.756923) + (xy 123.612345 109.787171) + (xy 123.642762 109.828654) + (xy 123.639398 109.879984) + (xy 123.612346 109.912224) + (xy 123.566713 109.942715) + (xy 123.536002 109.988679) + (xy 123.494519 110.019096) + (xy 123.473476 110.0221) + (xy 122.9831 110.0221) + (xy 122.934762 110.004507) + (xy 122.916096 109.98104) + (xy 122.909418 109.967934) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.310228 109.819984) + (xy 122.219984 109.910228) + (xy 122.162043 110.023943) + (xy 122.142078 110.149999) + (xy 122.142078 110.15) + (xy 122.162043 110.276056) + (xy 122.20637 110.363052) + (xy 122.219984 110.389771) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.777838 110.486095) + (xy 122.811978 110.4779) + (xy 123.473476 110.4779) + (xy 123.521814 110.495493) + (xy 123.536002 110.511321) + (xy 123.564163 110.553466) + (xy 123.566715 110.557285) + (xy 123.611894 110.587473) + (xy 123.642311 110.628955) + (xy 123.638948 110.680285) + (xy 123.611896 110.712525) + (xy 123.566713 110.742715) + (xy 123.536002 110.788679) + (xy 123.494519 110.819096) + (xy 123.473476 110.8221) + (xy 122.811978 110.8221) + (xy 122.777838 110.813904) + (xy 122.769002 110.809402) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.310228 110.819984) + (xy 122.219984 110.910228) + (xy 122.162043 111.023943) + (xy 122.142078 111.149999) + (xy 122.142078 111.15) + (xy 122.162043 111.276056) + (xy 122.214754 111.379507) + (xy 122.219984 111.389771) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.916096 111.31896) + (xy 122.953717 111.283878) + (xy 122.9831 111.2779) + (xy 123.473476 111.2779) + (xy 123.521814 111.295493) + (xy 123.536003 111.311321) + (xy 123.565269 111.355121) + (xy 123.577495 111.405087) + (xy 123.554744 111.451222) + (xy 123.50766 111.471939) + (xy 123.502742 111.4721) + (xy 123.413848 111.4721) + (xy 123.379708 111.463904) + (xy 123.376055 111.462043) + (xy 123.376057 111.462043) + (xy 123.25 111.442078) + (xy 123.123943 111.462043) + (xy 123.010228 111.519984) + (xy 122.919984 111.610228) + (xy 122.862043 111.723943) + (xy 122.842078 111.849999) + (xy 122.842078 111.85) + (xy 122.862043 111.976056) + (xy 122.906757 112.063811) + (xy 122.919984 112.089771) + (xy 123.010229 112.180016) + (xy 123.123943 112.237956) + (xy 123.123945 112.237957) + (xy 123.25 112.257922) + (xy 123.376055 112.237957) + (xy 123.378339 112.236793) + (xy 123.379708 112.236096) + (xy 123.413848 112.2279) + (xy 123.502742 112.2279) + (xy 123.55108 112.245493) + (xy 123.5768 112.290042) + (xy 123.567867 112.3407) + (xy 123.565269 112.344879) + (xy 123.536003 112.388679) + (xy 123.494519 112.419096) + (xy 123.473476 112.4221) + (xy 122.923036 112.4221) + (xy 122.874698 112.404507) + (xy 122.869862 112.400075) + (xy 122.833248 112.363461) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.310228 112.319984) + (xy 122.219984 112.410228) + (xy 122.162043 112.523943) + (xy 122.142078 112.649999) + (xy 122.142078 112.65) + (xy 115.157922 112.65) + (xy 115.137957 112.523945) + (xy 115.080016 112.410229) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.51023 112.319983) + (xy 114.430138 112.400075) + (xy 114.383518 112.421814) + (xy 114.376964 112.4221) + (xy 113.826524 112.4221) + (xy 113.778186 112.404507) + (xy 113.763998 112.388679) + (xy 113.733285 112.342715) + (xy 113.726839 112.338408) + (xy 113.687653 112.312224) + (xy 113.657237 112.270743) + (xy 113.6606 112.219413) + (xy 113.687654 112.187172) + (xy 113.732924 112.156924) + (xy 113.791913 112.068638) + (xy 113.791914 112.068636) + (xy 113.805567 112) + (xy 111.994434 112) + (xy 112.008086 112.068638) + (xy 112.067076 112.156923) + (xy 112.112345 112.187171) + (xy 112.142762 112.228654) + (xy 112.139398 112.279984) + (xy 112.112346 112.312224) + (xy 112.066714 112.342715) + (xy 112.007614 112.431166) + (xy 112.007613 112.431168) + (xy 111.9921 112.50916) + (xy 111.9921 112.790839) + (xy 100.963139 112.790839) + (xy 100.916758 112.744458) + (xy 100.914047 112.741602) + (xy 100.886949 112.711506) + (xy 100.886945 112.711503) + (xy 100.864486 112.701504) + (xy 100.854116 112.695874) + (xy 100.833496 112.682483) + (xy 100.825064 112.681148) + (xy 100.806243 112.675573) + (xy 100.798442 112.6721) + (xy 100.773848 112.6721) + (xy 100.762084 112.671174) + (xy 100.737808 112.667329) + (xy 100.737804 112.667329) + (xy 100.72956 112.669538) + (xy 100.710099 112.6721) + (xy 98.275548 112.6721) + (xy 98.22721 112.654507) + (xy 98.222374 112.650074) + (xy 97.099926 111.527626) + (xy 97.078186 111.481006) + (xy 97.0779 111.474452) + (xy 97.0779 111.3) + (xy 97.472601 111.3) + (xy 97.472601 111.447142) + (xy 97.482934 111.518059) + (xy 97.536421 111.627469) + (xy 97.62253 111.713578) + (xy 97.731941 111.767065) + (xy 97.73194 111.767065) + (xy 97.802863 111.777399) + (xy 97.9 111.777399) + (xy 97.9 111.3) + (xy 98.2 111.3) + (xy 98.2 111.777399) + (xy 98.29713 111.777399) + (xy 98.297142 111.777398) + (xy 98.368059 111.767065) + (xy 98.477469 111.713578) + (xy 98.563578 111.627469) + (xy 98.617065 111.518058) + (xy 98.627399 111.447141) + (xy 98.6274 111.447131) + (xy 98.6274 111.3) + (xy 98.2 111.3) + (xy 97.9 111.3) + (xy 97.472601 111.3) + (xy 97.0779 111.3) + (xy 97.0779 111) + (xy 97.4726 111) + (xy 97.9 111) + (xy 97.9 110.5226) + (xy 98.2 110.5226) + (xy 98.2 111) + (xy 98.627399 111) + (xy 98.627399 110.852869) + (xy 98.627398 110.852857) + (xy 98.617065 110.78194) + (xy 98.563578 110.67253) + (xy 98.477469 110.586421) + (xy 98.368058 110.532934) + (xy 98.368059 110.532934) + (xy 98.297141 110.5226) + (xy 98.2 110.5226) + (xy 97.9 110.5226) + (xy 97.80287 110.5226) + (xy 97.802856 110.522601) + (xy 97.73194 110.532934) + (xy 97.62253 110.586421) + (xy 97.536421 110.67253) + (xy 97.482934 110.781941) + (xy 97.4726 110.852858) + (xy 97.4726 111) + (xy 97.0779 111) + (xy 97.0779 110.275547) + (xy 97.095493 110.227209) + (xy 97.099914 110.222384) + (xy 97.205576 110.116722) + (xy 97.208374 110.114067) + (xy 97.238494 110.086949) + (xy 97.248496 110.06448) + (xy 97.25413 110.054107) + (xy 97.255712 110.051671) + (xy 97.267516 110.033496) + (xy 97.268851 110.025061) + (xy 97.274424 110.006248) + (xy 97.2779 109.998442) + (xy 97.2779 109.998434) + (xy 97.279334 109.991692) + (xy 97.306592 109.948068) + (xy 97.355514 109.932172) + (xy 97.38167 109.937851) + (xy 97.386074 109.939674) + (xy 97.386078 109.939677) + (xy 97.452553 109.9529) + (xy 97.647446 109.952899) + (xy 97.647447 109.952899) + (xy 97.647447 109.952898) + (xy 97.713922 109.939677) + (xy 97.747029 109.917556) + (xy 97.758221 109.910078) + (xy 97.808187 109.897851) + (xy 97.841777 109.910076) + (xy 97.886078 109.939677) + (xy 97.952553 109.9529) + (xy 98.147446 109.952899) + (xy 98.147447 109.952899) + (xy 98.147447 109.952898) + (xy 98.213922 109.939677) + (xy 98.258671 109.909776) + (xy 98.308636 109.89755) + (xy 98.342229 109.909777) + (xy 98.386271 109.939205) + (xy 98.4 109.941935) + (xy 98.4 108.183062) + (xy 98.399999 108.183062) + (xy 98.386274 108.185793) + (xy 98.379429 108.188629) + (xy 98.378902 108.187357) + (xy 98.336872 108.19764) + (xy 98.290738 108.174886) + (xy 98.274859 108.14984) + (xy 98.274054 108.147743) + (xy 98.271308 108.140591) + (xy 98.26796 108.129288) + (xy 98.262848 108.105234) + (xy 98.25783 108.098327) + (xy 98.248463 108.081075) + (xy 98.245403 108.073105) + (xy 98.245403 108.073104) + (xy 98.22801 108.055711) + (xy 98.220353 108.046745) + (xy 98.205901 108.026854) + (xy 98.198506 108.022584) + (xy 98.182934 108.010635) + (xy 98.149925 107.977625) + (xy 98.128186 107.931004) + (xy 98.1279 107.924451) + (xy 98.1279 107.666803) + (xy 98.145493 107.618465) + (xy 98.190042 107.592745) + (xy 98.2407 107.601678) + (xy 98.24799 107.607374) + (xy 98.248279 107.606978) + (xy 98.2536 107.610844) + (xy 98.253601 107.610844) + (xy 98.253602 107.610845) + (xy 98.327065 107.646676) + (xy 98.34416 107.649999) + (xy 98.376742 107.656333) + (xy 98.376745 107.656333) + (xy 98.376754 107.656335) + (xy 98.431582 107.657292) + (xy 98.438229 107.656238) + (xy 98.46176 107.656238) + (xy 98.514134 107.664532) + (xy 98.536508 107.671801) + (xy 98.583764 107.69588) + (xy 98.602794 107.709706) + (xy 98.636022 107.742934) + (xy 98.646179 107.752241) + (xy 98.65606 107.760532) + (xy 98.656059 107.760532) + (xy 98.67011 107.767846) + (xy 98.707913 107.787525) + (xy 98.742665 107.825447) + (xy 98.747464 107.86599) + (xy 98.742078 107.899998) + (xy 98.742078 107.9) + (xy 98.746174 107.925864) + (xy 98.7471 107.937627) + (xy 98.7471 107.956132) + (xy 98.745103 107.973346) + (xy 98.742182 107.985766) + (xy 98.742182 107.98577) + (xy 98.746379 108.015855) + (xy 98.7471 108.026245) + (xy 98.7471 108.108842) + (xy 98.729507 108.15718) + (xy 98.719606 108.166973) + (xy 98.7 108.183062) + (xy 98.7 109.941935) + (xy 98.719607 109.958027) + (xy 98.745811 110.002293) + (xy 98.7471 110.016157) + (xy 98.7471 110.088323) + (xy 98.745124 110.10044) + (xy 98.74577 110.100531) + (xy 98.744807 110.107426) + (xy 98.74702 110.155271) + (xy 98.7471 110.158744) + (xy 98.7471 110.178075) + (xy 98.747435 110.179864) + (xy 98.748633 110.190193) + (xy 98.748938 110.196775) + (xy 98.748093 110.212019) + (xy 98.742078 110.249998) + (xy 98.742078 110.250001) + (xy 98.762043 110.376056) + (xy 98.819984 110.489771) + (xy 98.910229 110.580016) + (xy 98.981115 110.616134) + (xy 99.016196 110.653754) + (xy 99.018888 110.705123) + (xy 99.014534 110.716163) + (xy 98.982449 110.781796) + (xy 98.9721 110.852817) + (xy 98.9721 111.447182) + (xy 98.978894 111.493806) + (xy 98.982449 111.518203) + (xy 99.036008 111.627761) + (xy 99.066055 111.657808) + (xy 99.087795 111.704427) + (xy 99.074482 111.754114) + (xy 99.073404 111.7554) + (xy 99.073462 111.755442) + (xy 99.069982 111.76023) + (xy 99.058091 111.783567) + (xy 99.051929 111.793623) + (xy 99.036539 111.814805) + (xy 99.036537 111.81481) + (xy 99.028445 111.839712) + (xy 99.023933 111.850606) + (xy 99.012043 111.873943) + (xy 99.007945 111.899813) + (xy 99.005191 111.911281) + (xy 98.9971 111.936182) + (xy 98.9971 111.962374) + (xy 98.996174 111.974138) + (xy 98.992078 111.999999) + (xy 98.992078 112) + (xy 98.996174 112.025859) + (xy 98.9971 112.037624) + (xy 98.9971 112.063813) + (xy 99.005192 112.088721) + (xy 99.007945 112.100187) + (xy 99.012043 112.126057) + (xy 99.023931 112.149388) + (xy 99.028444 112.160283) + (xy 99.036539 112.185193) + (xy 99.03654 112.185194) + (xy 99.036541 112.185196) + (xy 99.051928 112.206375) + (xy 99.058091 112.216432) + (xy 99.069984 112.239771) + (xy 99.088504 112.258291) + (xy 99.096163 112.267257) + (xy 99.096775 112.2681) + (xy 99.111556 112.288444) + (xy 99.13274 112.303836) + (xy 99.141711 112.311499) + (xy 99.160226 112.330014) + (xy 99.160228 112.330015) + (xy 99.160229 112.330016) + (xy 99.183567 112.341907) + (xy 99.193619 112.348067) + (xy 99.214807 112.363461) + (xy 99.239709 112.371552) + (xy 99.250613 112.376069) + (xy 99.273942 112.387956) + (xy 99.273943 112.387956) + (xy 99.273945 112.387957) + (xy 99.299812 112.392053) + (xy 99.311271 112.394804) + (xy 99.336187 112.4029) + (xy 99.362375 112.4029) + (xy 99.374138 112.403825) + (xy 99.4 112.407922) + (xy 99.425861 112.403825) + (xy 99.437625 112.4029) + (xy 99.463812 112.4029) + (xy 99.463813 112.4029) + (xy 99.488722 112.394805) + (xy 99.500173 112.392055) + (xy 99.526055 112.387957) + (xy 99.549397 112.376063) + (xy 99.560284 112.371554) + (xy 99.585193 112.363461) + (xy 99.606378 112.348068) + (xy 99.61643 112.341907) + (xy 99.639771 112.330016) + (xy 99.730016 112.239771) + (xy 99.736201 112.233586) + (xy 99.736208 112.233576) + (xy 99.783576 112.186208) + (xy 99.783586 112.186201) + (xy 99.880014 112.089773) + (xy 99.880016 112.089771) + (xy 99.891907 112.06643) + (xy 99.898068 112.056378) + (xy 99.913461 112.035193) + (xy 99.921554 112.010284) + (xy 99.926063 111.999397) + (xy 99.937957 111.976055) + (xy 99.942055 111.950173) + (xy 99.944805 111.938722) + (xy 99.9529 111.913813) + (xy 99.9529 111.786187) + (xy 99.9529 111.770002) + (xy 99.970493 111.721664) + (xy 99.974926 111.716828) + (xy 100.063989 111.627764) + (xy 100.063992 111.627761) + (xy 100.117551 111.518203) + (xy 100.1279 111.447174) + (xy 100.1279 110.852826) + (xy 100.117551 110.781797) + (xy 100.063992 110.672239) + (xy 100.063989 110.672236) + (xy 100.063989 110.672235) + (xy 99.974926 110.583172) + (xy 99.953186 110.536552) + (xy 99.9529 110.529998) + (xy 99.9529 110.386189) + (xy 99.9529 110.386187) + (xy 99.944805 110.361273) + (xy 99.942052 110.349803) + (xy 99.937957 110.323946) + (xy 99.937957 110.323945) + (xy 99.926065 110.300607) + (xy 99.921552 110.289709) + (xy 99.913462 110.264809) + (xy 99.913461 110.264806) + (xy 99.898068 110.24362) + (xy 99.891902 110.233557) + (xy 99.884124 110.218292) + (xy 99.880016 110.210229) + (xy 99.880015 110.210228) + (xy 99.880014 110.210226) + (xy 99.787156 110.117368) + (xy 99.787133 110.117347) + (xy 99.716096 110.04631) + (xy 99.694356 109.99969) + (xy 99.70767 109.950003) + (xy 99.727488 109.930611) + (xy 99.758223 109.910075) + (xy 99.808189 109.897851) + (xy 99.841777 109.910076) + (xy 99.886078 109.939677) + (xy 99.952553 109.9529) + (xy 100.147446 109.952899) + (xy 100.147447 109.952899) + (xy 100.147447 109.952898) + (xy 100.213922 109.939677) + (xy 100.289307 109.889307) + (xy 100.339677 109.813922) + (xy 100.3529 109.747447) + (xy 100.3529 109.3656) + (xy 100.370493 109.317262) + (xy 100.415042 109.291542) + (xy 100.4281 109.2904) + (xy 100.521389 109.2904) + (xy 100.569727 109.307993) + (xy 100.595447 109.352542) + (xy 100.595663 109.377364) + (xy 100.592078 109.399999) + (xy 100.592078 109.4) + (xy 100.612043 109.526056) + (xy 100.64972 109.6) + (xy 100.669984 109.639771) + (xy 100.760229 109.730016) + (xy 100.873943 109.787956) + (xy 100.873945 109.787957) + (xy 101 109.807922) + (xy 101.126055 109.787957) + (xy 101.239771 109.730016) + (xy 101.272605 109.697182) + (xy 110.2221 109.697182) + (xy 110.229425 109.747447) + (xy 110.232449 109.768203) + (xy 110.286008 109.877761) + (xy 110.286009 109.877762) + (xy 110.28601 109.877764) + (xy 110.372237 109.963991) + (xy 110.372238 109.963991) + (xy 110.372239 109.963992) + (xy 110.404926 109.979971) + (xy 110.440625 110.017004) + (xy 110.4471 110.04753) + (xy 110.4471 110.212374) + (xy 110.446174 110.224138) + (xy 110.442078 110.249999) + (xy 110.442078 110.25) + (xy 110.462043 110.376056) + (xy 110.519984 110.489771) + (xy 110.610229 110.580016) + (xy 110.723943 110.637956) + (xy 110.723945 110.637957) + (xy 110.85 110.657922) + (xy 110.976055 110.637957) + (xy 111.089771 110.580016) + (xy 111.180016 110.489771) + (xy 111.237957 110.376055) + (xy 111.257922 110.25) + (xy 111.253826 110.224138) + (xy 111.2529 110.212374) + (xy 111.2529 110.04753) + (xy 111.270493 109.999192) + (xy 111.295072 109.979971) + (xy 111.327761 109.963992) + (xy 111.363306 109.928447) + (xy 111.412828 109.878926) + (xy 111.459448 109.857186) + (xy 111.466002 109.8569) + (xy 111.73763 109.8569) + (xy 111.749392 109.857825) + (xy 111.75 109.857922) + (xy 111.750607 109.857825) + (xy 111.76237 109.8569) + (xy 111.78202 109.8569) + (xy 111.782024 109.8569) + (xy 111.877306 109.841809) + (xy 111.884868 109.837956) + (xy 111.888519 109.836096) + (xy 111.922659 109.8279) + (xy 112.002743 109.8279) + (xy 112.051081 109.845493) + (xy 112.076801 109.890042) + (xy 112.067868 109.9407) + (xy 112.065282 109.944858) + (xy 112.008098 110.030442) + (xy 112.007613 110.031168) + (xy 111.9921 110.10916) + (xy 111.9921 110.390839) + (xy 111.992101 110.39084) + (xy 111.997206 110.416506) + (xy 112.007614 110.468834) + (xy 112.066715 110.557285) + (xy 112.111894 110.587473) + (xy 112.142311 110.628955) + (xy 112.138948 110.680285) + (xy 112.111896 110.712525) + (xy 112.066714 110.742715) + (xy 112.007614 110.831166) + (xy 112.007613 110.831168) + (xy 111.9921 110.90916) + (xy 111.9921 111.190839) + (xy 111.992101 111.19084) + (xy 112.000573 111.233435) + (xy 112.007614 111.268834) + (xy 112.066715 111.357285) + (xy 112.112346 111.387774) + (xy 112.142762 111.429256) + (xy 112.139399 111.480585) + (xy 112.112347 111.512826) + (xy 112.067075 111.543076) + (xy 112.008086 111.631361) + (xy 112.008085 111.631363) + (xy 111.994432 111.699999) + (xy 111.994433 111.7) + (xy 113.805566 111.7) + (xy 113.791913 111.631361) + (xy 113.732923 111.543076) + (xy 113.687654 111.512828) + (xy 113.657237 111.471344) + (xy 113.660602 111.420015) + (xy 113.687652 111.387775) + (xy 113.733285 111.357285) + (xy 113.751697 111.32973) + (xy 113.763998 111.311321) + (xy 113.805481 111.280904) + (xy 113.826524 111.2779) + (xy 114.3169 111.2779) + (xy 114.365238 111.295493) + (xy 114.383904 111.31896) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.080016 111.389771) + (xy 115.137957 111.276055) + (xy 115.157922 111.15) + (xy 115.137957 111.023945) + (xy 115.080016 110.910229) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.546938 110.80128) + (xy 114.530998 110.809402) + (xy 114.522162 110.813904) + (xy 114.488022 110.8221) + (xy 113.826524 110.8221) + (xy 113.778186 110.804507) + (xy 113.763998 110.788679) + (xy 113.733285 110.742715) + (xy 113.729109 110.739925) + (xy 113.688104 110.712526) + (xy 113.657687 110.671044) + (xy 113.661051 110.619714) + (xy 113.688105 110.587473) + (xy 113.733285 110.557285) + (xy 113.745876 110.538441) + (xy 113.763998 110.511321) + (xy 113.805481 110.480904) + (xy 113.826524 110.4779) + (xy 114.488022 110.4779) + (xy 114.522161 110.486095) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.080016 110.389771) + (xy 115.137957 110.276055) + (xy 115.157922 110.15) + (xy 115.157679 110.148468) + (xy 115.150087 110.100531) + (xy 115.137957 110.023945) + (xy 115.080016 109.910229) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.51023 109.819983) + (xy 114.419984 109.910228) + (xy 114.383904 109.98104) + (xy 114.346283 110.016122) + (xy 114.3169 110.0221) + (xy 113.826524 110.0221) + (xy 113.778186 110.004507) + (xy 113.763997 109.988679) + (xy 113.734731 109.944879) + (xy 113.722505 109.894913) + (xy 113.745256 109.848778) + (xy 113.79234 109.828061) + (xy 113.797258 109.8279) + (xy 113.886152 109.8279) + (xy 113.920292 109.836096) + (xy 113.92166 109.836793) + (xy 113.923943 109.837956) + (xy 113.923945 109.837957) + (xy 114.05 109.857922) + (xy 114.176055 109.837957) + (xy 114.289771 109.780016) + (xy 114.380016 109.689771) + (xy 114.437957 109.576055) + (xy 114.457922 109.45) + (xy 114.437957 109.323945) + (xy 114.380016 109.210229) + (xy 114.289771 109.119984) + (xy 114.176055 109.062043) + (xy 114.176057 109.062043) + (xy 114.05 109.042078) + (xy 113.923943 109.062043) + (xy 113.920292 109.063904) + (xy 113.886152 109.0721) + (xy 113.797258 109.0721) + (xy 113.74892 109.054507) + (xy 113.7232 109.009958) + (xy 113.732133 108.9593) + (xy 113.734731 108.955121) + (xy 113.763997 108.911321) + (xy 113.805481 108.880904) + (xy 113.826524 108.8779) + (xy 114.3169 108.8779) + (xy 114.365238 108.895493) + (xy 114.383904 108.91896) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.080016 108.989771) + (xy 115.137957 108.876055) + (xy 115.157922 108.75) + (xy 122.142078 108.75) + (xy 122.162043 108.876056) + (xy 122.204458 108.9593) + (xy 122.219984 108.989771) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.916096 108.91896) + (xy 122.953717 108.883878) + (xy 122.9831 108.8779) + (xy 123.473476 108.8779) + (xy 123.521814 108.895493) + (xy 123.536002 108.911321) + (xy 123.566713 108.957284) + (xy 123.578591 108.96522) + (xy 123.612346 108.987774) + (xy 123.642762 109.029256) + (xy 123.639399 109.080585) + (xy 123.612347 109.112826) + (xy 123.567075 109.143076) + (xy 123.508086 109.231361) + (xy 123.508085 109.231363) + (xy 123.494432 109.299999) + (xy 123.494433 109.3) + (xy 125.305566 109.3) + (xy 125.291913 109.231361) + (xy 125.232923 109.143076) + (xy 125.187654 109.112828) + (xy 125.157237 109.071344) + (xy 125.160602 109.020015) + (xy 125.187652 108.987775) + (xy 125.233285 108.957285) + (xy 125.292386 108.868834) + (xy 125.3079 108.790839) + (xy 125.3079 108.7) + (xy 125.822601 108.7) + (xy 125.822601 108.797142) + (xy 125.832934 108.868059) + (xy 125.886421 108.977469) + (xy 125.97253 109.063578) + (xy 126.081941 109.117065) + (xy 126.08194 109.117065) + (xy 126.152863 109.127399) + (xy 126.3 109.127399) + (xy 126.3 108.7) + (xy 126.6 108.7) + (xy 126.6 109.127399) + (xy 126.74713 109.127399) + (xy 126.747142 109.127398) + (xy 126.818059 109.117065) + (xy 126.927469 109.063578) + (xy 127.013578 108.977469) + (xy 127.067065 108.868058) + (xy 127.077399 108.797141) + (xy 127.0774 108.797131) + (xy 127.0774 108.7) + (xy 126.6 108.7) + (xy 126.3 108.7) + (xy 125.822601 108.7) + (xy 125.3079 108.7) + (xy 125.307899 108.509162) + (xy 125.292386 108.431166) + (xy 125.271562 108.4) + (xy 125.8226 108.4) + (xy 126.3 108.4) + (xy 126.3 107.9726) + (xy 126.6 107.9726) + (xy 126.6 108.4) + (xy 127.077399 108.4) + (xy 127.077399 108.302869) + (xy 127.077398 108.302857) + (xy 127.067065 108.23194) + (xy 127.013578 108.12253) + (xy 126.927469 108.036421) + (xy 126.818058 107.982934) + (xy 126.818059 107.982934) + (xy 126.747141 107.9726) + (xy 126.6 107.9726) + (xy 126.3 107.9726) + (xy 126.15287 107.9726) + (xy 126.152857 107.972601) + (xy 126.08194 107.982934) + (xy 125.97253 108.036421) + (xy 125.886421 108.12253) + (xy 125.832934 108.231941) + (xy 125.8226 108.302858) + (xy 125.8226 108.4) + (xy 125.271562 108.4) + (xy 125.233285 108.342715) + (xy 125.188104 108.312526) + (xy 125.157687 108.271044) + (xy 125.161051 108.219714) + (xy 125.188105 108.187473) + (xy 125.188107 108.187472) + (xy 125.233285 108.157285) + (xy 125.292386 108.068834) + (xy 125.3079 107.990839) + (xy 125.307899 107.709162) + (xy 125.292386 107.631166) + (xy 125.234731 107.544879) + (xy 125.222505 107.494913) + (xy 125.245256 107.448778) + (xy 125.29234 107.428061) + (xy 125.297258 107.4279) + (xy 125.377341 107.4279) + (xy 125.411481 107.436096) + (xy 125.412119 107.436421) + (xy 125.422692 107.441808) + (xy 125.422694 107.441809) + (xy 125.517976 107.4569) + (xy 125.53763 107.4569) + (xy 125.549392 107.457825) + (xy 125.55 107.457922) + (xy 125.550607 107.457825) + (xy 125.56237 107.4569) + (xy 125.833998 107.4569) + (xy 125.882336 107.474493) + (xy 125.887172 107.478926) + (xy 125.972235 107.563989) + (xy 125.972236 107.563989) + (xy 125.972239 107.563992) + (xy 126.081797 107.617551) + (xy 126.133848 107.625135) + (xy 126.152817 107.627899) + (xy 126.152822 107.627899) + (xy 126.152826 107.6279) + (xy 126.152828 107.6279) + (xy 126.747172 107.6279) + (xy 126.747174 107.6279) + (xy 126.747178 107.627899) + (xy 126.747182 107.627899) + (xy 126.756151 107.626592) + (xy 126.818203 107.617551) + (xy 126.927761 107.563992) + (xy 126.947453 107.544299) + (xy 126.99407 107.522559) + (xy 127.043757 107.535871) + (xy 127.053801 107.544299) + (xy 127.116413 107.606911) + (xy 127.120071 107.610839) + (xy 127.120076 107.610845) + (xy 127.150364 107.645799) + (xy 127.190766 107.671763) + (xy 127.194766 107.67452) + (xy 127.210392 107.686052) + (xy 127.235983 107.704939) + (xy 127.241682 107.706932) + (xy 127.257499 107.714649) + (xy 127.259924 107.716208) + (xy 127.305421 107.729567) + (xy 127.309457 107.730752) + (xy 127.313108 107.731926) + (xy 127.334762 107.739503) + (xy 127.364849 107.750031) + (xy 127.367234 107.75012) + (xy 127.379906 107.752184) + (xy 127.384881 107.7529) + (xy 127.384883 107.7529) + (xy 127.440145 107.7529) + (xy 127.442954 107.752952) + (xy 127.461988 107.753665) + (xy 127.501279 107.755135) + (xy 127.501279 107.755134) + (xy 127.501281 107.755135) + (xy 127.501282 107.755134) + (xy 127.506881 107.754504) + (xy 127.506887 107.754563) + (xy 127.509866 107.75417) + (xy 127.509794 107.753665) + (xy 127.515108 107.7529) + (xy 127.515117 107.7529) + (xy 127.549056 107.742934) + (xy 127.571571 107.736323) + (xy 127.573274 107.735844) + (xy 127.633157 107.7198) + (xy 127.633162 107.719796) + (xy 127.634643 107.719151) + (xy 127.639948 107.716289) + (xy 127.640072 107.716209) + (xy 127.640076 107.716208) + (xy 127.692672 107.682405) + (xy 127.693159 107.682096) + (xy 127.744242 107.65) + (xy 127.748754 107.647165) + (xy 127.748754 107.647164) + (xy 127.748757 107.647163) + (xy 127.79279 107.595995) + (xy 127.834921 107.547374) + (xy 127.834921 107.547372) + (xy 127.836994 107.544981) + (xy 127.837806 107.543685) + (xy 127.837813 107.543679) + (xy 127.863331 107.485187) + (xy 127.863834 107.484063) + (xy 127.889021 107.428913) + (xy 127.889023 107.428909) + (xy 127.889023 107.428903) + (xy 127.890025 107.425495) + (xy 127.892008 107.420037) + (xy 127.892409 107.418542) + (xy 127.892922 107.41399) + (xy 127.899152 107.358685) + (xy 127.89943 107.356519) + (xy 127.907557 107.3) + (xy 127.907557 107.294618) + (xy 127.907804 107.294618) + (xy 127.907633 107.283426) + (xy 127.90765 107.283264) + (xy 127.907695 107.282874) + (xy 127.897173 107.227268) + (xy 127.89663 107.224003) + (xy 127.889023 107.171091) + (xy 127.88858 107.170121) + (xy 127.883095 107.15286) + (xy 127.882313 107.148727) + (xy 127.857535 107.101846) + (xy 127.855637 107.09799) + (xy 127.834921 107.052626) + (xy 127.834918 107.052623) + (xy 127.834917 107.05262) + (xy 127.831838 107.049067) + (xy 127.82219 107.034969) + (xy 127.818518 107.028021) + (xy 127.783575 106.993078) + (xy 127.779931 106.989163) + (xy 127.749638 106.954203) + (xy 127.749636 106.954201) + (xy 127.746085 106.951919) + (xy 127.742612 106.949687) + (xy 127.730099 106.939602) + (xy 127.541223 106.750726) + (xy 127.535603 106.744438) + (xy 127.527202 106.733903) + (xy 127.51153 106.714251) + (xy 127.463266 106.681345) + (xy 127.461033 106.679761) + (xy 127.414016 106.64506) + (xy 127.414015 106.645059) + (xy 127.414013 106.645058) + (xy 127.409038 106.642429) + (xy 127.409065 106.642377) + (xy 127.403826 106.639732) + (xy 127.403801 106.639786) + (xy 127.398728 106.637343) + (xy 127.398727 106.637342) + (xy 127.398726 106.637342) + (xy 127.368116 106.6279) + (xy 127.342954 106.620138) + (xy 127.340285 106.619259) + (xy 127.28515 106.599967) + (xy 127.279617 106.59892) + (xy 127.279627 106.598864) + (xy 127.273843 106.597882) + (xy 127.273835 106.597939) + (xy 127.268267 106.5971) + (xy 127.268264 106.5971) + (xy 127.209882 106.5971) + (xy 127.207072 106.597047) + (xy 127.204516 106.596951) + (xy 127.148719 106.594863) + (xy 127.143118 106.595495) + (xy 127.143111 106.595436) + (xy 127.130473 106.5971) + (xy 127.020001 106.5971) + (xy 126.971663 106.579507) + (xy 126.966837 106.575084) + (xy 126.927761 106.536008) + (xy 126.92776 106.536007) + (xy 126.924925 106.533172) + (xy 126.903186 106.486551) + (xy 126.9029 106.479998) + (xy 126.9029 106.237771) + (xy 126.903665 106.22707) + (xy 126.904114 106.223945) + (xy 126.907557 106.2) + (xy 126.903502 106.171801) + (xy 126.90295 106.16673) + (xy 126.9029 106.166072) + (xy 126.9029 106.166057) + (xy 126.898057 106.133928) + (xy 126.889023 106.071091) + (xy 126.889022 106.07109) + (xy 126.888771 106.06934) + (xy 126.888173 106.066638) + (xy 126.887668 106.065002) + (xy 126.887668 106.064997) + (xy 126.887665 106.06499) + (xy 126.860342 106.008254) + (xy 126.859727 106.006945) + (xy 126.835264 105.953377) + (xy 126.83492 105.952623) + (xy 126.832015 105.948104) + (xy 126.832549 105.94776) + (xy 126.831752 105.946543) + (xy 126.831604 105.946645) + (xy 126.82843 105.941989) + (xy 126.817784 105.930516) + (xy 126.788081 105.898504) + (xy 126.786392 105.89662) + (xy 126.749638 105.854203) + (xy 126.745574 105.850682) + (xy 126.745651 105.850592) + (xy 126.736574 105.842993) + (xy 126.73557 105.841911) + (xy 126.735569 105.84191) + (xy 126.735568 105.841909) + (xy 126.687322 105.814054) + (xy 126.684268 105.812192) + (xy 126.640079 105.783793) + (xy 126.640076 105.783792) + (xy 126.638179 105.783235) + (xy 126.621775 105.77621) + (xy 126.617332 105.773645) + (xy 126.61733 105.773644) + (xy 126.617329 105.773644) + (xy 126.566536 105.76205) + (xy 126.562087 105.76089) + (xy 126.515118 105.7471) + (xy 126.515117 105.7471) + (xy 126.509505 105.7471) + (xy 126.492771 105.745214) + (xy 126.484233 105.743265) + (xy 126.484229 105.743264) + (xy 126.435852 105.74689) + (xy 126.430233 105.7471) + (xy 126.384881 105.7471) + (xy 126.376011 105.749705) + (xy 126.360448 105.75254) + (xy 126.348083 105.753466) + (xy 126.306262 105.769879) + (xy 126.29998 105.772029) + (xy 126.259923 105.783792) + (xy 126.259921 105.783793) + (xy 126.249085 105.790756) + (xy 126.235914 105.797489) + (xy 126.220996 105.803344) + (xy 126.220993 105.803346) + (xy 126.188693 105.829103) + (xy 126.182468 105.833568) + (xy 126.150364 105.854201) + (xy 126.150363 105.854201) + (xy 126.150363 105.854202) + (xy 126.13955 105.866679) + (xy 126.129612 105.876219) + (xy 126.11425 105.88847) + (xy 126.114247 105.888473) + (xy 126.093008 105.919625) + (xy 126.087711 105.926505) + (xy 126.065078 105.952627) + (xy 126.056719 105.970928) + (xy 126.050452 105.982043) + (xy 126.037344 106.00127) + (xy 126.037339 106.00128) + (xy 126.027287 106.033866) + (xy 126.023834 106.042935) + (xy 126.010978 106.071086) + (xy 126.010976 106.071094) + (xy 126.007598 106.094583) + (xy 126.005025 106.106038) + (xy 125.9971 106.131735) + (xy 125.9971 106.162228) + (xy 125.996335 106.172929) + (xy 125.992443 106.2) + (xy 125.996335 106.22707) + (xy 125.9971 106.237771) + (xy 125.9971 106.479998) + (xy 125.979507 106.528336) + (xy 125.975074 106.533172) + (xy 125.887172 106.621074) + (xy 125.840552 106.642814) + (xy 125.833998 106.6431) + (xy 125.56237 106.6431) + (xy 125.550607 106.642174) + (xy 125.55 106.642078) + (xy 125.549392 106.642174) + (xy 125.53763 106.6431) + (xy 125.517973 106.6431) + (xy 125.422692 106.658191) + (xy 125.411481 106.663904) + (xy 125.377341 106.6721) + (xy 125.297257 106.6721) + (xy 125.248919 106.654507) + (xy 125.223199 106.609958) + (xy 125.232132 106.5593) + (xy 125.234717 106.555141) + (xy 125.292386 106.468834) + (xy 125.3079 106.390839) + (xy 125.307899 106.109162) + (xy 125.292386 106.031166) + (xy 125.233285 105.942715) + (xy 125.232198 105.941989) + (xy 125.188105 105.912527) + (xy 125.157687 105.871044) + (xy 125.161051 105.819714) + (xy 125.188105 105.787473) + (xy 125.188107 105.787472) + (xy 125.233285 105.757285) + (xy 125.292386 105.668834) + (xy 125.3079 105.590839) + (xy 125.307899 105.309162) + (xy 125.292386 105.231166) + (xy 125.233285 105.142715) + (xy 125.230269 105.1407) + (xy 125.1966 105.118203) + (xy 125.187653 105.112224) + (xy 125.157237 105.070743) + (xy 125.1606 105.019413) + (xy 125.187654 104.987172) + (xy 125.232924 104.956924) + (xy 125.291913 104.868638) + (xy 125.291914 104.868636) + (xy 125.305567 104.8) + (xy 123.494434 104.8) + (xy 123.508086 104.868638) + (xy 123.567076 104.956923) + (xy 123.612345 104.987171) + (xy 123.642762 105.028654) + (xy 123.639398 105.079984) + (xy 123.612346 105.112224) + (xy 123.566713 105.142715) + (xy 123.536002 105.188679) + (xy 123.494519 105.219096) + (xy 123.473476 105.2221) + (xy 122.9831 105.2221) + (xy 122.934762 105.204507) + (xy 122.916096 105.18104) + (xy 122.91157 105.172158) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.310228 105.019984) + (xy 122.219984 105.110228) + (xy 122.162043 105.223943) + (xy 122.142078 105.349999) + (xy 122.142078 105.35) + (xy 122.162043 105.476056) + (xy 122.215774 105.581509) + (xy 122.219984 105.589771) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.777838 105.686095) + (xy 122.811978 105.6779) + (xy 123.473476 105.6779) + (xy 123.521814 105.695493) + (xy 123.536002 105.711321) + (xy 123.566714 105.757284) + (xy 123.566715 105.757285) + (xy 123.611894 105.787473) + (xy 123.642311 105.828955) + (xy 123.638948 105.880285) + (xy 123.611896 105.912525) + (xy 123.566713 105.942715) + (xy 123.536002 105.988679) + (xy 123.494519 106.019096) + (xy 123.473476 106.0221) + (xy 122.811978 106.0221) + (xy 122.777838 106.013904) + (xy 122.766749 106.008254) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.310228 106.019984) + (xy 122.219984 106.110228) + (xy 122.162043 106.223943) + (xy 122.142078 106.349999) + (xy 122.142078 106.35) + (xy 122.162043 106.476056) + (xy 122.214754 106.579507) + (xy 122.219984 106.589771) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.916096 106.51896) + (xy 122.953717 106.483878) + (xy 122.9831 106.4779) + (xy 123.473476 106.4779) + (xy 123.521814 106.495493) + (xy 123.536003 106.511321) + (xy 123.565269 106.555121) + (xy 123.577495 106.605087) + (xy 123.554744 106.651222) + (xy 123.50766 106.671939) + (xy 123.502742 106.6721) + (xy 123.413848 106.6721) + (xy 123.379708 106.663904) + (xy 123.376055 106.662043) + (xy 123.376057 106.662043) + (xy 123.25 106.642078) + (xy 123.123943 106.662043) + (xy 123.010228 106.719984) + (xy 122.919984 106.810228) + (xy 122.862043 106.923943) + (xy 122.842078 107.049999) + (xy 122.842078 107.05) + (xy 122.862043 107.176056) + (xy 122.905856 107.262043) + (xy 122.919984 107.289771) + (xy 123.010229 107.380016) + (xy 123.123943 107.437956) + (xy 123.123945 107.437957) + (xy 123.25 107.457922) + (xy 123.376055 107.437957) + (xy 123.37907 107.436421) + (xy 123.379708 107.436096) + (xy 123.413848 107.4279) + (xy 123.502742 107.4279) + (xy 123.55108 107.445493) + (xy 123.5768 107.490042) + (xy 123.567867 107.5407) + (xy 123.565269 107.544879) + (xy 123.536003 107.588679) + (xy 123.494519 107.619096) + (xy 123.473476 107.6221) + (xy 122.9831 107.6221) + (xy 122.934762 107.604507) + (xy 122.916096 107.58104) + (xy 122.91157 107.572158) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.310228 107.419984) + (xy 122.219984 107.510228) + (xy 122.162043 107.623943) + (xy 122.142078 107.749999) + (xy 122.142078 107.75) + (xy 122.162043 107.876056) + (xy 122.19651 107.9437) + (xy 122.219984 107.989771) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.777838 108.086095) + (xy 122.811978 108.0779) + (xy 123.473476 108.0779) + (xy 123.521814 108.095493) + (xy 123.536002 108.111321) + (xy 123.56174 108.14984) + (xy 123.566715 108.157285) + (xy 123.611894 108.187473) + (xy 123.642311 108.228955) + (xy 123.638948 108.280285) + (xy 123.611896 108.312525) + (xy 123.566713 108.342715) + (xy 123.536002 108.388679) + (xy 123.494519 108.419096) + (xy 123.473476 108.4221) + (xy 122.811978 108.4221) + (xy 122.777838 108.413904) + (xy 122.765434 108.407584) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.310228 108.419984) + (xy 122.219984 108.510228) + (xy 122.162043 108.623943) + (xy 122.142078 108.749999) + (xy 122.142078 108.75) + (xy 115.157922 108.75) + (xy 115.137957 108.623945) + (xy 115.080016 108.510229) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.75 108.342078) + (xy 114.623943 108.362043) + (xy 114.522162 108.413904) + (xy 114.488022 108.4221) + (xy 113.826524 108.4221) + (xy 113.778186 108.404507) + (xy 113.763998 108.388679) + (xy 113.733285 108.342715) + (xy 113.703182 108.322601) + (xy 113.688104 108.312526) + (xy 113.657687 108.271044) + (xy 113.661051 108.219714) + (xy 113.688105 108.187473) + (xy 113.733285 108.157285) + (xy 113.745255 108.13937) + (xy 113.763998 108.111321) + (xy 113.805481 108.080904) + (xy 113.826524 108.0779) + (xy 114.488022 108.0779) + (xy 114.522161 108.086095) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.080016 107.989771) + (xy 115.137957 107.876055) + (xy 115.157922 107.75) + (xy 115.156259 107.739503) + (xy 115.153139 107.7198) + (xy 115.137957 107.623945) + (xy 115.080016 107.510229) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.51023 107.419983) + (xy 114.419984 107.510228) + (xy 114.383904 107.58104) + (xy 114.346283 107.616122) + (xy 114.3169 107.6221) + (xy 113.826524 107.6221) + (xy 113.778186 107.604507) + (xy 113.763998 107.588679) + (xy 113.733285 107.542715) + (xy 113.723042 107.535871) + (xy 113.687653 107.512224) + (xy 113.657237 107.470743) + (xy 113.6606 107.419413) + (xy 113.687654 107.387172) + (xy 113.732924 107.356924) + (xy 113.791913 107.268638) + (xy 113.791914 107.268636) + (xy 113.805567 107.2) + (xy 111.994434 107.2) + (xy 112.008086 107.268638) + (xy 112.067076 107.356923) + (xy 112.112345 107.387171) + (xy 112.142762 107.428654) + (xy 112.139398 107.479984) + (xy 112.112346 107.512224) + (xy 112.066714 107.542715) + (xy 112.007614 107.631166) + (xy 112.007613 107.631168) + (xy 111.9921 107.70916) + (xy 111.9921 107.990839) + (xy 111.992101 107.99084) + (xy 112.001473 108.037957) + (xy 112.007614 108.068834) + (xy 112.066715 108.157285) + (xy 112.111894 108.187473) + (xy 112.142311 108.228955) + (xy 112.138948 108.280285) + (xy 112.111896 108.312525) + (xy 112.066714 108.342715) + (xy 112.007614 108.431166) + (xy 112.007613 108.431168) + (xy 111.9921 108.50916) + (xy 111.9921 108.790839) + (xy 111.992101 108.79084) + (xy 111.994597 108.803387) + (xy 112.007614 108.868834) + (xy 112.033088 108.906958) + (xy 112.065269 108.955121) + (xy 112.077495 109.005087) + (xy 112.054744 109.051222) + (xy 112.00766 109.071939) + (xy 112.002742 109.0721) + (xy 111.922659 109.0721) + (xy 111.888519 109.063904) + (xy 111.877306 109.058191) + (xy 111.877308 109.058191) + (xy 111.782026 109.0431) + (xy 111.782024 109.0431) + (xy 111.76237 109.0431) + (xy 111.750607 109.042174) + (xy 111.75 109.042078) + (xy 111.749392 109.042174) + (xy 111.73763 109.0431) + (xy 111.466002 109.0431) + (xy 111.417664 109.025507) + (xy 111.412828 109.021074) + (xy 111.327764 108.93601) + (xy 111.327762 108.936009) + (xy 111.327761 108.936008) + (xy 111.218203 108.882449) + (xy 111.147182 108.8721) + (xy 111.147174 108.8721) + (xy 110.552826 108.8721) + (xy 110.552817 108.8721) + (xy 110.481797 108.882449) + (xy 110.3763 108.934023) + (xy 110.372235 108.93601) + (xy 110.28601 109.022235) + (xy 110.286008 109.022238) + (xy 110.286008 109.022239) + (xy 110.246324 109.103415) + (xy 110.232449 109.131797) + (xy 110.2221 109.202817) + (xy 110.2221 109.697182) + (xy 101.272605 109.697182) + (xy 101.330016 109.639771) + (xy 101.387957 109.526055) + (xy 101.407922 109.4) + (xy 101.387957 109.273945) + (xy 101.330016 109.160229) + (xy 101.239771 109.069984) + (xy 101.126055 109.012043) + (xy 101.126057 109.012043) + (xy 101.000001 108.992078) + (xy 100.999996 108.992078) + (xy 100.963926 108.99779) + (xy 100.913431 108.987974) + (xy 100.89899 108.97669) + (xy 100.829258 108.906958) + (xy 100.826547 108.904102) + (xy 100.799449 108.874006) + (xy 100.799445 108.874003) + (xy 100.776986 108.864004) + (xy 100.766616 108.858374) + (xy 100.745996 108.844983) + (xy 100.737564 108.843648) + (xy 100.718743 108.838073) + (xy 100.710942 108.8346) + (xy 100.686348 108.8346) + (xy 100.674584 108.833674) + (xy 100.650308 108.829829) + (xy 100.650304 108.829829) + (xy 100.64206 108.832038) + (xy 100.622599 108.8346) + (xy 100.428099 108.8346) + (xy 100.379761 108.817007) + (xy 100.354041 108.772458) + (xy 100.352899 108.7594) + (xy 100.352899 108.4031) + (xy 100.370492 108.354762) + (xy 100.415041 108.329042) + (xy 100.428099 108.3279) + (xy 100.474453 108.3279) + (xy 100.522791 108.345493) + (xy 100.527627 108.349926) + (xy 100.833231 108.65553) + (xy 100.835942 108.658386) + (xy 100.863051 108.688494) + (xy 100.885516 108.698495) + (xy 100.895887 108.704127) + (xy 100.916502 108.717516) + (xy 100.924928 108.71885) + (xy 100.943759 108.724428) + (xy 100.951553 108.727898) + (xy 100.951555 108.727898) + (xy 100.951558 108.7279) + (xy 100.976152 108.7279) + (xy 100.987915 108.728825) + (xy 101.012194 108.732671) + (xy 101.017253 108.731315) + (xy 101.02044 108.730462) + (xy 101.039901 108.7279) + (xy 101.526964 108.7279) + (xy 101.575302 108.745493) + (xy 101.580138 108.749925) + (xy 101.660229 108.830016) + (xy 101.773943 108.887956) + (xy 101.773945 108.887957) + (xy 101.9 108.907922) + (xy 102.026055 108.887957) + (xy 102.139771 108.830016) + (xy 102.230016 108.739771) + (xy 102.287957 108.626055) + (xy 102.300003 108.55) + (xy 103.172601 108.55) + (xy 103.172601 108.647142) + (xy 103.182934 108.718059) + (xy 103.236421 108.827469) + (xy 103.32253 108.913578) + (xy 103.431941 108.967065) + (xy 103.43194 108.967065) + (xy 103.502863 108.977399) + (xy 103.65 108.977399) + (xy 103.65 108.55) + (xy 103.95 108.55) + (xy 103.95 108.977399) + (xy 104.09713 108.977399) + (xy 104.097142 108.977398) + (xy 104.168059 108.967065) + (xy 104.277469 108.913578) + (xy 104.363578 108.827469) + (xy 104.417065 108.718058) + (xy 104.427399 108.647141) + (xy 104.4274 108.647131) + (xy 104.4274 108.55) + (xy 103.95 108.55) + (xy 103.65 108.55) + (xy 103.172601 108.55) + (xy 102.300003 108.55) + (xy 102.307922 108.5) + (xy 102.304993 108.48151) + (xy 102.300832 108.455235) + (xy 102.287957 108.373945) + (xy 102.230016 108.260229) + (xy 102.219787 108.25) + (xy 103.1726 108.25) + (xy 103.65 108.25) + (xy 103.65 107.8226) + (xy 103.95 107.8226) + (xy 103.95 108.25) + (xy 104.427399 108.25) + (xy 104.427399 108.152869) + (xy 104.427398 108.152857) + (xy 104.419696 108.1) + (xy 110.222601 108.1) + (xy 110.222601 108.197142) + (xy 110.232934 108.268059) + (xy 110.286421 108.377469) + (xy 110.37253 108.463578) + (xy 110.481941 108.517065) + (xy 110.48194 108.517065) + (xy 110.552863 108.527399) + (xy 110.7 108.527399) + (xy 110.7 108.1) + (xy 111 108.1) + (xy 111 108.527399) + (xy 111.14713 108.527399) + (xy 111.147142 108.527398) + (xy 111.218059 108.517065) + (xy 111.327469 108.463578) + (xy 111.413578 108.377469) + (xy 111.467065 108.268058) + (xy 111.477399 108.197141) + (xy 111.4774 108.197131) + (xy 111.4774 108.1) + (xy 111 108.1) + (xy 110.7 108.1) + (xy 110.222601 108.1) + (xy 104.419696 108.1) + (xy 104.417065 108.08194) + (xy 104.363578 107.97253) + (xy 104.277469 107.886421) + (xy 104.168058 107.832934) + (xy 104.168059 107.832934) + (xy 104.097141 107.8226) + (xy 103.95 107.8226) + (xy 103.65 107.8226) + (xy 103.50287 107.8226) + (xy 103.502857 107.822601) + (xy 103.43194 107.832934) + (xy 103.32253 107.886421) + (xy 103.236421 107.97253) + (xy 103.182934 108.081941) + (xy 103.1726 108.152858) + (xy 103.1726 108.25) + (xy 102.219787 108.25) + (xy 102.139771 108.169984) + (xy 102.026055 108.112043) + (xy 102.026057 108.112043) + (xy 101.9 108.092078) + (xy 101.773943 108.112043) + (xy 101.66023 108.169983) + (xy 101.580138 108.250075) + (xy 101.533518 108.271814) + (xy 101.526964 108.2721) + (xy 101.125548 108.2721) + (xy 101.07721 108.254507) + (xy 101.072373 108.250074) + (xy 100.927533 108.105234) + (xy 100.766748 107.944448) + (xy 100.764038 107.941592) + (xy 100.762596 107.939991) + (xy 100.736949 107.911506) + (xy 100.736945 107.911503) + (xy 100.719077 107.903548) + (xy 100.682074 107.867815) + (xy 100.676698 107.816657) + (xy 100.687933 107.8) + (xy 110.2226 107.8) + (xy 110.7 107.8) + (xy 110.7 107.3726) + (xy 111 107.3726) + (xy 111 107.8) + (xy 111.477399 107.8) + (xy 111.477399 107.702869) + (xy 111.477398 107.702857) + (xy 111.467065 107.63194) + (xy 111.413578 107.52253) + (xy 111.327469 107.436421) + (xy 111.218058 107.382934) + (xy 111.218059 107.382934) + (xy 111.147141 107.3726) + (xy 111 107.3726) + (xy 110.7 107.3726) + (xy 110.55287 107.3726) + (xy 110.552857 107.372601) + (xy 110.48194 107.382934) + (xy 110.37253 107.436421) + (xy 110.286421 107.52253) + (xy 110.232934 107.631941) + (xy 110.2226 107.702858) + (xy 110.2226 107.8) + (xy 100.687933 107.8) + (xy 100.705463 107.774011) + (xy 100.715518 107.767849) + (xy 100.789771 107.730016) + (xy 100.794861 107.724926) + (xy 100.841481 107.703186) + (xy 100.848035 107.7029) + (xy 101.027553 107.7029) + (xy 101.655878 107.7029) + (xy 102.738321 107.7029) + (xy 102.750436 107.704876) + (xy 102.750527 107.704229) + (xy 102.757425 107.705191) + (xy 102.757427 107.705192) + (xy 102.757428 107.705191) + (xy 102.757429 107.705192) + (xy 102.805273 107.70298) + (xy 102.808746 107.7029) + (xy 102.82807 107.7029) + (xy 102.829851 107.702566) + (xy 102.840213 107.701363) + (xy 102.844095 107.701184) + (xy 102.84679 107.70106) + (xy 102.862018 107.701905) + (xy 102.9 107.707922) + (xy 103.026055 107.687957) + (xy 103.139771 107.630016) + (xy 103.230016 107.539771) + (xy 103.266135 107.468882) + (xy 103.303755 107.433802) + (xy 103.355124 107.43111) + (xy 103.366151 107.435459) + (xy 103.431797 107.467551) + (xy 103.479443 107.474493) + (xy 103.502817 107.477899) + (xy 103.502822 107.477899) + (xy 103.502826 107.4779) + (xy 103.502828 107.4779) + (xy 104.097172 107.4779) + (xy 104.097174 107.4779) + (xy 104.097178 107.477899) + (xy 104.097182 107.477899) + (xy 104.106151 107.476592) + (xy 104.168203 107.467551) + (xy 104.277761 107.413992) + (xy 104.307809 107.383943) + (xy 104.354426 107.362204) + (xy 104.404113 107.375517) + (xy 104.405397 107.376595) + (xy 104.40544 107.376537) + (xy 104.410226 107.380014) + (xy 104.410228 107.380015) + (xy 104.410229 107.380016) + (xy 104.433567 107.391907) + (xy 104.443619 107.398067) + (xy 104.464807 107.413461) + (xy 104.480445 107.418542) + (xy 104.489709 107.421552) + (xy 104.500613 107.426069) + (xy 104.523942 107.437956) + (xy 104.523943 107.437956) + (xy 104.523945 107.437957) + (xy 104.549812 107.442053) + (xy 104.561271 107.444804) + (xy 104.586187 107.4529) + (xy 104.612375 107.4529) + (xy 104.624138 107.453825) + (xy 104.65 107.457922) + (xy 104.675861 107.453825) + (xy 104.687625 107.4529) + (xy 104.713812 107.4529) + (xy 104.713813 107.4529) + (xy 104.738722 107.444805) + (xy 104.750173 107.442055) + (xy 104.776055 107.437957) + (xy 104.799397 107.426063) + (xy 104.810284 107.421554) + (xy 104.835193 107.413461) + (xy 104.856378 107.398068) + (xy 104.86643 107.391907) + (xy 104.889771 107.380016) + (xy 104.908294 107.361492) + (xy 104.917258 107.353835) + (xy 104.938444 107.338444) + (xy 104.953835 107.317258) + (xy 104.961492 107.308294) + (xy 104.980016 107.289771) + (xy 104.991907 107.26643) + (xy 104.998068 107.256378) + (xy 105.013461 107.235193) + (xy 105.021554 107.210284) + (xy 105.026063 107.199397) + (xy 105.037957 107.176055) + (xy 105.042055 107.150173) + (xy 105.044805 107.138722) + (xy 105.0529 107.113813) + (xy 105.0529 107.087624) + (xy 105.053826 107.075859) + (xy 105.057922 107.05) + (xy 105.057922 107.049999) + (xy 105.053826 107.024138) + (xy 105.0529 107.012374) + (xy 105.0529 106.986188) + (xy 105.052818 106.985935) + (xy 105.044804 106.961271) + (xy 105.042053 106.949812) + (xy 105.037957 106.923945) + (xy 105.037956 106.923943) + (xy 105.037956 106.923942) + (xy 105.026069 106.900613) + (xy 105.021552 106.889709) + (xy 105.013461 106.864808) + (xy 105.013461 106.864807) + (xy 104.998067 106.843619) + (xy 104.991906 106.833565) + (xy 104.990783 106.831361) + (xy 104.980016 106.810229) + (xy 104.980015 106.810228) + (xy 104.980014 106.810226) + (xy 104.887156 106.717368) + (xy 104.887133 106.717347) + (xy 104.834308 106.664522) + (xy 104.834296 106.664508) + (xy 104.739773 106.569985) + (xy 104.716434 106.558093) + (xy 104.706375 106.551928) + (xy 104.685196 106.536541) + (xy 104.685194 106.53654) + (xy 104.685193 106.536539) + (xy 104.660283 106.528444) + (xy 104.649388 106.523931) + (xy 104.626056 106.512043) + (xy 104.626058 106.512043) + (xy 104.600187 106.507945) + (xy 104.588721 106.505192) + (xy 104.563813 106.4971) + (xy 104.531708 106.4971) + (xy 104.420002 106.4971) + (xy 104.371664 106.479507) + (xy 104.366828 106.475074) + (xy 104.277764 106.38601) + (xy 104.277762 106.386009) + (xy 104.277761 106.386008) + (xy 104.168203 106.332449) + (xy 104.097182 106.3221) + (xy 104.097174 106.3221) + (xy 103.502826 106.3221) + (xy 103.502817 106.3221) + (xy 103.431797 106.332449) + (xy 103.395898 106.349999) + (xy 103.322235 106.38601) + (xy 103.233172 106.475074) + (xy 103.186552 106.496814) + (xy 103.179998 106.4971) + (xy 103.036182 106.4971) + (xy 103.011281 106.505191) + (xy 102.999812 106.507945) + (xy 102.973943 106.512043) + (xy 102.950611 106.523931) + (xy 102.939714 106.528444) + (xy 102.914812 106.536536) + (xy 102.914805 106.536539) + (xy 102.893617 106.551933) + (xy 102.88356 106.558096) + (xy 102.860227 106.569985) + (xy 102.83535 106.594863) + (xy 102.769984 106.660229) + (xy 102.769982 106.660231) + (xy 102.717387 106.712826) + (xy 102.69631 106.733903) + (xy 102.64969 106.755642) + (xy 102.600003 106.742328) + (xy 102.580609 106.722506) + (xy 102.560077 106.691777) + (xy 102.547851 106.641811) + (xy 102.560075 106.608224) + (xy 102.589677 106.563922) + (xy 102.6029 106.497447) + (xy 102.602899 106.302554) + (xy 102.589677 106.236078) + (xy 102.587213 106.23239) + (xy 102.560077 106.191777) + (xy 102.547851 106.141812) + (xy 102.560075 106.108224) + (xy 102.589677 106.063922) + (xy 102.6029 105.997447) + (xy 102.602899 105.802554) + (xy 102.589677 105.736078) + (xy 102.588624 105.734502) + (xy 102.560077 105.691777) + (xy 102.547851 105.641812) + (xy 102.560075 105.608224) + (xy 102.589677 105.563922) + (xy 102.6029 105.497447) + (xy 102.602899 105.302554) + (xy 102.589677 105.236078) + (xy 102.589086 105.235193) + (xy 102.560077 105.191777) + (xy 102.547851 105.141812) + (xy 102.560075 105.108224) + (xy 102.589677 105.063922) + (xy 102.6029 104.997447) + (xy 102.602899 104.802554) + (xy 102.589677 104.736078) + (xy 102.585627 104.730016) + (xy 102.560077 104.691777) + (xy 102.549855 104.65) + (xy 109.538028 104.65) + (xy 109.558191 104.777307) + (xy 109.616708 104.892152) + (xy 109.707847 104.983291) + (xy 109.707849 104.983292) + (xy 109.822692 105.041808) + (xy 109.822694 105.041809) + (xy 109.917976 105.0569) + (xy 109.93763 105.0569) + (xy 109.949392 105.057825) + (xy 109.95 105.057922) + (xy 109.950607 105.057825) + (xy 109.96237 105.0569) + (xy 110.10848 105.0569) + (xy 110.156818 105.074493) + (xy 110.17912 105.113122) + (xy 110.180726 105.112626) + (xy 110.182448 105.118201) + (xy 110.182449 105.118203) + (xy 110.236008 105.227761) + (xy 110.236009 105.227762) + (xy 110.23601 105.227764) + (xy 110.322237 105.313991) + (xy 110.322238 105.313991) + (xy 110.322239 105.313992) + (xy 110.354926 105.329971) + (xy 110.390625 105.367004) + (xy 110.3971 105.39753) + (xy 110.3971 105.612228) + (xy 110.396335 105.622929) + (xy 110.392443 105.65) + (xy 110.396493 105.678172) + (xy 110.397048 105.683251) + (xy 110.3971 105.683948) + (xy 110.40189 105.715726) + (xy 110.401964 105.71623) + (xy 110.411227 105.780646) + (xy 110.41183 105.783374) + (xy 110.412334 105.785008) + (xy 110.439639 105.841709) + (xy 110.44029 105.843095) + (xy 110.465079 105.897374) + (xy 110.467989 105.901901) + (xy 110.467399 105.902279) + (xy 110.468197 105.90348) + (xy 110.46839 105.903349) + (xy 110.471563 105.908003) + (xy 110.471568 105.908008) + (xy 110.471569 105.90801) + (xy 110.51194 105.951519) + (xy 110.513591 105.95336) + (xy 110.544002 105.988457) + (xy 110.550366 105.995801) + (xy 110.554428 105.999321) + (xy 110.554351 105.999409) + (xy 110.563423 106.007004) + (xy 110.564432 106.008091) + (xy 110.609077 106.033866) + (xy 110.612671 106.035941) + (xy 110.615728 106.037805) + (xy 110.616827 106.038511) + (xy 110.659924 106.066208) + (xy 110.661818 106.066764) + (xy 110.678224 106.073789) + (xy 110.682668 106.076355) + (xy 110.68267 106.076355) + (xy 110.682671 106.076356) + (xy 110.699177 106.080123) + (xy 110.733478 106.087951) + (xy 110.73791 106.089107) + (xy 110.784883 106.1029) + (xy 110.790495 106.1029) + (xy 110.807229 106.104786) + (xy 110.815766 106.106734) + (xy 110.81577 106.106735) + (xy 110.815771 106.106734) + (xy 110.815772 106.106735) + (xy 110.864148 106.10311) + (xy 110.869767 106.1029) + (xy 110.915114 106.1029) + (xy 110.915117 106.1029) + (xy 110.923988 106.100294) + (xy 110.939552 106.097458) + (xy 110.951918 106.096533) + (xy 110.993753 106.080112) + (xy 111.000017 106.07797) + (xy 111.040071 106.06621) + (xy 111.040072 106.066209) + (xy 111.040076 106.066208) + (xy 111.050912 106.059243) + (xy 111.064083 106.05251) + (xy 111.079008 106.046653) + (xy 111.111318 106.020886) + (xy 111.117534 106.016429) + (xy 111.130255 106.008254) + (xy 111.149636 105.995799) + (xy 111.160444 105.983324) + (xy 111.170391 105.973777) + (xy 111.185749 105.96153) + (xy 111.186748 105.960064) + (xy 111.206993 105.93037) + (xy 111.212291 105.92349) + (xy 111.213594 105.921987) + (xy 111.230671 105.902279) + (xy 111.234918 105.897378) + (xy 111.234918 105.897377) + (xy 111.234921 105.897374) + (xy 111.243279 105.879069) + (xy 111.249543 105.86796) + (xy 111.262658 105.848726) + (xy 111.272713 105.816124) + (xy 111.276157 105.80708) + (xy 111.289023 105.778909) + (xy 111.292401 105.755407) + (xy 111.294973 105.74396) + (xy 111.3029 105.718264) + (xy 111.3029 105.687771) + (xy 111.303665 105.67707) + (xy 111.304849 105.668831) + (xy 111.307557 105.65) + (xy 111.303665 105.622929) + (xy 111.3029 105.612228) + (xy 111.3029 105.320001) + (xy 111.320493 105.271663) + (xy 111.324915 105.266837) + (xy 111.363992 105.227761) + (xy 111.417551 105.118203) + (xy 111.417552 105.118194) + (xy 111.419274 105.112626) + (xy 111.421397 105.113282) + (xy 111.441487 105.07596) + (xy 111.489279 105.056933) + (xy 111.49152 105.0569) + (xy 111.73763 105.0569) + (xy 111.749392 105.057825) + (xy 111.75 105.057922) + (xy 111.750607 105.057825) + (xy 111.76237 105.0569) + (xy 111.78202 105.0569) + (xy 111.782024 105.0569) + (xy 111.877306 105.041809) + (xy 111.884868 105.037956) + (xy 111.888519 105.036096) + (xy 111.922659 105.0279) + (xy 112.002743 105.0279) + (xy 112.051081 105.045493) + (xy 112.076801 105.090042) + (xy 112.067868 105.1407) + (xy 112.065282 105.144858) + (xy 112.007614 105.231166) + (xy 112.007613 105.231168) + (xy 111.9921 105.30916) + (xy 111.9921 105.590839) + (xy 111.992101 105.59084) + (xy 112.002239 105.641812) + (xy 112.007614 105.668834) + (xy 112.066715 105.757285) + (xy 112.111894 105.787473) + (xy 112.142311 105.828955) + (xy 112.138948 105.880285) + (xy 112.111896 105.912525) + (xy 112.066714 105.942715) + (xy 112.007614 106.031166) + (xy 112.007613 106.031168) + (xy 111.9921 106.10916) + (xy 111.9921 106.390839) + (xy 111.992101 106.39084) + (xy 112.007614 106.468834) + (xy 112.066715 106.557285) + (xy 112.112346 106.587774) + (xy 112.142762 106.629256) + (xy 112.139399 106.680585) + (xy 112.112347 106.712826) + (xy 112.067075 106.743076) + (xy 112.008086 106.831361) + (xy 112.008085 106.831363) + (xy 111.994432 106.899999) + (xy 111.994433 106.9) + (xy 113.805566 106.9) + (xy 113.791913 106.831361) + (xy 113.732923 106.743076) + (xy 113.687654 106.712828) + (xy 113.657237 106.671344) + (xy 113.660602 106.620015) + (xy 113.687652 106.587775) + (xy 113.733285 106.557285) + (xy 113.747146 106.536541) + (xy 113.763998 106.511321) + (xy 113.805481 106.480904) + (xy 113.826524 106.4779) + (xy 114.3169 106.4779) + (xy 114.365238 106.495493) + (xy 114.383904 106.51896) + (xy 114.411186 106.572503) + (xy 114.419984 106.589771) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.080016 106.589771) + (xy 115.137957 106.476055) + (xy 115.157922 106.35) + (xy 115.137957 106.223945) + (xy 115.080016 106.110229) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.546938 106.00128) + (xy 114.533251 106.008254) + (xy 114.522162 106.013904) + (xy 114.488022 106.0221) + (xy 113.826524 106.0221) + (xy 113.778186 106.004507) + (xy 113.763998 105.988679) + (xy 113.733285 105.942715) + (xy 113.708522 105.926169) + (xy 113.688104 105.912526) + (xy 113.657687 105.871044) + (xy 113.661051 105.819714) + (xy 113.688105 105.787473) + (xy 113.733285 105.757285) + (xy 113.745255 105.73937) + (xy 113.763998 105.711321) + (xy 113.805481 105.680904) + (xy 113.826524 105.6779) + (xy 114.488022 105.6779) + (xy 114.522161 105.686095) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.080016 105.589771) + (xy 115.137957 105.476055) + (xy 115.157922 105.35) + (xy 115.137957 105.223945) + (xy 115.080016 105.110229) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.51023 105.019983) + (xy 114.419984 105.110228) + (xy 114.383904 105.18104) + (xy 114.346283 105.216122) + (xy 114.3169 105.2221) + (xy 113.826524 105.2221) + (xy 113.778186 105.204507) + (xy 113.763997 105.188679) + (xy 113.734731 105.144879) + (xy 113.722505 105.094913) + (xy 113.745256 105.048778) + (xy 113.79234 105.028061) + (xy 113.797258 105.0279) + (xy 113.886152 105.0279) + (xy 113.920292 105.036096) + (xy 113.92166 105.036793) + (xy 113.923943 105.037956) + (xy 113.923945 105.037957) + (xy 114.05 105.057922) + (xy 114.176055 105.037957) + (xy 114.289771 104.980016) + (xy 114.380016 104.889771) + (xy 114.437957 104.776055) + (xy 114.450003 104.7) + (xy 125.822601 104.7) + (xy 125.822601 104.797142) + (xy 125.832934 104.868059) + (xy 125.886421 104.977469) + (xy 125.97253 105.063578) + (xy 126.081941 105.117065) + (xy 126.08194 105.117065) + (xy 126.152863 105.127399) + (xy 126.3 105.127399) + (xy 126.3 104.7) + (xy 126.6 104.7) + (xy 126.6 105.127399) + (xy 126.74713 105.127399) + (xy 126.747142 105.127398) + (xy 126.818059 105.117065) + (xy 126.927469 105.063578) + (xy 127.013578 104.977469) + (xy 127.067065 104.868058) + (xy 127.077399 104.797141) + (xy 127.0774 104.797131) + (xy 127.0774 104.7) + (xy 126.6 104.7) + (xy 126.3 104.7) + (xy 125.822601 104.7) + (xy 114.450003 104.7) + (xy 114.457922 104.65) + (xy 114.457474 104.647174) + (xy 114.446808 104.57983) + (xy 114.437957 104.523945) + (xy 114.380016 104.410229) + (xy 114.289771 104.319984) + (xy 114.176055 104.262043) + (xy 114.176057 104.262043) + (xy 114.05 104.242078) + (xy 113.923943 104.262043) + (xy 113.920292 104.263904) + (xy 113.886152 104.2721) + (xy 113.797258 104.2721) + (xy 113.74892 104.254507) + (xy 113.7232 104.209958) + (xy 113.732133 104.1593) + (xy 113.734731 104.155121) + (xy 113.763997 104.111321) + (xy 113.805481 104.080904) + (xy 113.826524 104.0779) + (xy 114.376964 104.0779) + (xy 114.425302 104.095493) + (xy 114.430138 104.099925) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.080016 104.089771) + (xy 115.137957 103.976055) + (xy 115.157922 103.85) + (xy 115.137957 103.723945) + (xy 115.080016 103.610229) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.51023 103.519983) + (xy 114.430138 103.600075) + (xy 114.383518 103.621814) + (xy 114.376964 103.6221) + (xy 113.826524 103.6221) + (xy 113.778186 103.604507) + (xy 113.763998 103.588679) + (xy 113.733285 103.542715) + (xy 113.723042 103.535871) + (xy 113.687653 103.512224) + (xy 113.657237 103.470743) + (xy 113.6606 103.419413) + (xy 113.687654 103.387172) + (xy 113.732924 103.356924) + (xy 113.791913 103.268638) + (xy 113.791914 103.268636) + (xy 113.805567 103.2) + (xy 111.994434 103.2) + (xy 112.008086 103.268638) + (xy 112.067076 103.356923) + (xy 112.112345 103.387171) + (xy 112.142762 103.428654) + (xy 112.139398 103.479984) + (xy 112.112346 103.512224) + (xy 112.066714 103.542715) + (xy 112.007614 103.631166) + (xy 112.007613 103.631168) + (xy 111.9921 103.70916) + (xy 111.9921 103.990839) + (xy 111.992101 103.99084) + (xy 111.993346 103.9971) + (xy 112.007614 104.068834) + (xy 112.056076 104.141362) + (xy 112.065269 104.155121) + (xy 112.077495 104.205087) + (xy 112.054744 104.251222) + (xy 112.00766 104.271939) + (xy 112.002742 104.2721) + (xy 111.922659 104.2721) + (xy 111.888519 104.263904) + (xy 111.877306 104.258191) + (xy 111.877308 104.258191) + (xy 111.782026 104.2431) + (xy 111.782024 104.2431) + (xy 111.76237 104.2431) + (xy 111.750607 104.242174) + (xy 111.75 104.242078) + (xy 111.749392 104.242174) + (xy 111.73763 104.2431) + (xy 111.207388 104.2431) + (xy 111.174361 104.235459) + (xy 111.168204 104.232449) + (xy 111.097182 104.2221) + (xy 111.097174 104.2221) + (xy 110.502826 104.2221) + (xy 110.502817 104.2221) + (xy 110.431796 104.232449) + (xy 110.431794 104.232449) + (xy 110.425639 104.235459) + (xy 110.392612 104.2431) + (xy 109.96237 104.2431) + (xy 109.950607 104.242174) + (xy 109.95 104.242078) + (xy 109.949392 104.242174) + (xy 109.93763 104.2431) + (xy 109.917973 104.2431) + (xy 109.822692 104.258191) + (xy 109.707847 104.316708) + (xy 109.616708 104.407847) + (xy 109.558191 104.522692) + (xy 109.538028 104.649999) + (xy 109.538028 104.65) + (xy 102.549855 104.65) + (xy 102.547851 104.641812) + (xy 102.560075 104.608224) + (xy 102.589677 104.563922) + (xy 102.6029 104.497447) + (xy 102.602899 104.302554) + (xy 102.589677 104.236078) + (xy 102.559775 104.191327) + (xy 102.54755 104.141362) + (xy 102.559777 104.107769) + (xy 102.589205 104.063727) + (xy 102.591936 104.05) + (xy 100.833064 104.05) + (xy 100.835795 104.063731) + (xy 100.837615 104.068126) + (xy 100.839856 104.119517) + (xy 100.808539 104.160325) + (xy 100.768138 104.1721) + (xy 100.423654 104.1721) + (xy 100.375316 104.154507) + (xy 100.349596 104.109958) + (xy 100.348912 104.101725) + (xy 100.348344 104.097101) + (xy 100.342274 104.047661) + (xy 100.326241 103.995219) + (xy 100.32624 103.995218) + (xy 100.32624 103.995216) + (xy 100.321805 103.986513) + (xy 100.314534 103.964137) + (xy 100.306238 103.911754) + (xy 100.306238 103.88824) + (xy 100.314533 103.83586) + (xy 100.321802 103.813491) + (xy 100.326241 103.80478) + (xy 100.341716 103.756436) + (xy 100.348658 103.709992) + (xy 100.349585 103.697526) + (xy 100.370713 103.650625) + (xy 100.417045 103.628278) + (xy 100.424578 103.6279) + (xy 100.768138 103.6279) + (xy 100.816476 103.645493) + (xy 100.842196 103.690042) + (xy 100.837615 103.731874) + (xy 100.835795 103.736268) + (xy 100.833064 103.75) + (xy 102.591935 103.75) + (xy 102.589205 103.736271) + (xy 102.559777 103.692229) + (xy 102.54755 103.642264) + (xy 102.559776 103.608672) + (xy 102.562559 103.604507) + (xy 102.589677 103.563922) + (xy 102.6029 103.497447) + (xy 102.602899 103.302554) + (xy 102.602391 103.3) + (xy 103.422601 103.3) + (xy 103.422601 103.397142) + (xy 103.432934 103.468059) + (xy 103.486421 103.577469) + (xy 103.57253 103.663578) + (xy 103.681941 103.717065) + (xy 103.68194 103.717065) + (xy 103.752863 103.727399) + (xy 103.9 103.727399) + (xy 104.2 103.727399) + (xy 104.34713 103.727399) + (xy 104.347142 103.727398) + (xy 104.419553 103.716848) + (xy 104.469922 103.727288) + (xy 104.501796 103.767663) + (xy 104.504669 103.779498) + (xy 104.512043 103.826056) + (xy 104.567532 103.934958) + (xy 104.569984 103.939771) + (xy 104.660229 104.030016) + (xy 104.773943 104.087956) + (xy 104.773945 104.087957) + (xy 104.9 104.107922) + (xy 105.026055 104.087957) + (xy 105.139771 104.030016) + (xy 105.184222 103.985565) + (xy 105.230842 103.963825) + (xy 105.280529 103.977139) + (xy 105.282051 103.978233) + (xy 105.376131 104.047668) + (xy 105.385982 104.054938) + (xy 105.385985 104.05494) + (xy 105.411098 104.063727) + (xy 105.51485 104.100031) + (xy 105.545435 104.102899) + (xy 105.545437 104.1029) + (xy 105.545444 104.1029) + (xy 106.454563 104.1029) + (xy 106.454563 104.102899) + (xy 106.48515 104.100031) + (xy 106.614017 104.054939) + (xy 106.723866 103.973866) + (xy 106.804939 103.864017) + (xy 106.850031 103.73515) + (xy 106.852899 103.704563) + (xy 106.8529 103.704563) + (xy 106.8529 103.5) + (xy 107.347601 103.5) + (xy 107.347601 103.704492) + (xy 107.350465 103.735056) + (xy 107.395506 103.863776) + (xy 107.476492 103.973507) + (xy 107.586223 104.054493) + (xy 107.586222 104.054493) + (xy 107.714941 104.099532) + (xy 107.71495 104.099534) + (xy 107.745499 104.102399) + (xy 108.05 104.102399) + (xy 108.05 103.5) + (xy 108.35 103.5) + (xy 108.35 104.102399) + (xy 108.654493 104.102399) + (xy 108.685056 104.099534) + (xy 108.813776 104.054493) + (xy 108.923507 103.973507) + (xy 109.004493 103.863776) + (xy 109.049532 103.735058) + (xy 109.049534 103.735049) + (xy 109.052399 103.704501) + (xy 109.0524 103.7045) + (xy 109.0524 103.5) + (xy 108.35 103.5) + (xy 108.05 103.5) + (xy 107.347601 103.5) + (xy 106.8529 103.5) + (xy 106.8529 103.45) + (xy 110.172601 103.45) + (xy 110.172601 103.547142) + (xy 110.182934 103.618059) + (xy 110.236421 103.727469) + (xy 110.32253 103.813578) + (xy 110.431941 103.867065) + (xy 110.43194 103.867065) + (xy 110.502863 103.877399) + (xy 110.65 103.877399) + (xy 110.65 103.45) + (xy 110.95 103.45) + (xy 110.95 103.877399) + (xy 111.09713 103.877399) + (xy 111.097142 103.877398) + (xy 111.168059 103.867065) + (xy 111.277469 103.813578) + (xy 111.363578 103.727469) + (xy 111.417065 103.618058) + (xy 111.427399 103.547141) + (xy 111.4274 103.547131) + (xy 111.4274 103.45) + (xy 110.95 103.45) + (xy 110.65 103.45) + (xy 110.172601 103.45) + (xy 106.8529 103.45) + (xy 106.8529 103.2) + (xy 107.3476 103.2) + (xy 108.05 103.2) + (xy 108.05 102.5976) + (xy 108.35 102.5976) + (xy 108.35 103.2) + (xy 109.052399 103.2) + (xy 109.052399 103.15) + (xy 110.1726 103.15) + (xy 110.65 103.15) + (xy 110.65 102.7226) + (xy 110.95 102.7226) + (xy 110.95 103.15) + (xy 111.427399 103.15) + (xy 111.427399 103.052869) + (xy 111.427398 103.052857) + (xy 111.417065 102.98194) + (xy 111.363578 102.87253) + (xy 111.277469 102.786421) + (xy 111.168058 102.732934) + (xy 111.168059 102.732934) + (xy 111.097141 102.7226) + (xy 110.95 102.7226) + (xy 110.65 102.7226) + (xy 110.50287 102.7226) + (xy 110.502857 102.722601) + (xy 110.43194 102.732934) + (xy 110.32253 102.786421) + (xy 110.236421 102.87253) + (xy 110.182934 102.981941) + (xy 110.1726 103.052858) + (xy 110.1726 103.15) + (xy 109.052399 103.15) + (xy 109.052399 102.995507) + (xy 109.049534 102.964943) + (xy 109.004493 102.836223) + (xy 108.923507 102.726492) + (xy 108.813776 102.645506) + (xy 108.813777 102.645506) + (xy 108.685058 102.600467) + (xy 108.685049 102.600465) + (xy 108.654501 102.5976) + (xy 108.35 102.5976) + (xy 108.05 102.5976) + (xy 107.745507 102.5976) + (xy 107.714943 102.600465) + (xy 107.586223 102.645506) + (xy 107.476492 102.726492) + (xy 107.395506 102.836223) + (xy 107.350467 102.964941) + (xy 107.350465 102.96495) + (xy 107.3476 102.995498) + (xy 107.3476 103.2) + (xy 106.8529 103.2) + (xy 106.8529 102.995436) + (xy 106.852899 102.995435) + (xy 106.850031 102.96485) + (xy 106.808514 102.846199) + (xy 106.80494 102.835985) + (xy 106.804938 102.835982) + (xy 106.785931 102.810229) + (xy 106.742016 102.750726) + (xy 106.723866 102.726133) + (xy 106.614017 102.645061) + (xy 106.614014 102.645059) + (xy 106.50873 102.60822) + (xy 106.48515 102.599969) + (xy 106.485145 102.599968) + (xy 106.485143 102.599968) + (xy 106.454564 102.5971) + (xy 106.454556 102.5971) + (xy 105.545444 102.5971) + (xy 105.545436 102.5971) + (xy 105.514856 102.599968) + (xy 105.514852 102.599968) + (xy 105.51485 102.599969) + (xy 105.514847 102.599969) + (xy 105.514847 102.59997) + (xy 105.385985 102.645059) + (xy 105.385982 102.645061) + (xy 105.276133 102.726133) + (xy 105.195061 102.835982) + (xy 105.195059 102.835985) + (xy 105.14997 102.964847) + (xy 105.149968 102.964856) + (xy 105.1471 102.995435) + (xy 105.1471 103.04501) + (xy 105.129507 103.093348) + (xy 105.084958 103.119068) + (xy 105.067969 103.120107) + (xy 105.063557 103.119876) + (xy 105.063553 103.119876) + (xy 105.040588 103.128691) + (xy 105.029279 103.13204) + (xy 105.005236 103.137151) + (xy 105.005234 103.137151) + (xy 104.998327 103.14217) + (xy 104.981083 103.151532) + (xy 104.973104 103.154595) + (xy 104.95571 103.171989) + (xy 104.94674 103.17965) + (xy 104.926854 103.194098) + (xy 104.926851 103.194102) + (xy 104.922582 103.201495) + (xy 104.910635 103.217063) + (xy 104.840783 103.286915) + (xy 104.799374 103.308015) + (xy 104.773946 103.312042) + (xy 104.773936 103.312045) + (xy 104.766643 103.315762) + (xy 104.715586 103.322029) + (xy 104.679332 103.301931) + (xy 104.677401 103.3) + (xy 104.2 103.3) + (xy 104.2 103.727399) + (xy 103.9 103.727399) + (xy 103.9 103.3) + (xy 103.422601 103.3) + (xy 102.602391 103.3) + (xy 102.589677 103.236078) + (xy 102.589676 103.236076) + (xy 102.560077 103.191777) + (xy 102.547851 103.141812) + (xy 102.560075 103.108224) + (xy 102.589677 103.063922) + (xy 102.602392 103) + (xy 103.4226 103) + (xy 103.9 103) + (xy 103.9 102.5726) + (xy 104.2 102.5726) + (xy 104.2 103) + (xy 104.677399 103) + (xy 104.677399 102.902869) + (xy 104.677398 102.902857) + (xy 104.667065 102.83194) + (xy 104.613578 102.72253) + (xy 104.527469 102.636421) + (xy 104.418058 102.582934) + (xy 104.418059 102.582934) + (xy 104.347141 102.5726) + (xy 104.2 102.5726) + (xy 103.9 102.5726) + (xy 103.75287 102.5726) + (xy 103.752857 102.572601) + (xy 103.68194 102.582934) + (xy 103.57253 102.636421) + (xy 103.486421 102.72253) + (xy 103.432934 102.831941) + (xy 103.4226 102.902858) + (xy 103.4226 103) + (xy 102.602392 103) + (xy 102.6029 102.997447) + (xy 102.602899 102.802554) + (xy 102.589677 102.736078) + (xy 102.588372 102.734125) + (xy 102.560077 102.691777) + (xy 102.547851 102.641812) + (xy 102.560075 102.608224) + (xy 102.589677 102.563922) + (xy 102.6029 102.497447) + (xy 102.602899 102.302554) + (xy 102.589677 102.236078) + (xy 102.577298 102.217551) + (xy 102.560077 102.191777) + (xy 102.547851 102.141812) + (xy 102.560075 102.108224) + (xy 102.589677 102.063922) + (xy 102.6029 101.997447) + (xy 102.602899 101.802554) + (xy 102.589677 101.736078) + (xy 102.589674 101.736074) + (xy 102.587936 101.731875) + (xy 102.585694 101.680484) + (xy 102.617011 101.639675) + (xy 102.657413 101.6279) + (xy 102.72878 101.6279) + (xy 102.777118 101.645493) + (xy 102.802838 101.690042) + (xy 102.8003 101.726336) + (xy 102.7971 101.736182) + (xy 102.7971 101.762374) + (xy 102.796174 101.774138) + (xy 102.792312 101.798525) + (xy 102.792078 101.8) + (xy 102.7952 101.819714) + (xy 102.796174 101.825859) + (xy 102.7971 101.837624) + (xy 102.7971 101.863813) + (xy 102.805192 101.888721) + (xy 102.807945 101.900187) + (xy 102.812043 101.926057) + (xy 102.823931 101.949388) + (xy 102.828444 101.960283) + (xy 102.836539 101.985193) + (xy 102.83654 101.985194) + (xy 102.836541 101.985196) + (xy 102.851928 102.006375) + (xy 102.858091 102.016432) + (xy 102.869984 102.039771) + (xy 102.888504 102.058291) + (xy 102.896163 102.067257) + (xy 102.901734 102.074926) + (xy 102.911556 102.088444) + (xy 102.93274 102.103836) + (xy 102.941711 102.111499) + (xy 102.960226 102.130014) + (xy 102.960228 102.130015) + (xy 102.960229 102.130016) + (xy 102.983567 102.141907) + (xy 102.993619 102.148067) + (xy 103.014807 102.163461) + (xy 103.039709 102.171552) + (xy 103.050613 102.176069) + (xy 103.073942 102.187956) + (xy 103.073943 102.187956) + (xy 103.073945 102.187957) + (xy 103.099812 102.192053) + (xy 103.111271 102.194804) + (xy 103.136187 102.2029) + (xy 103.162375 102.2029) + (xy 103.174138 102.203825) + (xy 103.2 102.207922) + (xy 103.225861 102.203825) + (xy 103.237625 102.2029) + (xy 103.263812 102.2029) + (xy 103.263813 102.2029) + (xy 103.288722 102.194805) + (xy 103.300173 102.192055) + (xy 103.326055 102.187957) + (xy 103.349397 102.176063) + (xy 103.360284 102.171554) + (xy 103.385193 102.163461) + (xy 103.406378 102.148068) + (xy 103.41643 102.141907) + (xy 103.439771 102.130016) + (xy 103.439771 102.130015) + (xy 103.439774 102.130014) + (xy 103.44456 102.126537) + (xy 103.446389 102.129055) + (xy 103.482317 102.112217) + (xy 103.53203 102.125434) + (xy 103.542185 102.133938) + (xy 103.572239 102.163992) + (xy 103.681797 102.217551) + (xy 103.733848 102.225135) + (xy 103.752817 102.227899) + (xy 103.752822 102.227899) + (xy 103.752826 102.2279) + (xy 103.752828 102.2279) + (xy 104.347172 102.2279) + (xy 104.347174 102.2279) + (xy 104.347178 102.227899) + (xy 104.347182 102.227899) + (xy 104.356151 102.226592) + (xy 104.418203 102.217551) + (xy 104.527761 102.163992) + (xy 104.571252 102.120501) + (xy 104.616828 102.074926) + (xy 104.663448 102.053186) + (xy 104.670002 102.0529) + (xy 104.862375 102.0529) + (xy 104.874138 102.053825) + (xy 104.9 102.057922) + (xy 104.925861 102.053825) + (xy 104.937625 102.0529) + (xy 105.102822 102.0529) + (xy 105.15116 102.070493) + (xy 105.173802 102.103263) + (xy 105.195059 102.164014) + (xy 105.195061 102.164017) + (xy 105.276133 102.273866) + (xy 105.385982 102.354938) + (xy 105.385985 102.35494) + (xy 105.416919 102.365763) + (xy 105.51485 102.400031) + (xy 105.545435 102.402899) + (xy 105.545437 102.4029) + (xy 105.545444 102.4029) + (xy 106.454563 102.4029) + (xy 106.454563 102.402899) + (xy 106.48515 102.400031) + (xy 106.614017 102.354939) + (xy 106.723866 102.273866) + (xy 106.804939 102.164017) + (xy 106.850031 102.03515) + (xy 106.852899 102.004564) + (xy 107.3471 102.004564) + (xy 107.349968 102.035143) + (xy 107.349969 102.03515) + (xy 107.35618 102.0529) + (xy 107.395059 102.164014) + (xy 107.395061 102.164017) + (xy 107.476133 102.273866) + (xy 107.585982 102.354938) + (xy 107.585985 102.35494) + (xy 107.616919 102.365763) + (xy 107.71485 102.400031) + (xy 107.745435 102.402899) + (xy 107.745437 102.4029) + (xy 107.745444 102.4029) + (xy 108.654563 102.4029) + (xy 108.654563 102.402899) + (xy 108.68515 102.400031) + (xy 108.814017 102.354939) + (xy 108.923866 102.273866) + (xy 109.004939 102.164017) + (xy 109.050031 102.03515) + (xy 109.052899 102.004563) + (xy 109.0529 102.004563) + (xy 109.0529 101.295436) + (xy 109.052899 101.295435) + (xy 109.050031 101.26485) + (xy 109.006102 101.139307) + (xy 109.00494 101.135985) + (xy 109.004938 101.135982) + (xy 108.995279 101.122895) + (xy 108.973175 101.092945) + (xy 108.923866 101.026133) + (xy 108.814017 100.945061) + (xy 108.814014 100.945059) + (xy 108.716086 100.910793) + (xy 108.68515 100.899969) + (xy 108.685145 100.899968) + (xy 108.685143 100.899968) + (xy 108.654564 100.8971) + (xy 108.654556 100.8971) + (xy 108.5031 100.8971) + (xy 108.454762 100.879507) + (xy 108.429042 100.834958) + (xy 108.4279 100.8219) + (xy 108.4279 100.735037) + (xy 108.445493 100.686699) + (xy 108.471319 100.666883) + (xy 108.484594 100.660693) + (xy 108.565977 100.622743) + (xy 108.647743 100.540977) + (xy 108.661046 100.51245) + (xy 108.696611 100.43618) + (xy 108.696613 100.436176) + (xy 108.7029 100.38842) + (xy 108.7029 99.71158) + (xy 108.696613 99.663824) + (xy 108.695935 99.662369) + (xy 108.647745 99.559026) + (xy 108.647739 99.559018) + (xy 108.565981 99.47726) + (xy 108.565973 99.477254) + (xy 108.46118 99.428388) + (xy 108.461174 99.428386) + (xy 108.425992 99.423755) + (xy 108.41342 99.4221) + (xy 107.98658 99.4221) + (xy 107.97547 99.423562) + (xy 107.938825 99.428386) + (xy 107.938819 99.428388) + (xy 107.834026 99.477254) + (xy 107.834018 99.47726) + (xy 107.75226 99.559018) + (xy 107.752254 99.559026) + (xy 107.703388 99.663819) + (xy 107.703386 99.663825) + (xy 107.697927 99.705294) + (xy 107.697419 99.709161) + (xy 107.6971 99.711581) + (xy 107.6971 100.388418) + (xy 107.703386 100.436174) + (xy 107.703388 100.43618) + (xy 107.752254 100.540973) + (xy 107.75226 100.540981) + (xy 107.834018 100.622739) + (xy 107.834026 100.622745) + (xy 107.928681 100.666883) + (xy 107.965054 100.703256) + (xy 107.9721 100.735037) + (xy 107.9721 100.8219) + (xy 107.954507 100.870238) + (xy 107.909958 100.895958) + (xy 107.8969 100.8971) + (xy 107.745436 100.8971) + (xy 107.714856 100.899968) + (xy 107.714852 100.899968) + (xy 107.71485 100.899969) + (xy 107.714847 100.899969) + (xy 107.714847 100.89997) + (xy 107.585985 100.945059) + (xy 107.585982 100.945061) + (xy 107.476133 101.026133) + (xy 107.395061 101.135982) + (xy 107.395059 101.135985) + (xy 107.34997 101.264847) + (xy 107.349968 101.264856) + (xy 107.3471 101.295435) + (xy 107.3471 102.004564) + (xy 106.852899 102.004564) + (xy 106.852899 102.004563) + (xy 106.8529 102.004563) + (xy 106.8529 101.295436) + (xy 106.852899 101.295435) + (xy 106.850031 101.26485) + (xy 106.806102 101.139307) + (xy 106.80494 101.135985) + (xy 106.804938 101.135982) + (xy 106.795279 101.122895) + (xy 106.773175 101.092945) + (xy 106.723866 101.026133) + (xy 106.614017 100.945061) + (xy 106.614014 100.945059) + (xy 106.516086 100.910793) + (xy 106.48515 100.899969) + (xy 106.485145 100.899968) + (xy 106.485143 100.899968) + (xy 106.454564 100.8971) + (xy 106.454556 100.8971) + (xy 105.545444 100.8971) + (xy 105.545436 100.8971) + (xy 105.514856 100.899968) + (xy 105.514852 100.899968) + (xy 105.51485 100.899969) + (xy 105.514847 100.899969) + (xy 105.514847 100.89997) + (xy 105.385985 100.945059) + (xy 105.385982 100.945061) + (xy 105.276133 101.026133) + (xy 105.195061 101.135982) + (xy 105.195059 101.135985) + (xy 105.173802 101.196737) + (xy 105.141231 101.236551) + (xy 105.102822 101.2471) + (xy 104.937625 101.2471) + (xy 104.925861 101.246174) + (xy 104.917558 101.244859) + (xy 104.9 101.242078) + (xy 104.882442 101.244859) + (xy 104.874139 101.246174) + (xy 104.862375 101.2471) + (xy 104.670002 101.2471) + (xy 104.621664 101.229507) + (xy 104.616828 101.225074) + (xy 104.527764 101.13601) + (xy 104.527762 101.136009) + (xy 104.527761 101.136008) + (xy 104.418203 101.082449) + (xy 104.347182 101.0721) + (xy 104.347174 101.0721) + (xy 103.752826 101.0721) + (xy 103.739922 101.07398) + (xy 103.689553 101.063538) + (xy 103.657679 101.023163) + (xy 103.659216 100.971746) + (xy 103.675905 100.946393) + (xy 104.322373 100.299926) + (xy 104.368993 100.278186) + (xy 104.375547 100.2779) + (xy 106.0219 100.2779) + (xy 106.070238 100.295493) + (xy 106.095958 100.340042) + (xy 106.0971 100.3531) + (xy 106.0971 100.388418) + (xy 106.103386 100.436174) + (xy 106.103388 100.43618) + (xy 106.152254 100.540973) + (xy 106.15226 100.540981) + (xy 106.234018 100.622739) + (xy 106.234026 100.622745) + (xy 106.338819 100.671611) + (xy 106.338821 100.671611) + (xy 106.338824 100.671613) + (xy 106.38658 100.6779) + (xy 106.386582 100.6779) + (xy 106.813418 100.6779) + (xy 106.81342 100.6779) + (xy 106.861176 100.671613) + (xy 106.965977 100.622743) + (xy 107.047743 100.540977) + (xy 107.061046 100.51245) + (xy 107.096611 100.43618) + (xy 107.096613 100.436176) + (xy 107.1029 100.38842) + (xy 107.1029 99.71158) + (xy 107.096613 99.663824) + (xy 107.095935 99.662369) + (xy 107.047745 99.559026) + (xy 107.047739 99.559018) + (xy 106.965981 99.47726) + (xy 106.965973 99.477254) + (xy 106.86118 99.428388) + (xy 106.861174 99.428386) + (xy 106.825992 99.423755) + (xy 106.81342 99.4221) + (xy 106.38658 99.4221) + (xy 106.37547 99.423562) + (xy 106.338825 99.428386) + (xy 106.338819 99.428388) + (xy 106.234026 99.477254) + (xy 106.234018 99.47726) + (xy 106.15226 99.559018) + (xy 106.152254 99.559026) + (xy 106.103388 99.663819) + (xy 106.103386 99.663825) + (xy 106.097927 99.705294) + (xy 106.097419 99.709161) + (xy 106.0971 99.711581) + (xy 106.0971 99.7469) + (xy 106.079507 99.795238) + (xy 106.034958 99.820958) + (xy 106.0219 99.8221) + (xy 104.531748 99.8221) + (xy 104.48341 99.804507) + (xy 104.45769 99.759958) + (xy 104.466623 99.7093) + (xy 104.478574 99.693726) + (xy 105.372374 98.799926) + (xy 105.418994 98.778186) + (xy 105.425548 98.7779) + (xy 106.0219 98.7779) + (xy 106.070238 98.795493) + (xy 106.095958 98.840042) + (xy 106.0971 98.8531) + (xy 106.0971 98.888418) + (xy 106.103386 98.936174) + (xy 106.103388 98.93618) + (xy 106.152254 99.040973) + (xy 106.15226 99.040981) + (xy 106.234018 99.122739) + (xy 106.234026 99.122745) + (xy 106.338819 99.171611) + (xy 106.338821 99.171611) + (xy 106.338824 99.171613) + (xy 106.38658 99.1779) + (xy 106.386582 99.1779) + (xy 106.813418 99.1779) + (xy 106.81342 99.1779) + (xy 106.861176 99.171613) + (xy 106.938354 99.135624) + (xy 106.965973 99.122745) + (xy 106.965973 99.122744) + (xy 106.965977 99.122743) + (xy 107.047743 99.040977) + (xy 107.060327 99.013992) + (xy 107.096611 98.93618) + (xy 107.096613 98.936176) + (xy 107.1029 98.88842) + (xy 107.1029 98.888418) + (xy 107.6971 98.888418) + (xy 107.703386 98.936174) + (xy 107.703388 98.93618) + (xy 107.752254 99.040973) + (xy 107.75226 99.040981) + (xy 107.834018 99.122739) + (xy 107.834026 99.122745) + (xy 107.938819 99.171611) + (xy 107.938821 99.171611) + (xy 107.938824 99.171613) + (xy 107.98658 99.1779) + (xy 107.986582 99.1779) + (xy 108.413418 99.1779) + (xy 108.41342 99.1779) + (xy 108.461176 99.171613) + (xy 108.538354 99.135624) + (xy 108.565973 99.122745) + (xy 108.565973 99.122744) + (xy 108.565977 99.122743) + (xy 108.647743 99.040977) + (xy 108.660327 99.013992) + (xy 108.696611 98.93618) + (xy 108.696613 98.936176) + (xy 108.7029 98.88842) + (xy 108.7029 98.8531) + (xy 108.720493 98.804762) + (xy 108.765042 98.779042) + (xy 108.7781 98.7779) + (xy 108.924453 98.7779) + (xy 108.972791 98.795493) + (xy 108.977627 98.799926) + (xy 110.983231 100.80553) + (xy 110.985942 100.808386) + (xy 111.012566 100.837956) + (xy 111.013051 100.838494) + (xy 111.035516 100.848495) + (xy 111.045885 100.854126) + (xy 111.048061 100.855539) + (xy 111.066502 100.867516) + (xy 111.074928 100.86885) + (xy 111.093759 100.874428) + (xy 111.101553 100.877898) + (xy 111.101555 100.877898) + (xy 111.101558 100.8779) + (xy 111.126152 100.8779) + (xy 111.137915 100.878825) + (xy 111.162194 100.882671) + (xy 111.167253 100.881315) + (xy 111.17044 100.880462) + (xy 111.189901 100.8779) + (xy 111.973476 100.8779) + (xy 112.021814 100.895493) + (xy 112.036002 100.911321) + (xy 112.06621 100.956529) + (xy 112.066715 100.957285) + (xy 112.111894 100.987473) + (xy 112.142311 101.028955) + (xy 112.138948 101.080285) + (xy 112.111896 101.112525) + (xy 112.066714 101.142715) + (xy 112.007614 101.231166) + (xy 112.007613 101.231168) + (xy 111.9921 101.30916) + (xy 111.9921 101.590839) + (xy 111.992101 101.59084) + (xy 111.998782 101.624428) + (xy 112.007614 101.668834) + (xy 112.066715 101.757285) + (xy 112.111894 101.787473) + (xy 112.142311 101.828955) + (xy 112.138948 101.880285) + (xy 112.111896 101.912525) + (xy 112.066714 101.942715) + (xy 112.007614 102.031166) + (xy 112.007613 102.031168) + (xy 111.9921 102.10916) + (xy 111.9921 102.390839) + (xy 111.992101 102.39084) + (xy 111.995344 102.407145) + (xy 112.007614 102.468834) + (xy 112.066715 102.557285) + (xy 112.112346 102.587774) + (xy 112.142762 102.629256) + (xy 112.139399 102.680585) + (xy 112.112347 102.712826) + (xy 112.067075 102.743076) + (xy 112.008086 102.831361) + (xy 112.008085 102.831363) + (xy 111.994432 102.899999) + (xy 111.994433 102.9) + (xy 113.805566 102.9) + (xy 113.791913 102.831361) + (xy 113.732923 102.743076) + (xy 113.687654 102.712828) + (xy 113.657237 102.671344) + (xy 113.660602 102.620015) + (xy 113.687652 102.587775) + (xy 113.733285 102.557285) + (xy 113.792386 102.468834) + (xy 113.8079 102.390839) + (xy 113.807899 102.109162) + (xy 113.792386 102.031166) + (xy 113.733285 101.942715) + (xy 113.726993 101.938511) + (xy 113.704514 101.923491) + (xy 113.688104 101.912526) + (xy 113.657687 101.871044) + (xy 113.661051 101.819714) + (xy 113.688101 101.787475) + (xy 113.71447 101.769856) + (xy 113.764434 101.75763) + (xy 113.805033 101.777103) + (xy 113.805444 101.776539) + (xy 113.808529 101.77878) + (xy 113.809422 101.779209) + (xy 113.810229 101.780016) + (xy 113.923943 101.837956) + (xy 113.923945 101.837957) + (xy 114.05 101.857922) + (xy 114.176055 101.837957) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.437957 101.576055) + (xy 114.457922 101.45) + (xy 114.437957 101.323945) + (xy 114.380016 101.210229) + (xy 114.289771 101.119984) + (xy 114.176055 101.062043) + (xy 114.176057 101.062043) + (xy 114.05 101.042078) + (xy 113.923943 101.062043) + (xy 113.810226 101.119985) + (xy 113.809416 101.120796) + (xy 113.808564 101.121192) + (xy 113.80544 101.123463) + (xy 113.804998 101.122855) + (xy 113.762794 101.14253) + (xy 113.714468 101.130142) + (xy 113.701073 101.121192) + (xy 113.688104 101.112526) + (xy 113.657687 101.071043) + (xy 113.661052 101.019713) + (xy 113.688105 100.987473) + (xy 113.688107 100.987472) + (xy 113.733285 100.957285) + (xy 113.792386 100.868834) + (xy 113.8079 100.790839) + (xy 113.807899 100.509162) + (xy 113.792386 100.431166) + (xy 113.733285 100.342715) + (xy 113.724685 100.336969) + (xy 113.705191 100.323943) + (xy 113.688104 100.312526) + (xy 113.657687 100.271044) + (xy 113.661051 100.219714) + (xy 113.688105 100.187473) + (xy 113.733285 100.157285) + (xy 113.746573 100.137398) + (xy 113.763998 100.111321) + (xy 113.805481 100.080904) + (xy 113.826524 100.0779) + (xy 114.326964 100.0779) + (xy 114.375302 100.095493) + (xy 114.380138 100.099925) + (xy 114.460229 100.180016) + (xy 114.573943 100.237956) + (xy 114.573945 100.237957) + (xy 114.7 100.257922) + (xy 114.826055 100.237957) + (xy 114.939771 100.180016) + (xy 115.030016 100.089771) + (xy 115.087957 99.976055) + (xy 115.107922 99.85) + (xy 115.087957 99.723945) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.826057 99.462043) + (xy 114.7 99.442078) + (xy 114.573943 99.462043) + (xy 114.46023 99.519983) + (xy 114.380138 99.600075) + (xy 114.333518 99.621814) + (xy 114.326964 99.6221) + (xy 113.826524 99.6221) + (xy 113.778186 99.604507) + (xy 113.763998 99.588679) + (xy 113.733285 99.542715) + (xy 113.703622 99.522895) + (xy 113.688104 99.512526) + (xy 113.657687 99.471044) + (xy 113.661051 99.419714) + (xy 113.688101 99.387475) + (xy 113.71447 99.369856) + (xy 113.764434 99.35763) + (xy 113.805033 99.377103) + (xy 113.805444 99.376539) + (xy 113.808529 99.37878) + (xy 113.809422 99.379209) + (xy 113.810229 99.380016) + (xy 113.923943 99.437956) + (xy 113.923945 99.437957) + (xy 114.05 99.457922) + (xy 114.176055 99.437957) + (xy 114.289771 99.380016) + (xy 114.380016 99.289771) + (xy 114.437957 99.176055) + (xy 114.457922 99.05) + (xy 114.437957 98.923945) + (xy 114.380016 98.810229) + (xy 114.289771 98.719984) + (xy 114.176055 98.662043) + (xy 114.176057 98.662043) + (xy 114.05 98.642078) + (xy 113.923943 98.662043) + (xy 113.810226 98.719985) + (xy 113.809416 98.720796) + (xy 113.808564 98.721192) + (xy 113.80544 98.723463) + (xy 113.804998 98.722855) + (xy 113.762794 98.74253) + (xy 113.714468 98.730142) + (xy 113.707028 98.725171) + (xy 113.688104 98.712526) + (xy 113.657687 98.671043) + (xy 113.661052 98.619713) + (xy 113.688105 98.587473) + (xy 113.733285 98.557285) + (xy 113.74742 98.53613) + (xy 113.763998 98.511321) + (xy 113.805481 98.480904) + (xy 113.826524 98.4779) + (xy 114.326964 98.4779) + (xy 114.375302 98.495493) + (xy 114.380138 98.499925) + (xy 114.460229 98.580016) + (xy 114.573943 98.637956) + (xy 114.573945 98.637957) + (xy 114.7 98.657922) + (xy 114.826055 98.637957) + (xy 114.939771 98.580016) + (xy 115.030016 98.489771) + (xy 115.087957 98.376055) + (xy 115.107922 98.25) + (xy 115.107616 98.248071) + (xy 115.0987 98.191777) + (xy 115.087957 98.123945) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.826055 97.862043) + (xy 114.826057 97.862043) + (xy 114.7 97.842078) + (xy 114.573943 97.862043) + (xy 114.46023 97.919983) + (xy 114.380138 98.000075) + (xy 114.333518 98.021814) + (xy 114.326964 98.0221) + (xy 113.826524 98.0221) + (xy 113.778186 98.004507) + (xy 113.763998 97.988679) + (xy 113.733285 97.942715) + (xy 113.711843 97.928388) + (xy 113.688104 97.912526) + (xy 113.657687 97.871044) + (xy 113.661051 97.819714) + (xy 113.688101 97.787475) + (xy 113.71447 97.769856) + (xy 113.764434 97.75763) + (xy 113.805033 97.777103) + (xy 113.805444 97.776539) + (xy 113.808529 97.77878) + (xy 113.809422 97.779209) + (xy 113.810229 97.780016) + (xy 113.923943 97.837956) + (xy 113.923945 97.837957) + (xy 114.05 97.857922) + (xy 114.176055 97.837957) + (xy 114.289771 97.780016) + (xy 114.380016 97.689771) + (xy 114.437957 97.576055) + (xy 114.457922 97.45) + (xy 114.457871 97.449681) + (xy 114.447787 97.38601) + (xy 114.437957 97.323945) + (xy 114.380016 97.210229) + (xy 114.289771 97.119984) + (xy 114.176055 97.062043) + (xy 114.176057 97.062043) + (xy 114.05 97.042078) + (xy 113.923943 97.062043) + (xy 113.810226 97.119985) + (xy 113.809416 97.120796) + (xy 113.808564 97.121192) + (xy 113.80544 97.123463) + (xy 113.804998 97.122855) + (xy 113.762794 97.14253) + (xy 113.714468 97.130142) + (xy 113.701073 97.121192) + (xy 113.688104 97.112526) + (xy 113.657687 97.071043) + (xy 113.661052 97.019713) + (xy 113.688105 96.987473) + (xy 113.733285 96.957285) + (xy 113.749003 96.933761) + (xy 113.763998 96.911321) + (xy 113.805481 96.880904) + (xy 113.826524 96.8779) + (xy 114.326964 96.8779) + (xy 114.375302 96.895493) + (xy 114.380138 96.899925) + (xy 114.460229 96.980016) + (xy 114.573943 97.037956) + (xy 114.573945 97.037957) + (xy 114.7 97.057922) + (xy 114.826055 97.037957) + (xy 114.939771 96.980016) + (xy 115.030016 96.889771) + (xy 115.087957 96.776055) + (xy 115.107922 96.65) + (xy 115.087957 96.523945) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.826055 96.262043) + (xy 114.826057 96.262043) + (xy 114.7 96.242078) + (xy 114.573943 96.262043) + (xy 114.46023 96.319983) + (xy 114.380138 96.400075) + (xy 114.333518 96.421814) + (xy 114.326964 96.4221) + (xy 113.826524 96.4221) + (xy 113.778186 96.404507) + (xy 113.763998 96.388679) + (xy 113.733285 96.342715) + (xy 113.700105 96.320545) + (xy 113.688104 96.312526) + (xy 113.657687 96.271044) + (xy 113.661051 96.219714) + (xy 113.688101 96.187475) + (xy 113.71447 96.169856) + (xy 113.764434 96.15763) + (xy 113.805033 96.177103) + (xy 113.805444 96.176539) + (xy 113.808529 96.17878) + (xy 113.809422 96.179209) + (xy 113.810229 96.180016) + (xy 113.923943 96.237956) + (xy 113.923945 96.237957) + (xy 114.05 96.257922) + (xy 114.176055 96.237957) + (xy 114.289771 96.180016) + (xy 114.380016 96.089771) + (xy 114.437957 95.976055) + (xy 114.457922 95.85) + (xy 114.457231 95.84564) + (xy 114.453258 95.820555) + (xy 114.437957 95.723945) + (xy 114.380016 95.610229) + (xy 114.289771 95.519984) + (xy 114.176055 95.462043) + (xy 114.176057 95.462043) + (xy 114.05 95.442078) + (xy 113.923943 95.462043) + (xy 113.810226 95.519985) + (xy 113.809416 95.520796) + (xy 113.808564 95.521192) + (xy 113.80544 95.523463) + (xy 113.804998 95.522855) + (xy 113.762794 95.54253) + (xy 113.714468 95.530142) + (xy 113.701073 95.521192) + (xy 113.688104 95.512526) + (xy 113.657687 95.471043) + (xy 113.661052 95.419713) + (xy 113.688105 95.387473) + (xy 113.733285 95.357285) + (xy 113.749487 95.333037) + (xy 113.763998 95.311321) + (xy 113.805481 95.280904) + (xy 113.826524 95.2779) + (xy 114.326964 95.2779) + (xy 114.375302 95.295493) + (xy 114.380138 95.299925) + (xy 114.460229 95.380016) + (xy 114.573943 95.437956) + (xy 114.573945 95.437957) + (xy 114.7 95.457922) + (xy 114.826055 95.437957) + (xy 114.939771 95.380016) + (xy 115.030016 95.289771) + (xy 115.087957 95.176055) + (xy 115.107922 95.05) + (xy 115.106253 95.039465) + (xy 115.102279 95.014374) + (xy 115.087957 94.923945) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.826055 94.662043) + (xy 114.826057 94.662043) + (xy 114.7 94.642078) + (xy 114.573943 94.662043) + (xy 114.46023 94.719983) + (xy 114.380138 94.800075) + (xy 114.333518 94.821814) + (xy 114.326964 94.8221) + (xy 113.826524 94.8221) + (xy 113.778186 94.804507) + (xy 113.763998 94.788679) + (xy 113.733285 94.742715) + (xy 113.724657 94.73695) + (xy 113.688104 94.712526) + (xy 113.657687 94.671044) + (xy 113.661051 94.619714) + (xy 113.688101 94.587475) + (xy 113.71447 94.569856) + (xy 113.764434 94.55763) + (xy 113.805033 94.577103) + (xy 113.805444 94.576539) + (xy 113.808529 94.57878) + (xy 113.809422 94.579209) + (xy 113.810229 94.580016) + (xy 113.923943 94.637956) + (xy 113.923945 94.637957) + (xy 114.05 94.657922) + (xy 114.176055 94.637957) + (xy 114.289771 94.580016) + (xy 114.380016 94.489771) + (xy 114.437957 94.376055) + (xy 114.457922 94.25) + (xy 114.456821 94.243051) + (xy 114.446797 94.17976) + (xy 114.437957 94.123945) + (xy 114.380016 94.010229) + (xy 114.289771 93.919984) + (xy 114.176055 93.862043) + (xy 114.176057 93.862043) + (xy 114.05 93.842078) + (xy 113.923943 93.862043) + (xy 113.810226 93.919985) + (xy 113.809416 93.920796) + (xy 113.808564 93.921192) + (xy 113.80544 93.923463) + (xy 113.804998 93.922855) + (xy 113.762794 93.94253) + (xy 113.714468 93.930142) + (xy 113.701073 93.921192) + (xy 113.688104 93.912526) + (xy 113.657687 93.871043) + (xy 113.661052 93.819713) + (xy 113.688105 93.787473) + (xy 113.733285 93.757285) + (xy 113.747742 93.735649) + (xy 113.763998 93.711321) + (xy 113.805481 93.680904) + (xy 113.826524 93.6779) + (xy 114.326964 93.6779) + (xy 114.375302 93.695493) + (xy 114.380138 93.699925) + (xy 114.460229 93.780016) + (xy 114.573943 93.837956) + (xy 114.573945 93.837957) + (xy 114.7 93.857922) + (xy 114.826055 93.837957) + (xy 114.939771 93.780016) + (xy 115.030016 93.689771) + (xy 115.087957 93.576055) + (xy 115.107922 93.45) + (xy 121.542078 93.45) + (xy 121.562043 93.576056) + (xy 121.609316 93.668834) + (xy 121.619984 93.689771) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.269862 93.699925) + (xy 122.316482 93.678186) + (xy 122.323036 93.6779) + (xy 123.473476 93.6779) + (xy 123.521814 93.695493) + (xy 123.536002 93.711321) + (xy 123.561383 93.749305) + (xy 123.566715 93.757285) + (xy 123.611894 93.787473) + (xy 123.642311 93.828955) + (xy 123.638948 93.880285) + (xy 123.611896 93.912525) + (xy 123.566713 93.942715) + (xy 123.536002 93.988679) + (xy 123.494519 94.019096) + (xy 123.473476 94.0221) + (xy 122.973036 94.0221) + (xy 122.924698 94.004507) + (xy 122.919862 94.000075) + (xy 122.879886 93.960099) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.360228 93.919984) + (xy 122.269984 94.010228) + (xy 122.212043 94.123943) + (xy 122.192078 94.249999) + (xy 122.192078 94.25) + (xy 122.212043 94.376056) + (xy 122.259316 94.468834) + (xy 122.269984 94.489771) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.919862 94.499925) + (xy 122.966482 94.478186) + (xy 122.973036 94.4779) + (xy 123.473476 94.4779) + (xy 123.521814 94.495493) + (xy 123.536002 94.511321) + (xy 123.564788 94.554401) + (xy 123.566715 94.557285) + (xy 123.611894 94.587473) + (xy 123.642311 94.628955) + (xy 123.638948 94.680285) + (xy 123.611896 94.712525) + (xy 123.585531 94.730142) + (xy 123.535565 94.742369) + (xy 123.494966 94.722895) + (xy 123.494556 94.723461) + (xy 123.491468 94.721217) + (xy 123.490577 94.72079) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.010228 94.719984) + (xy 122.919984 94.810228) + (xy 122.862043 94.923943) + (xy 122.842078 95.049999) + (xy 122.842078 95.05) + (xy 122.862043 95.176056) + (xy 122.913935 95.2779) + (xy 122.919984 95.289771) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.490573 95.379213) + (xy 123.491419 95.378818) + (xy 123.49456 95.376537) + (xy 123.495003 95.377147) + (xy 123.53719 95.35747) + (xy 123.585529 95.369856) + (xy 123.611895 95.387473) + (xy 123.642312 95.428956) + (xy 123.638947 95.480286) + (xy 123.611896 95.512525) + (xy 123.566713 95.542715) + (xy 123.536002 95.588679) + (xy 123.494519 95.619096) + (xy 123.473476 95.6221) + (xy 122.973036 95.6221) + (xy 122.924698 95.604507) + (xy 122.919862 95.600075) + (xy 122.88183 95.562043) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.360228 95.519984) + (xy 122.269984 95.610228) + (xy 122.212043 95.723943) + (xy 122.192078 95.849999) + (xy 122.192078 95.85) + (xy 122.212043 95.976056) + (xy 122.259316 96.068834) + (xy 122.269984 96.089771) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.919862 96.099925) + (xy 122.966482 96.078186) + (xy 122.973036 96.0779) + (xy 123.473476 96.0779) + (xy 123.521814 96.095493) + (xy 123.536002 96.111321) + (xy 123.559574 96.146598) + (xy 123.566715 96.157285) + (xy 123.611894 96.187473) + (xy 123.642311 96.228955) + (xy 123.638948 96.280285) + (xy 123.611896 96.312525) + (xy 123.585531 96.330142) + (xy 123.535565 96.342369) + (xy 123.494966 96.322895) + (xy 123.494556 96.323461) + (xy 123.491468 96.321217) + (xy 123.490577 96.32079) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.010228 96.319984) + (xy 122.919984 96.410228) + (xy 122.862043 96.523943) + (xy 122.842078 96.649999) + (xy 122.842078 96.65) + (xy 122.862043 96.776056) + (xy 122.909316 96.868834) + (xy 122.919984 96.889771) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.490573 96.979213) + (xy 123.491419 96.978818) + (xy 123.49456 96.976537) + (xy 123.495003 96.977147) + (xy 123.53719 96.95747) + (xy 123.585529 96.969856) + (xy 123.611895 96.987473) + (xy 123.642312 97.028956) + (xy 123.638947 97.080286) + (xy 123.611896 97.112525) + (xy 123.566713 97.142715) + (xy 123.536002 97.188679) + (xy 123.494519 97.219096) + (xy 123.473476 97.2221) + (xy 122.973036 97.2221) + (xy 122.924698 97.204507) + (xy 122.919862 97.200075) + (xy 122.889771 97.169984) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.360228 97.119984) + (xy 122.269984 97.210228) + (xy 122.212043 97.323943) + (xy 122.192078 97.449999) + (xy 122.192078 97.45) + (xy 122.212043 97.576056) + (xy 122.259316 97.668834) + (xy 122.269984 97.689771) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.919862 97.699925) + (xy 122.966482 97.678186) + (xy 122.973036 97.6779) + (xy 123.473476 97.6779) + (xy 123.521814 97.695493) + (xy 123.536002 97.711321) + (xy 123.558023 97.744277) + (xy 123.566715 97.757285) + (xy 123.611894 97.787473) + (xy 123.642311 97.828955) + (xy 123.638948 97.880285) + (xy 123.611896 97.912525) + (xy 123.585531 97.930142) + (xy 123.535565 97.942369) + (xy 123.494966 97.922895) + (xy 123.494556 97.923461) + (xy 123.491468 97.921217) + (xy 123.490577 97.92079) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.010228 97.919984) + (xy 122.919984 98.010228) + (xy 122.862043 98.123943) + (xy 122.842078 98.249999) + (xy 122.842078 98.25) + (xy 122.862043 98.376056) + (xy 122.909316 98.468834) + (xy 122.919984 98.489771) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.490573 98.579213) + (xy 123.491419 98.578818) + (xy 123.49456 98.576537) + (xy 123.495003 98.577147) + (xy 123.53719 98.55747) + (xy 123.585529 98.569856) + (xy 123.611895 98.587473) + (xy 123.642312 98.628956) + (xy 123.638947 98.680286) + (xy 123.611896 98.712525) + (xy 123.566713 98.742715) + (xy 123.536002 98.788679) + (xy 123.494519 98.819096) + (xy 123.473476 98.8221) + (xy 122.973036 98.8221) + (xy 122.924698 98.804507) + (xy 122.919862 98.800075) + (xy 122.879851 98.760064) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.360228 98.719984) + (xy 122.269984 98.810228) + (xy 122.212043 98.923943) + (xy 122.192078 99.049999) + (xy 122.192078 99.05) + (xy 122.212043 99.176056) + (xy 122.259316 99.268834) + (xy 122.269984 99.289771) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.919862 99.299925) + (xy 122.966482 99.278186) + (xy 122.973036 99.2779) + (xy 123.473476 99.2779) + (xy 123.521814 99.295493) + (xy 123.536002 99.311321) + (xy 123.566714 99.357284) + (xy 123.566715 99.357285) + (xy 123.611894 99.387473) + (xy 123.642311 99.428955) + (xy 123.638948 99.480285) + (xy 123.611896 99.512525) + (xy 123.585531 99.530142) + (xy 123.535565 99.542369) + (xy 123.494966 99.522895) + (xy 123.494556 99.523461) + (xy 123.491468 99.521217) + (xy 123.490577 99.52079) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.010228 99.519984) + (xy 122.919984 99.610228) + (xy 122.862043 99.723943) + (xy 122.842078 99.849999) + (xy 122.842078 99.85) + (xy 122.862043 99.976056) + (xy 122.909316 100.068834) + (xy 122.919984 100.089771) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.490573 100.179213) + (xy 123.491419 100.178818) + (xy 123.49456 100.176537) + (xy 123.495003 100.177147) + (xy 123.53719 100.15747) + (xy 123.585529 100.169856) + (xy 123.611895 100.187473) + (xy 123.642312 100.228956) + (xy 123.638947 100.280286) + (xy 123.611896 100.312525) + (xy 123.566713 100.342715) + (xy 123.536002 100.388679) + (xy 123.494519 100.419096) + (xy 123.473476 100.4221) + (xy 122.973036 100.4221) + (xy 122.924698 100.404507) + (xy 122.919862 100.400075) + (xy 122.908205 100.388418) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.360228 100.319984) + (xy 122.269984 100.410228) + (xy 122.212043 100.523943) + (xy 122.192078 100.649999) + (xy 122.192078 100.65) + (xy 122.212043 100.776056) + (xy 122.260386 100.870933) + (xy 122.269984 100.889771) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.919862 100.899925) + (xy 122.966482 100.878186) + (xy 122.973036 100.8779) + (xy 123.473476 100.8779) + (xy 123.521814 100.895493) + (xy 123.536002 100.911321) + (xy 123.56621 100.956529) + (xy 123.566715 100.957285) + (xy 123.611894 100.987473) + (xy 123.642311 101.028955) + (xy 123.638948 101.080285) + (xy 123.611896 101.112525) + (xy 123.585531 101.130142) + (xy 123.535565 101.142369) + (xy 123.494966 101.122895) + (xy 123.494556 101.123461) + (xy 123.491468 101.121217) + (xy 123.490577 101.12079) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.010228 101.119984) + (xy 122.919984 101.210228) + (xy 122.862043 101.323943) + (xy 122.842078 101.449999) + (xy 122.842078 101.45) + (xy 122.862043 101.576056) + (xy 122.910467 101.671092) + (xy 122.919984 101.689771) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.490573 101.779213) + (xy 123.491419 101.778818) + (xy 123.49456 101.776537) + (xy 123.495003 101.777147) + (xy 123.53719 101.75747) + (xy 123.585529 101.769856) + (xy 123.611895 101.787473) + (xy 123.642312 101.828956) + (xy 123.638947 101.880286) + (xy 123.611896 101.912525) + (xy 123.566713 101.942715) + (xy 123.536002 101.988679) + (xy 123.494519 102.019096) + (xy 123.473476 102.0221) + (xy 122.973036 102.0221) + (xy 122.924698 102.004507) + (xy 122.919862 102.000075) + (xy 122.879875 101.960088) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.360228 101.919984) + (xy 122.269984 102.010228) + (xy 122.212043 102.123943) + (xy 122.192078 102.249999) + (xy 122.192078 102.25) + (xy 122.212043 102.376056) + (xy 122.259316 102.468834) + (xy 122.269984 102.489771) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.919862 102.499925) + (xy 122.966482 102.478186) + (xy 122.973036 102.4779) + (xy 123.473476 102.4779) + (xy 123.521814 102.495493) + (xy 123.536003 102.511321) + (xy 123.565269 102.555121) + (xy 123.577495 102.605087) + (xy 123.554744 102.651222) + (xy 123.50766 102.671939) + (xy 123.502742 102.6721) + (xy 123.413848 102.6721) + (xy 123.379708 102.663904) + (xy 123.376055 102.662043) + (xy 123.376057 102.662043) + (xy 123.25 102.642078) + (xy 123.123943 102.662043) + (xy 123.010228 102.719984) + (xy 122.919984 102.810228) + (xy 122.862043 102.923943) + (xy 122.842078 103.049999) + (xy 122.842078 103.05) + (xy 122.862043 103.176056) + (xy 122.916468 103.282871) + (xy 122.919984 103.289771) + (xy 123.010229 103.380016) + (xy 123.123943 103.437956) + (xy 123.123945 103.437957) + (xy 123.25 103.457922) + (xy 123.376055 103.437957) + (xy 123.378339 103.436793) + (xy 123.379708 103.436096) + (xy 123.413848 103.4279) + (xy 123.502742 103.4279) + (xy 123.55108 103.445493) + (xy 123.5768 103.490042) + (xy 123.567867 103.5407) + (xy 123.565269 103.544879) + (xy 123.536003 103.588679) + (xy 123.494519 103.619096) + (xy 123.473476 103.6221) + (xy 122.923036 103.6221) + (xy 122.874698 103.604507) + (xy 122.869862 103.600075) + (xy 122.833706 103.563919) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.310228 103.519984) + (xy 122.219984 103.610228) + (xy 122.162043 103.723943) + (xy 122.142078 103.849999) + (xy 122.142078 103.85) + (xy 122.162043 103.976056) + (xy 122.209316 104.068834) + (xy 122.219984 104.089771) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.869862 104.099925) + (xy 122.916482 104.078186) + (xy 122.923036 104.0779) + (xy 123.473476 104.0779) + (xy 123.521814 104.095493) + (xy 123.536002 104.111321) + (xy 123.566713 104.157284) + (xy 123.571815 104.160693) + (xy 123.612346 104.187774) + (xy 123.642762 104.229256) + (xy 123.639399 104.280585) + (xy 123.612347 104.312826) + (xy 123.567075 104.343076) + (xy 123.508086 104.431361) + (xy 123.508085 104.431363) + (xy 123.494432 104.499999) + (xy 123.494433 104.5) + (xy 125.305566 104.5) + (xy 125.291913 104.431361) + (xy 125.270958 104.4) + (xy 125.8226 104.4) + (xy 126.3 104.4) + (xy 126.3 103.9726) + (xy 126.6 103.9726) + (xy 126.6 104.4) + (xy 127.077399 104.4) + (xy 127.077399 104.302869) + (xy 127.077398 104.302857) + (xy 127.067065 104.23194) + (xy 127.013578 104.12253) + (xy 126.927469 104.036421) + (xy 126.818058 103.982934) + (xy 126.818059 103.982934) + (xy 126.747141 103.9726) + (xy 126.6 103.9726) + (xy 126.3 103.9726) + (xy 126.15287 103.9726) + (xy 126.152857 103.972601) + (xy 126.08194 103.982934) + (xy 125.97253 104.036421) + (xy 125.886421 104.12253) + (xy 125.832934 104.231941) + (xy 125.8226 104.302858) + (xy 125.8226 104.4) + (xy 125.270958 104.4) + (xy 125.232923 104.343076) + (xy 125.187654 104.312828) + (xy 125.157237 104.271344) + (xy 125.160602 104.220015) + (xy 125.187652 104.187775) + (xy 125.233285 104.157285) + (xy 125.292386 104.068834) + (xy 125.3079 103.990839) + (xy 125.307899 103.709162) + (xy 125.292386 103.631166) + (xy 125.234731 103.544879) + (xy 125.222505 103.494913) + (xy 125.245256 103.448778) + (xy 125.29234 103.428061) + (xy 125.297258 103.4279) + (xy 125.377341 103.4279) + (xy 125.411481 103.436096) + (xy 125.415132 103.437956) + (xy 125.422692 103.441808) + (xy 125.422694 103.441809) + (xy 125.517976 103.4569) + (xy 125.53763 103.4569) + (xy 125.549392 103.457825) + (xy 125.55 103.457922) + (xy 125.550607 103.457825) + (xy 125.56237 103.4569) + (xy 125.833998 103.4569) + (xy 125.882336 103.474493) + (xy 125.887172 103.478926) + (xy 125.972235 103.563989) + (xy 125.972236 103.563989) + (xy 125.972239 103.563992) + (xy 126.081797 103.617551) + (xy 126.133848 103.625135) + (xy 126.152817 103.627899) + (xy 126.152822 103.627899) + (xy 126.152826 103.6279) + (xy 126.152828 103.6279) + (xy 126.747172 103.6279) + (xy 126.747174 103.6279) + (xy 126.747178 103.627899) + (xy 126.747182 103.627899) + (xy 126.756151 103.626592) + (xy 126.818203 103.617551) + (xy 126.927761 103.563992) + (xy 126.947453 103.544299) + (xy 126.99407 103.522559) + (xy 127.043757 103.535871) + (xy 127.053801 103.544299) + (xy 127.116413 103.606911) + (xy 127.120071 103.610839) + (xy 127.150364 103.645799) + (xy 127.178029 103.663578) + (xy 127.190766 103.671763) + (xy 127.194766 103.67452) + (xy 127.215304 103.689677) + (xy 127.235983 103.704939) + (xy 127.241682 103.706932) + (xy 127.257499 103.714649) + (xy 127.259924 103.716208) + (xy 127.29766 103.727288) + (xy 127.309457 103.730752) + (xy 127.313108 103.731926) + (xy 127.342286 103.742135) + (xy 127.364849 103.750031) + (xy 127.367234 103.75012) + (xy 127.379906 103.752184) + (xy 127.384881 103.7529) + (xy 127.384883 103.7529) + (xy 127.440145 103.7529) + (xy 127.442954 103.752952) + (xy 127.461988 103.753665) + (xy 127.501279 103.755135) + (xy 127.501279 103.755134) + (xy 127.501281 103.755135) + (xy 127.501282 103.755134) + (xy 127.506881 103.754504) + (xy 127.506887 103.754563) + (xy 127.509866 103.75417) + (xy 127.509794 103.753665) + (xy 127.515108 103.7529) + (xy 127.515117 103.7529) + (xy 127.54726 103.743461) + (xy 127.571571 103.736323) + (xy 127.573274 103.735844) + (xy 127.633157 103.7198) + (xy 127.633162 103.719796) + (xy 127.634643 103.719151) + (xy 127.639948 103.716289) + (xy 127.640072 103.716209) + (xy 127.640076 103.716208) + (xy 127.692672 103.682405) + (xy 127.693159 103.682096) + (xy 127.748092 103.647581) + (xy 127.748754 103.647165) + (xy 127.748754 103.647164) + (xy 127.748757 103.647163) + (xy 127.79279 103.595995) + (xy 127.834921 103.547374) + (xy 127.834921 103.547372) + (xy 127.836994 103.544981) + (xy 127.837806 103.543685) + (xy 127.837813 103.543679) + (xy 127.863331 103.485187) + (xy 127.863834 103.484063) + (xy 127.889021 103.428913) + (xy 127.889023 103.428909) + (xy 127.889023 103.428903) + (xy 127.890025 103.425495) + (xy 127.892008 103.420037) + (xy 127.892409 103.418542) + (xy 127.893004 103.41326) + (xy 127.899152 103.358685) + (xy 127.89943 103.356519) + (xy 127.907557 103.3) + (xy 127.907557 103.299999) + (xy 127.907557 103.294618) + (xy 127.907804 103.294618) + (xy 127.907633 103.283426) + (xy 127.90765 103.283264) + (xy 127.907695 103.282874) + (xy 127.897173 103.227268) + (xy 127.89663 103.224003) + (xy 127.895842 103.218522) + (xy 127.889023 103.171091) + (xy 127.88858 103.170121) + (xy 127.883095 103.15286) + (xy 127.882313 103.148727) + (xy 127.881506 103.147201) + (xy 127.878093 103.140742) + (xy 127.857535 103.101846) + (xy 127.855637 103.09799) + (xy 127.834921 103.052626) + (xy 127.834918 103.052623) + (xy 127.834917 103.05262) + (xy 127.831838 103.049067) + (xy 127.82219 103.034969) + (xy 127.818518 103.028021) + (xy 127.818515 103.028018) + (xy 127.818514 103.028016) + (xy 127.783592 102.993094) + (xy 127.779934 102.989167) + (xy 127.775381 102.983913) + (xy 127.749636 102.954201) + (xy 127.742612 102.949687) + (xy 127.730099 102.939602) + (xy 127.541223 102.750726) + (xy 127.535603 102.744438) + (xy 127.521006 102.726134) + (xy 127.51153 102.714251) + (xy 127.463266 102.681345) + (xy 127.461033 102.679761) + (xy 127.414016 102.64506) + (xy 127.414015 102.645059) + (xy 127.414013 102.645058) + (xy 127.409038 102.642429) + (xy 127.409065 102.642377) + (xy 127.403826 102.639732) + (xy 127.403801 102.639786) + (xy 127.398728 102.637343) + (xy 127.398727 102.637342) + (xy 127.398726 102.637342) + (xy 127.368116 102.6279) + (xy 127.342954 102.620138) + (xy 127.340285 102.619259) + (xy 127.28515 102.599967) + (xy 127.279617 102.59892) + (xy 127.279627 102.598864) + (xy 127.273843 102.597882) + (xy 127.273835 102.597939) + (xy 127.268267 102.5971) + (xy 127.268264 102.5971) + (xy 127.209882 102.5971) + (xy 127.207072 102.597047) + (xy 127.204516 102.596951) + (xy 127.148719 102.594863) + (xy 127.143118 102.595495) + (xy 127.143111 102.595436) + (xy 127.130473 102.5971) + (xy 127.020001 102.5971) + (xy 126.971663 102.579507) + (xy 126.966837 102.575084) + (xy 126.927761 102.536008) + (xy 126.92776 102.536007) + (xy 126.924925 102.533172) + (xy 126.903186 102.486551) + (xy 126.9029 102.479998) + (xy 126.9029 102.137771) + (xy 126.903665 102.12707) + (xy 126.904114 102.123945) + (xy 126.907557 102.1) + (xy 126.903502 102.071801) + (xy 126.90295 102.06673) + (xy 126.9029 102.066072) + (xy 126.9029 102.066057) + (xy 126.898057 102.033928) + (xy 126.889023 101.971091) + (xy 126.889022 101.97109) + (xy 126.888771 101.96934) + (xy 126.888173 101.966638) + (xy 126.887668 101.965002) + (xy 126.887668 101.964997) + (xy 126.887665 101.96499) + (xy 126.860342 101.908254) + (xy 126.859727 101.906945) + (xy 126.84003 101.863813) + (xy 126.83492 101.852623) + (xy 126.832015 101.848104) + (xy 126.832549 101.84776) + (xy 126.831752 101.846543) + (xy 126.831604 101.846645) + (xy 126.82843 101.841989) + (xy 126.824043 101.837261) + (xy 126.788081 101.798504) + (xy 126.786392 101.79662) + (xy 126.749638 101.754203) + (xy 126.745574 101.750682) + (xy 126.745651 101.750592) + (xy 126.736574 101.742993) + (xy 126.73557 101.741911) + (xy 126.735569 101.74191) + (xy 126.735568 101.741909) + (xy 126.687322 101.714054) + (xy 126.684268 101.712192) + (xy 126.640079 101.683793) + (xy 126.640076 101.683792) + (xy 126.638179 101.683235) + (xy 126.621775 101.67621) + (xy 126.617332 101.673645) + (xy 126.61733 101.673644) + (xy 126.617329 101.673644) + (xy 126.566536 101.66205) + (xy 126.562087 101.66089) + (xy 126.515118 101.6471) + (xy 126.515117 101.6471) + (xy 126.509505 101.6471) + (xy 126.492771 101.645214) + (xy 126.484233 101.643265) + (xy 126.484229 101.643264) + (xy 126.435852 101.64689) + (xy 126.430233 101.6471) + (xy 126.384881 101.6471) + (xy 126.376011 101.649705) + (xy 126.360448 101.65254) + (xy 126.348083 101.653466) + (xy 126.306262 101.669879) + (xy 126.29998 101.672029) + (xy 126.259923 101.683792) + (xy 126.259921 101.683793) + (xy 126.249085 101.690756) + (xy 126.235914 101.697489) + (xy 126.220996 101.703344) + (xy 126.220993 101.703346) + (xy 126.188693 101.729103) + (xy 126.182468 101.733568) + (xy 126.16949 101.741909) + (xy 126.150364 101.754201) + (xy 126.150363 101.754201) + (xy 126.150363 101.754202) + (xy 126.13955 101.766679) + (xy 126.129612 101.776219) + (xy 126.11425 101.78847) + (xy 126.114247 101.788473) + (xy 126.093008 101.819625) + (xy 126.087711 101.826505) + (xy 126.065078 101.852627) + (xy 126.056719 101.870928) + (xy 126.050452 101.882043) + (xy 126.037344 101.90127) + (xy 126.037339 101.90128) + (xy 126.027287 101.933866) + (xy 126.023834 101.942935) + (xy 126.010978 101.971086) + (xy 126.010976 101.971094) + (xy 126.007598 101.994583) + (xy 126.005025 102.006038) + (xy 125.9971 102.031735) + (xy 125.9971 102.062228) + (xy 125.996335 102.072929) + (xy 125.992443 102.1) + (xy 125.996335 102.12707) + (xy 125.9971 102.137771) + (xy 125.9971 102.479998) + (xy 125.979507 102.528336) + (xy 125.975074 102.533172) + (xy 125.887172 102.621074) + (xy 125.840552 102.642814) + (xy 125.833998 102.6431) + (xy 125.56237 102.6431) + (xy 125.550607 102.642174) + (xy 125.55 102.642078) + (xy 125.549392 102.642174) + (xy 125.53763 102.6431) + (xy 125.517973 102.6431) + (xy 125.422692 102.658191) + (xy 125.411481 102.663904) + (xy 125.377341 102.6721) + (xy 125.297257 102.6721) + (xy 125.248919 102.654507) + (xy 125.223199 102.609958) + (xy 125.232132 102.5593) + (xy 125.234717 102.555141) + (xy 125.292386 102.468834) + (xy 125.3079 102.390839) + (xy 125.307899 102.109162) + (xy 125.292386 102.031166) + (xy 125.233285 101.942715) + (xy 125.226993 101.938511) + (xy 125.204514 101.923491) + (xy 125.188104 101.912526) + (xy 125.157687 101.871044) + (xy 125.161051 101.819714) + (xy 125.188105 101.787473) + (xy 125.188107 101.787472) + (xy 125.233285 101.757285) + (xy 125.292386 101.668834) + (xy 125.3079 101.590839) + (xy 125.307899 101.309162) + (xy 125.292386 101.231166) + (xy 125.233285 101.142715) + (xy 125.233008 101.14253) + (xy 125.201111 101.121217) + (xy 125.188104 101.112526) + (xy 125.157687 101.071044) + (xy 125.161051 101.019714) + (xy 125.188105 100.987473) + (xy 125.188107 100.987472) + (xy 125.233285 100.957285) + (xy 125.292386 100.868834) + (xy 125.3079 100.790839) + (xy 125.307899 100.509162) + (xy 125.292386 100.431166) + (xy 125.233285 100.342715) + (xy 125.224685 100.336969) + (xy 125.205191 100.323943) + (xy 125.188104 100.312526) + (xy 125.157687 100.271044) + (xy 125.161051 100.219714) + (xy 125.188105 100.187473) + (xy 125.188107 100.187472) + (xy 125.233285 100.157285) + (xy 125.292386 100.068834) + (xy 125.3079 99.990839) + (xy 125.307899 99.845) + (xy 134.595601 99.845) + (xy 134.595601 100.307118) + (xy 134.602164 100.356984) + (xy 134.602166 100.35699) + (xy 134.653193 100.466418) + (xy 134.653199 100.466426) + (xy 134.738573 100.5518) + (xy 134.738581 100.551806) + (xy 134.848008 100.602833) + (xy 134.848014 100.602835) + (xy 134.89788 100.609399) + (xy 134.978 100.609399) + (xy 134.978 99.845) + (xy 135.278 99.845) + (xy 135.278 100.609399) + (xy 135.358117 100.609399) + (xy 135.407984 100.602835) + (xy 135.40799 100.602833) + (xy 135.517418 100.551806) + (xy 135.517426 100.5518) + (xy 135.6028 100.466426) + (xy 135.602806 100.466418) + (xy 135.653833 100.356991) + (xy 135.653835 100.356985) + (xy 135.6604 100.307119) + (xy 135.6604 99.845) + (xy 135.865601 99.845) + (xy 135.865601 100.307118) + (xy 135.872164 100.356984) + (xy 135.872166 100.35699) + (xy 135.923193 100.466418) + (xy 135.923199 100.466426) + (xy 136.008573 100.5518) + (xy 136.008581 100.551806) + (xy 136.118008 100.602833) + (xy 136.118014 100.602835) + (xy 136.16788 100.609399) + (xy 136.248 100.609399) + (xy 136.248 99.845) + (xy 136.548 99.845) + (xy 136.548 100.609399) + (xy 136.628117 100.609399) + (xy 136.677984 100.602835) + (xy 136.67799 100.602833) + (xy 136.787418 100.551806) + (xy 136.787426 100.5518) + (xy 136.8728 100.466426) + (xy 136.872806 100.466418) + (xy 136.923833 100.356991) + (xy 136.923835 100.356985) + (xy 136.9304 100.307119) + (xy 136.9304 99.845) + (xy 136.548 99.845) + (xy 136.248 99.845) + (xy 135.865601 99.845) + (xy 135.6604 99.845) + (xy 135.278 99.845) + (xy 134.978 99.845) + (xy 134.595601 99.845) + (xy 125.307899 99.845) + (xy 125.307899 99.709162) + (xy 125.292386 99.631166) + (xy 125.234812 99.545) + (xy 134.5956 99.545) + (xy 134.978 99.545) + (xy 134.978 98.7806) + (xy 135.278 98.7806) + (xy 135.278 99.545) + (xy 135.660399 99.545) + (xy 135.8656 99.545) + (xy 136.248 99.545) + (xy 136.248 98.7806) + (xy 136.548 98.7806) + (xy 136.548 99.545) + (xy 136.930399 99.545) + (xy 136.930399 99.082881) + (xy 136.923835 99.033015) + (xy 136.923833 99.033009) + (xy 136.872806 98.923581) + (xy 136.8728 98.923573) + (xy 136.787426 98.838199) + (xy 136.787418 98.838193) + (xy 136.677991 98.787166) + (xy 136.677985 98.787164) + (xy 136.628119 98.7806) + (xy 136.548 98.7806) + (xy 136.248 98.7806) + (xy 136.167881 98.7806) + (xy 136.118015 98.787164) + (xy 136.118009 98.787166) + (xy 136.008581 98.838193) + (xy 136.008573 98.838199) + (xy 135.923199 98.923573) + (xy 135.923193 98.923581) + (xy 135.872166 99.033008) + (xy 135.872164 99.033014) + (xy 135.8656 99.08288) + (xy 135.8656 99.545) + (xy 135.660399 99.545) + (xy 135.660399 99.082881) + (xy 135.653835 99.033015) + (xy 135.653833 99.033009) + (xy 135.602806 98.923581) + (xy 135.6028 98.923573) + (xy 135.517426 98.838199) + (xy 135.517418 98.838193) + (xy 135.407991 98.787166) + (xy 135.407985 98.787164) + (xy 135.358119 98.7806) + (xy 135.278 98.7806) + (xy 134.978 98.7806) + (xy 134.897881 98.7806) + (xy 134.848015 98.787164) + (xy 134.848009 98.787166) + (xy 134.738581 98.838193) + (xy 134.738573 98.838199) + (xy 134.653199 98.923573) + (xy 134.653193 98.923581) + (xy 134.602166 99.033008) + (xy 134.602164 99.033014) + (xy 134.5956 99.08288) + (xy 134.5956 99.545) + (xy 125.234812 99.545) + (xy 125.233285 99.542715) + (xy 125.232767 99.542369) + (xy 125.200472 99.52079) + (xy 125.188104 99.512526) + (xy 125.157687 99.471044) + (xy 125.161051 99.419714) + (xy 125.188105 99.387473) + (xy 125.188107 99.387472) + (xy 125.233285 99.357285) + (xy 125.292386 99.268834) + (xy 125.3079 99.190839) + (xy 125.307899 98.909162) + (xy 125.292386 98.831166) + (xy 125.233285 98.742715) + (xy 125.233008 98.74253) + (xy 125.208522 98.726169) + (xy 125.188104 98.712526) + (xy 125.157687 98.671044) + (xy 125.161051 98.619714) + (xy 125.188105 98.587473) + (xy 125.188107 98.587472) + (xy 125.233285 98.557285) + (xy 125.292386 98.468834) + (xy 125.3079 98.390839) + (xy 125.307899 98.109162) + (xy 125.292386 98.031166) + (xy 125.233285 97.942715) + (xy 125.232767 97.942369) + (xy 125.200472 97.92079) + (xy 125.188104 97.912526) + (xy 125.157687 97.871044) + (xy 125.161051 97.819714) + (xy 125.188105 97.787473) + (xy 125.188107 97.787472) + (xy 125.233285 97.757285) + (xy 125.292386 97.668834) + (xy 125.3079 97.590839) + (xy 125.307899 97.309162) + (xy 125.292386 97.231166) + (xy 125.233285 97.142715) + (xy 125.233008 97.14253) + (xy 125.203562 97.122855) + (xy 125.188104 97.112526) + (xy 125.157687 97.071044) + (xy 125.161051 97.019714) + (xy 125.188105 96.987473) + (xy 125.188107 96.987472) + (xy 125.233285 96.957285) + (xy 125.292386 96.868834) + (xy 125.3079 96.790839) + (xy 125.307899 96.509162) + (xy 125.292386 96.431166) + (xy 125.233285 96.342715) + (xy 125.232767 96.342369) + (xy 125.200105 96.320545) + (xy 125.188104 96.312526) + (xy 125.157687 96.271044) + (xy 125.161051 96.219714) + (xy 125.188105 96.187473) + (xy 125.188107 96.187472) + (xy 125.233285 96.157285) + (xy 125.292386 96.068834) + (xy 125.3079 95.990839) + (xy 125.307899 95.709162) + (xy 125.292386 95.631166) + (xy 125.233285 95.542715) + (xy 125.233008 95.54253) + (xy 125.203562 95.522855) + (xy 125.188104 95.512526) + (xy 125.157687 95.471044) + (xy 125.161051 95.419714) + (xy 125.188105 95.387473) + (xy 125.188107 95.387472) + (xy 125.233285 95.357285) + (xy 125.292386 95.268834) + (xy 125.3079 95.190839) + (xy 125.307899 94.909162) + (xy 125.292386 94.831166) + (xy 125.233285 94.742715) + (xy 125.232767 94.742369) + (xy 125.200472 94.72079) + (xy 125.188104 94.712526) + (xy 125.157687 94.671044) + (xy 125.161051 94.619714) + (xy 125.188105 94.587473) + (xy 125.188107 94.587472) + (xy 125.233285 94.557285) + (xy 125.292386 94.468834) + (xy 125.3079 94.390839) + (xy 125.3079 94.3) + (xy 125.822601 94.3) + (xy 125.822601 94.397142) + (xy 125.832934 94.468059) + (xy 125.886421 94.577469) + (xy 125.97253 94.663578) + (xy 126.081941 94.717065) + (xy 126.08194 94.717065) + (xy 126.152863 94.727399) + (xy 126.3 94.727399) + (xy 126.3 94.3) + (xy 126.6 94.3) + (xy 126.6 94.727399) + (xy 126.74713 94.727399) + (xy 126.747142 94.727398) + (xy 126.818059 94.717065) + (xy 126.927469 94.663578) + (xy 127.013578 94.577469) + (xy 127.067065 94.468058) + (xy 127.077399 94.397141) + (xy 127.0774 94.397131) + (xy 127.0774 94.3) + (xy 126.6 94.3) + (xy 126.3 94.3) + (xy 125.822601 94.3) + (xy 125.3079 94.3) + (xy 125.307899 94.109162) + (xy 125.292386 94.031166) + (xy 125.271562 94) + (xy 125.8226 94) + (xy 126.3 94) + (xy 126.3 93.5726) + (xy 126.6 93.5726) + (xy 126.6 94) + (xy 127.077399 94) + (xy 127.077399 93.902869) + (xy 127.077398 93.902857) + (xy 127.067065 93.83194) + (xy 127.013578 93.72253) + (xy 126.927469 93.636421) + (xy 126.818058 93.582934) + (xy 126.818059 93.582934) + (xy 126.747141 93.5726) + (xy 126.6 93.5726) + (xy 126.3 93.5726) + (xy 126.15287 93.5726) + (xy 126.152857 93.572601) + (xy 126.08194 93.582934) + (xy 125.97253 93.636421) + (xy 125.886421 93.72253) + (xy 125.832934 93.831941) + (xy 125.8226 93.902858) + (xy 125.8226 94) + (xy 125.271562 94) + (xy 125.233285 93.942715) + (xy 125.233008 93.94253) + (xy 125.203465 93.92279) + (xy 125.188104 93.912526) + (xy 125.157687 93.871044) + (xy 125.161051 93.819714) + (xy 125.188105 93.787473) + (xy 125.188107 93.787472) + (xy 125.233285 93.757285) + (xy 125.292386 93.668834) + (xy 125.3079 93.590839) + (xy 125.307899 93.309162) + (xy 125.292386 93.231166) + (xy 125.234731 93.144879) + (xy 125.222505 93.094913) + (xy 125.245256 93.048778) + (xy 125.29234 93.028061) + (xy 125.297258 93.0279) + (xy 125.386152 93.0279) + (xy 125.420292 93.036096) + (xy 125.42166 93.036793) + (xy 125.423943 93.037956) + (xy 125.423945 93.037957) + (xy 125.512975 93.052058) + (xy 125.516107 93.052554) + (xy 125.549999 93.057922) + (xy 125.55 93.057922) + (xy 125.575861 93.053825) + (xy 125.587625 93.0529) + (xy 125.829998 93.0529) + (xy 125.878336 93.070493) + (xy 125.883172 93.074926) + (xy 125.972235 93.163989) + (xy 125.972236 93.163989) + (xy 125.972239 93.163992) + (xy 126.081797 93.217551) + (xy 126.133848 93.225135) + (xy 126.152817 93.227899) + (xy 126.152822 93.227899) + (xy 126.152826 93.2279) + (xy 126.152828 93.2279) + (xy 126.747172 93.2279) + (xy 126.747174 93.2279) + (xy 126.747178 93.227899) + (xy 126.747182 93.227899) + (xy 126.756151 93.226592) + (xy 126.818203 93.217551) + (xy 126.832542 93.21054) + (xy 126.86557 93.2029) + (xy 126.939834 93.2029) + (xy 126.988172 93.220493) + (xy 126.993008 93.224926) + (xy 127.029924 93.261842) + (xy 127.036409 93.269236) + (xy 127.055667 93.294333) + (xy 127.074989 93.309159) + (xy 127.081437 93.314107) + (xy 127.085077 93.317085) + (xy 127.085787 93.317704) + (xy 127.114481 93.339464) + (xy 127.114792 93.339701) + (xy 127.16608 93.379056) + (xy 127.172233 93.383777) + (xy 127.175303 93.385816) + (xy 127.176469 93.386472) + (xy 127.242154 93.412374) + (xy 127.243334 93.41285) + (xy 127.305664 93.438669) + (xy 127.305666 93.438669) + (xy 127.305668 93.43867) + (xy 127.310422 93.439944) + (xy 127.310291 93.44043) + (xy 127.312849 93.441091) + (xy 127.312869 93.441013) + (xy 127.317862 93.44223) + (xy 127.38462 93.449092) + (xy 127.386629 93.449327) + (xy 127.45 93.457671) + (xy 127.450001 93.45767) + (xy 127.450004 93.457671) + (xy 127.454932 93.457671) + (xy 127.454932 93.457697) + (xy 127.46669 93.45753) + (xy 127.469053 93.457773) + (xy 127.531624 93.446984) + (xy 127.53452 93.446543) + (xy 127.594336 93.438669) + (xy 127.596922 93.437597) + (xy 127.612923 93.432967) + (xy 127.61352 93.432863) + (xy 127.618833 93.431948) + (xy 127.672956 93.406206) + (xy 127.676409 93.404671) + (xy 127.728836 93.382957) + (xy 127.73393 93.379047) + (xy 127.747403 93.370802) + (xy 127.75609 93.366671) + (xy 127.79856 93.329638) + (xy 127.802148 93.326701) + (xy 127.844333 93.294333) + (xy 127.850436 93.286377) + (xy 127.860672 93.275479) + (xy 127.870646 93.266784) + (xy 127.899577 93.222671) + (xy 127.90278 93.218161) + (xy 127.932957 93.178836) + (xy 127.938176 93.166236) + (xy 127.944773 93.153766) + (xy 127.954004 93.139693) + (xy 127.967922 93.095838) + (xy 127.968875 93.092834) + (xy 127.971069 93.086823) + (xy 127.988669 93.044336) + (xy 127.990921 93.027227) + (xy 127.993796 93.014314) + (xy 127.999982 92.994826) + (xy 128.001537 92.949275) + (xy 128.002134 92.942046) + (xy 128.007671 92.9) + (xy 128.004949 92.879331) + (xy 128.00435 92.866957) + (xy 128.005172 92.842926) + (xy 127.995224 92.802106) + (xy 127.993734 92.794142) + (xy 127.988669 92.755664) + (xy 127.979314 92.73308) + (xy 127.97573 92.72211) + (xy 127.973523 92.713052) + (xy 127.969187 92.695259) + (xy 127.964644 92.68718) + (xy 134.5951 92.68718) + (xy 134.601673 92.737115) + (xy 134.601675 92.737121) + (xy 134.652777 92.846711) + (xy 134.652782 92.846718) + (xy 134.738281 92.932217) + (xy 134.738284 92.932219) + (xy 134.738286 92.932221) + (xy 134.847882 92.983326) + (xy 134.897818 92.9899) + (xy 134.89782 92.9899) + (xy 135.35818 92.9899) + (xy 135.358182 92.9899) + (xy 135.408118 92.983326) + (xy 135.517714 92.932221) + (xy 135.603221 92.846714) + (xy 135.654326 92.737118) + (xy 135.6609 92.687182) + (xy 135.6609 92.68718) + (xy 135.8651 92.68718) + (xy 135.871673 92.737115) + (xy 135.871675 92.737121) + (xy 135.922777 92.846711) + (xy 135.922782 92.846718) + (xy 136.008281 92.932217) + (xy 136.008284 92.932219) + (xy 136.008286 92.932221) + (xy 136.117882 92.983326) + (xy 136.167818 92.9899) + (xy 136.16782 92.9899) + (xy 136.62818 92.9899) + (xy 136.628182 92.9899) + (xy 136.678118 92.983326) + (xy 136.787714 92.932221) + (xy 136.873221 92.846714) + (xy 136.924326 92.737118) + (xy 136.9309 92.687182) + (xy 136.9309 91.462818) + (xy 136.924326 91.412882) + (xy 136.873221 91.303286) + (xy 136.873219 91.303284) + (xy 136.873217 91.303281) + (xy 136.822926 91.25299) + (xy 136.801186 91.20637) + (xy 136.8009 91.199816) + (xy 136.8009 90.969624) + (xy 136.801826 90.957859) + (xy 136.803759 90.945659) + (xy 136.805922 90.932) + (xy 136.80498 90.926055) + (xy 136.799958 90.894345) + (xy 136.785957 90.805945) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.158228 90.601984) + (xy 136.067984 90.692228) + (xy 136.010043 90.805943) + (xy 135.991019 90.926057) + (xy 135.990078 90.932) + (xy 135.992241 90.945659) + (xy 135.994174 90.957859) + (xy 135.9951 90.969624) + (xy 135.9951 91.199816) + (xy 135.977507 91.248154) + (xy 135.973074 91.25299) + (xy 135.922782 91.303281) + (xy 135.922777 91.303288) + (xy 135.871675 91.412878) + (xy 135.871673 91.412884) + (xy 135.8651 91.462819) + (xy 135.8651 92.68718) + (xy 135.6609 92.68718) + (xy 135.6609 91.462818) + (xy 135.654326 91.412882) + (xy 135.603221 91.303286) + (xy 135.603219 91.303284) + (xy 135.603217 91.303281) + (xy 135.552926 91.25299) + (xy 135.531186 91.20637) + (xy 135.5309 91.199816) + (xy 135.5309 90.969624) + (xy 135.531826 90.957859) + (xy 135.533759 90.945659) + (xy 135.535922 90.932) + (xy 135.53498 90.926055) + (xy 135.529958 90.894345) + (xy 135.515957 90.805945) + (xy 135.458016 90.692229) + (xy 135.367771 90.601984) + (xy 135.254055 90.544043) + (xy 135.254057 90.544043) + (xy 135.128 90.524078) + (xy 135.001943 90.544043) + (xy 134.888228 90.601984) + (xy 134.797984 90.692228) + (xy 134.740043 90.805943) + (xy 134.721019 90.926057) + (xy 134.720078 90.932) + (xy 134.722241 90.945659) + (xy 134.724174 90.957859) + (xy 134.7251 90.969624) + (xy 134.7251 91.199816) + (xy 134.707507 91.248154) + (xy 134.703074 91.25299) + (xy 134.652782 91.303281) + (xy 134.652777 91.303288) + (xy 134.601675 91.412878) + (xy 134.601673 91.412884) + (xy 134.5951 91.462819) + (xy 134.5951 92.68718) + (xy 127.964644 92.68718) + (xy 127.950351 92.661759) + (xy 127.946433 92.653699) + (xy 127.932957 92.621165) + (xy 127.932954 92.621161) + (xy 127.932953 92.621159) + (xy 127.915891 92.598922) + (xy 127.910008 92.59001) + (xy 127.894695 92.562776) + (xy 127.870071 92.538152) + (xy 127.863584 92.530756) + (xy 127.862713 92.529621) + (xy 127.844333 92.505667) + (xy 127.836946 92.499999) + (xy 127.819241 92.486413) + (xy 127.811846 92.479927) + (xy 127.598548 92.266629) + (xy 127.596763 92.264783) + (xy 127.552211 92.217079) + (xy 127.552204 92.217073) + (xy 127.514789 92.194321) + (xy 127.508424 92.189989) + (xy 127.47353 92.163528) + (xy 127.452838 92.155368) + (xy 127.441357 92.149665) + (xy 127.422352 92.138108) + (xy 127.422347 92.138106) + (xy 127.380177 92.12629) + (xy 127.37288 92.123836) + (xy 127.332138 92.10777) + (xy 127.310004 92.105494) + (xy 127.297408 92.1031) + (xy 127.292805 92.10181) + (xy 127.275994 92.0971) + (xy 127.275993 92.0971) + (xy 127.232204 92.0971) + (xy 127.224514 92.096706) + (xy 127.180943 92.092226) + (xy 127.159029 92.096006) + (xy 127.14625 92.0971) + (xy 126.9781 92.0971) + (xy 126.929762 92.079507) + (xy 126.904042 92.034958) + (xy 126.9029 92.0219) + (xy 126.9029 91.837771) + (xy 126.903665 91.82707) + (xy 126.907557 91.8) + (xy 126.90541 91.785068) + (xy 126.903502 91.771801) + (xy 126.90295 91.76673) + (xy 126.9029 91.766072) + (xy 126.9029 91.766057) + (xy 126.898057 91.733928) + (xy 126.889023 91.671091) + (xy 126.889022 91.67109) + (xy 126.888771 91.66934) + (xy 126.888173 91.666638) + (xy 126.887668 91.665002) + (xy 126.887668 91.664997) + (xy 126.880445 91.649998) + (xy 126.860342 91.608254) + (xy 126.859727 91.606945) + (xy 126.839997 91.56374) + (xy 126.83492 91.552623) + (xy 126.832015 91.548104) + (xy 126.832549 91.54776) + (xy 126.831752 91.546543) + (xy 126.831604 91.546645) + (xy 126.82843 91.541989) + (xy 126.819663 91.532541) + (xy 126.788081 91.498504) + (xy 126.786392 91.49662) + (xy 126.749638 91.454203) + (xy 126.745574 91.450682) + (xy 126.745651 91.450592) + (xy 126.736574 91.442993) + (xy 126.73557 91.441911) + (xy 126.735569 91.44191) + (xy 126.735568 91.441909) + (xy 126.687322 91.414054) + (xy 126.684268 91.412192) + (xy 126.640079 91.383793) + (xy 126.640076 91.383792) + (xy 126.638179 91.383235) + (xy 126.621775 91.37621) + (xy 126.617332 91.373645) + (xy 126.61733 91.373644) + (xy 126.617329 91.373644) + (xy 126.566536 91.36205) + (xy 126.562087 91.36089) + (xy 126.515118 91.3471) + (xy 126.515117 91.3471) + (xy 126.509505 91.3471) + (xy 126.492771 91.345214) + (xy 126.484233 91.343265) + (xy 126.484229 91.343264) + (xy 126.435852 91.34689) + (xy 126.430233 91.3471) + (xy 126.384881 91.3471) + (xy 126.376011 91.349705) + (xy 126.360448 91.35254) + (xy 126.348083 91.353466) + (xy 126.306262 91.369879) + (xy 126.29998 91.372029) + (xy 126.259923 91.383792) + (xy 126.259921 91.383793) + (xy 126.249085 91.390756) + (xy 126.235914 91.397489) + (xy 126.220996 91.403344) + (xy 126.220993 91.403346) + (xy 126.188693 91.429103) + (xy 126.182468 91.433568) + (xy 126.150364 91.454201) + (xy 126.150363 91.454201) + (xy 126.150363 91.454202) + (xy 126.13955 91.466679) + (xy 126.129612 91.476219) + (xy 126.11425 91.48847) + (xy 126.114247 91.488473) + (xy 126.093008 91.519625) + (xy 126.087711 91.526505) + (xy 126.065078 91.552627) + (xy 126.056719 91.570928) + (xy 126.050452 91.582043) + (xy 126.037344 91.60127) + (xy 126.037339 91.60128) + (xy 126.027287 91.633866) + (xy 126.023834 91.642935) + (xy 126.010978 91.671086) + (xy 126.010976 91.671094) + (xy 126.007598 91.694583) + (xy 126.005025 91.706038) + (xy 125.9971 91.731735) + (xy 125.9971 91.762228) + (xy 125.996335 91.772929) + (xy 125.992443 91.8) + (xy 125.996335 91.82707) + (xy 125.9971 91.837771) + (xy 125.9971 92.079998) + (xy 125.979507 92.128336) + (xy 125.975074 92.133172) + (xy 125.883172 92.225074) + (xy 125.836552 92.246814) + (xy 125.829998 92.2471) + (xy 125.587625 92.2471) + (xy 125.575861 92.246174) + (xy 125.55 92.242078) + (xy 125.549999 92.242078) + (xy 125.518292 92.2471) + (xy 125.423943 92.262043) + (xy 125.420292 92.263904) + (xy 125.386152 92.2721) + (xy 125.094355 92.2721) + (xy 125.079684 92.270655) + (xy 125.06684 92.2681) + (xy 125.066839 92.2681) + (xy 124.873556 92.2681) + (xy 124.825218 92.250507) + (xy 124.799498 92.205958) + (xy 124.808431 92.1553) + (xy 124.811424 92.150537) + (xy 124.812083 92.149568) + (xy 124.812658 92.148726) + (xy 124.8529 92.018264) + (xy 124.8529 91.320001) + (xy 124.870493 91.271663) + (xy 124.874915 91.266837) + (xy 124.913992 91.227761) + (xy 124.913992 91.22776) + (xy 124.916828 91.224925) + (xy 124.963449 91.203186) + (xy 124.970002 91.2029) + (xy 125.315116 91.2029) + (xy 125.315117 91.2029) + (xy 125.343087 91.194686) + (xy 125.353051 91.192483) + (xy 125.385003 91.187668) + (xy 125.40761 91.17678) + (xy 125.419033 91.172386) + (xy 125.440076 91.166208) + (xy 125.467633 91.148498) + (xy 125.475643 91.144017) + (xy 125.50801 91.128431) + (xy 125.523743 91.113831) + (xy 125.534238 91.105694) + (xy 125.549633 91.095801) + (xy 125.549633 91.0958) + (xy 125.549636 91.095799) + (xy 125.573455 91.068308) + (xy 125.579122 91.062446) + (xy 125.608091 91.035568) + (xy 125.617011 91.020115) + (xy 125.625297 91.008478) + (xy 125.634921 90.997374) + (xy 125.651522 90.96102) + (xy 125.654789 90.954683) + (xy 125.676355 90.917332) + (xy 125.679517 90.903475) + (xy 125.684425 90.888975) + (xy 125.689023 90.878909) + (xy 125.695223 90.835782) + (xy 125.69634 90.829768) + (xy 125.706735 90.784228) + (xy 125.705944 90.773677) + (xy 125.706499 90.757351) + (xy 125.707557 90.75) + (xy 125.700845 90.703326) + (xy 125.700292 90.698259) + (xy 125.700155 90.696432) + (xy 125.696533 90.648082) + (xy 125.693992 90.64161) + (xy 125.689561 90.624837) + (xy 125.689207 90.622374) + (xy 125.689023 90.621091) + (xy 125.667929 90.574902) + (xy 125.666359 90.5712) + (xy 125.646653 90.520992) + (xy 125.644594 90.51841) + (xy 125.634981 90.502757) + (xy 125.634921 90.502626) + (xy 125.613896 90.478361) + (xy 125.599336 90.461558) + (xy 125.597376 90.4592) + (xy 125.569251 90.423933) + (xy 125.56153 90.414251) + (xy 125.561528 90.41425) + (xy 125.561527 90.414248) + (xy 125.559951 90.412785) + (xy 125.553947 90.407437) + (xy 125.5537 90.407723) + (xy 125.549638 90.404203) + (xy 125.549636 90.404201) + (xy 125.500897 90.372878) + (xy 125.499243 90.371783) + (xy 125.448726 90.337342) + (xy 125.448724 90.337341) + (xy 125.448722 90.33734) + (xy 125.447335 90.336671) + (xy 125.4416 90.334488) + (xy 125.440078 90.333793) + (xy 125.440077 90.333792) + (xy 125.440076 90.333792) + (xy 125.405893 90.323754) + (xy 125.381064 90.316464) + (xy 125.380086 90.316169) + (xy 125.318265 90.2971) + (xy 125.318264 90.2971) + (xy 124.970002 90.2971) + (xy 124.921664 90.279507) + (xy 124.916828 90.275074) + (xy 124.874926 90.233172) + (xy 124.853186 90.186552) + (xy 124.8529 90.179998) + (xy 124.8529 90.029654) + (xy 124.853373 90.021234) + (xy 124.853379 90.021174) + (xy 124.857695 89.982875) + (xy 124.846841 89.925511) + (xy 124.84637 89.922737) + (xy 124.84637 89.922735) + (xy 124.837668 89.864997) + (xy 124.837666 89.864993) + (xy 124.836006 89.859609) + (xy 124.836063 89.859591) + (xy 124.834232 89.854024) + (xy 124.834174 89.854045) + (xy 124.832313 89.848725) + (xy 124.805033 89.797111) + (xy 124.803765 89.794598) + (xy 124.778434 89.741995) + (xy 124.775257 89.737336) + (xy 124.775305 89.737302) + (xy 124.771913 89.73252) + (xy 124.771866 89.732555) + (xy 124.768522 89.728024) + (xy 124.761588 89.72109) + (xy 124.727222 89.686724) + (xy 124.725298 89.684726) + (xy 124.68557 89.64191) + (xy 124.681163 89.638396) + (xy 124.681199 89.638349) + (xy 124.671083 89.630586) + (xy 124.58359 89.543093) + (xy 124.579931 89.539164) + (xy 124.549636 89.504201) + (xy 124.509211 89.478222) + (xy 124.50523 89.475478) + (xy 124.464016 89.44506) + (xy 124.458311 89.443063) + (xy 124.442498 89.435348) + (xy 124.440081 89.433794) + (xy 124.440074 89.433791) + (xy 124.390536 89.419244) + (xy 124.386889 89.418071) + (xy 124.335154 89.399969) + (xy 124.335153 89.399968) + (xy 124.335151 89.399968) + (xy 124.33515 89.399967) + (xy 124.335147 89.399967) + (xy 124.332753 89.399878) + (xy 124.320046 89.397808) + (xy 124.315117 89.3971) + (xy 124.259882 89.3971) + (xy 124.257072 89.397047) + (xy 124.254516 89.396951) + (xy 124.198719 89.394863) + (xy 124.193118 89.395495) + (xy 124.193111 89.395436) + (xy 124.190135 89.395828) + (xy 124.190208 89.396334) + (xy 124.184889 89.397098) + (xy 124.12846 89.413666) + (xy 124.126741 89.414148) + (xy 124.066847 89.430198) + (xy 124.065374 89.43084) + (xy 124.060034 89.43372) + (xy 124.007458 89.467508) + (xy 124.006813 89.467918) + (xy 123.95124 89.502838) + (xy 123.907125 89.554099) + (xy 123.90696 89.554291) + (xy 123.863 89.605025) + (xy 123.862187 89.606319) + (xy 123.836675 89.66479) + (xy 123.836155 89.665954) + (xy 123.810976 89.72109) + (xy 123.809968 89.724525) + (xy 123.807988 89.729974) + (xy 123.807591 89.731457) + (xy 123.800854 89.791242) + (xy 123.800562 89.793521) + (xy 123.792443 89.849999) + (xy 123.792443 89.855376) + (xy 123.792199 89.855376) + (xy 123.792369 89.866546) + (xy 123.792304 89.867121) + (xy 123.792304 89.867125) + (xy 123.802825 89.922735) + (xy 123.80337 89.926011) + (xy 123.810975 89.978905) + (xy 123.810977 89.97891) + (xy 123.811417 89.979873) + (xy 123.816903 89.997133) + (xy 123.817686 90.001273) + (xy 123.817688 90.001277) + (xy 123.842458 90.048147) + (xy 123.844376 90.052043) + (xy 123.865079 90.097374) + (xy 123.86508 90.097375) + (xy 123.868157 90.100927) + (xy 123.877809 90.115031) + (xy 123.881482 90.121981) + (xy 123.905699 90.146197) + (xy 123.92744 90.192817) + (xy 123.914127 90.242504) + (xy 123.9057 90.252546) + (xy 123.88601 90.272235) + (xy 123.886008 90.272238) + (xy 123.886008 90.272239) + (xy 123.854182 90.33734) + (xy 123.832449 90.381797) + (xy 123.8221 90.452817) + (xy 123.8221 91.047182) + (xy 123.829185 91.095801) + (xy 123.832449 91.118203) + (xy 123.886008 91.227761) + (xy 123.925074 91.266827) + (xy 123.946814 91.313446) + (xy 123.9471 91.320001) + (xy 123.9471 91.983943) + (xy 123.962332 92.085002) + (xy 123.962332 92.085004) + (xy 123.998579 92.160272) + (xy 124.003701 92.211457) + (xy 123.974723 92.253958) + (xy 123.930826 92.2681) + (xy 123.73316 92.2681) + (xy 123.733159 92.268101) + (xy 123.72032 92.270655) + (xy 123.70565 92.2721) + (xy 123.413848 92.2721) + (xy 123.379708 92.263904) + (xy 123.376055 92.262043) + (xy 123.376057 92.262043) + (xy 123.25 92.242078) + (xy 123.123943 92.262043) + (xy 123.010228 92.319984) + (xy 122.919984 92.410228) + (xy 122.862043 92.523943) + (xy 122.842078 92.649999) + (xy 122.842078 92.65) + (xy 122.862043 92.776056) + (xy 122.905867 92.862065) + (xy 122.919984 92.889771) + (xy 123.010229 92.980016) + (xy 123.123943 93.037956) + (xy 123.123945 93.037957) + (xy 123.25 93.057922) + (xy 123.376055 93.037957) + (xy 123.378339 93.036793) + (xy 123.379708 93.036096) + (xy 123.413848 93.0279) + (xy 123.502742 93.0279) + (xy 123.55108 93.045493) + (xy 123.5768 93.090042) + (xy 123.567867 93.1407) + (xy 123.565269 93.144879) + (xy 123.536003 93.188679) + (xy 123.494519 93.219096) + (xy 123.473476 93.2221) + (xy 122.323036 93.2221) + (xy 122.274698 93.204507) + (xy 122.269862 93.200075) + (xy 122.231256 93.161469) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.710228 93.119984) + (xy 121.619984 93.210228) + (xy 121.562043 93.323943) + (xy 121.542078 93.449999) + (xy 121.542078 93.45) + (xy 115.107922 93.45) + (xy 115.087957 93.323945) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.46023 93.119983) + (xy 114.380138 93.200075) + (xy 114.333518 93.221814) + (xy 114.326964 93.2221) + (xy 113.826524 93.2221) + (xy 113.778186 93.204507) + (xy 113.763998 93.188679) + (xy 113.733285 93.142715) + (xy 113.701621 93.121558) + (xy 113.687653 93.112224) + (xy 113.657237 93.070743) + (xy 113.6606 93.019413) + (xy 113.687654 92.987172) + (xy 113.732924 92.956924) + (xy 113.791913 92.868638) + (xy 113.791914 92.868636) + (xy 113.805567 92.8) + (xy 111.994434 92.8) + (xy 112.008086 92.868638) + (xy 112.067076 92.956923) + (xy 112.112345 92.987171) + (xy 112.142762 93.028654) + (xy 112.139398 93.079984) + (xy 112.112346 93.112224) + (xy 112.066714 93.142715) + (xy 112.007614 93.231166) + (xy 112.007613 93.231168) + (xy 111.9921 93.30916) + (xy 111.9921 93.590839) + (xy 111.992101 93.59084) + (xy 112.001167 93.636421) + (xy 112.007614 93.668834) + (xy 112.066715 93.757285) + (xy 112.111894 93.787473) + (xy 112.142311 93.828955) + (xy 112.138948 93.880285) + (xy 112.111896 93.912525) + (xy 112.066714 93.942715) + (xy 112.007614 94.031166) + (xy 112.007613 94.031168) + (xy 111.9921 94.10916) + (xy 111.9921 94.390839) + (xy 111.992101 94.39084) + (xy 112.002292 94.442078) + (xy 112.007614 94.468834) + (xy 112.066715 94.557285) + (xy 112.111894 94.587473) + (xy 112.142311 94.628955) + (xy 112.138948 94.680285) + (xy 112.111896 94.712525) + (xy 112.066714 94.742715) + (xy 112.007614 94.831166) + (xy 112.007613 94.831168) + (xy 111.9921 94.90916) + (xy 111.9921 95.190839) + (xy 111.992101 95.19084) + (xy 112.001099 95.236077) + (xy 112.007614 95.268834) + (xy 112.066715 95.357285) + (xy 112.111894 95.387473) + (xy 112.142311 95.428955) + (xy 112.138948 95.480285) + (xy 112.111896 95.512525) + (xy 112.066714 95.542715) + (xy 112.007614 95.631166) + (xy 112.007613 95.631168) + (xy 111.9921 95.70916) + (xy 111.9921 95.990839) + (xy 111.992101 95.99084) + (xy 111.999215 96.026604) + (xy 112.007614 96.068834) + (xy 112.066715 96.157285) + (xy 112.111894 96.187473) + (xy 112.142311 96.228955) + (xy 112.138948 96.280285) + (xy 112.111896 96.312525) + (xy 112.066714 96.342715) + (xy 112.007614 96.431166) + (xy 112.007613 96.431168) + (xy 111.9921 96.50916) + (xy 111.9921 96.790839) + (xy 111.992101 96.79084) + (xy 112.000599 96.833565) + (xy 112.007614 96.868834) + (xy 112.066715 96.957285) + (xy 112.111894 96.987473) + (xy 112.142311 97.028955) + (xy 112.138948 97.080285) + (xy 112.111896 97.112525) + (xy 112.066714 97.142715) + (xy 112.007614 97.231166) + (xy 112.007613 97.231168) + (xy 111.9921 97.30916) + (xy 111.9921 97.590839) + (xy 111.992101 97.59084) + (xy 112.002443 97.642835) + (xy 112.007614 97.668834) + (xy 112.066715 97.757285) + (xy 112.111894 97.787473) + (xy 112.142311 97.828955) + (xy 112.138948 97.880285) + (xy 112.111896 97.912525) + (xy 112.066714 97.942715) + (xy 112.007614 98.031166) + (xy 112.007613 98.031168) + (xy 111.9921 98.10916) + (xy 111.9921 98.390839) + (xy 111.992101 98.39084) + (xy 111.996706 98.413992) + (xy 112.007614 98.468834) + (xy 112.066715 98.557285) + (xy 112.111894 98.587473) + (xy 112.142311 98.628955) + (xy 112.138948 98.680285) + (xy 112.111896 98.712525) + (xy 112.066714 98.742715) + (xy 112.007614 98.831166) + (xy 112.007613 98.831168) + (xy 111.9921 98.90916) + (xy 111.9921 99.190839) + (xy 111.992101 99.19084) + (xy 111.995825 99.209563) + (xy 112.007614 99.268834) + (xy 112.066715 99.357285) + (xy 112.111894 99.387473) + (xy 112.142311 99.428955) + (xy 112.138948 99.480285) + (xy 112.111896 99.512525) + (xy 112.066714 99.542715) + (xy 112.007614 99.631166) + (xy 112.007613 99.631168) + (xy 111.9921 99.70916) + (xy 111.9921 99.990839) + (xy 111.992101 99.99084) + (xy 112.00007 100.030904) + (xy 112.007614 100.068834) + (xy 112.066715 100.157285) + (xy 112.111894 100.187473) + (xy 112.142311 100.228955) + (xy 112.138948 100.280285) + (xy 112.111896 100.312525) + (xy 112.066713 100.342715) + (xy 112.036002 100.388679) + (xy 111.994519 100.419096) + (xy 111.973476 100.4221) + (xy 111.275547 100.4221) + (xy 111.227209 100.404507) + (xy 111.222373 100.400074) + (xy 110.225925 99.403626) + (xy 109.216758 98.394458) + (xy 109.214047 98.391602) + (xy 109.186949 98.361506) + (xy 109.186945 98.361503) + (xy 109.164486 98.351504) + (xy 109.154116 98.345874) + (xy 109.133496 98.332483) + (xy 109.125064 98.331148) + (xy 109.106243 98.325573) + (xy 109.098442 98.3221) + (xy 109.073848 98.3221) + (xy 109.062084 98.321174) + (xy 109.037808 98.317329) + (xy 109.037804 98.317329) + (xy 109.02956 98.319538) + (xy 109.010099 98.3221) + (xy 108.7781 98.3221) + (xy 108.729762 98.304507) + (xy 108.704042 98.259958) + (xy 108.7029 98.2469) + (xy 108.7029 98.211581) + (xy 108.700065 98.190042) + (xy 108.696613 98.163824) + (xy 108.694122 98.158482) + (xy 108.647745 98.059026) + (xy 108.647739 98.059018) + (xy 108.565981 97.97726) + (xy 108.565973 97.977254) + (xy 108.46118 97.928388) + (xy 108.461174 97.928386) + (xy 108.423758 97.923461) + (xy 108.41342 97.9221) + (xy 107.98658 97.9221) + (xy 107.976242 97.923461) + (xy 107.938825 97.928386) + (xy 107.938819 97.928388) + (xy 107.834026 97.977254) + (xy 107.834018 97.97726) + (xy 107.75226 98.059018) + (xy 107.752254 98.059026) + (xy 107.703388 98.163819) + (xy 107.703386 98.163825) + (xy 107.6971 98.211581) + (xy 107.6971 98.888418) + (xy 107.1029 98.888418) + (xy 107.1029 98.21158) + (xy 107.096613 98.163824) + (xy 107.094122 98.158482) + (xy 107.047745 98.059026) + (xy 107.047739 98.059018) + (xy 106.965981 97.97726) + (xy 106.965973 97.977254) + (xy 106.86118 97.928388) + (xy 106.861174 97.928386) + (xy 106.823758 97.923461) + (xy 106.81342 97.9221) + (xy 106.38658 97.9221) + (xy 106.376242 97.923461) + (xy 106.338825 97.928386) + (xy 106.338819 97.928388) + (xy 106.234026 97.977254) + (xy 106.234018 97.97726) + (xy 106.15226 98.059018) + (xy 106.152254 98.059026) + (xy 106.103388 98.163819) + (xy 106.103386 98.163825) + (xy 106.0971 98.211581) + (xy 106.0971 98.2469) + (xy 106.079507 98.295238) + (xy 106.034958 98.320958) + (xy 106.0219 98.3221) + (xy 105.307936 98.3221) + (xy 105.304 98.321997) + (xy 105.301119 98.321846) + (xy 105.263551 98.319876) + (xy 105.26355 98.319876) + (xy 105.240597 98.328688) + (xy 105.229286 98.332039) + (xy 105.205235 98.337151) + (xy 105.205229 98.337154) + (xy 105.198324 98.342171) + (xy 105.181085 98.351532) + (xy 105.173104 98.354596) + (xy 105.155712 98.371987) + (xy 105.146746 98.379645) + (xy 105.126854 98.394098) + (xy 105.126852 98.394101) + (xy 105.122583 98.401495) + (xy 105.110634 98.417065) + (xy 102.877626 100.650074) + (xy 102.831006 100.671814) + (xy 102.824452 100.6721) + (xy 102.657412 100.6721) + (xy 102.609074 100.654507) + (xy 102.583354 100.609958) + (xy 102.587938 100.568118) + (xy 102.589673 100.563926) + (xy 102.589677 100.563922) + (xy 102.6029 100.497447) + (xy 102.602899 100.302554) + (xy 102.589677 100.236078) + (xy 102.589676 100.236076) + (xy 102.560077 100.191777) + (xy 102.547851 100.141812) + (xy 102.560075 100.108224) + (xy 102.589677 100.063922) + (xy 102.6029 99.997447) + (xy 102.602899 99.802554) + (xy 102.589677 99.736078) + (xy 102.589676 99.736076) + (xy 102.560077 99.691777) + (xy 102.547851 99.641812) + (xy 102.560075 99.608224) + (xy 102.589677 99.563922) + (xy 102.6029 99.497447) + (xy 102.602899 99.302554) + (xy 102.589677 99.236078) + (xy 102.589676 99.236076) + (xy 102.560077 99.191777) + (xy 102.547851 99.141812) + (xy 102.560075 99.108224) + (xy 102.589677 99.063922) + (xy 102.6029 98.997447) + (xy 102.602899 98.802554) + (xy 102.589677 98.736078) + (xy 102.559775 98.691327) + (xy 102.54755 98.641362) + (xy 102.559777 98.607769) + (xy 102.589205 98.563727) + (xy 102.591936 98.55) + (xy 103.172601 98.55) + (xy 103.172601 98.647142) + (xy 103.182934 98.718059) + (xy 103.236421 98.827469) + (xy 103.32253 98.913578) + (xy 103.431941 98.967065) + (xy 103.43194 98.967065) + (xy 103.502863 98.977399) + (xy 103.65 98.977399) + (xy 103.65 98.55) + (xy 103.95 98.55) + (xy 103.95 98.977399) + (xy 104.09713 98.977399) + (xy 104.097142 98.977398) + (xy 104.168059 98.967065) + (xy 104.277469 98.913578) + (xy 104.363578 98.827469) + (xy 104.417065 98.718058) + (xy 104.427399 98.647141) + (xy 104.4274 98.647131) + (xy 104.4274 98.55) + (xy 103.95 98.55) + (xy 103.65 98.55) + (xy 103.172601 98.55) + (xy 102.591936 98.55) + (xy 100.833064 98.55) + (xy 100.835795 98.563731) + (xy 100.837615 98.568126) + (xy 100.839856 98.619517) + (xy 100.808539 98.660325) + (xy 100.768138 98.6721) + (xy 100.423654 98.6721) + (xy 100.375316 98.654507) + (xy 100.349596 98.609958) + (xy 100.348912 98.601725) + (xy 100.348344 98.597101) + (xy 100.342274 98.547661) + (xy 100.326241 98.495219) + (xy 100.32624 98.495218) + (xy 100.32624 98.495216) + (xy 100.321805 98.486513) + (xy 100.314534 98.464137) + (xy 100.306238 98.411754) + (xy 100.306238 98.38824) + (xy 100.314533 98.33586) + (xy 100.321802 98.313491) + (xy 100.326241 98.30478) + (xy 100.341716 98.256436) + (xy 100.348658 98.209992) + (xy 100.349585 98.197526) + (xy 100.370713 98.150625) + (xy 100.417045 98.128278) + (xy 100.424578 98.1279) + (xy 100.768138 98.1279) + (xy 100.816476 98.145493) + (xy 100.842196 98.190042) + (xy 100.837615 98.231874) + (xy 100.835795 98.236268) + (xy 100.833064 98.25) + (xy 102.591935 98.25) + (xy 103.1726 98.25) + (xy 103.65 98.25) + (xy 103.65 97.8226) + (xy 103.95 97.8226) + (xy 103.95 98.25) + (xy 104.427399 98.25) + (xy 104.427399 98.152869) + (xy 104.427398 98.152857) + (xy 104.417065 98.08194) + (xy 104.363578 97.97253) + (xy 104.277469 97.886421) + (xy 104.168058 97.832934) + (xy 104.168059 97.832934) + (xy 104.097141 97.8226) + (xy 103.95 97.8226) + (xy 103.65 97.8226) + (xy 103.50287 97.8226) + (xy 103.502857 97.822601) + (xy 103.43194 97.832934) + (xy 103.32253 97.886421) + (xy 103.236421 97.97253) + (xy 103.182934 98.081941) + (xy 103.1726 98.152858) + (xy 103.1726 98.25) + (xy 102.591935 98.25) + (xy 102.589205 98.236271) + (xy 102.559777 98.192229) + (xy 102.54755 98.142264) + (xy 102.559776 98.108672) + (xy 102.589677 98.063922) + (xy 102.6029 97.997447) + (xy 102.602899 97.848995) + (xy 102.620492 97.800659) + (xy 102.66504 97.774939) + (xy 102.712241 97.781994) + (xy 102.72394 97.787955) + (xy 102.723942 97.787956) + (xy 102.723943 97.787956) + (xy 102.723945 97.787957) + (xy 102.85 97.807922) + (xy 102.976055 97.787957) + (xy 103.089771 97.730016) + (xy 103.180016 97.639771) + (xy 103.237957 97.526055) + (xy 103.244574 97.484272) + (xy 103.269512 97.439284) + (xy 103.317535 97.420849) + (xy 103.35187 97.428477) + (xy 103.431797 97.467551) + (xy 103.485956 97.475442) + (xy 103.502817 97.477899) + (xy 103.502822 97.477899) + (xy 103.502826 97.4779) + (xy 103.502828 97.4779) + (xy 104.097172 97.4779) + (xy 104.097174 97.4779) + (xy 104.097178 97.477899) + (xy 104.097182 97.477899) + (xy 104.110228 97.475998) + (xy 104.168203 97.467551) + (xy 104.277761 97.413992) + (xy 104.307809 97.383943) + (xy 104.354426 97.362204) + (xy 104.404113 97.375517) + (xy 104.405397 97.376595) + (xy 104.40544 97.376537) + (xy 104.410226 97.380014) + (xy 104.410228 97.380015) + (xy 104.410229 97.380016) + (xy 104.433567 97.391907) + (xy 104.443619 97.398067) + (xy 104.464807 97.413461) + (xy 104.472791 97.416055) + (xy 104.489709 97.421552) + (xy 104.500613 97.426069) + (xy 104.523942 97.437956) + (xy 104.523943 97.437956) + (xy 104.523945 97.437957) + (xy 104.549812 97.442053) + (xy 104.561271 97.444804) + (xy 104.586187 97.4529) + (xy 104.612375 97.4529) + (xy 104.624138 97.453825) + (xy 104.65 97.457922) + (xy 104.675861 97.453825) + (xy 104.687625 97.4529) + (xy 104.713812 97.4529) + (xy 104.713813 97.4529) + (xy 104.738722 97.444805) + (xy 104.750173 97.442055) + (xy 104.776055 97.437957) + (xy 104.799397 97.426063) + (xy 104.810284 97.421554) + (xy 104.835193 97.413461) + (xy 104.856378 97.398068) + (xy 104.86643 97.391907) + (xy 104.889771 97.380016) + (xy 104.908294 97.361492) + (xy 104.917258 97.353835) + (xy 104.938444 97.338444) + (xy 104.953835 97.317258) + (xy 104.961492 97.308294) + (xy 104.980016 97.289771) + (xy 104.991907 97.26643) + (xy 104.998068 97.256378) + (xy 105.013461 97.235193) + (xy 105.021554 97.210284) + (xy 105.026063 97.199397) + (xy 105.037957 97.176055) + (xy 105.042055 97.150173) + (xy 105.044805 97.138722) + (xy 105.0529 97.113813) + (xy 105.0529 97.087624) + (xy 105.053826 97.075859) + (xy 105.056015 97.062043) + (xy 105.057922 97.05) + (xy 105.057008 97.044232) + (xy 105.053826 97.024138) + (xy 105.0529 97.012374) + (xy 105.0529 96.986188) + (xy 105.052844 96.986016) + (xy 105.044804 96.961271) + (xy 105.042053 96.949812) + (xy 105.037957 96.923945) + (xy 105.037956 96.923943) + (xy 105.037956 96.923942) + (xy 105.026069 96.900613) + (xy 105.021552 96.889709) + (xy 105.017505 96.877254) + (xy 105.013461 96.864807) + (xy 104.998067 96.843619) + (xy 104.991906 96.833565) + (xy 104.9888 96.827469) + (xy 104.980016 96.810229) + (xy 104.980015 96.810228) + (xy 104.980014 96.810226) + (xy 104.887156 96.717368) + (xy 104.887133 96.717347) + (xy 104.834308 96.664522) + (xy 104.834296 96.664508) + (xy 104.739773 96.569985) + (xy 104.716434 96.558093) + (xy 104.706375 96.551928) + (xy 104.685196 96.536541) + (xy 104.685194 96.53654) + (xy 104.685193 96.536539) + (xy 104.660283 96.528444) + (xy 104.649388 96.523931) + (xy 104.626056 96.512043) + (xy 104.626058 96.512043) + (xy 104.600187 96.507945) + (xy 104.588721 96.505192) + (xy 104.563813 96.4971) + (xy 104.531708 96.4971) + (xy 104.420002 96.4971) + (xy 104.371664 96.479507) + (xy 104.366828 96.475074) + (xy 104.277764 96.38601) + (xy 104.277762 96.386009) + (xy 104.277761 96.386008) + (xy 104.168203 96.332449) + (xy 104.097182 96.3221) + (xy 104.097174 96.3221) + (xy 103.502826 96.3221) + (xy 103.502817 96.3221) + (xy 103.431796 96.332449) + (xy 103.426214 96.334174) + (xy 103.425303 96.331226) + (xy 103.385572 96.335366) + (xy 103.342952 96.306563) + (xy 103.328817 96.257104) + (xy 103.336828 96.228272) + (xy 103.337955 96.226058) + (xy 103.337956 96.226057) + (xy 103.337956 96.226056) + (xy 103.337957 96.226055) + (xy 103.357922 96.1) + (xy 103.350685 96.05431) + (xy 103.360499 96.003818) + (xy 103.400475 95.971445) + (xy 103.435799 95.968134) + (xy 103.486924 95.975583) + (xy 103.502818 95.977899) + (xy 103.502821 95.977899) + (xy 103.502826 95.9779) + (xy 103.502828 95.9779) + (xy 104.097172 95.9779) + (xy 104.097174 95.9779) + (xy 104.097178 95.977899) + (xy 104.097182 95.977899) + (xy 104.10983 95.976056) + (xy 104.168203 95.967551) + (xy 104.277761 95.913992) + (xy 104.363992 95.827761) + (xy 104.417551 95.718203) + (xy 104.4279 95.647174) + (xy 104.4279 95.152826) + (xy 104.417551 95.081797) + (xy 104.363992 94.972239) + (xy 104.363989 94.972236) + (xy 104.363989 94.972235) + (xy 104.277764 94.88601) + (xy 104.277762 94.886009) + (xy 104.277761 94.886008) + (xy 104.168203 94.832449) + (xy 104.097182 94.8221) + (xy 104.097174 94.8221) + (xy 103.502826 94.8221) + (xy 103.502817 94.8221) + (xy 103.438104 94.83153) + (xy 103.431797 94.832449) + (xy 103.375424 94.860008) + (xy 103.322235 94.88601) + (xy 103.233172 94.975074) + (xy 103.186552 94.996814) + (xy 103.179998 94.9971) + (xy 102.768289 94.9971) + (xy 102.673943 95.012043) + (xy 102.560228 95.069984) + (xy 102.555139 95.075074) + (xy 102.508519 95.096814) + (xy 102.501965 95.0971) + (xy 101.205729 95.0971) + (xy 101.157391 95.079507) + (xy 101.131671 95.034959) + (xy 101.1234 94.988047) + (xy 101.123267 94.987762) + (xy 101.102009 94.942173) + (xy 101.102005 94.942167) + (xy 101.096607 94.934457) + (xy 101.070554 94.89725) + (xy 101.070553 94.897249) + (xy 101.070551 94.897246) + (xy 100.553108 94.379804) + (xy 100.553094 94.379791) + (xy 100.530617 94.36114) + (xy 100.530608 94.361133) + (xy 100.50798 94.345655) + (xy 100.50666 94.344762) + (xy 100.506658 94.344761) + (xy 100.429258 94.318488) + (xy 100.42926 94.318488) + (xy 100.423179 94.31809) + (xy 100.376096 94.297371) + (xy 100.353346 94.251236) + (xy 100.352899 94.243051) + (xy 100.352899 94.05) + (xy 103.172601 94.05) + (xy 103.172601 94.147142) + (xy 103.182934 94.218059) + (xy 103.236421 94.327469) + (xy 103.32253 94.413578) + (xy 103.431941 94.467065) + (xy 103.43194 94.467065) + (xy 103.502863 94.477399) + (xy 103.65 94.477399) + (xy 103.65 94.05) + (xy 103.95 94.05) + (xy 103.95 94.477399) + (xy 104.09713 94.477399) + (xy 104.097142 94.477398) + (xy 104.168059 94.467065) + (xy 104.277469 94.413578) + (xy 104.363578 94.327469) + (xy 104.417065 94.218058) + (xy 104.427399 94.147141) + (xy 104.4274 94.147131) + (xy 104.4274 94.05) + (xy 103.95 94.05) + (xy 103.65 94.05) + (xy 103.172601 94.05) + (xy 100.352899 94.05) + (xy 100.352899 93.75) + (xy 103.1726 93.75) + (xy 103.65 93.75) + (xy 103.65 93.3226) + (xy 103.95 93.3226) + (xy 103.95 93.75) + (xy 104.427399 93.75) + (xy 104.427399 93.652869) + (xy 104.427398 93.652856) + (xy 104.417065 93.58194) + (xy 104.363578 93.47253) + (xy 104.277469 93.386421) + (xy 104.168058 93.332934) + (xy 104.168059 93.332934) + (xy 104.097141 93.3226) + (xy 103.95 93.3226) + (xy 103.65 93.3226) + (xy 103.50287 93.3226) + (xy 103.502857 93.322601) + (xy 103.43194 93.332934) + (xy 103.32253 93.386421) + (xy 103.236421 93.47253) + (xy 103.182934 93.581941) + (xy 103.172601 93.652856) + (xy 103.1726 93.652868) + (xy 103.1726 93.75) + (xy 100.352899 93.75) + (xy 100.352899 93.052552) + (xy 100.352898 93.052551) + (xy 100.339677 92.986078) + (xy 100.339676 92.986076) + (xy 100.290573 92.912587) + (xy 100.2779 92.870809) + (xy 100.2779 92.499999) + (xy 111.994432 92.499999) + (xy 111.994433 92.5) + (xy 112.75 92.5) + (xy 112.75 92.2686) + (xy 113.05 92.2686) + (xy 113.05 92.5) + (xy 113.805566 92.5) + (xy 113.791913 92.431361) + (xy 113.732923 92.343076) + (xy 113.644638 92.284086) + (xy 113.644636 92.284085) + (xy 113.566789 92.2686) + (xy 113.05 92.2686) + (xy 112.75 92.2686) + (xy 112.233212 92.2686) + (xy 112.233211 92.268601) + (xy 112.155361 92.284086) + (xy 112.067075 92.343076) + (xy 112.008086 92.431361) + (xy 112.008085 92.431363) + (xy 111.994432 92.499999) + (xy 100.2779 92.499999) + (xy 100.2779 92.475547) + (xy 100.295493 92.427209) + (xy 100.299914 92.422384) + (xy 100.448992 92.273306) + (xy 100.49561 92.251568) + (xy 100.513921 92.252207) + (xy 100.55 92.257922) + (xy 100.676055 92.237957) + (xy 100.789771 92.180016) + (xy 100.880016 92.089771) + (xy 100.937957 91.976055) + (xy 100.957922 91.85) + (xy 100.956058 91.838234) + (xy 100.947638 91.785068) + (xy 100.937957 91.723945) + (xy 100.937528 91.723104) + (xy 100.911028 91.671094) + (xy 100.880016 91.610229) + (xy 100.789771 91.519984) + (xy 100.676055 91.462043) + (xy 100.676057 91.462043) + (xy 100.55 91.442078) + (xy 100.423943 91.462043) + (xy 100.310228 91.519984) + (xy 100.219984 91.610228) + (xy 100.162043 91.723943) + (xy 100.142078 91.849998) + (xy 100.142078 91.850003) + (xy 100.14779 91.886072) + (xy 100.137974 91.936566) + (xy 100.12669 91.951008) + (xy 99.894456 92.183242) + (xy 99.891601 92.185952) + (xy 99.862626 92.212043) + (xy 99.861504 92.213053) + (xy 99.859811 92.216856) + (xy 99.824077 92.253858) + (xy 99.772919 92.259234) + (xy 99.756973 92.253272) + (xy 99.676055 92.212043) + (xy 99.676057 92.212043) + (xy 99.55 92.192078) + (xy 99.423943 92.212043) + (xy 99.310228 92.269984) + (xy 99.219984 92.360228) + (xy 99.162043 92.473943) + (xy 99.142078 92.599999) + (xy 99.142078 92.6) + (xy 99.162043 92.726057) + (xy 99.168007 92.737762) + (xy 99.174274 92.788819) + (xy 99.146256 92.831959) + (xy 99.101002 92.8471) + (xy 98.952552 92.8471) + (xy 98.952551 92.847101) + (xy 98.886076 92.860323) + (xy 98.886074 92.860324) + (xy 98.841776 92.889922) + (xy 98.791811 92.902148) + (xy 98.758222 92.889923) + (xy 98.757995 92.889771) + (xy 98.72749 92.869389) + (xy 98.697074 92.827908) + (xy 98.700437 92.776578) + (xy 98.716092 92.753692) + (xy 98.783576 92.686208) + (xy 98.783586 92.686201) + (xy 98.880014 92.589773) + (xy 98.880016 92.589771) + (xy 98.891907 92.566431) + (xy 98.898067 92.556379) + (xy 98.913462 92.535192) + (xy 98.921554 92.510286) + (xy 98.926069 92.499384) + (xy 98.937957 92.476055) + (xy 98.942055 92.450174) + (xy 98.944802 92.438734) + (xy 98.9529 92.413813) + (xy 98.9529 92.286187) + (xy 98.9529 92.270002) + (xy 98.970493 92.221664) + (xy 98.974926 92.216828) + (xy 99.063989 92.127764) + (xy 99.063992 92.127761) + (xy 99.117551 92.018203) + (xy 99.1279 91.947174) + (xy 99.1279 91.352826) + (xy 99.127858 91.35254) + (xy 99.123117 91.320001) + (xy 99.117551 91.281797) + (xy 99.063992 91.172239) + (xy 99.063989 91.172236) + (xy 99.063989 91.172235) + (xy 98.974926 91.083172) + (xy 98.953186 91.036552) + (xy 98.9529 91.029998) + (xy 98.9529 90.9) + (xy 112.322601 90.9) + (xy 112.322601 91.047142) + (xy 112.332934 91.118059) + (xy 112.386421 91.227469) + (xy 112.47253 91.313578) + (xy 112.581941 91.367065) + (xy 112.58194 91.367065) + (xy 112.652863 91.377399) + (xy 112.75 91.377399) + (xy 112.75 90.9) + (xy 113.05 90.9) + (xy 113.05 91.377399) + (xy 113.14713 91.377399) + (xy 113.147142 91.377398) + (xy 113.218059 91.367065) + (xy 113.327469 91.313578) + (xy 113.413578 91.227469) + (xy 113.467065 91.118058) + (xy 113.477399 91.047141) + (xy 113.4774 91.047131) + (xy 113.4774 90.9) + (xy 113.05 90.9) + (xy 112.75 90.9) + (xy 112.322601 90.9) + (xy 98.9529 90.9) + (xy 98.9529 90.886188) + (xy 98.950535 90.878909) + (xy 98.944804 90.861271) + (xy 98.942053 90.849812) + (xy 98.937957 90.823945) + (xy 98.937956 90.823943) + (xy 98.937956 90.823942) + (xy 98.926069 90.800613) + (xy 98.921552 90.789709) + (xy 98.913461 90.764807) + (xy 98.898067 90.743619) + (xy 98.891906 90.733565) + (xy 98.880014 90.710226) + (xy 98.787156 90.617368) + (xy 98.787133 90.617347) + (xy 98.769786 90.6) + (xy 112.3226 90.6) + (xy 112.75 90.6) + (xy 112.75 90.1226) + (xy 113.05 90.1226) + (xy 113.05 90.6) + (xy 113.477399 90.6) + (xy 113.477399 90.452869) + (xy 113.477398 90.452857) + (xy 113.467065 90.38194) + (xy 113.413578 90.27253) + (xy 113.327469 90.186421) + (xy 113.218058 90.132934) + (xy 113.218059 90.132934) + (xy 113.147141 90.1226) + (xy 113.05 90.1226) + (xy 112.75 90.1226) + (xy 112.65287 90.1226) + (xy 112.652856 90.122601) + (xy 112.58194 90.132934) + (xy 112.47253 90.186421) + (xy 112.386421 90.27253) + (xy 112.332934 90.381941) + (xy 112.3226 90.452858) + (xy 112.3226 90.6) + (xy 98.769786 90.6) + (xy 98.734308 90.564522) + (xy 98.734296 90.564508) + (xy 98.639773 90.469985) + (xy 98.637739 90.468948) + (xy 98.616429 90.45809) + (xy 98.606375 90.451928) + (xy 98.585196 90.436541) + (xy 98.585194 90.43654) + (xy 98.585193 90.436539) + (xy 98.560283 90.428444) + (xy 98.549388 90.423931) + (xy 98.526056 90.412043) + (xy 98.526058 90.412043) + (xy 98.500187 90.407945) + (xy 98.488721 90.405192) + (xy 98.463813 90.3971) + (xy 98.437625 90.3971) + (xy 98.425861 90.396174) + (xy 98.4 90.392078) + (xy 98.374139 90.396174) + (xy 98.362375 90.3971) + (xy 98.336182 90.3971) + (xy 98.311281 90.405191) + (xy 98.299813 90.407945) + (xy 98.273943 90.412043) + (xy 98.250606 90.423933) + (xy 98.239712 90.428445) + (xy 98.21481 90.436537) + (xy 98.214801 90.436541) + (xy 98.193621 90.451929) + (xy 98.183568 90.45809) + (xy 98.16023 90.469983) + (xy 98.160227 90.469985) + (xy 98.141707 90.488505) + (xy 98.132737 90.496166) + (xy 98.111555 90.511555) + (xy 98.096166 90.532737) + (xy 98.088505 90.541707) + (xy 98.069985 90.560227) + (xy 98.069983 90.56023) + (xy 98.05809 90.583568) + (xy 98.051929 90.593621) + (xy 98.036541 90.614801) + (xy 98.036537 90.61481) + (xy 98.028445 90.639712) + (xy 98.023933 90.650606) + (xy 98.012043 90.673943) + (xy 98.007945 90.699813) + (xy 98.005191 90.711281) + (xy 97.9971 90.736182) + (xy 97.9971 90.762374) + (xy 97.996174 90.774138) + (xy 97.992078 90.8) + (xy 97.99587 90.823943) + (xy 97.996174 90.825859) + (xy 97.9971 90.837624) + (xy 97.9971 90.863813) + (xy 98.005192 90.888721) + (xy 98.007945 90.900187) + (xy 98.012043 90.926057) + (xy 98.023931 90.949388) + (xy 98.028444 90.960283) + (xy 98.036539 90.985193) + (xy 98.03654 90.985194) + (xy 98.036541 90.985196) + (xy 98.051928 91.006375) + (xy 98.058091 91.016432) + (xy 98.059974 91.020125) + (xy 98.069985 91.039773) + (xy 98.073464 91.044561) + (xy 98.070966 91.046375) + (xy 98.087795 91.082463) + (xy 98.074481 91.13215) + (xy 98.066055 91.142191) + (xy 98.03601 91.172235) + (xy 98.036008 91.172238) + (xy 98.036008 91.172239) + (xy 98.022527 91.199816) + (xy 97.982449 91.281797) + (xy 97.9721 91.352817) + (xy 97.9721 91.947182) + (xy 97.979797 92) + (xy 97.982449 92.018203) + (xy 98.014534 92.083835) + (xy 98.019958 92.134986) + (xy 97.991233 92.177658) + (xy 97.981116 92.183864) + (xy 97.910231 92.219982) + (xy 97.910228 92.219984) + (xy 97.819984 92.310228) + (xy 97.762043 92.423943) + (xy 97.743955 92.538152) + (xy 97.742078 92.55) + (xy 97.744681 92.56644) + (xy 97.746174 92.575864) + (xy 97.7471 92.587627) + (xy 97.7471 92.606132) + (xy 97.745103 92.623346) + (xy 97.742182 92.635766) + (xy 97.742182 92.63577) + (xy 97.746379 92.665855) + (xy 97.7471 92.676245) + (xy 97.7471 92.783842) + (xy 97.729507 92.83218) + (xy 97.719606 92.841973) + (xy 97.7 92.858062) + (xy 97.7 94.616935) + (xy 97.719607 94.633027) + (xy 97.745811 94.677293) + (xy 97.7471 94.691157) + (xy 97.7471 94.738323) + (xy 97.745124 94.75044) + (xy 97.74577 94.750531) + (xy 97.744807 94.757426) + (xy 97.74702 94.805271) + (xy 97.7471 94.808744) + (xy 97.7471 94.828075) + (xy 97.747435 94.829864) + (xy 97.748633 94.840193) + (xy 97.748938 94.846775) + (xy 97.748093 94.862019) + (xy 97.742078 94.899998) + (xy 97.742078 94.900001) + (xy 97.747535 94.934457) + (xy 97.73772 94.984951) + (xy 97.705042 95.014374) + (xy 97.680946 95.02561) + (xy 97.680939 95.025614) + (xy 97.636021 95.057066) + (xy 97.602796 95.090291) + (xy 97.583761 95.10412) + (xy 97.53651 95.128195) + (xy 97.514135 95.135465) + (xy 97.461763 95.14376) + (xy 97.438237 95.14376) + (xy 97.412049 95.139612) + (xy 97.385863 95.135465) + (xy 97.363487 95.128195) + (xy 97.316235 95.104119) + (xy 97.297201 95.090289) + (xy 97.25971 95.052798) + (xy 97.24588 95.033764) + (xy 97.210523 94.964372) + (xy 97.204455 94.955376) + (xy 97.189001 94.932465) + (xy 97.188998 94.932462) + (xy 97.165638 94.905589) + (xy 97.147203 94.857566) + (xy 97.163951 94.808929) + (xy 97.169203 94.803095) + (xy 97.205567 94.766731) + (xy 97.208385 94.764057) + (xy 97.238494 94.736949) + (xy 97.248496 94.71448) + (xy 97.25413 94.704107) + (xy 97.267516 94.683496) + (xy 97.268851 94.675061) + (xy 97.274424 94.656249) + (xy 97.2779 94.648442) + (xy 97.2779 94.648438) + (xy 97.278205 94.647754) + (xy 97.313939 94.610752) + (xy 97.365097 94.605376) + (xy 97.379307 94.611666) + (xy 97.379429 94.611372) + (xy 97.386268 94.614204) + (xy 97.4 94.616935) + (xy 97.4 92.858062) + (xy 97.386274 92.860793) + (xy 97.386271 92.860794) + (xy 97.342228 92.890223) + (xy 97.292262 92.902449) + (xy 97.258673 92.890223) + (xy 97.213924 92.860324) + (xy 97.213919 92.860322) + (xy 97.147448 92.8471) + (xy 96.952552 92.8471) + (xy 96.952549 92.847101) + (xy 96.90846 92.85587) + (xy 96.857619 92.848044) + (xy 96.823703 92.809369) + (xy 96.822582 92.757941) + (xy 96.826785 92.74798) + (xy 96.837957 92.726055) + (xy 96.857922 92.6) + (xy 96.856338 92.590002) + (xy 96.851606 92.560123) + (xy 96.837957 92.473945) + (xy 96.793522 92.386737) + (xy 96.787254 92.335683) + (xy 96.81527 92.292542) + (xy 96.860527 92.277399) + (xy 96.9 92.277399) + (xy 96.9 91.8) + (xy 97.2 91.8) + (xy 97.2 92.277399) + (xy 97.29713 92.277399) + (xy 97.297142 92.277398) + (xy 97.368059 92.267065) + (xy 97.477469 92.213578) + (xy 97.563578 92.127469) + (xy 97.617065 92.018058) + (xy 97.627399 91.947141) + (xy 97.6274 91.947131) + (xy 97.6274 91.8) + (xy 97.2 91.8) + (xy 96.9 91.8) + (xy 96.472601 91.8) + (xy 96.472601 91.947142) + (xy 96.482934 92.01806) + (xy 96.515097 92.083851) + (xy 96.520521 92.135004) + (xy 96.491795 92.177676) + (xy 96.455578 92.189461) + (xy 96.455846 92.191152) + (xy 96.323943 92.212043) + (xy 96.210228 92.269984) + (xy 96.119984 92.360228) + (xy 96.062043 92.473943) + (xy 96.042078 92.599999) + (xy 96.042078 92.6) + (xy 96.062043 92.726057) + (xy 96.068006 92.737759) + (xy 96.074276 92.788815) + (xy 96.04626 92.831957) + (xy 96.001004 92.8471) + (xy 95.952553 92.8471) + (xy 95.952549 92.847101) + (xy 95.90846 92.85587) + (xy 95.857619 92.848044) + (xy 95.823703 92.809369) + (xy 95.822582 92.757941) + (xy 95.826785 92.74798) + (xy 95.837957 92.726055) + (xy 95.857922 92.6) + (xy 95.856338 92.590002) + (xy 95.851606 92.560123) + (xy 95.837957 92.473945) + (xy 95.780016 92.360229) + (xy 95.689771 92.269984) + (xy 95.576055 92.212043) + (xy 95.576057 92.212043) + (xy 95.45 92.192078) + (xy 95.323943 92.212043) + (xy 95.210228 92.269984) + (xy 95.119984 92.360228) + (xy 95.062043 92.473943) + (xy 95.042078 92.599999) + (xy 95.042078 92.6) + (xy 95.062043 92.726057) + (xy 95.068006 92.737759) + (xy 95.074276 92.788815) + (xy 95.04626 92.831957) + (xy 95.001004 92.8471) + (xy 94.952553 92.8471) + (xy 94.952551 92.847101) + (xy 94.886076 92.860323) + (xy 94.886074 92.860324) + (xy 94.841776 92.889922) + (xy 94.791811 92.902148) + (xy 94.758221 92.889922) + (xy 94.713922 92.860323) + (xy 94.713919 92.860322) + (xy 94.647448 92.8471) + (xy 94.452552 92.8471) + (xy 94.452551 92.847101) + (xy 94.386073 92.860324) + (xy 94.381869 92.862065) + (xy 94.330478 92.864303) + (xy 94.289672 92.832983) + (xy 94.2779 92.792586) + (xy 94.2779 92.613846) + (xy 94.286098 92.579703) + (xy 94.287957 92.576055) + (xy 94.307922 92.45) + (xy 94.307505 92.44737) + (xy 94.299939 92.3996) + (xy 94.287957 92.323945) + (xy 94.230016 92.210229) + (xy 94.139771 92.119984) + (xy 94.026055 92.062043) + (xy 94.026057 92.062043) + (xy 93.9 92.042078) + (xy 93.773943 92.062043) + (xy 93.660228 92.119984) + (xy 93.569984 92.210228) + (xy 93.569982 92.210231) + (xy 93.519945 92.308434) + (xy 93.482325 92.343515) + (xy 93.430955 92.346207) + (xy 93.399768 92.327467) + (xy 93.329469 92.257168) + (xy 93.307729 92.210548) + (xy 93.315639 92.169854) + (xy 93.337957 92.126055) + (xy 93.357922 92) + (xy 93.357795 91.999201) + (xy 93.349767 91.94851) + (xy 93.337957 91.873945) + (xy 93.280016 91.760229) + (xy 93.189771 91.669984) + (xy 93.076055 91.612043) + (xy 93.076057 91.612043) + (xy 92.95 91.592078) + (xy 92.823942 91.612043) + (xy 92.82394 91.612044) + (xy 92.787239 91.630744) + (xy 92.736182 91.637012) + (xy 92.693042 91.608996) + (xy 92.6779 91.56374) + (xy 92.6779 91.5) + (xy 96.4726 91.5) + (xy 96.9 91.5) + (xy 96.9 91.0226) + (xy 97.2 91.0226) + (xy 97.2 91.5) + (xy 97.627399 91.5) + (xy 97.627399 91.352869) + (xy 97.627398 91.352857) + (xy 97.617065 91.28194) + (xy 97.563578 91.17253) + (xy 97.477469 91.086421) + (xy 97.368058 91.032934) + (xy 97.368059 91.032934) + (xy 97.297141 91.0226) + (xy 97.2 91.0226) + (xy 96.9 91.0226) + (xy 96.80287 91.0226) + (xy 96.802856 91.022601) + (xy 96.73194 91.032934) + (xy 96.62253 91.086421) + (xy 96.536421 91.17253) + (xy 96.482934 91.281941) + (xy 96.4726 91.352858) + (xy 96.4726 91.5) + (xy 92.6779 91.5) + (xy 92.6779 90.307928) + (xy 92.678003 90.303991) + (xy 92.680123 90.263552) + (xy 92.671309 90.240594) + (xy 92.66796 90.229288) + (xy 92.662848 90.205234) + (xy 92.65783 90.198327) + (xy 92.648463 90.181075) + (xy 92.645403 90.173104) + (xy 92.645402 90.173102) + (xy 92.628018 90.155718) + (xy 92.620355 90.146747) + (xy 92.605901 90.126854) + (xy 92.604715 90.126169) + (xy 92.598503 90.122582) + (xy 92.582933 90.110634) + (xy 92.327675 89.855376) + (xy 113.792199 89.855376) + (xy 113.792369 89.866546) + (xy 113.792304 89.867121) + (xy 113.792304 89.867125) + (xy 113.802825 89.922735) + (xy 113.80337 89.926011) + (xy 113.810975 89.978905) + (xy 113.810977 89.97891) + (xy 113.811417 89.979873) + (xy 113.816903 89.997133) + (xy 113.817686 90.001273) + (xy 113.817688 90.001277) + (xy 113.842458 90.048147) + (xy 113.844376 90.052043) + (xy 113.865079 90.097374) + (xy 113.86508 90.097375) + (xy 113.868157 90.100927) + (xy 113.877809 90.115031) + (xy 113.881482 90.121981) + (xy 113.905699 90.146197) + (xy 113.92744 90.192817) + (xy 113.914127 90.242504) + (xy 113.9057 90.252546) + (xy 113.88601 90.272235) + (xy 113.886008 90.272238) + (xy 113.886008 90.272239) + (xy 113.854182 90.33734) + (xy 113.832449 90.381797) + (xy 113.8221 90.452817) + (xy 113.8221 91.047182) + (xy 113.829185 91.095801) + (xy 113.832449 91.118203) + (xy 113.886008 91.227761) + (xy 113.905699 91.247452) + (xy 113.92744 91.294071) + (xy 113.914127 91.343759) + (xy 113.907552 91.351596) + (xy 113.907583 91.351623) + (xy 113.90575 91.353751) + (xy 113.897859 91.364443) + (xy 113.894192 91.369025) + (xy 113.865078 91.402627) + (xy 113.865077 91.402628) + (xy 113.860859 91.411863) + (xy 113.852967 91.425268) + (xy 113.84506 91.435982) + (xy 113.83052 91.477532) + (xy 113.827947 91.483929) + (xy 113.810976 91.521093) + (xy 113.809015 91.534732) + (xy 113.805562 91.548862) + (xy 113.799967 91.564852) + (xy 113.798456 91.605233) + (xy 113.797744 91.61312) + (xy 113.792443 91.649998) + (xy 113.792443 91.650004) + (xy 113.794919 91.667233) + (xy 113.795632 91.680738) + (xy 113.794864 91.701282) + (xy 113.794864 91.701285) + (xy 113.80439 91.73684) + (xy 113.806186 91.745596) + (xy 113.810976 91.778909) + (xy 113.810977 91.778911) + (xy 113.819711 91.798036) + (xy 113.823942 91.809804) + (xy 113.828476 91.826724) + (xy 113.8302 91.833158) + (xy 113.847866 91.861272) + (xy 113.852597 91.870043) + (xy 113.865077 91.897371) + (xy 113.86508 91.897376) + (xy 113.881212 91.915993) + (xy 113.888048 91.925223) + (xy 113.902837 91.948758) + (xy 113.925268 91.968061) + (xy 113.933046 91.975813) + (xy 113.940091 91.983943) + (xy 113.950364 91.995799) + (xy 113.964935 92.005163) + (xy 113.974124 92.011068) + (xy 113.982514 92.017326) + (xy 114.00632 92.037813) + (xy 114.030136 92.048203) + (xy 114.040717 92.053865) + (xy 114.053443 92.062043) + (xy 114.059924 92.066208) + (xy 114.075463 92.070771) + (xy 114.090487 92.075183) + (xy 114.099371 92.07841) + (xy 114.131457 92.092409) + (xy 114.153676 92.094912) + (xy 114.166445 92.097486) + (xy 114.175893 92.10026) + (xy 114.184883 92.1029) + (xy 114.220346 92.1029) + (xy 114.228766 92.103373) + (xy 114.267125 92.107695) + (xy 114.285539 92.10421) + (xy 114.299519 92.1029) + (xy 114.315115 92.1029) + (xy 114.315117 92.1029) + (xy 114.352597 92.091894) + (xy 114.35979 92.090162) + (xy 114.401273 92.082314) + (xy 114.414643 92.075247) + (xy 114.428598 92.069577) + (xy 114.433173 92.068234) + (xy 114.440074 92.066209) + (xy 114.440076 92.066208) + (xy 114.475959 92.043146) + (xy 114.481463 92.039931) + (xy 114.521979 92.018519) + (xy 114.530103 92.010394) + (xy 114.542621 92.000306) + (xy 114.549636 91.995799) + (xy 114.579942 91.960822) + (xy 114.583573 91.956923) + (xy 114.699282 91.841214) + (xy 114.70555 91.835612) + (xy 114.735749 91.81153) + (xy 114.76865 91.763271) + (xy 114.770248 91.761018) + (xy 114.80494 91.714016) + (xy 114.804941 91.71401) + (xy 114.807573 91.709033) + (xy 114.807627 91.709061) + (xy 114.810267 91.703831) + (xy 114.810212 91.703805) + (xy 114.812657 91.698728) + (xy 114.812658 91.698726) + (xy 114.829872 91.642917) + (xy 114.830726 91.640322) + (xy 114.850032 91.585151) + (xy 114.850032 91.585143) + (xy 114.85108 91.57961) + (xy 114.851138 91.579621) + (xy 114.852119 91.573847) + (xy 114.85206 91.573838) + (xy 114.8529 91.568265) + (xy 114.8529 91.509882) + (xy 114.852953 91.50707) + (xy 114.855136 91.44872) + (xy 114.854505 91.443119) + (xy 114.854563 91.443112) + (xy 114.8529 91.430473) + (xy 114.8529 91.320001) + (xy 114.870493 91.271663) + (xy 114.874915 91.266837) + (xy 114.913992 91.227761) + (xy 114.913992 91.22776) + (xy 114.916828 91.224925) + (xy 114.963449 91.203186) + (xy 114.970002 91.2029) + (xy 115.315116 91.2029) + (xy 115.315117 91.2029) + (xy 115.343087 91.194686) + (xy 115.353051 91.192483) + (xy 115.385003 91.187668) + (xy 115.40761 91.17678) + (xy 115.419033 91.172386) + (xy 115.440076 91.166208) + (xy 115.467633 91.148498) + (xy 115.475643 91.144017) + (xy 115.50801 91.128431) + (xy 115.523743 91.113831) + (xy 115.534238 91.105694) + (xy 115.549633 91.095801) + (xy 115.549633 91.0958) + (xy 115.549636 91.095799) + (xy 115.573455 91.068308) + (xy 115.579122 91.062446) + (xy 115.608091 91.035568) + (xy 115.617011 91.020115) + (xy 115.625297 91.008478) + (xy 115.634921 90.997374) + (xy 115.651522 90.96102) + (xy 115.654789 90.954683) + (xy 115.676355 90.917332) + (xy 115.679517 90.903475) + (xy 115.680693 90.9) + (xy 122.322601 90.9) + (xy 122.322601 91.047142) + (xy 122.332934 91.118059) + (xy 122.386421 91.227469) + (xy 122.47253 91.313578) + (xy 122.581941 91.367065) + (xy 122.58194 91.367065) + (xy 122.652863 91.377399) + (xy 122.75 91.377399) + (xy 122.75 90.9) + (xy 123.05 90.9) + (xy 123.05 91.377399) + (xy 123.14713 91.377399) + (xy 123.147142 91.377398) + (xy 123.218059 91.367065) + (xy 123.327469 91.313578) + (xy 123.413578 91.227469) + (xy 123.467065 91.118058) + (xy 123.477399 91.047141) + (xy 123.4774 91.047131) + (xy 123.4774 90.9) + (xy 123.05 90.9) + (xy 122.75 90.9) + (xy 122.322601 90.9) + (xy 115.680693 90.9) + (xy 115.684425 90.888975) + (xy 115.689023 90.878909) + (xy 115.695223 90.835782) + (xy 115.69634 90.829768) + (xy 115.706735 90.784228) + (xy 115.705944 90.773677) + (xy 115.706499 90.757351) + (xy 115.707557 90.75) + (xy 115.700845 90.703326) + (xy 115.700292 90.698259) + (xy 115.700155 90.696432) + (xy 115.696533 90.648082) + (xy 115.693992 90.64161) + (xy 115.689561 90.624837) + (xy 115.689207 90.622374) + (xy 115.689023 90.621091) + (xy 115.679391 90.6) + (xy 122.3226 90.6) + (xy 122.75 90.6) + (xy 122.75 90.1226) + (xy 123.05 90.1226) + (xy 123.05 90.6) + (xy 123.477399 90.6) + (xy 123.477399 90.452869) + (xy 123.477398 90.452857) + (xy 123.467065 90.38194) + (xy 123.413578 90.27253) + (xy 123.327469 90.186421) + (xy 123.218058 90.132934) + (xy 123.218059 90.132934) + (xy 123.147141 90.1226) + (xy 123.05 90.1226) + (xy 122.75 90.1226) + (xy 122.65287 90.1226) + (xy 122.652856 90.122601) + (xy 122.58194 90.132934) + (xy 122.47253 90.186421) + (xy 122.386421 90.27253) + (xy 122.332934 90.381941) + (xy 122.3226 90.452858) + (xy 122.3226 90.6) + (xy 115.679391 90.6) + (xy 115.667929 90.574902) + (xy 115.666359 90.5712) + (xy 115.646653 90.520992) + (xy 115.644594 90.51841) + (xy 115.634981 90.502757) + (xy 115.634921 90.502626) + (xy 115.613896 90.478361) + (xy 115.599336 90.461558) + (xy 115.597376 90.4592) + (xy 115.569251 90.423933) + (xy 115.56153 90.414251) + (xy 115.561528 90.41425) + (xy 115.561527 90.414248) + (xy 115.559951 90.412785) + (xy 115.553947 90.407437) + (xy 115.5537 90.407723) + (xy 115.549638 90.404203) + (xy 115.549636 90.404201) + (xy 115.500897 90.372878) + (xy 115.499243 90.371783) + (xy 115.448726 90.337342) + (xy 115.448724 90.337341) + (xy 115.448722 90.33734) + (xy 115.447335 90.336671) + (xy 115.4416 90.334488) + (xy 115.440078 90.333793) + (xy 115.440077 90.333792) + (xy 115.440076 90.333792) + (xy 115.405893 90.323754) + (xy 115.381064 90.316464) + (xy 115.380086 90.316169) + (xy 115.318265 90.2971) + (xy 115.318264 90.2971) + (xy 114.970002 90.2971) + (xy 114.921664 90.279507) + (xy 114.916828 90.275074) + (xy 114.874926 90.233172) + (xy 114.853186 90.186552) + (xy 114.8529 90.179998) + (xy 114.8529 90.029654) + (xy 114.853373 90.021234) + (xy 114.853379 90.021174) + (xy 114.857695 89.982875) + (xy 114.846841 89.925511) + (xy 114.84637 89.922737) + (xy 114.84637 89.922735) + (xy 114.837668 89.864997) + (xy 114.837666 89.864993) + (xy 114.836006 89.859609) + (xy 114.836063 89.859591) + (xy 114.834232 89.854024) + (xy 114.834174 89.854045) + (xy 114.832313 89.848725) + (xy 114.805033 89.797111) + (xy 114.803765 89.794598) + (xy 114.778434 89.741995) + (xy 114.775257 89.737336) + (xy 114.775305 89.737302) + (xy 114.771913 89.73252) + (xy 114.771866 89.732555) + (xy 114.768522 89.728024) + (xy 114.761588 89.72109) + (xy 114.727222 89.686724) + (xy 114.725298 89.684726) + (xy 114.68557 89.64191) + (xy 114.681163 89.638396) + (xy 114.681199 89.638349) + (xy 114.671083 89.630586) + (xy 114.58359 89.543093) + (xy 114.579931 89.539164) + (xy 114.549636 89.504201) + (xy 114.509211 89.478222) + (xy 114.50523 89.475478) + (xy 114.464016 89.44506) + (xy 114.458311 89.443063) + (xy 114.442498 89.435348) + (xy 114.440081 89.433794) + (xy 114.440074 89.433791) + (xy 114.390536 89.419244) + (xy 114.386889 89.418071) + (xy 114.335154 89.399969) + (xy 114.335153 89.399968) + (xy 114.335151 89.399968) + (xy 114.33515 89.399967) + (xy 114.335147 89.399967) + (xy 114.332753 89.399878) + (xy 114.320046 89.397808) + (xy 114.315117 89.3971) + (xy 114.259882 89.3971) + (xy 114.257072 89.397047) + (xy 114.254516 89.396951) + (xy 114.198719 89.394863) + (xy 114.193118 89.395495) + (xy 114.193111 89.395436) + (xy 114.190135 89.395828) + (xy 114.190208 89.396334) + (xy 114.184889 89.397098) + (xy 114.12846 89.413666) + (xy 114.126741 89.414148) + (xy 114.066847 89.430198) + (xy 114.065374 89.43084) + (xy 114.060034 89.43372) + (xy 114.007458 89.467508) + (xy 114.006813 89.467918) + (xy 113.95124 89.502838) + (xy 113.907125 89.554099) + (xy 113.90696 89.554291) + (xy 113.863 89.605025) + (xy 113.862187 89.606319) + (xy 113.836675 89.66479) + (xy 113.836155 89.665954) + (xy 113.810976 89.72109) + (xy 113.809968 89.724525) + (xy 113.807988 89.729974) + (xy 113.807591 89.731457) + (xy 113.800854 89.791242) + (xy 113.800562 89.793521) + (xy 113.792443 89.849999) + (xy 113.792443 89.855376) + (xy 113.792199 89.855376) + (xy 92.327675 89.855376) + (xy 91.116758 88.644458) + (xy 91.114047 88.641602) + (xy 91.086949 88.611506) + (xy 91.086945 88.611503) + (xy 91.064486 88.601504) + (xy 91.054116 88.595874) + (xy 91.033496 88.582483) + (xy 91.025064 88.581148) + (xy 91.006243 88.575573) + (xy 90.998442 88.5721) + (xy 90.973848 88.5721) + (xy 90.962084 88.571174) + (xy 90.937808 88.567329) + (xy 90.937804 88.567329) + (xy 90.92956 88.569538) + (xy 90.910099 88.5721) + (xy 87.757929 88.5721) + (xy 87.753992 88.571997) + (xy 87.713551 88.569876) + (xy 87.690597 88.578688) + (xy 87.679286 88.582039) + (xy 87.655235 88.587151) + (xy 87.655229 88.587154) + (xy 87.648324 88.592171) + (xy 87.631085 88.601532) + (xy 87.623104 88.604596) + (xy 87.605712 88.621987) + (xy 87.596746 88.629645) + (xy 87.576854 88.644098) + (xy 87.576852 88.644101) + (xy 87.572583 88.651495) + (xy 87.560634 88.667065) + (xy 86.177626 90.050074) + (xy 86.131006 90.071814) + (xy 86.124452 90.0721) + (xy 75.607936 90.0721) + (xy 75.604 90.071997) + (xy 75.602192 90.071902) + (xy 75.563551 90.069876) + (xy 75.56355 90.069876) + (xy 75.540597 90.078688) + (xy 75.529286 90.082039) + (xy 75.505235 90.087151) + (xy 75.505229 90.087154) + (xy 75.498324 90.092171) + (xy 75.481085 90.101532) + (xy 75.473104 90.104596) + (xy 75.455712 90.121987) + (xy 75.446746 90.129645) + (xy 75.426854 90.144098) + (xy 75.426852 90.144101) + (xy 75.422583 90.151495) + (xy 75.410634 90.167065) + (xy 70.744456 94.833242) + (xy 70.741602 94.835952) + (xy 70.711504 94.863052) + (xy 70.701507 94.885509) + (xy 70.695877 94.895878) + (xy 70.682485 94.916501) + (xy 70.682483 94.916506) + (xy 70.681148 94.924935) + (xy 70.675574 94.943754) + (xy 70.6721 94.951557) + (xy 70.6721 94.976152) + (xy 70.671174 94.987916) + (xy 70.667329 95.012191) + (xy 70.667329 95.012194) + (xy 64.196724 95.012194) + (xy 64.205457 94.995055) + (xy 64.225422 94.869) + (xy 64.22514 94.867222) + (xy 64.21998 94.834641) + (xy 64.205457 94.742945) + (xy 64.147516 94.629229) + (xy 64.057271 94.538984) + (xy 63.943555 94.481043) + (xy 63.943557 94.481043) + (xy 63.8175 94.461078) + (xy 63.691443 94.481043) + (xy 63.577728 94.538984) + (xy 63.487484 94.629228) + (xy 63.429543 94.742943) + (xy 63.409578 94.868999) + (xy 63.409578 94.869) + (xy 63.429543 94.995057) + (xy 63.429543 94.995058) + (xy 63.462393 95.059527) + (xy 63.468663 95.110584) + (xy 63.440647 95.153725) + (xy 63.427172 95.161822) + (xy 63.326524 95.208755) + (xy 63.326518 95.20876) + (xy 63.24476 95.290518) + (xy 63.244754 95.290526) + (xy 63.195888 95.395319) + (xy 63.195886 95.395325) + (xy 63.1896 95.443081) + (xy 63.1896 95.869918) + (xy 62.5554 95.869918) + (xy 62.5554 95.587849) + (xy 62.552467 95.562567) + (xy 62.5068 95.459142) + (xy 62.506798 95.459139) + (xy 62.42686 95.379201) + (xy 62.426857 95.379199) + (xy 62.323432 95.333532) + (xy 62.29815 95.3306) + (xy 62.253 95.3306) + (xy 61.953 95.3306) + (xy 61.90785 95.3306) + (xy 61.882567 95.333532) + (xy 61.779142 95.379199) + (xy 61.779139 95.379201) + (xy 61.699201 95.459139) + (xy 61.699199 95.459142) + (xy 61.653532 95.562567) + (xy 61.6506 95.587849) + (xy 61.6506 96.308) + (xy 61.2859 96.308) + (xy 61.2859 95.587774) + (xy 61.282962 95.56245) + (xy 61.23722 95.458855) + (xy 61.237219 95.458854) + (xy 61.237217 95.458851) + (xy 61.157148 95.378782) + (xy 61.157141 95.378777) + (xy 61.105725 95.356075) + (xy 61.068613 95.320457) + (xy 61.0609 95.287283) + (xy 61.0609 95.2056) + (xy 61.078493 95.157262) + (xy 61.123042 95.131542) + (xy 61.1361 95.1304) + (xy 61.171418 95.1304) + (xy 61.17142 95.1304) + (xy 61.219176 95.124113) + (xy 61.282599 95.094538) + (xy 61.323973 95.075245) + (xy 61.323973 95.075244) + (xy 61.323977 95.075243) + (xy 61.405743 94.993477) + (xy 61.408277 94.988044) + (xy 61.43974 94.920571) + (xy 61.454613 94.888676) + (xy 61.4609 94.84092) + (xy 61.4609 94.41408) + (xy 61.454613 94.366324) + (xy 61.452196 94.36114) + (xy 61.405745 94.261526) + (xy 61.405739 94.261518) + (xy 61.323981 94.17976) + (xy 61.323973 94.179754) + (xy 61.21918 94.130888) + (xy 61.219174 94.130886) + (xy 61.183992 94.126255) + (xy 61.17142 94.1246) + (xy 60.49458 94.1246) + (xy 60.48347 94.126062) + (xy 60.446825 94.130886) + (xy 60.446819 94.130888) + (xy 60.342026 94.179754) + (xy 60.342018 94.17976) + (xy 60.26026 94.261518) + (xy 60.260254 94.261526) + (xy 60.211388 94.366319) + (xy 60.211386 94.366325) + (xy 60.207842 94.393253) + (xy 60.2051 94.41408) + (xy 60.2051 94.84092) + (xy 59.903813 94.84092) + (xy 59.893016 94.819729) + (xy 59.802771 94.729484) + (xy 59.689055 94.671543) + (xy 59.689057 94.671543) + (xy 59.563 94.651578) + (xy 59.436943 94.671543) + (xy 59.323228 94.729484) + (xy 59.232984 94.819728) + (xy 59.175043 94.933443) + (xy 59.155463 95.05707) + (xy 59.155078 95.0595) + (xy 59.158439 95.080723) + (xy 59.159174 95.085359) + (xy 59.1601 95.097124) + (xy 59.1601 95.440001) + (xy 59.153693 95.470376) + (xy 59.113037 95.562451) + (xy 59.112342 95.568445) + (xy 59.1101 95.587774) + (xy 59.1101 97.328226) + (xy 58.7459 97.328226) + (xy 58.7459 95.587774) + (xy 58.742962 95.56245) + (xy 58.702306 95.470375) + (xy 58.6959 95.440001) + (xy 58.6959 95.097124) + (xy 58.696826 95.085359) + (xy 58.697561 95.080723) + (xy 58.700922 95.0595) + (xy 58.700536 95.057066) + (xy 58.696826 95.033638) + (xy 58.6959 95.021874) + (xy 58.6959 94.83153) + (xy 58.713493 94.783192) + (xy 58.738072 94.763971) + (xy 58.770761 94.747992) + (xy 58.814646 94.704107) + (xy 58.859828 94.658926) + (xy 58.906448 94.637186) + (xy 58.913002 94.6369) + (xy 59.055312 94.6369) + (xy 59.055313 94.6369) + (xy 59.080222 94.628805) + (xy 59.091673 94.626055) + (xy 59.117555 94.621957) + (xy 59.140897 94.610063) + (xy 59.151784 94.605554) + (xy 59.176693 94.597461) + (xy 59.197878 94.582068) + (xy 59.20793 94.575907) + (xy 59.231271 94.564016) + (xy 59.321516 94.473771) + (xy 59.327701 94.467586) + (xy 59.327708 94.467576) + (xy 59.415576 94.379708) + (xy 59.415586 94.379701) + (xy 59.512014 94.283273) + (xy 59.512016 94.283271) + (xy 59.523907 94.259931) + (xy 59.530067 94.249879) + (xy 59.545462 94.228692) + (xy 59.545463 94.228689) + (xy 59.553552 94.203791) + (xy 59.558069 94.192884) + (xy 59.569957 94.169555) + (xy 59.574055 94.143674) + (xy 59.576802 94.132234) + (xy 59.5849 94.107313) + (xy 59.5849 94.081124) + (xy 59.585826 94.069359) + (xy 59.589922 94.0435) + (xy 59.589922 94.043499) + (xy 59.585826 94.017638) + (xy 59.5849 94.005874) + (xy 59.5849 93.979688) + (xy 59.584899 93.979685) + (xy 59.576807 93.95478) + (xy 59.574052 93.943309) + (xy 59.569957 93.917445) + (xy 59.558068 93.894113) + (xy 59.553553 93.883211) + (xy 59.552602 93.880285) + (xy 59.545462 93.858307) + (xy 59.545182 93.857922) + (xy 59.530067 93.837118) + (xy 59.523903 93.82706) + (xy 59.512016 93.803729) + (xy 59.493497 93.78521) + (xy 59.485837 93.776241) + (xy 59.470445 93.755055) + (xy 59.449253 93.739658) + (xy 59.440285 93.731998) + (xy 59.421771 93.713484) + (xy 59.413815 93.70943) + (xy 59.398441 93.701596) + (xy 59.38838 93.695431) + (xy 59.367195 93.680039) + (xy 59.367193 93.680038) + (xy 59.342283 93.671944) + (xy 59.331384 93.667429) + (xy 59.30806 93.655545) + (xy 59.308052 93.655542) + (xy 59.282194 93.651447) + (xy 59.270723 93.648693) + (xy 59.245814 93.6406) + (xy 59.245813 93.6406) + (xy 59.219625 93.6406) + (xy 59.207861 93.639674) + (xy 59.187322 93.636421) + (xy 59.182 93.635578) + (xy 59.176678 93.636421) + (xy 59.156139 93.639674) + (xy 59.144375 93.6406) + (xy 59.118182 93.6406) + (xy 59.093281 93.648691) + (xy 59.081812 93.651445) + (xy 59.055943 93.655543) + (xy 59.032611 93.667431) + (xy 59.021714 93.671944) + (xy 58.996812 93.680036) + (xy 58.996805 93.680039) + (xy 58.975617 93.695433) + (xy 58.96556 93.701596) + (xy 58.942226 93.713485) + (xy 58.942225 93.713486) + (xy 58.906407 93.749305) + (xy 58.859787 93.771045) + (xy 58.8101 93.757731) + (xy 58.800059 93.749305) + (xy 58.770764 93.72001) + (xy 58.770762 93.720009) + (xy 58.770761 93.720008) + (xy 58.661203 93.666449) + (xy 58.590182 93.6561) + (xy 58.590174 93.6561) + (xy 57.995826 93.6561) + (xy 57.995817 93.6561) + (xy 57.924797 93.666449) + (xy 57.815235 93.72001) + (xy 57.72901 93.806235) + (xy 57.729008 93.806238) + (xy 57.729008 93.806239) + (xy 57.722421 93.819713) + (xy 57.675449 93.915797) + (xy 57.6651 93.986817) + (xy 57.6651 94.481182) + (xy 56.591116 94.481182) + (xy 56.905558 94.16674) + (xy 56.908375 94.164066) + (xy 56.938494 94.136949) + (xy 56.941427 94.130362) + (xy 56.948492 94.114491) + (xy 56.954126 94.104114) + (xy 56.954526 94.103499) + (xy 56.967516 94.083497) + (xy 56.968407 94.077867) + (xy 56.96885 94.075072) + (xy 56.97443 94.056234) + (xy 56.974739 94.05554) + (xy 56.9779 94.048442) + (xy 56.9779 94.023846) + (xy 56.978826 94.012081) + (xy 56.978872 94.011792) + (xy 56.982671 93.987806) + (xy 56.982129 93.985784) + (xy 56.980462 93.979559) + (xy 56.9779 93.960099) + (xy 56.9779 92.884) + (xy 57.665601 92.884) + (xy 57.665601 92.981142) + (xy 57.675934 93.052059) + (xy 57.729421 93.161469) + (xy 57.81553 93.247578) + (xy 57.924941 93.301065) + (xy 57.92494 93.301065) + (xy 57.995863 93.311399) + (xy 58.143 93.311399) + (xy 58.143 92.884) + (xy 58.443 92.884) + (xy 58.443 93.311399) + (xy 58.59013 93.311399) + (xy 58.590142 93.311398) + (xy 58.661059 93.301065) + (xy 58.770469 93.247578) + (xy 58.777127 93.24092) + (xy 60.2051 93.24092) + (xy 60.205702 93.245493) + (xy 60.211386 93.288674) + (xy 60.211388 93.28868) + (xy 60.260254 93.393473) + (xy 60.26026 93.393481) + (xy 60.342018 93.475239) + (xy 60.342026 93.475245) + (xy 60.446819 93.524111) + (xy 60.446821 93.524111) + (xy 60.446824 93.524113) + (xy 60.49458 93.5304) + (xy 60.494582 93.5304) + (xy 61.171418 93.5304) + (xy 61.17142 93.5304) + (xy 61.219176 93.524113) + (xy 61.323977 93.475243) + (xy 61.405743 93.393477) + (xy 61.409033 93.386421) + (xy 61.449884 93.298819) + (xy 61.486257 93.262445) + (xy 61.518038 93.2554) + (xy 61.5884 93.2554) + (xy 61.636738 93.272993) + (xy 61.662458 93.317542) + (xy 61.6636 93.3306) + (xy 61.6636 93.36592) + (xy 61.664692 93.374213) + (xy 61.669886 93.413674) + (xy 61.669888 93.41368) + (xy 61.718754 93.518473) + (xy 61.71876 93.518481) + (xy 61.800518 93.600239) + (xy 61.800526 93.600245) + (xy 61.905319 93.649111) + (xy 61.905321 93.649111) + (xy 61.905324 93.649113) + (xy 61.95308 93.6554) + (xy 61.953082 93.6554) + (xy 62.379918 93.6554) + (xy 62.37992 93.6554) + (xy 62.427676 93.649113) + (xy 62.480076 93.624678) + (xy 62.532473 93.600245) + (xy 62.532473 93.600244) + (xy 62.532477 93.600243) + (xy 62.614243 93.518477) + (xy 62.618383 93.5096) + (xy 62.650864 93.439944) + (xy 62.663113 93.413676) + (xy 62.6694 93.36592) + (xy 62.6694 93.1775) + (xy 63.2641 93.1775) + (xy 63.2641 93.365864) + (xy 63.270376 93.413543) + (xy 63.270378 93.413549) + (xy 63.319169 93.518182) + (xy 63.319175 93.51819) + (xy 63.400809 93.599824) + (xy 63.400817 93.59983) + (xy 63.50545 93.648621) + (xy 63.505456 93.648623) + (xy 63.553136 93.6549) + (xy 63.6165 93.6549) + (xy 63.6165 93.1775) + (xy 63.9165 93.1775) + (xy 63.9165 93.6549) + (xy 63.979864 93.6549) + (xy 64.027543 93.648623) + (xy 64.027549 93.648621) + (xy 64.132182 93.59983) + (xy 64.13219 93.599824) + (xy 64.213824 93.51819) + (xy 64.21383 93.518182) + (xy 64.262621 93.413549) + (xy 64.262623 93.413543) + (xy 64.2689 93.365864) + (xy 64.2689 93.1775) + (xy 63.9165 93.1775) + (xy 63.6165 93.1775) + (xy 63.2641 93.1775) + (xy 62.6694 93.1775) + (xy 62.6694 92.8775) + (xy 63.2641 92.8775) + (xy 63.6165 92.8775) + (xy 63.6165 92.4001) + (xy 63.9165 92.4001) + (xy 63.9165 92.8775) + (xy 64.2689 92.8775) + (xy 64.2689 92.689135) + (xy 64.262623 92.641456) + (xy 64.262621 92.64145) + (xy 64.21383 92.536817) + (xy 64.213824 92.536809) + (xy 64.13219 92.455175) + (xy 64.132182 92.455169) + (xy 64.027549 92.406378) + (xy 64.027543 92.406376) + (xy 63.979864 92.4001) + (xy 63.9165 92.4001) + (xy 63.6165 92.4001) + (xy 63.553136 92.4001) + (xy 63.505456 92.406376) + (xy 63.50545 92.406378) + (xy 63.400817 92.455169) + (xy 63.400809 92.455175) + (xy 63.319175 92.536809) + (xy 63.319169 92.536817) + (xy 63.270378 92.64145) + (xy 63.270376 92.641456) + (xy 63.2641 92.689135) + (xy 63.2641 92.8775) + (xy 62.6694 92.8775) + (xy 62.6694 92.68908) + (xy 62.663113 92.641324) + (xy 62.663111 92.641319) + (xy 62.614245 92.536526) + (xy 62.614239 92.536518) + (xy 62.532481 92.45476) + (xy 62.532473 92.454754) + (xy 62.42768 92.405888) + (xy 62.427674 92.405886) + (xy 62.387736 92.400629) + (xy 62.37992 92.3996) + (xy 61.95308 92.3996) + (xy 61.945264 92.400629) + (xy 61.905325 92.405886) + (xy 61.905319 92.405888) + (xy 61.800526 92.454754) + (xy 61.800518 92.45476) + (xy 61.71876 92.536518) + (xy 61.718754 92.536526) + (xy 61.669888 92.641319) + (xy 61.669886 92.641325) + (xy 61.6636 92.689081) + (xy 61.6636 92.7244) + (xy 61.646007 92.772738) + (xy 61.601458 92.798458) + (xy 61.5884 92.7996) + (xy 61.518038 92.7996) + (xy 61.4697 92.782007) + (xy 61.449884 92.756181) + (xy 61.405745 92.661526) + (xy 61.405739 92.661518) + (xy 61.323981 92.57976) + (xy 61.323973 92.579754) + (xy 61.233827 92.537718) + (xy 61.197453 92.501344) + (xy 61.192971 92.4501) + (xy 61.198605 92.435423) + (xy 61.204454 92.423945) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240808 92.264783) + (xy 61.233253 92.217079) + (xy 61.220957 92.139445) + (xy 61.182446 92.063864) + (xy 61.176178 92.012809) + (xy 61.204194 91.969668) + (xy 61.216417 91.962169) + (xy 61.260761 91.940492) + (xy 61.346992 91.854261) + (xy 61.400551 91.744703) + (xy 61.4109 91.673674) + (xy 61.4109 91.079326) + (xy 61.400551 91.008297) + (xy 61.346992 90.898739) + (xy 61.346989 90.898736) + (xy 61.346989 90.898735) + (xy 61.260764 90.81251) + (xy 61.260762 90.812509) + (xy 61.260761 90.812508) + (xy 61.151203 90.758949) + (xy 61.080182 90.7486) + (xy 61.080174 90.7486) + (xy 60.585826 90.7486) + (xy 60.585817 90.7486) + (xy 60.514797 90.758949) + (xy 60.405235 90.81251) + (xy 60.31901 90.898735) + (xy 60.319008 90.898738) + (xy 60.319008 90.898739) + (xy 60.29607 90.945661) + (xy 60.265449 91.008297) + (xy 60.2551 91.079317) + (xy 60.2551 91.673682) + (xy 60.26356 91.731736) + (xy 60.265449 91.744703) + (xy 60.319008 91.854261) + (xy 60.319009 91.854262) + (xy 60.31901 91.854264) + (xy 60.405235 91.940489) + (xy 60.405237 91.94049) + (xy 60.405239 91.940492) + (xy 60.449575 91.962166) + (xy 60.485275 91.999201) + (xy 60.488816 92.050519) + (xy 60.483552 92.063865) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.467395 92.435424) + (xy 60.473664 92.48648) + (xy 60.445647 92.529621) + (xy 60.432173 92.537718) + (xy 60.342024 92.579755) + (xy 60.342018 92.57976) + (xy 60.26026 92.661518) + (xy 60.260254 92.661526) + (xy 60.211388 92.766319) + (xy 60.211386 92.766325) + (xy 60.206674 92.802121) + (xy 60.2051 92.81408) + (xy 60.2051 93.24092) + (xy 58.777127 93.24092) + (xy 58.856578 93.161469) + (xy 58.910065 93.052058) + (xy 58.920399 92.981141) + (xy 58.9204 92.981131) + (xy 58.9204 92.884) + (xy 58.443 92.884) + (xy 58.143 92.884) + (xy 57.665601 92.884) + (xy 56.9779 92.884) + (xy 56.9779 92.584) + (xy 57.6656 92.584) + (xy 58.143 92.584) + (xy 58.143 92.1566) + (xy 58.443 92.1566) + (xy 58.443 92.584) + (xy 58.920399 92.584) + (xy 58.920399 92.486869) + (xy 58.920398 92.486857) + (xy 58.910065 92.41594) + (xy 58.856578 92.30653) + (xy 58.770469 92.220421) + (xy 58.661058 92.166934) + (xy 58.661059 92.166934) + (xy 58.590141 92.1566) + (xy 58.443 92.1566) + (xy 58.143 92.1566) + (xy 57.99587 92.1566) + (xy 57.995857 92.156601) + (xy 57.92494 92.166934) + (xy 57.81553 92.220421) + (xy 57.729421 92.30653) + (xy 57.675934 92.415941) + (xy 57.6656 92.486858) + (xy 57.6656 92.584) + (xy 56.9779 92.584) + (xy 56.9779 91.857936) + (xy 56.978003 91.853999) + (xy 56.980123 91.813551) + (xy 56.971311 91.790595) + (xy 56.967959 91.77928) + (xy 56.964562 91.763298) + (xy 56.962848 91.755234) + (xy 56.957831 91.74833) + (xy 56.948463 91.731074) + (xy 56.945404 91.723104) + (xy 56.928007 91.705707) + (xy 56.920355 91.696749) + (xy 56.905901 91.676854) + (xy 56.9059 91.676853) + (xy 56.905899 91.676852) + (xy 56.898506 91.672584) + (xy 56.882934 91.660634) + (xy 56.7488 91.5265) + (xy 58.755601 91.5265) + (xy 58.755601 91.673642) + (xy 58.765934 91.744559) + (xy 58.819421 91.853969) + (xy 58.90553 91.940078) + (xy 59.014941 91.993565) + (xy 59.01494 91.993565) + (xy 59.085863 92.003899) + (xy 59.183 92.003899) + (xy 59.183 91.5265) + (xy 59.483 91.5265) + (xy 59.483 92.003899) + (xy 59.58013 92.003899) + (xy 59.580142 92.003898) + (xy 59.651059 91.993565) + (xy 59.760469 91.940078) + (xy 59.846578 91.853969) + (xy 59.900065 91.744558) + (xy 59.910399 91.673641) + (xy 59.9104 91.673631) + (xy 59.9104 91.5265) + (xy 59.483 91.5265) + (xy 59.183 91.5265) + (xy 58.755601 91.5265) + (xy 56.7488 91.5265) + (xy 56.722387 91.500087) + (xy 56.71712 91.494239) + (xy 56.708771 91.483929) + (xy 56.696627 91.468932) + (xy 56.696626 91.468931) + (xy 56.696625 91.46893) + (xy 56.695411 91.467948) + (xy 56.688883 91.463947) + (xy 56.686348 91.462105) + (xy 56.668732 91.454262) + (xy 56.658364 91.448632) + (xy 56.633498 91.432484) + (xy 56.633494 91.432483) + (xy 56.633059 91.432414) + (xy 56.610698 91.425149) + (xy 56.610303 91.424948) + (xy 56.610301 91.424947) + (xy 56.608323 91.424418) + (xy 56.602018 91.423588) + (xy 56.597844 91.422701) + (xy 56.597842 91.4227) + (xy 56.597839 91.4227) + (xy 56.577635 91.4227) + (xy 56.565872 91.421774) + (xy 56.537804 91.417328) + (xy 56.537801 91.417329) + (xy 56.537383 91.417441) + (xy 56.513996 91.419899) + (xy 56.513556 91.419875) + (xy 56.505747 91.421113) + (xy 56.505606 91.420226) + (xy 56.49226 91.4227) + (xy 56.3275 91.4227) + (xy 56.279162 91.405107) + (xy 56.253442 91.360558) + (xy 56.2523 91.3475) + (xy 56.2523 91.296036) + (xy 56.252299 91.296035) + (xy 56.252115 91.294071) + (xy 56.249431 91.26545) + (xy 56.235802 91.2265) + (xy 58.7556 91.2265) + (xy 59.183 91.2265) + (xy 59.183 90.7491) + (xy 59.483 90.7491) + (xy 59.483 91.2265) + (xy 59.910399 91.2265) + (xy 59.910399 91.079369) + (xy 59.910398 91.079357) + (xy 59.900065 91.00844) + (xy 59.846578 90.89903) + (xy 59.760469 90.812921) + (xy 59.651058 90.759434) + (xy 59.651059 90.759434) + (xy 59.580141 90.7491) + (xy 59.483 90.7491) + (xy 59.183 90.7491) + (xy 59.08587 90.7491) + (xy 59.085856 90.749101) + (xy 59.01494 90.759434) + (xy 58.90553 90.812921) + (xy 58.819421 90.89903) + (xy 58.765934 91.008441) + (xy 58.7556 91.079358) + (xy 58.7556 91.2265) + (xy 56.235802 91.2265) + (xy 56.206302 91.142192) + (xy 56.20434 91.136585) + (xy 56.204338 91.136582) + (xy 56.190667 91.118059) + (xy 56.174071 91.095572) + (xy 56.123266 91.026733) + (xy 56.013417 90.945661) + (xy 56.013414 90.945659) + (xy 55.915486 90.911393) + (xy 55.88455 90.900569) + (xy 55.884545 90.900568) + (xy 55.884543 90.900568) + (xy 55.853964 90.8977) + (xy 55.853956 90.8977) + (xy 55.7025 90.8977) + (xy 55.654162 90.880107) + (xy 55.628442 90.835558) + (xy 55.6273 90.8225) + (xy 55.6273 90.696432) + (xy 55.644893 90.648094) + (xy 55.689442 90.622374) + (xy 55.691626 90.622023) + (xy 55.718203 90.618151) + (xy 55.827761 90.564592) + (xy 55.913992 90.478361) + (xy 55.967551 90.368803) + (xy 55.9779 90.297774) + (xy 55.9779 89.703426) + (xy 55.967551 89.632397) + (xy 55.913992 89.522839) + (xy 55.913989 89.522836) + (xy 55.913989 89.522835) + (xy 55.827764 89.43661) + (xy 55.827762 89.436609) + (xy 55.827761 89.436608) + (xy 55.718203 89.383049) + (xy 55.647182 89.3727) + (xy 55.647174 89.3727) + (xy 55.152826 89.3727) + (xy 55.152817 89.3727) + (xy 55.081797 89.383049) + (xy 54.979356 89.433129) + (xy 54.972235 89.43661) + (xy 54.88601 89.522835) + (xy 54.832449 89.632397) + (xy 54.8221 89.703417) + (xy 54.8221 90.297782) + (xy 54.827865 90.337342) + (xy 54.832449 90.368803) + (xy 54.886008 90.478361) + (xy 54.886009 90.478362) + (xy 54.88601 90.478364) + (xy 54.972235 90.564589) + (xy 54.972236 90.564589) + (xy 54.972239 90.564592) + (xy 55.081797 90.618151) + (xy 55.10545 90.621597) + (xy 55.107143 90.621844) + (xy 55.152439 90.646223) + (xy 55.171467 90.694014) + (xy 55.1715 90.696258) + (xy 55.1715 90.8225) + (xy 55.153907 90.870838) + (xy 55.109358 90.896558) + (xy 55.0963 90.8977) + (xy 54.944836 90.8977) + (xy 54.914256 90.900568) + (xy 54.914252 90.900568) + (xy 54.91425 90.900569) + (xy 54.914247 90.900569) + (xy 54.914247 90.90057) + (xy 54.785385 90.945659) + (xy 54.785382 90.945661) + (xy 54.675533 91.026733) + (xy 54.594461 91.136582) + (xy 54.594459 91.136585) + (xy 54.54937 91.265447) + (xy 54.549368 91.265456) + (xy 54.5465 91.296035) + (xy 54.5465 92.005164) + (xy 54.54936 92.035656) + (xy 54.549369 92.03575) + (xy 54.558569 92.062043) + (xy 54.594459 92.164614) + (xy 54.594461 92.164617) + (xy 54.675533 92.274466) + (xy 54.737208 92.319984) + (xy 54.768496 92.343076) + (xy 54.785382 92.355538) + (xy 54.785385 92.35554) + (xy 54.798786 92.360229) + (xy 54.91425 92.400631) + (xy 54.944835 92.403499) + (xy 54.944837 92.4035) + (xy 54.944844 92.4035) + (xy 55.853963 92.4035) + (xy 55.853963 92.403499) + (xy 55.88455 92.400631) + (xy 56.013417 92.355539) + (xy 56.123266 92.274466) + (xy 56.204339 92.164617) + (xy 56.249431 92.03575) + (xy 56.252299 92.005163) + (xy 56.2523 92.005163) + (xy 56.2523 91.9537) + (xy 56.269893 91.905362) + (xy 56.314442 91.879642) + (xy 56.3275 91.8785) + (xy 56.425053 91.8785) + (xy 56.473391 91.896093) + (xy 56.478227 91.900526) + (xy 56.500074 91.922373) + (xy 56.521814 91.968993) + (xy 56.5221 91.975547) + (xy 56.5221 93.874452) + (xy 56.504507 93.92279) + (xy 56.500074 93.927626) + (xy 55.827026 94.600674) + (xy 55.780406 94.622414) + (xy 55.773852 94.6227) + (xy 54.699829 94.6227) + (xy 54.695892 94.622597) + (xy 54.655451 94.620476) + (xy 54.632497 94.629288) + (xy 54.621186 94.632639) + (xy 54.597135 94.637751) + (xy 54.597132 94.637753) + (xy 54.590224 94.642772) + (xy 54.572983 94.652133) + (xy 54.565007 94.655195) + (xy 54.565001 94.655199) + (xy 54.547609 94.672589) + (xy 54.538645 94.680245) + (xy 54.518754 94.694698) + (xy 54.518751 94.694701) + (xy 54.514483 94.702094) + (xy 54.502535 94.717664) + (xy 54.327448 94.89275) + (xy 54.324593 94.895459) + (xy 54.294507 94.922548) + (xy 54.294505 94.92255) + (xy 54.284506 94.94501) + (xy 54.278878 94.955376) + (xy 54.265484 94.976001) + (xy 54.265483 94.976006) + (xy 54.264148 94.984435) + (xy 54.258574 95.003254) + (xy 54.2551 95.011057) + (xy 54.2551 95.035652) + (xy 54.254174 95.047416) + (xy 54.2506 95.069984) + (xy 54.250329 95.071694) + (xy 54.252422 95.079507) + (xy 54.252538 95.079938) + (xy 54.2551 95.0994) + (xy 54.2551 95.287283) + (xy 54.237507 95.335621) + (xy 54.210275 95.356075) + (xy 54.158858 95.378777) + (xy 54.158851 95.378782) + (xy 54.078782 95.458851) + (xy 54.07878 95.458854) + (xy 54.033037 95.562451) + (xy 54.032342 95.568445) + (xy 54.0301 95.587774) + (xy 54.0301 97.328226) + (xy 53.6659 97.328226) + (xy 53.6659 95.587774) + (xy 53.662962 95.56245) + (xy 53.61722 95.458855) + (xy 53.617219 95.458854) + (xy 53.617217 95.458851) + (xy 53.537148 95.378782) + (xy 53.537141 95.378777) + (xy 53.485725 95.356075) + (xy 53.448613 95.320457) + (xy 53.4409 95.287283) + (xy 53.4409 94.1787) + (xy 53.458493 94.130362) + (xy 53.503042 94.104642) + (xy 53.5161 94.1035) + (xy 53.653963 94.1035) + (xy 53.653963 94.103499) + (xy 53.68455 94.100631) + (xy 53.813417 94.055539) + (xy 53.923266 93.974466) + (xy 54.004339 93.864617) + (xy 54.049431 93.73575) + (xy 54.052299 93.705163) + (xy 54.0523 93.705163) + (xy 54.0523 93.5006) + (xy 54.547001 93.5006) + (xy 54.547001 93.705092) + (xy 54.549865 93.735656) + (xy 54.594906 93.864376) + (xy 54.675892 93.974107) + (xy 54.785623 94.055093) + (xy 54.785622 94.055093) + (xy 54.914341 94.100132) + (xy 54.91435 94.100134) + (xy 54.944899 94.102999) + (xy 55.2494 94.102999) + (xy 55.2494 93.5006) + (xy 55.5494 93.5006) + (xy 55.5494 94.102999) + (xy 55.853893 94.102999) + (xy 55.884456 94.100134) + (xy 56.013176 94.055093) + (xy 56.122907 93.974107) + (xy 56.203893 93.864376) + (xy 56.248932 93.735658) + (xy 56.248934 93.735649) + (xy 56.251799 93.705101) + (xy 56.2518 93.7051) + (xy 56.2518 93.5006) + (xy 55.5494 93.5006) + (xy 55.2494 93.5006) + (xy 54.547001 93.5006) + (xy 54.0523 93.5006) + (xy 54.0523 93.2006) + (xy 54.547 93.2006) + (xy 55.2494 93.2006) + (xy 55.2494 92.5982) + (xy 55.5494 92.5982) + (xy 55.5494 93.2006) + (xy 56.251799 93.2006) + (xy 56.251799 92.996107) + (xy 56.248934 92.965543) + (xy 56.203893 92.836823) + (xy 56.122907 92.727092) + (xy 56.013176 92.646106) + (xy 56.013177 92.646106) + (xy 55.884458 92.601067) + (xy 55.884449 92.601065) + (xy 55.853901 92.5982) + (xy 55.5494 92.5982) + (xy 55.2494 92.5982) + (xy 54.944907 92.5982) + (xy 54.914343 92.601065) + (xy 54.785623 92.646106) + (xy 54.675892 92.727092) + (xy 54.594906 92.836823) + (xy 54.549867 92.965541) + (xy 54.549865 92.96555) + (xy 54.547 92.996098) + (xy 54.547 93.2006) + (xy 54.0523 93.2006) + (xy 54.0523 92.996036) + (xy 54.052299 92.996035) + (xy 54.051468 92.987171) + (xy 54.049431 92.96545) + (xy 54.00971 92.851932) + (xy 54.00434 92.836585) + (xy 54.004338 92.836582) + (xy 53.923266 92.726733) + (xy 53.813417 92.645661) + (xy 53.813414 92.645659) + (xy 53.699971 92.605965) + (xy 53.68455 92.600569) + (xy 53.684545 92.600568) + (xy 53.684543 92.600568) + (xy 53.653964 92.5977) + (xy 53.653956 92.5977) + (xy 52.744844 92.5977) + (xy 52.744836 92.5977) + (xy 52.714256 92.600568) + (xy 52.714252 92.600568) + (xy 52.71425 92.600569) + (xy 52.714247 92.600569) + (xy 52.714247 92.60057) + (xy 52.585385 92.645659) + (xy 52.585382 92.645661) + (xy 52.475533 92.726733) + (xy 52.394461 92.836582) + (xy 52.394459 92.836585) + (xy 52.34937 92.965447) + (xy 52.349368 92.965456) + (xy 52.3465 92.996035) + (xy 52.3465 93.0475) + (xy 52.328907 93.095838) + (xy 52.284358 93.121558) + (xy 52.2713 93.1227) + (xy 51.895145 93.1227) + (xy 51.846807 93.105107) + (xy 51.821087 93.060558) + (xy 51.820731 93.058342) + (xy 51.819888 93.052554) + (xy 51.816951 93.032397) + (xy 51.763392 92.922839) + (xy 51.763389 92.922836) + (xy 51.763389 92.922835) + (xy 51.677164 92.83661) + (xy 51.677162 92.836609) + (xy 51.677161 92.836608) + (xy 51.567603 92.783049) + (xy 51.496582 92.7727) + (xy 51.496574 92.7727) + (xy 50.902226 92.7727) + (xy 50.902217 92.7727) + (xy 50.831197 92.783049) + (xy 50.721635 92.83661) + (xy 50.63541 92.922835) + (xy 50.635408 92.922838) + (xy 50.635408 92.922839) + (xy 50.619688 92.954996) + (xy 50.581849 93.032397) + (xy 50.5715 93.103417) + (xy 50.5715 93.597782) + (xy 49.212995 93.597782) + (xy 49.212994 93.597774) + (xy 49.206751 93.5304) + (xy 49.194717 93.400531) + (xy 49.194715 93.400525) + (xy 49.194715 93.400522) + (xy 49.153424 93.2554) + (xy 49.140171 93.20882) + (xy 49.108332 93.144879) + (xy 49.051328 93.030399) + (xy 49.049562 93.028061) + (xy 49.008639 92.97387) + (xy 48.931212 92.871339) + (xy 48.931209 92.871336) + (xy 48.783912 92.737056) + (xy 48.61445 92.632129) + (xy 48.614447 92.632128) + (xy 48.614446 92.632127) + (xy 48.479125 92.579703) + (xy 48.428584 92.560123) + (xy 48.303901 92.536817) + (xy 48.23266 92.5235) + (xy 48.03334 92.5235) + (xy 47.973622 92.534663) + (xy 47.837415 92.560123) + (xy 47.651549 92.632129) + (xy 47.482087 92.737056) + (xy 47.33479 92.871336) + (xy 47.334787 92.871339) + (xy 47.214671 93.030399) + (xy 47.125832 93.208812) + (xy 47.125826 93.208828) + (xy 47.071284 93.400522) + (xy 47.071283 93.400531) + (xy 47.052892 93.598997) + (xy 47.052892 93.599002) + (xy 46.101737 93.599002) + (xy 46.10246 93.5917) + (xy 46.13392 93.4344) + (xy 46.226025 92.97387) + (xy 46.232501 92.954996) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 46.9374 92.0006) + (xy 50.572001 92.0006) + (xy 50.572001 92.097742) + (xy 50.582334 92.168659) + (xy 50.635821 92.278069) + (xy 50.72193 92.364178) + (xy 50.831341 92.417665) + (xy 50.83134 92.417665) + (xy 50.902263 92.427999) + (xy 51.0494 92.427999) + (xy 51.0494 92.0006) + (xy 51.3494 92.0006) + (xy 51.3494 92.427999) + (xy 51.49653 92.427999) + (xy 51.496542 92.427998) + (xy 51.567459 92.417665) + (xy 51.676869 92.364178) + (xy 51.762978 92.278069) + (xy 51.816465 92.168658) + (xy 51.826799 92.097741) + (xy 51.8268 92.097731) + (xy 51.8268 92.0006) + (xy 51.3494 92.0006) + (xy 51.0494 92.0006) + (xy 50.572001 92.0006) + (xy 46.9374 92.0006) + (xy 47.1374 91.8006) + (xy 52.347001 91.8006) + (xy 52.347001 92.005092) + (xy 52.349865 92.035656) + (xy 52.394906 92.164376) + (xy 52.475892 92.274107) + (xy 52.585623 92.355093) + (xy 52.585622 92.355093) + (xy 52.714341 92.400132) + (xy 52.71435 92.400134) + (xy 52.744899 92.402999) + (xy 53.0494 92.402999) + (xy 53.0494 91.8006) + (xy 53.3494 91.8006) + (xy 53.3494 92.402999) + (xy 53.653893 92.402999) + (xy 53.684456 92.400134) + (xy 53.813176 92.355093) + (xy 53.922907 92.274107) + (xy 54.003893 92.164376) + (xy 54.048932 92.035658) + (xy 54.048934 92.035649) + (xy 54.051799 92.005101) + (xy 54.0518 92.0051) + (xy 54.0518 91.8006) + (xy 53.3494 91.8006) + (xy 53.0494 91.8006) + (xy 52.347001 91.8006) + (xy 47.1374 91.8006) + (xy 47.2374 91.7006) + (xy 50.572 91.7006) + (xy 51.0494 91.7006) + (xy 51.0494 91.2732) + (xy 51.3494 91.2732) + (xy 51.3494 91.7006) + (xy 51.826799 91.7006) + (xy 51.826799 91.603469) + (xy 51.826798 91.603457) + (xy 51.816465 91.53254) + (xy 51.800851 91.5006) + (xy 52.347 91.5006) + (xy 53.0494 91.5006) + (xy 53.0494 90.8982) + (xy 53.3494 90.8982) + (xy 53.3494 91.5006) + (xy 54.051799 91.5006) + (xy 54.051799 91.296107) + (xy 54.048934 91.265543) + (xy 54.003893 91.136823) + (xy 53.922907 91.027092) + (xy 53.813176 90.946106) + (xy 53.813177 90.946106) + (xy 53.684458 90.901067) + (xy 53.684449 90.901065) + (xy 53.653901 90.8982) + (xy 53.3494 90.8982) + (xy 53.0494 90.8982) + (xy 52.744907 90.8982) + (xy 52.714343 90.901065) + (xy 52.585623 90.946106) + (xy 52.475892 91.027092) + (xy 52.394906 91.136823) + (xy 52.349867 91.265541) + (xy 52.349865 91.26555) + (xy 52.347 91.296098) + (xy 52.347 91.5006) + (xy 51.800851 91.5006) + (xy 51.762978 91.42313) + (xy 51.676869 91.337021) + (xy 51.567458 91.283534) + (xy 51.567459 91.283534) + (xy 51.496541 91.2732) + (xy 51.3494 91.2732) + (xy 51.0494 91.2732) + (xy 50.90227 91.2732) + (xy 50.902257 91.273201) + (xy 50.83134 91.283534) + (xy 50.72193 91.337021) + (xy 50.635821 91.42313) + (xy 50.582334 91.532541) + (xy 50.572 91.603458) + (xy 50.572 91.7006) + (xy 47.2374 91.7006) + (xy 48.7874 90.1506) + (xy 53.322601 90.1506) + (xy 53.322601 90.297742) + (xy 53.332934 90.368659) + (xy 53.386421 90.478069) + (xy 53.47253 90.564178) + (xy 53.581941 90.617665) + (xy 53.58194 90.617665) + (xy 53.652863 90.627999) + (xy 53.75 90.627999) + (xy 53.75 90.1506) + (xy 54.05 90.1506) + (xy 54.05 90.627999) + (xy 54.14713 90.627999) + (xy 54.147142 90.627998) + (xy 54.218059 90.617665) + (xy 54.327469 90.564178) + (xy 54.413578 90.478069) + (xy 54.467065 90.368658) + (xy 54.477399 90.297741) + (xy 54.4774 90.297731) + (xy 54.4774 90.1506) + (xy 54.05 90.1506) + (xy 53.75 90.1506) + (xy 53.322601 90.1506) + (xy 48.7874 90.1506) + (xy 49.0874 89.8506) + (xy 53.3226 89.8506) + (xy 53.75 89.8506) + (xy 53.75 89.3732) + (xy 54.05 89.3732) + (xy 54.05 89.8506) + (xy 54.477399 89.8506) + (xy 54.477399 89.703469) + (xy 54.477398 89.703457) + (xy 54.467065 89.63254) + (xy 54.413578 89.52313) + (xy 54.327469 89.437021) + (xy 54.218058 89.383534) + (xy 54.218059 89.383534) + (xy 54.147141 89.3732) + (xy 54.05 89.3732) + (xy 53.75 89.3732) + (xy 53.65287 89.3732) + (xy 53.652856 89.373201) + (xy 53.58194 89.383534) + (xy 53.47253 89.437021) + (xy 53.386421 89.52313) + (xy 53.332934 89.632541) + (xy 53.3226 89.703458) + (xy 53.3226 89.8506) + (xy 49.0874 89.8506) + (xy 54.274015 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.594379 85.421367) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.752014 84.31958) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274015 84.663985) + (xy 56.006998 82.931002) + (xy 57.720892 82.931002) + (xy 57.739283 83.129468) + (xy 57.739284 83.129477) + (xy 57.793826 83.321171) + (xy 57.793832 83.321187) + (xy 57.882671 83.4996) + (xy 58.002787 83.65866) + (xy 58.00279 83.658663) + (xy 58.150087 83.792943) + (xy 58.150089 83.792944) + (xy 58.15009 83.792945) + (xy 58.319554 83.897873) + (xy 58.505414 83.969876) + (xy 58.70134 84.0065) + (xy 58.701345 84.0065) + (xy 58.900655 84.0065) + (xy 58.90066 84.0065) + (xy 59.096586 83.969876) + (xy 59.282446 83.897873) + (xy 59.45191 83.792945) + (xy 59.599209 83.658664) + (xy 59.719326 83.499604) + (xy 59.808171 83.32118) + (xy 59.856688 83.15066) + (xy 59.862715 83.129477) + (xy 59.862715 83.129475) + (xy 59.862717 83.129469) + (xy 59.881108 82.931) + (xy 59.862717 82.732531) + (xy 59.862715 82.732525) + (xy 59.862715 82.732522) + (xy 59.808173 82.540828) + (xy 59.808171 82.54082) + (xy 59.753212 82.430448) + (xy 59.727091 82.37799) + (xy 139.631845 82.37799) + (xy 139.634286 82.423002) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.025631 83.129477) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.289715 82.423002) + (xy 141.921892 82.423002) + (xy 141.940283 82.621468) + (xy 141.940284 82.621477) + (xy 141.994826 82.813171) + (xy 141.994832 82.813187) + (xy 142.083671 82.9916) + (xy 142.203787 83.15066) + (xy 142.20379 83.150663) + (xy 142.351087 83.284943) + (xy 142.351089 83.284944) + (xy 142.35109 83.284945) + (xy 142.520554 83.389873) + (xy 142.706414 83.461876) + (xy 142.90234 83.4985) + (xy 142.902345 83.4985) + (xy 143.101655 83.4985) + (xy 143.10166 83.4985) + (xy 143.297586 83.461876) + (xy 143.483446 83.389873) + (xy 143.65291 83.284945) + (xy 143.800209 83.150664) + (xy 143.920326 82.991604) + (xy 144.009171 82.81318) + (xy 144.063717 82.621469) + (xy 144.082108 82.423) + (xy 144.063717 82.224531) + (xy 144.063715 82.224525) + (xy 144.063715 82.224522) + (xy 144.009173 82.032828) + (xy 144.009171 82.03282) + (xy 143.971139 81.956442) + (xy 143.920328 81.854399) + (xy 143.893915 81.819423) + (xy 143.849908 81.761148) + (xy 143.800212 81.695339) + (xy 143.800209 81.695336) + (xy 143.652912 81.561056) + (xy 143.48345 81.456129) + (xy 143.483447 81.456128) + (xy 143.483446 81.456127) + (xy 143.359539 81.408125) + (xy 143.297584 81.384123) + (xy 143.194225 81.364803) + (xy 143.10166 81.3475) + (xy 142.90234 81.3475) + (xy 142.854461 81.35645) + (xy 142.706415 81.384123) + (xy 142.520549 81.456129) + (xy 142.351087 81.561056) + (xy 142.20379 81.695336) + (xy 142.203787 81.695339) + (xy 142.083671 81.854399) + (xy 141.994832 82.032812) + (xy 141.994826 82.032828) + (xy 141.940284 82.224522) + (xy 141.940283 82.224531) + (xy 141.921892 82.422997) + (xy 141.921892 82.423002) + (xy 141.289715 82.423002) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 59.727091 82.37799) + (xy 59.719328 82.362399) + (xy 59.599212 82.203339) + (xy 59.599209 82.203336) + (xy 59.451912 82.069056) + (xy 59.28245 81.964129) + (xy 59.282447 81.964128) + (xy 59.282446 81.964127) + (xy 59.158539 81.916125) + (xy 59.096584 81.892123) + (xy 58.983114 81.870913) + (xy 58.90066 81.8555) + (xy 58.70134 81.8555) + (xy 58.639629 81.867035) + (xy 58.505415 81.892123) + (xy 58.319549 81.964129) + (xy 58.150087 82.069056) + (xy 58.00279 82.203336) + (xy 58.002787 82.203339) + (xy 57.882671 82.362399) + (xy 57.793832 82.540812) + (xy 57.793826 82.540828) + (xy 57.739284 82.732522) + (xy 57.739283 82.732531) + (xy 57.720892 82.930997) + (xy 57.720892 82.931002) + (xy 56.006998 82.931002) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.68387 80.516025) + (xy 59.3017 80.39246) + (xy 59.316448 80.391) + (xy 142.994552 80.391) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 82.960591 128.945493) + (xy 82.986311 128.990042) + (xy 82.977378 129.0407) + (xy 82.965427 129.056274) + (xy 81.124456 130.897242) + (xy 81.121602 130.899952) + (xy 81.091504 130.927052) + (xy 81.081507 130.949509) + (xy 81.075877 130.959878) + (xy 81.062485 130.980501) + (xy 81.062483 130.980506) + (xy 81.061148 130.988935) + (xy 81.055574 131.007754) + (xy 81.0521 131.015557) + (xy 81.0521 131.040152) + (xy 81.051174 131.051916) + (xy 81.047329 131.076191) + (xy 81.047329 131.076194) + (xy 81.049538 131.084438) + (xy 81.0521 131.1039) + (xy 81.0521 131.3839) + (xy 81.034507 131.432238) + (xy 80.989958 131.457958) + (xy 80.9769 131.4591) + (xy 80.857197 131.4591) + (xy 80.771402 131.469403) + (xy 80.634871 131.523244) + (xy 80.63487 131.523245) + (xy 80.590725 131.55672) + (xy 80.545288 131.572) + (xy 79.474712 131.572) + (xy 79.429274 131.55672) + (xy 79.38513 131.523245) + (xy 79.385128 131.523244) + (xy 79.248597 131.469403) + (xy 79.162803 131.4591) + (xy 79.162802 131.4591) + (xy 79.0431 131.4591) + (xy 78.994762 131.441507) + (xy 78.969042 131.396958) + (xy 78.9679 131.3839) + (xy 78.9679 131.316547) + (xy 78.985493 131.268209) + (xy 78.989926 131.263373) + (xy 81.303374 128.949926) + (xy 81.349994 128.928186) + (xy 81.356548 128.9279) + (xy 82.912253 128.9279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 84.566591 129.245493) + (xy 84.592311 129.290042) + (xy 84.583378 129.3407) + (xy 84.571431 129.356269) + (xy 83.794146 130.133553) + (xy 83.664456 130.263243) + (xy 83.661602 130.265952) + (xy 83.631504 130.293052) + (xy 83.621507 130.315509) + (xy 83.615877 130.325878) + (xy 83.602485 130.346501) + (xy 83.602483 130.346506) + (xy 83.601148 130.354935) + (xy 83.595574 130.373754) + (xy 83.5921 130.381557) + (xy 83.5921 130.406152) + (xy 83.591174 130.417916) + (xy 83.587329 130.442191) + (xy 83.587329 130.442194) + (xy 83.589538 130.450438) + (xy 83.5921 130.4699) + (xy 83.5921 131.3839) + (xy 83.574507 131.432238) + (xy 83.529958 131.457958) + (xy 83.5169 131.4591) + (xy 83.397197 131.4591) + (xy 83.311402 131.469403) + (xy 83.174871 131.523244) + (xy 83.17487 131.523245) + (xy 83.130725 131.55672) + (xy 83.085288 131.572) + (xy 82.014712 131.572) + (xy 81.969274 131.55672) + (xy 81.92513 131.523245) + (xy 81.925128 131.523244) + (xy 81.788597 131.469403) + (xy 81.702803 131.4591) + (xy 81.702802 131.4591) + (xy 81.5831 131.4591) + (xy 81.534762 131.441507) + (xy 81.509042 131.396958) + (xy 81.5079 131.3839) + (xy 81.5079 131.189547) + (xy 81.525493 131.141209) + (xy 81.529926 131.136373) + (xy 83.416374 129.249926) + (xy 83.462994 129.228186) + (xy 83.469548 129.2279) + (xy 84.518253 129.2279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.100035 129.410147) + (xy 86.129538 129.452285) + (xy 86.1321 129.471746) + (xy 86.1321 131.3839) + (xy 86.114507 131.432238) + (xy 86.069958 131.457958) + (xy 86.0569 131.4591) + (xy 85.937197 131.4591) + (xy 85.851402 131.469403) + (xy 85.714871 131.523244) + (xy 85.71487 131.523245) + (xy 85.670725 131.55672) + (xy 85.625288 131.572) + (xy 84.554712 131.572) + (xy 84.509274 131.55672) + (xy 84.46513 131.523245) + (xy 84.465128 131.523244) + (xy 84.328597 131.469403) + (xy 84.242803 131.4591) + (xy 84.242802 131.4591) + (xy 84.1231 131.4591) + (xy 84.074762 131.441507) + (xy 84.049042 131.396958) + (xy 84.0479 131.3839) + (xy 84.0479 130.555547) + (xy 84.065493 130.507209) + (xy 84.069926 130.502373) + (xy 85.022373 129.549926) + (xy 85.068993 129.528186) + (xy 85.075547 129.5279) + (xy 85.792064 129.5279) + (xy 85.796 129.528003) + (xy 85.836447 129.530123) + (xy 85.836447 129.530122) + (xy 85.836448 129.530123) + (xy 85.859403 129.52131) + (xy 85.870722 129.517958) + (xy 85.894761 129.512849) + (xy 85.89476 129.512849) + (xy 85.894766 129.512848) + (xy 85.901674 129.507828) + (xy 85.918921 129.498464) + (xy 85.926896 129.495403) + (xy 85.944289 129.478009) + (xy 85.953248 129.470357) + (xy 85.973146 129.455901) + (xy 85.977415 129.448505) + (xy 85.98936 129.432937) + (xy 86.003728 129.418569) + (xy 86.050348 129.396832) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 88.640033 127.520147) + (xy 88.669538 127.562284) + (xy 88.6721 127.581747) + (xy 88.6721 131.3839) + (xy 88.654507 131.432238) + (xy 88.609958 131.457958) + (xy 88.5969 131.4591) + (xy 88.477197 131.4591) + (xy 88.391402 131.469403) + (xy 88.254871 131.523244) + (xy 88.25487 131.523245) + (xy 88.210725 131.55672) + (xy 88.165288 131.572) + (xy 87.094712 131.572) + (xy 87.049274 131.55672) + (xy 87.00513 131.523245) + (xy 87.005128 131.523244) + (xy 86.868597 131.469403) + (xy 86.782803 131.4591) + (xy 86.782802 131.4591) + (xy 86.6631 131.4591) + (xy 86.614762 131.441507) + (xy 86.589042 131.396958) + (xy 86.5879 131.3839) + (xy 86.5879 129.515547) + (xy 86.605493 129.467209) + (xy 86.609926 129.462373) + (xy 88.543726 127.528573) + (xy 88.590346 127.506833) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.916779 125.767621) + (xy 90.938541 125.782162) + (xy 90.968958 125.823645) + (xy 90.971859 125.848624) + (xy 90.969876 125.886448) + (xy 90.978688 125.909402) + (xy 90.982039 125.920715) + (xy 90.986464 125.941531) + (xy 90.987152 125.944766) + (xy 90.991505 125.950758) + (xy 90.992169 125.951671) + (xy 91.001536 125.968922) + (xy 91.004596 125.976895) + (xy 91.004597 125.976896) + (xy 91.021985 125.994284) + (xy 91.029644 126.003252) + (xy 91.044097 126.023144) + (xy 91.044099 126.023146) + (xy 91.051495 126.027416) + (xy 91.067066 126.039365) + (xy 91.190074 126.162373) + (xy 91.211814 126.208993) + (xy 91.2121 126.215547) + (xy 91.2121 131.3839) + (xy 91.194507 131.432238) + (xy 91.149958 131.457958) + (xy 91.1369 131.4591) + (xy 91.017197 131.4591) + (xy 90.931402 131.469403) + (xy 90.794871 131.523244) + (xy 90.79487 131.523245) + (xy 90.750725 131.55672) + (xy 90.705288 131.572) + (xy 89.634712 131.572) + (xy 89.589274 131.55672) + (xy 89.54513 131.523245) + (xy 89.545128 131.523244) + (xy 89.408597 131.469403) + (xy 89.322803 131.4591) + (xy 89.322802 131.4591) + (xy 89.2031 131.4591) + (xy 89.154762 131.441507) + (xy 89.129042 131.396958) + (xy 89.1279 131.3839) + (xy 89.1279 127.625546) + (xy 89.145493 127.577208) + (xy 89.149915 127.572383) + (xy 90.705547 126.01675) + (xy 90.708365 126.014075) + (xy 90.738494 125.986949) + (xy 90.748496 125.96448) + (xy 90.754123 125.954117) + (xy 90.767516 125.933497) + (xy 90.76885 125.925072) + (xy 90.77443 125.906234) + (xy 90.7779 125.898442) + (xy 90.7779 125.873846) + (xy 90.778826 125.862081) + (xy 90.77902 125.86086) + (xy 90.782671 125.837806) + (xy 90.783908 125.829998) + (xy 90.787598 125.830582) + (xy 90.798004 125.796275) + (xy 90.816381 125.778872) + (xy 90.833223 125.767618) + (xy 90.883189 125.755394) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 91.7807 126.206622) + (xy 91.796274 126.218573) + (xy 93.730074 128.152373) + (xy 93.751814 128.198993) + (xy 93.7521 128.205547) + (xy 93.7521 131.3839) + (xy 93.734507 131.432238) + (xy 93.689958 131.457958) + (xy 93.6769 131.4591) + (xy 93.557197 131.4591) + (xy 93.471402 131.469403) + (xy 93.334871 131.523244) + (xy 93.33487 131.523245) + (xy 93.290725 131.55672) + (xy 93.245288 131.572) + (xy 92.174712 131.572) + (xy 92.129274 131.55672) + (xy 92.08513 131.523245) + (xy 92.085128 131.523244) + (xy 91.948597 131.469403) + (xy 91.862803 131.4591) + (xy 91.862802 131.4591) + (xy 91.7431 131.4591) + (xy 91.694762 131.441507) + (xy 91.669042 131.396958) + (xy 91.6679 131.3839) + (xy 91.6679 126.271747) + (xy 91.685493 126.223409) + (xy 91.730042 126.197689) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 94.3207 128.296622) + (xy 94.336274 128.308573) + (xy 96.270074 130.242373) + (xy 96.291814 130.288993) + (xy 96.2921 130.295547) + (xy 96.2921 131.3839) + (xy 96.274507 131.432238) + (xy 96.229958 131.457958) + (xy 96.2169 131.4591) + (xy 96.097197 131.4591) + (xy 96.011402 131.469403) + (xy 95.874871 131.523244) + (xy 95.87487 131.523245) + (xy 95.830725 131.55672) + (xy 95.785288 131.572) + (xy 94.714712 131.572) + (xy 94.669274 131.55672) + (xy 94.62513 131.523245) + (xy 94.625128 131.523244) + (xy 94.488597 131.469403) + (xy 94.402803 131.4591) + (xy 94.402802 131.4591) + (xy 94.2831 131.4591) + (xy 94.234762 131.441507) + (xy 94.209042 131.396958) + (xy 94.2079 131.3839) + (xy 94.2079 128.361747) + (xy 94.225493 128.313409) + (xy 94.270042 128.287689) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 99.4007 127.826622) + (xy 99.416274 127.838573) + (xy 101.350074 129.772373) + (xy 101.371814 129.818993) + (xy 101.3721 129.825547) + (xy 101.3721 131.3839) + (xy 101.354507 131.432238) + (xy 101.309958 131.457958) + (xy 101.2969 131.4591) + (xy 101.177197 131.4591) + (xy 101.091402 131.469403) + (xy 100.954871 131.523244) + (xy 100.95487 131.523245) + (xy 100.910725 131.55672) + (xy 100.865288 131.572) + (xy 99.794712 131.572) + (xy 99.749274 131.55672) + (xy 99.70513 131.523245) + (xy 99.705128 131.523244) + (xy 99.568597 131.469403) + (xy 99.482803 131.4591) + (xy 99.482802 131.4591) + (xy 99.3631 131.4591) + (xy 99.314762 131.441507) + (xy 99.289042 131.396958) + (xy 99.2879 131.3839) + (xy 99.2879 127.891747) + (xy 99.305493 127.843409) + (xy 99.350042 127.817689) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 101.822791 129.045493) + (xy 101.827627 129.049926) + (xy 103.890074 131.112373) + (xy 103.911814 131.158993) + (xy 103.9121 131.165547) + (xy 103.9121 131.3839) + (xy 103.894507 131.432238) + (xy 103.849958 131.457958) + (xy 103.8369 131.4591) + (xy 103.717197 131.4591) + (xy 103.631402 131.469403) + (xy 103.494871 131.523244) + (xy 103.49487 131.523245) + (xy 103.450725 131.55672) + (xy 103.405288 131.572) + (xy 102.334712 131.572) + (xy 102.289274 131.55672) + (xy 102.24513 131.523245) + (xy 102.245128 131.523244) + (xy 102.108597 131.469403) + (xy 102.022803 131.4591) + (xy 102.022802 131.4591) + (xy 101.9031 131.4591) + (xy 101.854762 131.441507) + (xy 101.829042 131.396958) + (xy 101.8279 131.3839) + (xy 101.8279 129.707936) + (xy 101.828003 129.703999) + (xy 101.829034 129.684339) + (xy 101.830123 129.663553) + (xy 101.821308 129.640592) + (xy 101.817958 129.629277) + (xy 101.812849 129.605237) + (xy 101.812848 129.605235) + (xy 101.812848 129.605234) + (xy 101.807829 129.598327) + (xy 101.798463 129.581073) + (xy 101.795405 129.573105) + (xy 101.793655 129.571355) + (xy 101.778007 129.555707) + (xy 101.770355 129.546749) + (xy 101.755901 129.526854) + (xy 101.7559 129.526853) + (xy 101.755899 129.526852) + (xy 101.748506 129.522584) + (xy 101.732934 129.510634) + (xy 101.378574 129.156274) + (xy 101.356834 129.109654) + (xy 101.370148 129.059967) + (xy 101.412285 129.030462) + (xy 101.431748 129.0279) + (xy 101.774453 129.0279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 104.222791 128.745493) + (xy 104.227627 128.749926) + (xy 106.430074 130.952373) + (xy 106.451814 130.998993) + (xy 106.4521 131.005547) + (xy 106.4521 131.3839) + (xy 106.434507 131.432238) + (xy 106.389958 131.457958) + (xy 106.3769 131.4591) + (xy 106.257197 131.4591) + (xy 106.171402 131.469403) + (xy 106.034871 131.523244) + (xy 106.03487 131.523245) + (xy 105.990725 131.55672) + (xy 105.945288 131.572) + (xy 104.874712 131.572) + (xy 104.829274 131.55672) + (xy 104.78513 131.523245) + (xy 104.785128 131.523244) + (xy 104.648597 131.469403) + (xy 104.562803 131.4591) + (xy 104.562802 131.4591) + (xy 104.4431 131.4591) + (xy 104.394762 131.441507) + (xy 104.369042 131.396958) + (xy 104.3679 131.3839) + (xy 104.3679 131.047928) + (xy 104.368003 131.043991) + (xy 104.370123 131.003552) + (xy 104.369751 131.002584) + (xy 104.36131 130.980595) + (xy 104.35796 130.969288) + (xy 104.352848 130.945234) + (xy 104.34783 130.938327) + (xy 104.338463 130.921075) + (xy 104.335403 130.913104) + (xy 104.335402 130.913102) + (xy 104.318018 130.895718) + (xy 104.310355 130.886747) + (xy 104.295901 130.866854) + (xy 104.288503 130.862582) + (xy 104.272933 130.850634) + (xy 102.278574 128.856274) + (xy 102.256834 128.809654) + (xy 102.270148 128.759967) + (xy 102.312285 128.730462) + (xy 102.331748 128.7279) + (xy 104.174453 128.7279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 106.172791 128.445493) + (xy 106.177627 128.449926) + (xy 108.970074 131.242373) + (xy 108.991814 131.288993) + (xy 108.9921 131.295547) + (xy 108.9921 131.3839) + (xy 108.974507 131.432238) + (xy 108.929958 131.457958) + (xy 108.9169 131.4591) + (xy 108.797197 131.4591) + (xy 108.711402 131.469403) + (xy 108.574871 131.523244) + (xy 108.57487 131.523245) + (xy 108.530725 131.55672) + (xy 108.485288 131.572) + (xy 107.414712 131.572) + (xy 107.369274 131.55672) + (xy 107.32513 131.523245) + (xy 107.325128 131.523244) + (xy 107.188597 131.469403) + (xy 107.102803 131.4591) + (xy 107.102802 131.4591) + (xy 106.9831 131.4591) + (xy 106.934762 131.441507) + (xy 106.909042 131.396958) + (xy 106.9079 131.3839) + (xy 106.9079 130.887928) + (xy 106.908003 130.883991) + (xy 106.910123 130.843551) + (xy 106.90131 130.820595) + (xy 106.89796 130.809288) + (xy 106.892848 130.785234) + (xy 106.88783 130.778327) + (xy 106.878463 130.761075) + (xy 106.875403 130.753104) + (xy 106.875402 130.753102) + (xy 106.858018 130.735718) + (xy 106.850355 130.726747) + (xy 106.835901 130.706854) + (xy 106.828503 130.702582) + (xy 106.812933 130.690634) + (xy 104.678574 128.556274) + (xy 104.656834 128.509654) + (xy 104.670148 128.459967) + (xy 104.712285 128.430462) + (xy 104.731748 128.4279) + (xy 106.124453 128.4279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 108.772791 128.145493) + (xy 108.777627 128.149926) + (xy 111.510074 130.882373) + (xy 111.531814 130.928993) + (xy 111.5321 130.935547) + (xy 111.5321 131.3839) + (xy 111.514507 131.432238) + (xy 111.469958 131.457958) + (xy 111.4569 131.4591) + (xy 111.337197 131.4591) + (xy 111.251402 131.469403) + (xy 111.114871 131.523244) + (xy 111.11487 131.523245) + (xy 111.070725 131.55672) + (xy 111.025288 131.572) + (xy 109.954712 131.572) + (xy 109.909274 131.55672) + (xy 109.86513 131.523245) + (xy 109.865128 131.523244) + (xy 109.728597 131.469403) + (xy 109.642803 131.4591) + (xy 109.642802 131.4591) + (xy 109.5231 131.4591) + (xy 109.474762 131.441507) + (xy 109.449042 131.396958) + (xy 109.4479 131.3839) + (xy 109.4479 131.177928) + (xy 109.448003 131.173991) + (xy 109.449074 131.153555) + (xy 109.450123 131.133552) + (xy 109.448987 131.130594) + (xy 109.44131 131.110595) + (xy 109.43796 131.099288) + (xy 109.432848 131.075234) + (xy 109.42783 131.068327) + (xy 109.418463 131.051075) + (xy 109.415403 131.043104) + (xy 109.415402 131.043102) + (xy 109.398018 131.025718) + (xy 109.390355 131.016747) + (xy 109.375901 130.996854) + (xy 109.370532 130.993754) + (xy 109.368503 130.992582) + (xy 109.352933 130.980634) + (xy 106.628574 128.256274) + (xy 106.606834 128.209654) + (xy 106.620148 128.159967) + (xy 106.662285 128.130462) + (xy 106.681748 128.1279) + (xy 108.724453 128.1279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 110.631791 127.845493) + (xy 110.636627 127.849926) + (xy 114.050074 131.263373) + (xy 114.071814 131.309993) + (xy 114.0721 131.316547) + (xy 114.0721 131.3839) + (xy 114.054507 131.432238) + (xy 114.009958 131.457958) + (xy 113.9969 131.4591) + (xy 113.877197 131.4591) + (xy 113.791402 131.469403) + (xy 113.654871 131.523244) + (xy 113.65487 131.523245) + (xy 113.610725 131.55672) + (xy 113.565288 131.572) + (xy 112.494712 131.572) + (xy 112.449274 131.55672) + (xy 112.40513 131.523245) + (xy 112.405128 131.523244) + (xy 112.268597 131.469403) + (xy 112.182803 131.4591) + (xy 112.182802 131.4591) + (xy 112.0631 131.4591) + (xy 112.014762 131.441507) + (xy 111.989042 131.396958) + (xy 111.9879 131.3839) + (xy 111.9879 130.817928) + (xy 111.988003 130.813991) + (xy 111.990123 130.773551) + (xy 111.98131 130.750595) + (xy 111.97796 130.739288) + (xy 111.972848 130.715234) + (xy 111.96783 130.708327) + (xy 111.958463 130.691075) + (xy 111.955403 130.683104) + (xy 111.955402 130.683102) + (xy 111.938018 130.665718) + (xy 111.930355 130.656747) + (xy 111.915901 130.636854) + (xy 111.908503 130.632582) + (xy 111.892933 130.620634) + (xy 109.228574 127.956274) + (xy 109.206834 127.909654) + (xy 109.220148 127.859967) + (xy 109.262285 127.830462) + (xy 109.281748 127.8279) + (xy 110.583453 127.8279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 116.412791 131.085493) + (xy 116.417627 131.089926) + (xy 116.590074 131.262373) + (xy 116.611814 131.308993) + (xy 116.6121 131.315547) + (xy 116.6121 131.3839) + (xy 116.594507 131.432238) + (xy 116.549958 131.457958) + (xy 116.5369 131.4591) + (xy 116.417197 131.4591) + (xy 116.331402 131.469403) + (xy 116.194871 131.523244) + (xy 116.19487 131.523245) + (xy 116.150725 131.55672) + (xy 116.105288 131.572) + (xy 115.034712 131.572) + (xy 114.989274 131.55672) + (xy 114.94513 131.523245) + (xy 114.945128 131.523244) + (xy 114.808597 131.469403) + (xy 114.722803 131.4591) + (xy 114.722802 131.4591) + (xy 114.6031 131.4591) + (xy 114.554762 131.441507) + (xy 114.529042 131.396958) + (xy 114.5279 131.3839) + (xy 114.5279 131.198936) + (xy 114.528003 131.194999) + (xy 114.530537 131.146658) + (xy 114.531755 131.146721) + (xy 114.539988 131.104368) + (xy 114.579965 131.071997) + (xy 114.604447 131.0679) + (xy 116.364453 131.0679) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 118.662791 130.785493) + (xy 118.667627 130.789926) + (xy 119.130074 131.252373) + (xy 119.151814 131.298993) + (xy 119.1521 131.305547) + (xy 119.1521 131.3839) + (xy 119.134507 131.432238) + (xy 119.089958 131.457958) + (xy 119.0769 131.4591) + (xy 118.957197 131.4591) + (xy 118.871402 131.469403) + (xy 118.734871 131.523244) + (xy 118.73487 131.523245) + (xy 118.690725 131.55672) + (xy 118.645288 131.572) + (xy 117.574712 131.572) + (xy 117.529274 131.55672) + (xy 117.48513 131.523245) + (xy 117.485128 131.523244) + (xy 117.348597 131.469403) + (xy 117.262803 131.4591) + (xy 117.262802 131.4591) + (xy 117.1431 131.4591) + (xy 117.094762 131.441507) + (xy 117.069042 131.396958) + (xy 117.0679 131.3839) + (xy 117.0679 131.197936) + (xy 117.068003 131.193999) + (xy 117.070123 131.153555) + (xy 117.070122 131.153554) + (xy 117.070123 131.153552) + (xy 117.061306 131.130586) + (xy 117.05796 131.119288) + (xy 117.052848 131.095234) + (xy 117.04783 131.088327) + (xy 117.038463 131.071075) + (xy 117.037244 131.0679) + (xy 117.035403 131.063104) + (xy 117.018013 131.045714) + (xy 117.010354 131.036747) + (xy 116.995901 131.016854) + (xy 116.9959 131.016853) + (xy 116.988506 131.012584) + (xy 116.972934 131.000634) + (xy 116.868574 130.896274) + (xy 116.846834 130.849654) + (xy 116.860148 130.799967) + (xy 116.902285 130.770462) + (xy 116.921748 130.7679) + (xy 118.614453 130.7679) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 62.3802 116.236622) + (xy 62.395774 116.248573) + (xy 64.520074 118.372873) + (xy 64.541814 118.419493) + (xy 64.5421 118.426047) + (xy 64.5421 119.943562) + (xy 64.541997 119.947498) + (xy 64.539876 119.987948) + (xy 64.548688 120.010902) + (xy 64.552039 120.022215) + (xy 64.557151 120.046263) + (xy 64.557152 120.046266) + (xy 64.561816 120.052686) + (xy 64.562169 120.053171) + (xy 64.571536 120.070422) + (xy 64.574596 120.078395) + (xy 64.574597 120.078396) + (xy 64.591985 120.095784) + (xy 64.599644 120.104752) + (xy 64.614097 120.124644) + (xy 64.614099 120.124646) + (xy 64.621495 120.128916) + (xy 64.637066 120.140865) + (xy 65.555731 121.05953) + (xy 65.558442 121.062386) + (xy 65.585551 121.092494) + (xy 65.608016 121.102495) + (xy 65.618387 121.108127) + (xy 65.639002 121.121516) + (xy 65.647428 121.12285) + (xy 65.666259 121.128428) + (xy 65.674053 121.131898) + (xy 65.674055 121.131898) + (xy 65.674058 121.1319) + (xy 65.698652 121.1319) + (xy 65.710415 121.132825) + (xy 65.734694 121.136671) + (xy 65.739753 121.135315) + (xy 65.74294 121.134462) + (xy 65.762401 121.1319) + (xy 66.993953 121.1319) + (xy 67.042291 121.149493) + (xy 67.047127 121.153926) + (xy 67.41427 121.521069) + (xy 67.43601 121.567689) + (xy 67.422696 121.617376) + (xy 67.380559 121.646881) + (xy 67.329315 121.642397) + (xy 67.319966 121.637198) + (xy 67.171236 121.540027) + (xy 67.171232 121.540026) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.124515 123.276055) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.422938 123.786233) + (xy 65.422942 123.786237) + (xy 65.517673 123.873442) + (xy 65.598266 123.947633) + (xy 65.797766 124.077973) + (xy 65.797768 124.077974) + (xy 65.950799 124.1451) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.953002 124.173699) + (xy 67.171234 124.077973) + (xy 67.370734 123.947633) + (xy 67.54606 123.786235) + (xy 67.692429 123.598179) + (xy 67.715577 123.555405) + (xy 67.754055 123.521267) + (xy 67.805475 123.519849) + (xy 67.845777 123.551815) + (xy 67.856269 123.581377) + (xy 67.856968 123.586691) + (xy 67.912045 123.71966) + (xy 67.999659 123.83384) + (xy 67.999992 123.834095) + (xy 68.000128 123.834309) + (xy 68.003145 123.837326) + (xy 68.002476 123.837994) + (xy 68.02763 123.877479) + (xy 68.020916 123.928478) + (xy 67.982991 123.963231) + (xy 67.962944 123.968446) + (xy 67.835337 123.983362) + (xy 67.671509 124.042991) + (xy 67.671508 124.042991) + (xy 67.671507 124.042992) + (xy 67.525846 124.138795) + (xy 67.525845 124.138795) + (xy 67.525845 124.138796) + (xy 67.406204 124.265606) + (xy 67.319032 124.416593) + (xy 67.269031 124.583609) + (xy 67.269031 124.583611) + (xy 67.258893 124.75766) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.070159 125.45767) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.516702 125.262125) + (xy 68.562669 125.23904) + (xy 68.612722 125.250902) + (xy 68.643441 125.292163) + (xy 68.6466 125.313731) + (xy 68.6466 125.41532) + (xy 68.629007 125.463658) + (xy 68.624584 125.468483) + (xy 68.550739 125.542329) + (xy 68.484236 125.608832) + (xy 68.465203 125.62266) + (xy 68.40373 125.653982) + (xy 68.313483 125.74423) + (xy 68.255543 125.857943) + (xy 68.235578 125.983999) + (xy 68.235578 125.984) + (xy 68.255543 126.110056) + (xy 68.307561 126.212146) + (xy 68.313484 126.223771) + (xy 68.403729 126.314016) + (xy 68.517443 126.371956) + (xy 68.517445 126.371957) + (xy 68.6435 126.391922) + (xy 68.769555 126.371957) + (xy 68.883271 126.314016) + (xy 68.973516 126.223771) + (xy 69.004837 126.162296) + (xy 69.018663 126.143266) + (xy 69.258494 125.903436) + (xy 69.270532 125.893662) + (xy 69.283984 125.884874) + (xy 69.30544 125.857305) + (xy 69.311608 125.850324) + (xy 69.313851 125.848081) + (xy 69.313851 125.84808) + (xy 69.313856 125.848076) + (xy 69.326633 125.830178) + (xy 69.328443 125.82775) + (xy 69.361448 125.785347) + (xy 69.361449 125.785343) + (xy 69.364413 125.779867) + (xy 69.364677 125.780009) + (xy 69.366224 125.777003) + (xy 69.365955 125.776872) + (xy 69.36869 125.771274) + (xy 69.368695 125.771268) + (xy 69.384024 125.719776) + (xy 69.384937 125.716925) + (xy 69.4024 125.66606) + (xy 69.4024 125.666053) + (xy 69.403425 125.659915) + (xy 69.403718 125.659963) + (xy 69.404206 125.656612) + (xy 69.403911 125.656576) + (xy 69.40468 125.650395) + (xy 69.404682 125.65039) + (xy 69.402464 125.596752) + (xy 69.4024 125.593646) + (xy 69.4024 125.31341) + (xy 69.419993 125.265072) + (xy 69.464542 125.239352) + (xy 69.5152 125.248285) + (xy 69.525938 125.255804) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.102159 125.45767) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.635202 125.170441) + (xy 70.68117 125.147356) + (xy 70.731223 125.159219) + (xy 70.761941 125.200481) + (xy 70.7651 125.222048) + (xy 70.7651 126.239452) + (xy 70.747507 126.28779) + (xy 70.743074 126.292626) + (xy 70.349126 126.686574) + (xy 70.302506 126.708314) + (xy 70.295952 126.7086) + (xy 66.292547 126.7086) + (xy 66.244209 126.691007) + (xy 66.239373 126.686574) + (xy 62.3618 122.809) + (xy 63.028578 122.809) + (xy 63.048543 122.935056) + (xy 63.099588 123.035236) + (xy 63.106484 123.048771) + (xy 63.196729 123.139016) + (xy 63.310443 123.196956) + (xy 63.310445 123.196957) + (xy 63.4365 123.216922) + (xy 63.562555 123.196957) + (xy 63.676271 123.139016) + (xy 63.766516 123.048771) + (xy 63.824457 122.935055) + (xy 63.844422 122.809) + (xy 63.84371 122.804507) + (xy 63.840664 122.785276) + (xy 63.824457 122.682945) + (xy 63.766516 122.569229) + (xy 63.676271 122.478984) + (xy 63.562555 122.421043) + (xy 63.562557 122.421043) + (xy 63.4365 122.401078) + (xy 63.310443 122.421043) + (xy 63.196728 122.478984) + (xy 63.106484 122.569228) + (xy 63.048543 122.682943) + (xy 63.028578 122.808999) + (xy 63.028578 122.809) + (xy 62.3618 122.809) + (xy 62.289426 122.736626) + (xy 62.267686 122.690006) + (xy 62.2674 122.683452) + (xy 62.2674 116.301747) + (xy 62.284993 116.253409) + (xy 62.329542 116.227689) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 71.995291 120.069993) + (xy 72.000127 120.074426) + (xy 73.727574 121.801873) + (xy 73.749314 121.848493) + (xy 73.7496 121.855047) + (xy 73.7496 123.699452) + (xy 73.732007 123.74779) + (xy 73.727574 123.752626) + (xy 71.349274 126.130926) + (xy 71.302654 126.152666) + (xy 71.252967 126.139352) + (xy 71.223462 126.097215) + (xy 71.2209 126.077752) + (xy 71.2209 124.448436) + (xy 71.221003 124.444499) + (xy 71.223123 124.404055) + (xy 71.223122 124.404054) + (xy 71.223123 124.404052) + (xy 71.214306 124.381086) + (xy 71.21096 124.369788) + (xy 71.205848 124.345734) + (xy 71.20083 124.338827) + (xy 71.191456 124.321557) + (xy 71.19086 124.320003) + (xy 71.189977 124.26857) + (xy 71.222361 124.228604) + (xy 71.272859 124.218803) + (xy 71.279502 124.220168) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356572 122.019818) + (xy 70.333016 122.063345) + (xy 70.294536 122.097482) + (xy 70.243115 122.098898) + (xy 70.202815 122.066931) + (xy 70.192323 122.037362) + (xy 70.191543 122.031439) + (xy 70.139597 121.906033) + (xy 69.871632 122.173999) + (xy 69.871632 122.174001) + (xy 70.136493 122.438862) + (xy 70.158233 122.485482) + (xy 70.157493 122.504413) + (xy 70.12655 122.689842) + (xy 70.12655 122.689848) + (xy 70.12655 122.928152) + (xy 70.129818 122.947741) + (xy 70.130602 122.952435) + (xy 70.121203 123.003009) + (xy 70.081495 123.03571) + (xy 70.030057 123.035236) + (xy 70.010649 123.024471) + (xy 69.982092 123.002559) + (xy 69.93516 122.966545) + (xy 69.935161 122.966545) + (xy 69.802194 122.91147) + (xy 69.802193 122.911469) + (xy 69.802191 122.911469) + (xy 69.724883 122.901291) + (xy 69.679256 122.877538) + (xy 69.659572 122.830013) + (xy 69.6595 122.826734) + (xy 69.6595 122.79076) + (xy 69.677093 122.742422) + (xy 69.721642 122.716702) + (xy 69.724885 122.716203) + (xy 69.802065 122.706042) + (xy 69.927466 122.654098) + (xy 69.927466 122.654097) + (xy 69.681526 122.408157) + (xy 69.659786 122.361537) + (xy 69.6595 122.354983) + (xy 69.6595 121.993016) + (xy 69.677093 121.944678) + (xy 69.681526 121.939842) + (xy 69.927466 121.693901) + (xy 69.802062 121.641956) + (xy 69.724884 121.631796) + (xy 69.679257 121.608043) + (xy 69.659572 121.560519) + (xy 69.6595 121.557239) + (xy 69.6595 121.521265) + (xy 69.677093 121.472927) + (xy 69.721642 121.447207) + (xy 69.724845 121.446713) + (xy 69.802191 121.436531) + (xy 69.935159 121.381455) + (xy 70.04934 121.29384) + (xy 70.136955 121.179659) + (xy 70.192031 121.046691) + (xy 70.210817 120.904) + (xy 70.192031 120.761309) + (xy 70.191479 120.759978) + (xy 70.191441 120.759107) + (xy 70.190755 120.756544) + (xy 70.191322 120.756391) + (xy 70.18923 120.708589) + (xy 70.207774 120.678023) + (xy 70.811374 120.074424) + (xy 70.857993 120.052686) + (xy 70.864547 120.0524) + (xy 71.946953 120.0524) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 62.3802 112.680622) + (xy 62.395774 112.692573) + (xy 62.805574 113.102373) + (xy 62.827314 113.148993) + (xy 62.8276 113.155547) + (xy 62.8276 113.285452) + (xy 62.810007 113.33379) + (xy 62.805574 113.338626) + (xy 62.395774 113.748426) + (xy 62.349154 113.770166) + (xy 62.299467 113.756852) + (xy 62.269962 113.714715) + (xy 62.2674 113.695252) + (xy 62.2674 112.745747) + (xy 62.284993 112.697409) + (xy 62.329542 112.671689) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 102.919103 101.395493) + (xy 102.944823 101.440042) + (xy 102.93589 101.4907) + (xy 102.923939 101.506274) + (xy 102.869984 101.560228) + (xy 102.812043 101.673943) + (xy 102.792078 101.799999) + (xy 102.792078 101.8) + (xy 102.812043 101.926056) + (xy 102.846713 101.994099) + (xy 102.869984 102.039771) + (xy 102.960229 102.130016) + (xy 103.073943 102.187956) + (xy 103.073945 102.187957) + (xy 103.2 102.207922) + (xy 103.326055 102.187957) + (xy 103.439771 102.130016) + (xy 103.530016 102.039771) + (xy 103.587957 101.926055) + (xy 103.607922 101.8) + (xy 103.587957 101.673945) + (xy 103.530016 101.560229) + (xy 103.476061 101.506274) + (xy 103.454321 101.459654) + (xy 103.467635 101.409967) + (xy 103.509772 101.380462) + (xy 103.529235 101.3779) + (xy 104.463741 101.3779) + (xy 104.512079 101.395493) + (xy 104.537799 101.440042) + (xy 104.530745 101.48724) + (xy 104.512043 101.523943) + (xy 104.492078 101.649999) + (xy 104.492078 101.65) + (xy 104.512043 101.776056) + (xy 104.553756 101.857922) + (xy 104.569984 101.889771) + (xy 104.660229 101.980016) + (xy 104.773943 102.037956) + (xy 104.773945 102.037957) + (xy 104.9 102.057922) + (xy 105.026055 102.037957) + (xy 105.139771 101.980016) + (xy 105.230016 101.889771) + (xy 105.287957 101.776055) + (xy 105.307922 101.65) + (xy 105.307683 101.648494) + (xy 105.301105 101.606957) + (xy 105.287957 101.523945) + (xy 105.269255 101.48724) + (xy 105.262986 101.436184) + (xy 105.291002 101.393043) + (xy 105.336259 101.3779) + (xy 109.818253 101.3779) + (xy 109.866591 101.395493) + (xy 109.892311 101.440042) + (xy 109.883378 101.4907) + (xy 109.871427 101.506272) + (xy 109.638185 101.739515) + (xy 109.477626 101.900074) + (xy 109.431006 101.921814) + (xy 109.424452 101.9221) + (xy 106.107936 101.9221) + (xy 106.104 101.921997) + (xy 106.102192 101.921902) + (xy 106.063551 101.919876) + (xy 106.06355 101.919876) + (xy 106.040597 101.928688) + (xy 106.029286 101.932039) + (xy 106.005235 101.937151) + (xy 106.005229 101.937154) + (xy 105.998324 101.942171) + (xy 105.981085 101.951532) + (xy 105.973104 101.954596) + (xy 105.955712 101.971987) + (xy 105.946746 101.979645) + (xy 105.926854 101.994098) + (xy 105.926852 101.994101) + (xy 105.922583 102.001495) + (xy 105.910634 102.017065) + (xy 105.077626 102.850074) + (xy 105.031006 102.871814) + (xy 105.024452 102.8721) + (xy 103.757936 102.8721) + (xy 103.754 102.871997) + (xy 103.752192 102.871902) + (xy 103.713551 102.869876) + (xy 103.71355 102.869876) + (xy 103.690597 102.878688) + (xy 103.679286 102.882039) + (xy 103.655235 102.887151) + (xy 103.655229 102.887154) + (xy 103.648324 102.892171) + (xy 103.631085 102.901532) + (xy 103.623104 102.904596) + (xy 103.605712 102.921987) + (xy 103.596746 102.929645) + (xy 103.576852 102.9441) + (xy 103.576851 102.9441) + (xy 103.572583 102.951493) + (xy 103.560637 102.967061) + (xy 103.327626 103.200074) + (xy 103.281005 103.221814) + (xy 103.274451 103.2221) + (xy 102.075964 103.2221) + (xy 102.045317 103.212139) + (xy 102.044169 103.21472) + (xy 102.014486 103.201504) + (xy 102.004116 103.195874) + (xy 101.983496 103.182483) + (xy 101.975064 103.181148) + (xy 101.956243 103.175573) + (xy 101.948442 103.1721) + (xy 101.923848 103.1721) + (xy 101.912084 103.171174) + (xy 101.887808 103.167329) + (xy 101.887804 103.167329) + (xy 101.87956 103.169538) + (xy 101.860099 103.1721) + (xy 100.986259 103.1721) + (xy 100.937921 103.154507) + (xy 100.912201 103.109958) + (xy 100.919255 103.06276) + (xy 100.925756 103.05) + (xy 100.937957 103.026055) + (xy 100.941984 103.000624) + (xy 100.963082 102.959215) + (xy 102.522374 101.399926) + (xy 102.568994 101.378186) + (xy 102.575548 101.3779) + (xy 102.870765 101.3779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 143.0093 80.39246) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 145.03254 82.4157) + (xy 145.034 82.430448) + (xy 145.034 129.532552) + (xy 145.03254 129.5473) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.0093 131.57054) + (xy 142.994552 131.572) + (xy 137.893885 131.572) + (xy 137.848447 131.55672) + (xy 137.804886 131.523687) + (xy 137.804879 131.523683) + (xy 137.66848 131.469894) + (xy 137.58276 131.4596) + (xy 137.31 131.4596) + (xy 137.31 131.572) + (xy 137.01 131.572) + (xy 137.01 131.4596) + (xy 136.73724 131.4596) + (xy 136.651519 131.469894) + (xy 136.51512 131.523683) + (xy 136.515113 131.523687) + (xy 136.471553 131.55672) + (xy 136.426115 131.572) + (xy 135.354712 131.572) + (xy 135.309274 131.55672) + (xy 135.26513 131.523245) + (xy 135.265128 131.523244) + (xy 135.128593 131.469401) + (xy 135.048696 131.459806) + (xy 135.002801 131.436575) + (xy 134.982577 131.389277) + (xy 134.99066 131.351002) + (xy 135.007956 131.317057) + (xy 135.007956 131.317056) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 135.007528 131.064104) + (xy 134.99867 131.046718) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.24934 131.351003) + (xy 134.255609 131.402059) + (xy 134.227592 131.4452) + (xy 134.191303 131.459806) + (xy 134.111406 131.469401) + (xy 133.974871 131.523244) + (xy 133.97487 131.523245) + (xy 133.930725 131.55672) + (xy 133.885288 131.572) + (xy 132.814712 131.572) + (xy 132.769274 131.55672) + (xy 132.72513 131.523245) + (xy 132.725128 131.523244) + (xy 132.588593 131.469401) + (xy 132.508696 131.459806) + (xy 132.462801 131.436575) + (xy 132.442577 131.389277) + (xy 132.45066 131.351002) + (xy 132.467956 131.317057) + (xy 132.467956 131.317056) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.467528 131.064104) + (xy 132.45867 131.046718) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.70934 131.351003) + (xy 131.715609 131.402059) + (xy 131.687592 131.4452) + (xy 131.651303 131.459806) + (xy 131.571406 131.469401) + (xy 131.434871 131.523244) + (xy 131.43487 131.523245) + (xy 131.390725 131.55672) + (xy 131.345288 131.572) + (xy 130.274712 131.572) + (xy 130.229274 131.55672) + (xy 130.18513 131.523245) + (xy 130.185128 131.523244) + (xy 130.048597 131.469403) + (xy 129.962803 131.4591) + (xy 129.962802 131.4591) + (xy 129.117198 131.4591) + (xy 129.117197 131.4591) + (xy 129.031402 131.469403) + (xy 128.894871 131.523244) + (xy 128.89487 131.523245) + (xy 128.850725 131.55672) + (xy 128.805288 131.572) + (xy 127.734712 131.572) + (xy 127.689274 131.55672) + (xy 127.64513 131.523245) + (xy 127.645128 131.523244) + (xy 127.508597 131.469403) + (xy 127.422803 131.4591) + (xy 127.422802 131.4591) + (xy 127.3031 131.4591) + (xy 127.254762 131.441507) + (xy 127.229042 131.396958) + (xy 127.2279 131.3839) + (xy 127.2279 129.794001) + (xy 136.24006 129.794001) + (xy 136.241966 129.812138) + (xy 136.242275 129.823931) + (xy 136.241321 129.842146) + (xy 136.24132 129.842149) + (xy 136.252306 129.911509) + (xy 136.25282 129.91541) + (xy 136.260163 129.985268) + (xy 136.260164 129.985273) + (xy 136.265797 130.002611) + (xy 136.26855 130.014076) + (xy 136.271405 130.032097) + (xy 136.271406 130.032099) + (xy 136.296582 130.097688) + (xy 136.297895 130.101397) + (xy 136.317608 130.162065) + (xy 136.319593 130.168173) + (xy 136.328711 130.183965) + (xy 136.33379 130.194615) + (xy 136.340326 130.211643) + (xy 136.378582 130.270552) + (xy 136.380631 130.273895) + (xy 136.415753 130.334727) + (xy 136.415756 130.33473) + (xy 136.415757 130.334732) + (xy 136.427957 130.348282) + (xy 136.435138 130.357641) + (xy 136.44507 130.372934) + (xy 136.445072 130.372936) + (xy 136.445073 130.372937) + (xy 136.494729 130.422593) + (xy 136.497421 130.42543) + (xy 136.512282 130.441934) + (xy 136.54444 130.477649) + (xy 136.559201 130.488373) + (xy 136.568165 130.496029) + (xy 136.576147 130.504011) + (xy 136.581064 130.508928) + (xy 136.639969 130.547181) + (xy 136.643195 130.549398) + (xy 136.70003 130.590691) + (xy 136.716687 130.598107) + (xy 136.727054 130.603735) + (xy 136.735908 130.609485) + (xy 136.742357 130.613674) + (xy 136.807923 130.638842) + (xy 136.811548 130.640342) + (xy 136.875723 130.668915) + (xy 136.89356 130.672705) + (xy 136.904865 130.676053) + (xy 136.921902 130.682594) + (xy 136.921906 130.682594) + (xy 136.921907 130.682595) + (xy 136.991263 130.693579) + (xy 136.995133 130.694295) + (xy 137.06384 130.7089) + (xy 137.082076 130.7089) + (xy 137.09384 130.709825) + (xy 137.111854 130.712679) + (xy 137.181998 130.709003) + (xy 137.185933 130.7089) + (xy 137.256159 130.7089) + (xy 137.25616 130.7089) + (xy 137.274002 130.705107) + (xy 137.285692 130.703568) + (xy 137.301205 130.702755) + (xy 137.303911 130.702614) + (xy 137.371751 130.684436) + (xy 137.375557 130.683521) + (xy 137.444277 130.668915) + (xy 137.460936 130.661496) + (xy 137.472057 130.657558) + (xy 137.489677 130.652838) + (xy 137.552272 130.620943) + (xy 137.555794 130.619263) + (xy 137.61997 130.590691) + (xy 137.634729 130.579966) + (xy 137.644779 130.573807) + (xy 137.661035 130.565526) + (xy 137.715633 130.521312) + (xy 137.718701 130.518958) + (xy 137.77556 130.477649) + (xy 137.822587 130.425418) + (xy 137.82526 130.422602) + (xy 138.89483 129.353032) + (xy 138.90379 129.345378) + (xy 138.91856 129.334649) + (xy 138.965578 129.282428) + (xy 138.968251 129.279611) + (xy 138.983839 129.264025) + (xy 138.997704 129.246901) + (xy 139.000234 129.243938) + (xy 139.047247 129.191727) + (xy 139.056366 129.175931) + (xy 139.063049 129.166206) + (xy 139.074526 129.152035) + (xy 139.106424 129.089429) + (xy 139.108291 129.085994) + (xy 139.112965 129.0779) + (xy 139.13248 129.044099) + (xy 139.143405 129.025177) + (xy 139.143408 129.025169) + (xy 139.149042 129.00783) + (xy 139.153556 128.99693) + (xy 139.161838 128.980677) + (xy 139.180018 128.912824) + (xy 139.181135 128.909054) + (xy 139.202837 128.842266) + (xy 139.204742 128.82413) + (xy 139.206893 128.812526) + (xy 139.207671 128.809622) + (xy 139.211614 128.79491) + (xy 139.21529 128.724753) + (xy 139.215594 128.720884) + (xy 139.2179 128.698952) + (xy 139.2179 128.676933) + (xy 139.218003 128.672998) + (xy 139.219134 128.651407) + (xy 139.221679 128.602854) + (xy 139.218825 128.58484) + (xy 139.2179 128.573076) + (xy 139.2179 128.220923) + (xy 139.218826 128.209157) + (xy 139.219369 128.205733) + (xy 139.221679 128.191146) + (xy 139.218003 128.121) + (xy 139.2179 128.117065) + (xy 139.2179 128.09505) + (xy 139.215903 128.076056) + (xy 139.215594 128.073118) + (xy 139.215289 128.069242) + (xy 139.211614 127.99909) + (xy 139.206892 127.981472) + (xy 139.204742 127.969866) + (xy 139.202837 127.951735) + (xy 139.202836 127.951732) + (xy 139.202273 127.95) + (xy 139.181135 127.884944) + (xy 139.180016 127.881167) + (xy 139.168197 127.837058) + (xy 139.161838 127.813323) + (xy 139.157041 127.803908) + (xy 139.15042 127.785074) + (xy 139.146374 127.765604) + (xy 139.076449 127.630656) + (xy 139.076447 127.630654) + (xy 139.076447 127.630653) + (xy 138.994902 127.54334) + (xy 138.991419 127.539336) + (xy 138.983839 127.529975) + (xy 138.96826 127.514396) + (xy 138.965567 127.511558) + (xy 138.961513 127.507056) + (xy 138.946692 127.490595) + (xy 138.918559 127.459349) + (xy 138.903801 127.448628) + (xy 138.894827 127.440963) + (xy 138.408854 126.95499) + (xy 142.171845 126.95499) + (xy 142.175785 127.027659) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.31388 127.466555) + (xy 142.313881 127.466556) + (xy 142.37475 127.538217) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.830419 127.0556) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.818118 126.849999) + (xy 143.796032 126.770452) + (xy 143.774327 126.692277) + (xy 143.773654 126.691007) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.689702 126.532954) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.216858 126.201008) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.924506 126.177053) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 138.408854 126.95499) + (xy 137.825279 126.371415) + (xy 137.822568 126.368559) + (xy 137.775558 126.316348) + (xy 137.718729 126.27506) + (xy 137.715623 126.272678) + (xy 137.661035 126.228474) + (xy 137.661033 126.228473) + (xy 137.661032 126.228472) + (xy 137.644784 126.220193) + (xy 137.634725 126.214029) + (xy 137.619967 126.203307) + (xy 137.619966 126.203306) + (xy 137.555802 126.174738) + (xy 137.55225 126.173044) + (xy 137.489675 126.141161) + (xy 137.489672 126.141159) + (xy 137.472055 126.136439) + (xy 137.460933 126.132501) + (xy 137.444275 126.125084) + (xy 137.37557 126.110479) + (xy 137.371745 126.109561) + (xy 137.303911 126.091386) + (xy 137.303907 126.091385) + (xy 137.285689 126.09043) + (xy 137.273997 126.08889) + (xy 137.256166 126.0851) + (xy 137.25616 126.0851) + (xy 137.185933 126.0851) + (xy 137.181998 126.084997) + (xy 137.170433 126.08439) + (xy 137.111854 126.081321) + (xy 137.111853 126.081321) + (xy 137.111852 126.081321) + (xy 137.093841 126.084174) + (xy 137.082076 126.0851) + (xy 137.063838 126.0851) + (xy 136.99514 126.099701) + (xy 136.991271 126.100418) + (xy 136.9219 126.111405) + (xy 136.904871 126.117942) + (xy 136.893564 126.121292) + (xy 136.875723 126.125085) + (xy 136.811565 126.153648) + (xy 136.807931 126.155152) + (xy 136.742359 126.180324) + (xy 136.742355 126.180326) + (xy 136.727057 126.190261) + (xy 136.716693 126.195889) + (xy 136.702042 126.202412) + (xy 136.700035 126.203307) + (xy 136.700028 126.20331) + (xy 136.700026 126.203311) + (xy 136.643207 126.244591) + (xy 136.639966 126.246819) + (xy 136.581063 126.285073) + (xy 136.581056 126.285079) + (xy 136.568166 126.297968) + (xy 136.5592 126.305626) + (xy 136.544442 126.316349) + (xy 136.54444 126.316351) + (xy 136.497439 126.368549) + (xy 136.494731 126.371402) + (xy 136.445075 126.421059) + (xy 136.445074 126.42106) + (xy 136.435136 126.436362) + (xy 136.427959 126.445714) + (xy 136.415758 126.459265) + (xy 136.415753 126.459273) + (xy 136.380628 126.520108) + (xy 136.378572 126.523462) + (xy 136.340327 126.582354) + (xy 136.333788 126.599387) + (xy 136.328712 126.610028) + (xy 136.319594 126.625822) + (xy 136.297893 126.692609) + (xy 136.29658 126.696317) + (xy 136.271406 126.7619) + (xy 136.271405 126.761903) + (xy 136.26855 126.779924) + (xy 136.265797 126.79139) + (xy 136.260163 126.80873) + (xy 136.25282 126.878588) + (xy 136.252306 126.882489) + (xy 136.241321 126.95185) + (xy 136.241321 126.951853) + (xy 136.241321 126.951854) + (xy 136.242433 126.973078) + (xy 136.244997 127.021998) + (xy 136.2451 127.025933) + (xy 136.2451 129.742106) + (xy 136.244688 129.749966) + (xy 136.24006 129.793999) + (xy 136.24006 129.794001) + (xy 127.2279 129.794001) + (xy 127.2279 129.607928) + (xy 127.228003 129.603991) + (xy 127.22897 129.585547) + (xy 127.230123 129.563552) + (xy 127.221309 129.540594) + (xy 127.21796 129.529288) + (xy 127.212848 129.505234) + (xy 127.20783 129.498327) + (xy 127.198463 129.481075) + (xy 127.195403 129.473104) + (xy 127.195402 129.473102) + (xy 127.178018 129.455718) + (xy 127.170355 129.446747) + (xy 127.155901 129.426854) + (xy 127.15018 129.423551) + (xy 127.148503 129.422582) + (xy 127.132933 129.410634) + (xy 123.8223 126.1) + (xy 129.792329 126.1) + (xy 129.811331 126.244337) + (xy 129.811916 126.245749) + (xy 129.867043 126.378836) + (xy 129.867044 126.378838) + (xy 129.867045 126.378839) + (xy 129.955666 126.494333) + (xy 130.06008 126.574452) + (xy 130.071164 126.582957) + (xy 130.205664 126.638669) + (xy 130.35 126.657671) + (xy 130.494336 126.638669) + (xy 130.628836 126.582957) + (xy 130.744333 126.494333) + (xy 130.832957 126.378836) + (xy 130.888669 126.244336) + (xy 130.907671 126.1) + (xy 132.192329 126.1) + (xy 132.211331 126.244337) + (xy 132.211916 126.245749) + (xy 132.267043 126.378836) + (xy 132.267044 126.378838) + (xy 132.267045 126.378839) + (xy 132.355666 126.494333) + (xy 132.46008 126.574452) + (xy 132.471164 126.582957) + (xy 132.605664 126.638669) + (xy 132.75 126.657671) + (xy 132.894336 126.638669) + (xy 133.028836 126.582957) + (xy 133.144333 126.494333) + (xy 133.232957 126.378836) + (xy 133.288669 126.244336) + (xy 133.307671 126.1) + (xy 133.301088 126.05) + (xy 134.642329 126.05) + (xy 134.661331 126.194337) + (xy 134.67447 126.226056) + (xy 134.717043 126.328836) + (xy 134.717044 126.328838) + (xy 134.717045 126.328839) + (xy 134.805666 126.444333) + (xy 134.894101 126.512191) + (xy 134.921164 126.532957) + (xy 135.055664 126.588669) + (xy 135.2 126.607671) + (xy 135.344336 126.588669) + (xy 135.478836 126.532957) + (xy 135.594333 126.444333) + (xy 135.682957 126.328836) + (xy 135.738669 126.194336) + (xy 135.757671 126.05) + (xy 135.738669 125.905664) + (xy 135.682957 125.771165) + (xy 135.682051 125.769984) + (xy 135.594333 125.655666) + (xy 135.478839 125.567045) + (xy 135.478838 125.567044) + (xy 135.478836 125.567043) + (xy 135.391891 125.531029) + (xy 135.344337 125.511331) + (xy 135.2 125.492329) + (xy 135.055662 125.511331) + (xy 134.921163 125.567044) + (xy 134.921162 125.567044) + (xy 134.805666 125.655666) + (xy 134.717044 125.771162) + (xy 134.717044 125.771163) + (xy 134.717043 125.771164) + (xy 134.717043 125.771165) + (xy 134.71338 125.780009) + (xy 134.661331 125.905662) + (xy 134.642329 126.05) + (xy 133.301088 126.05) + (xy 133.288669 125.955664) + (xy 133.232957 125.821165) + (xy 133.232955 125.821162) + (xy 133.144333 125.705666) + (xy 133.028839 125.617045) + (xy 133.028838 125.617044) + (xy 133.028836 125.617043) + (xy 132.920335 125.5721) + (xy 132.894337 125.561331) + (xy 132.75 125.542329) + (xy 132.605662 125.561331) + (xy 132.508679 125.601504) + (xy 132.484533 125.611506) + (xy 132.471163 125.617044) + (xy 132.471162 125.617044) + (xy 132.355666 125.705666) + (xy 132.267044 125.821162) + (xy 132.267044 125.821163) + (xy 132.267043 125.821164) + (xy 132.267043 125.821165) + (xy 132.2633 125.830201) + (xy 132.211331 125.955662) + (xy 132.192329 126.1) + (xy 130.907671 126.1) + (xy 130.888669 125.955664) + (xy 130.832957 125.821165) + (xy 130.832955 125.821162) + (xy 130.744333 125.705666) + (xy 130.628839 125.617045) + (xy 130.628838 125.617044) + (xy 130.628836 125.617043) + (xy 130.520335 125.5721) + (xy 130.494337 125.561331) + (xy 130.35 125.542329) + (xy 130.205662 125.561331) + (xy 130.108679 125.601504) + (xy 130.084533 125.611506) + (xy 130.071163 125.617044) + (xy 130.071162 125.617044) + (xy 129.955666 125.705666) + (xy 129.867044 125.821162) + (xy 129.867044 125.821163) + (xy 129.867043 125.821164) + (xy 129.867043 125.821165) + (xy 129.8633 125.830201) + (xy 129.811331 125.955662) + (xy 129.792329 126.1) + (xy 123.8223 126.1) + (xy 122.5723 124.85) + (xy 128.592329 124.85) + (xy 128.611331 124.994336) + (xy 128.667043 125.128836) + (xy 128.667044 125.128838) + (xy 128.667045 125.128839) + (xy 128.755666 125.244333) + (xy 128.814145 125.289205) + (xy 128.871164 125.332957) + (xy 129.005664 125.388669) + (xy 129.15 125.407671) + (xy 129.294336 125.388669) + (xy 129.428836 125.332957) + (xy 129.544333 125.244333) + (xy 129.632957 125.128836) + (xy 129.688669 124.994336) + (xy 129.707671 124.85) + (xy 130.992329 124.85) + (xy 131.011331 124.994336) + (xy 131.067043 125.128836) + (xy 131.067044 125.128838) + (xy 131.067045 125.128839) + (xy 131.155666 125.244333) + (xy 131.214145 125.289205) + (xy 131.271164 125.332957) + (xy 131.405664 125.388669) + (xy 131.55 125.407671) + (xy 131.694336 125.388669) + (xy 131.828836 125.332957) + (xy 131.944333 125.244333) + (xy 132.032957 125.128836) + (xy 132.088669 124.994336) + (xy 132.101088 124.9) + (xy 133.442329 124.9) + (xy 133.454748 124.994337) + (xy 133.461331 125.044336) + (xy 133.517043 125.178836) + (xy 133.517044 125.178838) + (xy 133.517045 125.178839) + (xy 133.605666 125.294333) + (xy 133.666939 125.341349) + (xy 133.721164 125.382957) + (xy 133.855664 125.438669) + (xy 134 125.457671) + (xy 134.144336 125.438669) + (xy 134.278836 125.382957) + (xy 134.394333 125.294333) + (xy 134.482957 125.178836) + (xy 134.538669 125.044336) + (xy 134.557671 124.9) + (xy 134.538669 124.755664) + (xy 134.482957 124.621165) + (xy 134.466659 124.599925) + (xy 134.394333 124.505666) + (xy 134.278839 124.417045) + (xy 134.278838 124.417044) + (xy 134.278836 124.417043) + (xy 134.178712 124.37557) + (xy 134.144337 124.361331) + (xy 134 124.342329) + (xy 133.855662 124.361331) + (xy 133.752528 124.404052) + (xy 133.722252 124.416593) + (xy 133.721163 124.417044) + (xy 133.721162 124.417044) + (xy 133.605666 124.505666) + (xy 133.517044 124.621162) + (xy 133.517044 124.621163) + (xy 133.461331 124.755662) + (xy 133.442329 124.9) + (xy 132.101088 124.9) + (xy 132.107671 124.85) + (xy 132.088669 124.705664) + (xy 132.032957 124.571165) + (xy 132.032955 124.571162) + (xy 131.944333 124.455666) + (xy 131.828839 124.367045) + (xy 131.828838 124.367044) + (xy 131.828836 124.367043) + (xy 131.741382 124.330818) + (xy 131.694337 124.311331) + (xy 131.55 124.292329) + (xy 131.405662 124.311331) + (xy 131.271163 124.367044) + (xy 131.271162 124.367044) + (xy 131.155666 124.455666) + (xy 131.067044 124.571162) + (xy 131.067044 124.571163) + (xy 131.067043 124.571164) + (xy 131.067043 124.571165) + (xy 131.061888 124.583611) + (xy 131.011331 124.705662) + (xy 131.001908 124.777236) + (xy 130.992329 124.85) + (xy 129.707671 124.85) + (xy 129.688669 124.705664) + (xy 129.632957 124.571165) + (xy 129.632955 124.571162) + (xy 129.544333 124.455666) + (xy 129.428839 124.367045) + (xy 129.428838 124.367044) + (xy 129.428836 124.367043) + (xy 129.341382 124.330818) + (xy 129.294337 124.311331) + (xy 129.15 124.292329) + (xy 129.005662 124.311331) + (xy 128.871163 124.367044) + (xy 128.871162 124.367044) + (xy 128.755666 124.455666) + (xy 128.667044 124.571162) + (xy 128.667044 124.571163) + (xy 128.667043 124.571164) + (xy 128.667043 124.571165) + (xy 128.661888 124.583611) + (xy 128.611331 124.705662) + (xy 128.601908 124.777236) + (xy 128.592329 124.85) + (xy 122.5723 124.85) + (xy 121.916758 124.194458) + (xy 121.914047 124.191602) + (xy 121.897926 124.173698) + (xy 121.886949 124.161506) + (xy 121.886945 124.161503) + (xy 121.864486 124.151504) + (xy 121.854116 124.145874) + (xy 121.833496 124.132483) + (xy 121.825064 124.131148) + (xy 121.806243 124.125573) + (xy 121.798442 124.1221) + (xy 121.773848 124.1221) + (xy 121.762084 124.121174) + (xy 121.737808 124.117329) + (xy 121.737804 124.117329) + (xy 121.72956 124.119538) + (xy 121.710099 124.1221) + (xy 121.373036 124.1221) + (xy 121.324698 124.104507) + (xy 121.319862 124.100075) + (xy 121.29776 124.077973) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.760228 124.019984) + (xy 120.669984 124.110228) + (xy 120.612043 124.223943) + (xy 120.592078 124.349999) + (xy 120.592078 124.35) + (xy 120.612043 124.476056) + (xy 120.627131 124.505667) + (xy 120.669984 124.589771) + (xy 120.760229 124.680016) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.319862 124.599925) + (xy 121.366482 124.578186) + (xy 121.373036 124.5779) + (xy 121.624453 124.5779) + (xy 121.672791 124.595493) + (xy 121.677627 124.599926) + (xy 126.750074 129.672373) + (xy 126.771814 129.718993) + (xy 126.7721 129.725547) + (xy 126.7721 131.3839) + (xy 126.754507 131.432238) + (xy 126.709958 131.457958) + (xy 126.6969 131.4591) + (xy 126.577197 131.4591) + (xy 126.491402 131.469403) + (xy 126.354871 131.523244) + (xy 126.35487 131.523245) + (xy 126.310725 131.55672) + (xy 126.265288 131.572) + (xy 125.194712 131.572) + (xy 125.149274 131.55672) + (xy 125.10513 131.523245) + (xy 125.105128 131.523244) + (xy 124.968597 131.469403) + (xy 124.882803 131.4591) + (xy 124.882802 131.4591) + (xy 124.7631 131.4591) + (xy 124.714762 131.441507) + (xy 124.689042 131.396958) + (xy 124.6879 131.3839) + (xy 124.6879 129.467936) + (xy 124.688003 129.463999) + (xy 124.688437 129.455718) + (xy 124.690123 129.423552) + (xy 124.689751 129.422584) + (xy 124.681311 129.400595) + (xy 124.677959 129.38928) + (xy 124.672848 129.365235) + (xy 124.672848 129.365234) + (xy 124.668324 129.359008) + (xy 124.667831 129.35833) + (xy 124.658463 129.341074) + (xy 124.658025 129.339934) + (xy 124.655404 129.333104) + (xy 124.638007 129.315707) + (xy 124.630355 129.306749) + (xy 124.615901 129.286854) + (xy 124.6159 129.286853) + (xy 124.615899 129.286852) + (xy 124.608506 129.282584) + (xy 124.592934 129.270634) + (xy 120.966758 125.644458) + (xy 120.964047 125.641602) + (xy 120.936949 125.611506) + (xy 120.936945 125.611503) + (xy 120.914486 125.601504) + (xy 120.904116 125.595874) + (xy 120.883496 125.582483) + (xy 120.875064 125.581148) + (xy 120.856243 125.575573) + (xy 120.848442 125.5721) + (xy 120.823848 125.5721) + (xy 120.812084 125.571174) + (xy 120.787808 125.567329) + (xy 120.787804 125.567329) + (xy 120.77956 125.569538) + (xy 120.760099 125.5721) + (xy 116.723036 125.5721) + (xy 116.674698 125.554507) + (xy 116.669862 125.550075) + (xy 116.631118 125.511331) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.11023 125.469983) + (xy 116.030138 125.550075) + (xy 115.983518 125.571814) + (xy 115.976964 125.5721) + (xy 112.463547 125.5721) + (xy 112.415209 125.554507) + (xy 112.410373 125.550074) + (xy 111.092498 124.232199) + (xy 109.9603 123.1) + (xy 114.392078 123.1) + (xy 114.412043 123.226056) + (xy 114.449682 123.299926) + (xy 114.469984 123.339771) + (xy 114.560229 123.430016) + (xy 114.673943 123.487956) + (xy 114.673945 123.487957) + (xy 114.8 123.507922) + (xy 114.926055 123.487957) + (xy 115.039771 123.430016) + (xy 115.130016 123.339771) + (xy 115.187957 123.226055) + (xy 115.200003 123.15) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.163319 123.37669) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.900003 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.299682 123.299926) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.050003 123.15) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.863319 123.37669) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.439771 123.480016) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.607922 123.15) + (xy 121.587957 123.023945) + (xy 121.530016 122.910229) + (xy 121.439771 122.819984) + (xy 121.326055 122.762043) + (xy 121.326057 122.762043) + (xy 121.2 122.742078) + (xy 121.073943 122.762043) + (xy 120.960228 122.819984) + (xy 120.869984 122.910228) + (xy 120.812043 123.023943) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.050003 123.15) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 119.980016 122.860229) + (xy 119.889771 122.769984) + (xy 119.776055 122.712043) + (xy 119.776057 122.712043) + (xy 119.65 122.692078) + (xy 119.523943 122.712043) + (xy 119.410228 122.769984) + (xy 119.319984 122.860228) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 116.900003 123.1) + (xy 116.887957 123.023945) + (xy 116.830016 122.910229) + (xy 116.739771 122.819984) + (xy 116.626055 122.762043) + (xy 116.626057 122.762043) + (xy 116.5 122.742078) + (xy 116.373943 122.762043) + (xy 116.260228 122.819984) + (xy 116.169984 122.910228) + (xy 116.112043 123.023943) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 115.200003 123.15) + (xy 115.207922 123.1) + (xy 115.187957 122.973945) + (xy 115.130016 122.860229) + (xy 115.039771 122.769984) + (xy 114.926055 122.712043) + (xy 114.926057 122.712043) + (xy 114.8 122.692078) + (xy 114.673943 122.712043) + (xy 114.560228 122.769984) + (xy 114.469984 122.860228) + (xy 114.412043 122.973943) + (xy 114.392078 123.099999) + (xy 114.392078 123.1) + (xy 109.9603 123.1) + (xy 109.754758 122.894458) + (xy 109.752047 122.891602) + (xy 109.724949 122.861506) + (xy 109.724945 122.861503) + (xy 109.702486 122.851504) + (xy 109.692116 122.845874) + (xy 109.671496 122.832483) + (xy 109.663064 122.831148) + (xy 109.644243 122.825573) + (xy 109.636442 122.8221) + (xy 109.611848 122.8221) + (xy 109.600084 122.821174) + (xy 109.575808 122.817329) + (xy 109.575804 122.817329) + (xy 109.56756 122.819538) + (xy 109.548099 122.8221) + (xy 109.323036 122.8221) + (xy 109.274698 122.804507) + (xy 109.269862 122.800075) + (xy 109.23183 122.762043) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.612995 123.276055) + (xy 108.619984 123.289771) + (xy 108.710229 123.380016) + (xy 108.823943 123.437956) + (xy 108.823945 123.437957) + (xy 108.95 123.457922) + (xy 109.076055 123.437957) + (xy 109.189771 123.380016) + (xy 109.269862 123.299925) + (xy 109.316482 123.278186) + (xy 109.323036 123.2779) + (xy 109.462453 123.2779) + (xy 109.510791 123.295493) + (xy 109.515627 123.299926) + (xy 112.171231 125.95553) + (xy 112.173942 125.958386) + (xy 112.201051 125.988494) + (xy 112.223516 125.998495) + (xy 112.233887 126.004127) + (xy 112.254502 126.017516) + (xy 112.262928 126.01885) + (xy 112.281759 126.024428) + (xy 112.289553 126.027898) + (xy 112.289555 126.027898) + (xy 112.289558 126.0279) + (xy 112.314152 126.0279) + (xy 112.325915 126.028825) + (xy 112.350194 126.032671) + (xy 112.355253 126.031315) + (xy 112.35844 126.030462) + (xy 112.377901 126.0279) + (xy 115.976964 126.0279) + (xy 116.025302 126.045493) + (xy 116.030138 126.049925) + (xy 116.110229 126.130016) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.669862 126.049925) + (xy 116.716482 126.028186) + (xy 116.723036 126.0279) + (xy 120.674453 126.0279) + (xy 120.722791 126.045493) + (xy 120.727627 126.049926) + (xy 124.210074 129.532373) + (xy 124.231814 129.578993) + (xy 124.2321 129.585547) + (xy 124.2321 131.3839) + (xy 124.214507 131.432238) + (xy 124.169958 131.457958) + (xy 124.1569 131.4591) + (xy 124.037197 131.4591) + (xy 123.951402 131.469403) + (xy 123.814871 131.523244) + (xy 123.81487 131.523245) + (xy 123.770725 131.55672) + (xy 123.725288 131.572) + (xy 122.654712 131.572) + (xy 122.609274 131.55672) + (xy 122.56513 131.523245) + (xy 122.565128 131.523244) + (xy 122.428597 131.469403) + (xy 122.342803 131.4591) + (xy 122.342802 131.4591) + (xy 121.497198 131.4591) + (xy 121.497197 131.4591) + (xy 121.411402 131.469403) + (xy 121.274871 131.523244) + (xy 121.27487 131.523245) + (xy 121.230725 131.55672) + (xy 121.185288 131.572) + (xy 120.3701 131.572) + (xy 120.321762 131.554407) + (xy 120.296042 131.509858) + (xy 120.2949 131.4968) + (xy 120.2949 129.871923) + (xy 120.295826 129.860157) + (xy 120.298679 129.842146) + (xy 120.295003 129.772) + (xy 120.2949 129.768065) + (xy 120.2949 129.74605) + (xy 120.294899 129.746041) + (xy 120.292594 129.724118) + (xy 120.292289 129.720232) + (xy 120.288614 129.650089) + (xy 120.283892 129.632468) + (xy 120.281742 129.620865) + (xy 120.280175 129.605956) + (xy 120.279837 129.602734) + (xy 120.261825 129.5473) + (xy 120.258139 129.535955) + (xy 120.25702 129.53218) + (xy 120.238839 129.464327) + (xy 120.238839 129.464326) + (xy 120.238838 129.464323) + (xy 120.230556 129.448068) + (xy 120.226042 129.43717) + (xy 120.223123 129.428186) + (xy 120.220407 129.419827) + (xy 120.219141 129.417635) + (xy 120.196267 129.378016) + (xy 120.185288 129.359) + (xy 120.183414 129.355548) + (xy 120.182135 129.353038) + (xy 120.151526 129.292964) + (xy 120.151523 129.29296) + (xy 120.151521 129.292956) + (xy 120.14005 129.278792) + (xy 120.133367 129.269069) + (xy 120.124247 129.253273) + (xy 120.124246 129.253272) + (xy 120.124245 129.25327) + (xy 120.077252 129.20108) + (xy 120.074693 129.198084) + (xy 120.060843 129.180979) + (xy 120.06084 129.180976) + (xy 120.055795 129.175931) + (xy 120.04526 129.165396) + (xy 120.042567 129.162558) + (xy 119.995559 129.110349) + (xy 119.980801 129.099628) + (xy 119.97183 129.091966) + (xy 119.739025 128.859161) + (xy 119.732987 128.854272) + (xy 119.627041 128.768478) + (xy 119.627028 128.76847) + (xy 119.455682 128.681163) + (xy 119.455678 128.681162) + (xy 119.269908 128.631385) + (xy 119.106542 128.622824) + (xy 119.077854 128.621321) + (xy 119.077853 128.621321) + (xy 119.077851 128.621321) + (xy 118.887903 128.651405) + (xy 118.887897 128.651406) + (xy 118.708359 128.720325) + (xy 118.547063 128.825073) + (xy 118.547053 128.825081) + (xy 118.411081 128.961053) + (xy 118.411073 128.961063) + (xy 118.306325 129.122359) + (xy 118.237406 129.301897) + (xy 118.237405 129.301903) + (xy 118.207321 129.491851) + (xy 118.217385 129.683908) + (xy 118.267162 129.869678) + (xy 118.267163 129.869682) + (xy 118.35447 130.041028) + (xy 118.354478 130.041041) + (xy 118.425033 130.128169) + (xy 118.445161 130.153025) + (xy 118.445166 130.15303) + (xy 118.445788 130.153721) + (xy 118.445851 130.153877) + (xy 118.4464 130.154555) + (xy 118.446192 130.154722) + (xy 118.465054 130.201417) + (xy 118.4651 130.204036) + (xy 118.4651 131.4968) + (xy 118.447507 131.545138) + (xy 118.402958 131.570858) + (xy 118.3899 131.572) + (xy 117.8301 131.572) + (xy 117.781762 131.554407) + (xy 117.756042 131.509858) + (xy 117.7549 131.4968) + (xy 117.7549 130.204036) + (xy 117.772493 130.155698) + (xy 117.774212 130.153721) + (xy 117.774824 130.153039) + (xy 117.774839 130.153025) + (xy 117.865526 130.041036) + (xy 117.952838 129.869677) + (xy 118.002614 129.683911) + (xy 118.012679 129.491854) + (xy 117.984781 129.315714) + (xy 117.982593 129.301901) + (xy 117.913673 129.122359) + (xy 117.913674 129.122359) + (xy 117.905876 129.110351) + (xy 117.808927 128.961064) + (xy 117.808922 128.961059) + (xy 117.808918 128.961054) + (xy 117.672945 128.825081) + (xy 117.67294 128.825077) + (xy 117.672936 128.825073) + (xy 117.511644 128.720328) + (xy 117.511641 128.720327) + (xy 117.51164 128.720326) + (xy 117.332098 128.651406) + (xy 117.142149 128.621321) + (xy 117.142146 128.621321) + (xy 117.114818 128.622753) + (xy 116.95009 128.631385) + (xy 116.764323 128.681161) + (xy 116.592963 128.768474) + (xy 116.592957 128.768478) + (xy 116.480979 128.859157) + (xy 116.48097 128.859165) + (xy 116.248168 129.091966) + (xy 116.239198 129.099627) + (xy 116.224446 129.110345) + (xy 116.22444 129.110351) + (xy 116.177438 129.16255) + (xy 116.174733 129.165401) + (xy 116.159163 129.180972) + (xy 116.145299 129.198092) + (xy 116.142745 129.201082) + (xy 116.095755 129.253269) + (xy 116.08663 129.269073) + (xy 116.07995 129.278792) + (xy 116.068477 129.29296) + (xy 116.068474 129.292964) + (xy 116.036593 129.355532) + (xy 116.034716 129.358989) + (xy 115.999591 129.419828) + (xy 115.993953 129.437178) + (xy 115.989442 129.448068) + (xy 115.981164 129.464317) + (xy 115.98116 129.464326) + (xy 115.962983 129.532162) + (xy 115.961866 129.535934) + (xy 115.940163 129.602733) + (xy 115.938256 129.620872) + (xy 115.936107 129.632468) + (xy 115.931385 129.650091) + (xy 115.927709 129.720232) + (xy 115.9274 129.724153) + (xy 115.9251 129.746041) + (xy 115.9251 129.768065) + (xy 115.924997 129.772) + (xy 115.921321 129.842146) + (xy 115.924174 129.860158) + (xy 115.9251 129.871923) + (xy 115.9251 131.4968) + (xy 115.907507 131.545138) + (xy 115.862958 131.570858) + (xy 115.8499 131.572) + (xy 115.034712 131.572) + (xy 114.989274 131.55672) + (xy 114.94513 131.523245) + (xy 114.945128 131.523244) + (xy 114.808597 131.469403) + (xy 114.722803 131.4591) + (xy 114.722802 131.4591) + (xy 113.877198 131.4591) + (xy 113.877197 131.4591) + (xy 113.791402 131.469403) + (xy 113.654871 131.523244) + (xy 113.65487 131.523245) + (xy 113.610725 131.55672) + (xy 113.565288 131.572) + (xy 112.494712 131.572) + (xy 112.449274 131.55672) + (xy 112.40513 131.523245) + (xy 112.405128 131.523244) + (xy 112.268597 131.469403) + (xy 112.182803 131.4591) + (xy 112.182802 131.4591) + (xy 111.337198 131.4591) + (xy 111.337197 131.4591) + (xy 111.251402 131.469403) + (xy 111.114871 131.523244) + (xy 111.11487 131.523245) + (xy 111.070725 131.55672) + (xy 111.025288 131.572) + (xy 109.954712 131.572) + (xy 109.909274 131.55672) + (xy 109.86513 131.523245) + (xy 109.865128 131.523244) + (xy 109.728597 131.469403) + (xy 109.642803 131.4591) + (xy 109.642802 131.4591) + (xy 108.797198 131.4591) + (xy 108.797197 131.4591) + (xy 108.711402 131.469403) + (xy 108.574871 131.523244) + (xy 108.57487 131.523245) + (xy 108.530725 131.55672) + (xy 108.485288 131.572) + (xy 107.414712 131.572) + (xy 107.369274 131.55672) + (xy 107.32513 131.523245) + (xy 107.325128 131.523244) + (xy 107.188597 131.469403) + (xy 107.102803 131.4591) + (xy 107.102802 131.4591) + (xy 106.257198 131.4591) + (xy 106.257197 131.4591) + (xy 106.171402 131.469403) + (xy 106.034871 131.523244) + (xy 106.03487 131.523245) + (xy 105.990725 131.55672) + (xy 105.945288 131.572) + (xy 104.874712 131.572) + (xy 104.829274 131.55672) + (xy 104.78513 131.523245) + (xy 104.785128 131.523244) + (xy 104.648597 131.469403) + (xy 104.562803 131.4591) + (xy 104.562802 131.4591) + (xy 103.717198 131.4591) + (xy 103.717197 131.4591) + (xy 103.631402 131.469403) + (xy 103.494871 131.523244) + (xy 103.49487 131.523245) + (xy 103.450725 131.55672) + (xy 103.405288 131.572) + (xy 102.334712 131.572) + (xy 102.289274 131.55672) + (xy 102.24513 131.523245) + (xy 102.245128 131.523244) + (xy 102.108597 131.469403) + (xy 102.022803 131.4591) + (xy 102.022802 131.4591) + (xy 101.9031 131.4591) + (xy 101.854762 131.441507) + (xy 101.829042 131.396958) + (xy 101.8279 131.3839) + (xy 101.8279 131.316547) + (xy 101.845493 131.268209) + (xy 101.849926 131.263373) + (xy 102.561374 130.551926) + (xy 102.607994 130.530186) + (xy 102.614548 130.5299) + (xy 104.386064 130.5299) + (xy 104.39 130.530003) + (xy 104.430447 130.532123) + (xy 104.430447 130.532122) + (xy 104.430448 130.532123) + (xy 104.453403 130.52331) + (xy 104.464722 130.519958) + (xy 104.488761 130.514849) + (xy 104.48876 130.514849) + (xy 104.488766 130.514848) + (xy 104.495674 130.509828) + (xy 104.512921 130.500464) + (xy 104.520896 130.497403) + (xy 104.538289 130.480009) + (xy 104.547248 130.472357) + (xy 104.567146 130.457901) + (xy 104.571415 130.450505) + (xy 104.583362 130.434935) + (xy 107.955547 127.06275) + (xy 107.958365 127.060075) + (xy 107.988494 127.032949) + (xy 107.988493 127.032949) + (xy 107.99437 127.027659) + (xy 107.995251 127.028638) + (xy 108.030781 127.004666) + (xy 108.081939 127.010036) + (xy 108.102156 127.024455) + (xy 108.650445 127.572745) + (xy 109.18324 128.10554) + (xy 109.185951 128.108396) + (xy 109.197299 128.121) + (xy 109.213051 128.138494) + (xy 109.235523 128.148498) + (xy 109.245874 128.154119) + (xy 109.266503 128.167516) + (xy 109.274928 128.16885) + (xy 109.293759 128.174428) + (xy 109.301553 128.177898) + (xy 109.301555 128.177898) + (xy 109.301558 128.1779) + (xy 109.326152 128.1779) + (xy 109.337915 128.178825) + (xy 109.362194 128.182671) + (xy 109.367253 128.181315) + (xy 109.37044 128.180462) + (xy 109.389901 128.1779) + (xy 112.776964 128.1779) + (xy 112.825302 128.195493) + (xy 112.830138 128.199925) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.480016 128.189771) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.537957 127.823945) + (xy 113.480016 127.710229) + (xy 113.389771 127.619984) + (xy 113.276055 127.562043) + (xy 113.276057 127.562043) + (xy 113.15 127.542078) + (xy 113.023943 127.562043) + (xy 112.91023 127.619983) + (xy 112.830138 127.700075) + (xy 112.783518 127.721814) + (xy 112.776964 127.7221) + (xy 109.475547 127.7221) + (xy 109.427209 127.704507) + (xy 109.422373 127.700074) + (xy 108.349926 126.627626) + (xy 108.328186 126.581006) + (xy 108.3279 126.574452) + (xy 108.3279 124.267729) + (xy 108.345493 124.219391) + (xy 108.390042 124.193671) + (xy 108.391252 124.193469) + (xy 108.426055 124.187957) + (xy 108.539771 124.130016) + (xy 108.630016 124.039771) + (xy 108.687957 123.926055) + (xy 108.707922 123.8) + (xy 108.687957 123.673945) + (xy 108.630016 123.560229) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173942 123.412043) + (xy 108.17394 123.412044) + (xy 108.137239 123.430744) + (xy 108.086182 123.437012) + (xy 108.043042 123.408996) + (xy 108.0279 123.36374) + (xy 108.0279 123.213846) + (xy 108.036098 123.179703) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.312995 123.276055) + (xy 107.319984 123.289771) + (xy 107.410229 123.380016) + (xy 107.523945 123.437957) + (xy 107.529219 123.440644) + (xy 107.528061 123.442916) + (xy 107.56066 123.468368) + (xy 107.5721 123.508239) + (xy 107.5721 126.770452) + (xy 107.554507 126.81879) + (xy 107.550074 126.823626) + (xy 104.321626 130.052074) + (xy 104.275006 130.073814) + (xy 104.268452 130.0741) + (xy 102.496936 130.0741) + (xy 102.493 130.073997) + (xy 102.491192 130.073902) + (xy 102.452551 130.071876) + (xy 102.45255 130.071876) + (xy 102.429597 130.080688) + (xy 102.418286 130.084039) + (xy 102.394235 130.089151) + (xy 102.394229 130.089154) + (xy 102.387324 130.094171) + (xy 102.370085 130.103532) + (xy 102.362104 130.106596) + (xy 102.344712 130.123987) + (xy 102.335746 130.131645) + (xy 102.315854 130.146098) + (xy 102.315852 130.146101) + (xy 102.311583 130.153495) + (xy 102.299634 130.169065) + (xy 101.444456 131.024242) + (xy 101.441602 131.026952) + (xy 101.411504 131.054052) + (xy 101.401507 131.076509) + (xy 101.395877 131.086878) + (xy 101.382485 131.107501) + (xy 101.382483 131.107506) + (xy 101.381148 131.115935) + (xy 101.375574 131.134754) + (xy 101.3721 131.142557) + (xy 101.3721 131.167152) + (xy 101.371174 131.178916) + (xy 101.367329 131.203191) + (xy 101.367329 131.203194) + (xy 101.369538 131.211438) + (xy 101.3721 131.2309) + (xy 101.3721 131.3839) + (xy 101.354507 131.432238) + (xy 101.309958 131.457958) + (xy 101.2969 131.4591) + (xy 101.177197 131.4591) + (xy 101.091402 131.469403) + (xy 100.954871 131.523244) + (xy 100.95487 131.523245) + (xy 100.910725 131.55672) + (xy 100.865288 131.572) + (xy 99.794712 131.572) + (xy 99.749274 131.55672) + (xy 99.70513 131.523245) + (xy 99.705128 131.523244) + (xy 99.568597 131.469403) + (xy 99.482803 131.4591) + (xy 99.482802 131.4591) + (xy 99.3631 131.4591) + (xy 99.314762 131.441507) + (xy 99.289042 131.396958) + (xy 99.2879 131.3839) + (xy 99.2879 131.316547) + (xy 99.305493 131.268209) + (xy 99.309926 131.263373) + (xy 101.123373 129.449926) + (xy 101.169993 129.428186) + (xy 101.176547 129.4279) + (xy 102.313064 129.4279) + (xy 102.317 129.428003) + (xy 102.357447 129.430123) + (xy 102.357447 129.430122) + (xy 102.357448 129.430123) + (xy 102.380403 129.42131) + (xy 102.391722 129.417958) + (xy 102.415761 129.412849) + (xy 102.41576 129.412849) + (xy 102.415766 129.412848) + (xy 102.422674 129.407828) + (xy 102.439921 129.398464) + (xy 102.447896 129.395403) + (xy 102.465289 129.378009) + (xy 102.474248 129.370357) + (xy 102.494146 129.355901) + (xy 102.498415 129.348505) + (xy 102.510362 129.332935) + (xy 106.955547 124.88775) + (xy 106.958365 124.885075) + (xy 106.988494 124.857949) + (xy 106.998496 124.835481) + (xy 107.004121 124.825121) + (xy 107.017516 124.804496) + (xy 107.018851 124.796061) + (xy 107.024424 124.777248) + (xy 107.0279 124.769442) + (xy 107.0279 124.744852) + (xy 107.028826 124.733086) + (xy 107.032672 124.708806) + (xy 107.03046 124.700553) + (xy 107.0279 124.681095) + (xy 107.0279 124.267729) + (xy 107.045493 124.219391) + (xy 107.090042 124.193671) + (xy 107.091252 124.193469) + (xy 107.126055 124.187957) + (xy 107.239771 124.130016) + (xy 107.330016 124.039771) + (xy 107.387957 123.926055) + (xy 107.407922 123.8) + (xy 107.387957 123.673945) + (xy 107.330016 123.560229) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873942 123.412043) + (xy 106.87394 123.412044) + (xy 106.837239 123.430744) + (xy 106.786182 123.437012) + (xy 106.743042 123.408996) + (xy 106.7279 123.36374) + (xy 106.7279 123.213846) + (xy 106.736098 123.179703) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.012995 123.276055) + (xy 106.019984 123.289771) + (xy 106.110229 123.380016) + (xy 106.223945 123.437957) + (xy 106.229219 123.440644) + (xy 106.228061 123.442916) + (xy 106.26066 123.468368) + (xy 106.2721 123.508239) + (xy 106.2721 124.424452) + (xy 106.254507 124.47279) + (xy 106.250074 124.477626) + (xy 103.596626 127.131074) + (xy 103.550006 127.152814) + (xy 103.543452 127.1531) + (xy 85.573036 127.1531) + (xy 85.524698 127.135507) + (xy 85.519862 127.131075) + (xy 85.519861 127.131074) + (xy 85.439771 127.050984) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380999) + (xy 84.792078 127.381) + (xy 84.812043 127.507056) + (xy 84.863935 127.6089) + (xy 84.869984 127.620771) + (xy 84.960229 127.711016) + (xy 85.073943 127.768956) + (xy 85.073945 127.768957) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.519862 127.630925) + (xy 85.566482 127.609186) + (xy 85.573036 127.6089) + (xy 103.408252 127.6089) + (xy 103.45659 127.626493) + (xy 103.48231 127.671042) + (xy 103.473377 127.7217) + (xy 103.461426 127.737274) + (xy 102.248626 128.950074) + (xy 102.202006 128.971814) + (xy 102.195452 128.9721) + (xy 101.058929 128.9721) + (xy 101.054992 128.971997) + (xy 101.014551 128.969876) + (xy 100.991597 128.978688) + (xy 100.980286 128.982039) + (xy 100.956235 128.987151) + (xy 100.956229 128.987154) + (xy 100.949324 128.992171) + (xy 100.932085 129.001532) + (xy 100.924104 129.004596) + (xy 100.906712 129.021987) + (xy 100.897746 129.029645) + (xy 100.877854 129.044098) + (xy 100.877852 129.044101) + (xy 100.873583 129.051495) + (xy 100.861634 129.067065) + (xy 98.904456 131.024242) + (xy 98.901602 131.026952) + (xy 98.871504 131.054052) + (xy 98.861507 131.076509) + (xy 98.855877 131.086878) + (xy 98.842485 131.107501) + (xy 98.842483 131.107506) + (xy 98.841148 131.115935) + (xy 98.835574 131.134754) + (xy 98.8321 131.142557) + (xy 98.8321 131.167152) + (xy 98.831174 131.178916) + (xy 98.827329 131.203191) + (xy 98.827329 131.203194) + (xy 98.829538 131.211438) + (xy 98.8321 131.2309) + (xy 98.8321 131.3839) + (xy 98.814507 131.432238) + (xy 98.769958 131.457958) + (xy 98.7569 131.4591) + (xy 98.637197 131.4591) + (xy 98.551402 131.469403) + (xy 98.414871 131.523244) + (xy 98.41487 131.523245) + (xy 98.370725 131.55672) + (xy 98.325288 131.572) + (xy 97.254712 131.572) + (xy 97.209274 131.55672) + (xy 97.16513 131.523245) + (xy 97.165128 131.523244) + (xy 97.028597 131.469403) + (xy 96.942803 131.4591) + (xy 96.942802 131.4591) + (xy 96.8231 131.4591) + (xy 96.774762 131.441507) + (xy 96.749042 131.396958) + (xy 96.7479 131.3839) + (xy 96.7479 131.198936) + (xy 96.748003 131.194999) + (xy 96.750123 131.154555) + (xy 96.750122 131.154554) + (xy 96.750123 131.154552) + (xy 96.741306 131.131586) + (xy 96.73796 131.120288) + (xy 96.732848 131.096234) + (xy 96.72783 131.089327) + (xy 96.718463 131.072075) + (xy 96.715403 131.064104) + (xy 96.715402 131.064102) + (xy 96.698018 131.046718) + (xy 96.690355 131.037747) + (xy 96.675901 131.017854) + (xy 96.671924 131.015558) + (xy 96.668503 131.013582) + (xy 96.652933 131.001634) + (xy 95.351225 129.699926) + (xy 94.045758 128.394458) + (xy 94.043047 128.391602) + (xy 94.015949 128.361506) + (xy 94.015945 128.361503) + (xy 93.993486 128.351504) + (xy 93.983116 128.345874) + (xy 93.962496 128.332483) + (xy 93.954064 128.331148) + (xy 93.935243 128.325573) + (xy 93.927442 128.3221) + (xy 93.902848 128.3221) + (xy 93.891084 128.321174) + (xy 93.866808 128.317329) + (xy 93.866804 128.317329) + (xy 93.85856 128.319538) + (xy 93.839099 128.3221) + (xy 85.125547 128.3221) + (xy 85.077209 128.304507) + (xy 85.072373 128.300074) + (xy 83.723308 126.951008) + (xy 83.701568 126.904388) + (xy 83.702207 126.886079) + (xy 83.707922 126.85) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.539771 126.519984) + (xy 83.426055 126.462043) + (xy 83.426057 126.462043) + (xy 83.3 126.442078) + (xy 83.173943 126.462043) + (xy 83.060228 126.519984) + (xy 83.006274 126.573939) + (xy 82.959654 126.595679) + (xy 82.909967 126.582365) + (xy 82.880462 126.540228) + (xy 82.8779 126.520765) + (xy 82.8779 126.473035) + (xy 82.895493 126.424697) + (xy 82.899926 126.419861) + (xy 82.934278 126.385509) + (xy 82.980016 126.339771) + (xy 83.037957 126.226055) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) + (xy 82.889771 125.769984) + (xy 82.776055 125.712043) + (xy 82.776057 125.712043) + (xy 82.65 125.692078) + (xy 82.523943 125.712043) + (xy 82.410228 125.769984) + (xy 82.319984 125.860228) + (xy 82.262043 125.973943) + (xy 82.242078 126.099999) + (xy 82.242078 126.1) + (xy 82.262043 126.226056) + (xy 82.319984 126.339771) + (xy 82.400074 126.419861) + (xy 82.421814 126.466481) + (xy 82.4221 126.473035) + (xy 82.4221 126.520765) + (xy 82.404507 126.569103) + (xy 82.359958 126.594823) + (xy 82.3093 126.58589) + (xy 82.293726 126.573939) + (xy 82.239771 126.519984) + (xy 82.126055 126.462043) + (xy 82.126057 126.462043) + (xy 82 126.442078) + (xy 81.873943 126.462043) + (xy 81.760228 126.519984) + (xy 81.706274 126.573939) + (xy 81.659654 126.595679) + (xy 81.609967 126.582365) + (xy 81.580462 126.540228) + (xy 81.5779 126.520765) + (xy 81.5779 126.473035) + (xy 81.595493 126.424697) + (xy 81.599926 126.419861) + (xy 81.634278 126.385509) + (xy 81.680016 126.339771) + (xy 81.737957 126.226055) + (xy 81.757922 126.1) + (xy 81.737957 125.973945) + (xy 81.680016 125.860229) + (xy 81.589771 125.769984) + (xy 81.476055 125.712043) + (xy 81.476057 125.712043) + (xy 81.35 125.692078) + (xy 81.223943 125.712043) + (xy 81.110228 125.769984) + (xy 81.019984 125.860228) + (xy 80.962043 125.973943) + (xy 80.942078 126.099999) + (xy 80.942078 126.1) + (xy 80.962043 126.226056) + (xy 81.019984 126.339771) + (xy 81.100074 126.419861) + (xy 81.121814 126.466481) + (xy 81.1221 126.473035) + (xy 81.1221 126.520765) + (xy 81.104507 126.569103) + (xy 81.059958 126.594823) + (xy 81.0093 126.58589) + (xy 80.993726 126.573939) + (xy 80.939771 126.519984) + (xy 80.826055 126.462043) + (xy 80.826057 126.462043) + (xy 80.7 126.442078) + (xy 80.573943 126.462043) + (xy 80.460228 126.519984) + (xy 80.406274 126.573939) + (xy 80.359654 126.595679) + (xy 80.309967 126.582365) + (xy 80.280462 126.540228) + (xy 80.2779 126.520765) + (xy 80.2779 126.473035) + (xy 80.295493 126.424697) + (xy 80.299926 126.419861) + (xy 80.334278 126.385509) + (xy 80.380016 126.339771) + (xy 80.437957 126.226055) + (xy 80.457922 126.1) + (xy 80.437957 125.973945) + (xy 80.380016 125.860229) + (xy 80.289771 125.769984) + (xy 80.176055 125.712043) + (xy 80.176057 125.712043) + (xy 80.05 125.692078) + (xy 79.923943 125.712043) + (xy 79.810228 125.769984) + (xy 79.719984 125.860228) + (xy 79.662043 125.973943) + (xy 79.642078 126.099999) + (xy 79.642078 126.1) + (xy 79.662043 126.226056) + (xy 79.719984 126.339771) + (xy 79.800074 126.419861) + (xy 79.821814 126.466481) + (xy 79.8221 126.473035) + (xy 79.8221 126.520765) + (xy 79.804507 126.569103) + (xy 79.759958 126.594823) + (xy 79.7093 126.58589) + (xy 79.693726 126.573939) + (xy 79.639771 126.519984) + (xy 79.526055 126.462043) + (xy 79.526057 126.462043) + (xy 79.4 126.442078) + (xy 79.273943 126.462043) + (xy 79.160228 126.519984) + (xy 79.069984 126.610228) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.055511 127.061367) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.436071 127.252208) + (xy 79.486564 127.262022) + (xy 79.501009 127.273308) + (xy 81.030074 128.802373) + (xy 81.051814 128.848993) + (xy 81.0521 128.855547) + (xy 81.0521 131.3839) + (xy 81.034507 131.432238) + (xy 80.989958 131.457958) + (xy 80.9769 131.4591) + (xy 80.857197 131.4591) + (xy 80.771402 131.469403) + (xy 80.634871 131.523244) + (xy 80.63487 131.523245) + (xy 80.590725 131.55672) + (xy 80.545288 131.572) + (xy 79.474712 131.572) + (xy 79.429274 131.55672) + (xy 79.38513 131.523245) + (xy 79.385128 131.523244) + (xy 79.248597 131.469403) + (xy 79.162803 131.4591) + (xy 79.162802 131.4591) + (xy 79.0531 131.4591) + (xy 79.004762 131.441507) + (xy 78.979042 131.396958) + (xy 78.9779 131.3839) + (xy 78.9779 127.557928) + (xy 78.978003 127.553991) + (xy 78.980123 127.513551) + (xy 78.97131 127.490595) + (xy 78.96796 127.479288) + (xy 78.962848 127.455234) + (xy 78.95783 127.448327) + (xy 78.948463 127.431075) + (xy 78.945403 127.423105) + (xy 78.945403 127.423104) + (xy 78.928013 127.405714) + (xy 78.920354 127.396747) + (xy 78.917619 127.392983) + (xy 78.905901 127.376854) + (xy 78.9059 127.376853) + (xy 78.898506 127.372584) + (xy 78.882934 127.360634) + (xy 78.599926 127.077626) + (xy 78.578186 127.031006) + (xy 78.5779 127.024452) + (xy 78.5779 126.625547) + (xy 78.595493 126.577209) + (xy 78.599916 126.572382) + (xy 78.648991 126.523306) + (xy 78.695609 126.501568) + (xy 78.713924 126.502207) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.080016 126.339771) + (xy 79.137957 126.226055) + (xy 79.157922 126.1) + (xy 79.137957 125.973945) + (xy 79.080016 125.860229) + (xy 78.989771 125.769984) + (xy 78.876055 125.712043) + (xy 78.876057 125.712043) + (xy 78.75 125.692078) + (xy 78.623943 125.712043) + (xy 78.510228 125.769984) + (xy 78.419984 125.860228) + (xy 78.362043 125.973943) + (xy 78.342078 126.099998) + (xy 78.342078 126.100003) + (xy 78.34779 126.136072) + (xy 78.337974 126.186566) + (xy 78.32669 126.201008) + (xy 78.194456 126.333242) + (xy 78.191602 126.335952) + (xy 78.161504 126.363052) + (xy 78.151507 126.385509) + (xy 78.145877 126.395878) + (xy 78.132485 126.416501) + (xy 78.132483 126.416506) + (xy 78.131148 126.424935) + (xy 78.125574 126.443754) + (xy 78.1221 126.451557) + (xy 78.1221 126.476152) + (xy 78.121174 126.487915) + (xy 78.121098 126.488393) + (xy 78.117329 126.512191) + (xy 78.117329 126.512194) + (xy 78.119538 126.520438) + (xy 78.1221 126.5399) + (xy 78.1221 127.142062) + (xy 78.121997 127.145998) + (xy 78.119876 127.186448) + (xy 78.128688 127.209402) + (xy 78.132039 127.220715) + (xy 78.137151 127.244763) + (xy 78.137152 127.244766) + (xy 78.142169 127.251671) + (xy 78.151536 127.268922) + (xy 78.154596 127.276895) + (xy 78.154597 127.276896) + (xy 78.171985 127.294284) + (xy 78.179644 127.303252) + (xy 78.194097 127.323144) + (xy 78.194099 127.323146) + (xy 78.201495 127.327416) + (xy 78.217066 127.339365) + (xy 78.500074 127.622373) + (xy 78.521814 127.668993) + (xy 78.5221 127.675547) + (xy 78.5221 131.3839) + (xy 78.504507 131.432238) + (xy 78.459958 131.457958) + (xy 78.4469 131.4591) + (xy 78.317197 131.4591) + (xy 78.231402 131.469403) + (xy 78.094871 131.523244) + (xy 78.09487 131.523245) + (xy 78.050725 131.55672) + (xy 78.005288 131.572) + (xy 77.1901 131.572) + (xy 77.141762 131.554407) + (xy 77.116042 131.509858) + (xy 77.1149 131.4968) + (xy 77.1149 129.823111) + (xy 77.132493 129.774773) + (xy 77.136915 129.769948) + (xy 77.971602 128.93526) + (xy 77.974418 128.932587) + (xy 78.026649 128.88556) + (xy 78.067958 128.828701) + (xy 78.070312 128.825633) + (xy 78.114526 128.771035) + (xy 78.122807 128.754779) + (xy 78.128966 128.744729) + (xy 78.139691 128.72997) + (xy 78.168263 128.665794) + (xy 78.169943 128.662272) + (xy 78.201838 128.599677) + (xy 78.206558 128.582057) + (xy 78.210497 128.570936) + (xy 78.217915 128.554277) + (xy 78.232521 128.485557) + (xy 78.233436 128.481751) + (xy 78.251614 128.413911) + (xy 78.252568 128.395696) + (xy 78.254107 128.384002) + (xy 78.2579 128.36616) + (xy 78.2579 128.295933) + (xy 78.258003 128.291998) + (xy 78.258631 128.280015) + (xy 78.261679 128.221854) + (xy 78.258825 128.20384) + (xy 78.2579 128.192076) + (xy 78.2579 128.173841) + (xy 78.2579 128.17384) + (xy 78.243289 128.105102) + (xy 78.24258 128.101274) + (xy 78.231593 128.031902) + (xy 78.225056 128.014872) + (xy 78.221708 128.003572) + (xy 78.217915 127.985723) + (xy 78.189332 127.921526) + (xy 78.18785 127.91795) + (xy 78.162672 127.852356) + (xy 78.16267 127.852354) + (xy 78.16267 127.852352) + (xy 78.152738 127.837058) + (xy 78.147107 127.826687) + (xy 78.139691 127.81003) + (xy 78.123579 127.787854) + (xy 78.098395 127.75319) + (xy 78.09619 127.749983) + (xy 78.057927 127.691063) + (xy 78.045029 127.678165) + (xy 78.037373 127.669201) + (xy 78.026649 127.65444) + (xy 77.988382 127.619984) + (xy 77.97443 127.607421) + (xy 77.971593 127.604729) + (xy 77.921937 127.555073) + (xy 77.921936 127.555072) + (xy 77.906642 127.54514) + (xy 77.897281 127.537957) + (xy 77.888416 127.529975) + (xy 77.883727 127.525753) + (xy 77.822886 127.490626) + (xy 77.819543 127.488577) + (xy 77.795325 127.47285) + (xy 77.760644 127.450328) + (xy 77.743616 127.443791) + (xy 77.732972 127.438715) + (xy 77.717173 127.429593) + (xy 77.717171 127.429592) + (xy 77.717169 127.429591) + (xy 77.650369 127.407887) + (xy 77.646658 127.406573) + (xy 77.581098 127.381407) + (xy 77.581095 127.381406) + (xy 77.58109 127.381405) + (xy 77.563082 127.378552) + (xy 77.551615 127.375799) + (xy 77.534267 127.370163) + (xy 77.464407 127.36282) + (xy 77.460504 127.362306) + (xy 77.391149 127.351321) + (xy 77.391146 127.351321) + (xy 77.32916 127.354569) + (xy 77.321002 127.354997) + (xy 77.317067 127.3551) + (xy 76.404923 127.3551) + (xy 76.39316 127.354174) + (xy 76.381996 127.352405) + (xy 76.375147 127.351321) + (xy 76.375146 127.351321) + (xy 76.324857 127.353956) + (xy 76.305002 127.354997) + (xy 76.301067 127.3551) + (xy 76.279041 127.3551) + (xy 76.257153 127.3574) + (xy 76.253232 127.357709) + (xy 76.183091 127.361385) + (xy 76.165468 127.366107) + (xy 76.153872 127.368256) + (xy 76.135733 127.370163) + (xy 76.068934 127.391866) + (xy 76.065162 127.392983) + (xy 75.997326 127.41116) + (xy 75.997317 127.411164) + (xy 75.981068 127.419442) + (xy 75.970178 127.423953) + (xy 75.952828 127.429591) + (xy 75.891989 127.464716) + (xy 75.888532 127.466593) + (xy 75.825964 127.498474) + (xy 75.82596 127.498477) + (xy 75.811792 127.50995) + (xy 75.802073 127.51663) + (xy 75.786269 127.525755) + (xy 75.734082 127.572745) + (xy 75.731092 127.575299) + (xy 75.713972 127.589163) + (xy 75.698401 127.604733) + (xy 75.69555 127.607438) + (xy 75.643351 127.65444) + (xy 75.643345 127.654446) + (xy 75.632624 127.669202) + (xy 75.624962 127.678172) + (xy 75.608172 127.694962) + (xy 75.599202 127.702624) + (xy 75.584446 127.713345) + (xy 75.58444 127.713351) + (xy 75.537438 127.76555) + (xy 75.534733 127.768401) + (xy 75.519163 127.783972) + (xy 75.505299 127.801092) + (xy 75.502745 127.804082) + (xy 75.455755 127.856269) + (xy 75.44663 127.872073) + (xy 75.43995 127.881792) + (xy 75.428477 127.89596) + (xy 75.428474 127.895964) + (xy 75.396593 127.958532) + (xy 75.394716 127.961989) + (xy 75.359591 128.022828) + (xy 75.353953 128.040178) + (xy 75.349442 128.051068) + (xy 75.341164 128.067317) + (xy 75.34116 128.067326) + (xy 75.322983 128.135162) + (xy 75.321866 128.138934) + (xy 75.300163 128.205733) + (xy 75.298256 128.223872) + (xy 75.296107 128.235468) + (xy 75.291385 128.253091) + (xy 75.287709 128.323232) + (xy 75.2874 128.327153) + (xy 75.2851 128.349041) + (xy 75.2851 128.371065) + (xy 75.284997 128.375) + (xy 75.281321 128.445146) + (xy 75.284174 128.463158) + (xy 75.2851 128.474923) + (xy 75.2851 129.387065) + (xy 75.284997 129.391) + (xy 75.281321 129.461146) + (xy 75.284174 129.479158) + (xy 75.2851 129.490923) + (xy 75.2851 131.4968) + (xy 75.267507 131.545138) + (xy 75.222958 131.570858) + (xy 75.2099 131.572) + (xy 48.140448 131.572) + (xy 48.1257 131.57054) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.474958 130.668915) + (xy 46.474957 130.668913) + (xy 46.469278 130.657555) + (xy 46.446247 130.611493) + (xy 46.435846 130.590691) + (xy 46.434617 130.588233) + (xy 46.430488 130.579975) + (xy 46.423261 130.565521) + (xy 46.422538 130.564075) + (xy 46.414091 130.547181) + (xy 46.406562 130.532123) + (xy 46.399971 130.518941) + (xy 46.38875 130.496499) + (xy 46.384685 130.488369) + (xy 46.376674 130.472347) + (xy 46.369451 130.457901) + (xy 46.368526 130.456051) + (xy 46.319321 130.357641) + (xy 46.31012 130.339239) + (xy 46.241209 130.201417) + (xy 46.237808 130.194615) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.224139 130.155698) + (xy 46.10246 129.5473) + (xy 46.101 129.532552) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.306785 127.027659) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.44488 127.466555) + (xy 47.444881 127.466556) + (xy 47.50575 127.538217) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.961419 127.0556) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.949118 126.849999) + (xy 48.927032 126.770452) + (xy 48.905327 126.692277) + (xy 48.904654 126.691007) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.820702 126.532954) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.347858 126.201008) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.055506 126.177053) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.056234 103.582934) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.530055 103.765957) + (xy 57.643771 103.708016) + (xy 57.734016 103.617771) + (xy 57.791957 103.504055) + (xy 57.811922 103.378) + (xy 57.810652 103.369984) + (xy 57.807833 103.352186) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.653925 103.058138) + (xy 57.632186 103.011518) + (xy 57.6319 103.004964) + (xy 57.6319 102.8065) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.232984 103.046271) + (xy 59.313074 103.126361) + (xy 59.334814 103.172981) + (xy 59.3351 103.179535) + (xy 59.3351 120.769062) + (xy 59.334997 120.772998) + (xy 59.332876 120.813448) + (xy 59.341688 120.836402) + (xy 59.345039 120.847715) + (xy 59.350151 120.871763) + (xy 59.350152 120.871766) + (xy 59.355169 120.878671) + (xy 59.364536 120.895922) + (xy 59.367596 120.903895) + (xy 59.367597 120.903896) + (xy 59.384985 120.921284) + (xy 59.392644 120.930252) + (xy 59.407097 120.950144) + (xy 59.407099 120.950146) + (xy 59.414495 120.954416) + (xy 59.430066 120.966365) + (xy 65.873231 127.40953) + (xy 65.875942 127.412386) + (xy 65.901672 127.440963) + (xy 65.903051 127.442494) + (xy 65.925516 127.452495) + (xy 65.935885 127.458126) + (xy 65.937772 127.459351) + (xy 65.956502 127.471516) + (xy 65.964928 127.47285) + (xy 65.983759 127.478428) + (xy 65.991553 127.481898) + (xy 65.991555 127.481898) + (xy 65.991558 127.4819) + (xy 66.016152 127.4819) + (xy 66.027915 127.482825) + (xy 66.052194 127.486671) + (xy 66.057253 127.485315) + (xy 66.06044 127.484462) + (xy 66.079901 127.4819) + (xy 70.540564 127.4819) + (xy 70.5445 127.482003) + (xy 70.584947 127.484123) + (xy 70.584947 127.484122) + (xy 70.584948 127.484123) + (xy 70.607903 127.47531) + (xy 70.619222 127.471958) + (xy 70.643261 127.466849) + (xy 70.64326 127.466849) + (xy 70.643266 127.466848) + (xy 70.650174 127.461828) + (xy 70.667421 127.452464) + (xy 70.675396 127.449403) + (xy 70.692789 127.432009) + (xy 70.701748 127.424357) + (xy 70.721646 127.409901) + (xy 70.725915 127.402505) + (xy 70.737862 127.386935) + (xy 74.133047 123.99175) + (xy 74.135865 123.989075) + (xy 74.165994 123.961949) + (xy 74.175996 123.93948) + (xy 74.181623 123.929117) + (xy 74.195016 123.908497) + (xy 74.19635 123.900072) + (xy 74.20193 123.881234) + (xy 74.203602 123.877479) + (xy 74.2054 123.873442) + (xy 74.2054 123.848846) + (xy 74.206326 123.837081) + (xy 74.206799 123.834095) + (xy 74.210171 123.812806) + (xy 74.209701 123.811055) + (xy 74.207962 123.804559) + (xy 74.207362 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.759902 124.019984) + (xy 77.769984 124.039771) + (xy 77.860229 124.130016) + (xy 77.973943 124.187956) + (xy 77.973945 124.187957) + (xy 78.1 124.207922) + (xy 78.226055 124.187957) + (xy 78.339771 124.130016) + (xy 78.430016 124.039771) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 86.892078 123.8) + (xy 86.912043 123.926056) + (xy 86.959902 124.019984) + (xy 86.969984 124.039771) + (xy 87.060229 124.130016) + (xy 87.173943 124.187956) + (xy 87.173945 124.187957) + (xy 87.3 124.207922) + (xy 87.426055 124.187957) + (xy 87.539771 124.130016) + (xy 87.630016 124.039771) + (xy 87.687957 123.926055) + (xy 87.707922 123.8) + (xy 96.092078 123.8) + (xy 96.112043 123.926056) + (xy 96.159902 124.019984) + (xy 96.169984 124.039771) + (xy 96.260229 124.130016) + (xy 96.373943 124.187956) + (xy 96.373945 124.187957) + (xy 96.5 124.207922) + (xy 96.626055 124.187957) + (xy 96.739771 124.130016) + (xy 96.830016 124.039771) + (xy 96.887957 123.926055) + (xy 96.907922 123.8) + (xy 105.292078 123.8) + (xy 105.312043 123.926056) + (xy 105.359902 124.019984) + (xy 105.369984 124.039771) + (xy 105.460229 124.130016) + (xy 105.573943 124.187956) + (xy 105.573945 124.187957) + (xy 105.7 124.207922) + (xy 105.826055 124.187957) + (xy 105.939771 124.130016) + (xy 106.030016 124.039771) + (xy 106.087957 123.926055) + (xy 106.107922 123.8) + (xy 106.087957 123.673945) + (xy 106.030016 123.560229) + (xy 105.939771 123.469984) + (xy 105.826055 123.412043) + (xy 105.826057 123.412043) + (xy 105.7 123.392078) + (xy 105.573943 123.412043) + (xy 105.460228 123.469984) + (xy 105.369984 123.560228) + (xy 105.312043 123.673943) + (xy 105.292078 123.799999) + (xy 105.292078 123.8) + (xy 96.907922 123.8) + (xy 96.887957 123.673945) + (xy 96.830016 123.560229) + (xy 96.739771 123.469984) + (xy 96.626055 123.412043) + (xy 96.626057 123.412043) + (xy 96.5 123.392078) + (xy 96.373943 123.412043) + (xy 96.260228 123.469984) + (xy 96.169984 123.560228) + (xy 96.112043 123.673943) + (xy 96.092078 123.799999) + (xy 96.092078 123.8) + (xy 87.707922 123.8) + (xy 87.687957 123.673945) + (xy 87.630016 123.560229) + (xy 87.539771 123.469984) + (xy 87.426055 123.412043) + (xy 87.426057 123.412043) + (xy 87.3 123.392078) + (xy 87.173943 123.412043) + (xy 87.060228 123.469984) + (xy 86.969984 123.560228) + (xy 86.912043 123.673943) + (xy 86.892078 123.799999) + (xy 86.892078 123.8) + (xy 78.507922 123.8) + (xy 78.502208 123.763927) + (xy 78.512022 123.713433) + (xy 78.523304 123.698993) + (xy 80.022297 122.2) + (xy 115.142078 122.2) + (xy 115.162043 122.326056) + (xy 115.180122 122.361537) + (xy 115.219984 122.439771) + (xy 115.310229 122.530016) + (xy 115.423943 122.587956) + (xy 115.423945 122.587957) + (xy 115.55 122.607922) + (xy 115.676055 122.587957) + (xy 115.789771 122.530016) + (xy 115.880016 122.439771) + (xy 115.937957 122.326055) + (xy 115.957922 122.2) + (xy 116.942078 122.2) + (xy 116.962043 122.326056) + (xy 116.980122 122.361537) + (xy 117.019984 122.439771) + (xy 117.110229 122.530016) + (xy 117.223943 122.587956) + (xy 117.223945 122.587957) + (xy 117.35 122.607922) + (xy 117.476055 122.587957) + (xy 117.589771 122.530016) + (xy 117.680016 122.439771) + (xy 117.737957 122.326055) + (xy 117.757922 122.2) + (xy 119.842078 122.2) + (xy 119.862043 122.326056) + (xy 119.880122 122.361537) + (xy 119.919984 122.439771) + (xy 120.010229 122.530016) + (xy 120.123943 122.587956) + (xy 120.123945 122.587957) + (xy 120.25 122.607922) + (xy 120.376055 122.587957) + (xy 120.489771 122.530016) + (xy 120.580016 122.439771) + (xy 120.637957 122.326055) + (xy 120.657922 122.2) + (xy 121.642078 122.2) + (xy 121.662043 122.326056) + (xy 121.680122 122.361537) + (xy 121.719984 122.439771) + (xy 121.810229 122.530016) + (xy 121.923943 122.587956) + (xy 121.923945 122.587957) + (xy 122.05 122.607922) + (xy 122.176055 122.587957) + (xy 122.289771 122.530016) + (xy 122.380016 122.439771) + (xy 122.437957 122.326055) + (xy 122.457922 122.2) + (xy 122.437957 122.073945) + (xy 122.380016 121.960229) + (xy 122.289771 121.869984) + (xy 122.176055 121.812043) + (xy 122.176057 121.812043) + (xy 122.05 121.792078) + (xy 121.923943 121.812043) + (xy 121.810228 121.869984) + (xy 121.719984 121.960228) + (xy 121.662043 122.073943) + (xy 121.642078 122.199999) + (xy 121.642078 122.2) + (xy 120.657922 122.2) + (xy 120.637957 122.073945) + (xy 120.580016 121.960229) + (xy 120.489771 121.869984) + (xy 120.376055 121.812043) + (xy 120.376057 121.812043) + (xy 120.25 121.792078) + (xy 120.123943 121.812043) + (xy 120.010228 121.869984) + (xy 119.919984 121.960228) + (xy 119.862043 122.073943) + (xy 119.842078 122.199999) + (xy 119.842078 122.2) + (xy 117.757922 122.2) + (xy 117.737957 122.073945) + (xy 117.680016 121.960229) + (xy 117.589771 121.869984) + (xy 117.476055 121.812043) + (xy 117.476057 121.812043) + (xy 117.35 121.792078) + (xy 117.223943 121.812043) + (xy 117.110228 121.869984) + (xy 117.019984 121.960228) + (xy 116.962043 122.073943) + (xy 116.942078 122.199999) + (xy 116.942078 122.2) + (xy 115.957922 122.2) + (xy 115.937957 122.073945) + (xy 115.880016 121.960229) + (xy 115.789771 121.869984) + (xy 115.676055 121.812043) + (xy 115.676057 121.812043) + (xy 115.55 121.792078) + (xy 115.423943 121.812043) + (xy 115.310228 121.869984) + (xy 115.219984 121.960228) + (xy 115.162043 122.073943) + (xy 115.142078 122.199999) + (xy 115.142078 122.2) + (xy 80.022297 122.2) + (xy 82.072297 120.15) + (xy 86.892078 120.15) + (xy 86.912043 120.276056) + (xy 86.961309 120.372745) + (xy 86.969984 120.389771) + (xy 87.060229 120.480016) + (xy 87.173943 120.537956) + (xy 87.173945 120.537957) + (xy 87.3 120.557922) + (xy 87.426055 120.537957) + (xy 87.539771 120.480016) + (xy 87.630016 120.389771) + (xy 87.687957 120.276055) + (xy 87.707922 120.15) + (xy 96.092078 120.15) + (xy 96.112043 120.276056) + (xy 96.161309 120.372745) + (xy 96.169984 120.389771) + (xy 96.260229 120.480016) + (xy 96.373943 120.537956) + (xy 96.373945 120.537957) + (xy 96.5 120.557922) + (xy 96.626055 120.537957) + (xy 96.739771 120.480016) + (xy 96.830016 120.389771) + (xy 96.887957 120.276055) + (xy 96.907922 120.15) + (xy 105.292078 120.15) + (xy 105.312043 120.276056) + (xy 105.361309 120.372745) + (xy 105.369984 120.389771) + (xy 105.460229 120.480016) + (xy 105.573943 120.537956) + (xy 105.573945 120.537957) + (xy 105.7 120.557922) + (xy 105.826055 120.537957) + (xy 105.939771 120.480016) + (xy 106.030016 120.389771) + (xy 106.087957 120.276055) + (xy 106.107922 120.15) + (xy 106.087957 120.023945) + (xy 106.030016 119.910229) + (xy 105.939771 119.819984) + (xy 105.826055 119.762043) + (xy 105.826057 119.762043) + (xy 105.7 119.742078) + (xy 105.573943 119.762043) + (xy 105.460228 119.819984) + (xy 105.369984 119.910228) + (xy 105.312043 120.023943) + (xy 105.292078 120.149999) + (xy 105.292078 120.15) + (xy 96.907922 120.15) + (xy 96.887957 120.023945) + (xy 96.830016 119.910229) + (xy 96.739771 119.819984) + (xy 96.626055 119.762043) + (xy 96.626057 119.762043) + (xy 96.5 119.742078) + (xy 96.373943 119.762043) + (xy 96.260228 119.819984) + (xy 96.169984 119.910228) + (xy 96.112043 120.023943) + (xy 96.092078 120.149999) + (xy 96.092078 120.15) + (xy 87.707922 120.15) + (xy 87.687957 120.023945) + (xy 87.630016 119.910229) + (xy 87.539771 119.819984) + (xy 87.426055 119.762043) + (xy 87.426057 119.762043) + (xy 87.3 119.742078) + (xy 87.173943 119.762043) + (xy 87.060228 119.819984) + (xy 86.969984 119.910228) + (xy 86.912043 120.023943) + (xy 86.892078 120.149999) + (xy 86.892078 120.15) + (xy 82.072297 120.15) + (xy 83.072297 119.15) + (xy 84.542078 119.15) + (xy 84.562043 119.276056) + (xy 84.576273 119.303984) + (xy 84.619984 119.389771) + (xy 84.710229 119.480016) + (xy 84.823943 119.537956) + (xy 84.823945 119.537957) + (xy 84.95 119.557922) + (xy 85.076055 119.537957) + (xy 85.189771 119.480016) + (xy 85.280016 119.389771) + (xy 85.337957 119.276055) + (xy 85.357922 119.15) + (xy 85.342084 119.05) + (xy 86.267078 119.05) + (xy 86.287043 119.176056) + (xy 86.334902 119.269984) + (xy 86.344984 119.289771) + (xy 86.435229 119.380016) + (xy 86.548943 119.437956) + (xy 86.548945 119.437957) + (xy 86.675 119.457922) + (xy 86.801055 119.437957) + (xy 86.914771 119.380016) + (xy 87.005016 119.289771) + (xy 87.062957 119.176055) + (xy 87.067084 119.15) + (xy 93.742078 119.15) + (xy 93.762043 119.276056) + (xy 93.776273 119.303984) + (xy 93.819984 119.389771) + (xy 93.910229 119.480016) + (xy 94.023943 119.537956) + (xy 94.023945 119.537957) + (xy 94.15 119.557922) + (xy 94.276055 119.537957) + (xy 94.389771 119.480016) + (xy 94.480016 119.389771) + (xy 94.537957 119.276055) + (xy 94.557922 119.15) + (xy 94.542084 119.05) + (xy 95.467078 119.05) + (xy 95.487043 119.176056) + (xy 95.534902 119.269984) + (xy 95.544984 119.289771) + (xy 95.635229 119.380016) + (xy 95.748943 119.437956) + (xy 95.748945 119.437957) + (xy 95.875 119.457922) + (xy 96.001055 119.437957) + (xy 96.114771 119.380016) + (xy 96.205016 119.289771) + (xy 96.262957 119.176055) + (xy 96.267084 119.15) + (xy 102.942078 119.15) + (xy 102.962043 119.276056) + (xy 102.976273 119.303984) + (xy 103.019984 119.389771) + (xy 103.110229 119.480016) + (xy 103.223943 119.537956) + (xy 103.223945 119.537957) + (xy 103.35 119.557922) + (xy 103.476055 119.537957) + (xy 103.589771 119.480016) + (xy 103.680016 119.389771) + (xy 103.737957 119.276055) + (xy 103.757922 119.15) + (xy 103.742084 119.05) + (xy 104.667078 119.05) + (xy 104.687043 119.176056) + (xy 104.734902 119.269984) + (xy 104.744984 119.289771) + (xy 104.835229 119.380016) + (xy 104.948943 119.437956) + (xy 104.948945 119.437957) + (xy 105.075 119.457922) + (xy 105.201055 119.437957) + (xy 105.314771 119.380016) + (xy 105.405016 119.289771) + (xy 105.462957 119.176055) + (xy 105.482922 119.05) + (xy 105.462957 118.923945) + (xy 105.405016 118.810229) + (xy 105.314771 118.719984) + (xy 105.201055 118.662043) + (xy 105.201057 118.662043) + (xy 105.075 118.642078) + (xy 104.948943 118.662043) + (xy 104.835228 118.719984) + (xy 104.744984 118.810228) + (xy 104.687043 118.923943) + (xy 104.667078 119.049999) + (xy 104.667078 119.05) + (xy 103.742084 119.05) + (xy 103.737957 119.023945) + (xy 103.680016 118.910229) + (xy 103.589771 118.819984) + (xy 103.476055 118.762043) + (xy 103.476057 118.762043) + (xy 103.35 118.742078) + (xy 103.223943 118.762043) + (xy 103.110228 118.819984) + (xy 103.019984 118.910228) + (xy 102.962043 119.023943) + (xy 102.942078 119.149999) + (xy 102.942078 119.15) + (xy 96.267084 119.15) + (xy 96.282922 119.05) + (xy 96.262957 118.923945) + (xy 96.205016 118.810229) + (xy 96.114771 118.719984) + (xy 96.001055 118.662043) + (xy 96.001057 118.662043) + (xy 95.875 118.642078) + (xy 95.748943 118.662043) + (xy 95.635228 118.719984) + (xy 95.544984 118.810228) + (xy 95.487043 118.923943) + (xy 95.467078 119.049999) + (xy 95.467078 119.05) + (xy 94.542084 119.05) + (xy 94.537957 119.023945) + (xy 94.480016 118.910229) + (xy 94.389771 118.819984) + (xy 94.276055 118.762043) + (xy 94.276057 118.762043) + (xy 94.15 118.742078) + (xy 94.023943 118.762043) + (xy 93.910228 118.819984) + (xy 93.819984 118.910228) + (xy 93.762043 119.023943) + (xy 93.742078 119.149999) + (xy 93.742078 119.15) + (xy 87.067084 119.15) + (xy 87.082922 119.05) + (xy 87.062957 118.923945) + (xy 87.005016 118.810229) + (xy 86.914771 118.719984) + (xy 86.801055 118.662043) + (xy 86.801057 118.662043) + (xy 86.675 118.642078) + (xy 86.548943 118.662043) + (xy 86.435228 118.719984) + (xy 86.344984 118.810228) + (xy 86.287043 118.923943) + (xy 86.267078 119.049999) + (xy 86.267078 119.05) + (xy 85.342084 119.05) + (xy 85.337957 119.023945) + (xy 85.280016 118.910229) + (xy 85.189771 118.819984) + (xy 85.076055 118.762043) + (xy 85.076057 118.762043) + (xy 84.95 118.742078) + (xy 84.823943 118.762043) + (xy 84.710228 118.819984) + (xy 84.619984 118.910228) + (xy 84.562043 119.023943) + (xy 84.542078 119.149999) + (xy 84.542078 119.15) + (xy 83.072297 119.15) + (xy 84.122297 118.1) + (xy 85.242329 118.1) + (xy 85.261331 118.244337) + (xy 85.27611 118.280015) + (xy 85.317043 118.378836) + (xy 85.317044 118.378838) + (xy 85.317045 118.378839) + (xy 85.405666 118.494333) + (xy 85.472741 118.545801) + (xy 85.521164 118.582957) + (xy 85.655664 118.638669) + (xy 85.8 118.657671) + (xy 85.944336 118.638669) + (xy 86.078836 118.582957) + (xy 86.194333 118.494333) + (xy 86.282957 118.378836) + (xy 86.338669 118.244336) + (xy 86.357671 118.1) + (xy 86.338669 117.955664) + (xy 86.336323 117.95) + (xy 86.892078 117.95) + (xy 86.912043 118.076056) + (xy 86.969984 118.189771) + (xy 87.060229 118.280016) + (xy 87.173943 118.337956) + (xy 87.173945 118.337957) + (xy 87.3 118.357922) + (xy 87.426055 118.337957) + (xy 87.539771 118.280016) + (xy 87.630016 118.189771) + (xy 87.675756 118.1) + (xy 94.442329 118.1) + (xy 94.461331 118.244337) + (xy 94.47611 118.280015) + (xy 94.517043 118.378836) + (xy 94.517044 118.378838) + (xy 94.517045 118.378839) + (xy 94.605666 118.494333) + (xy 94.672741 118.545801) + (xy 94.721164 118.582957) + (xy 94.855664 118.638669) + (xy 95 118.657671) + (xy 95.144336 118.638669) + (xy 95.278836 118.582957) + (xy 95.394333 118.494333) + (xy 95.482957 118.378836) + (xy 95.538669 118.244336) + (xy 95.557671 118.1) + (xy 95.538669 117.955664) + (xy 95.536323 117.95) + (xy 96.092078 117.95) + (xy 96.112043 118.076056) + (xy 96.169984 118.189771) + (xy 96.260229 118.280016) + (xy 96.373943 118.337956) + (xy 96.373945 118.337957) + (xy 96.5 118.357922) + (xy 96.626055 118.337957) + (xy 96.739771 118.280016) + (xy 96.830016 118.189771) + (xy 96.875756 118.1) + (xy 103.642329 118.1) + (xy 103.661331 118.244337) + (xy 103.67611 118.280015) + (xy 103.717043 118.378836) + (xy 103.717044 118.378838) + (xy 103.717045 118.378839) + (xy 103.805666 118.494333) + (xy 103.872741 118.545801) + (xy 103.921164 118.582957) + (xy 104.055664 118.638669) + (xy 104.2 118.657671) + (xy 104.344336 118.638669) + (xy 104.478836 118.582957) + (xy 104.594333 118.494333) + (xy 104.682957 118.378836) + (xy 104.738669 118.244336) + (xy 104.757671 118.1) + (xy 104.738669 117.955664) + (xy 104.736323 117.95) + (xy 105.292078 117.95) + (xy 105.312043 118.076056) + (xy 105.369984 118.189771) + (xy 105.460229 118.280016) + (xy 105.573943 118.337956) + (xy 105.573945 118.337957) + (xy 105.7 118.357922) + (xy 105.826055 118.337957) + (xy 105.939771 118.280016) + (xy 106.030016 118.189771) + (xy 106.087957 118.076055) + (xy 106.107922 117.95) + (xy 106.087957 117.823945) + (xy 106.030016 117.710229) + (xy 105.939771 117.619984) + (xy 105.826055 117.562043) + (xy 105.826057 117.562043) + (xy 105.7 117.542078) + (xy 105.573943 117.562043) + (xy 105.460228 117.619984) + (xy 105.369984 117.710228) + (xy 105.312043 117.823943) + (xy 105.292078 117.949999) + (xy 105.292078 117.95) + (xy 104.736323 117.95) + (xy 104.682957 117.821165) + (xy 104.682015 117.819937) + (xy 104.594333 117.705666) + (xy 104.478839 117.617045) + (xy 104.478838 117.617044) + (xy 104.478836 117.617043) + (xy 104.391891 117.581029) + (xy 104.344337 117.561331) + (xy 104.2 117.542329) + (xy 104.055662 117.561331) + (xy 103.921163 117.617044) + (xy 103.921162 117.617044) + (xy 103.805666 117.705666) + (xy 103.717044 117.821162) + (xy 103.717044 117.821163) + (xy 103.717043 117.821164) + (xy 103.717043 117.821165) + (xy 103.710847 117.836123) + (xy 103.661331 117.955662) + (xy 103.642329 118.1) + (xy 96.875756 118.1) + (xy 96.887957 118.076055) + (xy 96.907922 117.95) + (xy 96.887957 117.823945) + (xy 96.830016 117.710229) + (xy 96.739771 117.619984) + (xy 96.626055 117.562043) + (xy 96.626057 117.562043) + (xy 96.5 117.542078) + (xy 96.373943 117.562043) + (xy 96.260228 117.619984) + (xy 96.169984 117.710228) + (xy 96.112043 117.823943) + (xy 96.092078 117.949999) + (xy 96.092078 117.95) + (xy 95.536323 117.95) + (xy 95.482957 117.821165) + (xy 95.482015 117.819937) + (xy 95.394333 117.705666) + (xy 95.278839 117.617045) + (xy 95.278838 117.617044) + (xy 95.278836 117.617043) + (xy 95.191891 117.581029) + (xy 95.144337 117.561331) + (xy 95 117.542329) + (xy 94.855662 117.561331) + (xy 94.721163 117.617044) + (xy 94.721162 117.617044) + (xy 94.605666 117.705666) + (xy 94.517044 117.821162) + (xy 94.517044 117.821163) + (xy 94.517043 117.821164) + (xy 94.517043 117.821165) + (xy 94.510847 117.836123) + (xy 94.461331 117.955662) + (xy 94.442329 118.1) + (xy 87.675756 118.1) + (xy 87.687957 118.076055) + (xy 87.707922 117.95) + (xy 87.687957 117.823945) + (xy 87.630016 117.710229) + (xy 87.539771 117.619984) + (xy 87.426055 117.562043) + (xy 87.426057 117.562043) + (xy 87.3 117.542078) + (xy 87.173943 117.562043) + (xy 87.060228 117.619984) + (xy 86.969984 117.710228) + (xy 86.912043 117.823943) + (xy 86.892078 117.949999) + (xy 86.892078 117.95) + (xy 86.336323 117.95) + (xy 86.282957 117.821165) + (xy 86.282015 117.819937) + (xy 86.194333 117.705666) + (xy 86.078839 117.617045) + (xy 86.078838 117.617044) + (xy 86.078836 117.617043) + (xy 85.991891 117.581029) + (xy 85.944337 117.561331) + (xy 85.8 117.542329) + (xy 85.655662 117.561331) + (xy 85.521163 117.617044) + (xy 85.521162 117.617044) + (xy 85.405666 117.705666) + (xy 85.317044 117.821162) + (xy 85.317044 117.821163) + (xy 85.317043 117.821164) + (xy 85.317043 117.821165) + (xy 85.310847 117.836123) + (xy 85.261331 117.955662) + (xy 85.242329 118.1) + (xy 84.122297 118.1) + (xy 87.055547 115.16675) + (xy 87.058365 115.164075) + (xy 87.088494 115.136949) + (xy 87.098496 115.11448) + (xy 87.104123 115.104117) + (xy 87.117516 115.083497) + (xy 87.11885 115.075072) + (xy 87.12443 115.056234) + (xy 87.1279 115.048442) + (xy 87.1279 115.023846) + (xy 87.128826 115.012081) + (xy 87.130074 115.004201) + (xy 87.132671 114.987806) + (xy 87.132201 114.986055) + (xy 87.130462 114.979559) + (xy 87.1279 114.960099) + (xy 87.1279 111.487194) + (xy 88.067329 111.487194) + (xy 88.069538 111.495438) + (xy 88.0721 111.5149) + (xy 88.0721 115.192062) + (xy 88.071997 115.195998) + (xy 88.069876 115.236448) + (xy 88.078688 115.259402) + (xy 88.082039 115.270715) + (xy 88.087151 115.294763) + (xy 88.087152 115.294766) + (xy 88.092169 115.301671) + (xy 88.101536 115.318922) + (xy 88.104596 115.326895) + (xy 88.104597 115.326896) + (xy 88.121985 115.344284) + (xy 88.129644 115.353252) + (xy 88.144097 115.373144) + (xy 88.144099 115.373146) + (xy 88.151495 115.377416) + (xy 88.167066 115.389365) + (xy 89.026691 116.24899) + (xy 89.048431 116.29561) + (xy 89.047791 116.313928) + (xy 89.042078 116.349999) + (xy 89.042078 116.35) + (xy 89.062043 116.476056) + (xy 89.097228 116.54511) + (xy 89.119984 116.589771) + (xy 89.210229 116.680016) + (xy 89.323943 116.737956) + (xy 89.323945 116.737957) + (xy 89.45 116.757922) + (xy 89.576055 116.737957) + (xy 89.689771 116.680016) + (xy 89.780016 116.589771) + (xy 89.837957 116.476055) + (xy 89.857922 116.35) + (xy 89.837957 116.223945) + (xy 89.780016 116.110229) + (xy 89.689771 116.019984) + (xy 89.576055 115.962043) + (xy 89.576057 115.962043) + (xy 89.450001 115.942078) + (xy 89.449996 115.942078) + (xy 89.413926 115.94779) + (xy 89.363431 115.937974) + (xy 89.34899 115.92669) + (xy 88.549926 115.127626) + (xy 88.528186 115.081006) + (xy 88.5279 115.074452) + (xy 88.5279 112) + (xy 89.292078 112) + (xy 89.312043 112.126056) + (xy 89.352752 112.205952) + (xy 89.369984 112.239771) + (xy 89.460229 112.330016) + (xy 89.573943 112.387956) + (xy 89.573945 112.387957) + (xy 89.7 112.407922) + (xy 89.826055 112.387957) + (xy 89.939771 112.330016) + (xy 90.030016 112.239771) + (xy 90.087957 112.126055) + (xy 90.107922 112) + (xy 90.087957 111.873945) + (xy 90.030016 111.760229) + (xy 89.939771 111.669984) + (xy 89.826055 111.612043) + (xy 89.826057 111.612043) + (xy 89.7 111.592078) + (xy 89.573943 111.612043) + (xy 89.460228 111.669984) + (xy 89.369984 111.760228) + (xy 89.312043 111.873943) + (xy 89.292078 111.999999) + (xy 89.292078 112) + (xy 88.5279 112) + (xy 88.5279 111.600547) + (xy 88.545493 111.552209) + (xy 88.549926 111.547373) + (xy 88.822364 111.274935) + (xy 89.105557 110.991741) + (xy 89.108375 110.989066) + (xy 89.138494 110.961949) + (xy 89.148496 110.93948) + (xy 89.154124 110.929115) + (xy 89.167516 110.908496) + (xy 89.16885 110.900071) + (xy 89.17443 110.881234) + (xy 89.17589 110.877955) + (xy 89.1779 110.873442) + (xy 89.1779 110.848846) + (xy 89.178826 110.837081) + (xy 89.180262 110.828016) + (xy 89.182671 110.812806) + (xy 89.182129 110.810784) + (xy 89.180462 110.804559) + (xy 89.1779 110.785099) + (xy 89.1779 110.25) + (xy 89.542078 110.25) + (xy 89.562043 110.376056) + (xy 89.571947 110.395493) + (xy 89.619984 110.489771) + (xy 89.710229 110.580016) + (xy 89.823943 110.637956) + (xy 89.823945 110.637957) + (xy 89.95 110.657922) + (xy 90.076055 110.637957) + (xy 90.189771 110.580016) + (xy 90.280016 110.489771) + (xy 90.337957 110.376055) + (xy 90.357922 110.25) + (xy 90.337957 110.123945) + (xy 90.280016 110.010229) + (xy 90.189771 109.919984) + (xy 90.076055 109.862043) + (xy 90.076057 109.862043) + (xy 89.95 109.842078) + (xy 89.823943 109.862043) + (xy 89.710228 109.919984) + (xy 89.619984 110.010228) + (xy 89.562043 110.123943) + (xy 89.542078 110.249999) + (xy 89.542078 110.25) + (xy 89.1779 110.25) + (xy 89.1779 107.963846) + (xy 89.186098 107.929703) + (xy 89.187957 107.926055) + (xy 89.192084 107.9) + (xy 89.542078 107.9) + (xy 89.562043 108.026056) + (xy 89.605138 108.110634) + (xy 89.619984 108.139771) + (xy 89.710229 108.230016) + (xy 89.823943 108.287956) + (xy 89.823945 108.287957) + (xy 89.95 108.307922) + (xy 90.076055 108.287957) + (xy 90.189771 108.230016) + (xy 90.280016 108.139771) + (xy 90.337957 108.026055) + (xy 90.357922 107.9) + (xy 90.342084 107.8) + (xy 91.392078 107.8) + (xy 91.412043 107.926056) + (xy 91.469984 108.039771) + (xy 91.550074 108.119861) + (xy 91.571814 108.166481) + (xy 91.5721 108.173035) + (xy 91.5721 113.442062) + (xy 91.571997 113.445998) + (xy 91.569876 113.486448) + (xy 91.578688 113.509402) + (xy 91.582039 113.520715) + (xy 91.584227 113.531006) + (xy 91.587152 113.544766) + (xy 91.589462 113.547946) + (xy 91.592169 113.551671) + (xy 91.601536 113.568922) + (xy 91.604596 113.576895) + (xy 91.604597 113.576896) + (xy 91.621985 113.594284) + (xy 91.629644 113.603252) + (xy 91.644097 113.623144) + (xy 91.644099 113.623146) + (xy 91.651495 113.627416) + (xy 91.667066 113.639365) + (xy 95.133231 117.10553) + (xy 95.135942 117.108386) + (xy 95.163051 117.138494) + (xy 95.185516 117.148495) + (xy 95.195887 117.154127) + (xy 95.216502 117.167516) + (xy 95.224928 117.16885) + (xy 95.243759 117.174428) + (xy 95.251553 117.177898) + (xy 95.251555 117.177898) + (xy 95.251558 117.1779) + (xy 95.276152 117.1779) + (xy 95.287915 117.178825) + (xy 95.312194 117.182671) + (xy 95.317253 117.181315) + (xy 95.32044 117.180462) + (xy 95.339901 117.1779) + (xy 106.124453 117.1779) + (xy 106.172791 117.195493) + (xy 106.177626 117.199925) + (xy 108.165676 119.187976) + (xy 110.13324 121.15554) + (xy 110.135951 121.158396) + (xy 110.163051 121.188494) + (xy 110.185523 121.198498) + (xy 110.195874 121.204119) + (xy 110.216503 121.217516) + (xy 110.224928 121.21885) + (xy 110.243759 121.224428) + (xy 110.251553 121.227898) + (xy 110.251555 121.227898) + (xy 110.251558 121.2279) + (xy 110.276152 121.2279) + (xy 110.287915 121.228825) + (xy 110.312194 121.232671) + (xy 110.317253 121.231315) + (xy 110.32044 121.230462) + (xy 110.339901 121.2279) + (xy 113.592064 121.2279) + (xy 113.596 121.228003) + (xy 113.636447 121.230123) + (xy 113.636447 121.230122) + (xy 113.636448 121.230123) + (xy 113.659403 121.22131) + (xy 113.670722 121.217958) + (xy 113.694761 121.212849) + (xy 113.69476 121.212849) + (xy 113.694766 121.212848) + (xy 113.701674 121.207828) + (xy 113.718921 121.198464) + (xy 113.726896 121.195403) + (xy 113.744289 121.178009) + (xy 113.753248 121.170357) + (xy 113.773146 121.155901) + (xy 113.777415 121.148505) + (xy 113.789362 121.132935) + (xy 114.898991 120.023307) + (xy 114.94561 120.001568) + (xy 114.963921 120.002207) + (xy 115 120.007922) + (xy 115.126055 119.987957) + (xy 115.239771 119.930016) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 115.407921 119.599996) + (xy 115.402208 119.563926) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.596751 119.629507) + (xy 114.597791 119.63607) + (xy 114.587976 119.686565) + (xy 114.576691 119.701008) + (xy 113.527626 120.750074) + (xy 113.481006 120.771814) + (xy 113.474452 120.7721) + (xy 110.425547 120.7721) + (xy 110.377209 120.754507) + (xy 110.372373 120.750074) + (xy 106.416758 116.794458) + (xy 106.414047 116.791602) + (xy 106.386949 116.761506) + (xy 106.386945 116.761503) + (xy 106.364486 116.751504) + (xy 106.354116 116.745874) + (xy 106.333496 116.732483) + (xy 106.325064 116.731148) + (xy 106.306243 116.725573) + (xy 106.298442 116.7221) + (xy 106.273848 116.7221) + (xy 106.262084 116.721174) + (xy 106.237808 116.717329) + (xy 106.237804 116.717329) + (xy 106.22956 116.719538) + (xy 106.210099 116.7221) + (xy 95.425547 116.7221) + (xy 95.377209 116.704507) + (xy 95.372373 116.700074) + (xy 92.049926 113.377626) + (xy 92.028186 113.331006) + (xy 92.0279 113.324452) + (xy 92.0279 108.173035) + (xy 92.045493 108.124697) + (xy 92.049926 108.119861) + (xy 92.089772 108.080015) + (xy 92.130016 108.039771) + (xy 92.187957 107.926055) + (xy 92.192084 107.9) + (xy 93.142078 107.9) + (xy 93.162043 108.026056) + (xy 93.205138 108.110634) + (xy 93.219984 108.139771) + (xy 93.310229 108.230016) + (xy 93.423943 108.287956) + (xy 93.423945 108.287957) + (xy 93.55 108.307922) + (xy 93.586071 108.302208) + (xy 93.636564 108.312022) + (xy 93.651009 108.323308) + (xy 93.700074 108.372373) + (xy 93.721814 108.418993) + (xy 93.7221 108.425547) + (xy 93.7221 113.642062) + (xy 93.721997 113.645998) + (xy 93.719876 113.686448) + (xy 93.728688 113.709402) + (xy 93.732039 113.720715) + (xy 93.737151 113.744763) + (xy 93.737152 113.744766) + (xy 93.742169 113.751671) + (xy 93.751536 113.768922) + (xy 93.754596 113.776895) + (xy 93.754597 113.776896) + (xy 93.771985 113.794284) + (xy 93.779644 113.803252) + (xy 93.794097 113.823144) + (xy 93.794099 113.823146) + (xy 93.801495 113.827416) + (xy 93.817066 113.839365) + (xy 96.383231 116.40553) + (xy 96.385942 116.408386) + (xy 96.413051 116.438494) + (xy 96.435516 116.448495) + (xy 96.445887 116.454127) + (xy 96.466502 116.467516) + (xy 96.474928 116.46885) + (xy 96.493759 116.474428) + (xy 96.501553 116.477898) + (xy 96.501555 116.477898) + (xy 96.501558 116.4779) + (xy 96.526152 116.4779) + (xy 96.537915 116.478825) + (xy 96.562194 116.482671) + (xy 96.567253 116.481315) + (xy 96.57044 116.480462) + (xy 96.589901 116.4779) + (xy 106.774453 116.4779) + (xy 106.822791 116.495493) + (xy 106.827627 116.499926) + (xy 109.133231 118.80553) + (xy 109.135942 118.808386) + (xy 109.163051 118.838494) + (xy 109.185516 118.848496) + (xy 109.195884 118.854125) + (xy 109.216504 118.867516) + (xy 109.224936 118.868851) + (xy 109.243755 118.874426) + (xy 109.251558 118.8779) + (xy 109.276147 118.8779) + (xy 109.28791 118.878825) + (xy 109.312194 118.882672) + (xy 109.318459 118.880993) + (xy 109.320443 118.880462) + (xy 109.339904 118.8779) + (xy 118.574453 118.8779) + (xy 118.622791 118.895493) + (xy 118.627627 118.899926) + (xy 119.22669 119.49899) + (xy 119.24843 119.54561) + (xy 119.24779 119.563926) + (xy 119.242078 119.599996) + (xy 119.242078 119.600001) + (xy 119.262043 119.726056) + (xy 119.309902 119.819984) + (xy 119.319984 119.839771) + (xy 119.410229 119.930016) + (xy 119.523943 119.987956) + (xy 119.523945 119.987957) + (xy 119.65 120.007922) + (xy 119.776055 119.987957) + (xy 119.889771 119.930016) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.057921 119.599996) + (xy 120.052208 119.563926) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.649999 119.192078) + (xy 119.613928 119.197791) + (xy 119.563433 119.187976) + (xy 119.54899 119.176691) + (xy 119.213754 118.841455) + (xy 118.866758 118.494458) + (xy 118.864047 118.491602) + (xy 118.836949 118.461506) + (xy 118.836945 118.461503) + (xy 118.814486 118.451504) + (xy 118.804116 118.445874) + (xy 118.783496 118.432483) + (xy 118.775064 118.431148) + (xy 118.756243 118.425573) + (xy 118.748442 118.4221) + (xy 118.723848 118.4221) + (xy 118.712084 118.421174) + (xy 118.687808 118.417329) + (xy 118.687804 118.417329) + (xy 118.67956 118.419538) + (xy 118.660099 118.4221) + (xy 109.425548 118.4221) + (xy 109.37721 118.404507) + (xy 109.372374 118.400074) + (xy 107.066758 116.094458) + (xy 107.064047 116.091602) + (xy 107.036949 116.061506) + (xy 107.036945 116.061503) + (xy 107.014486 116.051504) + (xy 107.004116 116.045874) + (xy 106.983496 116.032483) + (xy 106.975064 116.031148) + (xy 106.956243 116.025573) + (xy 106.948442 116.0221) + (xy 106.923848 116.0221) + (xy 106.912084 116.021174) + (xy 106.887808 116.017329) + (xy 106.887804 116.017329) + (xy 106.87956 116.019538) + (xy 106.860099 116.0221) + (xy 96.675547 116.0221) + (xy 96.627209 116.004507) + (xy 96.622373 116.000074) + (xy 94.199926 113.577626) + (xy 94.178186 113.531006) + (xy 94.1779 113.524452) + (xy 94.1779 108.307928) + (xy 94.178003 108.303991) + (xy 94.179605 108.273431) + (xy 94.180123 108.263552) + (xy 94.178847 108.260229) + (xy 94.17131 108.240595) + (xy 94.16796 108.229288) + (xy 94.162848 108.205234) + (xy 94.15783 108.198327) + (xy 94.148463 108.181075) + (xy 94.145403 108.173105) + (xy 94.145403 108.173104) + (xy 94.128013 108.155714) + (xy 94.120354 108.146747) + (xy 94.115815 108.140499) + (xy 94.105901 108.126854) + (xy 94.1059 108.126853) + (xy 94.098506 108.122584) + (xy 94.082934 108.110634) + (xy 93.973308 108.001008) + (xy 93.951568 107.954388) + (xy 93.952207 107.936079) + (xy 93.957922 107.9) + (xy 93.937957 107.773945) + (xy 93.880016 107.660229) + (xy 93.789771 107.569984) + (xy 93.676055 107.512043) + (xy 93.676057 107.512043) + (xy 93.55 107.492078) + (xy 93.423943 107.512043) + (xy 93.310228 107.569984) + (xy 93.219984 107.660228) + (xy 93.162043 107.773943) + (xy 93.142078 107.899999) + (xy 93.142078 107.9) + (xy 92.192084 107.9) + (xy 92.207922 107.8) + (xy 92.187957 107.673945) + (xy 92.130016 107.560229) + (xy 92.039771 107.469984) + (xy 91.926055 107.412043) + (xy 91.926057 107.412043) + (xy 91.8 107.392078) + (xy 91.673943 107.412043) + (xy 91.560228 107.469984) + (xy 91.469984 107.560228) + (xy 91.412043 107.673943) + (xy 91.392078 107.799999) + (xy 91.392078 107.8) + (xy 90.342084 107.8) + (xy 90.337957 107.773945) + (xy 90.280016 107.660229) + (xy 90.189771 107.569984) + (xy 90.076055 107.512043) + (xy 90.076057 107.512043) + (xy 89.95 107.492078) + (xy 89.823943 107.512043) + (xy 89.710228 107.569984) + (xy 89.619984 107.660228) + (xy 89.562043 107.773943) + (xy 89.542078 107.899999) + (xy 89.542078 107.9) + (xy 89.192084 107.9) + (xy 89.207922 107.8) + (xy 89.187957 107.673945) + (xy 89.130016 107.560229) + (xy 89.039771 107.469984) + (xy 88.926055 107.412043) + (xy 88.926057 107.412043) + (xy 88.8 107.392078) + (xy 88.673943 107.412043) + (xy 88.560228 107.469984) + (xy 88.469984 107.560228) + (xy 88.412043 107.673943) + (xy 88.392078 107.799999) + (xy 88.392078 107.8) + (xy 88.412043 107.926056) + (xy 88.457079 108.014443) + (xy 88.469984 108.039771) + (xy 88.560229 108.130016) + (xy 88.673945 108.187957) + (xy 88.679219 108.190644) + (xy 88.678061 108.192916) + (xy 88.71066 108.218368) + (xy 88.7221 108.258239) + (xy 88.7221 110.699451) + (xy 88.704507 110.747789) + (xy 88.700074 110.752625) + (xy 88.144456 111.308242) + (xy 88.141602 111.310952) + (xy 88.111504 111.338052) + (xy 88.102851 111.357489) + (xy 88.101888 111.359654) + (xy 88.101507 111.360509) + (xy 88.095877 111.370878) + (xy 88.082485 111.391501) + (xy 88.082483 111.391506) + (xy 88.081148 111.399935) + (xy 88.075574 111.418754) + (xy 88.0721 111.426557) + (xy 88.0721 111.451152) + (xy 88.071174 111.462916) + (xy 88.067329 111.487191) + (xy 88.067329 111.487194) + (xy 87.1279 111.487194) + (xy 87.1279 111.475546) + (xy 87.145493 111.427208) + (xy 87.149915 111.422383) + (xy 87.94899 110.623307) + (xy 87.995609 110.601568) + (xy 88.013925 110.602207) + (xy 88.05 110.607922) + (xy 88.176055 110.587957) + (xy 88.289771 110.530016) + (xy 88.380016 110.439771) + (xy 88.437957 110.326055) + (xy 88.457922 110.2) + (xy 88.437957 110.073945) + (xy 88.380016 109.960229) + (xy 88.289771 109.869984) + (xy 88.176055 109.812043) + (xy 88.176057 109.812043) + (xy 88.05 109.792078) + (xy 87.923943 109.812043) + (xy 87.810228 109.869984) + (xy 87.719984 109.960228) + (xy 87.662043 110.073943) + (xy 87.642078 110.199998) + (xy 87.642078 110.200003) + (xy 87.64779 110.236072) + (xy 87.637974 110.286566) + (xy 87.62669 110.301008) + (xy 86.744456 111.183242) + (xy 86.741602 111.185952) + (xy 86.711504 111.213052) + (xy 86.701507 111.235509) + (xy 86.695877 111.245878) + (xy 86.682485 111.266501) + (xy 86.682483 111.266506) + (xy 86.681148 111.274935) + (xy 86.675574 111.293754) + (xy 86.6721 111.301557) + (xy 86.6721 111.326152) + (xy 86.671174 111.337916) + (xy 86.667329 111.362191) + (xy 86.667329 111.362194) + (xy 86.669538 111.370438) + (xy 86.6721 111.3899) + (xy 86.6721 114.874451) + (xy 86.654507 114.922789) + (xy 86.650074 114.927625) + (xy 78.201008 123.37669) + (xy 78.154388 123.39843) + (xy 78.136072 123.39779) + (xy 78.100003 123.392078) + (xy 78.099999 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 74.207362 123.8) + (xy 74.2054 123.785099) + (xy 74.2054 121.737436) + (xy 74.205503 121.733499) + (xy 74.207623 121.693055) + (xy 74.207622 121.693054) + (xy 74.207623 121.693052) + (xy 74.198806 121.670086) + (xy 74.19546 121.658788) + (xy 74.190348 121.634734) + (xy 74.18533 121.627827) + (xy 74.175963 121.610575) + (xy 74.172903 121.602604) + (xy 74.172902 121.602602) + (xy 74.155518 121.585218) + (xy 74.147855 121.576247) + (xy 74.133401 121.556354) + (xy 74.126942 121.552625) + (xy 74.126003 121.552082) + (xy 74.110433 121.540134) + (xy 72.7203 120.15) + (xy 77.692078 120.15) + (xy 77.712043 120.276056) + (xy 77.761309 120.372745) + (xy 77.769984 120.389771) + (xy 77.860229 120.480016) + (xy 77.973943 120.537956) + (xy 77.973945 120.537957) + (xy 78.1 120.557922) + (xy 78.226055 120.537957) + (xy 78.339771 120.480016) + (xy 78.430016 120.389771) + (xy 78.487957 120.276055) + (xy 78.507922 120.15) + (xy 78.487957 120.023945) + (xy 78.430016 119.910229) + (xy 78.339771 119.819984) + (xy 78.226055 119.762043) + (xy 78.226057 119.762043) + (xy 78.1 119.742078) + (xy 77.973943 119.762043) + (xy 77.860228 119.819984) + (xy 77.769984 119.910228) + (xy 77.712043 120.023943) + (xy 77.692078 120.149999) + (xy 77.692078 120.15) + (xy 72.7203 120.15) + (xy 72.239258 119.668958) + (xy 72.236547 119.666102) + (xy 72.209449 119.636006) + (xy 72.209445 119.636003) + (xy 72.186986 119.626004) + (xy 72.176616 119.620374) + (xy 72.155996 119.606983) + (xy 72.147564 119.605648) + (xy 72.128743 119.600073) + (xy 72.120942 119.5966) + (xy 72.096348 119.5966) + (xy 72.084584 119.595674) + (xy 72.060308 119.591829) + (xy 72.060304 119.591829) + (xy 72.05206 119.594038) + (xy 72.032599 119.5966) + (xy 70.746937 119.5966) + (xy 70.743001 119.596497) + (xy 70.741366 119.596411) + (xy 70.702554 119.594376) + (xy 70.679592 119.60319) + (xy 70.668284 119.606539) + (xy 70.644234 119.611651) + (xy 70.644228 119.611654) + (xy 70.637326 119.616669) + (xy 70.620083 119.626032) + (xy 70.612104 119.629095) + (xy 70.59471 119.646489) + (xy 70.58574 119.65415) + (xy 70.565854 119.668598) + (xy 70.565851 119.668602) + (xy 70.561582 119.675995) + (xy 70.549635 119.691563) + (xy 70.26576 119.975438) + (xy 70.21914 119.997178) + (xy 70.169453 119.983864) + (xy 70.139948 119.941727) + (xy 70.143111 119.893485) + (xy 70.191543 119.776563) + (xy 70.210311 119.634) + (xy 70.191543 119.491438) + (xy 70.139597 119.366032) + (xy 69.787874 119.717757) + (xy 69.741254 119.739497) + (xy 69.691567 119.726183) + (xy 69.662062 119.684046) + (xy 69.6595 119.664583) + (xy 69.6595 119.453016) + (xy 69.677093 119.404678) + (xy 69.681526 119.399842) + (xy 69.881367 119.2) + (xy 75.242443 119.2) + (xy 75.260977 119.328911) + (xy 75.315078 119.447373) + (xy 75.31508 119.447376) + (xy 75.400366 119.545801) + (xy 75.471989 119.591829) + (xy 75.495569 119.606983) + (xy 75.509926 119.616209) + (xy 75.634883 119.6529) + (xy 75.765117 119.6529) + (xy 75.890073 119.616209) + (xy 75.890073 119.616208) + (xy 75.890076 119.616208) + (xy 75.999636 119.545799) + (xy 76.084921 119.447374) + (xy 76.139023 119.328909) + (xy 76.157557 119.2) + (xy 76.139023 119.071091) + (xy 76.136274 119.065072) + (xy 76.129391 119.05) + (xy 77.067078 119.05) + (xy 77.087043 119.176056) + (xy 77.134902 119.269984) + (xy 77.144984 119.289771) + (xy 77.235229 119.380016) + (xy 77.348943 119.437956) + (xy 77.348945 119.437957) + (xy 77.475 119.457922) + (xy 77.601055 119.437957) + (xy 77.714771 119.380016) + (xy 77.805016 119.289771) + (xy 77.862957 119.176055) + (xy 77.882922 119.05) + (xy 77.862957 118.923945) + (xy 77.805016 118.810229) + (xy 77.714771 118.719984) + (xy 77.601055 118.662043) + (xy 77.601057 118.662043) + (xy 77.475 118.642078) + (xy 77.348943 118.662043) + (xy 77.235228 118.719984) + (xy 77.144984 118.810228) + (xy 77.087043 118.923943) + (xy 77.067078 119.049999) + (xy 77.067078 119.05) + (xy 76.129391 119.05) + (xy 76.084921 118.952626) + (xy 76.084919 118.952623) + (xy 76.011173 118.867516) + (xy 75.999636 118.854201) + (xy 75.999635 118.8542) + (xy 75.999633 118.854198) + (xy 75.890073 118.78379) + (xy 75.765117 118.7471) + (xy 75.634883 118.7471) + (xy 75.509926 118.78379) + (xy 75.400366 118.854198) + (xy 75.31508 118.952623) + (xy 75.315078 118.952626) + (xy 75.260977 119.071088) + (xy 75.242443 119.2) + (xy 69.881367 119.2) + (xy 69.927466 119.153901) + (xy 69.802062 119.101956) + (xy 69.724884 119.091796) + (xy 69.679257 119.068043) + (xy 69.659572 119.020519) + (xy 69.6595 119.017239) + (xy 69.6595 118.981265) + (xy 69.677093 118.932927) + (xy 69.721642 118.907207) + (xy 69.724845 118.906713) + (xy 69.802191 118.896531) + (xy 69.935159 118.841455) + (xy 70.04934 118.75384) + (xy 70.136955 118.639659) + (xy 70.192031 118.506691) + (xy 70.210817 118.364) + (xy 70.192031 118.221309) + (xy 70.173765 118.177212) + (xy 70.169687 118.132795) + (xy 70.1704 118.129441) + (xy 70.1704 118.104846) + (xy 70.171326 118.093081) + (xy 70.172076 118.088346) + (xy 70.175171 118.068806) + (xy 70.174701 118.067055) + (xy 70.172962 118.060559) + (xy 70.1704 118.041099) + (xy 70.1704 117.836123) + (xy 70.187993 117.787785) + (xy 70.232542 117.762065) + (xy 70.2832 117.770998) + (xy 70.311736 117.800332) + (xy 70.356569 117.883177) + (xy 70.502938 118.071233) + (xy 70.502942 118.071237) + (xy 70.588156 118.149681) + (xy 70.678266 118.232633) + (xy 70.85241 118.346407) + (xy 70.877768 118.362974) + (xy 70.962347 118.400074) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.74265 118.522116) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.486183 118.2) + (xy 76.142443 118.2) + (xy 76.160977 118.328911) + (xy 76.215078 118.447373) + (xy 76.21508 118.447376) + (xy 76.300366 118.545801) + (xy 76.409926 118.616209) + (xy 76.534883 118.6529) + (xy 76.665117 118.6529) + (xy 76.790073 118.616209) + (xy 76.790073 118.616208) + (xy 76.790076 118.616208) + (xy 76.899636 118.545799) + (xy 76.984921 118.447374) + (xy 77.039023 118.328909) + (xy 77.057557 118.2) + (xy 77.039023 118.071091) + (xy 77.037979 118.068806) + (xy 76.984921 117.952626) + (xy 76.984919 117.952623) + (xy 76.982646 117.95) + (xy 77.692078 117.95) + (xy 77.712043 118.076056) + (xy 77.769984 118.189771) + (xy 77.860229 118.280016) + (xy 77.973943 118.337956) + (xy 77.973945 118.337957) + (xy 78.1 118.357922) + (xy 78.226055 118.337957) + (xy 78.339771 118.280016) + (xy 78.430016 118.189771) + (xy 78.487957 118.076055) + (xy 78.507922 117.95) + (xy 78.487957 117.823945) + (xy 78.430016 117.710229) + (xy 78.339771 117.619984) + (xy 78.226055 117.562043) + (xy 78.226057 117.562043) + (xy 78.1 117.542078) + (xy 77.973943 117.562043) + (xy 77.860228 117.619984) + (xy 77.769984 117.710228) + (xy 77.712043 117.823943) + (xy 77.692078 117.949999) + (xy 77.692078 117.95) + (xy 76.982646 117.95) + (xy 76.92766 117.886543) + (xy 76.899636 117.854201) + (xy 76.899635 117.8542) + (xy 76.899633 117.854198) + (xy 76.790073 117.78379) + (xy 76.665117 117.7471) + (xy 76.534883 117.7471) + (xy 76.409926 117.78379) + (xy 76.300366 117.854198) + (xy 76.21508 117.952623) + (xy 76.215078 117.952626) + (xy 76.160977 118.071088) + (xy 76.142443 118.2) + (xy 72.486183 118.2) + (xy 72.62606 118.071235) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.311736 116.387667) + (xy 70.273257 116.421805) + (xy 70.221837 116.423223) + (xy 70.181536 116.391256) + (xy 70.1704 116.351876) + (xy 70.1704 115.350436) + (xy 70.170503 115.346499) + (xy 70.172623 115.306055) + (xy 70.172622 115.306054) + (xy 70.172623 115.306052) + (xy 70.163806 115.283086) + (xy 70.16046 115.271788) + (xy 70.155348 115.247734) + (xy 70.15033 115.240827) + (xy 70.140963 115.223575) + (xy 70.137903 115.215604) + (xy 70.137902 115.215602) + (xy 70.120518 115.198218) + (xy 70.112855 115.189247) + (xy 70.098401 115.169354) + (xy 70.093924 115.166769) + (xy 70.091003 115.165082) + (xy 70.075433 115.153134) + (xy 68.773308 113.851008) + (xy 68.751568 113.804388) + (xy 68.752207 113.786079) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.386071 114.152208) + (xy 68.436564 114.162022) + (xy 68.451009 114.173308) + (xy 69.692574 115.414873) + (xy 69.714314 115.461493) + (xy 69.7146 115.468047) + (xy 69.7146 116.54511) + (xy 69.697007 116.593448) + (xy 69.652458 116.619168) + (xy 69.6018 116.610235) + (xy 69.579081 116.590017) + (xy 69.570669 116.578718) + (xy 69.570667 116.578716) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.584736 117.698568) + (xy 68.610456 117.743116) + (xy 68.601523 117.793774) + (xy 68.562117 117.826839) + (xy 68.526583 117.83073) + (xy 68.3895 117.812683) + (xy 68.246809 117.831469) + (xy 68.246806 117.831469) + (xy 68.246806 117.83147) + (xy 68.113846 117.886543) + (xy 68.11384 117.886546) + (xy 67.999659 117.974159) + (xy 67.912046 118.08834) + (xy 67.912043 118.088346) + (xy 67.85697 118.221306) + (xy 67.856969 118.221309) + (xy 67.838183 118.364) + (xy 67.856969 118.506691) + (xy 67.856969 118.506693) + (xy 67.85697 118.506694) + (xy 67.912045 118.63966) + (xy 67.999659 118.75384) + (xy 68.113839 118.841454) + (xy 68.11384 118.841454) + (xy 68.113841 118.841455) + (xy 68.246809 118.896531) + (xy 68.324118 118.906709) + (xy 68.369743 118.930461) + (xy 68.389428 118.977985) + (xy 68.3895 118.981265) + (xy 68.3895 119.016734) + (xy 68.371907 119.065072) + (xy 68.327358 119.090792) + (xy 68.324116 119.091291) + (xy 68.246809 119.101469) + (xy 68.246807 119.101469) + (xy 68.246805 119.10147) + (xy 68.113846 119.156543) + (xy 68.11384 119.156546) + (xy 67.999659 119.244159) + (xy 67.912046 119.35834) + (xy 67.912043 119.358346) + (xy 67.85697 119.491306) + (xy 67.856969 119.491309) + (xy 67.838183 119.634) + (xy 67.856969 119.776691) + (xy 67.856969 119.776693) + (xy 67.85697 119.776694) + (xy 67.912045 119.90966) + (xy 67.999659 120.02384) + (xy 68.113839 120.111454) + (xy 68.11384 120.111454) + (xy 68.113841 120.111455) + (xy 68.246809 120.166531) + (xy 68.324118 120.176709) + (xy 68.369743 120.200461) + (xy 68.389428 120.247985) + (xy 68.3895 120.251265) + (xy 68.3895 120.286734) + (xy 68.371907 120.335072) + (xy 68.327358 120.360792) + (xy 68.324116 120.361291) + (xy 68.246809 120.371469) + (xy 68.246807 120.371469) + (xy 68.246805 120.37147) + (xy 68.113846 120.426543) + (xy 68.11384 120.426546) + (xy 67.999659 120.514159) + (xy 67.912046 120.62834) + (xy 67.912043 120.628346) + (xy 67.857881 120.759107) + (xy 67.856969 120.761309) + (xy 67.838183 120.904) + (xy 67.856969 121.046691) + (xy 67.856969 121.046693) + (xy 67.85697 121.046694) + (xy 67.904963 121.162563) + (xy 67.907206 121.213954) + (xy 67.875891 121.254764) + (xy 67.825671 121.265897) + (xy 67.782313 121.244514) + (xy 67.741924 121.204125) + (xy 67.286258 120.748458) + (xy 67.283547 120.745602) + (xy 67.256449 120.715506) + (xy 67.256445 120.715503) + (xy 67.233986 120.705504) + (xy 67.223616 120.699874) + (xy 67.202996 120.686483) + (xy 67.194564 120.685148) + (xy 67.175743 120.679573) + (xy 67.167942 120.6761) + (xy 67.143348 120.6761) + (xy 67.131584 120.675174) + (xy 67.107308 120.671329) + (xy 67.107304 120.671329) + (xy 67.09906 120.673538) + (xy 67.079599 120.6761) + (xy 65.848047 120.6761) + (xy 65.799709 120.658507) + (xy 65.794873 120.654074) + (xy 65.019926 119.879126) + (xy 64.998186 119.832506) + (xy 64.9979 119.825952) + (xy 64.9979 119.634) + (xy 65.886078 119.634) + (xy 65.906043 119.760056) + (xy 65.94666 119.839771) + (xy 65.963984 119.873771) + (xy 66.054229 119.964016) + (xy 66.167943 120.021956) + (xy 66.167945 120.021957) + (xy 66.294 120.041922) + (xy 66.420055 120.021957) + (xy 66.533771 119.964016) + (xy 66.624016 119.873771) + (xy 66.681957 119.760055) + (xy 66.701922 119.634) + (xy 66.70121 119.629507) + (xy 66.697573 119.606539) + (xy 66.681957 119.507945) + (xy 66.624016 119.394229) + (xy 66.533771 119.303984) + (xy 66.420055 119.246043) + (xy 66.420057 119.246043) + (xy 66.294 119.226078) + (xy 66.167943 119.246043) + (xy 66.054228 119.303984) + (xy 65.963984 119.394228) + (xy 65.906043 119.507943) + (xy 65.886078 119.633999) + (xy 65.886078 119.634) + (xy 64.9979 119.634) + (xy 64.9979 118.308428) + (xy 64.998003 118.304491) + (xy 65.000123 118.264051) + (xy 64.99131 118.241095) + (xy 64.98796 118.229788) + (xy 64.982848 118.205734) + (xy 64.97783 118.198827) + (xy 64.968463 118.181575) + (xy 64.965403 118.173604) + (xy 64.965402 118.173602) + (xy 64.948018 118.156218) + (xy 64.940355 118.147247) + (xy 64.925901 118.127354) + (xy 64.918503 118.123082) + (xy 64.902933 118.111134) + (xy 64.004954 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.1255 117.563923) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.422938 118.071233) + (xy 65.422942 118.071237) + (xy 65.508156 118.149681) + (xy 65.598266 118.232633) + (xy 65.77241 118.346407) + (xy 65.797768 118.362974) + (xy 65.882347 118.400074) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.66265 118.522116) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 64.004954 117.213155) + (xy 62.606926 115.815126) + (xy 62.585186 115.768506) + (xy 62.5849 115.761952) + (xy 62.5849 114.235046) + (xy 62.602493 114.186708) + (xy 62.606915 114.181883) + (xy 63.211047 113.57775) + (xy 63.213865 113.575075) + (xy 63.243994 113.547949) + (xy 63.253996 113.52548) + (xy 63.259623 113.515117) + (xy 63.273016 113.494497) + (xy 63.27435 113.486072) + (xy 63.27993 113.467234) + (xy 63.2834 113.459442) + (xy 63.2834 113.434846) + (xy 63.284326 113.423081) + (xy 63.288171 113.398806) + (xy 63.287575 113.396582) + (xy 63.285962 113.390559) + (xy 63.2834 113.371099) + (xy 63.2834 113.037928) + (xy 63.283503 113.033991) + (xy 63.285623 112.993551) + (xy 63.27681 112.970595) + (xy 63.27346 112.959288) + (xy 63.268348 112.935234) + (xy 63.26333 112.928327) + (xy 63.253963 112.911075) + (xy 63.250903 112.903104) + (xy 63.250902 112.903102) + (xy 63.233518 112.885718) + (xy 63.225855 112.876747) + (xy 63.211401 112.856854) + (xy 63.206678 112.854127) + (xy 63.204003 112.852582) + (xy 63.188433 112.840634) + (xy 62.606926 112.259126) + (xy 62.585186 112.212506) + (xy 62.5849 112.205952) + (xy 62.5849 110.956224) + (xy 62.602493 110.907886) + (xy 62.647042 110.882166) + (xy 62.648153 110.88198) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.939451 110.590835) + (xy 62.964389 110.545846) + (xy 63.012412 110.527411) + (xy 63.013725 110.5274) + (xy 63.893317 110.5274) + (xy 63.941655 110.544993) + (xy 63.967375 110.589542) + (xy 63.960321 110.63674) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.949093 110.956224) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.713457 110.933553) + (xy 64.714655 110.929868) + (xy 64.74632 110.88933) + (xy 64.786176 110.8779) + (xy 80.192064 110.8779) + (xy 80.196 110.878003) + (xy 80.236447 110.880123) + (xy 80.236447 110.880122) + (xy 80.236448 110.880123) + (xy 80.259403 110.87131) + (xy 80.270722 110.867958) + (xy 80.294761 110.862849) + (xy 80.29476 110.862849) + (xy 80.294766 110.862848) + (xy 80.301674 110.857828) + (xy 80.318921 110.848464) + (xy 80.326896 110.845403) + (xy 80.344289 110.828009) + (xy 80.353248 110.820357) + (xy 80.373146 110.805901) + (xy 80.377415 110.798505) + (xy 80.389362 110.782935) + (xy 83.122373 108.049926) + (xy 83.168993 108.028186) + (xy 83.175547 108.0279) + (xy 86.192064 108.0279) + (xy 86.196 108.028003) + (xy 86.236447 108.030123) + (xy 86.236447 108.030122) + (xy 86.236448 108.030123) + (xy 86.259403 108.02131) + (xy 86.270722 108.017958) + (xy 86.294761 108.012849) + (xy 86.29476 108.012849) + (xy 86.294766 108.012848) + (xy 86.301674 108.007828) + (xy 86.318921 107.998464) + (xy 86.326896 107.995403) + (xy 86.344289 107.978009) + (xy 86.353248 107.970357) + (xy 86.373146 107.955901) + (xy 86.377415 107.948505) + (xy 86.389362 107.932935) + (xy 88.855558 105.46674) + (xy 88.858375 105.464066) + (xy 88.888494 105.436949) + (xy 88.898492 105.41449) + (xy 88.904126 105.404114) + (xy 88.905093 105.402626) + (xy 88.917516 105.383497) + (xy 88.91885 105.375072) + (xy 88.92443 105.356234) + (xy 88.9279 105.348442) + (xy 88.9279 105.323846) + (xy 88.928826 105.312081) + (xy 88.930074 105.304201) + (xy 88.932671 105.287806) + (xy 88.931451 105.283252) + (xy 88.930462 105.279559) + (xy 88.9279 105.260099) + (xy 88.9279 103.707928) + (xy 88.928003 103.703991) + (xy 88.929121 103.682671) + (xy 88.930123 103.663552) + (xy 88.926684 103.654595) + (xy 88.92131 103.640595) + (xy 88.91796 103.629288) + (xy 88.912848 103.605234) + (xy 88.90783 103.598327) + (xy 88.898463 103.581075) + (xy 88.895403 103.573104) + (xy 88.895402 103.573102) + (xy 88.878018 103.555718) + (xy 88.870355 103.546747) + (xy 88.855901 103.526854) + (xy 88.853674 103.525568) + (xy 88.848503 103.522582) + (xy 88.832933 103.510634) + (xy 88.533805 103.211506) + (xy 88.32253 103.00023) + (xy 88.300791 102.953612) + (xy 88.314105 102.903925) + (xy 88.341564 102.880054) + (xy 88.439771 102.830016) + (xy 88.519862 102.749925) + (xy 88.566482 102.728186) + (xy 88.573036 102.7279) + (xy 88.774453 102.7279) + (xy 88.822791 102.745493) + (xy 88.827627 102.749926) + (xy 89.200074 103.122373) + (xy 89.221814 103.168993) + (xy 89.2221 103.175547) + (xy 89.2221 105.724452) + (xy 89.204507 105.77279) + (xy 89.200074 105.777626) + (xy 83.127626 111.850074) + (xy 83.081006 111.871814) + (xy 83.074452 111.8721) + (xy 71.254936 111.8721) + (xy 71.251 111.871997) + (xy 71.249283 111.871907) + (xy 71.210552 111.869876) + (xy 71.187588 111.878691) + (xy 71.176279 111.88204) + (xy 71.152236 111.887151) + (xy 71.152234 111.887151) + (xy 71.145327 111.89217) + (xy 71.128083 111.901532) + (xy 71.120104 111.904595) + (xy 71.10271 111.921989) + (xy 71.09374 111.92965) + (xy 71.073854 111.944098) + (xy 71.073851 111.944102) + (xy 71.069582 111.951495) + (xy 71.057635 111.967063) + (xy 69.995673 113.029025) + (xy 69.949053 113.050765) + (xy 69.899366 113.037451) + (xy 69.889325 113.029025) + (xy 68.804758 111.944458) + (xy 68.802047 111.941602) + (xy 68.774949 111.911506) + (xy 68.774945 111.911503) + (xy 68.752486 111.901504) + (xy 68.742116 111.895874) + (xy 68.721496 111.882483) + (xy 68.713064 111.881148) + (xy 68.694243 111.875573) + (xy 68.686442 111.8721) + (xy 68.661848 111.8721) + (xy 68.650084 111.871174) + (xy 68.625808 111.867329) + (xy 68.625804 111.867329) + (xy 68.61756 111.869538) + (xy 68.598099 111.8721) + (xy 64.092548 111.8721) + (xy 64.04421 111.854507) + (xy 64.039373 111.850074) + (xy 63.859808 111.670508) + (xy 63.838069 111.623888) + (xy 63.838709 111.60557) + (xy 63.844422 111.5695) + (xy 63.844422 111.569499) + (xy 63.835774 111.5149) + (xy 63.824457 111.443445) + (xy 63.766516 111.329729) + (xy 63.676271 111.239484) + (xy 63.562555 111.181543) + (xy 63.562557 111.181543) + (xy 63.4365 111.161578) + (xy 63.310443 111.181543) + (xy 63.196728 111.239484) + (xy 63.106484 111.329728) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.06099 111.719984) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.472571 111.971708) + (xy 63.523064 111.981522) + (xy 63.537509 111.992808) + (xy 63.800231 112.25553) + (xy 63.802942 112.258386) + (xy 63.830051 112.288494) + (xy 63.852516 112.298495) + (xy 63.862887 112.304127) + (xy 63.883502 112.317516) + (xy 63.891928 112.31885) + (xy 63.910759 112.324428) + (xy 63.918553 112.327898) + (xy 63.918555 112.327898) + (xy 63.918558 112.3279) + (xy 63.943152 112.3279) + (xy 63.954915 112.328825) + (xy 63.979194 112.332671) + (xy 63.984253 112.331315) + (xy 63.98744 112.330462) + (xy 64.006901 112.3279) + (xy 68.512453 112.3279) + (xy 68.560791 112.345493) + (xy 68.565627 112.349926) + (xy 69.619783 113.404082) + (xy 69.641523 113.450702) + (xy 69.628209 113.500389) + (xy 69.620194 113.50994) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.280016 113.989771) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.272717 113.50293) + (xy 70.250977 113.45631) + (xy 70.264291 113.406623) + (xy 70.272717 113.396582) + (xy 71.319373 112.349926) + (xy 71.365993 112.328186) + (xy 71.372547 112.3279) + (xy 83.192064 112.3279) + (xy 83.196 112.328003) + (xy 83.236447 112.330123) + (xy 83.236447 112.330122) + (xy 83.236448 112.330123) + (xy 83.259403 112.32131) + (xy 83.270722 112.317958) + (xy 83.294761 112.312849) + (xy 83.29476 112.312849) + (xy 83.294766 112.312848) + (xy 83.301674 112.307828) + (xy 83.318921 112.298464) + (xy 83.326896 112.295403) + (xy 83.344289 112.278009) + (xy 83.353248 112.270357) + (xy 83.373146 112.255901) + (xy 83.377415 112.248505) + (xy 83.389362 112.232935) + (xy 83.572297 112.05) + (xy 85.592078 112.05) + (xy 85.612043 112.176056) + (xy 85.669333 112.288493) + (xy 85.669984 112.289771) + (xy 85.760229 112.380016) + (xy 85.873943 112.437956) + (xy 85.873945 112.437957) + (xy 86 112.457922) + (xy 86.126055 112.437957) + (xy 86.239771 112.380016) + (xy 86.330016 112.289771) + (xy 86.387957 112.176055) + (xy 86.407922 112.05) + (xy 86.387957 111.923945) + (xy 86.330016 111.810229) + (xy 86.239771 111.719984) + (xy 86.126055 111.662043) + (xy 86.126057 111.662043) + (xy 86 111.642078) + (xy 85.873943 111.662043) + (xy 85.760228 111.719984) + (xy 85.669984 111.810228) + (xy 85.612043 111.923943) + (xy 85.592078 112.049999) + (xy 85.592078 112.05) + (xy 83.572297 112.05) + (xy 88.822298 106.8) + (xy 94.742329 106.8) + (xy 94.744695 106.81797) + (xy 94.746457 106.831355) + (xy 94.7471 106.84117) + (xy 94.7471 112.939283) + (xy 94.747056 112.941851) + (xy 94.744827 113.00707) + (xy 94.744828 113.007078) + (xy 94.755196 113.049627) + (xy 94.756633 113.057187) + (xy 94.762597 113.100571) + (xy 94.762598 113.100575) + (xy 94.771456 113.120967) + (xy 94.775543 113.13312) + (xy 94.780811 113.154738) + (xy 94.780814 113.154745) + (xy 94.802277 113.192915) + (xy 94.805703 113.199812) + (xy 94.82315 113.239979) + (xy 94.837192 113.25724) + (xy 94.844405 113.267838) + (xy 94.855305 113.287223) + (xy 94.855306 113.287224) + (xy 94.886264 113.318182) + (xy 94.891423 113.323898) + (xy 94.919068 113.357878) + (xy 94.937243 113.370707) + (xy 94.947052 113.37897) + (xy 96.851436 115.283354) + (xy 96.853221 115.2852) + (xy 96.897791 115.332923) + (xy 96.931221 115.353252) + (xy 96.935209 115.355677) + (xy 96.941575 115.36001) + (xy 96.964525 115.377413) + (xy 96.97647 115.386471) + (xy 96.997163 115.394631) + (xy 97.008638 115.40033) + (xy 97.027653 115.411894) + (xy 97.069828 115.42371) + (xy 97.077123 115.426163) + (xy 97.117862 115.44223) + (xy 97.139992 115.444505) + (xy 97.15259 115.446899) + (xy 97.174006 115.4529) + (xy 97.217795 115.4529) + (xy 97.225484 115.453293) + (xy 97.269053 115.457773) + (xy 97.269053 115.457772) + (xy 97.269055 115.457773) + (xy 97.29097 115.453994) + (xy 97.303749 115.4529) + (xy 107.289834 115.4529) + (xy 107.338172 115.470493) + (xy 107.343008 115.474926) + (xy 109.75145 117.883369) + (xy 109.753235 117.885215) + (xy 109.797791 117.932923) + (xy 109.835184 117.955662) + (xy 109.835202 117.955673) + (xy 109.841568 117.960006) + (xy 109.860234 117.97416) + (xy 109.87647 117.986472) + (xy 109.876473 117.986473) + (xy 109.897162 117.994632) + (xy 109.908643 118.000334) + (xy 109.927653 118.011894) + (xy 109.969831 118.023711) + (xy 109.977105 118.026157) + (xy 110.017862 118.04223) + (xy 110.039989 118.044503) + (xy 110.052581 118.046896) + (xy 110.074006 118.0529) + (xy 110.117796 118.0529) + (xy 110.125485 118.053293) + (xy 110.169054 118.057773) + (xy 110.169054 118.057772) + (xy 110.169056 118.057773) + (xy 110.190971 118.053994) + (xy 110.20375 118.0529) + (xy 122.539834 118.0529) + (xy 122.588172 118.070493) + (xy 122.593007 118.074925) + (xy 123.876421 119.35834) + (xy 125.10145 120.583369) + (xy 125.103235 120.585215) + (xy 125.147791 120.632923) + (xy 125.148274 120.633217) + (xy 125.162377 120.644295) + (xy 125.287143 120.769062) + (xy 125.401464 120.883383) + (xy 125.403248 120.885229) + (xy 125.440828 120.925468) + (xy 125.447791 120.932923) + (xy 125.448278 120.933219) + (xy 125.462377 120.944296) + (xy 125.851449 121.333368) + (xy 125.853234 121.335214) + (xy 125.897791 121.382923) + (xy 125.898281 121.383221) + (xy 125.912379 121.394297) + (xy 126.251436 121.733354) + (xy 126.253221 121.7352) + (xy 126.254016 121.736051) + (xy 126.297791 121.782923) + (xy 126.335209 121.805677) + (xy 126.341575 121.81001) + (xy 126.376467 121.836469) + (xy 126.37647 121.836471) + (xy 126.397163 121.844631) + (xy 126.408638 121.85033) + (xy 126.427653 121.861894) + (xy 126.469828 121.87371) + (xy 126.477123 121.876163) + (xy 126.517862 121.89223) + (xy 126.539992 121.894505) + (xy 126.55259 121.896899) + (xy 126.574006 121.9029) + (xy 126.617795 121.9029) + (xy 126.625484 121.903293) + (xy 126.669053 121.907773) + (xy 126.669053 121.907772) + (xy 126.669055 121.907773) + (xy 126.69097 121.903994) + (xy 126.703749 121.9029) + (xy 130.274006 121.9029) + (xy 134.989285 121.9029) + (xy 134.991851 121.902943) + (xy 135.002098 121.903294) + (xy 135.05707 121.905172) + (xy 135.057072 121.905172) + (xy 135.057072 121.905171) + (xy 135.057073 121.905172) + (xy 135.099627 121.894801) + (xy 135.107178 121.893366) + (xy 135.150573 121.887402) + (xy 135.170976 121.878538) + (xy 135.183115 121.874456) + (xy 135.204741 121.869187) + (xy 135.242927 121.847714) + (xy 135.249807 121.844297) + (xy 135.289979 121.82685) + (xy 135.307241 121.812805) + (xy 135.317833 121.805596) + (xy 135.337223 121.794695) + (xy 135.368196 121.763721) + (xy 135.373891 121.758581) + (xy 135.407878 121.730932) + (xy 135.420706 121.712757) + (xy 135.428963 121.702953) + (xy 135.575801 121.556116) + (xy 135.579541 121.552625) + (xy 135.620646 121.516784) + (xy 135.650575 121.471151) + (xy 135.653514 121.466988) + (xy 135.686472 121.423529) + (xy 135.690662 121.4129) + (xy 135.697741 121.399243) + (xy 135.704004 121.389696) + (xy 135.704007 121.38969) + (xy 135.720505 121.337703) + (xy 135.722216 121.332887) + (xy 135.74223 121.282138) + (xy 135.743397 121.270775) + (xy 135.746529 121.255706) + (xy 135.749983 121.244827) + (xy 135.751844 121.190331) + (xy 135.752194 121.185208) + (xy 135.755814 121.15) + (xy 136.842443 121.15) + (xy 136.860977 121.278911) + (xy 136.915078 121.397373) + (xy 136.91508 121.397376) + (xy 136.949008 121.436531) + (xy 136.980545 121.472927) + (xy 137.000366 121.495801) + (xy 137.078668 121.546121) + (xy 137.091416 121.554314) + (xy 137.109926 121.566209) + (xy 137.234883 121.6029) + (xy 137.365117 121.6029) + (xy 137.490073 121.566209) + (xy 137.490073 121.566208) + (xy 137.490076 121.566208) + (xy 137.599636 121.495799) + (xy 137.684921 121.397374) + (xy 137.739023 121.278909) + (xy 137.757557 121.15) + (xy 137.739023 121.021091) + (xy 137.698756 120.932921) + (xy 137.684921 120.902626) + (xy 137.684919 120.902623) + (xy 137.626581 120.835297) + (xy 137.599636 120.804201) + (xy 137.599635 120.8042) + (xy 137.599633 120.804198) + (xy 137.490073 120.73379) + (xy 137.365117 120.6971) + (xy 137.234883 120.6971) + (xy 137.109926 120.73379) + (xy 137.000366 120.804198) + (xy 136.91508 120.902623) + (xy 136.915078 120.902626) + (xy 136.860977 121.021088) + (xy 136.842443 121.15) + (xy 135.755814 121.15) + (xy 135.757773 121.130946) + (xy 135.755831 121.119688) + (xy 135.754782 121.104339) + (xy 135.755172 121.092928) + (xy 135.755172 121.092927) + (xy 135.755066 121.092493) + (xy 135.742254 121.039918) + (xy 135.741216 121.034918) + (xy 135.731948 120.981167) + (xy 135.727042 120.970852) + (xy 135.72189 120.956352) + (xy 135.719188 120.945261) + (xy 135.718645 120.944296) + (xy 135.705703 120.921278) + (xy 135.692452 120.89771) + (xy 135.69009 120.893151) + (xy 135.685444 120.883383) + (xy 135.666672 120.84391) + (xy 135.659162 120.835297) + (xy 135.65029 120.822728) + (xy 135.644696 120.812779) + (xy 135.644695 120.812777) + (xy 135.606126 120.774208) + (xy 135.602635 120.77047) + (xy 135.601407 120.769062) + (xy 135.592727 120.759107) + (xy 135.566784 120.729353) + (xy 135.56678 120.72935) + (xy 135.557225 120.723083) + (xy 135.545295 120.713377) + (xy 135.508018 120.6761) + (xy 135.398534 120.566615) + (xy 135.39675 120.564769) + (xy 135.352211 120.517079) + (xy 135.35221 120.517078) + (xy 135.352209 120.517077) + (xy 135.351719 120.516779) + (xy 135.33762 120.505702) + (xy 135.231918 120.4) + (xy 137.942443 120.4) + (xy 137.960977 120.528911) + (xy 138.015078 120.647373) + (xy 138.01508 120.647376) + (xy 138.05086 120.688668) + (xy 138.100193 120.745602) + (xy 138.100366 120.745801) + (xy 138.178668 120.796121) + (xy 138.205629 120.813448) + (xy 138.209926 120.816209) + (xy 138.334883 120.8529) + (xy 138.465117 120.8529) + (xy 138.590073 120.816209) + (xy 138.590073 120.816208) + (xy 138.590076 120.816208) + (xy 138.692984 120.750074) + (xy 138.699633 120.745801) + (xy 138.699633 120.7458) + (xy 138.699636 120.745799) + (xy 138.784921 120.647374) + (xy 138.839023 120.528909) + (xy 138.857557 120.4) + (xy 138.839023 120.271091) + (xy 138.807662 120.202422) + (xy 138.784921 120.152626) + (xy 138.784919 120.152623) + (xy 138.782646 120.15) + (xy 140.792329 120.15) + (xy 140.796978 120.185317) + (xy 140.811331 120.294336) + (xy 140.867043 120.428836) + (xy 140.867044 120.428838) + (xy 140.867045 120.428839) + (xy 140.955666 120.544333) + (xy 141.065155 120.628346) + (xy 141.071164 120.632957) + (xy 141.205664 120.688669) + (xy 141.35 120.707671) + (xy 141.494336 120.688669) + (xy 141.628836 120.632957) + (xy 141.744333 120.544333) + (xy 141.832957 120.428836) + (xy 141.888669 120.294336) + (xy 141.907671 120.15) + (xy 141.888669 120.005664) + (xy 141.832957 119.871165) + (xy 141.808868 119.839771) + (xy 141.744333 119.755666) + (xy 141.628839 119.667045) + (xy 141.628838 119.667044) + (xy 141.628836 119.667043) + (xy 141.52976 119.626004) + (xy 141.494337 119.611331) + (xy 141.35 119.592329) + (xy 141.205662 119.611331) + (xy 141.071163 119.667044) + (xy 141.071162 119.667044) + (xy 140.955666 119.755666) + (xy 140.867044 119.871162) + (xy 140.867044 119.871163) + (xy 140.867043 119.871164) + (xy 140.867043 119.871165) + (xy 140.857798 119.893485) + (xy 140.811331 120.005662) + (xy 140.792329 120.15) + (xy 138.782646 120.15) + (xy 138.713691 120.070422) + (xy 138.699636 120.054201) + (xy 138.699635 120.0542) + (xy 138.699633 120.054198) + (xy 138.590073 119.98379) + (xy 138.465117 119.9471) + (xy 138.334883 119.9471) + (xy 138.209926 119.98379) + (xy 138.100366 120.054198) + (xy 138.01508 120.152623) + (xy 138.015078 120.152626) + (xy 137.960977 120.271088) + (xy 137.942443 120.4) + (xy 135.231918 120.4) + (xy 134.948548 120.116629) + (xy 134.946763 120.114782) + (xy 134.902213 120.06708) + (xy 134.902208 120.067076) + (xy 134.901718 120.066778) + (xy 134.887622 120.055703) + (xy 134.648548 119.816629) + (xy 134.646763 119.814783) + (xy 134.602211 119.767079) + (xy 134.602204 119.767073) + (xy 134.564789 119.744321) + (xy 134.558424 119.739989) + (xy 134.52353 119.713528) + (xy 134.502838 119.705368) + (xy 134.491357 119.699665) + (xy 134.472352 119.688108) + (xy 134.472347 119.688106) + (xy 134.430177 119.67629) + (xy 134.42288 119.673836) + (xy 134.382138 119.65777) + (xy 134.360004 119.655494) + (xy 134.347408 119.6531) + (xy 134.338742 119.650672) + (xy 134.325994 119.6471) + (xy 134.325993 119.6471) + (xy 134.282204 119.6471) + (xy 134.274514 119.646706) + (xy 134.230943 119.642226) + (xy 134.209029 119.646006) + (xy 134.19625 119.6471) + (xy 131.591171 119.6471) + (xy 131.581356 119.646457) + (xy 131.55 119.642329) + (xy 131.518644 119.646457) + (xy 131.508829 119.6471) + (xy 129.191171 119.6471) + (xy 129.181356 119.646457) + (xy 129.15 119.642329) + (xy 129.118644 119.646457) + (xy 129.108829 119.6471) + (xy 125.760166 119.6471) + (xy 125.711828 119.629507) + (xy 125.706992 119.625074) + (xy 124.681918 118.6) + (xy 126.042329 118.6) + (xy 126.061331 118.744337) + (xy 126.077673 118.78379) + (xy 126.117043 118.878836) + (xy 126.117044 118.878838) + (xy 126.117045 118.878839) + (xy 126.205666 118.994333) + (xy 126.305696 119.071088) + (xy 126.321164 119.082957) + (xy 126.455664 119.138669) + (xy 126.6 119.157671) + (xy 126.744336 119.138669) + (xy 126.878836 119.082957) + (xy 126.994333 118.994333) + (xy 127.082957 118.878836) + (xy 127.138669 118.744336) + (xy 127.157671 118.6) + (xy 127.138669 118.455664) + (xy 127.082957 118.321165) + (xy 127.073184 118.308428) + (xy 126.994333 118.205666) + (xy 126.878839 118.117045) + (xy 126.878838 118.117044) + (xy 126.878836 118.117043) + (xy 126.777157 118.074926) + (xy 126.744337 118.061331) + (xy 126.6 118.042329) + (xy 126.455662 118.061331) + (xy 126.372447 118.095801) + (xy 126.335431 118.111134) + (xy 126.321163 118.117044) + (xy 126.321162 118.117044) + (xy 126.205666 118.205666) + (xy 126.117044 118.321162) + (xy 126.117044 118.321163) + (xy 126.117043 118.321164) + (xy 126.117043 118.321165) + (xy 126.110088 118.337956) + (xy 126.061331 118.455662) + (xy 126.042329 118.6) + (xy 124.681918 118.6) + (xy 124.460757 118.378839) + (xy 123.731919 117.65) + (xy 127.292329 117.65) + (xy 127.311331 117.794337) + (xy 127.323882 117.824637) + (xy 127.367043 117.928836) + (xy 127.367044 117.928838) + (xy 127.367045 117.928839) + (xy 127.455666 118.044333) + (xy 127.558297 118.123084) + (xy 127.571164 118.132957) + (xy 127.705664 118.188669) + (xy 127.85 118.207671) + (xy 127.994336 118.188669) + (xy 128.128836 118.132957) + (xy 128.244333 118.044333) + (xy 128.332957 117.928836) + (xy 128.388669 117.794336) + (xy 128.394506 117.75) + (xy 136.842443 117.75) + (xy 136.860977 117.878911) + (xy 136.915078 117.997373) + (xy 136.91508 117.997376) + (xy 137.000366 118.095801) + (xy 137.109926 118.166209) + (xy 137.234883 118.2029) + (xy 137.365117 118.2029) + (xy 137.490073 118.166209) + (xy 137.490073 118.166208) + (xy 137.490076 118.166208) + (xy 137.599636 118.095799) + (xy 137.684921 117.997374) + (xy 137.739023 117.878909) + (xy 137.757557 117.75) + (xy 137.739023 117.621091) + (xy 137.738517 117.619984) + (xy 137.684921 117.502626) + (xy 137.684919 117.502623) + (xy 137.599633 117.404198) + (xy 137.490073 117.33379) + (xy 137.365117 117.2971) + (xy 137.234883 117.2971) + (xy 137.109926 117.33379) + (xy 137.000366 117.404198) + (xy 136.91508 117.502623) + (xy 136.915078 117.502626) + (xy 136.860977 117.621088) + (xy 136.842443 117.75) + (xy 128.394506 117.75) + (xy 128.407671 117.65) + (xy 128.388669 117.505664) + (xy 128.332957 117.371165) + (xy 128.30428 117.333792) + (xy 128.244333 117.255666) + (xy 128.128839 117.167045) + (xy 128.128838 117.167044) + (xy 128.128836 117.167043) + (xy 128.041891 117.131029) + (xy 127.994337 117.111331) + (xy 127.85 117.092329) + (xy 127.705662 117.111331) + (xy 127.571163 117.167044) + (xy 127.571162 117.167044) + (xy 127.455666 117.255666) + (xy 127.367044 117.371162) + (xy 127.367044 117.371163) + (xy 127.311331 117.505662) + (xy 127.292329 117.65) + (xy 123.731919 117.65) + (xy 123.198548 117.116629) + (xy 123.196763 117.114783) + (xy 123.152211 117.067079) + (xy 123.152204 117.067073) + (xy 123.114789 117.044321) + (xy 123.108424 117.039989) + (xy 123.07353 117.013528) + (xy 123.052838 117.005368) + (xy 123.041357 116.999665) + (xy 123.022352 116.988108) + (xy 123.022347 116.988106) + (xy 122.980177 116.97629) + (xy 122.97288 116.973836) + (xy 122.932138 116.95777) + (xy 122.910004 116.955494) + (xy 122.897408 116.9531) + (xy 122.892805 116.95181) + (xy 122.875994 116.9471) + (xy 122.875993 116.9471) + (xy 122.832204 116.9471) + (xy 122.824514 116.946706) + (xy 122.780943 116.942226) + (xy 122.759029 116.946006) + (xy 122.74625 116.9471) + (xy 110.410167 116.9471) + (xy 110.361829 116.929507) + (xy 110.356993 116.925074) + (xy 109.681919 116.25) + (xy 113.792443 116.25) + (xy 113.810977 116.378911) + (xy 113.865078 116.497373) + (xy 113.86508 116.497376) + (xy 113.88364 116.518795) + (xy 113.935562 116.578717) + (xy 113.950366 116.595801) + (xy 114.059926 116.666209) + (xy 114.184883 116.7029) + (xy 114.315117 116.7029) + (xy 114.440073 116.666209) + (xy 114.440073 116.666208) + (xy 114.440076 116.666208) + (xy 114.549636 116.595799) + (xy 114.634921 116.497374) + (xy 114.689023 116.378909) + (xy 114.707557 116.25) + (xy 123.792443 116.25) + (xy 123.810977 116.378911) + (xy 123.865078 116.497373) + (xy 123.86508 116.497376) + (xy 123.88364 116.518795) + (xy 123.935562 116.578717) + (xy 123.950366 116.595801) + (xy 124.059926 116.666209) + (xy 124.184883 116.7029) + (xy 124.315117 116.7029) + (xy 124.440073 116.666209) + (xy 124.440073 116.666208) + (xy 124.440076 116.666208) + (xy 124.549636 116.595799) + (xy 124.634921 116.497374) + (xy 124.689023 116.378909) + (xy 124.707557 116.25) + (xy 124.689023 116.121091) + (xy 124.687047 116.116765) + (xy 124.634921 116.002626) + (xy 124.634919 116.002623) + (xy 124.5789 115.937974) + (xy 124.549636 115.904201) + (xy 124.549635 115.9042) + (xy 124.549633 115.904198) + (xy 124.440073 115.83379) + (xy 124.315117 115.7971) + (xy 124.184883 115.7971) + (xy 124.059926 115.83379) + (xy 123.950366 115.904198) + (xy 123.86508 116.002623) + (xy 123.865078 116.002626) + (xy 123.810977 116.121088) + (xy 123.792443 116.25) + (xy 114.707557 116.25) + (xy 114.689023 116.121091) + (xy 114.687047 116.116765) + (xy 114.634921 116.002626) + (xy 114.634919 116.002623) + (xy 114.5789 115.937974) + (xy 114.549636 115.904201) + (xy 114.549635 115.9042) + (xy 114.549633 115.904198) + (xy 114.440073 115.83379) + (xy 114.315117 115.7971) + (xy 114.184883 115.7971) + (xy 114.059926 115.83379) + (xy 113.950366 115.904198) + (xy 113.86508 116.002623) + (xy 113.865078 116.002626) + (xy 113.810977 116.121088) + (xy 113.792443 116.25) + (xy 109.681919 116.25) + (xy 108.781919 115.35) + (xy 114.792443 115.35) + (xy 114.810977 115.478911) + (xy 114.865078 115.597373) + (xy 114.86508 115.597376) + (xy 114.950366 115.695801) + (xy 115.002498 115.729303) + (xy 115.053301 115.761952) + (xy 115.059926 115.766209) + (xy 115.184883 115.8029) + (xy 115.315117 115.8029) + (xy 115.440073 115.766209) + (xy 115.440073 115.766208) + (xy 115.440076 115.766208) + (xy 115.549636 115.695799) + (xy 115.634921 115.597374) + (xy 115.689023 115.478909) + (xy 115.707557 115.35) + (xy 124.792443 115.35) + (xy 124.810977 115.478911) + (xy 124.865078 115.597373) + (xy 124.86508 115.597376) + (xy 124.950366 115.695801) + (xy 125.002498 115.729303) + (xy 125.053301 115.761952) + (xy 125.059926 115.766209) + (xy 125.184883 115.8029) + (xy 125.315117 115.8029) + (xy 125.440073 115.766209) + (xy 125.440073 115.766208) + (xy 125.440076 115.766208) + (xy 125.549636 115.695799) + (xy 125.634921 115.597374) + (xy 125.689023 115.478909) + (xy 125.707557 115.35) + (xy 125.689023 115.221091) + (xy 125.686516 115.215602) + (xy 125.634921 115.102626) + (xy 125.634919 115.102623) + (xy 125.549633 115.004198) + (xy 125.440073 114.93379) + (xy 125.315117 114.8971) + (xy 125.184883 114.8971) + (xy 125.059926 114.93379) + (xy 124.950366 115.004198) + (xy 124.86508 115.102623) + (xy 124.865078 115.102626) + (xy 124.810977 115.221088) + (xy 124.792443 115.35) + (xy 115.707557 115.35) + (xy 115.689023 115.221091) + (xy 115.686516 115.215602) + (xy 115.634921 115.102626) + (xy 115.634919 115.102623) + (xy 115.549633 115.004198) + (xy 115.440073 114.93379) + (xy 115.315117 114.8971) + (xy 115.184883 114.8971) + (xy 115.059926 114.93379) + (xy 114.950366 115.004198) + (xy 114.86508 115.102623) + (xy 114.865078 115.102626) + (xy 114.810977 115.221088) + (xy 114.792443 115.35) + (xy 108.781919 115.35) + (xy 107.948548 114.516629) + (xy 107.946763 114.514783) + (xy 107.902211 114.467079) + (xy 107.902204 114.467073) + (xy 107.874128 114.45) + (xy 113.792443 114.45) + (xy 113.810977 114.578911) + (xy 113.865078 114.697373) + (xy 113.86508 114.697376) + (xy 113.950366 114.795801) + (xy 114.059926 114.866209) + (xy 114.184883 114.9029) + (xy 114.315117 114.9029) + (xy 114.440073 114.866209) + (xy 114.440073 114.866208) + (xy 114.440076 114.866208) + (xy 114.549636 114.795799) + (xy 114.634921 114.697374) + (xy 114.689023 114.578909) + (xy 114.707557 114.45) + (xy 114.689023 114.321091) + (xy 114.674719 114.289771) + (xy 114.634921 114.202626) + (xy 114.634919 114.202623) + (xy 114.549633 114.104198) + (xy 114.465297 114.05) + (xy 123.992078 114.05) + (xy 124.012043 114.176056) + (xy 124.02623 114.203899) + (xy 124.069984 114.289771) + (xy 124.160229 114.380016) + (xy 124.273943 114.437956) + (xy 124.273945 114.437957) + (xy 124.4 114.457922) + (xy 124.526055 114.437957) + (xy 124.639771 114.380016) + (xy 124.730016 114.289771) + (xy 124.787957 114.176055) + (xy 124.807922 114.05) + (xy 124.787957 113.923945) + (xy 124.730016 113.810229) + (xy 124.639771 113.719984) + (xy 124.526055 113.662043) + (xy 124.526057 113.662043) + (xy 124.4 113.642078) + (xy 124.273943 113.662043) + (xy 124.160228 113.719984) + (xy 124.069984 113.810228) + (xy 124.012043 113.923943) + (xy 123.992078 114.049999) + (xy 123.992078 114.05) + (xy 114.465297 114.05) + (xy 114.440073 114.03379) + (xy 114.315117 113.9971) + (xy 114.184883 113.9971) + (xy 114.059926 114.03379) + (xy 113.950366 114.104198) + (xy 113.86508 114.202623) + (xy 113.865078 114.202626) + (xy 113.810977 114.321088) + (xy 113.792443 114.45) + (xy 107.874128 114.45) + (xy 107.864789 114.444321) + (xy 107.858424 114.439989) + (xy 107.82353 114.413528) + (xy 107.802838 114.405368) + (xy 107.791357 114.399665) + (xy 107.772352 114.388108) + (xy 107.772347 114.388106) + (xy 107.730177 114.37629) + (xy 107.72288 114.373836) + (xy 107.682138 114.35777) + (xy 107.660004 114.355494) + (xy 107.647408 114.3531) + (xy 107.642805 114.35181) + (xy 107.625994 114.3471) + (xy 107.625993 114.3471) + (xy 107.582204 114.3471) + (xy 107.574514 114.346706) + (xy 107.530943 114.342226) + (xy 107.509029 114.346006) + (xy 107.49625 114.3471) + (xy 97.510167 114.3471) + (xy 97.461829 114.329507) + (xy 97.456993 114.325074) + (xy 96.581919 113.45) + (xy 122.842078 113.45) + (xy 122.862043 113.576056) + (xy 122.905856 113.662043) + (xy 122.919984 113.689771) + (xy 123.010229 113.780016) + (xy 123.123943 113.837956) + (xy 123.123945 113.837957) + (xy 123.25 113.857922) + (xy 123.376055 113.837957) + (xy 123.489771 113.780016) + (xy 123.580016 113.689771) + (xy 123.637957 113.576055) + (xy 123.657922 113.45) + (xy 123.657883 113.449756) + (xy 123.649461 113.396582) + (xy 123.637957 113.323945) + (xy 123.580016 113.210229) + (xy 123.489771 113.119984) + (xy 123.376055 113.062043) + (xy 123.376057 113.062043) + (xy 123.25 113.042078) + (xy 123.123943 113.062043) + (xy 123.010228 113.119984) + (xy 122.919984 113.210228) + (xy 122.862043 113.323943) + (xy 122.842078 113.449999) + (xy 122.842078 113.45) + (xy 96.581919 113.45) + (xy 95.874926 112.743007) + (xy 95.853186 112.696387) + (xy 95.8529 112.689833) + (xy 95.8529 112.5) + (xy 96.642078 112.5) + (xy 96.662043 112.626056) + (xy 96.71041 112.720981) + (xy 96.719984 112.739771) + (xy 96.810229 112.830016) + (xy 96.923943 112.887956) + (xy 96.923945 112.887957) + (xy 97.05 112.907922) + (xy 97.176055 112.887957) + (xy 97.289771 112.830016) + (xy 97.380016 112.739771) + (xy 97.437957 112.626055) + (xy 97.457922 112.5) + (xy 97.437957 112.373945) + (xy 97.380016 112.260229) + (xy 97.299925 112.180138) + (xy 97.278186 112.133518) + (xy 97.2779 112.126964) + (xy 97.2779 112) + (xy 98.992078 112) + (xy 99.012043 112.126056) + (xy 99.052752 112.205952) + (xy 99.069984 112.239771) + (xy 99.160229 112.330016) + (xy 99.273943 112.387956) + (xy 99.273945 112.387957) + (xy 99.4 112.407922) + (xy 99.526055 112.387957) + (xy 99.639771 112.330016) + (xy 99.730016 112.239771) + (xy 99.787957 112.126055) + (xy 99.807922 112) + (xy 99.787957 111.873945) + (xy 99.730016 111.760229) + (xy 99.639771 111.669984) + (xy 99.526055 111.612043) + (xy 99.526057 111.612043) + (xy 99.4 111.592078) + (xy 99.273943 111.612043) + (xy 99.160228 111.669984) + (xy 99.069984 111.760228) + (xy 99.012043 111.873943) + (xy 98.992078 111.999999) + (xy 98.992078 112) + (xy 97.2779 112) + (xy 97.2779 110.25) + (xy 98.742078 110.25) + (xy 98.762043 110.376056) + (xy 98.771947 110.395493) + (xy 98.819984 110.489771) + (xy 98.910229 110.580016) + (xy 99.023943 110.637956) + (xy 99.023945 110.637957) + (xy 99.15 110.657922) + (xy 99.276055 110.637957) + (xy 99.389771 110.580016) + (xy 99.480016 110.489771) + (xy 99.537957 110.376055) + (xy 99.557922 110.25) + (xy 99.537957 110.123945) + (xy 99.480016 110.010229) + (xy 99.389771 109.919984) + (xy 99.276055 109.862043) + (xy 99.276057 109.862043) + (xy 99.15 109.842078) + (xy 99.023943 109.862043) + (xy 98.910228 109.919984) + (xy 98.819984 110.010228) + (xy 98.762043 110.123943) + (xy 98.742078 110.249999) + (xy 98.742078 110.25) + (xy 97.2779 110.25) + (xy 97.2779 108.283122) + (xy 97.295493 108.234784) + (xy 97.340042 108.209064) + (xy 97.346178 108.208527) + (xy 97.349998 108.207921) + (xy 97.35 108.207922) + (xy 97.476055 108.187957) + (xy 97.589771 108.130016) + (xy 97.680016 108.039771) + (xy 97.737957 107.926055) + (xy 97.757922 107.8) + (xy 97.737957 107.673945) + (xy 97.680016 107.560229) + (xy 97.589771 107.469984) + (xy 97.476055 107.412043) + (xy 97.476057 107.412043) + (xy 97.35 107.392078) + (xy 97.223943 107.412043) + (xy 97.110228 107.469984) + (xy 97.019984 107.560228) + (xy 96.962043 107.673943) + (xy 96.942078 107.799998) + (xy 96.942078 107.800003) + (xy 96.94779 107.836072) + (xy 96.937974 107.886566) + (xy 96.92669 107.901008) + (xy 96.894456 107.933242) + (xy 96.891602 107.935952) + (xy 96.861504 107.963053) + (xy 96.854843 107.978016) + (xy 96.852771 107.982671) + (xy 96.851508 107.985507) + (xy 96.845878 107.995877) + (xy 96.832485 108.016501) + (xy 96.832483 108.016506) + (xy 96.831148 108.024935) + (xy 96.825574 108.043754) + (xy 96.8221 108.051557) + (xy 96.8221 108.076152) + (xy 96.821174 108.087916) + (xy 96.817329 108.112191) + (xy 96.817329 108.112194) + (xy 96.819538 108.120438) + (xy 96.8221 108.1399) + (xy 96.8221 112.126964) + (xy 96.804507 112.175302) + (xy 96.800075 112.180138) + (xy 96.719983 112.26023) + (xy 96.662043 112.373943) + (xy 96.642078 112.499999) + (xy 96.642078 112.5) + (xy 95.8529 112.5) + (xy 95.8529 106.84117) + (xy 95.853543 106.831355) + (xy 95.855305 106.81797) + (xy 95.857671 106.8) + (xy 95.85343 106.767788) + (xy 95.852963 106.763112) + (xy 95.8529 106.762201) + (xy 95.8529 106.762182) + (xy 95.847973 106.72634) + (xy 95.838669 106.655664) + (xy 95.838668 106.655662) + (xy 95.838492 106.654322) + (xy 95.837766 106.650728) + (xy 95.837402 106.649427) + (xy 95.809274 106.584669) + (xy 95.808773 106.583488) + (xy 95.790135 106.538494) + (xy 95.782957 106.521165) + (xy 95.782951 106.521158) + (xy 95.780492 106.516897) + (xy 95.78093 106.516643) + (xy 95.779594 106.514375) + (xy 95.779524 106.514418) + (xy 95.77685 106.510021) + (xy 95.734525 106.457996) + (xy 95.733199 106.456318) + (xy 95.726656 106.447791) + (xy 95.694333 106.405667) + (xy 95.694328 106.405663) + (xy 95.690847 106.402181) + (xy 95.690864 106.402163) + (xy 95.682439 106.393973) + (xy 95.680936 106.392127) + (xy 95.680934 106.392124) + (xy 95.680933 106.392123) + (xy 95.680932 106.392122) + (xy 95.62903 106.355486) + (xy 95.626665 106.353744) + (xy 95.578839 106.317044) + (xy 95.578826 106.317037) + (xy 95.576243 106.315967) + (xy 95.561665 106.307934) + (xy 95.556766 106.304476) + (xy 95.556763 106.304474) + (xy 95.556761 106.304473) + (xy 95.556757 106.304471) + (xy 95.556752 106.304469) + (xy 95.500314 106.28441) + (xy 95.496721 106.283029) + (xy 95.444337 106.261331) + (xy 95.444333 106.26133) + (xy 95.437974 106.260493) + (xy 95.422609 106.256795) + (xy 95.413548 106.253575) + (xy 95.357351 106.24973) + (xy 95.35267 106.249262) + (xy 95.3 106.242329) + (xy 95.299995 106.242329) + (xy 95.290053 106.243637) + (xy 95.275116 106.244105) + (xy 95.261916 106.243202) + (xy 95.26191 106.243203) + (xy 95.21028 106.253932) + (xy 95.204797 106.254861) + (xy 95.155668 106.26133) + (xy 95.155659 106.261332) + (xy 95.143064 106.266549) + (xy 95.129595 106.270698) + (xy 95.113108 106.274125) + (xy 95.113106 106.274125) + (xy 95.113104 106.274126) + (xy 95.113103 106.274126) + (xy 95.113098 106.274128) + (xy 95.069448 106.296746) + (xy 95.063631 106.299452) + (xy 95.021167 106.317041) + (xy 95.021165 106.317043) + (xy 95.007481 106.327542) + (xy 94.996305 106.334646) + (xy 94.978158 106.344049) + (xy 94.944842 106.375162) + (xy 94.939298 106.379859) + (xy 94.905665 106.405668) + (xy 94.905663 106.40567) + (xy 94.892975 106.422205) + (xy 94.884649 106.431378) + (xy 94.867078 106.447789) + (xy 94.867075 106.447792) + (xy 94.845245 106.483688) + (xy 94.840657 106.490389) + (xy 94.817043 106.521164) + (xy 94.817043 106.521165) + (xy 94.807688 106.543746) + (xy 94.802468 106.554032) + (xy 94.788108 106.577647) + (xy 94.788104 106.577657) + (xy 94.777737 106.614652) + (xy 94.774804 106.623134) + (xy 94.76133 106.655665) + (xy 94.75767 106.683462) + (xy 94.755526 106.693929) + (xy 94.7471 106.724006) + (xy 94.7471 106.758829) + (xy 94.746456 106.768644) + (xy 94.742329 106.8) + (xy 88.822298 106.8) + (xy 89.605557 106.016741) + (xy 89.608375 106.014066) + (xy 89.638494 105.986949) + (xy 89.648496 105.96448) + (xy 89.65413 105.954107) + (xy 89.661942 105.942078) + (xy 89.667516 105.933496) + (xy 89.668851 105.925061) + (xy 89.674424 105.906248) + (xy 89.6779 105.898442) + (xy 89.6779 105.873852) + (xy 89.678826 105.862086) + (xy 89.679251 105.859402) + (xy 89.682672 105.837806) + (xy 89.68046 105.829553) + (xy 89.6779 105.810095) + (xy 89.6779 103.057928) + (xy 89.678003 103.053991) + (xy 89.679467 103.026056) + (xy 89.680123 103.013552) + (xy 89.679342 103.011518) + (xy 89.67131 102.990595) + (xy 89.66796 102.979288) + (xy 89.662848 102.955234) + (xy 89.65783 102.948327) + (xy 89.648463 102.931075) + (xy 89.647629 102.928905) + (xy 89.645403 102.923104) + (xy 89.628013 102.905714) + (xy 89.620354 102.896747) + (xy 89.619676 102.895814) + (xy 89.605901 102.876854) + (xy 89.6059 102.876853) + (xy 89.598506 102.872584) + (xy 89.582934 102.860634) + (xy 89.066758 102.344458) + (xy 89.064047 102.341602) + (xy 89.036949 102.311506) + (xy 89.036945 102.311503) + (xy 89.014486 102.301504) + (xy 89.004116 102.295874) + (xy 88.983496 102.282483) + (xy 88.975064 102.281148) + (xy 88.956243 102.275573) + (xy 88.948442 102.2721) + (xy 88.923848 102.2721) + (xy 88.912084 102.271174) + (xy 88.887808 102.267329) + (xy 88.887804 102.267329) + (xy 88.87956 102.269538) + (xy 88.860099 102.2721) + (xy 88.573036 102.2721) + (xy 88.524698 102.254507) + (xy 88.519862 102.250075) + (xy 88.498696 102.228909) + (xy 88.439771 102.169984) + (xy 88.326055 102.112043) + (xy 88.326057 102.112043) + (xy 88.2 102.092078) + (xy 88.073943 102.112043) + (xy 87.98724 102.156221) + (xy 87.936184 102.16249) + (xy 87.893043 102.134473) + (xy 87.8779 102.089217) + (xy 87.8779 102.023035) + (xy 87.895493 101.974697) + (xy 87.899926 101.969861) + (xy 87.938977 101.93081) + (xy 87.980016 101.889771) + (xy 88.037957 101.776055) + (xy 88.057922 101.65) + (xy 88.057683 101.648494) + (xy 88.051105 101.606957) + (xy 88.037957 101.523945) + (xy 87.980016 101.410229) + (xy 87.889771 101.319984) + (xy 87.776055 101.262043) + (xy 87.776057 101.262043) + (xy 87.65 101.242078) + (xy 87.523943 101.262043) + (xy 87.410228 101.319984) + (xy 87.319984 101.410228) + (xy 87.262043 101.523943) + (xy 87.242078 101.649999) + (xy 87.242078 101.65) + (xy 87.262043 101.776056) + (xy 87.319984 101.889771) + (xy 87.400074 101.969861) + (xy 87.421814 102.016481) + (xy 87.4221 102.023035) + (xy 87.4221 102.642062) + (xy 87.421997 102.645998) + (xy 87.419876 102.686448) + (xy 87.428688 102.709402) + (xy 87.432039 102.720715) + (xy 87.437151 102.744763) + (xy 87.437152 102.744766) + (xy 87.442169 102.751671) + (xy 87.451536 102.768922) + (xy 87.454596 102.776895) + (xy 87.454597 102.776896) + (xy 87.471985 102.794284) + (xy 87.479644 102.803252) + (xy 87.494097 102.823144) + (xy 87.494099 102.823146) + (xy 87.501495 102.827416) + (xy 87.517066 102.839365) + (xy 88.450074 103.772373) + (xy 88.471814 103.818993) + (xy 88.4721 103.825547) + (xy 88.4721 104.36374) + (xy 88.454507 104.412078) + (xy 88.409958 104.437798) + (xy 88.362761 104.430744) + (xy 88.326059 104.412044) + (xy 88.326057 104.412043) + (xy 88.2 104.392078) + (xy 88.073943 104.412043) + (xy 87.960228 104.469984) + (xy 87.869984 104.560228) + (xy 87.812043 104.673943) + (xy 87.792078 104.799998) + (xy 87.792078 104.8) + (xy 87.797643 104.835136) + (xy 87.787828 104.885631) + (xy 87.747852 104.918003) + (xy 87.723369 104.9221) + (xy 87.457936 104.9221) + (xy 87.454 104.921997) + (xy 87.452192 104.921902) + (xy 87.413551 104.919876) + (xy 87.41355 104.919876) + (xy 87.390597 104.928688) + (xy 87.379286 104.932039) + (xy 87.355235 104.937151) + (xy 87.355229 104.937154) + (xy 87.348324 104.942171) + (xy 87.331085 104.951532) + (xy 87.323104 104.954596) + (xy 87.305712 104.971987) + (xy 87.296746 104.979644) + (xy 87.276855 104.994096) + (xy 87.276852 104.9941) + (xy 87.272584 105.001493) + (xy 87.260636 105.017063) + (xy 86.994446 105.283252) + (xy 86.991592 105.285961) + (xy 86.961504 105.313053) + (xy 86.951508 105.335507) + (xy 86.945878 105.345877) + (xy 86.932485 105.366501) + (xy 86.932483 105.366506) + (xy 86.931148 105.374935) + (xy 86.925574 105.393754) + (xy 86.9221 105.401557) + (xy 86.9221 105.426152) + (xy 86.921174 105.437916) + (xy 86.917682 105.459967) + (xy 86.917329 105.462194) + (xy 86.917825 105.464047) + (xy 86.919538 105.470438) + (xy 86.9221 105.4899) + (xy 86.9221 105.824452) + (xy 86.904507 105.87279) + (xy 86.900074 105.877626) + (xy 85.827626 106.950074) + (xy 85.781006 106.971814) + (xy 85.774452 106.9721) + (xy 82.757929 106.9721) + (xy 82.753992 106.971997) + (xy 82.713551 106.969876) + (xy 82.690597 106.978688) + (xy 82.679286 106.982039) + (xy 82.655235 106.987151) + (xy 82.655229 106.987154) + (xy 82.648324 106.992171) + (xy 82.631085 107.001532) + (xy 82.623104 107.004596) + (xy 82.605712 107.021987) + (xy 82.596746 107.029645) + (xy 82.576854 107.044098) + (xy 82.576852 107.044101) + (xy 82.572583 107.051495) + (xy 82.560634 107.067065) + (xy 79.895626 109.732074) + (xy 79.849006 109.753814) + (xy 79.842452 109.7541) + (xy 65.109225 109.7541) + (xy 65.060887 109.736507) + (xy 65.035167 109.691958) + (xy 65.034951 109.690664) + (xy 65.03481 109.689771) + (xy 65.030957 109.665445) + (xy 64.973016 109.551729) + (xy 64.882771 109.461484) + (xy 64.769055 109.403543) + (xy 64.769057 109.403543) + (xy 64.643 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.251049 109.690664) + (xy 64.226111 109.735654) + (xy 64.178088 109.754089) + (xy 64.176775 109.7541) + (xy 62.364936 109.7541) + (xy 62.361 109.753997) + (xy 62.359192 109.753902) + (xy 62.320551 109.751876) + (xy 62.32055 109.751876) + (xy 62.297597 109.760688) + (xy 62.286286 109.764039) + (xy 62.262235 109.769151) + (xy 62.262229 109.769154) + (xy 62.255324 109.774171) + (xy 62.238085 109.783532) + (xy 62.230104 109.786596) + (xy 62.212712 109.803987) + (xy 62.203746 109.811644) + (xy 62.183855 109.826096) + (xy 62.183852 109.8261) + (xy 62.179584 109.833493) + (xy 62.167636 109.849063) + (xy 61.883946 110.132752) + (xy 61.881092 110.135461) + (xy 61.851004 110.162552) + (xy 61.841007 110.185009) + (xy 61.835377 110.195378) + (xy 61.821985 110.216001) + (xy 61.821983 110.216006) + (xy 61.820648 110.224435) + (xy 61.815074 110.243254) + (xy 61.8116 110.251057) + (xy 61.8116 110.275652) + (xy 61.810674 110.287416) + (xy 61.806829 110.311691) + (xy 61.806829 110.311694) + (xy 61.809038 110.319938) + (xy 61.8116 110.3394) + (xy 61.8116 122.521752) + (xy 61.794007 122.57009) + (xy 61.749458 122.59581) + (xy 61.6988 122.586877) + (xy 61.683226 122.574926) + (xy 59.812926 120.704626) + (xy 59.791186 120.658006) + (xy 59.7909 120.651452) + (xy 59.7909 109.0295) + (xy 70.775578 109.0295) + (xy 70.795543 109.155556) + (xy 70.84237 109.247458) + (xy 70.853484 109.269271) + (xy 70.943729 109.359516) + (xy 71.057443 109.417456) + (xy 71.057445 109.417457) + (xy 71.1835 109.437422) + (xy 71.309555 109.417457) + (xy 71.423271 109.359516) + (xy 71.503362 109.279425) + (xy 71.549982 109.257686) + (xy 71.556536 109.2574) + (xy 79.712564 109.2574) + (xy 79.7165 109.257503) + (xy 79.756947 109.259623) + (xy 79.756947 109.259622) + (xy 79.756948 109.259623) + (xy 79.779903 109.25081) + (xy 79.791222 109.247458) + (xy 79.815261 109.242349) + (xy 79.81526 109.242349) + (xy 79.815266 109.242348) + (xy 79.822174 109.237328) + (xy 79.839421 109.227964) + (xy 79.847396 109.224903) + (xy 79.864789 109.207509) + (xy 79.873748 109.199857) + (xy 79.893646 109.185401) + (xy 79.897915 109.178005) + (xy 79.909862 109.162435) + (xy 80.205547 108.86675) + (xy 80.208365 108.864075) + (xy 80.238494 108.836949) + (xy 80.248496 108.81448) + (xy 80.254123 108.804117) + (xy 80.267516 108.783497) + (xy 80.26885 108.775072) + (xy 80.27443 108.756234) + (xy 80.275368 108.754127) + (xy 80.2779 108.748442) + (xy 80.2779 108.723846) + (xy 80.278826 108.712081) + (xy 80.282671 108.687806) + (xy 80.282201 108.686055) + (xy 80.280462 108.679559) + (xy 80.2779 108.660099) + (xy 80.2779 108.023035) + (xy 80.295493 107.974697) + (xy 80.299926 107.969861) + (xy 80.336856 107.932931) + (xy 80.380016 107.889771) + (xy 80.437957 107.776055) + (xy 80.457922 107.65) + (xy 80.437957 107.523945) + (xy 80.380016 107.410229) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.719984 107.889771) + (xy 79.800074 107.969861) + (xy 79.821814 108.016481) + (xy 79.8221 108.023035) + (xy 79.8221 108.574452) + (xy 79.804507 108.62279) + (xy 79.800074 108.627626) + (xy 79.648126 108.779574) + (xy 79.601506 108.801314) + (xy 79.594952 108.8016) + (xy 71.556536 108.8016) + (xy 71.508198 108.784007) + (xy 71.503362 108.779575) + (xy 71.463558 108.739771) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029499) + (xy 70.775578 109.0295) + (xy 59.7909 109.0295) + (xy 59.7909 108.1405) + (xy 69.886578 108.1405) + (xy 69.906543 108.266556) + (xy 69.951374 108.354541) + (xy 69.964484 108.380271) + (xy 70.054729 108.470516) + (xy 70.168443 108.528456) + (xy 70.168445 108.528457) + (xy 70.2945 108.548422) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.614362 108.390425) + (xy 70.660982 108.368686) + (xy 70.667536 108.3684) + (xy 72.191564 108.3684) + (xy 72.1955 108.368503) + (xy 72.235947 108.370623) + (xy 72.235947 108.370622) + (xy 72.235948 108.370623) + (xy 72.258903 108.36181) + (xy 72.270222 108.358458) + (xy 72.294261 108.353349) + (xy 72.29426 108.353349) + (xy 72.294266 108.353348) + (xy 72.301174 108.348328) + (xy 72.318421 108.338964) + (xy 72.326396 108.335903) + (xy 72.343789 108.318509) + (xy 72.352748 108.310857) + (xy 72.372646 108.296401) + (xy 72.376915 108.289005) + (xy 72.388862 108.273435) + (xy 77.11499 103.547307) + (xy 77.161609 103.525568) + (xy 77.179925 103.526207) + (xy 77.216 103.531922) + (xy 77.342055 103.511957) + (xy 77.455771 103.454016) + (xy 77.546016 103.363771) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.14487 103.341958) + (xy 78.155984 103.363771) + (xy 78.246229 103.454016) + (xy 78.359943 103.511956) + (xy 78.359945 103.511957) + (xy 78.486 103.531922) + (xy 78.522071 103.526208) + (xy 78.572564 103.536022) + (xy 78.587009 103.547308) + (xy 78.850074 103.810373) + (xy 78.871814 103.856993) + (xy 78.8721 103.863547) + (xy 78.8721 106.292062) + (xy 78.871997 106.295998) + (xy 78.869876 106.336448) + (xy 78.878688 106.359402) + (xy 78.882039 106.370715) + (xy 78.887151 106.394763) + (xy 78.887152 106.394766) + (xy 78.892169 106.401671) + (xy 78.901538 106.418928) + (xy 78.904087 106.42557) + (xy 78.904981 106.477003) + (xy 78.90258 106.483098) + (xy 78.901508 106.485506) + (xy 78.895878 106.495876) + (xy 78.882484 106.516501) + (xy 78.882483 106.516506) + (xy 78.881148 106.524935) + (xy 78.875574 106.543754) + (xy 78.8721 106.551557) + (xy 78.8721 106.576152) + (xy 78.871174 106.587916) + (xy 78.867329 106.612191) + (xy 78.867329 106.612194) + (xy 78.869538 106.620438) + (xy 78.8721 106.6399) + (xy 78.8721 107.726964) + (xy 78.854507 107.775302) + (xy 78.850075 107.780138) + (xy 78.769983 107.86023) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.755845 108.312022) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.973943 108.487956) + (xy 78.973945 108.487957) + (xy 79.1 108.507922) + (xy 79.226055 108.487957) + (xy 79.339771 108.430016) + (xy 79.430016 108.339771) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.349925 107.780138) + (xy 79.328186 107.733518) + (xy 79.3279 107.726964) + (xy 79.3279 106.7531) + (xy 79.345493 106.704762) + (xy 79.390042 106.679042) + (xy 79.4031 106.6779) + (xy 84.192064 106.6779) + (xy 84.196 106.678003) + (xy 84.236447 106.680123) + (xy 84.236447 106.680122) + (xy 84.236448 106.680123) + (xy 84.259403 106.67131) + (xy 84.270722 106.667958) + (xy 84.294761 106.662849) + (xy 84.29476 106.662849) + (xy 84.294766 106.662848) + (xy 84.301674 106.657828) + (xy 84.318921 106.648464) + (xy 84.326896 106.645403) + (xy 84.344289 106.628009) + (xy 84.353248 106.620357) + (xy 84.373146 106.605901) + (xy 84.377415 106.598505) + (xy 84.389362 106.582935) + (xy 85.14899 105.823307) + (xy 85.195609 105.801568) + (xy 85.213925 105.802207) + (xy 85.25 105.807922) + (xy 85.376055 105.787957) + (xy 85.489771 105.730016) + (xy 85.580016 105.639771) + (xy 85.637957 105.526055) + (xy 85.657922 105.4) + (xy 85.637957 105.273945) + (xy 85.580016 105.160229) + (xy 85.489771 105.069984) + (xy 85.376055 105.012043) + (xy 85.376057 105.012043) + (xy 85.25 104.992078) + (xy 85.123943 105.012043) + (xy 85.010228 105.069984) + (xy 84.919984 105.160228) + (xy 84.862043 105.273943) + (xy 84.842078 105.399999) + (xy 84.842078 105.4) + (xy 84.847791 105.43607) + (xy 84.837976 105.486565) + (xy 84.826691 105.501008) + (xy 84.127626 106.200074) + (xy 84.081006 106.221814) + (xy 84.074452 106.2221) + (xy 79.4031 106.2221) + (xy 79.354762 106.204507) + (xy 79.329042 106.159958) + (xy 79.3279 106.1469) + (xy 79.3279 105.6005) + (xy 80.618078 105.6005) + (xy 80.638043 105.726556) + (xy 80.681839 105.81251) + (xy 80.695984 105.840271) + (xy 80.786229 105.930516) + (xy 80.899943 105.988456) + (xy 80.899945 105.988457) + (xy 81.026 106.008422) + (xy 81.152055 105.988457) + (xy 81.265771 105.930516) + (xy 81.356016 105.840271) + (xy 81.413957 105.726555) + (xy 81.433922 105.6005) + (xy 81.413957 105.474445) + (xy 81.356016 105.360729) + (xy 81.265771 105.270484) + (xy 81.152055 105.212543) + (xy 81.152057 105.212543) + (xy 81.072862 105.2) + (xy 82.242078 105.2) + (xy 82.262043 105.326056) + (xy 82.300744 105.402011) + (xy 82.319984 105.439771) + (xy 82.410229 105.530016) + (xy 82.523943 105.587956) + (xy 82.523945 105.587957) + (xy 82.65 105.607922) + (xy 82.776055 105.587957) + (xy 82.889771 105.530016) + (xy 82.980016 105.439771) + (xy 83.037957 105.326055) + (xy 83.057922 105.2) + (xy 83.842078 105.2) + (xy 83.862043 105.326056) + (xy 83.900744 105.402011) + (xy 83.919984 105.439771) + (xy 84.010229 105.530016) + (xy 84.123943 105.587956) + (xy 84.123945 105.587957) + (xy 84.25 105.607922) + (xy 84.376055 105.587957) + (xy 84.489771 105.530016) + (xy 84.580016 105.439771) + (xy 84.637957 105.326055) + (xy 84.657922 105.2) + (xy 84.656746 105.192578) + (xy 84.649289 105.145493) + (xy 84.637957 105.073945) + (xy 84.580016 104.960229) + (xy 84.489771 104.869984) + (xy 84.376055 104.812043) + (xy 84.376057 104.812043) + (xy 84.25 104.792078) + (xy 84.123943 104.812043) + (xy 84.010228 104.869984) + (xy 83.919984 104.960228) + (xy 83.862043 105.073943) + (xy 83.842078 105.199999) + (xy 83.842078 105.2) + (xy 83.057922 105.2) + (xy 83.056746 105.192578) + (xy 83.049289 105.145493) + (xy 83.037957 105.073945) + (xy 82.980016 104.960229) + (xy 82.889771 104.869984) + (xy 82.776055 104.812043) + (xy 82.776057 104.812043) + (xy 82.65 104.792078) + (xy 82.523943 104.812043) + (xy 82.410228 104.869984) + (xy 82.319984 104.960228) + (xy 82.262043 105.073943) + (xy 82.242078 105.199999) + (xy 82.242078 105.2) + (xy 81.072862 105.2) + (xy 81.026 105.192578) + (xy 80.899943 105.212543) + (xy 80.786228 105.270484) + (xy 80.695984 105.360728) + (xy 80.638043 105.474443) + (xy 80.618078 105.600499) + (xy 80.618078 105.6005) + (xy 79.3279 105.6005) + (xy 79.3279 104.4) + (xy 81.542078 104.4) + (xy 81.562043 104.526056) + (xy 81.60644 104.613189) + (xy 81.619984 104.639771) + (xy 81.710229 104.730016) + (xy 81.823943 104.787956) + (xy 81.823945 104.787957) + (xy 81.95 104.807922) + (xy 82.076055 104.787957) + (xy 82.189771 104.730016) + (xy 82.280016 104.639771) + (xy 82.337957 104.526055) + (xy 82.357922 104.4) + (xy 82.356014 104.387956) + (xy 82.350717 104.354507) + (xy 82.337957 104.273945) + (xy 82.280016 104.160229) + (xy 82.189771 104.069984) + (xy 82.076055 104.012043) + (xy 82.076057 104.012043) + (xy 82.000019 104) + (xy 84.792078 104) + (xy 84.812043 104.126056) + (xy 84.826398 104.154229) + (xy 84.869984 104.239771) + (xy 84.960229 104.330016) + (xy 85.073943 104.387956) + (xy 85.073945 104.387957) + (xy 85.2 104.407922) + (xy 85.326055 104.387957) + (xy 85.439771 104.330016) + (xy 85.530016 104.239771) + (xy 85.587957 104.126055) + (xy 85.607922 104) + (xy 87.142078 104) + (xy 87.162043 104.126056) + (xy 87.176398 104.154229) + (xy 87.219984 104.239771) + (xy 87.310229 104.330016) + (xy 87.423943 104.387956) + (xy 87.423945 104.387957) + (xy 87.55 104.407922) + (xy 87.676055 104.387957) + (xy 87.789771 104.330016) + (xy 87.880016 104.239771) + (xy 87.937957 104.126055) + (xy 87.957922 104) + (xy 87.937957 103.873945) + (xy 87.880016 103.760229) + (xy 87.789771 103.669984) + (xy 87.676055 103.612043) + (xy 87.676057 103.612043) + (xy 87.55 103.592078) + (xy 87.423943 103.612043) + (xy 87.310228 103.669984) + (xy 87.219984 103.760228) + (xy 87.162043 103.873943) + (xy 87.142078 103.999999) + (xy 87.142078 104) + (xy 85.607922 104) + (xy 85.587957 103.873945) + (xy 85.530016 103.760229) + (xy 85.439771 103.669984) + (xy 85.326055 103.612043) + (xy 85.326057 103.612043) + (xy 85.2 103.592078) + (xy 85.073943 103.612043) + (xy 84.960228 103.669984) + (xy 84.869984 103.760228) + (xy 84.812043 103.873943) + (xy 84.792078 103.999999) + (xy 84.792078 104) + (xy 82.000019 104) + (xy 81.95 103.992078) + (xy 81.823943 104.012043) + (xy 81.710228 104.069984) + (xy 81.619984 104.160228) + (xy 81.562043 104.273943) + (xy 81.542078 104.399999) + (xy 81.542078 104.4) + (xy 79.3279 104.4) + (xy 79.3279 103.745928) + (xy 79.328003 103.741991) + (xy 79.330123 103.701551) + (xy 79.32131 103.678595) + (xy 79.31796 103.667288) + (xy 79.312848 103.643234) + (xy 79.30783 103.636327) + (xy 79.298463 103.619075) + (xy 79.295403 103.611104) + (xy 79.295402 103.611102) + (xy 79.278018 103.593718) + (xy 79.270355 103.584747) + (xy 79.255901 103.564854) + (xy 79.248507 103.560585) + (xy 79.232934 103.548635) + (xy 78.909308 103.225008) + (xy 78.887569 103.178388) + (xy 78.888209 103.16007) + (xy 78.893922 103.124) + (xy 78.893922 103.123999) + (xy 78.885367 103.069984) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 76.813791 103.16007) + (xy 76.803976 103.210565) + (xy 76.792691 103.225008) + (xy 72.127126 107.890574) + (xy 72.080506 107.912314) + (xy 72.073952 107.9126) + (xy 70.667536 107.9126) + (xy 70.619198 107.895007) + (xy 70.614362 107.890575) + (xy 70.584016 107.860229) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140499) + (xy 69.886578 108.1405) + (xy 59.7909 108.1405) + (xy 59.7909 106.934) + (xy 69.632578 106.934) + (xy 69.652543 107.060056) + (xy 69.698334 107.149925) + (xy 69.710484 107.173771) + (xy 69.800729 107.264016) + (xy 69.914443 107.321956) + (xy 69.914445 107.321957) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.360362 107.183925) + (xy 70.406982 107.162186) + (xy 70.413536 107.1619) + (xy 72.001064 107.1619) + (xy 72.005 107.162003) + (xy 72.045447 107.164123) + (xy 72.045447 107.164122) + (xy 72.045448 107.164123) + (xy 72.068403 107.15531) + (xy 72.079722 107.151958) + (xy 72.103761 107.146849) + (xy 72.10376 107.146849) + (xy 72.103766 107.146848) + (xy 72.110674 107.141828) + (xy 72.127921 107.132464) + (xy 72.135896 107.129403) + (xy 72.153289 107.112009) + (xy 72.162248 107.104357) + (xy 72.182146 107.089901) + (xy 72.186415 107.082505) + (xy 72.198362 107.066935) + (xy 81.245047 98.02025) + (xy 81.247865 98.017575) + (xy 81.275212 97.992953) + (xy 81.277993 97.99045) + (xy 81.277993 97.990449) + (xy 81.277994 97.990449) + (xy 81.287996 97.96798) + (xy 81.293623 97.957617) + (xy 81.307016 97.936997) + (xy 81.30835 97.928572) + (xy 81.31393 97.909734) + (xy 81.314737 97.907922) + (xy 81.3174 97.901942) + (xy 81.3174 97.877346) + (xy 81.318326 97.865581) + (xy 81.322171 97.841306) + (xy 81.321274 97.837957) + (xy 81.319962 97.833059) + (xy 81.3174 97.813599) + (xy 81.3174 96.067535) + (xy 81.334993 96.019197) + (xy 81.339426 96.014361) + (xy 81.377732 95.976055) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.497422 95.6945) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.759484 95.934271) + (xy 80.839574 96.014361) + (xy 80.861314 96.060981) + (xy 80.8616 96.067535) + (xy 80.8616 97.727952) + (xy 80.844007 97.77629) + (xy 80.839574 97.781126) + (xy 71.936626 106.684074) + (xy 71.890006 106.705814) + (xy 71.883452 106.7061) + (xy 70.413536 106.7061) + (xy 70.365198 106.688507) + (xy 70.360362 106.684075) + (xy 70.321689 106.645402) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 59.7909 106.934) + (xy 59.7909 105.664) + (xy 69.632578 105.664) + (xy 69.652543 105.790056) + (xy 69.69937 105.881958) + (xy 69.710484 105.903771) + (xy 69.800729 105.994016) + (xy 69.914443 106.051956) + (xy 69.914445 106.051957) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.360362 105.913925) + (xy 70.406982 105.892186) + (xy 70.413536 105.8919) + (xy 71.937564 105.8919) + (xy 71.9415 105.892003) + (xy 71.981947 105.894123) + (xy 71.981947 105.894122) + (xy 71.981948 105.894123) + (xy 72.004903 105.88531) + (xy 72.016222 105.881958) + (xy 72.040261 105.876849) + (xy 72.04026 105.876849) + (xy 72.040266 105.876848) + (xy 72.047174 105.871828) + (xy 72.064421 105.862464) + (xy 72.072396 105.859403) + (xy 72.089789 105.842009) + (xy 72.098748 105.834357) + (xy 72.118646 105.819901) + (xy 72.122915 105.812505) + (xy 72.134862 105.796935) + (xy 80.165547 97.76625) + (xy 80.168365 97.763575) + (xy 80.198494 97.736449) + (xy 80.208496 97.71398) + (xy 80.214123 97.703617) + (xy 80.227516 97.682997) + (xy 80.22885 97.674572) + (xy 80.23443 97.655734) + (xy 80.2379 97.647942) + (xy 80.2379 97.623346) + (xy 80.238826 97.611581) + (xy 80.239556 97.606973) + (xy 80.242671 97.587306) + (xy 80.242201 97.585555) + (xy 80.240462 97.579059) + (xy 80.2379 97.559599) + (xy 80.2379 96.067535) + (xy 80.255493 96.019197) + (xy 80.259926 96.014361) + (xy 80.298232 95.976055) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694499) + (xy 79.602078 95.6945) + (xy 79.622043 95.820556) + (xy 79.679984 95.934271) + (xy 79.760074 96.014361) + (xy 79.781814 96.060981) + (xy 79.7821 96.067535) + (xy 79.7821 97.473952) + (xy 79.764507 97.52229) + (xy 79.760074 97.527126) + (xy 71.873126 105.414074) + (xy 71.826506 105.435814) + (xy 71.819952 105.4361) + (xy 70.413536 105.4361) + (xy 70.365198 105.418507) + (xy 70.360362 105.414075) + (xy 70.321222 105.374935) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663999) + (xy 69.632578 105.664) + (xy 59.7909 105.664) + (xy 59.7909 104.394) + (xy 69.632578 104.394) + (xy 69.652543 104.520056) + (xy 69.698351 104.609958) + (xy 69.710484 104.633771) + (xy 69.800729 104.724016) + (xy 69.914443 104.781956) + (xy 69.914445 104.781957) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.360362 104.643925) + (xy 70.406982 104.622186) + (xy 70.413536 104.6219) + (xy 71.810564 104.6219) + (xy 71.8145 104.622003) + (xy 71.854947 104.624123) + (xy 71.854947 104.624122) + (xy 71.854948 104.624123) + (xy 71.877903 104.61531) + (xy 71.889222 104.611958) + (xy 71.913261 104.606849) + (xy 71.91326 104.606849) + (xy 71.913266 104.606848) + (xy 71.920174 104.601828) + (xy 71.937421 104.592464) + (xy 71.945396 104.589403) + (xy 71.962789 104.572009) + (xy 71.971748 104.564357) + (xy 71.991646 104.549901) + (xy 71.995915 104.542505) + (xy 72.007862 104.526935) + (xy 79.276547 97.25825) + (xy 79.279365 97.255575) + (xy 79.309494 97.228449) + (xy 79.319496 97.205981) + (xy 79.325121 97.195621) + (xy 79.338516 97.174996) + (xy 79.339851 97.166561) + (xy 79.345424 97.147748) + (xy 79.3489 97.139942) + (xy 79.3489 97.115352) + (xy 79.349826 97.103586) + (xy 79.351388 97.093725) + (xy 79.353672 97.079306) + (xy 79.35146 97.071053) + (xy 79.3489 97.051595) + (xy 79.3489 95.248548) + (xy 79.366493 95.20021) + (xy 79.370926 95.195373) + (xy 79.510875 95.055425) + (xy 79.557495 95.033686) + (xy 79.564049 95.0334) + (xy 81.408453 95.0334) + (xy 81.456791 95.050993) + (xy 81.461627 95.055426) + (xy 81.650074 95.243873) + (xy 81.671814 95.290493) + (xy 81.6721 95.297047) + (xy 81.6721 98.224451) + (xy 81.654507 98.272789) + (xy 81.650074 98.277625) + (xy 79.600456 100.327242) + (xy 79.597602 100.329952) + (xy 79.567504 100.357052) + (xy 79.557507 100.379509) + (xy 79.551877 100.389878) + (xy 79.538485 100.410501) + (xy 79.538483 100.410506) + (xy 79.537148 100.418935) + (xy 79.531574 100.437754) + (xy 79.5281 100.445557) + (xy 79.5281 100.470152) + (xy 79.527174 100.481916) + (xy 79.523329 100.506191) + (xy 79.523329 100.506194) + (xy 79.525538 100.514438) + (xy 79.5281 100.5339) + (xy 79.5281 102.750964) + (xy 79.510507 102.799302) + (xy 79.506075 102.804138) + (xy 79.425983 102.88423) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.41487 103.341958) + (xy 79.425984 103.363771) + (xy 79.516229 103.454016) + (xy 79.629943 103.511956) + (xy 79.629945 103.511957) + (xy 79.756 103.531922) + (xy 79.882055 103.511957) + (xy 79.995771 103.454016) + (xy 80.086016 103.363771) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 80.005925 102.804138) + (xy 79.984186 102.757518) + (xy 79.9839 102.750964) + (xy 79.9839 100.619547) + (xy 80.001493 100.571209) + (xy 80.005926 100.566373) + (xy 81.026806 99.545493) + (xy 82.055557 98.516741) + (xy 82.058375 98.514066) + (xy 82.088494 98.486949) + (xy 82.098496 98.46448) + (xy 82.104124 98.454115) + (xy 82.117516 98.433496) + (xy 82.11885 98.425071) + (xy 82.12443 98.406234) + (xy 82.127173 98.400074) + (xy 82.1279 98.398442) + (xy 82.1279 98.373846) + (xy 82.128826 98.362081) + (xy 82.132671 98.337806) + (xy 82.132129 98.335784) + (xy 82.130462 98.329559) + (xy 82.1279 98.310099) + (xy 82.1279 95.179428) + (xy 82.128003 95.175491) + (xy 82.130123 95.135051) + (xy 82.12131 95.112095) + (xy 82.11796 95.100788) + (xy 82.112848 95.076734) + (xy 82.10783 95.069827) + (xy 82.098463 95.052575) + (xy 82.095403 95.044605) + (xy 82.095403 95.044604) + (xy 82.078013 95.027214) + (xy 82.070354 95.018247) + (xy 82.055901 94.998354) + (xy 82.0559 94.998353) + (xy 82.048506 94.994084) + (xy 82.032934 94.982134) + (xy 81.700758 94.649958) + (xy 81.698047 94.647102) + (xy 81.670949 94.617006) + (xy 81.670945 94.617003) + (xy 81.648486 94.607004) + (xy 81.638116 94.601374) + (xy 81.617496 94.587983) + (xy 81.609064 94.586648) + (xy 81.590243 94.581073) + (xy 81.582442 94.5776) + (xy 81.557848 94.5776) + (xy 81.546084 94.576674) + (xy 81.521808 94.572829) + (xy 81.521804 94.572829) + (xy 81.51356 94.575038) + (xy 81.494099 94.5776) + (xy 79.446436 94.5776) + (xy 79.4425 94.577497) + (xy 79.440692 94.577402) + (xy 79.402051 94.575376) + (xy 79.40205 94.575376) + (xy 79.379097 94.584188) + (xy 79.367786 94.587539) + (xy 79.343735 94.592651) + (xy 79.343729 94.592654) + (xy 79.336824 94.597671) + (xy 79.319585 94.607032) + (xy 79.311604 94.610096) + (xy 79.294212 94.627487) + (xy 79.285246 94.635144) + (xy 79.265355 94.649596) + (xy 79.265352 94.6496) + (xy 79.261084 94.656993) + (xy 79.249136 94.672563) + (xy 78.965446 94.956252) + (xy 78.962592 94.958961) + (xy 78.932504 94.986052) + (xy 78.922507 95.008509) + (xy 78.916877 95.018878) + (xy 78.903485 95.039501) + (xy 78.903483 95.039506) + (xy 78.902148 95.047935) + (xy 78.896574 95.066754) + (xy 78.8931 95.074557) + (xy 78.8931 95.099152) + (xy 78.892174 95.110916) + (xy 78.888329 95.135191) + (xy 78.888329 95.135194) + (xy 78.890538 95.143438) + (xy 78.8931 95.1629) + (xy 78.8931 96.965952) + (xy 78.875507 97.01429) + (xy 78.871074 97.019126) + (xy 71.746126 104.144074) + (xy 71.699506 104.165814) + (xy 71.692952 104.1661) + (xy 70.413536 104.1661) + (xy 70.365198 104.148507) + (xy 70.360362 104.144075) + (xy 70.324209 104.107922) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393999) + (xy 69.632578 104.394) + (xy 59.7909 104.394) + (xy 59.7909 103.179535) + (xy 59.808493 103.131197) + (xy 59.812926 103.126361) + (xy 59.829329 103.109958) + (xy 59.893016 103.046271) + (xy 59.950957 102.932555) + (xy 59.970922 102.8065) + (xy 61.695078 102.8065) + (xy 61.715043 102.932556) + (xy 61.755544 103.012043) + (xy 61.772984 103.046271) + (xy 61.863229 103.136516) + (xy 61.976943 103.194456) + (xy 61.976945 103.194457) + (xy 62.103 103.214422) + (xy 62.229055 103.194457) + (xy 62.342771 103.136516) + (xy 62.355287 103.124) + (xy 69.632578 103.124) + (xy 69.652543 103.250056) + (xy 69.69937 103.341958) + (xy 69.710484 103.363771) + (xy 69.800729 103.454016) + (xy 69.914443 103.511956) + (xy 69.914445 103.511957) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.360362 103.373925) + (xy 70.406982 103.352186) + (xy 70.413536 103.3519) + (xy 71.668064 103.3519) + (xy 71.672 103.352003) + (xy 71.712447 103.354123) + (xy 71.712447 103.354122) + (xy 71.712448 103.354123) + (xy 71.735403 103.34531) + (xy 71.746722 103.341958) + (xy 71.770761 103.336849) + (xy 71.77076 103.336849) + (xy 71.770766 103.336848) + (xy 71.777674 103.331828) + (xy 71.794921 103.322464) + (xy 71.802896 103.319403) + (xy 71.820289 103.302009) + (xy 71.829248 103.294357) + (xy 71.849146 103.279901) + (xy 71.853415 103.272505) + (xy 71.865362 103.256935) + (xy 78.387547 96.73475) + (xy 78.390365 96.732075) + (xy 78.420494 96.704949) + (xy 78.430496 96.68248) + (xy 78.436123 96.672117) + (xy 78.449516 96.651497) + (xy 78.45085 96.643072) + (xy 78.45643 96.624234) + (xy 78.4599 96.616442) + (xy 78.4599 96.591846) + (xy 78.460826 96.580081) + (xy 78.46138 96.576584) + (xy 78.464671 96.555806) + (xy 78.462903 96.549207) + (xy 78.462462 96.547559) + (xy 78.4599 96.528099) + (xy 78.4599 96.067535) + (xy 78.477493 96.019197) + (xy 78.481926 96.014361) + (xy 78.520232 95.976055) + (xy 78.562016 95.934271) + (xy 78.619957 95.820555) + (xy 78.639922 95.6945) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.901984 95.934271) + (xy 77.982074 96.014361) + (xy 78.003814 96.060981) + (xy 78.0041 96.067535) + (xy 78.0041 96.442452) + (xy 77.986507 96.49079) + (xy 77.982074 96.495626) + (xy 71.603626 102.874074) + (xy 71.557006 102.895814) + (xy 71.550452 102.8961) + (xy 70.413536 102.8961) + (xy 70.365198 102.878507) + (xy 70.360362 102.874075) + (xy 70.325652 102.839365) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124) + (xy 62.355287 103.124) + (xy 62.433016 103.046271) + (xy 62.490957 102.932555) + (xy 62.510922 102.8065) + (xy 62.490957 102.680445) + (xy 62.433016 102.566729) + (xy 62.342771 102.476484) + (xy 62.229055 102.418543) + (xy 62.229057 102.418543) + (xy 62.103 102.398578) + (xy 61.976943 102.418543) + (xy 61.863228 102.476484) + (xy 61.772984 102.566728) + (xy 61.715043 102.680443) + (xy 61.695078 102.806499) + (xy 61.695078 102.8065) + (xy 59.970922 102.8065) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.802771 102.476484) + (xy 59.689055 102.418543) + (xy 59.689057 102.418543) + (xy 59.563 102.398578) + (xy 59.436943 102.418543) + (xy 59.323228 102.476484) + (xy 59.232984 102.566728) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 57.6319 102.8065) + (xy 57.6319 102.235) + (xy 63.409578 102.235) + (xy 63.429543 102.361056) + (xy 63.44709 102.395493) + (xy 63.487484 102.474771) + (xy 63.577729 102.565016) + (xy 63.691443 102.622956) + (xy 63.691445 102.622957) + (xy 63.8175 102.642922) + (xy 63.943555 102.622957) + (xy 64.057271 102.565016) + (xy 64.147516 102.474771) + (xy 64.205457 102.361055) + (xy 64.225422 102.235) + (xy 64.205457 102.108945) + (xy 64.147516 101.995229) + (xy 64.057271 101.904984) + (xy 63.943555 101.847043) + (xy 63.943557 101.847043) + (xy 63.8175 101.827078) + (xy 63.691443 101.847043) + (xy 63.577728 101.904984) + (xy 63.487484 101.995228) + (xy 63.429543 102.108943) + (xy 63.409578 102.234999) + (xy 63.409578 102.235) + (xy 57.6319 102.235) + (xy 57.6319 101.566796) + (xy 57.649493 101.518458) + (xy 57.653915 101.513633) + (xy 57.758048 101.4095) + (xy 62.393578 101.4095) + (xy 62.413543 101.535556) + (xy 62.449924 101.606957) + (xy 62.471484 101.649271) + (xy 62.561729 101.739516) + (xy 62.675443 101.797456) + (xy 62.675445 101.797457) + (xy 62.8015 101.817422) + (xy 62.927555 101.797457) + (xy 63.041271 101.739516) + (xy 63.131516 101.649271) + (xy 63.189457 101.535555) + (xy 63.209422 101.4095) + (xy 63.206815 101.393043) + (xy 63.20411 101.375962) + (xy 63.189457 101.283445) + (xy 63.156621 101.219) + (xy 64.298578 101.219) + (xy 64.318543 101.345056) + (xy 64.364975 101.436184) + (xy 64.376484 101.458771) + (xy 64.466729 101.549016) + (xy 64.580443 101.606956) + (xy 64.580445 101.606957) + (xy 64.7065 101.626922) + (xy 64.832555 101.606957) + (xy 64.946271 101.549016) + (xy 65.036516 101.458771) + (xy 65.094457 101.345055) + (xy 65.114422 101.219) + (xy 65.094457 101.092945) + (xy 65.036516 100.979229) + (xy 64.946271 100.888984) + (xy 64.832555 100.831043) + (xy 64.832557 100.831043) + (xy 64.7065 100.811078) + (xy 64.580443 100.831043) + (xy 64.466728 100.888984) + (xy 64.376484 100.979228) + (xy 64.318543 101.092943) + (xy 64.298578 101.218999) + (xy 64.298578 101.219) + (xy 63.156621 101.219) + (xy 63.131516 101.169729) + (xy 63.041271 101.079484) + (xy 62.927555 101.021543) + (xy 62.927557 101.021543) + (xy 62.8015 101.001578) + (xy 62.675443 101.021543) + (xy 62.561728 101.079484) + (xy 62.471484 101.169728) + (xy 62.413543 101.283443) + (xy 62.393578 101.409499) + (xy 62.393578 101.4095) + (xy 57.758048 101.4095) + (xy 58.717548 100.45) + (xy 69.592078 100.45) + (xy 69.612043 100.576056) + (xy 69.634203 100.619547) + (xy 69.669984 100.689771) + (xy 69.760229 100.780016) + (xy 69.873943 100.837956) + (xy 69.873945 100.837957) + (xy 70 100.857922) + (xy 70.126055 100.837957) + (xy 70.239771 100.780016) + (xy 70.330016 100.689771) + (xy 70.387957 100.576055) + (xy 70.407922 100.45) + (xy 70.402208 100.413927) + (xy 70.412022 100.363433) + (xy 70.423304 100.348993) + (xy 77.272299 93.5) + (xy 81.992078 93.5) + (xy 82.012043 93.626056) + (xy 82.049682 93.699926) + (xy 82.069984 93.739771) + (xy 82.160229 93.830016) + (xy 82.273943 93.887956) + (xy 82.273945 93.887957) + (xy 82.4 93.907922) + (xy 82.436071 93.902208) + (xy 82.486564 93.912022) + (xy 82.501009 93.923308) + (xy 82.550074 93.972373) + (xy 82.571814 94.018993) + (xy 82.5721 94.025547) + (xy 82.5721 98.692062) + (xy 82.571997 98.695998) + (xy 82.569876 98.736448) + (xy 82.578688 98.759402) + (xy 82.582039 98.770715) + (xy 82.587151 98.794763) + (xy 82.587152 98.794766) + (xy 82.592169 98.801671) + (xy 82.601536 98.818922) + (xy 82.604596 98.826895) + (xy 82.604597 98.826896) + (xy 82.621985 98.844284) + (xy 82.629644 98.853252) + (xy 82.644097 98.873144) + (xy 82.644099 98.873146) + (xy 82.651495 98.877416) + (xy 82.667066 98.889365) + (xy 83.233231 99.45553) + (xy 83.235942 99.458386) + (xy 83.263051 99.488494) + (xy 83.285516 99.498495) + (xy 83.295887 99.504127) + (xy 83.316502 99.517516) + (xy 83.324928 99.51885) + (xy 83.343759 99.524428) + (xy 83.351553 99.527898) + (xy 83.351555 99.527898) + (xy 83.351558 99.5279) + (xy 83.376152 99.5279) + (xy 83.387915 99.528825) + (xy 83.412194 99.532671) + (xy 83.417253 99.531315) + (xy 83.42044 99.530462) + (xy 83.439901 99.5279) + (xy 84.426964 99.5279) + (xy 84.475302 99.545493) + (xy 84.480138 99.549925) + (xy 84.560229 99.630016) + (xy 84.673943 99.687956) + (xy 84.673945 99.687957) + (xy 84.8 99.707922) + (xy 84.926055 99.687957) + (xy 85.039771 99.630016) + (xy 85.130016 99.539771) + (xy 85.187957 99.426055) + (xy 85.207922 99.3) + (xy 85.206014 99.287956) + (xy 85.203795 99.273943) + (xy 85.187957 99.173945) + (xy 85.130016 99.060229) + (xy 85.039771 98.969984) + (xy 84.926055 98.912043) + (xy 84.926057 98.912043) + (xy 84.8 98.892078) + (xy 84.673943 98.912043) + (xy 84.56023 98.969983) + (xy 84.480138 99.050075) + (xy 84.433518 99.071814) + (xy 84.426964 99.0721) + (xy 83.525547 99.0721) + (xy 83.477209 99.054507) + (xy 83.472373 99.050074) + (xy 83.049926 98.627626) + (xy 83.028186 98.581006) + (xy 83.0279 98.574452) + (xy 83.0279 98.079235) + (xy 83.045493 98.030897) + (xy 83.090042 98.005177) + (xy 83.1407 98.01411) + (xy 83.156274 98.026061) + (xy 83.210229 98.080016) + (xy 83.323943 98.137956) + (xy 83.323945 98.137957) + (xy 83.45 98.157922) + (xy 83.576055 98.137957) + (xy 83.689771 98.080016) + (xy 83.780016 97.989771) + (xy 83.837957 97.876055) + (xy 83.857922 97.75) + (xy 83.857683 97.748494) + (xy 83.851331 97.708386) + (xy 83.837957 97.623945) + (xy 83.780016 97.510229) + (xy 83.689771 97.419984) + (xy 83.576055 97.362043) + (xy 83.576057 97.362043) + (xy 83.45 97.342078) + (xy 83.323943 97.362043) + (xy 83.210228 97.419984) + (xy 83.156274 97.473939) + (xy 83.109654 97.495679) + (xy 83.059967 97.482365) + (xy 83.030462 97.440228) + (xy 83.0279 97.420765) + (xy 83.0279 93.907928) + (xy 83.028003 93.903991) + (xy 83.030123 93.863551) + (xy 83.02131 93.840595) + (xy 83.017958 93.82928) + (xy 83.012848 93.805234) + (xy 83.00783 93.798327) + (xy 82.998463 93.781075) + (xy 82.995403 93.773105) + (xy 82.995403 93.773104) + (xy 82.978013 93.755714) + (xy 82.970354 93.746747) + (xy 82.968648 93.744399) + (xy 82.955901 93.726854) + (xy 82.9559 93.726853) + (xy 82.948506 93.722584) + (xy 82.932934 93.710634) + (xy 82.823308 93.601008) + (xy 82.801568 93.554388) + (xy 82.802207 93.536079) + (xy 82.807922 93.5) + (xy 82.787957 93.373945) + (xy 82.730016 93.260229) + (xy 82.639771 93.169984) + (xy 82.526055 93.112043) + (xy 82.526057 93.112043) + (xy 82.4 93.092078) + (xy 82.273943 93.112043) + (xy 82.160228 93.169984) + (xy 82.069984 93.260228) + (xy 82.012043 93.373943) + (xy 81.992078 93.499999) + (xy 81.992078 93.5) + (xy 77.272299 93.5) + (xy 77.972373 92.799926) + (xy 78.018993 92.778186) + (xy 78.025547 92.7779) + (xy 82.524453 92.7779) + (xy 82.572791 92.795493) + (xy 82.577627 92.799926) + (xy 84.050074 94.272373) + (xy 84.071814 94.318993) + (xy 84.0721 94.325547) + (xy 84.0721 97.842062) + (xy 84.071997 97.845998) + (xy 84.069876 97.886448) + (xy 84.078688 97.909402) + (xy 84.082039 97.920715) + (xy 84.086112 97.939875) + (xy 84.087152 97.944766) + (xy 84.091122 97.950231) + (xy 84.092169 97.951671) + (xy 84.101536 97.968922) + (xy 84.104596 97.976895) + (xy 84.104597 97.976896) + (xy 84.121985 97.994284) + (xy 84.129644 98.003252) + (xy 84.144097 98.023144) + (xy 84.144099 98.023146) + (xy 84.151495 98.027416) + (xy 84.167066 98.039365) + (xy 84.683231 98.55553) + (xy 84.685942 98.558386) + (xy 84.702669 98.576964) + (xy 84.713051 98.588494) + (xy 84.735516 98.598495) + (xy 84.745887 98.604127) + (xy 84.766502 98.617516) + (xy 84.774928 98.61885) + (xy 84.793759 98.624428) + (xy 84.801553 98.627898) + (xy 84.801555 98.627898) + (xy 84.801558 98.6279) + (xy 84.826152 98.6279) + (xy 84.837915 98.628825) + (xy 84.862194 98.632671) + (xy 84.867253 98.631315) + (xy 84.87044 98.630462) + (xy 84.889901 98.6279) + (xy 87.174453 98.6279) + (xy 87.222791 98.645493) + (xy 87.227627 98.649926) + (xy 87.476691 98.89899) + (xy 87.498431 98.94561) + (xy 87.497791 98.963928) + (xy 87.492078 98.999999) + (xy 87.492078 99) + (xy 87.512043 99.126056) + (xy 87.544508 99.189771) + (xy 87.569984 99.239771) + (xy 87.660229 99.330016) + (xy 87.773943 99.387956) + (xy 87.773945 99.387957) + (xy 87.9 99.407922) + (xy 88.026055 99.387957) + (xy 88.139771 99.330016) + (xy 88.230016 99.239771) + (xy 88.287957 99.126055) + (xy 88.307922 99) + (xy 88.287957 98.873945) + (xy 88.230016 98.760229) + (xy 88.139771 98.669984) + (xy 88.026055 98.612043) + (xy 88.026057 98.612043) + (xy 87.900001 98.592078) + (xy 87.899996 98.592078) + (xy 87.863926 98.59779) + (xy 87.813431 98.587974) + (xy 87.79899 98.57669) + (xy 87.466758 98.244458) + (xy 87.464047 98.241602) + (xy 87.448604 98.224451) + (xy 87.436949 98.211506) + (xy 87.436945 98.211503) + (xy 87.414486 98.201504) + (xy 87.404116 98.195874) + (xy 87.383496 98.182483) + (xy 87.375064 98.181148) + (xy 87.356243 98.175573) + (xy 87.348442 98.1721) + (xy 87.323848 98.1721) + (xy 87.312084 98.171174) + (xy 87.287808 98.167329) + (xy 87.287804 98.167329) + (xy 87.27956 98.169538) + (xy 87.260099 98.1721) + (xy 84.975547 98.1721) + (xy 84.927209 98.154507) + (xy 84.922384 98.150085) + (xy 84.549924 97.777624) + (xy 84.528186 97.731006) + (xy 84.5279 97.724452) + (xy 84.5279 97.5) + (xy 84.792078 97.5) + (xy 84.812043 97.626056) + (xy 84.85409 97.708578) + (xy 84.869984 97.739771) + (xy 84.960229 97.830016) + (xy 85.073943 97.887956) + (xy 85.073945 97.887957) + (xy 85.2 97.907922) + (xy 85.326055 97.887957) + (xy 85.439771 97.830016) + (xy 85.530016 97.739771) + (xy 85.587957 97.626055) + (xy 85.607922 97.5) + (xy 87.142078 97.5) + (xy 87.162043 97.626056) + (xy 87.20409 97.708578) + (xy 87.219984 97.739771) + (xy 87.310229 97.830016) + (xy 87.423943 97.887956) + (xy 87.423945 97.887957) + (xy 87.55 97.907922) + (xy 87.676055 97.887957) + (xy 87.789771 97.830016) + (xy 87.880016 97.739771) + (xy 87.937957 97.626055) + (xy 87.957922 97.5) + (xy 87.937957 97.373945) + (xy 87.880016 97.260229) + (xy 87.789771 97.169984) + (xy 87.676055 97.112043) + (xy 87.676057 97.112043) + (xy 87.55 97.092078) + (xy 87.423943 97.112043) + (xy 87.310228 97.169984) + (xy 87.219984 97.260228) + (xy 87.162043 97.373943) + (xy 87.142078 97.499999) + (xy 87.142078 97.5) + (xy 85.607922 97.5) + (xy 85.587957 97.373945) + (xy 85.530016 97.260229) + (xy 85.439771 97.169984) + (xy 85.326055 97.112043) + (xy 85.326057 97.112043) + (xy 85.2 97.092078) + (xy 85.073943 97.112043) + (xy 84.960228 97.169984) + (xy 84.869984 97.260228) + (xy 84.812043 97.373943) + (xy 84.792078 97.499999) + (xy 84.792078 97.5) + (xy 84.5279 97.5) + (xy 84.5279 94.207928) + (xy 84.528003 94.203991) + (xy 84.530123 94.163551) + (xy 84.52131 94.140595) + (xy 84.51796 94.129288) + (xy 84.512848 94.105234) + (xy 84.50783 94.098327) + (xy 84.498463 94.081075) + (xy 84.495403 94.073104) + (xy 84.495402 94.073102) + (xy 84.478018 94.055718) + (xy 84.470355 94.046747) + (xy 84.455901 94.026854) + (xy 84.453637 94.025547) + (xy 84.448503 94.022582) + (xy 84.432933 94.010634) + (xy 83.626806 93.204507) + (xy 82.816758 92.394458) + (xy 82.814047 92.391602) + (xy 82.786949 92.361506) + (xy 82.786945 92.361503) + (xy 82.764486 92.351504) + (xy 82.754116 92.345874) + (xy 82.733496 92.332483) + (xy 82.725064 92.331148) + (xy 82.706243 92.325573) + (xy 82.698442 92.3221) + (xy 82.673848 92.3221) + (xy 82.662084 92.321174) + (xy 82.637808 92.317329) + (xy 82.637804 92.317329) + (xy 82.62956 92.319538) + (xy 82.610099 92.3221) + (xy 77.907929 92.3221) + (xy 77.903992 92.321997) + (xy 77.863551 92.319876) + (xy 77.840597 92.328688) + (xy 77.829286 92.332039) + (xy 77.805235 92.337151) + (xy 77.805229 92.337154) + (xy 77.798324 92.342171) + (xy 77.781085 92.351532) + (xy 77.773104 92.354596) + (xy 77.755712 92.371987) + (xy 77.746746 92.379645) + (xy 77.726854 92.394098) + (xy 77.726852 92.394101) + (xy 77.722583 92.401495) + (xy 77.710634 92.417065) + (xy 70.101008 100.02669) + (xy 70.054388 100.04843) + (xy 70.036072 100.04779) + (xy 70.000003 100.042078) + (xy 69.999999 100.042078) + (xy 69.873943 100.062043) + (xy 69.760228 100.119984) + (xy 69.669984 100.210228) + (xy 69.612043 100.323943) + (xy 69.592078 100.449999) + (xy 69.592078 100.45) + (xy 58.717548 100.45) + (xy 59.155048 100.0125) + (xy 61.695078 100.0125) + (xy 61.715043 100.138556) + (xy 61.765325 100.23724) + (xy 61.772984 100.252271) + (xy 61.863229 100.342516) + (xy 61.976943 100.400456) + (xy 61.976945 100.400457) + (xy 62.103 100.420422) + (xy 62.229055 100.400457) + (xy 62.342771 100.342516) + (xy 62.433016 100.252271) + (xy 62.490957 100.138555) + (xy 62.510922 100.0125) + (xy 62.490957 99.886445) + (xy 62.433016 99.772729) + (xy 62.342771 99.682484) + (xy 62.229055 99.624543) + (xy 62.229057 99.624543) + (xy 62.103 99.604578) + (xy 61.976943 99.624543) + (xy 61.863228 99.682484) + (xy 61.772984 99.772728) + (xy 61.715043 99.886443) + (xy 61.695078 100.012499) + (xy 61.695078 100.0125) + (xy 59.155048 100.0125) + (xy 60.217547 98.95) + (xy 66.492078 98.95) + (xy 66.512043 99.076056) + (xy 66.554932 99.160229) + (xy 66.569984 99.189771) + (xy 66.660229 99.280016) + (xy 66.773943 99.337956) + (xy 66.773945 99.337957) + (xy 66.9 99.357922) + (xy 67.026055 99.337957) + (xy 67.139771 99.280016) + (xy 67.230016 99.189771) + (xy 67.287957 99.076055) + (xy 67.307922 98.95) + (xy 69.592078 98.95) + (xy 69.612043 99.076056) + (xy 69.654932 99.160229) + (xy 69.669984 99.189771) + (xy 69.760229 99.280016) + (xy 69.873943 99.337956) + (xy 69.873945 99.337957) + (xy 70 99.357922) + (xy 70.126055 99.337957) + (xy 70.239771 99.280016) + (xy 70.330016 99.189771) + (xy 70.387957 99.076055) + (xy 70.407922 98.95) + (xy 70.387957 98.823945) + (xy 70.330016 98.710229) + (xy 70.249925 98.630138) + (xy 70.228186 98.583518) + (xy 70.2279 98.576964) + (xy 70.2279 98.275547) + (xy 70.245493 98.227209) + (xy 70.249926 98.222373) + (xy 76.872373 91.599926) + (xy 76.918993 91.578186) + (xy 76.925547 91.5779) + (xy 82.374453 91.5779) + (xy 82.422791 91.595493) + (xy 82.427627 91.599926) + (xy 84.950074 94.122373) + (xy 84.971814 94.168993) + (xy 84.9721 94.175547) + (xy 84.9721 95.376964) + (xy 84.954507 95.425302) + (xy 84.950075 95.430138) + (xy 84.869983 95.51023) + (xy 84.812043 95.623943) + (xy 84.792078 95.749999) + (xy 84.792078 95.75) + (xy 84.812043 95.876056) + (xy 84.819767 95.891215) + (xy 84.869984 95.989771) + (xy 84.960229 96.080016) + (xy 85.073943 96.137956) + (xy 85.073945 96.137957) + (xy 85.2 96.157922) + (xy 85.326055 96.137957) + (xy 85.439771 96.080016) + (xy 85.530016 95.989771) + (xy 85.580054 95.891564) + (xy 85.617673 95.856483) + (xy 85.669043 95.853791) + (xy 85.700231 95.872531) + (xy 86.28324 96.45554) + (xy 86.285951 96.458396) + (xy 86.29964 96.4736) + (xy 86.313051 96.488494) + (xy 86.335523 96.498498) + (xy 86.345874 96.504119) + (xy 86.366503 96.517516) + (xy 86.374928 96.51885) + (xy 86.393759 96.524428) + (xy 86.401553 96.527898) + (xy 86.401555 96.527898) + (xy 86.401558 96.5279) + (xy 86.426152 96.5279) + (xy 86.437915 96.528825) + (xy 86.462194 96.532671) + (xy 86.467253 96.531315) + (xy 86.47044 96.530462) + (xy 86.489901 96.5279) + (xy 87.624453 96.5279) + (xy 87.672791 96.545493) + (xy 87.677627 96.549926) + (xy 88.500074 97.372373) + (xy 88.521814 97.418993) + (xy 88.5221 97.425547) + (xy 88.5221 97.876964) + (xy 88.504507 97.925302) + (xy 88.500075 97.930138) + (xy 88.419983 98.01023) + (xy 88.362043 98.123943) + (xy 88.342078 98.249999) + (xy 88.342078 98.25) + (xy 88.362043 98.376056) + (xy 88.401812 98.454106) + (xy 88.419984 98.489771) + (xy 88.510229 98.580016) + (xy 88.623943 98.637956) + (xy 88.623945 98.637957) + (xy 88.75 98.657922) + (xy 88.876055 98.637957) + (xy 88.989771 98.580016) + (xy 89.080016 98.489771) + (xy 89.137957 98.376055) + (xy 89.157922 98.25) + (xy 89.137957 98.123945) + (xy 89.080016 98.010229) + (xy 88.999925 97.930138) + (xy 88.978186 97.883518) + (xy 88.9779 97.876964) + (xy 88.9779 97.307936) + (xy 88.978003 97.303999) + (xy 88.980123 97.263551) + (xy 88.971311 97.240595) + (xy 88.967959 97.22928) + (xy 88.962848 97.205235) + (xy 88.962848 97.205234) + (xy 88.959464 97.200577) + (xy 88.957831 97.19833) + (xy 88.948463 97.181074) + (xy 88.945404 97.173104) + (xy 88.938868 97.166568) + (xy 88.928007 97.155707) + (xy 88.920355 97.146749) + (xy 88.905901 97.126854) + (xy 88.9059 97.126853) + (xy 88.905899 97.126852) + (xy 88.898506 97.122584) + (xy 88.882934 97.110634) + (xy 87.916758 96.144458) + (xy 87.914047 96.141602) + (xy 87.886949 96.111506) + (xy 87.886945 96.111503) + (xy 87.864486 96.101504) + (xy 87.854116 96.095874) + (xy 87.833496 96.082483) + (xy 87.825064 96.081148) + (xy 87.806243 96.075573) + (xy 87.798442 96.0721) + (xy 87.773848 96.0721) + (xy 87.762084 96.071174) + (xy 87.737808 96.067329) + (xy 87.737804 96.067329) + (xy 87.72956 96.069538) + (xy 87.710099 96.0721) + (xy 86.575547 96.0721) + (xy 86.527209 96.054507) + (xy 86.522373 96.050074) + (xy 85.749926 95.277626) + (xy 85.728186 95.231006) + (xy 85.7279 95.224452) + (xy 85.7279 93.907936) + (xy 85.728003 93.903999) + (xy 85.728411 93.896214) + (xy 85.730123 93.863552) + (xy 85.721311 93.840595) + (xy 85.717959 93.82928) + (xy 85.712848 93.805235) + (xy 85.712848 93.805234) + (xy 85.712847 93.805233) + (xy 85.707831 93.798329) + (xy 85.698463 93.781074) + (xy 85.695404 93.773104) + (xy 85.691293 93.768993) + (xy 85.678007 93.755707) + (xy 85.670354 93.746747) + (xy 85.655901 93.726854) + (xy 85.6559 93.726853) + (xy 85.655899 93.726852) + (xy 85.648506 93.722584) + (xy 85.632934 93.710634) + (xy 82.816758 90.894458) + (xy 82.814047 90.891602) + (xy 82.786949 90.861506) + (xy 82.786945 90.861503) + (xy 82.764486 90.851504) + (xy 82.754116 90.845874) + (xy 82.733496 90.832483) + (xy 82.725064 90.831148) + (xy 82.706243 90.825573) + (xy 82.698442 90.8221) + (xy 82.673848 90.8221) + (xy 82.662084 90.821174) + (xy 82.637808 90.817329) + (xy 82.637804 90.817329) + (xy 82.62956 90.819538) + (xy 82.610099 90.8221) + (xy 76.657936 90.8221) + (xy 76.654 90.821997) + (xy 76.652192 90.821902) + (xy 76.613551 90.819876) + (xy 76.61355 90.819876) + (xy 76.590597 90.828688) + (xy 76.579286 90.832039) + (xy 76.555235 90.837151) + (xy 76.555229 90.837154) + (xy 76.548324 90.842171) + (xy 76.531085 90.851532) + (xy 76.523104 90.854596) + (xy 76.505712 90.871987) + (xy 76.496746 90.879645) + (xy 76.476854 90.894098) + (xy 76.476852 90.894101) + (xy 76.472583 90.901495) + (xy 76.460634 90.917065) + (xy 70.290291 97.087407) + (xy 70.243671 97.109147) + (xy 70.202978 97.101237) + (xy 70.126055 97.062043) + (xy 70.126057 97.062043) + (xy 70 97.042078) + (xy 69.873943 97.062043) + (xy 69.760228 97.119984) + (xy 69.669984 97.210228) + (xy 69.612043 97.323943) + (xy 69.592078 97.449999) + (xy 69.592078 97.45) + (xy 69.612043 97.576056) + (xy 69.66224 97.674572) + (xy 69.669984 97.689771) + (xy 69.760229 97.780016) + (xy 69.858433 97.830053) + (xy 69.893515 97.867674) + (xy 69.896207 97.919043) + (xy 69.877467 97.950231) + (xy 69.844456 97.983242) + (xy 69.841602 97.985952) + (xy 69.811504 98.013052) + (xy 69.803879 98.03018) + (xy 69.801527 98.035465) + (xy 69.801507 98.035509) + (xy 69.795877 98.045878) + (xy 69.782485 98.066501) + (xy 69.782483 98.066506) + (xy 69.781148 98.074935) + (xy 69.775574 98.093754) + (xy 69.7721 98.101557) + (xy 69.7721 98.126152) + (xy 69.771174 98.137915) + (xy 69.771098 98.138393) + (xy 69.767329 98.162191) + (xy 69.767329 98.162194) + (xy 69.769538 98.170438) + (xy 69.7721 98.1899) + (xy 69.7721 98.576964) + (xy 69.754507 98.625302) + (xy 69.750075 98.630138) + (xy 69.669983 98.71023) + (xy 69.612043 98.823943) + (xy 69.592078 98.949999) + (xy 69.592078 98.95) + (xy 67.307922 98.95) + (xy 67.287957 98.823945) + (xy 67.230016 98.710229) + (xy 67.139771 98.619984) + (xy 67.026055 98.562043) + (xy 67.026057 98.562043) + (xy 66.9 98.542078) + (xy 66.773943 98.562043) + (xy 66.660228 98.619984) + (xy 66.569984 98.710228) + (xy 66.512043 98.823943) + (xy 66.492078 98.949999) + (xy 66.492078 98.95) + (xy 60.217547 98.95) + (xy 60.988547 98.179) + (xy 60.991365 98.176325) + (xy 61.021494 98.149199) + (xy 61.031496 98.12673) + (xy 61.037123 98.116367) + (xy 61.050516 98.095747) + (xy 61.05185 98.087322) + (xy 61.05743 98.068484) + (xy 61.058313 98.066501) + (xy 61.0609 98.060692) + (xy 61.0609 98.036096) + (xy 61.061826 98.024331) + (xy 61.062014 98.023144) + (xy 61.065671 98.000056) + (xy 61.064123 97.994278) + (xy 61.063462 97.991809) + (xy 61.0609 97.972349) + (xy 61.0609 97.45) + (xy 66.492078 97.45) + (xy 66.512043 97.576056) + (xy 66.56224 97.674572) + (xy 66.569984 97.689771) + (xy 66.660229 97.780016) + (xy 66.773943 97.837956) + (xy 66.773945 97.837957) + (xy 66.9 97.857922) + (xy 67.026055 97.837957) + (xy 67.139771 97.780016) + (xy 67.230016 97.689771) + (xy 67.287957 97.576055) + (xy 67.307922 97.45) + (xy 67.306014 97.437956) + (xy 67.297258 97.382672) + (xy 67.287957 97.323945) + (xy 67.230016 97.210229) + (xy 67.139771 97.119984) + (xy 67.026055 97.062043) + (xy 67.026057 97.062043) + (xy 66.9 97.042078) + (xy 66.773943 97.062043) + (xy 66.660228 97.119984) + (xy 66.569984 97.210228) + (xy 66.512043 97.323943) + (xy 66.492078 97.449999) + (xy 66.492078 97.45) + (xy 61.0609 97.45) + (xy 61.0609 95.95) + (xy 66.492078 95.95) + (xy 66.512043 96.076056) + (xy 66.546896 96.144458) + (xy 66.569984 96.189771) + (xy 66.660229 96.280016) + (xy 66.773943 96.337956) + (xy 66.773945 96.337957) + (xy 66.9 96.357922) + (xy 67.026055 96.337957) + (xy 67.139771 96.280016) + (xy 67.230016 96.189771) + (xy 67.287957 96.076055) + (xy 67.307922 95.95) + (xy 69.592078 95.95) + (xy 69.612043 96.076056) + (xy 69.646896 96.144458) + (xy 69.669984 96.189771) + (xy 69.760229 96.280016) + (xy 69.873943 96.337956) + (xy 69.873945 96.337957) + (xy 70 96.357922) + (xy 70.126055 96.337957) + (xy 70.239771 96.280016) + (xy 70.330016 96.189771) + (xy 70.387957 96.076055) + (xy 70.407922 95.95) + (xy 70.402208 95.913927) + (xy 70.412022 95.863433) + (xy 70.423304 95.848993) + (xy 76.222373 90.049926) + (xy 76.268993 90.028186) + (xy 76.275547 90.0279) + (xy 82.424453 90.0279) + (xy 82.472791 90.045493) + (xy 82.477627 90.049926) + (xy 86.150074 93.722373) + (xy 86.171814 93.768993) + (xy 86.1721 93.775547) + (xy 86.1721 94.476964) + (xy 86.154507 94.525302) + (xy 86.150075 94.530138) + (xy 86.069983 94.61023) + (xy 86.012043 94.723943) + (xy 85.992078 94.849999) + (xy 85.992078 94.85) + (xy 86.012043 94.976056) + (xy 86.049719 95.049999) + (xy 86.069984 95.089771) + (xy 86.160229 95.180016) + (xy 86.273943 95.237956) + (xy 86.273945 95.237957) + (xy 86.4 95.257922) + (xy 86.526055 95.237957) + (xy 86.639771 95.180016) + (xy 86.730016 95.089771) + (xy 86.787957 94.976055) + (xy 86.800003 94.9) + (xy 88.542078 94.9) + (xy 88.562043 95.026056) + (xy 88.603067 95.10657) + (xy 88.619984 95.139771) + (xy 88.710229 95.230016) + (xy 88.823943 95.287956) + (xy 88.823945 95.287957) + (xy 88.95 95.307922) + (xy 89.076055 95.287957) + (xy 89.189771 95.230016) + (xy 89.280016 95.139771) + (xy 89.337957 95.026055) + (xy 89.357922 94.9) + (xy 89.337957 94.773945) + (xy 89.280016 94.660229) + (xy 89.189771 94.569984) + (xy 89.076055 94.512043) + (xy 89.076057 94.512043) + (xy 88.95 94.492078) + (xy 88.823943 94.512043) + (xy 88.710228 94.569984) + (xy 88.619984 94.660228) + (xy 88.562043 94.773943) + (xy 88.542078 94.899999) + (xy 88.542078 94.9) + (xy 86.800003 94.9) + (xy 86.807922 94.85) + (xy 86.787957 94.723945) + (xy 86.730016 94.610229) + (xy 86.649925 94.530138) + (xy 86.628186 94.483518) + (xy 86.6279 94.476964) + (xy 86.6279 93.657928) + (xy 86.628003 93.653991) + (xy 86.629467 93.626056) + (xy 86.630123 93.613552) + (xy 86.625307 93.601008) + (xy 86.62131 93.590595) + (xy 86.61796 93.579288) + (xy 86.612848 93.555234) + (xy 86.60783 93.548327) + (xy 86.598463 93.531075) + (xy 86.595403 93.523104) + (xy 86.595402 93.523102) + (xy 86.578018 93.505718) + (xy 86.570355 93.496747) + (xy 86.555901 93.476854) + (xy 86.548503 93.472582) + (xy 86.532933 93.460634) + (xy 85.372299 92.3) + (xy 85.892078 92.3) + (xy 85.912043 92.426056) + (xy 85.965068 92.530123) + (xy 85.969984 92.539771) + (xy 86.060229 92.630016) + (xy 86.173943 92.687956) + (xy 86.173945 92.687957) + (xy 86.3 92.707922) + (xy 86.426055 92.687957) + (xy 86.539771 92.630016) + (xy 86.619787 92.55) + (xy 88.542078 92.55) + (xy 88.562043 92.676056) + (xy 88.602605 92.755662) + (xy 88.619984 92.789771) + (xy 88.710229 92.880016) + (xy 88.823943 92.937956) + (xy 88.823945 92.937957) + (xy 88.95 92.957922) + (xy 89.076055 92.937957) + (xy 89.189771 92.880016) + (xy 89.280016 92.789771) + (xy 89.337957 92.676055) + (xy 89.357922 92.55) + (xy 89.337957 92.423945) + (xy 89.280016 92.310229) + (xy 89.189771 92.219984) + (xy 89.076055 92.162043) + (xy 89.076057 92.162043) + (xy 88.95 92.142078) + (xy 88.823943 92.162043) + (xy 88.710228 92.219984) + (xy 88.619984 92.310228) + (xy 88.562043 92.423943) + (xy 88.542078 92.549999) + (xy 88.542078 92.55) + (xy 86.619787 92.55) + (xy 86.619862 92.549925) + (xy 86.666482 92.528186) + (xy 86.673036 92.5279) + (xy 87.592064 92.5279) + (xy 87.596 92.528003) + (xy 87.636447 92.530123) + (xy 87.636447 92.530122) + (xy 87.636448 92.530123) + (xy 87.659403 92.52131) + (xy 87.670722 92.517958) + (xy 87.694761 92.512849) + (xy 87.69476 92.512849) + (xy 87.694766 92.512848) + (xy 87.701674 92.507828) + (xy 87.718921 92.498464) + (xy 87.726896 92.495403) + (xy 87.744289 92.478009) + (xy 87.753248 92.470357) + (xy 87.773146 92.455901) + (xy 87.777414 92.448507) + (xy 87.789361 92.432936) + (xy 88.322375 91.899925) + (xy 88.368995 91.878186) + (xy 88.375549 91.8779) + (xy 89.724453 91.8779) + (xy 89.772791 91.895493) + (xy 89.777627 91.899926) + (xy 90.550074 92.672373) + (xy 90.571814 92.718993) + (xy 90.5721 92.725547) + (xy 90.5721 94.626964) + (xy 90.554507 94.675302) + (xy 90.550075 94.680138) + (xy 90.469983 94.76023) + (xy 90.412043 94.873943) + (xy 90.392078 94.999999) + (xy 90.392078 95) + (xy 90.412043 95.126056) + (xy 90.447362 95.195373) + (xy 90.469984 95.239771) + (xy 90.560229 95.330016) + (xy 90.673943 95.387956) + (xy 90.673945 95.387957) + (xy 90.8 95.407922) + (xy 90.926055 95.387957) + (xy 91.039771 95.330016) + (xy 91.130016 95.239771) + (xy 91.187957 95.126055) + (xy 91.207922 95) + (xy 91.192084 94.9) + (xy 94.142078 94.9) + (xy 94.162043 95.026056) + (xy 94.203067 95.10657) + (xy 94.219984 95.139771) + (xy 94.310229 95.230016) + (xy 94.423943 95.287956) + (xy 94.423945 95.287957) + (xy 94.55 95.307922) + (xy 94.676055 95.287957) + (xy 94.789771 95.230016) + (xy 94.880016 95.139771) + (xy 94.937957 95.026055) + (xy 94.957922 94.9) + (xy 94.952208 94.863927) + (xy 94.962022 94.813433) + (xy 94.973304 94.798993) + (xy 96.072373 93.699926) + (xy 96.118993 93.678186) + (xy 96.125547 93.6779) + (xy 96.768252 93.6779) + (xy 96.81659 93.695493) + (xy 96.84231 93.740042) + (xy 96.833377 93.7907) + (xy 96.821429 93.80627) + (xy 96.308706 94.318993) + (xy 96.151008 94.476691) + (xy 96.104388 94.49843) + (xy 96.086072 94.49779) + (xy 96.050003 94.492078) + (xy 96.049999 94.492078) + (xy 95.923943 94.512043) + (xy 95.810228 94.569984) + (xy 95.719984 94.660228) + (xy 95.662043 94.773943) + (xy 95.642078 94.899999) + (xy 95.642078 94.9) + (xy 95.662043 95.026056) + (xy 95.703067 95.10657) + (xy 95.719984 95.139771) + (xy 95.810229 95.230016) + (xy 95.923943 95.287956) + (xy 95.923945 95.287957) + (xy 96.05 95.307922) + (xy 96.176055 95.287957) + (xy 96.289771 95.230016) + (xy 96.343726 95.176061) + (xy 96.390346 95.154321) + (xy 96.440033 95.167635) + (xy 96.469538 95.209772) + (xy 96.4721 95.229235) + (xy 96.4721 95.276964) + (xy 96.454507 95.325302) + (xy 96.450075 95.330138) + (xy 96.369983 95.41023) + (xy 96.312043 95.523943) + (xy 96.292078 95.649999) + (xy 96.292078 95.65) + (xy 96.312043 95.776056) + (xy 96.362995 95.876055) + (xy 96.369984 95.889771) + (xy 96.460229 95.980016) + (xy 96.573943 96.037956) + (xy 96.573945 96.037957) + (xy 96.7 96.057922) + (xy 96.826055 96.037957) + (xy 96.939771 95.980016) + (xy 97.030016 95.889771) + (xy 97.087957 95.776055) + (xy 97.107922 95.65) + (xy 97.087957 95.523945) + (xy 97.030016 95.410229) + (xy 96.949925 95.330138) + (xy 96.928186 95.283518) + (xy 96.9279 95.276964) + (xy 96.9279 94.825547) + (xy 96.945493 94.777209) + (xy 96.949926 94.772373) + (xy 97.422374 94.299926) + (xy 97.468994 94.278186) + (xy 97.475548 94.2779) + (xy 98.568251 94.2779) + (xy 98.616589 94.295493) + (xy 98.642309 94.340042) + (xy 98.633376 94.3907) + (xy 98.621425 94.406275) + (xy 98.494447 94.533251) + (xy 98.491591 94.535961) + (xy 98.469072 94.556237) + (xy 98.421378 94.575506) + (xy 98.384614 94.567356) + (xy 98.276055 94.512043) + (xy 98.276057 94.512043) + (xy 98.15 94.492078) + (xy 98.023943 94.512043) + (xy 97.910228 94.569984) + (xy 97.819984 94.660228) + (xy 97.762043 94.773943) + (xy 97.742078 94.899999) + (xy 97.742078 94.9) + (xy 97.762043 95.026056) + (xy 97.803067 95.10657) + (xy 97.819984 95.139771) + (xy 97.910229 95.230016) + (xy 98.008433 95.280053) + (xy 98.043515 95.317674) + (xy 98.046207 95.369043) + (xy 98.027467 95.400231) + (xy 97.987335 95.440363) + (xy 97.940715 95.462103) + (xy 97.922398 95.461463) + (xy 97.800002 95.442078) + (xy 97.8 95.442078) + (xy 97.673943 95.462043) + (xy 97.560228 95.519984) + (xy 97.469984 95.610228) + (xy 97.412043 95.723943) + (xy 97.392078 95.849999) + (xy 97.392078 95.85) + (xy 97.412043 95.976056) + (xy 97.449757 96.050074) + (xy 97.469984 96.089771) + (xy 97.560229 96.180016) + (xy 97.673943 96.237956) + (xy 97.673945 96.237957) + (xy 97.8 96.257922) + (xy 97.926055 96.237957) + (xy 98.039771 96.180016) + (xy 98.130016 96.089771) + (xy 98.187957 95.976055) + (xy 98.201394 95.891212) + (xy 98.222491 95.849806) + (xy 98.805547 95.26675) + (xy 98.808365 95.264075) + (xy 98.830929 95.243759) + (xy 98.878624 95.224493) + (xy 98.915384 95.232642) + (xy 99.023943 95.287956) + (xy 99.023945 95.287957) + (xy 99.15 95.307922) + (xy 99.276055 95.287957) + (xy 99.389771 95.230016) + (xy 99.480016 95.139771) + (xy 99.537957 95.026055) + (xy 99.543456 94.991336) + (xy 99.568395 94.946345) + (xy 99.616418 94.927911) + (xy 99.61773 94.9279) + (xy 109.574453 94.9279) + (xy 109.622791 94.945493) + (xy 109.627627 94.949926) + (xy 111.271427 96.593726) + (xy 111.293167 96.640346) + (xy 111.279853 96.690033) + (xy 111.237716 96.719538) + (xy 111.218253 96.7221) + (xy 110.675547 96.7221) + (xy 110.627209 96.704507) + (xy 110.622373 96.700074) + (xy 110.004783 96.082484) + (xy 109.366758 95.444458) + (xy 109.364047 95.441602) + (xy 109.336949 95.411506) + (xy 109.336945 95.411503) + (xy 109.314486 95.401504) + (xy 109.304116 95.395874) + (xy 109.283496 95.382483) + (xy 109.275064 95.381148) + (xy 109.256243 95.375573) + (xy 109.248442 95.3721) + (xy 109.223848 95.3721) + (xy 109.212084 95.371174) + (xy 109.187808 95.367329) + (xy 109.187804 95.367329) + (xy 109.17956 95.369538) + (xy 109.160099 95.3721) + (xy 102.757936 95.3721) + (xy 102.754 95.371997) + (xy 102.752192 95.371902) + (xy 102.713551 95.369876) + (xy 102.71355 95.369876) + (xy 102.690597 95.378688) + (xy 102.679286 95.382039) + (xy 102.655235 95.387151) + (xy 102.655229 95.387154) + (xy 102.648324 95.392171) + (xy 102.631085 95.401532) + (xy 102.623104 95.404596) + (xy 102.605712 95.421987) + (xy 102.596746 95.429644) + (xy 102.576855 95.444096) + (xy 102.576854 95.444098) + (xy 102.572582 95.451497) + (xy 102.560635 95.467065) + (xy 102.377625 95.650074) + (xy 102.331006 95.671814) + (xy 102.324452 95.6721) + (xy 100.357928 95.6721) + (xy 100.353991 95.671997) + (xy 100.313551 95.669876) + (xy 100.290597 95.678688) + (xy 100.279286 95.682039) + (xy 100.255235 95.687151) + (xy 100.255229 95.687154) + (xy 100.248324 95.692171) + (xy 100.231085 95.701532) + (xy 100.223104 95.704596) + (xy 100.205712 95.721987) + (xy 100.196746 95.729645) + (xy 100.176854 95.744098) + (xy 100.176852 95.744101) + (xy 100.172583 95.751495) + (xy 100.160634 95.767065) + (xy 99.494456 96.433242) + (xy 99.491602 96.435952) + (xy 99.461504 96.463052) + (xy 99.451507 96.485509) + (xy 99.445877 96.495878) + (xy 99.432485 96.516501) + (xy 99.432483 96.516506) + (xy 99.431148 96.524935) + (xy 99.425572 96.543757) + (xy 99.422507 96.550641) + (xy 99.406984 96.573228) + (xy 99.319983 96.66023) + (xy 99.262043 96.773943) + (xy 99.242078 96.899999) + (xy 99.242078 96.9) + (xy 99.262043 97.026056) + (xy 99.319984 97.139771) + (xy 99.410229 97.230016) + (xy 99.523943 97.287956) + (xy 99.523945 97.287957) + (xy 99.65 97.307922) + (xy 99.776055 97.287957) + (xy 99.889771 97.230016) + (xy 99.980016 97.139771) + (xy 100.037957 97.026055) + (xy 100.057922 96.9) + (xy 100.037957 96.773945) + (xy 99.981884 96.663896) + (xy 99.975616 96.612842) + (xy 99.995713 96.576585) + (xy 100.049768 96.522529) + (xy 100.096386 96.500791) + (xy 100.146073 96.514104) + (xy 100.169944 96.541564) + (xy 100.21668 96.633287) + (xy 100.219984 96.639771) + (xy 100.310229 96.730016) + (xy 100.423943 96.787956) + (xy 100.423945 96.787957) + (xy 100.55 96.807922) + (xy 100.676055 96.787957) + (xy 100.789771 96.730016) + (xy 100.880016 96.639771) + (xy 100.937957 96.526055) + (xy 100.942719 96.495984) + (xy 100.943456 96.491336) + (xy 100.968395 96.446345) + (xy 101.016418 96.427911) + (xy 101.01773 96.4279) + (xy 102.224453 96.4279) + (xy 102.272791 96.445493) + (xy 102.277627 96.449926) + (xy 102.583231 96.75553) + (xy 102.585942 96.758386) + (xy 102.612566 96.787956) + (xy 102.613051 96.788494) + (xy 102.635516 96.798495) + (xy 102.645887 96.804127) + (xy 102.666502 96.817516) + (xy 102.674928 96.81885) + (xy 102.693759 96.824428) + (xy 102.701553 96.827898) + (xy 102.701555 96.827898) + (xy 102.701558 96.8279) + (xy 102.726152 96.8279) + (xy 102.737915 96.828825) + (xy 102.762194 96.832671) + (xy 102.767253 96.831315) + (xy 102.77044 96.830462) + (xy 102.789901 96.8279) + (xy 103.142064 96.8279) + (xy 103.146 96.828003) + (xy 103.186447 96.830123) + (xy 103.186447 96.830122) + (xy 103.186448 96.830123) + (xy 103.209403 96.82131) + (xy 103.220722 96.817958) + (xy 103.244761 96.812849) + (xy 103.24476 96.812849) + (xy 103.244766 96.812848) + (xy 103.251674 96.807828) + (xy 103.268921 96.798464) + (xy 103.276896 96.795403) + (xy 103.294289 96.778009) + (xy 103.303248 96.770357) + (xy 103.323146 96.755901) + (xy 103.327414 96.748507) + (xy 103.339361 96.732936) + (xy 103.622374 96.449925) + (xy 103.668994 96.428186) + (xy 103.675548 96.4279) + (xy 108.774453 96.4279) + (xy 108.822791 96.445493) + (xy 108.827627 96.449926) + (xy 110.083231 97.70553) + (xy 110.085942 97.708386) + (xy 110.113051 97.738494) + (xy 110.135516 97.748495) + (xy 110.145887 97.754127) + (xy 110.166502 97.767516) + (xy 110.174928 97.76885) + (xy 110.193759 97.774428) + (xy 110.201553 97.777898) + (xy 110.201555 97.777898) + (xy 110.201558 97.7779) + (xy 110.226152 97.7779) + (xy 110.237915 97.778825) + (xy 110.262194 97.782671) + (xy 110.267253 97.781315) + (xy 110.27044 97.780462) + (xy 110.289901 97.7779) + (xy 113.324453 97.7779) + (xy 113.372791 97.795493) + (xy 113.377627 97.799926) + (xy 113.548546 97.970845) + (xy 113.570286 98.017465) + (xy 113.556972 98.067152) + (xy 113.539575 98.084856) + (xy 113.526854 98.094098) + (xy 113.526852 98.0941) + (xy 113.522585 98.101491) + (xy 113.510638 98.11706) + (xy 113.427626 98.200074) + (xy 113.381006 98.221814) + (xy 113.374451 98.2221) + (xy 109.507936 98.2221) + (xy 109.504 98.221997) + (xy 109.502283 98.221907) + (xy 109.463552 98.219876) + (xy 109.440588 98.228691) + (xy 109.429279 98.23204) + (xy 109.405236 98.237151) + (xy 109.405234 98.237151) + (xy 109.398327 98.24217) + (xy 109.381083 98.251532) + (xy 109.373104 98.254595) + (xy 109.35571 98.271989) + (xy 109.34674 98.27965) + (xy 109.326854 98.294098) + (xy 109.326852 98.2941) + (xy 109.322585 98.301491) + (xy 109.310638 98.31706) + (xy 109.227626 98.400074) + (xy 109.181006 98.421814) + (xy 109.174451 98.4221) + (xy 103.975547 98.4221) + (xy 103.927209 98.404507) + (xy 103.922373 98.400074) + (xy 103.602315 98.080016) + (xy 103.266758 97.744458) + (xy 103.264047 97.741602) + (xy 103.236949 97.711506) + (xy 103.236946 97.711504) + (xy 103.233143 97.709811) + (xy 103.196141 97.674077) + (xy 103.190765 97.622919) + (xy 103.196727 97.606973) + (xy 103.237957 97.526055) + (xy 103.257922 97.4) + (xy 103.237957 97.273945) + (xy 103.180016 97.160229) + (xy 103.089771 97.069984) + (xy 103.05055 97.05) + (xy 104.242078 97.05) + (xy 104.262043 97.176056) + (xy 104.303933 97.258269) + (xy 104.319984 97.289771) + (xy 104.410229 97.380016) + (xy 104.523943 97.437956) + (xy 104.523945 97.437957) + (xy 104.65 97.457922) + (xy 104.776055 97.437957) + (xy 104.889771 97.380016) + (xy 104.980016 97.289771) + (xy 105.037957 97.176055) + (xy 105.057922 97.05) + (xy 105.056014 97.037956) + (xy 105.05191 97.012043) + (xy 105.037957 96.923945) + (xy 104.980016 96.810229) + (xy 104.889771 96.719984) + (xy 104.776055 96.662043) + (xy 104.776057 96.662043) + (xy 104.65 96.642078) + (xy 104.523943 96.662043) + (xy 104.410228 96.719984) + (xy 104.319984 96.810228) + (xy 104.262043 96.923943) + (xy 104.242078 97.049999) + (xy 104.242078 97.05) + (xy 103.05055 97.05) + (xy 102.976055 97.012043) + (xy 102.976057 97.012043) + (xy 102.85 96.992078) + (xy 102.723943 97.012043) + (xy 102.610228 97.069984) + (xy 102.519984 97.160228) + (xy 102.462043 97.273943) + (xy 102.442078 97.399999) + (xy 102.442078 97.4) + (xy 102.462043 97.526056) + (xy 102.480745 97.56276) + (xy 102.487014 97.613816) + (xy 102.458998 97.656957) + (xy 102.413741 97.6721) + (xy 100.986259 97.6721) + (xy 100.937921 97.654507) + (xy 100.912201 97.609958) + (xy 100.919255 97.56276) + (xy 100.937956 97.526056) + (xy 100.937957 97.526055) + (xy 100.957922 97.4) + (xy 100.937957 97.273945) + (xy 100.880016 97.160229) + (xy 100.789771 97.069984) + (xy 100.676055 97.012043) + (xy 100.676057 97.012043) + (xy 100.55 96.992078) + (xy 100.423943 97.012043) + (xy 100.310228 97.069984) + (xy 100.219984 97.160228) + (xy 100.162043 97.273943) + (xy 100.142078 97.399999) + (xy 100.142078 97.4) + (xy 100.162043 97.526056) + (xy 100.180745 97.56276) + (xy 100.187014 97.613816) + (xy 100.158998 97.656957) + (xy 100.113741 97.6721) + (xy 100.023036 97.6721) + (xy 99.974698 97.654507) + (xy 99.969862 97.650075) + (xy 99.931368 97.611581) + (xy 99.889771 97.569984) + (xy 99.776055 97.512043) + (xy 99.776057 97.512043) + (xy 99.65 97.492078) + (xy 99.523943 97.512043) + (xy 99.410228 97.569984) + (xy 99.319984 97.660228) + (xy 99.262043 97.773943) + (xy 99.242078 97.899999) + (xy 99.242078 97.9) + (xy 99.262043 98.026056) + (xy 99.308052 98.116354) + (xy 99.319984 98.139771) + (xy 99.410229 98.230016) + (xy 99.523943 98.287956) + (xy 99.523945 98.287957) + (xy 99.65 98.307922) + (xy 99.776055 98.287957) + (xy 99.889771 98.230016) + (xy 99.969862 98.149925) + (xy 100.016482 98.128186) + (xy 100.023036 98.1279) + (xy 102.974453 98.1279) + (xy 103.022791 98.145493) + (xy 103.027627 98.149926) + (xy 103.471427 98.593726) + (xy 103.493167 98.640346) + (xy 103.479853 98.690033) + (xy 103.437716 98.719538) + (xy 103.418253 98.7221) + (xy 100.925964 98.7221) + (xy 100.895317 98.712139) + (xy 100.894169 98.71472) + (xy 100.864486 98.701504) + (xy 100.854116 98.695874) + (xy 100.833496 98.682483) + (xy 100.825064 98.681148) + (xy 100.806243 98.675573) + (xy 100.798442 98.6721) + (xy 100.773848 98.6721) + (xy 100.762084 98.671174) + (xy 100.737808 98.667329) + (xy 100.737804 98.667329) + (xy 100.72956 98.669538) + (xy 100.710099 98.6721) + (xy 100.023036 98.6721) + (xy 99.974698 98.654507) + (xy 99.969862 98.650075) + (xy 99.93183 98.612043) + (xy 99.889771 98.569984) + (xy 99.776055 98.512043) + (xy 99.776057 98.512043) + (xy 99.65 98.492078) + (xy 99.523943 98.512043) + (xy 99.410228 98.569984) + (xy 99.319984 98.660228) + (xy 99.262043 98.773943) + (xy 99.242078 98.899999) + (xy 99.242078 98.9) + (xy 99.262043 99.026056) + (xy 99.312995 99.126055) + (xy 99.319984 99.139771) + (xy 99.410229 99.230016) + (xy 99.523943 99.287956) + (xy 99.523945 99.287957) + (xy 99.65 99.307922) + (xy 99.776055 99.287957) + (xy 99.889771 99.230016) + (xy 99.969862 99.149925) + (xy 100.016482 99.128186) + (xy 100.023036 99.1279) + (xy 100.113741 99.1279) + (xy 100.162079 99.145493) + (xy 100.187799 99.190042) + (xy 100.180745 99.23724) + (xy 100.162043 99.273943) + (xy 100.142078 99.399999) + (xy 100.142078 99.4) + (xy 100.162043 99.526056) + (xy 100.180745 99.56276) + (xy 100.187014 99.613816) + (xy 100.158998 99.656957) + (xy 100.113741 99.6721) + (xy 100.023036 99.6721) + (xy 99.974698 99.654507) + (xy 99.969862 99.650075) + (xy 99.930015 99.610228) + (xy 99.889771 99.569984) + (xy 99.776055 99.512043) + (xy 99.776057 99.512043) + (xy 99.65 99.492078) + (xy 99.523943 99.512043) + (xy 99.410228 99.569984) + (xy 99.319984 99.660228) + (xy 99.262043 99.773943) + (xy 99.242078 99.899999) + (xy 99.242078 99.9) + (xy 99.262043 100.026056) + (xy 99.309902 100.119984) + (xy 99.319984 100.139771) + (xy 99.410229 100.230016) + (xy 99.523943 100.287956) + (xy 99.523945 100.287957) + (xy 99.65 100.307922) + (xy 99.776055 100.287957) + (xy 99.889771 100.230016) + (xy 99.969862 100.149925) + (xy 100.016482 100.128186) + (xy 100.023036 100.1279) + (xy 100.113741 100.1279) + (xy 100.162079 100.145493) + (xy 100.187799 100.190042) + (xy 100.180745 100.23724) + (xy 100.162043 100.273943) + (xy 100.142078 100.399999) + (xy 100.142078 100.4) + (xy 100.162043 100.526056) + (xy 100.193809 100.588399) + (xy 100.219984 100.639771) + (xy 100.310229 100.730016) + (xy 100.423943 100.787956) + (xy 100.423945 100.787957) + (xy 100.55 100.807922) + (xy 100.676055 100.787957) + (xy 100.789771 100.730016) + (xy 100.880016 100.639771) + (xy 100.937957 100.526055) + (xy 100.943456 100.491336) + (xy 100.968395 100.446345) + (xy 101.016418 100.427911) + (xy 101.01773 100.4279) + (xy 101.092064 100.4279) + (xy 101.096 100.428003) + (xy 101.136447 100.430123) + (xy 101.136447 100.430122) + (xy 101.136448 100.430123) + (xy 101.159403 100.42131) + (xy 101.170722 100.417958) + (xy 101.194761 100.412849) + (xy 101.19476 100.412849) + (xy 101.194766 100.412848) + (xy 101.201674 100.407828) + (xy 101.218921 100.398464) + (xy 101.226896 100.395403) + (xy 101.244289 100.378009) + (xy 101.253249 100.370356) + (xy 101.273146 100.355901) + (xy 101.277415 100.348506) + (xy 101.28936 100.332938) + (xy 101.522375 100.099925) + (xy 101.568995 100.078186) + (xy 101.575549 100.0779) + (xy 109.763692 100.0779) + (xy 109.81203 100.095493) + (xy 109.83775 100.140042) + (xy 109.828817 100.1907) + (xy 109.828813 100.190708) + (xy 109.826855 100.194098) + (xy 109.826854 100.194099) + (xy 109.823337 100.200188) + (xy 109.822584 100.201494) + (xy 109.810638 100.21706) + (xy 109.727626 100.300074) + (xy 109.681006 100.321814) + (xy 109.674451 100.3221) + (xy 102.157929 100.3221) + (xy 102.153992 100.321997) + (xy 102.113551 100.319876) + (xy 102.090597 100.328688) + (xy 102.079286 100.332039) + (xy 102.055235 100.337151) + (xy 102.055229 100.337154) + (xy 102.048324 100.342171) + (xy 102.031085 100.351532) + (xy 102.023104 100.354596) + (xy 102.005712 100.371987) + (xy 101.996746 100.379645) + (xy 101.976854 100.394098) + (xy 101.976852 100.394101) + (xy 101.972583 100.401495) + (xy 101.960634 100.417065) + (xy 100.840291 101.537407) + (xy 100.793671 101.559147) + (xy 100.752978 101.551237) + (xy 100.676055 101.512043) + (xy 100.676057 101.512043) + (xy 100.55 101.492078) + (xy 100.423943 101.512043) + (xy 100.310228 101.569984) + (xy 100.219984 101.660228) + (xy 100.162043 101.773943) + (xy 100.142078 101.899999) + (xy 100.142078 101.9) + (xy 100.162043 102.026056) + (xy 100.180745 102.06276) + (xy 100.187014 102.113816) + (xy 100.158998 102.156957) + (xy 100.113741 102.1721) + (xy 100.023036 102.1721) + (xy 99.974698 102.154507) + (xy 99.969862 102.150075) + (xy 99.93183 102.112043) + (xy 99.889771 102.069984) + (xy 99.776055 102.012043) + (xy 99.776057 102.012043) + (xy 99.65 101.992078) + (xy 99.523943 102.012043) + (xy 99.410228 102.069984) + (xy 99.319984 102.160228) + (xy 99.262043 102.273943) + (xy 99.242078 102.399999) + (xy 99.242078 102.4) + (xy 99.262043 102.526056) + (xy 99.314081 102.628186) + (xy 99.319984 102.639771) + (xy 99.410229 102.730016) + (xy 99.523943 102.787956) + (xy 99.523945 102.787957) + (xy 99.65 102.807922) + (xy 99.776055 102.787957) + (xy 99.889771 102.730016) + (xy 99.969862 102.649925) + (xy 100.016482 102.628186) + (xy 100.023036 102.6279) + (xy 100.113741 102.6279) + (xy 100.162079 102.645493) + (xy 100.187799 102.690042) + (xy 100.180745 102.73724) + (xy 100.162043 102.773943) + (xy 100.142078 102.899999) + (xy 100.142078 102.9) + (xy 100.162043 103.026056) + (xy 100.180745 103.06276) + (xy 100.187014 103.113816) + (xy 100.158998 103.156957) + (xy 100.113741 103.1721) + (xy 100.023036 103.1721) + (xy 99.974698 103.154507) + (xy 99.969862 103.150075) + (xy 99.933603 103.113816) + (xy 99.889771 103.069984) + (xy 99.776055 103.012043) + (xy 99.776057 103.012043) + (xy 99.65 102.992078) + (xy 99.523943 103.012043) + (xy 99.410228 103.069984) + (xy 99.319984 103.160228) + (xy 99.262043 103.273943) + (xy 99.242078 103.399999) + (xy 99.242078 103.4) + (xy 99.262043 103.526056) + (xy 99.315418 103.63081) + (xy 99.319984 103.639771) + (xy 99.410229 103.730016) + (xy 99.523943 103.787956) + (xy 99.523945 103.787957) + (xy 99.65 103.807922) + (xy 99.776055 103.787957) + (xy 99.889771 103.730016) + (xy 99.969862 103.649925) + (xy 100.016482 103.628186) + (xy 100.023036 103.6279) + (xy 101.774035 103.6279) + (xy 101.804683 103.637854) + (xy 101.80583 103.635279) + (xy 101.81305 103.638493) + (xy 101.813051 103.638494) + (xy 101.821307 103.64217) + (xy 101.835516 103.648496) + (xy 101.845884 103.654125) + (xy 101.866504 103.667516) + (xy 101.874936 103.668851) + (xy 101.893755 103.674426) + (xy 101.901558 103.6779) + (xy 101.926147 103.6779) + (xy 101.93791 103.678825) + (xy 101.962194 103.682672) + (xy 101.968459 103.680993) + (xy 101.970443 103.680462) + (xy 101.989904 103.6779) + (xy 103.392064 103.6779) + (xy 103.396 103.678003) + (xy 103.436447 103.680123) + (xy 103.436447 103.680122) + (xy 103.436448 103.680123) + (xy 103.459403 103.67131) + (xy 103.470722 103.667958) + (xy 103.494761 103.662849) + (xy 103.49476 103.662849) + (xy 103.494766 103.662848) + (xy 103.501674 103.657828) + (xy 103.518921 103.648464) + (xy 103.526896 103.645403) + (xy 103.544289 103.628009) + (xy 103.553249 103.620356) + (xy 103.573146 103.605901) + (xy 103.577415 103.598506) + (xy 103.58936 103.582938) + (xy 103.822375 103.349925) + (xy 103.868995 103.328186) + (xy 103.875549 103.3279) + (xy 104.520765 103.3279) + (xy 104.569103 103.345493) + (xy 104.594823 103.390042) + (xy 104.58589 103.4407) + (xy 104.573939 103.456274) + (xy 104.569984 103.460228) + (xy 104.512043 103.573943) + (xy 104.492078 103.699999) + (xy 104.492078 103.7) + (xy 104.512043 103.826056) + (xy 104.531146 103.863547) + (xy 104.569984 103.939771) + (xy 104.660229 104.030016) + (xy 104.773943 104.087956) + (xy 104.773945 104.087957) + (xy 104.9 104.107922) + (xy 105.026055 104.087957) + (xy 105.139771 104.030016) + (xy 105.230016 103.939771) + (xy 105.287957 103.826055) + (xy 105.307922 103.7) + (xy 105.287957 103.573945) + (xy 105.287528 103.573104) + (xy 105.278628 103.555635) + (xy 105.230016 103.460229) + (xy 105.20899 103.439203) + (xy 105.18725 103.392583) + (xy 105.200564 103.342896) + (xy 105.23786 103.31678) + (xy 105.237542 103.316064) + (xy 105.241226 103.314423) + (xy 105.242701 103.313391) + (xy 105.24453 103.312952) + (xy 105.244762 103.312848) + (xy 105.244766 103.312848) + (xy 105.251674 103.307828) + (xy 105.268921 103.298464) + (xy 105.276896 103.295403) + (xy 105.294289 103.278009) + (xy 105.303248 103.270357) + (xy 105.323146 103.255901) + (xy 105.327415 103.248505) + (xy 105.339359 103.232938) + (xy 106.172375 102.399924) + (xy 106.218994 102.378186) + (xy 106.225548 102.3779) + (xy 107.418253 102.3779) + (xy 107.466591 102.395493) + (xy 107.492311 102.440042) + (xy 107.483378 102.4907) + (xy 107.471427 102.506273) + (xy 106.548791 103.428909) + (xy 105.627626 104.350074) + (xy 105.581006 104.371814) + (xy 105.574452 104.3721) + (xy 100.11773 104.3721) + (xy 100.069392 104.354507) + (xy 100.043672 104.309958) + (xy 100.043456 104.308664) + (xy 100.042576 104.303109) + (xy 100.037957 104.273945) + (xy 99.980016 104.160229) + (xy 99.889771 104.069984) + (xy 99.776055 104.012043) + (xy 99.776057 104.012043) + (xy 99.65 103.992078) + (xy 99.523943 104.012043) + (xy 99.410228 104.069984) + (xy 99.319984 104.160228) + (xy 99.262043 104.273943) + (xy 99.242078 104.399999) + (xy 99.242078 104.4) + (xy 99.262043 104.526056) + (xy 99.280745 104.56276) + (xy 99.287014 104.613816) + (xy 99.258998 104.656957) + (xy 99.213741 104.6721) + (xy 99.207928 104.6721) + (xy 99.203991 104.671997) + (xy 99.163551 104.669876) + (xy 99.140597 104.678688) + (xy 99.129286 104.682039) + (xy 99.105235 104.687151) + (xy 99.105229 104.687154) + (xy 99.098324 104.692171) + (xy 99.081085 104.701532) + (xy 99.073104 104.704596) + (xy 99.055712 104.721987) + (xy 99.046747 104.729643) + (xy 99.040586 104.73412) + (xy 98.99114 104.748303) + (xy 98.984617 104.74756) + (xy 98.950002 104.742078) + (xy 98.949999 104.742078) + (xy 98.823943 104.762043) + (xy 98.710228 104.819984) + (xy 98.619984 104.910228) + (xy 98.562043 105.023943) + (xy 98.542078 105.149999) + (xy 98.542078 105.15) + (xy 98.562043 105.276056) + (xy 98.616786 105.383495) + (xy 98.619984 105.389771) + (xy 98.710229 105.480016) + (xy 98.823943 105.537956) + (xy 98.823945 105.537957) + (xy 98.95 105.557922) + (xy 99.076055 105.537957) + (xy 99.189771 105.480016) + (xy 99.280016 105.389771) + (xy 99.337957 105.276055) + (xy 99.351375 105.191335) + (xy 99.376313 105.146346) + (xy 99.424337 105.127911) + (xy 99.425649 105.1279) + (xy 100.113741 105.1279) + (xy 100.162079 105.145493) + (xy 100.187799 105.190042) + (xy 100.180745 105.23724) + (xy 100.162043 105.273943) + (xy 100.142078 105.399999) + (xy 100.142078 105.4) + (xy 100.162043 105.526056) + (xy 100.180745 105.56276) + (xy 100.187014 105.613816) + (xy 100.158998 105.656957) + (xy 100.113741 105.6721) + (xy 100.023036 105.6721) + (xy 99.974698 105.654507) + (xy 99.969862 105.650075) + (xy 99.933603 105.613816) + (xy 99.889771 105.569984) + (xy 99.776055 105.512043) + (xy 99.776057 105.512043) + (xy 99.65 105.492078) + (xy 99.523943 105.512043) + (xy 99.410228 105.569984) + (xy 99.319984 105.660228) + (xy 99.262043 105.773943) + (xy 99.242078 105.899999) + (xy 99.242078 105.9) + (xy 99.262043 106.026056) + (xy 99.279317 106.059958) + (xy 99.319984 106.139771) + (xy 99.410229 106.230016) + (xy 99.523943 106.287956) + (xy 99.523945 106.287957) + (xy 99.65 106.307922) + (xy 99.776055 106.287957) + (xy 99.889771 106.230016) + (xy 99.969862 106.149925) + (xy 100.016482 106.128186) + (xy 100.023036 106.1279) + (xy 100.113741 106.1279) + (xy 100.162079 106.145493) + (xy 100.187799 106.190042) + (xy 100.180745 106.23724) + (xy 100.162043 106.273943) + (xy 100.142078 106.399999) + (xy 100.142078 106.4) + (xy 100.162043 106.526056) + (xy 100.180745 106.56276) + (xy 100.187014 106.613816) + (xy 100.158998 106.656957) + (xy 100.113741 106.6721) + (xy 100.023036 106.6721) + (xy 99.974698 106.654507) + (xy 99.969862 106.650075) + (xy 99.931978 106.612191) + (xy 99.889771 106.569984) + (xy 99.776055 106.512043) + (xy 99.776057 106.512043) + (xy 99.65 106.492078) + (xy 99.523943 106.512043) + (xy 99.410228 106.569984) + (xy 99.319984 106.660228) + (xy 99.262043 106.773944) + (xy 99.260216 106.779569) + (xy 99.258239 106.778927) + (xy 99.237511 106.816304) + (xy 99.189484 106.834728) + (xy 99.14085 106.81797) + (xy 99.135013 106.812713) + (xy 99.066758 106.744458) + (xy 99.064047 106.741602) + (xy 99.036949 106.711506) + (xy 99.036945 106.711503) + (xy 99.014486 106.701504) + (xy 99.004116 106.695874) + (xy 98.983496 106.682483) + (xy 98.975064 106.681148) + (xy 98.956243 106.675573) + (xy 98.948442 106.6721) + (xy 98.923848 106.6721) + (xy 98.912084 106.671174) + (xy 98.887808 106.667329) + (xy 98.887806 106.667329) + (xy 98.887805 106.667329) + (xy 98.879916 106.667743) + (xy 98.879821 106.665935) + (xy 98.838514 106.662317) + (xy 98.817128 106.647341) + (xy 98.781978 106.612191) + (xy 98.739771 106.569984) + (xy 98.626055 106.512043) + (xy 98.626057 106.512043) + (xy 98.5 106.492078) + (xy 98.373943 106.512043) + (xy 98.260228 106.569984) + (xy 98.169984 106.660228) + (xy 98.112043 106.773943) + (xy 98.092078 106.899999) + (xy 98.092078 106.9) + (xy 98.112043 107.026056) + (xy 98.153709 107.10783) + (xy 98.169984 107.139771) + (xy 98.260229 107.230016) + (xy 98.373943 107.287956) + (xy 98.373945 107.287957) + (xy 98.5 107.307922) + (xy 98.626055 107.287957) + (xy 98.739771 107.230016) + (xy 98.77057 107.199217) + (xy 98.81719 107.177477) + (xy 98.866877 107.190791) + (xy 98.876918 107.199217) + (xy 99.062714 107.385013) + (xy 99.084454 107.431633) + (xy 99.07114 107.48132) + (xy 99.029003 107.510825) + (xy 99.025216 107.511629) + (xy 99.023944 107.512043) + (xy 98.910228 107.569984) + (xy 98.819984 107.660228) + (xy 98.762043 107.773943) + (xy 98.742078 107.899999) + (xy 98.742078 107.9) + (xy 98.762043 108.026056) + (xy 98.805138 108.110634) + (xy 98.819984 108.139771) + (xy 98.910229 108.230016) + (xy 99.023943 108.287956) + (xy 99.023945 108.287957) + (xy 99.15 108.307922) + (xy 99.276055 108.287957) + (xy 99.389771 108.230016) + (xy 99.480016 108.139771) + (xy 99.537957 108.026055) + (xy 99.537957 108.026048) + (xy 99.539784 108.020431) + (xy 99.541765 108.021074) + (xy 99.562466 107.983714) + (xy 99.610485 107.965271) + (xy 99.659126 107.982009) + (xy 99.664986 107.987285) + (xy 99.783231 108.10553) + (xy 99.785942 108.108386) + (xy 99.812566 108.137956) + (xy 99.813051 108.138494) + (xy 99.835516 108.148495) + (xy 99.845887 108.154127) + (xy 99.866502 108.167516) + (xy 99.874928 108.16885) + (xy 99.893759 108.174428) + (xy 99.901553 108.177898) + (xy 99.901555 108.177898) + (xy 99.901558 108.1779) + (xy 99.926152 108.1779) + (xy 99.937915 108.178825) + (xy 99.962194 108.182671) + (xy 99.967253 108.181315) + (xy 99.97044 108.180462) + (xy 99.989901 108.1779) + (xy 101.489217 108.1779) + (xy 101.537555 108.195493) + (xy 101.563275 108.240042) + (xy 101.556221 108.28724) + (xy 101.512043 108.373943) + (xy 101.492078 108.499999) + (xy 101.492078 108.5) + (xy 101.512043 108.626056) + (xy 101.555875 108.712081) + (xy 101.569984 108.739771) + (xy 101.660229 108.830016) + (xy 101.773943 108.887956) + (xy 101.773945 108.887957) + (xy 101.9 108.907922) + (xy 102.026055 108.887957) + (xy 102.10298 108.848761) + (xy 102.154035 108.842493) + (xy 102.190293 108.862592) + (xy 102.371427 109.043726) + (xy 102.393167 109.090346) + (xy 102.379853 109.140033) + (xy 102.337716 109.169538) + (xy 102.318253 109.1721) + (xy 101.373036 109.1721) + (xy 101.324698 109.154507) + (xy 101.319862 109.150075) + (xy 101.289771 109.119984) + (xy 101.239771 109.069984) + (xy 101.126055 109.012043) + (xy 101.126057 109.012043) + (xy 101 108.992078) + (xy 100.873943 109.012043) + (xy 100.760228 109.069984) + (xy 100.669984 109.160228) + (xy 100.612043 109.273943) + (xy 100.592078 109.399999) + (xy 100.592078 109.4) + (xy 100.612043 109.526056) + (xy 100.663935 109.6279) + (xy 100.669984 109.639771) + (xy 100.760229 109.730016) + (xy 100.873943 109.787956) + (xy 100.873945 109.787957) + (xy 101 109.807922) + (xy 101.126055 109.787957) + (xy 101.239771 109.730016) + (xy 101.319862 109.649925) + (xy 101.366482 109.628186) + (xy 101.373036 109.6279) + (xy 102.474453 109.6279) + (xy 102.522791 109.645493) + (xy 102.527627 109.649926) + (xy 104.383231 111.50553) + (xy 104.385942 111.508386) + (xy 104.412566 111.537956) + (xy 104.413051 111.538494) + (xy 104.435516 111.548495) + (xy 104.445887 111.554127) + (xy 104.466502 111.567516) + (xy 104.474928 111.56885) + (xy 104.493759 111.574428) + (xy 104.501553 111.577898) + (xy 104.501555 111.577898) + (xy 104.501558 111.5779) + (xy 104.526152 111.5779) + (xy 104.537915 111.578825) + (xy 104.562194 111.582671) + (xy 104.567253 111.581315) + (xy 104.57044 111.580462) + (xy 104.589901 111.5779) + (xy 112.374453 111.5779) + (xy 112.422791 111.595493) + (xy 112.427627 111.599926) + (xy 113.633231 112.80553) + (xy 113.635942 112.808386) + (xy 113.663051 112.838494) + (xy 113.667858 112.840634) + (xy 113.685516 112.848496) + (xy 113.695884 112.854125) + (xy 113.716504 112.867516) + (xy 113.724936 112.868851) + (xy 113.743755 112.874426) + (xy 113.751558 112.8779) + (xy 113.776147 112.8779) + (xy 113.78791 112.878825) + (xy 113.812194 112.882672) + (xy 113.818459 112.880993) + (xy 113.820443 112.880462) + (xy 113.839904 112.8779) + (xy 114.376964 112.8779) + (xy 114.425302 112.895493) + (xy 114.430138 112.899925) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.069862 112.899925) + (xy 115.116482 112.878186) + (xy 115.123036 112.8779) + (xy 122.176964 112.8779) + (xy 122.225302 112.895493) + (xy 122.230138 112.899925) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.880016 112.889771) + (xy 122.937957 112.776055) + (xy 122.957922 112.65) + (xy 122.937957 112.523945) + (xy 122.880016 112.410229) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.31023 112.319983) + (xy 122.230138 112.400075) + (xy 122.183518 112.421814) + (xy 122.176964 112.4221) + (xy 115.123036 112.4221) + (xy 115.074698 112.404507) + (xy 115.069862 112.400075) + (xy 115.04373 112.373943) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.51023 112.319983) + (xy 114.430138 112.400075) + (xy 114.383518 112.421814) + (xy 114.376964 112.4221) + (xy 113.925548 112.4221) + (xy 113.87721 112.404507) + (xy 113.872374 112.400074) + (xy 113.3223 111.85) + (xy 122.842078 111.85) + (xy 122.862043 111.976056) + (xy 122.899719 112.049999) + (xy 122.919984 112.089771) + (xy 123.010229 112.180016) + (xy 123.123943 112.237956) + (xy 123.123945 112.237957) + (xy 123.25 112.257922) + (xy 123.376055 112.237957) + (xy 123.489771 112.180016) + (xy 123.580016 112.089771) + (xy 123.637957 111.976055) + (xy 123.657922 111.85) + (xy 125.142078 111.85) + (xy 125.162043 111.976056) + (xy 125.199719 112.049999) + (xy 125.219984 112.089771) + (xy 125.310229 112.180016) + (xy 125.423943 112.237956) + (xy 125.423945 112.237957) + (xy 125.55 112.257922) + (xy 125.676055 112.237957) + (xy 125.789771 112.180016) + (xy 125.880016 112.089771) + (xy 125.925756 112) + (xy 126.892443 112) + (xy 126.910977 112.128911) + (xy 126.965078 112.247373) + (xy 126.96508 112.247376) + (xy 127.050366 112.345801) + (xy 127.103607 112.380016) + (xy 127.15062 112.410229) + (xy 127.159926 112.416209) + (xy 127.284883 112.4529) + (xy 127.415117 112.4529) + (xy 127.540073 112.416209) + (xy 127.540073 112.416208) + (xy 127.540076 112.416208) + (xy 127.649636 112.345799) + (xy 127.734921 112.247374) + (xy 127.789023 112.128909) + (xy 127.807557 112) + (xy 127.789023 111.871091) + (xy 127.781449 111.854507) + (xy 127.734921 111.752626) + (xy 127.734919 111.752623) + (xy 127.649633 111.654198) + (xy 127.540073 111.58379) + (xy 127.415117 111.5471) + (xy 127.284883 111.5471) + (xy 127.159926 111.58379) + (xy 127.050366 111.654198) + (xy 126.96508 111.752623) + (xy 126.965078 111.752626) + (xy 126.910977 111.871088) + (xy 126.892443 112) + (xy 125.925756 112) + (xy 125.937957 111.976055) + (xy 125.957922 111.85) + (xy 125.937957 111.723945) + (xy 125.880016 111.610229) + (xy 125.789771 111.519984) + (xy 125.676055 111.462043) + (xy 125.676057 111.462043) + (xy 125.55 111.442078) + (xy 125.423943 111.462043) + (xy 125.310228 111.519984) + (xy 125.219984 111.610228) + (xy 125.162043 111.723943) + (xy 125.142078 111.849999) + (xy 125.142078 111.85) + (xy 123.657922 111.85) + (xy 123.637957 111.723945) + (xy 123.580016 111.610229) + (xy 123.489771 111.519984) + (xy 123.376055 111.462043) + (xy 123.376057 111.462043) + (xy 123.25 111.442078) + (xy 123.123943 111.462043) + (xy 123.010228 111.519984) + (xy 122.919984 111.610228) + (xy 122.862043 111.723943) + (xy 122.842078 111.849999) + (xy 122.842078 111.85) + (xy 113.3223 111.85) + (xy 112.878574 111.406274) + (xy 112.856834 111.359654) + (xy 112.870148 111.309967) + (xy 112.912285 111.280462) + (xy 112.931748 111.2779) + (xy 114.3169 111.2779) + (xy 114.365238 111.295493) + (xy 114.383904 111.31896) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.069862 111.399925) + (xy 115.116482 111.378186) + (xy 115.123036 111.3779) + (xy 118.35156 111.3779) + (xy 122.176964 111.3779) + (xy 122.225302 111.395493) + (xy 122.230138 111.399925) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.937957 111.276055) + (xy 122.957922 111.15) + (xy 122.937957 111.023945) + (xy 122.925756 111) + (xy 125.992443 111) + (xy 126.010977 111.128911) + (xy 126.065078 111.247373) + (xy 126.06508 111.247376) + (xy 126.097432 111.284712) + (xy 126.14365 111.338051) + (xy 126.150366 111.345801) + (xy 126.259926 111.416209) + (xy 126.384883 111.4529) + (xy 126.515117 111.4529) + (xy 126.640073 111.416209) + (xy 126.640073 111.416208) + (xy 126.640076 111.416208) + (xy 126.749636 111.345799) + (xy 126.834921 111.247374) + (xy 126.889023 111.128909) + (xy 126.907557 111) + (xy 126.889023 110.871091) + (xy 126.888154 110.869189) + (xy 126.834921 110.752626) + (xy 126.834919 110.752623) + (xy 126.749633 110.654198) + (xy 126.640073 110.58379) + (xy 126.515117 110.5471) + (xy 126.384883 110.5471) + (xy 126.259926 110.58379) + (xy 126.150366 110.654198) + (xy 126.06508 110.752623) + (xy 126.065078 110.752626) + (xy 126.010977 110.871088) + (xy 125.992443 111) + (xy 122.925756 111) + (xy 122.880016 110.910229) + (xy 122.789771 110.819984) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.31023 110.819983) + (xy 122.230138 110.900075) + (xy 122.183518 110.921814) + (xy 122.176964 110.9221) + (xy 115.123036 110.9221) + (xy 115.074698 110.904507) + (xy 115.069862 110.900075) + (xy 115.032635 110.862848) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.522162 110.813904) + (xy 114.488022 110.8221) + (xy 111.606747 110.8221) + (xy 111.558409 110.804507) + (xy 111.532689 110.759958) + (xy 111.541622 110.7093) + (xy 111.553573 110.693726) + (xy 111.697373 110.549926) + (xy 111.743993 110.528186) + (xy 111.750547 110.5279) + (xy 114.586152 110.5279) + (xy 114.620292 110.536096) + (xy 114.621719 110.536823) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.069862 110.399925) + (xy 115.116482 110.378186) + (xy 115.123036 110.3779) + (xy 122.176964 110.3779) + (xy 122.225302 110.395493) + (xy 122.230138 110.399925) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.789771 110.480016) + (xy 122.880016 110.389771) + (xy 122.937957 110.276055) + (xy 122.957922 110.15) + (xy 122.937957 110.023945) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.31023 109.819983) + (xy 122.230138 109.900075) + (xy 122.183518 109.921814) + (xy 122.176964 109.9221) + (xy 115.123036 109.9221) + (xy 115.074698 109.904507) + (xy 115.069862 109.900075) + (xy 115.03183 109.862043) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.510228 109.819984) + (xy 114.419984 109.910228) + (xy 114.359356 110.029218) + (xy 114.357087 110.028062) + (xy 114.33161 110.060673) + (xy 114.29176 110.0721) + (xy 111.632936 110.0721) + (xy 111.629 110.071997) + (xy 111.627192 110.071902) + (xy 111.588551 110.069876) + (xy 111.58855 110.069876) + (xy 111.565597 110.078688) + (xy 111.554286 110.082039) + (xy 111.530235 110.087151) + (xy 111.530229 110.087154) + (xy 111.523324 110.092171) + (xy 111.506085 110.101532) + (xy 111.498104 110.104596) + (xy 111.480712 110.121987) + (xy 111.471746 110.129645) + (xy 111.451852 110.1441) + (xy 111.447581 110.151497) + (xy 111.435634 110.167064) + (xy 111.37524 110.227458) + (xy 111.32862 110.249198) + (xy 111.278933 110.235884) + (xy 111.249428 110.193747) + (xy 111.247795 110.186063) + (xy 111.237957 110.123945) + (xy 111.180016 110.010229) + (xy 111.089771 109.919984) + (xy 110.976055 109.862043) + (xy 110.976057 109.862043) + (xy 110.85 109.842078) + (xy 110.723943 109.862043) + (xy 110.610228 109.919984) + (xy 110.519984 110.010228) + (xy 110.462043 110.123943) + (xy 110.442078 110.249999) + (xy 110.442078 110.25) + (xy 110.462043 110.376056) + (xy 110.480745 110.41276) + (xy 110.487014 110.463816) + (xy 110.458998 110.506957) + (xy 110.413741 110.5221) + (xy 104.975547 110.5221) + (xy 104.927209 110.504507) + (xy 104.922373 110.500074) + (xy 103.872299 109.45) + (xy 111.342078 109.45) + (xy 111.362043 109.576056) + (xy 111.399682 109.649926) + (xy 111.419984 109.689771) + (xy 111.510229 109.780016) + (xy 111.623943 109.837956) + (xy 111.623945 109.837957) + (xy 111.75 109.857922) + (xy 111.876055 109.837957) + (xy 111.989771 109.780016) + (xy 112.080016 109.689771) + (xy 112.137957 109.576055) + (xy 112.157922 109.45) + (xy 113.642078 109.45) + (xy 113.662043 109.576056) + (xy 113.699682 109.649926) + (xy 113.719984 109.689771) + (xy 113.810229 109.780016) + (xy 113.923943 109.837956) + (xy 113.923945 109.837957) + (xy 114.05 109.857922) + (xy 114.176055 109.837957) + (xy 114.289771 109.780016) + (xy 114.380016 109.689771) + (xy 114.437957 109.576055) + (xy 114.457922 109.45) + (xy 114.437957 109.323945) + (xy 114.380016 109.210229) + (xy 114.289771 109.119984) + (xy 114.176055 109.062043) + (xy 114.176057 109.062043) + (xy 114.05 109.042078) + (xy 113.923943 109.062043) + (xy 113.810228 109.119984) + (xy 113.719984 109.210228) + (xy 113.662043 109.323943) + (xy 113.642078 109.449999) + (xy 113.642078 109.45) + (xy 112.157922 109.45) + (xy 112.137957 109.323945) + (xy 112.080016 109.210229) + (xy 111.989771 109.119984) + (xy 111.876055 109.062043) + (xy 111.876057 109.062043) + (xy 111.75 109.042078) + (xy 111.623943 109.062043) + (xy 111.510228 109.119984) + (xy 111.419984 109.210228) + (xy 111.362043 109.323943) + (xy 111.342078 109.449999) + (xy 111.342078 109.45) + (xy 103.872299 109.45) + (xy 103.577855 109.155556) + (xy 102.216758 107.794458) + (xy 102.214047 107.791602) + (xy 102.186949 107.761506) + (xy 102.186945 107.761503) + (xy 102.164486 107.751504) + (xy 102.154116 107.745874) + (xy 102.133496 107.732483) + (xy 102.125064 107.731148) + (xy 102.106243 107.725573) + (xy 102.098442 107.7221) + (xy 102.073848 107.7221) + (xy 102.062084 107.721174) + (xy 102.037808 107.717329) + (xy 102.037804 107.717329) + (xy 102.02956 107.719538) + (xy 102.010099 107.7221) + (xy 100.960783 107.7221) + (xy 100.912445 107.704507) + (xy 100.886725 107.659958) + (xy 100.893779 107.61276) + (xy 100.915574 107.569984) + (xy 100.937957 107.526055) + (xy 100.957922 107.4) + (xy 100.942084 107.3) + (xy 102.492078 107.3) + (xy 102.512043 107.426056) + (xy 102.518107 107.437957) + (xy 102.569984 107.539771) + (xy 102.660229 107.630016) + (xy 102.773943 107.687956) + (xy 102.773945 107.687957) + (xy 102.9 107.707922) + (xy 103.026055 107.687957) + (xy 103.139771 107.630016) + (xy 103.230016 107.539771) + (xy 103.287957 107.426055) + (xy 103.307922 107.3) + (xy 103.306014 107.287956) + (xy 103.302223 107.264016) + (xy 103.287957 107.173945) + (xy 103.230016 107.060229) + (xy 103.219787 107.05) + (xy 104.242078 107.05) + (xy 104.262043 107.176056) + (xy 104.289537 107.230015) + (xy 104.319984 107.289771) + (xy 104.410229 107.380016) + (xy 104.523943 107.437956) + (xy 104.523945 107.437957) + (xy 104.65 107.457922) + (xy 104.776055 107.437957) + (xy 104.889771 107.380016) + (xy 104.980016 107.289771) + (xy 105.037957 107.176055) + (xy 105.057922 107.05) + (xy 105.037957 106.923945) + (xy 104.980016 106.810229) + (xy 104.889771 106.719984) + (xy 104.776055 106.662043) + (xy 104.776057 106.662043) + (xy 104.65 106.642078) + (xy 104.523943 106.662043) + (xy 104.410228 106.719984) + (xy 104.319984 106.810228) + (xy 104.262043 106.923943) + (xy 104.242078 107.049999) + (xy 104.242078 107.05) + (xy 103.219787 107.05) + (xy 103.139771 106.969984) + (xy 103.026055 106.912043) + (xy 103.026057 106.912043) + (xy 102.9 106.892078) + (xy 102.773943 106.912043) + (xy 102.660228 106.969984) + (xy 102.569984 107.060228) + (xy 102.512043 107.173943) + (xy 102.492078 107.299999) + (xy 102.492078 107.3) + (xy 100.942084 107.3) + (xy 100.937957 107.273945) + (xy 100.882029 107.164181) + (xy 100.875761 107.113127) + (xy 100.903777 107.069986) + (xy 100.904724 107.069284) + (xy 100.923146 107.055901) + (xy 100.927414 107.048507) + (xy 100.939361 107.032936) + (xy 101.622375 106.349925) + (xy 101.668995 106.328186) + (xy 101.675549 106.3279) + (xy 107.374453 106.3279) + (xy 107.422791 106.345493) + (xy 107.427627 106.349926) + (xy 109.783231 108.70553) + (xy 109.785942 108.708386) + (xy 109.813051 108.738494) + (xy 109.835516 108.748495) + (xy 109.845887 108.754127) + (xy 109.866502 108.767516) + (xy 109.874928 108.76885) + (xy 109.893759 108.774428) + (xy 109.901553 108.777898) + (xy 109.901555 108.777898) + (xy 109.901558 108.7779) + (xy 109.926152 108.7779) + (xy 109.937915 108.778825) + (xy 109.962194 108.782671) + (xy 109.967253 108.781315) + (xy 109.97044 108.780462) + (xy 109.989901 108.7779) + (xy 114.28227 108.7779) + (xy 114.330608 108.795493) + (xy 114.356328 108.840042) + (xy 114.356544 108.841336) + (xy 114.362043 108.876056) + (xy 114.37828 108.907922) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.069862 108.999925) + (xy 115.116482 108.978186) + (xy 115.123036 108.9779) + (xy 120.84222 108.9779) + (xy 122.176964 108.9779) + (xy 122.225302 108.995493) + (xy 122.230138 108.999925) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.937957 108.876055) + (xy 122.957922 108.75) + (xy 122.957683 108.748494) + (xy 122.951331 108.708386) + (xy 122.937957 108.623945) + (xy 122.880016 108.510229) + (xy 122.789771 108.419984) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.31023 108.419983) + (xy 122.230138 108.500075) + (xy 122.183518 108.521814) + (xy 122.176964 108.5221) + (xy 115.123036 108.5221) + (xy 115.074698 108.504507) + (xy 115.069862 108.500075) + (xy 115.040302 108.470515) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.750001 108.342078) + (xy 114.749997 108.342078) + (xy 114.696725 108.350514) + (xy 114.646231 108.340698) + (xy 114.644008 108.339309) + (xy 114.633497 108.332483) + (xy 114.625064 108.331148) + (xy 114.606243 108.325573) + (xy 114.598442 108.3221) + (xy 114.573848 108.3221) + (xy 114.562084 108.321174) + (xy 114.537808 108.317329) + (xy 114.537804 108.317329) + (xy 114.52956 108.319538) + (xy 114.510099 108.3221) + (xy 110.075547 108.3221) + (xy 110.027209 108.304507) + (xy 110.022373 108.300074) + (xy 107.878574 106.156274) + (xy 107.856834 106.109654) + (xy 107.870148 106.059967) + (xy 107.912285 106.030462) + (xy 107.931748 106.0279) + (xy 108.524453 106.0279) + (xy 108.572791 106.045493) + (xy 108.577627 106.049926) + (xy 110.433231 107.90553) + (xy 110.435942 107.908386) + (xy 110.463051 107.938494) + (xy 110.485516 107.948495) + (xy 110.495887 107.954127) + (xy 110.516502 107.967516) + (xy 110.524928 107.96885) + (xy 110.543759 107.974428) + (xy 110.551553 107.977898) + (xy 110.551555 107.977898) + (xy 110.551558 107.9779) + (xy 110.576152 107.9779) + (xy 110.587915 107.978825) + (xy 110.612194 107.982671) + (xy 110.617253 107.981315) + (xy 110.62044 107.980462) + (xy 110.639901 107.9779) + (xy 114.376964 107.9779) + (xy 114.425302 107.995493) + (xy 114.430138 107.999925) + (xy 114.510229 108.080016) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.069862 107.999925) + (xy 115.116482 107.978186) + (xy 115.123036 107.9779) + (xy 116.25156 107.9779) + (xy 122.176964 107.9779) + (xy 122.225302 107.995493) + (xy 122.230138 107.999925) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.789771 108.080016) + (xy 122.880016 107.989771) + (xy 122.937957 107.876055) + (xy 122.957922 107.75) + (xy 122.937957 107.623945) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.31023 107.419983) + (xy 122.230138 107.500075) + (xy 122.183518 107.521814) + (xy 122.176964 107.5221) + (xy 115.123036 107.5221) + (xy 115.074698 107.504507) + (xy 115.069862 107.500075) + (xy 115.039771 107.469984) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.51023 107.419983) + (xy 114.430138 107.500075) + (xy 114.383518 107.521814) + (xy 114.376964 107.5221) + (xy 110.725547 107.5221) + (xy 110.677209 107.504507) + (xy 110.672373 107.500074) + (xy 110.222299 107.05) + (xy 122.842078 107.05) + (xy 122.862043 107.176056) + (xy 122.889537 107.230015) + (xy 122.919984 107.289771) + (xy 123.010229 107.380016) + (xy 123.123943 107.437956) + (xy 123.123945 107.437957) + (xy 123.25 107.457922) + (xy 123.376055 107.437957) + (xy 123.489771 107.380016) + (xy 123.580016 107.289771) + (xy 123.637957 107.176055) + (xy 123.657922 107.05) + (xy 125.142078 107.05) + (xy 125.162043 107.176056) + (xy 125.189537 107.230015) + (xy 125.219984 107.289771) + (xy 125.310229 107.380016) + (xy 125.423943 107.437956) + (xy 125.423945 107.437957) + (xy 125.55 107.457922) + (xy 125.676055 107.437957) + (xy 125.789771 107.380016) + (xy 125.869787 107.3) + (xy 126.992443 107.3) + (xy 127.010977 107.428911) + (xy 127.065078 107.547373) + (xy 127.06508 107.547376) + (xy 127.150366 107.645801) + (xy 127.259926 107.716209) + (xy 127.384883 107.7529) + (xy 127.515117 107.7529) + (xy 127.640073 107.716209) + (xy 127.640073 107.716208) + (xy 127.640076 107.716208) + (xy 127.749636 107.645799) + (xy 127.834921 107.547374) + (xy 127.889023 107.428909) + (xy 127.907557 107.3) + (xy 127.889023 107.171091) + (xy 127.885868 107.164183) + (xy 127.834921 107.052626) + (xy 127.834919 107.052623) + (xy 127.778187 106.987151) + (xy 127.749636 106.954201) + (xy 127.749635 106.9542) + (xy 127.749633 106.954198) + (xy 127.640073 106.88379) + (xy 127.515117 106.8471) + (xy 127.384883 106.8471) + (xy 127.259926 106.88379) + (xy 127.150366 106.954198) + (xy 127.06508 107.052623) + (xy 127.065078 107.052626) + (xy 127.010977 107.171088) + (xy 126.992443 107.3) + (xy 125.869787 107.3) + (xy 125.880016 107.289771) + (xy 125.937957 107.176055) + (xy 125.957922 107.05) + (xy 125.937957 106.923945) + (xy 125.880016 106.810229) + (xy 125.789771 106.719984) + (xy 125.676055 106.662043) + (xy 125.676057 106.662043) + (xy 125.55 106.642078) + (xy 125.423943 106.662043) + (xy 125.310228 106.719984) + (xy 125.219984 106.810228) + (xy 125.162043 106.923943) + (xy 125.142078 107.049999) + (xy 125.142078 107.05) + (xy 123.657922 107.05) + (xy 123.637957 106.923945) + (xy 123.580016 106.810229) + (xy 123.489771 106.719984) + (xy 123.376055 106.662043) + (xy 123.376057 106.662043) + (xy 123.25 106.642078) + (xy 123.123943 106.662043) + (xy 123.010228 106.719984) + (xy 122.919984 106.810228) + (xy 122.862043 106.923943) + (xy 122.842078 107.049999) + (xy 122.842078 107.05) + (xy 110.222299 107.05) + (xy 109.028574 105.856274) + (xy 109.006834 105.809654) + (xy 109.020148 105.759967) + (xy 109.062285 105.730462) + (xy 109.081748 105.7279) + (xy 109.624453 105.7279) + (xy 109.672791 105.745493) + (xy 109.677627 105.749926) + (xy 110.433231 106.50553) + (xy 110.435942 106.508386) + (xy 110.44325 106.516503) + (xy 110.463051 106.538494) + (xy 110.485516 106.548496) + (xy 110.495884 106.554125) + (xy 110.516504 106.567516) + (xy 110.524936 106.568851) + (xy 110.543755 106.574426) + (xy 110.551558 106.5779) + (xy 110.576147 106.5779) + (xy 110.58791 106.578825) + (xy 110.612194 106.582672) + (xy 110.618459 106.580993) + (xy 110.620443 106.580462) + (xy 110.639904 106.5779) + (xy 114.376964 106.5779) + (xy 114.425302 106.595493) + (xy 114.430138 106.599925) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.069862 106.599925) + (xy 115.116482 106.578186) + (xy 115.123036 106.5779) + (xy 122.176964 106.5779) + (xy 122.225302 106.595493) + (xy 122.230138 106.599925) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.937957 106.476055) + (xy 122.957922 106.35) + (xy 122.956979 106.344049) + (xy 122.951259 106.307934) + (xy 122.937957 106.223945) + (xy 122.925756 106.2) + (xy 125.992443 106.2) + (xy 126.010977 106.328911) + (xy 126.065078 106.447373) + (xy 126.06508 106.447376) + (xy 126.123135 106.514375) + (xy 126.144033 106.538493) + (xy 126.150366 106.545801) + (xy 126.2159 106.587916) + (xy 126.253673 106.612191) + (xy 126.259926 106.616209) + (xy 126.384883 106.6529) + (xy 126.515117 106.6529) + (xy 126.640073 106.616209) + (xy 126.640073 106.616208) + (xy 126.640076 106.616208) + (xy 126.749636 106.545799) + (xy 126.834921 106.447374) + (xy 126.889023 106.328909) + (xy 126.907557 106.2) + (xy 126.889023 106.071091) + (xy 126.880284 106.051956) + (xy 126.834921 105.952626) + (xy 126.834919 105.952623) + (xy 126.76926 105.876849) + (xy 126.749636 105.854201) + (xy 126.749635 105.8542) + (xy 126.749633 105.854198) + (xy 126.640073 105.78379) + (xy 126.515117 105.7471) + (xy 126.384883 105.7471) + (xy 126.259926 105.78379) + (xy 126.150366 105.854198) + (xy 126.06508 105.952623) + (xy 126.065078 105.952626) + (xy 126.010977 106.071088) + (xy 125.992443 106.2) + (xy 122.925756 106.2) + (xy 122.880016 106.110229) + (xy 122.789771 106.019984) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.31023 106.019983) + (xy 122.230138 106.100075) + (xy 122.183518 106.121814) + (xy 122.176964 106.1221) + (xy 115.123036 106.1221) + (xy 115.074698 106.104507) + (xy 115.069862 106.100075) + (xy 115.035995 106.066208) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.51023 106.019983) + (xy 114.430138 106.100075) + (xy 114.383518 106.121814) + (xy 114.376964 106.1221) + (xy 111.20486 106.1221) + (xy 111.156522 106.104507) + (xy 111.130802 106.059958) + (xy 111.139735 106.0093) + (xy 111.148028 105.997654) + (xy 111.149634 105.995799) + (xy 111.149636 105.995799) + (xy 111.234921 105.897374) + (xy 111.289023 105.778909) + (xy 111.307557 105.65) + (xy 111.289023 105.521091) + (xy 111.289021 105.521087) + (xy 111.285849 105.514141) + (xy 111.28177 105.462863) + (xy 111.311607 105.42096) + (xy 111.361397 105.408039) + (xy 111.407426 105.429725) + (xy 111.483231 105.50553) + (xy 111.485942 105.508386) + (xy 111.512566 105.537956) + (xy 111.513051 105.538494) + (xy 111.535516 105.548495) + (xy 111.545887 105.554127) + (xy 111.566502 105.567516) + (xy 111.574928 105.56885) + (xy 111.593759 105.574428) + (xy 111.601553 105.577898) + (xy 111.601555 105.577898) + (xy 111.601558 105.5779) + (xy 111.626152 105.5779) + (xy 111.637915 105.578825) + (xy 111.662194 105.582671) + (xy 111.667253 105.581315) + (xy 111.67044 105.580462) + (xy 111.689901 105.5779) + (xy 114.376964 105.5779) + (xy 114.425302 105.595493) + (xy 114.430138 105.599925) + (xy 114.510229 105.680016) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.069862 105.599925) + (xy 115.116482 105.578186) + (xy 115.123036 105.5779) + (xy 122.176964 105.5779) + (xy 122.225302 105.595493) + (xy 122.230138 105.599925) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.789771 105.680016) + (xy 122.880016 105.589771) + (xy 122.937957 105.476055) + (xy 122.957922 105.35) + (xy 122.957675 105.348443) + (xy 122.949144 105.29458) + (xy 122.937957 105.223945) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.31023 105.019983) + (xy 122.230138 105.100075) + (xy 122.183518 105.121814) + (xy 122.176964 105.1221) + (xy 115.123036 105.1221) + (xy 115.074698 105.104507) + (xy 115.069862 105.100075) + (xy 115.038673 105.068886) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.51023 105.019983) + (xy 114.430138 105.100075) + (xy 114.383518 105.121814) + (xy 114.376964 105.1221) + (xy 114.324146 105.1221) + (xy 114.275808 105.104507) + (xy 114.250088 105.059958) + (xy 114.259021 105.0093) + (xy 114.285482 104.984188) + (xy 114.28498 104.983497) + (xy 114.289766 104.980018) + (xy 114.289771 104.980016) + (xy 114.380016 104.889771) + (xy 114.437957 104.776055) + (xy 114.457922 104.65) + (xy 114.437957 104.523945) + (xy 114.380016 104.410229) + (xy 114.289771 104.319984) + (xy 114.176055 104.262043) + (xy 114.176057 104.262043) + (xy 114.05 104.242078) + (xy 113.923943 104.262043) + (xy 113.810228 104.319984) + (xy 113.719984 104.410228) + (xy 113.662043 104.523943) + (xy 113.642078 104.649999) + (xy 113.642078 104.65) + (xy 113.662043 104.776056) + (xy 113.719984 104.889771) + (xy 113.810228 104.980015) + (xy 113.81502 104.983497) + (xy 113.814289 104.984502) + (xy 113.845076 105.017517) + (xy 113.847768 105.068886) + (xy 113.816811 105.109968) + (xy 113.775854 105.1221) + (xy 112.024146 105.1221) + (xy 111.975808 105.104507) + (xy 111.950088 105.059958) + (xy 111.959021 105.0093) + (xy 111.985482 104.984188) + (xy 111.98498 104.983497) + (xy 111.989766 104.980018) + (xy 111.989771 104.980016) + (xy 112.080016 104.889771) + (xy 112.137957 104.776055) + (xy 112.157922 104.65) + (xy 112.137957 104.523945) + (xy 112.080016 104.410229) + (xy 111.989771 104.319984) + (xy 111.876055 104.262043) + (xy 111.876057 104.262043) + (xy 111.75 104.242078) + (xy 111.623943 104.262043) + (xy 111.510228 104.319984) + (xy 111.419984 104.410228) + (xy 111.362043 104.523943) + (xy 111.341152 104.655846) + (xy 111.338569 104.655436) + (xy 111.324485 104.694134) + (xy 111.279936 104.719854) + (xy 111.255114 104.72007) + (xy 111.237808 104.717329) + (xy 111.237804 104.717329) + (xy 111.22956 104.719538) + (xy 111.210099 104.7221) + (xy 110.507928 104.7221) + (xy 110.503991 104.721997) + (xy 110.5038 104.721987) + (xy 110.503412 104.721966) + (xy 110.463551 104.719876) + (xy 110.460067 104.721214) + (xy 110.408635 104.722108) + (xy 110.368661 104.689734) + (xy 110.359246 104.655782) + (xy 110.358848 104.655846) + (xy 110.358294 104.652348) + (xy 110.357922 104.651007) + (xy 110.357922 104.649999) + (xy 110.35158 104.609958) + (xy 110.337957 104.523945) + (xy 110.280016 104.410229) + (xy 110.189771 104.319984) + (xy 110.076055 104.262043) + (xy 110.076057 104.262043) + (xy 110.04937 104.257816) + (xy 110.035531 104.255624) + (xy 109.990542 104.230687) + (xy 109.972107 104.182663) + (xy 109.988855 104.134026) + (xy 109.994123 104.128176) + (xy 110.022375 104.099925) + (xy 110.068995 104.078186) + (xy 110.075548 104.0779) + (xy 114.376964 104.0779) + (xy 114.425302 104.095493) + (xy 114.430138 104.099925) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.069862 104.099925) + (xy 115.116482 104.078186) + (xy 115.123036 104.0779) + (xy 122.176964 104.0779) + (xy 122.225302 104.095493) + (xy 122.230138 104.099925) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.880016 104.089771) + (xy 122.937957 103.976055) + (xy 122.957922 103.85) + (xy 122.937957 103.723945) + (xy 122.880016 103.610229) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.31023 103.519983) + (xy 122.230138 103.600075) + (xy 122.183518 103.621814) + (xy 122.176964 103.6221) + (xy 115.123036 103.6221) + (xy 115.074698 103.604507) + (xy 115.069862 103.600075) + (xy 115.030372 103.560585) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.51023 103.519983) + (xy 114.430138 103.600075) + (xy 114.383518 103.621814) + (xy 114.376964 103.6221) + (xy 109.957936 103.6221) + (xy 109.954 103.621997) + (xy 109.952283 103.621907) + (xy 109.913552 103.619876) + (xy 109.890588 103.628691) + (xy 109.879279 103.63204) + (xy 109.855236 103.637151) + (xy 109.855234 103.637151) + (xy 109.848327 103.64217) + (xy 109.831083 103.651532) + (xy 109.823104 103.654595) + (xy 109.80571 103.671989) + (xy 109.79674 103.67965) + (xy 109.776854 103.694098) + (xy 109.776851 103.694102) + (xy 109.772582 103.701495) + (xy 109.760635 103.717063) + (xy 108.827626 104.650074) + (xy 108.781006 104.671814) + (xy 108.774452 104.6721) + (xy 106.131747 104.6721) + (xy 106.083409 104.654507) + (xy 106.057689 104.609958) + (xy 106.066622 104.5593) + (xy 106.078573 104.543726) + (xy 107.572299 103.05) + (xy 122.842078 103.05) + (xy 122.862043 103.176056) + (xy 122.914955 103.279901) + (xy 122.919984 103.289771) + (xy 123.010229 103.380016) + (xy 123.123943 103.437956) + (xy 123.123945 103.437957) + (xy 123.25 103.457922) + (xy 123.376055 103.437957) + (xy 123.489771 103.380016) + (xy 123.580016 103.289771) + (xy 123.637957 103.176055) + (xy 123.657922 103.05) + (xy 125.142078 103.05) + (xy 125.162043 103.176056) + (xy 125.214955 103.279901) + (xy 125.219984 103.289771) + (xy 125.310229 103.380016) + (xy 125.423943 103.437956) + (xy 125.423945 103.437957) + (xy 125.55 103.457922) + (xy 125.676055 103.437957) + (xy 125.789771 103.380016) + (xy 125.869787 103.3) + (xy 126.992443 103.3) + (xy 127.010977 103.428911) + (xy 127.065078 103.547373) + (xy 127.06508 103.547376) + (xy 127.126078 103.617771) + (xy 127.148141 103.643234) + (xy 127.150366 103.645801) + (xy 127.20774 103.682672) + (xy 127.247175 103.708015) + (xy 127.259926 103.716209) + (xy 127.384883 103.7529) + (xy 127.515117 103.7529) + (xy 127.640073 103.716209) + (xy 127.640073 103.716208) + (xy 127.640076 103.716208) + (xy 127.749636 103.645799) + (xy 127.834921 103.547374) + (xy 127.889023 103.428909) + (xy 127.907557 103.3) + (xy 127.889023 103.171091) + (xy 127.884062 103.160229) + (xy 127.834921 103.052626) + (xy 127.834919 103.052623) + (xy 127.749633 102.954198) + (xy 127.640073 102.88379) + (xy 127.515117 102.8471) + (xy 127.384883 102.8471) + (xy 127.259926 102.88379) + (xy 127.150366 102.954198) + (xy 127.06508 103.052623) + (xy 127.065078 103.052626) + (xy 127.010977 103.171088) + (xy 126.992443 103.3) + (xy 125.869787 103.3) + (xy 125.880016 103.289771) + (xy 125.937957 103.176055) + (xy 125.957922 103.05) + (xy 125.957331 103.046271) + (xy 125.950102 103.000627) + (xy 125.937957 102.923945) + (xy 125.937528 102.923104) + (xy 125.926537 102.901532) + (xy 125.880016 102.810229) + (xy 125.789771 102.719984) + (xy 125.676055 102.662043) + (xy 125.676057 102.662043) + (xy 125.55 102.642078) + (xy 125.423943 102.662043) + (xy 125.310228 102.719984) + (xy 125.219984 102.810228) + (xy 125.162043 102.923943) + (xy 125.142078 103.049999) + (xy 125.142078 103.05) + (xy 123.657922 103.05) + (xy 123.657331 103.046271) + (xy 123.650102 103.000627) + (xy 123.637957 102.923945) + (xy 123.637528 102.923104) + (xy 123.626537 102.901532) + (xy 123.580016 102.810229) + (xy 123.489771 102.719984) + (xy 123.376055 102.662043) + (xy 123.376057 102.662043) + (xy 123.25 102.642078) + (xy 123.123943 102.662043) + (xy 123.010228 102.719984) + (xy 122.919984 102.810228) + (xy 122.862043 102.923943) + (xy 122.842078 103.049999) + (xy 122.842078 103.05) + (xy 107.572299 103.05) + (xy 107.922373 102.699926) + (xy 107.968993 102.678186) + (xy 107.975547 102.6779) + (xy 109.692064 102.6779) + (xy 109.696 102.678003) + (xy 109.736447 102.680123) + (xy 109.736447 102.680122) + (xy 109.736448 102.680123) + (xy 109.759403 102.67131) + (xy 109.770722 102.667958) + (xy 109.794761 102.662849) + (xy 109.79476 102.662849) + (xy 109.794766 102.662848) + (xy 109.801674 102.657828) + (xy 109.818921 102.648464) + (xy 109.826896 102.645403) + (xy 109.844289 102.628009) + (xy 109.853248 102.620357) + (xy 109.873146 102.605901) + (xy 109.877415 102.598505) + (xy 109.889362 102.582935) + (xy 110.672373 101.799926) + (xy 110.718993 101.778186) + (xy 110.725547 101.7779) + (xy 113.324453 101.7779) + (xy 113.372791 101.795493) + (xy 113.377627 101.799926) + (xy 113.983231 102.40553) + (xy 113.985942 102.408386) + (xy 114.013051 102.438494) + (xy 114.035516 102.448495) + (xy 114.045887 102.454127) + (xy 114.066502 102.467516) + (xy 114.074928 102.46885) + (xy 114.093759 102.474428) + (xy 114.101553 102.477898) + (xy 114.101555 102.477898) + (xy 114.101558 102.4779) + (xy 114.126152 102.4779) + (xy 114.137915 102.478825) + (xy 114.162194 102.482671) + (xy 114.167253 102.481315) + (xy 114.17044 102.480462) + (xy 114.189901 102.4779) + (xy 122.226964 102.4779) + (xy 122.275302 102.495493) + (xy 122.280138 102.499925) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.930016 102.489771) + (xy 122.987957 102.376055) + (xy 123.007922 102.25) + (xy 122.987957 102.123945) + (xy 122.975756 102.1) + (xy 125.992443 102.1) + (xy 126.010977 102.228911) + (xy 126.065078 102.347373) + (xy 126.06508 102.347376) + (xy 126.106774 102.395493) + (xy 126.144033 102.438493) + (xy 126.150366 102.445801) + (xy 126.259926 102.516209) + (xy 126.384883 102.5529) + (xy 126.515117 102.5529) + (xy 126.640073 102.516209) + (xy 126.640073 102.516208) + (xy 126.640076 102.516208) + (xy 126.749636 102.445799) + (xy 126.834921 102.347374) + (xy 126.889023 102.228909) + (xy 126.907557 102.1) + (xy 126.889023 101.971091) + (xy 126.888461 101.969861) + (xy 126.834921 101.852626) + (xy 126.834919 101.852623) + (xy 126.78379 101.793618) + (xy 126.749636 101.754201) + (xy 126.749635 101.7542) + (xy 126.749633 101.754198) + (xy 126.640073 101.68379) + (xy 126.515117 101.6471) + (xy 126.384883 101.6471) + (xy 126.259926 101.68379) + (xy 126.150366 101.754198) + (xy 126.06508 101.852623) + (xy 126.065078 101.852626) + (xy 126.010977 101.971088) + (xy 125.992443 102.1) + (xy 122.975756 102.1) + (xy 122.930016 102.010229) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.36023 101.919983) + (xy 122.280138 102.000075) + (xy 122.233518 102.021814) + (xy 122.226964 102.0221) + (xy 114.275548 102.0221) + (xy 114.22721 102.004507) + (xy 114.222373 102.000074) + (xy 114.172531 101.950231) + (xy 114.150792 101.90361) + (xy 114.164106 101.853923) + (xy 114.191563 101.830054) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.430054 101.591564) + (xy 114.467673 101.556483) + (xy 114.519043 101.553791) + (xy 114.550231 101.572531) + (xy 114.58324 101.60554) + (xy 114.585951 101.608396) + (xy 114.613051 101.638494) + (xy 114.635516 101.648495) + (xy 114.645885 101.654126) + (xy 114.666501 101.667515) + (xy 114.666502 101.667515) + (xy 114.666504 101.667516) + (xy 114.674936 101.668851) + (xy 114.693755 101.674426) + (xy 114.701558 101.6779) + (xy 114.726147 101.6779) + (xy 114.73791 101.678825) + (xy 114.762194 101.682672) + (xy 114.768459 101.680993) + (xy 114.770443 101.680462) + (xy 114.789904 101.6779) + (xy 122.876964 101.6779) + (xy 122.925302 101.695493) + (xy 122.930138 101.699925) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.580016 101.689771) + (xy 123.637957 101.576055) + (xy 123.657922 101.45) + (xy 123.637957 101.323945) + (xy 123.580016 101.210229) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.01023 101.119983) + (xy 122.930138 101.200075) + (xy 122.883518 101.221814) + (xy 122.876964 101.2221) + (xy 114.875548 101.2221) + (xy 114.82721 101.204507) + (xy 114.822373 101.200074) + (xy 114.628574 101.006274) + (xy 114.606835 100.959654) + (xy 114.620149 100.909967) + (xy 114.662286 100.880462) + (xy 114.681749 100.8779) + (xy 122.226964 100.8779) + (xy 122.275302 100.895493) + (xy 122.280138 100.899925) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.930016 100.889771) + (xy 122.987957 100.776055) + (xy 123.007922 100.65) + (xy 122.987957 100.523945) + (xy 122.930016 100.410229) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.36023 100.319983) + (xy 122.280138 100.400075) + (xy 122.233518 100.421814) + (xy 122.226964 100.4221) + (xy 115.331748 100.4221) + (xy 115.28341 100.404507) + (xy 115.25769 100.359958) + (xy 115.266623 100.3093) + (xy 115.278574 100.293725) + (xy 115.330222 100.242078) + (xy 115.472375 100.099925) + (xy 115.518995 100.078186) + (xy 115.525549 100.0779) + (xy 122.876964 100.0779) + (xy 122.925302 100.095493) + (xy 122.930138 100.099925) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.580016 100.089771) + (xy 123.637957 99.976055) + (xy 123.657922 99.85) + (xy 123.637957 99.723945) + (xy 123.580016 99.610229) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.01023 99.519983) + (xy 122.930138 99.600075) + (xy 122.883518 99.621814) + (xy 122.876964 99.6221) + (xy 115.407936 99.6221) + (xy 115.404 99.621997) + (xy 115.402192 99.621902) + (xy 115.363551 99.619876) + (xy 115.36355 99.619876) + (xy 115.340597 99.628688) + (xy 115.329286 99.632039) + (xy 115.305235 99.637151) + (xy 115.305229 99.637154) + (xy 115.298324 99.642171) + (xy 115.281085 99.651532) + (xy 115.273104 99.654596) + (xy 115.255712 99.671987) + (xy 115.246746 99.679645) + (xy 115.226852 99.6941) + (xy 115.222581 99.701497) + (xy 115.210634 99.717064) + (xy 115.200231 99.727467) + (xy 115.153611 99.749207) + (xy 115.103924 99.735893) + (xy 115.080053 99.708433) + (xy 115.07275 99.6941) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.820431 99.460216) + (xy 114.821073 99.458238) + (xy 114.783698 99.437513) + (xy 114.765271 99.389487) + (xy 114.782026 99.340853) + (xy 114.787271 99.335027) + (xy 114.822376 99.299924) + (xy 114.868997 99.278186) + (xy 114.875549 99.2779) + (xy 122.226964 99.2779) + (xy 122.275302 99.295493) + (xy 122.280137 99.299924) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.930016 99.289771) + (xy 122.987957 99.176055) + (xy 123.007922 99.05) + (xy 122.987957 98.923945) + (xy 122.930016 98.810229) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.36023 98.719983) + (xy 122.280138 98.800075) + (xy 122.233518 98.821814) + (xy 122.226964 98.8221) + (xy 115.331748 98.8221) + (xy 115.28341 98.804507) + (xy 115.25769 98.759958) + (xy 115.266623 98.7093) + (xy 115.278574 98.693725) + (xy 115.322374 98.649926) + (xy 115.472375 98.499925) + (xy 115.518995 98.478186) + (xy 115.525549 98.4779) + (xy 122.876964 98.4779) + (xy 122.925302 98.495493) + (xy 122.930138 98.499925) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.580016 98.489771) + (xy 123.637957 98.376055) + (xy 123.657922 98.25) + (xy 123.637957 98.123945) + (xy 123.580016 98.010229) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.01023 97.919983) + (xy 122.930138 98.000075) + (xy 122.883518 98.021814) + (xy 122.876964 98.0221) + (xy 115.407936 98.0221) + (xy 115.404 98.021997) + (xy 115.402192 98.021902) + (xy 115.363551 98.019876) + (xy 115.36355 98.019876) + (xy 115.340597 98.028688) + (xy 115.329286 98.032039) + (xy 115.305235 98.037151) + (xy 115.305229 98.037154) + (xy 115.298324 98.042171) + (xy 115.281085 98.051532) + (xy 115.273104 98.054596) + (xy 115.255712 98.071987) + (xy 115.246746 98.079645) + (xy 115.226852 98.0941) + (xy 115.222581 98.101497) + (xy 115.210634 98.117064) + (xy 115.200231 98.127467) + (xy 115.153611 98.149207) + (xy 115.103924 98.135893) + (xy 115.080053 98.108433) + (xy 115.07655 98.101558) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.916098 97.907922) + (xy 114.841566 97.869946) + (xy 114.806484 97.832325) + (xy 114.803792 97.780955) + (xy 114.822531 97.749768) + (xy 114.872375 97.699925) + (xy 114.918996 97.678186) + (xy 114.925549 97.6779) + (xy 122.226964 97.6779) + (xy 122.275302 97.695493) + (xy 122.280138 97.699925) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.930016 97.689771) + (xy 122.987957 97.576055) + (xy 123.007922 97.45) + (xy 123.006014 97.437956) + (xy 122.997258 97.382672) + (xy 122.987957 97.323945) + (xy 122.930016 97.210229) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.36023 97.119983) + (xy 122.280138 97.200075) + (xy 122.233518 97.221814) + (xy 122.226964 97.2221) + (xy 115.331748 97.2221) + (xy 115.28341 97.204507) + (xy 115.25769 97.159958) + (xy 115.266623 97.1093) + (xy 115.278574 97.093725) + (xy 115.322301 97.049999) + (xy 115.472375 96.899925) + (xy 115.518995 96.878186) + (xy 115.525549 96.8779) + (xy 122.876964 96.8779) + (xy 122.925302 96.895493) + (xy 122.930138 96.899925) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.580016 96.889771) + (xy 123.637957 96.776055) + (xy 123.657922 96.65) + (xy 123.656301 96.639768) + (xy 123.646294 96.576584) + (xy 123.637957 96.523945) + (xy 123.580016 96.410229) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.01023 96.319983) + (xy 122.930138 96.400075) + (xy 122.883518 96.421814) + (xy 122.876964 96.4221) + (xy 115.407936 96.4221) + (xy 115.404 96.421997) + (xy 115.402192 96.421902) + (xy 115.363551 96.419876) + (xy 115.36355 96.419876) + (xy 115.340597 96.428688) + (xy 115.329286 96.432039) + (xy 115.305235 96.437151) + (xy 115.305229 96.437154) + (xy 115.298324 96.442171) + (xy 115.281085 96.451532) + (xy 115.273104 96.454596) + (xy 115.255712 96.471987) + (xy 115.246746 96.479645) + (xy 115.226852 96.4941) + (xy 115.222581 96.501497) + (xy 115.210634 96.517064) + (xy 115.200231 96.527467) + (xy 115.153611 96.549207) + (xy 115.103924 96.535893) + (xy 115.080053 96.508433) + (xy 115.076519 96.501497) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.861329 96.280016) + (xy 114.841566 96.269946) + (xy 114.806484 96.232325) + (xy 114.803792 96.180955) + (xy 114.822531 96.149768) + (xy 114.872375 96.099925) + (xy 114.918996 96.078186) + (xy 114.925549 96.0779) + (xy 122.226964 96.0779) + (xy 122.275302 96.095493) + (xy 122.280138 96.099925) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.930016 96.089771) + (xy 122.987957 95.976055) + (xy 123.007922 95.85) + (xy 122.987957 95.723945) + (xy 122.930016 95.610229) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.36023 95.519983) + (xy 122.280138 95.600075) + (xy 122.233518 95.621814) + (xy 122.226964 95.6221) + (xy 115.331748 95.6221) + (xy 115.28341 95.604507) + (xy 115.25769 95.559958) + (xy 115.266623 95.5093) + (xy 115.278574 95.493725) + (xy 115.324843 95.447457) + (xy 115.472375 95.299925) + (xy 115.518995 95.278186) + (xy 115.525549 95.2779) + (xy 122.876964 95.2779) + (xy 122.925302 95.295493) + (xy 122.930138 95.299925) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.580016 95.289771) + (xy 123.637957 95.176055) + (xy 123.657922 95.05) + (xy 123.656259 95.039503) + (xy 123.652207 95.013919) + (xy 123.637957 94.923945) + (xy 123.580016 94.810229) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.01023 94.719983) + (xy 122.930138 94.800075) + (xy 122.883518 94.821814) + (xy 122.876964 94.8221) + (xy 115.407936 94.8221) + (xy 115.404 94.821997) + (xy 115.402192 94.821902) + (xy 115.363551 94.819876) + (xy 115.36355 94.819876) + (xy 115.340597 94.828688) + (xy 115.329286 94.832039) + (xy 115.305235 94.837151) + (xy 115.305229 94.837154) + (xy 115.298324 94.842171) + (xy 115.281085 94.851532) + (xy 115.273104 94.854596) + (xy 115.255712 94.871987) + (xy 115.246746 94.879645) + (xy 115.226852 94.8941) + (xy 115.222581 94.901497) + (xy 115.210634 94.917064) + (xy 115.200231 94.927467) + (xy 115.153611 94.949207) + (xy 115.103924 94.935893) + (xy 115.080053 94.908433) + (xy 115.07275 94.8941) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.861569 94.680138) + (xy 114.841566 94.669946) + (xy 114.806484 94.632325) + (xy 114.803792 94.580955) + (xy 114.822531 94.549768) + (xy 114.872375 94.499925) + (xy 114.918996 94.478186) + (xy 114.925549 94.4779) + (xy 122.226964 94.4779) + (xy 122.275302 94.495493) + (xy 122.280138 94.499925) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.930016 94.489771) + (xy 122.987957 94.376055) + (xy 123.007922 94.25) + (xy 122.987957 94.123945) + (xy 122.930016 94.010229) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.36023 93.919983) + (xy 122.280138 94.000075) + (xy 122.233518 94.021814) + (xy 122.226964 94.0221) + (xy 115.331748 94.0221) + (xy 115.28341 94.004507) + (xy 115.25769 93.959958) + (xy 115.266623 93.9093) + (xy 115.278574 93.893725) + (xy 115.330222 93.842078) + (xy 115.472375 93.699925) + (xy 115.518995 93.678186) + (xy 115.525549 93.6779) + (xy 121.576964 93.6779) + (xy 121.625302 93.695493) + (xy 121.630138 93.699925) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.280016 93.689771) + (xy 122.337957 93.576055) + (xy 122.357922 93.45) + (xy 122.337957 93.323945) + (xy 122.280016 93.210229) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.71023 93.119983) + (xy 121.630138 93.200075) + (xy 121.583518 93.221814) + (xy 121.576964 93.2221) + (xy 115.407936 93.2221) + (xy 115.404 93.221997) + (xy 115.402192 93.221902) + (xy 115.363551 93.219876) + (xy 115.36355 93.219876) + (xy 115.340597 93.228688) + (xy 115.329286 93.232039) + (xy 115.305235 93.237151) + (xy 115.305229 93.237154) + (xy 115.298324 93.242171) + (xy 115.281085 93.251532) + (xy 115.273104 93.254596) + (xy 115.255712 93.271987) + (xy 115.246746 93.279645) + (xy 115.226852 93.2941) + (xy 115.222581 93.301497) + (xy 115.210634 93.317064) + (xy 115.200231 93.327467) + (xy 115.153611 93.349207) + (xy 115.103924 93.335893) + (xy 115.080053 93.308433) + (xy 115.07275 93.2941) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.46023 93.119983) + (xy 114.380138 93.200075) + (xy 114.333518 93.221814) + (xy 114.326964 93.2221) + (xy 112.125547 93.2221) + (xy 112.077209 93.204507) + (xy 112.072373 93.200074) + (xy 111.526687 92.654388) + (xy 111.522299 92.65) + (xy 122.842078 92.65) + (xy 122.862043 92.776056) + (xy 122.906759 92.863816) + (xy 122.919984 92.889771) + (xy 123.010229 92.980016) + (xy 123.123943 93.037956) + (xy 123.123945 93.037957) + (xy 123.25 93.057922) + (xy 123.376055 93.037957) + (xy 123.489771 92.980016) + (xy 123.580016 92.889771) + (xy 123.637957 92.776055) + (xy 123.657922 92.65) + (xy 125.142078 92.65) + (xy 125.162043 92.776056) + (xy 125.206759 92.863816) + (xy 125.219984 92.889771) + (xy 125.310229 92.980016) + (xy 125.423943 93.037956) + (xy 125.423945 93.037957) + (xy 125.55 93.057922) + (xy 125.676055 93.037957) + (xy 125.789771 92.980016) + (xy 125.869787 92.9) + (xy 126.892329 92.9) + (xy 126.911331 93.044337) + (xy 126.931029 93.091891) + (xy 126.967043 93.178836) + (xy 126.967044 93.178838) + (xy 126.967045 93.178839) + (xy 127.055666 93.294333) + (xy 127.12718 93.349207) + (xy 127.171164 93.382957) + (xy 127.305664 93.438669) + (xy 127.45 93.457671) + (xy 127.594336 93.438669) + (xy 127.728836 93.382957) + (xy 127.844333 93.294333) + (xy 127.932957 93.178836) + (xy 127.988669 93.044336) + (xy 128.007671 92.9) + (xy 127.988669 92.755664) + (xy 127.932957 92.621165) + (xy 127.921294 92.605965) + (xy 127.844333 92.505666) + (xy 127.728839 92.417045) + (xy 127.728838 92.417044) + (xy 127.728836 92.417043) + (xy 127.620062 92.371987) + (xy 127.594337 92.361331) + (xy 127.45 92.342329) + (xy 127.305662 92.361331) + (xy 127.171163 92.417044) + (xy 127.171162 92.417044) + (xy 127.055666 92.505666) + (xy 126.967044 92.621162) + (xy 126.967044 92.621163) + (xy 126.911331 92.755662) + (xy 126.892329 92.9) + (xy 125.869787 92.9) + (xy 125.880016 92.889771) + (xy 125.937957 92.776055) + (xy 125.957922 92.65) + (xy 125.937957 92.523945) + (xy 125.880016 92.410229) + (xy 125.789771 92.319984) + (xy 125.676055 92.262043) + (xy 125.676057 92.262043) + (xy 125.55 92.242078) + (xy 125.423943 92.262043) + (xy 125.310228 92.319984) + (xy 125.219984 92.410228) + (xy 125.162043 92.523943) + (xy 125.142078 92.649999) + (xy 125.142078 92.65) + (xy 123.657922 92.65) + (xy 123.637957 92.523945) + (xy 123.580016 92.410229) + (xy 123.489771 92.319984) + (xy 123.376055 92.262043) + (xy 123.376057 92.262043) + (xy 123.25 92.242078) + (xy 123.123943 92.262043) + (xy 123.010228 92.319984) + (xy 122.919984 92.410228) + (xy 122.862043 92.523943) + (xy 122.842078 92.649999) + (xy 122.842078 92.65) + (xy 111.522299 92.65) + (xy 110.966758 92.094458) + (xy 110.964047 92.091602) + (xy 110.936949 92.061506) + (xy 110.936945 92.061503) + (xy 110.914486 92.051504) + (xy 110.904116 92.045874) + (xy 110.883496 92.032483) + (xy 110.875064 92.031148) + (xy 110.856243 92.025573) + (xy 110.848442 92.0221) + (xy 110.823848 92.0221) + (xy 110.812084 92.021174) + (xy 110.787808 92.017329) + (xy 110.787804 92.017329) + (xy 110.77956 92.019538) + (xy 110.760099 92.0221) + (xy 101.075548 92.0221) + (xy 101.02721 92.004507) + (xy 101.022373 92.000074) + (xy 100.973308 91.951008) + (xy 100.951569 91.904387) + (xy 100.952209 91.88607) + (xy 100.957922 91.85) + (xy 100.957922 91.849999) + (xy 100.950003 91.8) + (xy 100.937957 91.723945) + (xy 100.90028 91.65) + (xy 113.792443 91.65) + (xy 113.810977 91.778911) + (xy 113.865078 91.897373) + (xy 113.86508 91.897376) + (xy 113.950366 91.995801) + (xy 114.008627 92.033242) + (xy 114.052607 92.061506) + (xy 114.059926 92.066209) + (xy 114.184883 92.1029) + (xy 114.315117 92.1029) + (xy 114.440073 92.066209) + (xy 114.440073 92.066208) + (xy 114.440076 92.066208) + (xy 114.549636 91.995799) + (xy 114.589321 91.95) + (xy 123.992078 91.95) + (xy 124.012043 92.076056) + (xy 124.055856 92.162043) + (xy 124.069984 92.189771) + (xy 124.160229 92.280016) + (xy 124.273943 92.337956) + (xy 124.273945 92.337957) + (xy 124.4 92.357922) + (xy 124.526055 92.337957) + (xy 124.639771 92.280016) + (xy 124.730016 92.189771) + (xy 124.787957 92.076055) + (xy 124.807922 91.95) + (xy 124.787957 91.823945) + (xy 124.775756 91.8) + (xy 125.992443 91.8) + (xy 126.010977 91.928911) + (xy 126.065078 92.047373) + (xy 126.06508 92.047376) + (xy 126.150366 92.145801) + (xy 126.203607 92.180016) + (xy 126.253673 92.212191) + (xy 126.259926 92.216209) + (xy 126.384883 92.2529) + (xy 126.515117 92.2529) + (xy 126.640073 92.216209) + (xy 126.640073 92.216208) + (xy 126.640076 92.216208) + (xy 126.749636 92.145799) + (xy 126.834921 92.047374) + (xy 126.889023 91.928909) + (xy 126.907557 91.8) + (xy 126.889023 91.671091) + (xy 126.888517 91.669984) + (xy 126.834921 91.552626) + (xy 126.834919 91.552623) + (xy 126.768671 91.476169) + (xy 126.749636 91.454201) + (xy 126.749635 91.4542) + (xy 126.749633 91.454198) + (xy 126.640073 91.38379) + (xy 126.515117 91.3471) + (xy 126.384883 91.3471) + (xy 126.259926 91.38379) + (xy 126.150366 91.454198) + (xy 126.06508 91.552623) + (xy 126.065078 91.552626) + (xy 126.010977 91.671088) + (xy 125.992443 91.8) + (xy 124.775756 91.8) + (xy 124.730016 91.710229) + (xy 124.639771 91.619984) + (xy 124.526055 91.562043) + (xy 124.526057 91.562043) + (xy 124.4 91.542078) + (xy 124.273943 91.562043) + (xy 124.160228 91.619984) + (xy 124.069984 91.710228) + (xy 124.012043 91.823943) + (xy 123.992078 91.949999) + (xy 123.992078 91.95) + (xy 114.589321 91.95) + (xy 114.634921 91.897374) + (xy 114.689023 91.778909) + (xy 114.707557 91.65) + (xy 114.689023 91.521091) + (xy 114.688517 91.519984) + (xy 114.634921 91.402626) + (xy 114.634919 91.402623) + (xy 114.580588 91.339922) + (xy 114.549636 91.304201) + (xy 114.549635 91.3042) + (xy 114.549633 91.304198) + (xy 114.440073 91.23379) + (xy 114.315117 91.1971) + (xy 114.184883 91.1971) + (xy 114.059926 91.23379) + (xy 113.950366 91.304198) + (xy 113.86508 91.402623) + (xy 113.865078 91.402626) + (xy 113.810977 91.521088) + (xy 113.792443 91.65) + (xy 100.90028 91.65) + (xy 100.880016 91.610229) + (xy 100.789771 91.519984) + (xy 100.676055 91.462043) + (xy 100.676057 91.462043) + (xy 100.55 91.442078) + (xy 100.423943 91.462043) + (xy 100.310228 91.519984) + (xy 100.219984 91.610228) + (xy 100.162043 91.723943) + (xy 100.142078 91.849999) + (xy 100.142078 91.85) + (xy 100.162043 91.976056) + (xy 100.16848 91.98869) + (xy 100.174747 92.039746) + (xy 100.14673 92.082887) + (xy 100.097537 92.097925) + (xy 100.050187 92.077825) + (xy 100.048301 92.076002) + (xy 99.876686 91.904387) + (xy 99.466758 91.494458) + (xy 99.464047 91.491602) + (xy 99.436949 91.461506) + (xy 99.436945 91.461503) + (xy 99.414486 91.451504) + (xy 99.404116 91.445874) + (xy 99.383496 91.432483) + (xy 99.375064 91.431148) + (xy 99.356243 91.425573) + (xy 99.348442 91.4221) + (xy 99.323848 91.4221) + (xy 99.312084 91.421174) + (xy 99.287808 91.417329) + (xy 99.287804 91.417329) + (xy 99.27956 91.419538) + (xy 99.260099 91.4221) + (xy 96.007928 91.4221) + (xy 96.003991 91.421997) + (xy 95.963551 91.419876) + (xy 95.940597 91.428688) + (xy 95.929286 91.432039) + (xy 95.905235 91.437151) + (xy 95.905229 91.437154) + (xy 95.898324 91.442171) + (xy 95.881085 91.451532) + (xy 95.873104 91.454596) + (xy 95.855712 91.471987) + (xy 95.846746 91.479645) + (xy 95.826852 91.4941) + (xy 95.822581 91.501497) + (xy 95.810634 91.517064) + (xy 95.294456 92.033242) + (xy 95.291602 92.035952) + (xy 95.261504 92.063052) + (xy 95.251507 92.085509) + (xy 95.245877 92.095878) + (xy 95.232485 92.116501) + (xy 95.232483 92.116506) + (xy 95.231148 92.124935) + (xy 95.225574 92.143754) + (xy 95.2221 92.151557) + (xy 95.2221 92.176152) + (xy 95.221174 92.187916) + (xy 95.217329 92.212191) + (xy 95.217743 92.220084) + (xy 95.215934 92.220178) + (xy 95.212319 92.261482) + (xy 95.197341 92.282871) + (xy 95.119983 92.36023) + (xy 95.062043 92.473943) + (xy 95.042078 92.599999) + (xy 95.042078 92.6) + (xy 95.062043 92.726056) + (xy 95.088459 92.7779) + (xy 95.119984 92.839771) + (xy 95.210229 92.930016) + (xy 95.323943 92.987956) + (xy 95.323945 92.987957) + (xy 95.45 93.007922) + (xy 95.576055 92.987957) + (xy 95.689771 92.930016) + (xy 95.780016 92.839771) + (xy 95.837957 92.726055) + (xy 95.857922 92.6) + (xy 95.837957 92.473945) + (xy 95.837528 92.473104) + (xy 95.83579 92.469692) + (xy 95.780016 92.360229) + (xy 95.749217 92.32943) + (xy 95.727477 92.28281) + (xy 95.740791 92.233123) + (xy 95.749217 92.223082) + (xy 96.072373 91.899926) + (xy 96.118993 91.878186) + (xy 96.125547 91.8779) + (xy 99.174453 91.8779) + (xy 99.222791 91.895493) + (xy 99.227627 91.899926) + (xy 99.427468 92.099767) + (xy 99.449208 92.146387) + (xy 99.435894 92.196074) + (xy 99.408435 92.219944) + (xy 99.310231 92.269982) + (xy 99.310228 92.269984) + (xy 99.219984 92.360228) + (xy 99.162043 92.473943) + (xy 99.142078 92.599999) + (xy 99.142078 92.6) + (xy 99.162043 92.726056) + (xy 99.206221 92.81276) + (xy 99.21249 92.863816) + (xy 99.184473 92.906957) + (xy 99.139217 92.9221) + (xy 98.529235 92.9221) + (xy 98.480897 92.904507) + (xy 98.455177 92.859958) + (xy 98.46411 92.8093) + (xy 98.476061 92.793726) + (xy 98.480016 92.789771) + (xy 98.537957 92.676055) + (xy 98.557922 92.55) + (xy 98.537957 92.423945) + (xy 98.480016 92.310229) + (xy 98.389771 92.219984) + (xy 98.276055 92.162043) + (xy 98.276057 92.162043) + (xy 98.15 92.142078) + (xy 98.023943 92.162043) + (xy 97.910228 92.219984) + (xy 97.819984 92.310228) + (xy 97.762043 92.423943) + (xy 97.742078 92.549999) + (xy 97.742078 92.55) + (xy 97.762043 92.676056) + (xy 97.819984 92.789771) + (xy 97.823939 92.793726) + (xy 97.845679 92.840346) + (xy 97.832365 92.890033) + (xy 97.790228 92.919538) + (xy 97.770765 92.9221) + (xy 97.125548 92.9221) + (xy 97.07721 92.904507) + (xy 97.072373 92.900074) + (xy 96.873308 92.701008) + (xy 96.851569 92.654388) + (xy 96.852209 92.63607) + (xy 96.857922 92.6) + (xy 96.857922 92.599999) + (xy 96.848513 92.540594) + (xy 96.837957 92.473945) + (xy 96.837528 92.473104) + (xy 96.83579 92.469692) + (xy 96.780016 92.360229) + (xy 96.689771 92.269984) + (xy 96.576055 92.212043) + (xy 96.576057 92.212043) + (xy 96.45 92.192078) + (xy 96.323943 92.212043) + (xy 96.210228 92.269984) + (xy 96.119984 92.360228) + (xy 96.062043 92.473943) + (xy 96.042078 92.599999) + (xy 96.042078 92.6) + (xy 96.062043 92.726056) + (xy 96.088459 92.7779) + (xy 96.119984 92.839771) + (xy 96.210229 92.930016) + (xy 96.323943 92.987956) + (xy 96.323945 92.987957) + (xy 96.45 93.007922) + (xy 96.486071 93.002208) + (xy 96.536564 93.012022) + (xy 96.551009 93.023308) + (xy 96.621427 93.093726) + (xy 96.643167 93.140346) + (xy 96.629853 93.190033) + (xy 96.587716 93.219538) + (xy 96.568253 93.2221) + (xy 96.007936 93.2221) + (xy 96.004 93.221997) + (xy 96.002283 93.221907) + (xy 95.963552 93.219876) + (xy 95.940588 93.228691) + (xy 95.929279 93.23204) + (xy 95.905236 93.237151) + (xy 95.905234 93.237151) + (xy 95.898327 93.24217) + (xy 95.881083 93.251532) + (xy 95.873104 93.254595) + (xy 95.85571 93.271989) + (xy 95.84674 93.27965) + (xy 95.826854 93.294098) + (xy 95.826851 93.294102) + (xy 95.822582 93.301495) + (xy 95.810635 93.317063) + (xy 94.651008 94.47669) + (xy 94.604388 94.49843) + (xy 94.586072 94.49779) + (xy 94.550003 94.492078) + (xy 94.549999 94.492078) + (xy 94.423943 94.512043) + (xy 94.310228 94.569984) + (xy 94.219984 94.660228) + (xy 94.162043 94.773943) + (xy 94.142078 94.899999) + (xy 94.142078 94.9) + (xy 91.192084 94.9) + (xy 91.187957 94.873945) + (xy 91.130016 94.760229) + (xy 91.049925 94.680138) + (xy 91.028186 94.633518) + (xy 91.0279 94.626964) + (xy 91.0279 92.607936) + (xy 91.028003 92.603999) + (xy 91.030123 92.563555) + (xy 91.030122 92.563554) + (xy 91.030123 92.563552) + (xy 91.021306 92.540586) + (xy 91.01796 92.529288) + (xy 91.012848 92.505234) + (xy 91.00783 92.498327) + (xy 90.998463 92.481075) + (xy 90.995403 92.473104) + (xy 90.995402 92.473102) + (xy 90.978018 92.455718) + (xy 90.970355 92.446747) + (xy 90.955901 92.426854) + (xy 90.954517 92.426055) + (xy 90.948503 92.422582) + (xy 90.932933 92.410634) + (xy 90.522299 92) + (xy 92.542078 92) + (xy 92.562043 92.126056) + (xy 92.615005 92.23) + (xy 92.619984 92.239771) + (xy 92.710229 92.330016) + (xy 92.823943 92.387956) + (xy 92.823945 92.387957) + (xy 92.95 92.407922) + (xy 93.076055 92.387957) + (xy 93.189771 92.330016) + (xy 93.280016 92.239771) + (xy 93.337957 92.126055) + (xy 93.357922 92) + (xy 93.352208 91.963926) + (xy 93.362022 91.913434) + (xy 93.3733 91.898998) + (xy 93.543727 91.728571) + (xy 93.590346 91.706833) + (xy 93.640033 91.720147) + (xy 93.669538 91.762284) + (xy 93.6721 91.781747) + (xy 93.6721 92.076964) + (xy 93.654507 92.125302) + (xy 93.650075 92.130138) + (xy 93.569983 92.21023) + (xy 93.512043 92.323943) + (xy 93.492078 92.449999) + (xy 93.492078 92.45) + (xy 93.512043 92.576056) + (xy 93.557929 92.666112) + (xy 93.569984 92.689771) + (xy 93.660229 92.780016) + (xy 93.773943 92.837956) + (xy 93.773945 92.837957) + (xy 93.9 92.857922) + (xy 94.026055 92.837957) + (xy 94.139771 92.780016) + (xy 94.230016 92.689771) + (xy 94.287957 92.576055) + (xy 94.307922 92.45) + (xy 94.307505 92.44737) + (xy 94.301597 92.410065) + (xy 94.287957 92.323945) + (xy 94.230016 92.210229) + (xy 94.149925 92.130138) + (xy 94.128186 92.083518) + (xy 94.1279 92.076964) + (xy 94.1279 90.8) + (xy 97.992078 90.8) + (xy 98.012043 90.926056) + (xy 98.015072 90.932) + (xy 98.069984 91.039771) + (xy 98.160229 91.130016) + (xy 98.273943 91.187956) + (xy 98.273945 91.187957) + (xy 98.4 91.207922) + (xy 98.526055 91.187957) + (xy 98.639771 91.130016) + (xy 98.730016 91.039771) + (xy 98.787957 90.926055) + (xy 98.807922 90.8) + (xy 98.800003 90.75) + (xy 114.792443 90.75) + (xy 114.810977 90.878911) + (xy 114.865078 90.997373) + (xy 114.86508 90.997376) + (xy 114.950366 91.095801) + (xy 115.013116 91.136127) + (xy 115.057532 91.164671) + (xy 115.059926 91.166209) + (xy 115.184883 91.2029) + (xy 115.315117 91.2029) + (xy 115.440073 91.166209) + (xy 115.440073 91.166208) + (xy 115.440076 91.166208) + (xy 115.549636 91.095799) + (xy 115.634921 90.997374) + (xy 115.689023 90.878909) + (xy 115.707557 90.75) + (xy 124.792443 90.75) + (xy 124.810977 90.878911) + (xy 124.865078 90.997373) + (xy 124.86508 90.997376) + (xy 124.950366 91.095801) + (xy 125.013116 91.136127) + (xy 125.057532 91.164671) + (xy 125.059926 91.166209) + (xy 125.184883 91.2029) + (xy 125.315117 91.2029) + (xy 125.440073 91.166209) + (xy 125.440073 91.166208) + (xy 125.440076 91.166208) + (xy 125.549636 91.095799) + (xy 125.634921 90.997374) + (xy 125.689023 90.878909) + (xy 125.707557 90.75) + (xy 125.689023 90.621091) + (xy 125.661227 90.560228) + (xy 125.634921 90.502626) + (xy 125.634919 90.502623) + (xy 125.549633 90.404198) + (xy 125.440073 90.33379) + (xy 125.315117 90.2971) + (xy 125.184883 90.2971) + (xy 125.059926 90.33379) + (xy 124.950366 90.404198) + (xy 124.86508 90.502623) + (xy 124.865078 90.502626) + (xy 124.810977 90.621088) + (xy 124.792443 90.75) + (xy 115.707557 90.75) + (xy 115.689023 90.621091) + (xy 115.661227 90.560228) + (xy 115.634921 90.502626) + (xy 115.634919 90.502623) + (xy 115.549633 90.404198) + (xy 115.440073 90.33379) + (xy 115.315117 90.2971) + (xy 115.184883 90.2971) + (xy 115.059926 90.33379) + (xy 114.950366 90.404198) + (xy 114.86508 90.502623) + (xy 114.865078 90.502626) + (xy 114.810977 90.621088) + (xy 114.792443 90.75) + (xy 98.800003 90.75) + (xy 98.787957 90.673945) + (xy 98.730016 90.560229) + (xy 98.639771 90.469984) + (xy 98.526055 90.412043) + (xy 98.526057 90.412043) + (xy 98.4 90.392078) + (xy 98.273943 90.412043) + (xy 98.160228 90.469984) + (xy 98.069984 90.560228) + (xy 98.012043 90.673943) + (xy 97.992078 90.799999) + (xy 97.992078 90.8) + (xy 94.1279 90.8) + (xy 94.1279 89.85) + (xy 113.792443 89.85) + (xy 113.810977 89.978911) + (xy 113.865078 90.097373) + (xy 113.86508 90.097376) + (xy 113.950366 90.195801) + (xy 114.059926 90.266209) + (xy 114.184883 90.3029) + (xy 114.315117 90.3029) + (xy 114.440073 90.266209) + (xy 114.440073 90.266208) + (xy 114.440076 90.266208) + (xy 114.549636 90.195799) + (xy 114.634921 90.097374) + (xy 114.689023 89.978909) + (xy 114.707557 89.85) + (xy 123.792443 89.85) + (xy 123.810977 89.978911) + (xy 123.865078 90.097373) + (xy 123.86508 90.097376) + (xy 123.950366 90.195801) + (xy 124.059926 90.266209) + (xy 124.184883 90.3029) + (xy 124.315117 90.3029) + (xy 124.440073 90.266209) + (xy 124.440073 90.266208) + (xy 124.440076 90.266208) + (xy 124.549636 90.195799) + (xy 124.634921 90.097374) + (xy 124.689023 89.978909) + (xy 124.707557 89.85) + (xy 124.689023 89.721091) + (xy 124.653861 89.644099) + (xy 124.634921 89.602626) + (xy 124.634919 89.602623) + (xy 124.549633 89.504198) + (xy 124.440073 89.43379) + (xy 124.315117 89.3971) + (xy 124.184883 89.3971) + (xy 124.059926 89.43379) + (xy 123.950366 89.504198) + (xy 123.86508 89.602623) + (xy 123.865078 89.602626) + (xy 123.810977 89.721088) + (xy 123.792443 89.85) + (xy 114.707557 89.85) + (xy 114.689023 89.721091) + (xy 114.653861 89.644099) + (xy 114.634921 89.602626) + (xy 114.634919 89.602623) + (xy 114.549633 89.504198) + (xy 114.440073 89.43379) + (xy 114.315117 89.3971) + (xy 114.184883 89.3971) + (xy 114.059926 89.43379) + (xy 113.950366 89.504198) + (xy 113.86508 89.602623) + (xy 113.865078 89.602626) + (xy 113.810977 89.721088) + (xy 113.792443 89.85) + (xy 94.1279 89.85) + (xy 94.1279 88.925547) + (xy 94.145493 88.877209) + (xy 94.149926 88.872373) + (xy 95.022373 87.999926) + (xy 95.068993 87.978186) + (xy 95.075547 87.9779) + (xy 132.074453 87.9779) + (xy 132.122791 87.995493) + (xy 132.127627 87.999926) + (xy 134.766757 90.639056) + (xy 134.788497 90.685676) + (xy 134.780587 90.726369) + (xy 134.740044 90.805941) + (xy 134.740043 90.805942) + (xy 134.720078 90.931999) + (xy 134.720078 90.932) + (xy 134.740043 91.058056) + (xy 134.79515 91.166209) + (xy 134.797984 91.171771) + (xy 134.888229 91.262016) + (xy 135.001943 91.319956) + (xy 135.001945 91.319957) + (xy 135.128 91.339922) + (xy 135.254055 91.319957) + (xy 135.367771 91.262016) + (xy 135.458016 91.171771) + (xy 135.509405 91.070914) + (xy 135.547025 91.035833) + (xy 135.598394 91.033141) + (xy 135.629582 91.051881) + (xy 135.665231 91.08753) + (xy 135.667942 91.090386) + (xy 135.695051 91.120494) + (xy 135.716438 91.130016) + (xy 135.717516 91.130496) + (xy 135.727884 91.136125) + (xy 135.748504 91.149516) + (xy 135.756936 91.150851) + (xy 135.775755 91.156426) + (xy 135.783558 91.1599) + (xy 135.808147 91.1599) + (xy 135.81991 91.160825) + (xy 135.844194 91.164672) + (xy 135.850459 91.162993) + (xy 135.852443 91.162462) + (xy 135.871904 91.1599) + (xy 136.024964 91.1599) + (xy 136.073302 91.177493) + (xy 136.078138 91.181925) + (xy 136.158229 91.262016) + (xy 136.271943 91.319956) + (xy 136.271945 91.319957) + (xy 136.398 91.339922) + (xy 136.524055 91.319957) + (xy 136.637771 91.262016) + (xy 136.728016 91.171771) + (xy 136.785957 91.058055) + (xy 136.805922 90.932) + (xy 136.80498 90.926055) + (xy 136.802164 90.908276) + (xy 136.785957 90.805945) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.15823 90.601983) + (xy 136.078138 90.682075) + (xy 136.031518 90.703814) + (xy 136.024964 90.7041) + (xy 135.957548 90.7041) + (xy 135.90921 90.686507) + (xy 135.904374 90.682074) + (xy 132.516758 87.294458) + (xy 132.514047 87.291602) + (xy 132.486949 87.261506) + (xy 132.486945 87.261503) + (xy 132.464486 87.251504) + (xy 132.454116 87.245874) + (xy 132.433496 87.232483) + (xy 132.425064 87.231148) + (xy 132.406243 87.225573) + (xy 132.398442 87.2221) + (xy 132.373848 87.2221) + (xy 132.362084 87.221174) + (xy 132.337808 87.217329) + (xy 132.337804 87.217329) + (xy 132.32956 87.219538) + (xy 132.310099 87.2221) + (xy 94.807929 87.2221) + (xy 94.803992 87.221997) + (xy 94.763551 87.219876) + (xy 94.740597 87.228688) + (xy 94.729286 87.232039) + (xy 94.705235 87.237151) + (xy 94.705229 87.237154) + (xy 94.698324 87.242171) + (xy 94.681085 87.251532) + (xy 94.673104 87.254596) + (xy 94.655712 87.271987) + (xy 94.646746 87.279645) + (xy 94.626854 87.294098) + (xy 94.626852 87.294101) + (xy 94.622583 87.301495) + (xy 94.610634 87.317065) + (xy 93.444456 88.483242) + (xy 93.441602 88.485952) + (xy 93.411504 88.513052) + (xy 93.401507 88.535509) + (xy 93.395877 88.545878) + (xy 93.382485 88.566501) + (xy 93.382483 88.566506) + (xy 93.381148 88.574935) + (xy 93.375574 88.593754) + (xy 93.3721 88.601557) + (xy 93.3721 88.626152) + (xy 93.371174 88.637916) + (xy 93.367329 88.662191) + (xy 93.367329 88.662194) + (xy 93.369538 88.670438) + (xy 93.3721 88.6899) + (xy 93.3721 91.22445) + (xy 93.354507 91.272788) + (xy 93.350074 91.277625) + (xy 93.051007 91.576691) + (xy 93.004387 91.59843) + (xy 92.98607 91.59779) + (xy 92.950002 91.592078) + (xy 92.949999 91.592078) + (xy 92.823943 91.612043) + (xy 92.710228 91.669984) + (xy 92.619984 91.760228) + (xy 92.562043 91.873943) + (xy 92.542078 91.999999) + (xy 92.542078 92) + (xy 90.522299 92) + (xy 90.016758 91.494458) + (xy 90.014047 91.491602) + (xy 89.986949 91.461506) + (xy 89.986945 91.461503) + (xy 89.964486 91.451504) + (xy 89.954116 91.445874) + (xy 89.933496 91.432483) + (xy 89.925064 91.431148) + (xy 89.906243 91.425573) + (xy 89.898442 91.4221) + (xy 89.873848 91.4221) + (xy 89.862084 91.421174) + (xy 89.837808 91.417329) + (xy 89.837804 91.417329) + (xy 89.82956 91.419538) + (xy 89.810099 91.4221) + (xy 88.257936 91.4221) + (xy 88.254 91.421997) + (xy 88.252192 91.421902) + (xy 88.213551 91.419876) + (xy 88.21355 91.419876) + (xy 88.190597 91.428688) + (xy 88.179286 91.432039) + (xy 88.155235 91.437151) + (xy 88.155229 91.437154) + (xy 88.148324 91.442171) + (xy 88.131085 91.451532) + (xy 88.123104 91.454596) + (xy 88.105712 91.471987) + (xy 88.096746 91.479645) + (xy 88.076854 91.494098) + (xy 88.076852 91.494101) + (xy 88.072583 91.501495) + (xy 88.060634 91.517065) + (xy 87.527626 92.050074) + (xy 87.481006 92.071814) + (xy 87.474452 92.0721) + (xy 86.673036 92.0721) + (xy 86.624698 92.054507) + (xy 86.619862 92.050075) + (xy 86.587116 92.017329) + (xy 86.539771 91.969984) + (xy 86.426055 91.912043) + (xy 86.426057 91.912043) + (xy 86.3 91.892078) + (xy 86.173943 91.912043) + (xy 86.060228 91.969984) + (xy 85.969984 92.060228) + (xy 85.912043 92.173943) + (xy 85.892078 92.299999) + (xy 85.892078 92.3) + (xy 85.372299 92.3) + (xy 84.624925 91.552626) + (xy 83.872299 90.8) + (xy 88.292078 90.8) + (xy 88.312043 90.926056) + (xy 88.315072 90.932) + (xy 88.369984 91.039771) + (xy 88.460229 91.130016) + (xy 88.573943 91.187956) + (xy 88.573945 91.187957) + (xy 88.7 91.207922) + (xy 88.826055 91.187957) + (xy 88.939771 91.130016) + (xy 89.030016 91.039771) + (xy 89.087957 90.926055) + (xy 89.107922 90.8) + (xy 89.087957 90.673945) + (xy 89.030016 90.560229) + (xy 88.939771 90.469984) + (xy 88.826055 90.412043) + (xy 88.826057 90.412043) + (xy 88.7 90.392078) + (xy 88.573943 90.412043) + (xy 88.460228 90.469984) + (xy 88.369984 90.560228) + (xy 88.312043 90.673943) + (xy 88.292078 90.799999) + (xy 88.292078 90.8) + (xy 83.872299 90.8) + (xy 82.716758 89.644458) + (xy 82.714047 89.641602) + (xy 82.686949 89.611506) + (xy 82.686945 89.611503) + (xy 82.664486 89.601504) + (xy 82.654116 89.595874) + (xy 82.633496 89.582483) + (xy 82.625064 89.581148) + (xy 82.606243 89.575573) + (xy 82.598442 89.5721) + (xy 82.573848 89.5721) + (xy 82.562084 89.571174) + (xy 82.537808 89.567329) + (xy 82.537804 89.567329) + (xy 82.52956 89.569538) + (xy 82.510099 89.5721) + (xy 76.157928 89.5721) + (xy 76.153991 89.571997) + (xy 76.113551 89.569876) + (xy 76.090597 89.578688) + (xy 76.079286 89.582039) + (xy 76.055235 89.587151) + (xy 76.055229 89.587154) + (xy 76.048324 89.592171) + (xy 76.031085 89.601532) + (xy 76.023104 89.604596) + (xy 76.005712 89.621987) + (xy 75.996746 89.629645) + (xy 75.976854 89.644098) + (xy 75.976852 89.644101) + (xy 75.972583 89.651495) + (xy 75.960634 89.667065) + (xy 70.101008 95.52669) + (xy 70.054388 95.54843) + (xy 70.036072 95.54779) + (xy 70.000003 95.542078) + (xy 69.999999 95.542078) + (xy 69.873943 95.562043) + (xy 69.760228 95.619984) + (xy 69.669984 95.710228) + (xy 69.612043 95.823943) + (xy 69.592078 95.949999) + (xy 69.592078 95.95) + (xy 67.307922 95.95) + (xy 67.287957 95.823945) + (xy 67.230016 95.710229) + (xy 67.139771 95.619984) + (xy 67.026055 95.562043) + (xy 67.026057 95.562043) + (xy 66.9 95.542078) + (xy 66.773943 95.562043) + (xy 66.660228 95.619984) + (xy 66.569984 95.710228) + (xy 66.512043 95.823943) + (xy 66.492078 95.949999) + (xy 66.492078 95.95) + (xy 61.0609 95.95) + (xy 61.0609 94.869) + (xy 63.409578 94.869) + (xy 63.429543 94.995056) + (xy 63.458851 95.052575) + (xy 63.487484 95.108771) + (xy 63.577729 95.199016) + (xy 63.691443 95.256956) + (xy 63.691445 95.256957) + (xy 63.8175 95.276922) + (xy 63.943555 95.256957) + (xy 64.057271 95.199016) + (xy 64.147516 95.108771) + (xy 64.205457 94.995055) + (xy 64.225422 94.869) + (xy 64.205457 94.742945) + (xy 64.147516 94.629229) + (xy 64.057271 94.538984) + (xy 63.943555 94.481043) + (xy 63.943557 94.481043) + (xy 63.8175 94.461078) + (xy 63.691443 94.481043) + (xy 63.577728 94.538984) + (xy 63.487484 94.629228) + (xy 63.429543 94.742943) + (xy 63.409578 94.868999) + (xy 63.409578 94.869) + (xy 61.0609 94.869) + (xy 61.0609 92.638535) + (xy 61.078493 92.590197) + (xy 61.082926 92.585361) + (xy 61.118362 92.549925) + (xy 61.163016 92.505271) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240374 92.262043) + (xy 61.232168 92.210229) + (xy 61.220957 92.139445) + (xy 61.163016 92.025729) + (xy 61.072771 91.935484) + (xy 60.959055 91.877543) + (xy 60.959057 91.877543) + (xy 60.833 91.857578) + (xy 60.706943 91.877543) + (xy 60.593228 91.935484) + (xy 60.502984 92.025728) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.502984 92.505271) + (xy 60.583074 92.585361) + (xy 60.604814 92.631981) + (xy 60.6051 92.638535) + (xy 60.6051 97.886701) + (xy 60.587507 97.935039) + (xy 60.583074 97.939875) + (xy 57.248456 101.274492) + (xy 57.245602 101.277202) + (xy 57.215504 101.304302) + (xy 57.205507 101.326759) + (xy 57.199877 101.337128) + (xy 57.186485 101.357751) + (xy 57.186483 101.357756) + (xy 57.185148 101.366185) + (xy 57.179574 101.385004) + (xy 57.1761 101.392807) + (xy 57.1761 101.417402) + (xy 57.175174 101.429166) + (xy 57.171329 101.453441) + (xy 57.171329 101.453444) + (xy 57.173538 101.461688) + (xy 57.1761 101.48115) + (xy 57.1761 103.004964) + (xy 57.158507 103.053302) + (xy 57.154075 103.058138) + (xy 57.073983 103.13823) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 46.101 103.378) + (xy 46.101 102.8065) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.865544 103.012043) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.339055 103.194457) + (xy 53.452771 103.136516) + (xy 53.543016 103.046271) + (xy 53.600957 102.932555) + (xy 53.620922 102.8065) + (xy 54.075078 102.8065) + (xy 54.095043 102.932556) + (xy 54.135544 103.012043) + (xy 54.152984 103.046271) + (xy 54.243229 103.136516) + (xy 54.356943 103.194456) + (xy 54.356945 103.194457) + (xy 54.483 103.214422) + (xy 54.609055 103.194457) + (xy 54.722771 103.136516) + (xy 54.813016 103.046271) + (xy 54.870957 102.932555) + (xy 54.890922 102.8065) + (xy 55.345078 102.8065) + (xy 55.365043 102.932556) + (xy 55.405544 103.012043) + (xy 55.422984 103.046271) + (xy 55.513229 103.136516) + (xy 55.626943 103.194456) + (xy 55.626945 103.194457) + (xy 55.753 103.214422) + (xy 55.879055 103.194457) + (xy 55.992771 103.136516) + (xy 56.083016 103.046271) + (xy 56.140957 102.932555) + (xy 56.160922 102.8065) + (xy 56.140957 102.680445) + (xy 56.083016 102.566729) + (xy 55.992771 102.476484) + (xy 55.879055 102.418543) + (xy 55.879057 102.418543) + (xy 55.753 102.398578) + (xy 55.626943 102.418543) + (xy 55.513228 102.476484) + (xy 55.422984 102.566728) + (xy 55.365043 102.680443) + (xy 55.345078 102.806499) + (xy 55.345078 102.8065) + (xy 54.890922 102.8065) + (xy 54.870957 102.680445) + (xy 54.813016 102.566729) + (xy 54.722771 102.476484) + (xy 54.609055 102.418543) + (xy 54.609057 102.418543) + (xy 54.483 102.398578) + (xy 54.356943 102.418543) + (xy 54.243228 102.476484) + (xy 54.152984 102.566728) + (xy 54.095043 102.680443) + (xy 54.075078 102.806499) + (xy 54.075078 102.8065) + (xy 53.620922 102.8065) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.452771 102.476484) + (xy 53.339055 102.418543) + (xy 53.339057 102.418543) + (xy 53.213 102.398578) + (xy 53.086943 102.418543) + (xy 52.973228 102.476484) + (xy 52.882984 102.566728) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 46.101 102.8065) + (xy 46.101 97.8535) + (xy 57.885078 97.8535) + (xy 57.905043 97.979556) + (xy 57.949676 98.067152) + (xy 57.962984 98.093271) + (xy 58.053229 98.183516) + (xy 58.166943 98.241456) + (xy 58.166945 98.241457) + (xy 58.293 98.261422) + (xy 58.419055 98.241457) + (xy 58.532771 98.183516) + (xy 58.623016 98.093271) + (xy 58.680957 97.979555) + (xy 58.700922 97.8535) + (xy 59.155078 97.8535) + (xy 59.175043 97.979556) + (xy 59.219676 98.067152) + (xy 59.232984 98.093271) + (xy 59.323229 98.183516) + (xy 59.436943 98.241456) + (xy 59.436945 98.241457) + (xy 59.563 98.261422) + (xy 59.689055 98.241457) + (xy 59.802771 98.183516) + (xy 59.893016 98.093271) + (xy 59.950957 97.979555) + (xy 59.970922 97.8535) + (xy 59.967684 97.833059) + (xy 59.967164 97.829776) + (xy 59.950957 97.727445) + (xy 59.893016 97.613729) + (xy 59.802771 97.523484) + (xy 59.689055 97.465543) + (xy 59.689057 97.465543) + (xy 59.563 97.445578) + (xy 59.436943 97.465543) + (xy 59.323228 97.523484) + (xy 59.232984 97.613728) + (xy 59.175043 97.727443) + (xy 59.155078 97.853499) + (xy 59.155078 97.8535) + (xy 58.700922 97.8535) + (xy 58.697684 97.833059) + (xy 58.697164 97.829776) + (xy 58.680957 97.727445) + (xy 58.623016 97.613729) + (xy 58.532771 97.523484) + (xy 58.419055 97.465543) + (xy 58.419057 97.465543) + (xy 58.293 97.445578) + (xy 58.166943 97.465543) + (xy 58.053228 97.523484) + (xy 57.962984 97.613728) + (xy 57.905043 97.727443) + (xy 57.885078 97.853499) + (xy 57.885078 97.8535) + (xy 46.101 97.8535) + (xy 46.101 95.0595) + (xy 57.885078 95.0595) + (xy 57.905043 95.185556) + (xy 57.958511 95.290493) + (xy 57.962984 95.299271) + (xy 58.053229 95.389516) + (xy 58.166943 95.447456) + (xy 58.166945 95.447457) + (xy 58.293 95.467422) + (xy 58.419055 95.447457) + (xy 58.532771 95.389516) + (xy 58.623016 95.299271) + (xy 58.680957 95.185555) + (xy 58.700922 95.0595) + (xy 59.155078 95.0595) + (xy 59.175043 95.185556) + (xy 59.228511 95.290493) + (xy 59.232984 95.299271) + (xy 59.323229 95.389516) + (xy 59.436943 95.447456) + (xy 59.436945 95.447457) + (xy 59.563 95.467422) + (xy 59.689055 95.447457) + (xy 59.802771 95.389516) + (xy 59.893016 95.299271) + (xy 59.950957 95.185555) + (xy 59.970922 95.0595) + (xy 59.967754 95.039501) + (xy 59.966788 95.0334) + (xy 59.950957 94.933445) + (xy 59.893016 94.819729) + (xy 59.802771 94.729484) + (xy 59.689055 94.671543) + (xy 59.689057 94.671543) + (xy 59.563 94.651578) + (xy 59.436943 94.671543) + (xy 59.323228 94.729484) + (xy 59.232984 94.819728) + (xy 59.175043 94.933443) + (xy 59.155078 95.059499) + (xy 59.155078 95.0595) + (xy 58.700922 95.0595) + (xy 58.697754 95.039501) + (xy 58.696788 95.0334) + (xy 58.680957 94.933445) + (xy 58.623016 94.819729) + (xy 58.532771 94.729484) + (xy 58.419055 94.671543) + (xy 58.419057 94.671543) + (xy 58.293 94.651578) + (xy 58.166943 94.671543) + (xy 58.053228 94.729484) + (xy 57.962984 94.819728) + (xy 57.905043 94.933443) + (xy 57.885078 95.059499) + (xy 57.885078 95.0595) + (xy 46.101 95.0595) + (xy 46.101 94.0435) + (xy 58.774078 94.0435) + (xy 58.794043 94.169556) + (xy 58.846431 94.272373) + (xy 58.851984 94.283271) + (xy 58.942229 94.373516) + (xy 59.055943 94.431456) + (xy 59.055945 94.431457) + (xy 59.182 94.451422) + (xy 59.308055 94.431457) + (xy 59.421771 94.373516) + (xy 59.512016 94.283271) + (xy 59.569957 94.169555) + (xy 59.589922 94.0435) + (xy 59.569957 93.917445) + (xy 59.512016 93.803729) + (xy 59.421771 93.713484) + (xy 59.308055 93.655543) + (xy 59.308057 93.655543) + (xy 59.182 93.635578) + (xy 59.055943 93.655543) + (xy 58.942228 93.713484) + (xy 58.851984 93.803728) + (xy 58.794043 93.917443) + (xy 58.774078 94.043499) + (xy 58.774078 94.0435) + (xy 46.101 94.0435) + (xy 46.101 93.606448) + (xy 46.10246 93.5917) + (xy 46.146011 93.373943) + (xy 46.226025 92.97387) + (xy 46.232501 92.954996) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 54.274015 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.594379 85.421367) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.752014 84.31958) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274015 84.663985) + (xy 56.56001 82.37799) + (xy 139.631845 82.37799) + (xy 139.634689 82.430448) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.033379 83.135367) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 56.56001 82.37799) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.68387 80.516025) + (xy 59.3017 80.39246) + (xy 59.316448 80.391) + (xy 142.994552 80.391) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 81.6207 128.809622) + (xy 81.636274 128.821573) + (xy 83.570074 130.755373) + (xy 83.591814 130.801993) + (xy 83.5921 130.808547) + (xy 83.5921 131.3839) + (xy 83.574507 131.432238) + (xy 83.529958 131.457958) + (xy 83.5169 131.4591) + (xy 83.397197 131.4591) + (xy 83.311402 131.469403) + (xy 83.174871 131.523244) + (xy 83.17487 131.523245) + (xy 83.130725 131.55672) + (xy 83.085288 131.572) + (xy 82.014712 131.572) + (xy 81.969274 131.55672) + (xy 81.92513 131.523245) + (xy 81.925128 131.523244) + (xy 81.788597 131.469403) + (xy 81.702803 131.4591) + (xy 81.702802 131.4591) + (xy 81.5831 131.4591) + (xy 81.534762 131.441507) + (xy 81.509042 131.396958) + (xy 81.5079 131.3839) + (xy 81.5079 128.874747) + (xy 81.525493 128.826409) + (xy 81.570042 128.800689) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 85.540291 129.995493) + (xy 85.545127 129.999926) + (xy 86.110074 130.564873) + (xy 86.131814 130.611493) + (xy 86.1321 130.618047) + (xy 86.1321 131.3839) + (xy 86.114507 131.432238) + (xy 86.069958 131.457958) + (xy 86.0569 131.4591) + (xy 85.937197 131.4591) + (xy 85.851402 131.469403) + (xy 85.714871 131.523244) + (xy 85.71487 131.523245) + (xy 85.670725 131.55672) + (xy 85.625288 131.572) + (xy 84.554712 131.572) + (xy 84.509274 131.55672) + (xy 84.46513 131.523245) + (xy 84.465128 131.523244) + (xy 84.328597 131.469403) + (xy 84.242803 131.4591) + (xy 84.242802 131.4591) + (xy 84.1231 131.4591) + (xy 84.074762 131.441507) + (xy 84.049042 131.396958) + (xy 84.0479 131.3839) + (xy 84.0479 130.690928) + (xy 84.048003 130.686991) + (xy 84.048951 130.668913) + (xy 84.050123 130.646552) + (xy 84.047741 130.640348) + (xy 84.04131 130.623595) + (xy 84.03796 130.612288) + (xy 84.032848 130.588234) + (xy 84.02783 130.581327) + (xy 84.018463 130.564075) + (xy 84.015403 130.556104) + (xy 84.015402 130.556102) + (xy 83.998018 130.538718) + (xy 83.990355 130.529747) + (xy 83.975901 130.509854) + (xy 83.974295 130.508927) + (xy 83.968503 130.505582) + (xy 83.952933 130.493634) + (xy 83.569878 130.110578) + (xy 83.548138 130.063958) + (xy 83.561452 130.014271) + (xy 83.603589 129.984766) + (xy 83.605503 129.984463) + (xy 83.612193 129.98267) + (xy 83.612194 129.982671) + (xy 83.615017 129.981914) + (xy 83.62044 129.980462) + (xy 83.639901 129.9779) + (xy 85.491953 129.9779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 87.081791 129.695493) + (xy 87.086627 129.699926) + (xy 88.650074 131.263373) + (xy 88.671814 131.309993) + (xy 88.6721 131.316547) + (xy 88.6721 131.3839) + (xy 88.654507 131.432238) + (xy 88.609958 131.457958) + (xy 88.5969 131.4591) + (xy 88.477197 131.4591) + (xy 88.391402 131.469403) + (xy 88.254871 131.523244) + (xy 88.25487 131.523245) + (xy 88.210725 131.55672) + (xy 88.165288 131.572) + (xy 87.094712 131.572) + (xy 87.049274 131.55672) + (xy 87.00513 131.523245) + (xy 87.005128 131.523244) + (xy 86.868597 131.469403) + (xy 86.782803 131.4591) + (xy 86.782802 131.4591) + (xy 86.6631 131.4591) + (xy 86.614762 131.441507) + (xy 86.589042 131.396958) + (xy 86.5879 131.3839) + (xy 86.5879 130.500436) + (xy 86.588003 130.496499) + (xy 86.590123 130.456051) + (xy 86.581311 130.433095) + (xy 86.577959 130.42178) + (xy 86.572848 130.397735) + (xy 86.572848 130.397734) + (xy 86.567831 130.39083) + (xy 86.558463 130.373574) + (xy 86.555404 130.365604) + (xy 86.546892 130.357092) + (xy 86.538007 130.348207) + (xy 86.530355 130.339249) + (xy 86.515901 130.319354) + (xy 86.5159 130.319353) + (xy 86.515899 130.319352) + (xy 86.508506 130.315084) + (xy 86.492934 130.303134) + (xy 85.996074 129.806274) + (xy 85.974334 129.759654) + (xy 85.987648 129.709967) + (xy 86.029785 129.680462) + (xy 86.049248 129.6779) + (xy 87.033453 129.6779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 89.321791 129.395493) + (xy 89.326627 129.399926) + (xy 91.190074 131.263373) + (xy 91.211814 131.309993) + (xy 91.2121 131.316547) + (xy 91.2121 131.3839) + (xy 91.194507 131.432238) + (xy 91.149958 131.457958) + (xy 91.1369 131.4591) + (xy 91.017197 131.4591) + (xy 90.931402 131.469403) + (xy 90.794871 131.523244) + (xy 90.79487 131.523245) + (xy 90.750725 131.55672) + (xy 90.705288 131.572) + (xy 89.634712 131.572) + (xy 89.589274 131.55672) + (xy 89.54513 131.523245) + (xy 89.545128 131.523244) + (xy 89.408597 131.469403) + (xy 89.322803 131.4591) + (xy 89.322802 131.4591) + (xy 89.2031 131.4591) + (xy 89.154762 131.441507) + (xy 89.129042 131.396958) + (xy 89.1279 131.3839) + (xy 89.1279 131.198936) + (xy 89.128003 131.194999) + (xy 89.130123 131.154555) + (xy 89.130122 131.154554) + (xy 89.130123 131.154552) + (xy 89.121306 131.131586) + (xy 89.11796 131.120288) + (xy 89.112848 131.096234) + (xy 89.10783 131.089327) + (xy 89.098463 131.072075) + (xy 89.095403 131.064104) + (xy 89.095402 131.064102) + (xy 89.078018 131.046718) + (xy 89.070355 131.037747) + (xy 89.055901 131.017854) + (xy 89.051924 131.015558) + (xy 89.048503 131.013582) + (xy 89.032933 131.001634) + (xy 87.537574 129.506274) + (xy 87.515834 129.459654) + (xy 87.529148 129.409967) + (xy 87.571285 129.380462) + (xy 87.590748 129.3779) + (xy 89.273453 129.3779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 91.561791 129.095493) + (xy 91.566627 129.099926) + (xy 93.730074 131.263373) + (xy 93.751814 131.309993) + (xy 93.7521 131.316547) + (xy 93.7521 131.3839) + (xy 93.734507 131.432238) + (xy 93.689958 131.457958) + (xy 93.6769 131.4591) + (xy 93.557197 131.4591) + (xy 93.471402 131.469403) + (xy 93.334871 131.523244) + (xy 93.33487 131.523245) + (xy 93.290725 131.55672) + (xy 93.245288 131.572) + (xy 92.174712 131.572) + (xy 92.129274 131.55672) + (xy 92.08513 131.523245) + (xy 92.085128 131.523244) + (xy 91.948597 131.469403) + (xy 91.862803 131.4591) + (xy 91.862802 131.4591) + (xy 91.7431 131.4591) + (xy 91.694762 131.441507) + (xy 91.669042 131.396958) + (xy 91.6679 131.3839) + (xy 91.6679 131.198936) + (xy 91.668003 131.194999) + (xy 91.670123 131.154555) + (xy 91.670122 131.154554) + (xy 91.670123 131.154552) + (xy 91.661306 131.131586) + (xy 91.65796 131.120288) + (xy 91.652848 131.096234) + (xy 91.64783 131.089327) + (xy 91.638463 131.072075) + (xy 91.635403 131.064104) + (xy 91.635402 131.064102) + (xy 91.618018 131.046718) + (xy 91.610355 131.037747) + (xy 91.595901 131.017854) + (xy 91.591924 131.015558) + (xy 91.588503 131.013582) + (xy 91.572933 131.001634) + (xy 89.777574 129.206274) + (xy 89.755834 129.159654) + (xy 89.769148 129.109967) + (xy 89.811285 129.080462) + (xy 89.830748 129.0779) + (xy 91.513453 129.0779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 93.801791 128.795493) + (xy 93.806627 128.799926) + (xy 96.270074 131.263373) + (xy 96.291814 131.309993) + (xy 96.2921 131.316547) + (xy 96.2921 131.3839) + (xy 96.274507 131.432238) + (xy 96.229958 131.457958) + (xy 96.2169 131.4591) + (xy 96.097197 131.4591) + (xy 96.011402 131.469403) + (xy 95.874871 131.523244) + (xy 95.87487 131.523245) + (xy 95.830725 131.55672) + (xy 95.785288 131.572) + (xy 94.714712 131.572) + (xy 94.669274 131.55672) + (xy 94.62513 131.523245) + (xy 94.625128 131.523244) + (xy 94.488597 131.469403) + (xy 94.402803 131.4591) + (xy 94.402802 131.4591) + (xy 94.2831 131.4591) + (xy 94.234762 131.441507) + (xy 94.209042 131.396958) + (xy 94.2079 131.3839) + (xy 94.2079 131.198936) + (xy 94.208003 131.194999) + (xy 94.210123 131.154555) + (xy 94.210122 131.154554) + (xy 94.210123 131.154552) + (xy 94.201306 131.131586) + (xy 94.19796 131.120288) + (xy 94.192848 131.096234) + (xy 94.18783 131.089327) + (xy 94.178463 131.072075) + (xy 94.175403 131.064104) + (xy 94.175402 131.064102) + (xy 94.158018 131.046718) + (xy 94.150355 131.037747) + (xy 94.135901 131.017854) + (xy 94.131924 131.015558) + (xy 94.128503 131.013582) + (xy 94.112933 131.001634) + (xy 92.017574 128.906274) + (xy 91.995834 128.859654) + (xy 92.009148 128.809967) + (xy 92.051285 128.780462) + (xy 92.070748 128.7779) + (xy 93.753453 128.7779) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00481e03-a8c1-4c12-a45a-92bbdffbe9b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 136.398) + (xy 119.507 136.779) + (xy 119.888 136.779) + (xy 119.888 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 03d5c37d-b0ba-4cc1-92fe-f0d8b18b3fe6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 133.858) + (xy 116.332 134.239) + (xy 116.713 134.239) + (xy 116.713 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 05a0ff7b-af03-4775-91ed-6220551a0214) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 133.223) + (xy 76.327 133.604) + (xy 76.708 133.604) + (xy 76.708 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 0b1fbb84-75ee-4802-b68a-b109ed41c4f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 138.303) + (xy 118.872 138.684) + (xy 119.253 138.684) + (xy 119.253 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 146e3493-7616-452e-a58d-a320db1fc0b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 132.588) + (xy 119.507 132.969) + (xy 119.888 132.969) + (xy 119.888 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 1bba28b6-f5ba-4d10-8f0a-1f416a0c4805) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 134.493) + (xy 118.872 134.874) + (xy 119.253 134.874) + (xy 119.253 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 1eb440ab-84d5-4d0a-8530-184dcf5f74c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 132.588) + (xy 118.872 132.969) + (xy 119.253 132.969) + (xy 119.253 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 20915998-8f2c-4dff-86f6-eb901b5634a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 137.033) + (xy 116.967 137.414) + (xy 117.348 137.414) + (xy 117.348 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 25393e6b-0418-4d3f-bc15-885b4b527a41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 133.223) + (xy 116.967 133.604) + (xy 117.348 133.604) + (xy 117.348 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 2af3e1fc-2cef-493f-8976-634695aa32f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 136.398) + (xy 116.332 136.779) + (xy 116.713 136.779) + (xy 116.713 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 30c708ac-bcd4-4fac-915d-2cfb2ed54882) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 135.128) + (xy 118.872 135.509) + (xy 119.253 135.509) + (xy 119.253 135.128) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "In1.Cu") (tstamp 382d8bed-959d-4a06-b653-17604b8f54fa) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 143.637 80.518) + (xy 144.272 80.899) + (xy 144.653 81.28) + (xy 144.907 81.788) + (xy 145.034 82.423) + (xy 145.034 129.54) + (xy 144.907 130.175) + (xy 144.653 130.683) + (xy 144.145 131.191) + (xy 143.637 131.445) + (xy 143.002 131.572) + (xy 138.938 131.572) + (xy 138.938 139.192) + (xy 74.422 139.192) + (xy 74.422 131.572) + (xy 48.133 131.572) + (xy 47.498 131.445) + (xy 46.99 131.191) + (xy 46.482 130.683) + (xy 46.228 130.175) + (xy 46.101 129.54) + (xy 46.101 93.599) + (xy 46.228 92.964) + (xy 46.482 92.456) + (xy 58.166 80.772) + (xy 58.674 80.518) + (xy 59.309 80.391) + (xy 143.002 80.391) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 143.0093 80.39246) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 145.03254 82.4157) + (xy 145.034 82.430448) + (xy 145.034 129.532552) + (xy 145.03254 129.5473) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.0093 131.57054) + (xy 142.994552 131.572) + (xy 138.938 131.572) + (xy 138.938 139.1168) + (xy 138.920407 139.165138) + (xy 138.875858 139.190858) + (xy 138.8628 139.192) + (xy 74.4972 139.192) + (xy 74.448862 139.174407) + (xy 74.423142 139.129858) + (xy 74.422 139.1168) + (xy 74.422 138.303) + (xy 75.692 138.303) + (xy 75.692 138.684) + (xy 76.073 138.684) + (xy 76.073 138.303) + (xy 76.327 138.303) + (xy 76.327 138.684) + (xy 76.708 138.684) + (xy 76.708 138.303) + (xy 78.232 138.303) + (xy 78.232 138.684) + (xy 78.613 138.684) + (xy 78.613 138.303) + (xy 78.867 138.303) + (xy 78.867 138.684) + (xy 79.248 138.684) + (xy 79.248 138.303) + (xy 80.772 138.303) + (xy 80.772 138.684) + (xy 81.153 138.684) + (xy 81.153 138.303) + (xy 81.407 138.303) + (xy 81.407 138.684) + (xy 81.788 138.684) + (xy 81.788 138.303) + (xy 83.312 138.303) + (xy 83.312 138.684) + (xy 83.693 138.684) + (xy 83.693 138.303) + (xy 83.947 138.303) + (xy 83.947 138.684) + (xy 84.328 138.684) + (xy 84.328 138.303) + (xy 85.852 138.303) + (xy 85.852 138.684) + (xy 86.233 138.684) + (xy 86.233 138.303) + (xy 86.487 138.303) + (xy 86.487 138.684) + (xy 86.868 138.684) + (xy 86.868 138.303) + (xy 88.392 138.303) + (xy 88.392 138.684) + (xy 88.773 138.684) + (xy 88.773 138.303) + (xy 89.027 138.303) + (xy 89.027 138.684) + (xy 89.408 138.684) + (xy 89.408 138.303) + (xy 90.932 138.303) + (xy 90.932 138.684) + (xy 91.313 138.684) + (xy 91.313 138.303) + (xy 91.567 138.303) + (xy 91.567 138.684) + (xy 91.948 138.684) + (xy 91.948 138.303) + (xy 93.472 138.303) + (xy 93.472 138.684) + (xy 93.853 138.684) + (xy 93.853 138.303) + (xy 94.107 138.303) + (xy 94.107 138.684) + (xy 94.488 138.684) + (xy 94.488 138.303) + (xy 96.012 138.303) + (xy 96.012 138.684) + (xy 96.393 138.684) + (xy 96.393 138.303) + (xy 96.647 138.303) + (xy 96.647 138.684) + (xy 97.028 138.684) + (xy 97.028 138.303) + (xy 98.552 138.303) + (xy 98.552 138.684) + (xy 98.933 138.684) + (xy 98.933 138.303) + (xy 99.187 138.303) + (xy 99.187 138.684) + (xy 99.568 138.684) + (xy 99.568 138.303) + (xy 101.092 138.303) + (xy 101.092 138.684) + (xy 101.473 138.684) + (xy 101.473 138.303) + (xy 101.727 138.303) + (xy 101.727 138.684) + (xy 102.108 138.684) + (xy 102.108 138.303) + (xy 103.632 138.303) + (xy 103.632 138.684) + (xy 104.013 138.684) + (xy 104.013 138.303) + (xy 104.267 138.303) + (xy 104.267 138.684) + (xy 104.648 138.684) + (xy 104.648 138.303) + (xy 106.172 138.303) + (xy 106.172 138.684) + (xy 106.553 138.684) + (xy 106.553 138.303) + (xy 106.807 138.303) + (xy 106.807 138.684) + (xy 107.188 138.684) + (xy 107.188 138.303) + (xy 108.712 138.303) + (xy 108.712 138.684) + (xy 109.093 138.684) + (xy 109.093 138.303) + (xy 109.347 138.303) + (xy 109.347 138.684) + (xy 109.728 138.684) + (xy 109.728 138.303) + (xy 111.252 138.303) + (xy 111.252 138.684) + (xy 111.633 138.684) + (xy 111.633 138.303) + (xy 111.887 138.303) + (xy 111.887 138.684) + (xy 112.268 138.684) + (xy 112.268 138.303) + (xy 113.792 138.303) + (xy 113.792 138.684) + (xy 114.173 138.684) + (xy 114.173 138.303) + (xy 114.427 138.303) + (xy 114.427 138.684) + (xy 114.808 138.684) + (xy 114.808 138.303) + (xy 116.332 138.303) + (xy 116.332 138.684) + (xy 116.713 138.684) + (xy 116.713 138.303) + (xy 116.967 138.303) + (xy 116.967 138.684) + (xy 117.348 138.684) + (xy 117.348 138.303) + (xy 118.872 138.303) + (xy 118.872 138.684) + (xy 119.253 138.684) + (xy 119.253 138.303) + (xy 119.507 138.303) + (xy 119.507 138.684) + (xy 119.888 138.684) + (xy 119.888 138.303) + (xy 121.412 138.303) + (xy 121.412 138.684) + (xy 121.793 138.684) + (xy 121.793 138.303) + (xy 122.047 138.303) + (xy 122.047 138.684) + (xy 122.428 138.684) + (xy 122.428 138.303) + (xy 123.952 138.303) + (xy 123.952 138.684) + (xy 124.333 138.684) + (xy 124.333 138.303) + (xy 124.587 138.303) + (xy 124.587 138.684) + (xy 124.968 138.684) + (xy 124.968 138.303) + (xy 126.492 138.303) + (xy 126.492 138.684) + (xy 126.873 138.684) + (xy 126.873 138.303) + (xy 127.127 138.303) + (xy 127.127 138.684) + (xy 127.508 138.684) + (xy 127.508 138.303) + (xy 129.032 138.303) + (xy 129.032 138.684) + (xy 129.413 138.684) + (xy 129.413 138.303) + (xy 129.667 138.303) + (xy 129.667 138.684) + (xy 130.048 138.684) + (xy 130.048 138.303) + (xy 131.572 138.303) + (xy 131.572 138.684) + (xy 131.953 138.684) + (xy 131.953 138.303) + (xy 132.207 138.303) + (xy 132.207 138.684) + (xy 132.588 138.684) + (xy 132.588 138.303) + (xy 134.112 138.303) + (xy 134.112 138.684) + (xy 134.493 138.684) + (xy 134.493 138.303) + (xy 134.747 138.303) + (xy 134.747 138.684) + (xy 135.128 138.684) + (xy 135.128 138.303) + (xy 134.747 138.303) + (xy 134.493 138.303) + (xy 134.112 138.303) + (xy 132.588 138.303) + (xy 132.207 138.303) + (xy 131.953 138.303) + (xy 131.572 138.303) + (xy 130.048 138.303) + (xy 129.667 138.303) + (xy 129.413 138.303) + (xy 129.032 138.303) + (xy 127.508 138.303) + (xy 127.127 138.303) + (xy 126.873 138.303) + (xy 126.492 138.303) + (xy 124.968 138.303) + (xy 124.587 138.303) + (xy 124.333 138.303) + (xy 123.952 138.303) + (xy 122.428 138.303) + (xy 122.047 138.303) + (xy 121.793 138.303) + (xy 121.412 138.303) + (xy 119.888 138.303) + (xy 119.507 138.303) + (xy 119.253 138.303) + (xy 118.872 138.303) + (xy 117.348 138.303) + (xy 116.967 138.303) + (xy 116.713 138.303) + (xy 116.332 138.303) + (xy 114.808 138.303) + (xy 114.427 138.303) + (xy 114.173 138.303) + (xy 113.792 138.303) + (xy 112.268 138.303) + (xy 111.887 138.303) + (xy 111.633 138.303) + (xy 111.252 138.303) + (xy 109.728 138.303) + (xy 109.347 138.303) + (xy 109.093 138.303) + (xy 108.712 138.303) + (xy 107.188 138.303) + (xy 106.807 138.303) + (xy 106.553 138.303) + (xy 106.172 138.303) + (xy 104.648 138.303) + (xy 104.267 138.303) + (xy 104.013 138.303) + (xy 103.632 138.303) + (xy 102.108 138.303) + (xy 101.727 138.303) + (xy 101.473 138.303) + (xy 101.092 138.303) + (xy 99.568 138.303) + (xy 99.187 138.303) + (xy 98.933 138.303) + (xy 98.552 138.303) + (xy 97.028 138.303) + (xy 96.647 138.303) + (xy 96.393 138.303) + (xy 96.012 138.303) + (xy 94.488 138.303) + (xy 94.107 138.303) + (xy 93.853 138.303) + (xy 93.472 138.303) + (xy 91.948 138.303) + (xy 91.567 138.303) + (xy 91.313 138.303) + (xy 90.932 138.303) + (xy 89.408 138.303) + (xy 89.027 138.303) + (xy 88.773 138.303) + (xy 88.392 138.303) + (xy 86.868 138.303) + (xy 86.487 138.303) + (xy 86.233 138.303) + (xy 85.852 138.303) + (xy 84.328 138.303) + (xy 83.947 138.303) + (xy 83.693 138.303) + (xy 83.312 138.303) + (xy 81.788 138.303) + (xy 81.407 138.303) + (xy 81.153 138.303) + (xy 80.772 138.303) + (xy 79.248 138.303) + (xy 78.867 138.303) + (xy 78.613 138.303) + (xy 78.232 138.303) + (xy 76.708 138.303) + (xy 76.327 138.303) + (xy 76.073 138.303) + (xy 75.692 138.303) + (xy 74.422 138.303) + (xy 74.422 137.668) + (xy 75.692 137.668) + (xy 75.692 138.049) + (xy 76.073 138.049) + (xy 76.073 137.668) + (xy 76.327 137.668) + (xy 76.327 138.049) + (xy 76.708 138.049) + (xy 76.708 137.668) + (xy 78.232 137.668) + (xy 78.232 138.049) + (xy 78.613 138.049) + (xy 78.613 137.668) + (xy 78.867 137.668) + (xy 78.867 138.049) + (xy 79.248 138.049) + (xy 79.248 137.668) + (xy 80.772 137.668) + (xy 80.772 138.049) + (xy 81.153 138.049) + (xy 81.153 137.668) + (xy 81.407 137.668) + (xy 81.407 138.049) + (xy 81.788 138.049) + (xy 81.788 137.668) + (xy 83.312 137.668) + (xy 83.312 138.049) + (xy 83.693 138.049) + (xy 83.693 137.668) + (xy 83.947 137.668) + (xy 83.947 138.049) + (xy 84.328 138.049) + (xy 84.328 137.668) + (xy 85.852 137.668) + (xy 85.852 138.049) + (xy 86.233 138.049) + (xy 86.233 137.668) + (xy 86.487 137.668) + (xy 86.487 138.049) + (xy 86.868 138.049) + (xy 86.868 137.668) + (xy 88.392 137.668) + (xy 88.392 138.049) + (xy 88.773 138.049) + (xy 88.773 137.668) + (xy 89.027 137.668) + (xy 89.027 138.049) + (xy 89.408 138.049) + (xy 89.408 137.668) + (xy 90.932 137.668) + (xy 90.932 138.049) + (xy 91.313 138.049) + (xy 91.313 137.668) + (xy 91.567 137.668) + (xy 91.567 138.049) + (xy 91.948 138.049) + (xy 91.948 137.668) + (xy 93.472 137.668) + (xy 93.472 138.049) + (xy 93.853 138.049) + (xy 93.853 137.668) + (xy 94.107 137.668) + (xy 94.107 138.049) + (xy 94.488 138.049) + (xy 94.488 137.668) + (xy 96.012 137.668) + (xy 96.012 138.049) + (xy 96.393 138.049) + (xy 96.393 137.668) + (xy 96.647 137.668) + (xy 96.647 138.049) + (xy 97.028 138.049) + (xy 97.028 137.668) + (xy 98.552 137.668) + (xy 98.552 138.049) + (xy 98.933 138.049) + (xy 98.933 137.668) + (xy 99.187 137.668) + (xy 99.187 138.049) + (xy 99.568 138.049) + (xy 99.568 137.668) + (xy 101.092 137.668) + (xy 101.092 138.049) + (xy 101.473 138.049) + (xy 101.473 137.668) + (xy 101.727 137.668) + (xy 101.727 138.049) + (xy 102.108 138.049) + (xy 102.108 137.668) + (xy 103.632 137.668) + (xy 103.632 138.049) + (xy 104.013 138.049) + (xy 104.013 137.668) + (xy 104.267 137.668) + (xy 104.267 138.049) + (xy 104.648 138.049) + (xy 104.648 137.668) + (xy 106.172 137.668) + (xy 106.172 138.049) + (xy 106.553 138.049) + (xy 106.553 137.668) + (xy 106.807 137.668) + (xy 106.807 138.049) + (xy 107.188 138.049) + (xy 107.188 137.668) + (xy 108.712 137.668) + (xy 108.712 138.049) + (xy 109.093 138.049) + (xy 109.093 137.668) + (xy 109.347 137.668) + (xy 109.347 138.049) + (xy 109.728 138.049) + (xy 109.728 137.668) + (xy 111.252 137.668) + (xy 111.252 138.049) + (xy 111.633 138.049) + (xy 111.633 137.668) + (xy 111.887 137.668) + (xy 111.887 138.049) + (xy 112.268 138.049) + (xy 112.268 137.668) + (xy 113.792 137.668) + (xy 113.792 138.049) + (xy 114.173 138.049) + (xy 114.173 137.668) + (xy 114.427 137.668) + (xy 114.427 138.049) + (xy 114.808 138.049) + (xy 114.808 137.668) + (xy 116.332 137.668) + (xy 116.332 138.049) + (xy 116.713 138.049) + (xy 116.713 137.668) + (xy 116.967 137.668) + (xy 116.967 138.049) + (xy 117.348 138.049) + (xy 117.348 137.668) + (xy 118.872 137.668) + (xy 118.872 138.049) + (xy 119.253 138.049) + (xy 119.253 137.668) + (xy 119.507 137.668) + (xy 119.507 138.049) + (xy 119.888 138.049) + (xy 119.888 137.668) + (xy 121.412 137.668) + (xy 121.412 138.049) + (xy 121.793 138.049) + (xy 121.793 137.668) + (xy 122.047 137.668) + (xy 122.047 138.049) + (xy 122.428 138.049) + (xy 122.428 137.668) + (xy 123.952 137.668) + (xy 123.952 138.049) + (xy 124.333 138.049) + (xy 124.333 137.668) + (xy 124.587 137.668) + (xy 124.587 138.049) + (xy 124.968 138.049) + (xy 124.968 137.668) + (xy 126.492 137.668) + (xy 126.492 138.049) + (xy 126.873 138.049) + (xy 126.873 137.668) + (xy 127.127 137.668) + (xy 127.127 138.049) + (xy 127.508 138.049) + (xy 127.508 137.668) + (xy 129.032 137.668) + (xy 129.032 138.049) + (xy 129.413 138.049) + (xy 129.413 137.668) + (xy 129.667 137.668) + (xy 129.667 138.049) + (xy 130.048 138.049) + (xy 130.048 137.668) + (xy 131.572 137.668) + (xy 131.572 138.049) + (xy 131.953 138.049) + (xy 131.953 137.668) + (xy 132.207 137.668) + (xy 132.207 138.049) + (xy 132.588 138.049) + (xy 132.588 137.668) + (xy 134.112 137.668) + (xy 134.112 138.049) + (xy 134.493 138.049) + (xy 134.493 137.668) + (xy 134.747 137.668) + (xy 134.747 138.049) + (xy 135.128 138.049) + (xy 135.128 137.668) + (xy 134.747 137.668) + (xy 134.493 137.668) + (xy 134.112 137.668) + (xy 132.588 137.668) + (xy 132.207 137.668) + (xy 131.953 137.668) + (xy 131.572 137.668) + (xy 130.048 137.668) + (xy 129.667 137.668) + (xy 129.413 137.668) + (xy 129.032 137.668) + (xy 127.508 137.668) + (xy 127.127 137.668) + (xy 126.873 137.668) + (xy 126.492 137.668) + (xy 124.968 137.668) + (xy 124.587 137.668) + (xy 124.333 137.668) + (xy 123.952 137.668) + (xy 122.428 137.668) + (xy 122.047 137.668) + (xy 121.793 137.668) + (xy 121.412 137.668) + (xy 119.888 137.668) + (xy 119.507 137.668) + (xy 119.253 137.668) + (xy 118.872 137.668) + (xy 117.348 137.668) + (xy 116.967 137.668) + (xy 116.713 137.668) + (xy 116.332 137.668) + (xy 114.808 137.668) + (xy 114.427 137.668) + (xy 114.173 137.668) + (xy 113.792 137.668) + (xy 112.268 137.668) + (xy 111.887 137.668) + (xy 111.633 137.668) + (xy 111.252 137.668) + (xy 109.728 137.668) + (xy 109.347 137.668) + (xy 109.093 137.668) + (xy 108.712 137.668) + (xy 107.188 137.668) + (xy 106.807 137.668) + (xy 106.553 137.668) + (xy 106.172 137.668) + (xy 104.648 137.668) + (xy 104.267 137.668) + (xy 104.013 137.668) + (xy 103.632 137.668) + (xy 102.108 137.668) + (xy 101.727 137.668) + (xy 101.473 137.668) + (xy 101.092 137.668) + (xy 99.568 137.668) + (xy 99.187 137.668) + (xy 98.933 137.668) + (xy 98.552 137.668) + (xy 97.028 137.668) + (xy 96.647 137.668) + (xy 96.393 137.668) + (xy 96.012 137.668) + (xy 94.488 137.668) + (xy 94.107 137.668) + (xy 93.853 137.668) + (xy 93.472 137.668) + (xy 91.948 137.668) + (xy 91.567 137.668) + (xy 91.313 137.668) + (xy 90.932 137.668) + (xy 89.408 137.668) + (xy 89.027 137.668) + (xy 88.773 137.668) + (xy 88.392 137.668) + (xy 86.868 137.668) + (xy 86.487 137.668) + (xy 86.233 137.668) + (xy 85.852 137.668) + (xy 84.328 137.668) + (xy 83.947 137.668) + (xy 83.693 137.668) + (xy 83.312 137.668) + (xy 81.788 137.668) + (xy 81.407 137.668) + (xy 81.153 137.668) + (xy 80.772 137.668) + (xy 79.248 137.668) + (xy 78.867 137.668) + (xy 78.613 137.668) + (xy 78.232 137.668) + (xy 76.708 137.668) + (xy 76.327 137.668) + (xy 76.073 137.668) + (xy 75.692 137.668) + (xy 74.422 137.668) + (xy 74.422 137.033) + (xy 75.692 137.033) + (xy 75.692 137.414) + (xy 76.073 137.414) + (xy 76.073 137.033) + (xy 76.327 137.033) + (xy 76.327 137.414) + (xy 76.708 137.414) + (xy 76.708 137.033) + (xy 78.232 137.033) + (xy 78.232 137.414) + (xy 78.613 137.414) + (xy 78.613 137.033) + (xy 78.867 137.033) + (xy 78.867 137.414) + (xy 79.248 137.414) + (xy 79.248 137.033) + (xy 80.772 137.033) + (xy 80.772 137.414) + (xy 81.153 137.414) + (xy 81.153 137.033) + (xy 81.407 137.033) + (xy 81.407 137.414) + (xy 81.788 137.414) + (xy 81.788 137.033) + (xy 83.312 137.033) + (xy 83.312 137.414) + (xy 83.693 137.414) + (xy 83.693 137.033) + (xy 83.947 137.033) + (xy 83.947 137.414) + (xy 84.328 137.414) + (xy 84.328 137.033) + (xy 85.852 137.033) + (xy 85.852 137.414) + (xy 86.233 137.414) + (xy 86.233 137.033) + (xy 86.487 137.033) + (xy 86.487 137.414) + (xy 86.868 137.414) + (xy 86.868 137.033) + (xy 88.392 137.033) + (xy 88.392 137.414) + (xy 88.773 137.414) + (xy 88.773 137.033) + (xy 89.027 137.033) + (xy 89.027 137.414) + (xy 89.408 137.414) + (xy 89.408 137.033) + (xy 90.932 137.033) + (xy 90.932 137.414) + (xy 91.313 137.414) + (xy 91.313 137.033) + (xy 91.567 137.033) + (xy 91.567 137.414) + (xy 91.948 137.414) + (xy 91.948 137.033) + (xy 93.472 137.033) + (xy 93.472 137.414) + (xy 93.853 137.414) + (xy 93.853 137.033) + (xy 94.107 137.033) + (xy 94.107 137.414) + (xy 94.488 137.414) + (xy 94.488 137.033) + (xy 96.012 137.033) + (xy 96.012 137.414) + (xy 96.393 137.414) + (xy 96.393 137.033) + (xy 96.647 137.033) + (xy 96.647 137.414) + (xy 97.028 137.414) + (xy 97.028 137.033) + (xy 98.552 137.033) + (xy 98.552 137.414) + (xy 98.933 137.414) + (xy 98.933 137.033) + (xy 99.187 137.033) + (xy 99.187 137.414) + (xy 99.568 137.414) + (xy 99.568 137.033) + (xy 101.092 137.033) + (xy 101.092 137.414) + (xy 101.473 137.414) + (xy 101.473 137.033) + (xy 101.727 137.033) + (xy 101.727 137.414) + (xy 102.108 137.414) + (xy 102.108 137.033) + (xy 103.632 137.033) + (xy 103.632 137.414) + (xy 104.013 137.414) + (xy 104.013 137.033) + (xy 104.267 137.033) + (xy 104.267 137.414) + (xy 104.648 137.414) + (xy 104.648 137.033) + (xy 106.172 137.033) + (xy 106.172 137.414) + (xy 106.553 137.414) + (xy 106.553 137.033) + (xy 106.807 137.033) + (xy 106.807 137.414) + (xy 107.188 137.414) + (xy 107.188 137.033) + (xy 108.712 137.033) + (xy 108.712 137.414) + (xy 109.093 137.414) + (xy 109.093 137.033) + (xy 109.347 137.033) + (xy 109.347 137.414) + (xy 109.728 137.414) + (xy 109.728 137.033) + (xy 111.252 137.033) + (xy 111.252 137.414) + (xy 111.633 137.414) + (xy 111.633 137.033) + (xy 111.887 137.033) + (xy 111.887 137.414) + (xy 112.268 137.414) + (xy 112.268 137.033) + (xy 113.792 137.033) + (xy 113.792 137.414) + (xy 114.173 137.414) + (xy 114.173 137.033) + (xy 114.427 137.033) + (xy 114.427 137.414) + (xy 114.808 137.414) + (xy 114.808 137.033) + (xy 116.332 137.033) + (xy 116.332 137.414) + (xy 116.713 137.414) + (xy 116.713 137.033) + (xy 116.967 137.033) + (xy 116.967 137.414) + (xy 117.348 137.414) + (xy 117.348 137.033) + (xy 118.872 137.033) + (xy 118.872 137.414) + (xy 119.253 137.414) + (xy 119.253 137.033) + (xy 119.507 137.033) + (xy 119.507 137.414) + (xy 119.888 137.414) + (xy 119.888 137.033) + (xy 121.412 137.033) + (xy 121.412 137.414) + (xy 121.793 137.414) + (xy 121.793 137.033) + (xy 122.047 137.033) + (xy 122.047 137.414) + (xy 122.428 137.414) + (xy 122.428 137.033) + (xy 123.952 137.033) + (xy 123.952 137.414) + (xy 124.333 137.414) + (xy 124.333 137.033) + (xy 124.587 137.033) + (xy 124.587 137.414) + (xy 124.968 137.414) + (xy 124.968 137.033) + (xy 126.492 137.033) + (xy 126.492 137.414) + (xy 126.873 137.414) + (xy 126.873 137.033) + (xy 127.127 137.033) + (xy 127.127 137.414) + (xy 127.508 137.414) + (xy 127.508 137.033) + (xy 129.032 137.033) + (xy 129.032 137.414) + (xy 129.413 137.414) + (xy 129.413 137.033) + (xy 129.667 137.033) + (xy 129.667 137.414) + (xy 130.048 137.414) + (xy 130.048 137.033) + (xy 131.572 137.033) + (xy 131.572 137.414) + (xy 131.953 137.414) + (xy 131.953 137.033) + (xy 132.207 137.033) + (xy 132.207 137.414) + (xy 132.588 137.414) + (xy 132.588 137.033) + (xy 134.112 137.033) + (xy 134.112 137.414) + (xy 134.493 137.414) + (xy 134.493 137.033) + (xy 134.747 137.033) + (xy 134.747 137.414) + (xy 135.128 137.414) + (xy 135.128 137.033) + (xy 134.747 137.033) + (xy 134.493 137.033) + (xy 134.112 137.033) + (xy 132.588 137.033) + (xy 132.207 137.033) + (xy 131.953 137.033) + (xy 131.572 137.033) + (xy 130.048 137.033) + (xy 129.667 137.033) + (xy 129.413 137.033) + (xy 129.032 137.033) + (xy 127.508 137.033) + (xy 127.127 137.033) + (xy 126.873 137.033) + (xy 126.492 137.033) + (xy 124.968 137.033) + (xy 124.587 137.033) + (xy 124.333 137.033) + (xy 123.952 137.033) + (xy 122.428 137.033) + (xy 122.047 137.033) + (xy 121.793 137.033) + (xy 121.412 137.033) + (xy 119.888 137.033) + (xy 119.507 137.033) + (xy 119.253 137.033) + (xy 118.872 137.033) + (xy 117.348 137.033) + (xy 116.967 137.033) + (xy 116.713 137.033) + (xy 116.332 137.033) + (xy 114.808 137.033) + (xy 114.427 137.033) + (xy 114.173 137.033) + (xy 113.792 137.033) + (xy 112.268 137.033) + (xy 111.887 137.033) + (xy 111.633 137.033) + (xy 111.252 137.033) + (xy 109.728 137.033) + (xy 109.347 137.033) + (xy 109.093 137.033) + (xy 108.712 137.033) + (xy 107.188 137.033) + (xy 106.807 137.033) + (xy 106.553 137.033) + (xy 106.172 137.033) + (xy 104.648 137.033) + (xy 104.267 137.033) + (xy 104.013 137.033) + (xy 103.632 137.033) + (xy 102.108 137.033) + (xy 101.727 137.033) + (xy 101.473 137.033) + (xy 101.092 137.033) + (xy 99.568 137.033) + (xy 99.187 137.033) + (xy 98.933 137.033) + (xy 98.552 137.033) + (xy 97.028 137.033) + (xy 96.647 137.033) + (xy 96.393 137.033) + (xy 96.012 137.033) + (xy 94.488 137.033) + (xy 94.107 137.033) + (xy 93.853 137.033) + (xy 93.472 137.033) + (xy 91.948 137.033) + (xy 91.567 137.033) + (xy 91.313 137.033) + (xy 90.932 137.033) + (xy 89.408 137.033) + (xy 89.027 137.033) + (xy 88.773 137.033) + (xy 88.392 137.033) + (xy 86.868 137.033) + (xy 86.487 137.033) + (xy 86.233 137.033) + (xy 85.852 137.033) + (xy 84.328 137.033) + (xy 83.947 137.033) + (xy 83.693 137.033) + (xy 83.312 137.033) + (xy 81.788 137.033) + (xy 81.407 137.033) + (xy 81.153 137.033) + (xy 80.772 137.033) + (xy 79.248 137.033) + (xy 78.867 137.033) + (xy 78.613 137.033) + (xy 78.232 137.033) + (xy 76.708 137.033) + (xy 76.327 137.033) + (xy 76.073 137.033) + (xy 75.692 137.033) + (xy 74.422 137.033) + (xy 74.422 136.398) + (xy 75.692 136.398) + (xy 75.692 136.779) + (xy 76.073 136.779) + (xy 76.073 136.398) + (xy 76.327 136.398) + (xy 76.327 136.779) + (xy 76.708 136.779) + (xy 76.708 136.398) + (xy 78.232 136.398) + (xy 78.232 136.779) + (xy 78.613 136.779) + (xy 78.613 136.398) + (xy 78.867 136.398) + (xy 78.867 136.779) + (xy 79.248 136.779) + (xy 79.248 136.398) + (xy 80.772 136.398) + (xy 80.772 136.779) + (xy 81.153 136.779) + (xy 81.153 136.398) + (xy 81.407 136.398) + (xy 81.407 136.779) + (xy 81.788 136.779) + (xy 81.788 136.398) + (xy 83.312 136.398) + (xy 83.312 136.779) + (xy 83.693 136.779) + (xy 83.693 136.398) + (xy 83.947 136.398) + (xy 83.947 136.779) + (xy 84.328 136.779) + (xy 84.328 136.398) + (xy 85.852 136.398) + (xy 85.852 136.779) + (xy 86.233 136.779) + (xy 86.233 136.398) + (xy 86.487 136.398) + (xy 86.487 136.779) + (xy 86.868 136.779) + (xy 86.868 136.398) + (xy 88.392 136.398) + (xy 88.392 136.779) + (xy 88.773 136.779) + (xy 88.773 136.398) + (xy 89.027 136.398) + (xy 89.027 136.779) + (xy 89.408 136.779) + (xy 89.408 136.398) + (xy 90.932 136.398) + (xy 90.932 136.779) + (xy 91.313 136.779) + (xy 91.313 136.398) + (xy 91.567 136.398) + (xy 91.567 136.779) + (xy 91.948 136.779) + (xy 91.948 136.398) + (xy 93.472 136.398) + (xy 93.472 136.779) + (xy 93.853 136.779) + (xy 93.853 136.398) + (xy 94.107 136.398) + (xy 94.107 136.779) + (xy 94.488 136.779) + (xy 94.488 136.398) + (xy 96.012 136.398) + (xy 96.012 136.779) + (xy 96.393 136.779) + (xy 96.393 136.398) + (xy 96.647 136.398) + (xy 96.647 136.779) + (xy 97.028 136.779) + (xy 97.028 136.398) + (xy 98.552 136.398) + (xy 98.552 136.779) + (xy 98.933 136.779) + (xy 98.933 136.398) + (xy 99.187 136.398) + (xy 99.187 136.779) + (xy 99.568 136.779) + (xy 99.568 136.398) + (xy 101.092 136.398) + (xy 101.092 136.779) + (xy 101.473 136.779) + (xy 101.473 136.398) + (xy 101.727 136.398) + (xy 101.727 136.779) + (xy 102.108 136.779) + (xy 102.108 136.398) + (xy 103.632 136.398) + (xy 103.632 136.779) + (xy 104.013 136.779) + (xy 104.013 136.398) + (xy 104.267 136.398) + (xy 104.267 136.779) + (xy 104.648 136.779) + (xy 104.648 136.398) + (xy 106.172 136.398) + (xy 106.172 136.779) + (xy 106.553 136.779) + (xy 106.553 136.398) + (xy 106.807 136.398) + (xy 106.807 136.779) + (xy 107.188 136.779) + (xy 107.188 136.398) + (xy 108.712 136.398) + (xy 108.712 136.779) + (xy 109.093 136.779) + (xy 109.093 136.398) + (xy 109.347 136.398) + (xy 109.347 136.779) + (xy 109.728 136.779) + (xy 109.728 136.398) + (xy 111.252 136.398) + (xy 111.252 136.779) + (xy 111.633 136.779) + (xy 111.633 136.398) + (xy 111.887 136.398) + (xy 111.887 136.779) + (xy 112.268 136.779) + (xy 112.268 136.398) + (xy 113.792 136.398) + (xy 113.792 136.779) + (xy 114.173 136.779) + (xy 114.173 136.398) + (xy 114.427 136.398) + (xy 114.427 136.779) + (xy 114.808 136.779) + (xy 114.808 136.398) + (xy 116.332 136.398) + (xy 116.332 136.779) + (xy 116.713 136.779) + (xy 116.713 136.398) + (xy 116.967 136.398) + (xy 116.967 136.779) + (xy 117.348 136.779) + (xy 117.348 136.398) + (xy 118.872 136.398) + (xy 118.872 136.779) + (xy 119.253 136.779) + (xy 119.253 136.398) + (xy 119.507 136.398) + (xy 119.507 136.779) + (xy 119.888 136.779) + (xy 119.888 136.398) + (xy 121.412 136.398) + (xy 121.412 136.779) + (xy 121.793 136.779) + (xy 121.793 136.398) + (xy 122.047 136.398) + (xy 122.047 136.779) + (xy 122.428 136.779) + (xy 122.428 136.398) + (xy 123.952 136.398) + (xy 123.952 136.779) + (xy 124.333 136.779) + (xy 124.333 136.398) + (xy 124.587 136.398) + (xy 124.587 136.779) + (xy 124.968 136.779) + (xy 124.968 136.398) + (xy 126.492 136.398) + (xy 126.492 136.779) + (xy 126.873 136.779) + (xy 126.873 136.398) + (xy 127.127 136.398) + (xy 127.127 136.779) + (xy 127.508 136.779) + (xy 127.508 136.398) + (xy 129.032 136.398) + (xy 129.032 136.779) + (xy 129.413 136.779) + (xy 129.413 136.398) + (xy 129.667 136.398) + (xy 129.667 136.779) + (xy 130.048 136.779) + (xy 130.048 136.398) + (xy 131.572 136.398) + (xy 131.572 136.779) + (xy 131.953 136.779) + (xy 131.953 136.398) + (xy 132.207 136.398) + (xy 132.207 136.779) + (xy 132.588 136.779) + (xy 132.588 136.398) + (xy 134.112 136.398) + (xy 134.112 136.779) + (xy 134.493 136.779) + (xy 134.493 136.398) + (xy 134.747 136.398) + (xy 134.747 136.779) + (xy 135.128 136.779) + (xy 135.128 136.398) + (xy 134.747 136.398) + (xy 134.493 136.398) + (xy 134.112 136.398) + (xy 132.588 136.398) + (xy 132.207 136.398) + (xy 131.953 136.398) + (xy 131.572 136.398) + (xy 130.048 136.398) + (xy 129.667 136.398) + (xy 129.413 136.398) + (xy 129.032 136.398) + (xy 127.508 136.398) + (xy 127.127 136.398) + (xy 126.873 136.398) + (xy 126.492 136.398) + (xy 124.968 136.398) + (xy 124.587 136.398) + (xy 124.333 136.398) + (xy 123.952 136.398) + (xy 122.428 136.398) + (xy 122.047 136.398) + (xy 121.793 136.398) + (xy 121.412 136.398) + (xy 119.888 136.398) + (xy 119.507 136.398) + (xy 119.253 136.398) + (xy 118.872 136.398) + (xy 117.348 136.398) + (xy 116.967 136.398) + (xy 116.713 136.398) + (xy 116.332 136.398) + (xy 114.808 136.398) + (xy 114.427 136.398) + (xy 114.173 136.398) + (xy 113.792 136.398) + (xy 112.268 136.398) + (xy 111.887 136.398) + (xy 111.633 136.398) + (xy 111.252 136.398) + (xy 109.728 136.398) + (xy 109.347 136.398) + (xy 109.093 136.398) + (xy 108.712 136.398) + (xy 107.188 136.398) + (xy 106.807 136.398) + (xy 106.553 136.398) + (xy 106.172 136.398) + (xy 104.648 136.398) + (xy 104.267 136.398) + (xy 104.013 136.398) + (xy 103.632 136.398) + (xy 102.108 136.398) + (xy 101.727 136.398) + (xy 101.473 136.398) + (xy 101.092 136.398) + (xy 99.568 136.398) + (xy 99.187 136.398) + (xy 98.933 136.398) + (xy 98.552 136.398) + (xy 97.028 136.398) + (xy 96.647 136.398) + (xy 96.393 136.398) + (xy 96.012 136.398) + (xy 94.488 136.398) + (xy 94.107 136.398) + (xy 93.853 136.398) + (xy 93.472 136.398) + (xy 91.948 136.398) + (xy 91.567 136.398) + (xy 91.313 136.398) + (xy 90.932 136.398) + (xy 89.408 136.398) + (xy 89.027 136.398) + (xy 88.773 136.398) + (xy 88.392 136.398) + (xy 86.868 136.398) + (xy 86.487 136.398) + (xy 86.233 136.398) + (xy 85.852 136.398) + (xy 84.328 136.398) + (xy 83.947 136.398) + (xy 83.693 136.398) + (xy 83.312 136.398) + (xy 81.788 136.398) + (xy 81.407 136.398) + (xy 81.153 136.398) + (xy 80.772 136.398) + (xy 79.248 136.398) + (xy 78.867 136.398) + (xy 78.613 136.398) + (xy 78.232 136.398) + (xy 76.708 136.398) + (xy 76.327 136.398) + (xy 76.073 136.398) + (xy 75.692 136.398) + (xy 74.422 136.398) + (xy 74.422 135.763) + (xy 75.692 135.763) + (xy 75.692 136.144) + (xy 76.073 136.144) + (xy 76.073 135.763) + (xy 76.327 135.763) + (xy 76.327 136.144) + (xy 76.708 136.144) + (xy 76.708 135.763) + (xy 78.232 135.763) + (xy 78.232 136.144) + (xy 78.613 136.144) + (xy 78.613 135.763) + (xy 78.867 135.763) + (xy 78.867 136.144) + (xy 79.248 136.144) + (xy 79.248 135.763) + (xy 80.772 135.763) + (xy 80.772 136.144) + (xy 81.153 136.144) + (xy 81.153 135.763) + (xy 81.407 135.763) + (xy 81.407 136.144) + (xy 81.788 136.144) + (xy 81.788 135.763) + (xy 83.312 135.763) + (xy 83.312 136.144) + (xy 83.693 136.144) + (xy 83.693 135.763) + (xy 83.947 135.763) + (xy 83.947 136.144) + (xy 84.328 136.144) + (xy 84.328 135.763) + (xy 85.852 135.763) + (xy 85.852 136.144) + (xy 86.233 136.144) + (xy 86.233 135.763) + (xy 86.487 135.763) + (xy 86.487 136.144) + (xy 86.868 136.144) + (xy 86.868 135.763) + (xy 88.392 135.763) + (xy 88.392 136.144) + (xy 88.773 136.144) + (xy 88.773 135.763) + (xy 89.027 135.763) + (xy 89.027 136.144) + (xy 89.408 136.144) + (xy 89.408 135.763) + (xy 90.932 135.763) + (xy 90.932 136.144) + (xy 91.313 136.144) + (xy 91.313 135.763) + (xy 91.567 135.763) + (xy 91.567 136.144) + (xy 91.948 136.144) + (xy 91.948 135.763) + (xy 93.472 135.763) + (xy 93.472 136.144) + (xy 93.853 136.144) + (xy 93.853 135.763) + (xy 94.107 135.763) + (xy 94.107 136.144) + (xy 94.488 136.144) + (xy 94.488 135.763) + (xy 96.012 135.763) + (xy 96.012 136.144) + (xy 96.393 136.144) + (xy 96.393 135.763) + (xy 96.647 135.763) + (xy 96.647 136.144) + (xy 97.028 136.144) + (xy 97.028 135.763) + (xy 98.552 135.763) + (xy 98.552 136.144) + (xy 98.933 136.144) + (xy 98.933 135.763) + (xy 99.187 135.763) + (xy 99.187 136.144) + (xy 99.568 136.144) + (xy 99.568 135.763) + (xy 101.092 135.763) + (xy 101.092 136.144) + (xy 101.473 136.144) + (xy 101.473 135.763) + (xy 101.727 135.763) + (xy 101.727 136.144) + (xy 102.108 136.144) + (xy 102.108 135.763) + (xy 103.632 135.763) + (xy 103.632 136.144) + (xy 104.013 136.144) + (xy 104.013 135.763) + (xy 104.267 135.763) + (xy 104.267 136.144) + (xy 104.648 136.144) + (xy 104.648 135.763) + (xy 106.172 135.763) + (xy 106.172 136.144) + (xy 106.553 136.144) + (xy 106.553 135.763) + (xy 106.807 135.763) + (xy 106.807 136.144) + (xy 107.188 136.144) + (xy 107.188 135.763) + (xy 108.712 135.763) + (xy 108.712 136.144) + (xy 109.093 136.144) + (xy 109.093 135.763) + (xy 109.347 135.763) + (xy 109.347 136.144) + (xy 109.728 136.144) + (xy 109.728 135.763) + (xy 111.252 135.763) + (xy 111.252 136.144) + (xy 111.633 136.144) + (xy 111.633 135.763) + (xy 111.887 135.763) + (xy 111.887 136.144) + (xy 112.268 136.144) + (xy 112.268 135.763) + (xy 113.792 135.763) + (xy 113.792 136.144) + (xy 114.173 136.144) + (xy 114.173 135.763) + (xy 114.427 135.763) + (xy 114.427 136.144) + (xy 114.808 136.144) + (xy 114.808 135.763) + (xy 116.332 135.763) + (xy 116.332 136.144) + (xy 116.713 136.144) + (xy 116.713 135.763) + (xy 116.967 135.763) + (xy 116.967 136.144) + (xy 117.348 136.144) + (xy 117.348 135.763) + (xy 118.872 135.763) + (xy 118.872 136.144) + (xy 119.253 136.144) + (xy 119.253 135.763) + (xy 119.507 135.763) + (xy 119.507 136.144) + (xy 119.888 136.144) + (xy 119.888 135.763) + (xy 121.412 135.763) + (xy 121.412 136.144) + (xy 121.793 136.144) + (xy 121.793 135.763) + (xy 122.047 135.763) + (xy 122.047 136.144) + (xy 122.428 136.144) + (xy 122.428 135.763) + (xy 123.952 135.763) + (xy 123.952 136.144) + (xy 124.333 136.144) + (xy 124.333 135.763) + (xy 124.587 135.763) + (xy 124.587 136.144) + (xy 124.968 136.144) + (xy 124.968 135.763) + (xy 126.492 135.763) + (xy 126.492 136.144) + (xy 126.873 136.144) + (xy 126.873 135.763) + (xy 127.127 135.763) + (xy 127.127 136.144) + (xy 127.508 136.144) + (xy 127.508 135.763) + (xy 129.032 135.763) + (xy 129.032 136.144) + (xy 129.413 136.144) + (xy 129.413 135.763) + (xy 129.667 135.763) + (xy 129.667 136.144) + (xy 130.048 136.144) + (xy 130.048 135.763) + (xy 131.572 135.763) + (xy 131.572 136.144) + (xy 131.953 136.144) + (xy 131.953 135.763) + (xy 132.207 135.763) + (xy 132.207 136.144) + (xy 132.588 136.144) + (xy 132.588 135.763) + (xy 134.112 135.763) + (xy 134.112 136.144) + (xy 134.493 136.144) + (xy 134.493 135.763) + (xy 134.747 135.763) + (xy 134.747 136.144) + (xy 135.128 136.144) + (xy 135.128 135.763) + (xy 134.747 135.763) + (xy 134.493 135.763) + (xy 134.112 135.763) + (xy 132.588 135.763) + (xy 132.207 135.763) + (xy 131.953 135.763) + (xy 131.572 135.763) + (xy 130.048 135.763) + (xy 129.667 135.763) + (xy 129.413 135.763) + (xy 129.032 135.763) + (xy 127.508 135.763) + (xy 127.127 135.763) + (xy 126.873 135.763) + (xy 126.492 135.763) + (xy 124.968 135.763) + (xy 124.587 135.763) + (xy 124.333 135.763) + (xy 123.952 135.763) + (xy 122.428 135.763) + (xy 122.047 135.763) + (xy 121.793 135.763) + (xy 121.412 135.763) + (xy 119.888 135.763) + (xy 119.507 135.763) + (xy 119.253 135.763) + (xy 118.872 135.763) + (xy 117.348 135.763) + (xy 116.967 135.763) + (xy 116.713 135.763) + (xy 116.332 135.763) + (xy 114.808 135.763) + (xy 114.427 135.763) + (xy 114.173 135.763) + (xy 113.792 135.763) + (xy 112.268 135.763) + (xy 111.887 135.763) + (xy 111.633 135.763) + (xy 111.252 135.763) + (xy 109.728 135.763) + (xy 109.347 135.763) + (xy 109.093 135.763) + (xy 108.712 135.763) + (xy 107.188 135.763) + (xy 106.807 135.763) + (xy 106.553 135.763) + (xy 106.172 135.763) + (xy 104.648 135.763) + (xy 104.267 135.763) + (xy 104.013 135.763) + (xy 103.632 135.763) + (xy 102.108 135.763) + (xy 101.727 135.763) + (xy 101.473 135.763) + (xy 101.092 135.763) + (xy 99.568 135.763) + (xy 99.187 135.763) + (xy 98.933 135.763) + (xy 98.552 135.763) + (xy 97.028 135.763) + (xy 96.647 135.763) + (xy 96.393 135.763) + (xy 96.012 135.763) + (xy 94.488 135.763) + (xy 94.107 135.763) + (xy 93.853 135.763) + (xy 93.472 135.763) + (xy 91.948 135.763) + (xy 91.567 135.763) + (xy 91.313 135.763) + (xy 90.932 135.763) + (xy 89.408 135.763) + (xy 89.027 135.763) + (xy 88.773 135.763) + (xy 88.392 135.763) + (xy 86.868 135.763) + (xy 86.487 135.763) + (xy 86.233 135.763) + (xy 85.852 135.763) + (xy 84.328 135.763) + (xy 83.947 135.763) + (xy 83.693 135.763) + (xy 83.312 135.763) + (xy 81.788 135.763) + (xy 81.407 135.763) + (xy 81.153 135.763) + (xy 80.772 135.763) + (xy 79.248 135.763) + (xy 78.867 135.763) + (xy 78.613 135.763) + (xy 78.232 135.763) + (xy 76.708 135.763) + (xy 76.327 135.763) + (xy 76.073 135.763) + (xy 75.692 135.763) + (xy 74.422 135.763) + (xy 74.422 135.128) + (xy 75.692 135.128) + (xy 75.692 135.509) + (xy 76.073 135.509) + (xy 76.073 135.128) + (xy 76.327 135.128) + (xy 76.327 135.509) + (xy 76.708 135.509) + (xy 76.708 135.128) + (xy 78.232 135.128) + (xy 78.232 135.509) + (xy 78.613 135.509) + (xy 78.613 135.128) + (xy 78.867 135.128) + (xy 78.867 135.509) + (xy 79.248 135.509) + (xy 79.248 135.128) + (xy 80.772 135.128) + (xy 80.772 135.509) + (xy 81.153 135.509) + (xy 81.153 135.128) + (xy 81.407 135.128) + (xy 81.407 135.509) + (xy 81.788 135.509) + (xy 81.788 135.128) + (xy 83.312 135.128) + (xy 83.312 135.509) + (xy 83.693 135.509) + (xy 83.693 135.128) + (xy 83.947 135.128) + (xy 83.947 135.509) + (xy 84.328 135.509) + (xy 84.328 135.128) + (xy 85.852 135.128) + (xy 85.852 135.509) + (xy 86.233 135.509) + (xy 86.233 135.128) + (xy 86.487 135.128) + (xy 86.487 135.509) + (xy 86.868 135.509) + (xy 86.868 135.128) + (xy 88.392 135.128) + (xy 88.392 135.509) + (xy 88.773 135.509) + (xy 88.773 135.128) + (xy 89.027 135.128) + (xy 89.027 135.509) + (xy 89.408 135.509) + (xy 89.408 135.128) + (xy 90.932 135.128) + (xy 90.932 135.509) + (xy 91.313 135.509) + (xy 91.313 135.128) + (xy 91.567 135.128) + (xy 91.567 135.509) + (xy 91.948 135.509) + (xy 91.948 135.128) + (xy 93.472 135.128) + (xy 93.472 135.509) + (xy 93.853 135.509) + (xy 93.853 135.128) + (xy 94.107 135.128) + (xy 94.107 135.509) + (xy 94.488 135.509) + (xy 94.488 135.128) + (xy 96.012 135.128) + (xy 96.012 135.509) + (xy 96.393 135.509) + (xy 96.393 135.128) + (xy 96.647 135.128) + (xy 96.647 135.509) + (xy 97.028 135.509) + (xy 97.028 135.128) + (xy 98.552 135.128) + (xy 98.552 135.509) + (xy 98.933 135.509) + (xy 98.933 135.128) + (xy 99.187 135.128) + (xy 99.187 135.509) + (xy 99.568 135.509) + (xy 99.568 135.128) + (xy 101.092 135.128) + (xy 101.092 135.509) + (xy 101.473 135.509) + (xy 101.473 135.128) + (xy 101.727 135.128) + (xy 101.727 135.509) + (xy 102.108 135.509) + (xy 102.108 135.128) + (xy 103.632 135.128) + (xy 103.632 135.509) + (xy 104.013 135.509) + (xy 104.013 135.128) + (xy 104.267 135.128) + (xy 104.267 135.509) + (xy 104.648 135.509) + (xy 104.648 135.128) + (xy 106.172 135.128) + (xy 106.172 135.509) + (xy 106.553 135.509) + (xy 106.553 135.128) + (xy 106.807 135.128) + (xy 106.807 135.509) + (xy 107.188 135.509) + (xy 107.188 135.128) + (xy 108.712 135.128) + (xy 108.712 135.509) + (xy 109.093 135.509) + (xy 109.093 135.128) + (xy 109.347 135.128) + (xy 109.347 135.509) + (xy 109.728 135.509) + (xy 109.728 135.128) + (xy 111.252 135.128) + (xy 111.252 135.509) + (xy 111.633 135.509) + (xy 111.633 135.128) + (xy 111.887 135.128) + (xy 111.887 135.509) + (xy 112.268 135.509) + (xy 112.268 135.128) + (xy 113.792 135.128) + (xy 113.792 135.509) + (xy 114.173 135.509) + (xy 114.173 135.128) + (xy 114.427 135.128) + (xy 114.427 135.509) + (xy 114.808 135.509) + (xy 114.808 135.128) + (xy 116.332 135.128) + (xy 116.332 135.509) + (xy 116.713 135.509) + (xy 116.713 135.128) + (xy 116.967 135.128) + (xy 116.967 135.509) + (xy 117.348 135.509) + (xy 117.348 135.128) + (xy 118.872 135.128) + (xy 118.872 135.509) + (xy 119.253 135.509) + (xy 119.253 135.128) + (xy 119.507 135.128) + (xy 119.507 135.509) + (xy 119.888 135.509) + (xy 119.888 135.128) + (xy 121.412 135.128) + (xy 121.412 135.509) + (xy 121.793 135.509) + (xy 121.793 135.128) + (xy 122.047 135.128) + (xy 122.047 135.509) + (xy 122.428 135.509) + (xy 122.428 135.128) + (xy 123.952 135.128) + (xy 123.952 135.509) + (xy 124.333 135.509) + (xy 124.333 135.128) + (xy 124.587 135.128) + (xy 124.587 135.509) + (xy 124.968 135.509) + (xy 124.968 135.128) + (xy 126.492 135.128) + (xy 126.492 135.509) + (xy 126.873 135.509) + (xy 126.873 135.128) + (xy 127.127 135.128) + (xy 127.127 135.509) + (xy 127.508 135.509) + (xy 127.508 135.128) + (xy 129.032 135.128) + (xy 129.032 135.509) + (xy 129.413 135.509) + (xy 129.413 135.128) + (xy 129.667 135.128) + (xy 129.667 135.509) + (xy 130.048 135.509) + (xy 130.048 135.128) + (xy 131.572 135.128) + (xy 131.572 135.509) + (xy 131.953 135.509) + (xy 131.953 135.128) + (xy 132.207 135.128) + (xy 132.207 135.509) + (xy 132.588 135.509) + (xy 132.588 135.128) + (xy 134.112 135.128) + (xy 134.112 135.509) + (xy 134.493 135.509) + (xy 134.493 135.128) + (xy 134.747 135.128) + (xy 134.747 135.509) + (xy 135.128 135.509) + (xy 135.128 135.128) + (xy 134.747 135.128) + (xy 134.493 135.128) + (xy 134.112 135.128) + (xy 132.588 135.128) + (xy 132.207 135.128) + (xy 131.953 135.128) + (xy 131.572 135.128) + (xy 130.048 135.128) + (xy 129.667 135.128) + (xy 129.413 135.128) + (xy 129.032 135.128) + (xy 127.508 135.128) + (xy 127.127 135.128) + (xy 126.873 135.128) + (xy 126.492 135.128) + (xy 124.968 135.128) + (xy 124.587 135.128) + (xy 124.333 135.128) + (xy 123.952 135.128) + (xy 122.428 135.128) + (xy 122.047 135.128) + (xy 121.793 135.128) + (xy 121.412 135.128) + (xy 119.888 135.128) + (xy 119.507 135.128) + (xy 119.253 135.128) + (xy 118.872 135.128) + (xy 117.348 135.128) + (xy 116.967 135.128) + (xy 116.713 135.128) + (xy 116.332 135.128) + (xy 114.808 135.128) + (xy 114.427 135.128) + (xy 114.173 135.128) + (xy 113.792 135.128) + (xy 112.268 135.128) + (xy 111.887 135.128) + (xy 111.633 135.128) + (xy 111.252 135.128) + (xy 109.728 135.128) + (xy 109.347 135.128) + (xy 109.093 135.128) + (xy 108.712 135.128) + (xy 107.188 135.128) + (xy 106.807 135.128) + (xy 106.553 135.128) + (xy 106.172 135.128) + (xy 104.648 135.128) + (xy 104.267 135.128) + (xy 104.013 135.128) + (xy 103.632 135.128) + (xy 102.108 135.128) + (xy 101.727 135.128) + (xy 101.473 135.128) + (xy 101.092 135.128) + (xy 99.568 135.128) + (xy 99.187 135.128) + (xy 98.933 135.128) + (xy 98.552 135.128) + (xy 97.028 135.128) + (xy 96.647 135.128) + (xy 96.393 135.128) + (xy 96.012 135.128) + (xy 94.488 135.128) + (xy 94.107 135.128) + (xy 93.853 135.128) + (xy 93.472 135.128) + (xy 91.948 135.128) + (xy 91.567 135.128) + (xy 91.313 135.128) + (xy 90.932 135.128) + (xy 89.408 135.128) + (xy 89.027 135.128) + (xy 88.773 135.128) + (xy 88.392 135.128) + (xy 86.868 135.128) + (xy 86.487 135.128) + (xy 86.233 135.128) + (xy 85.852 135.128) + (xy 84.328 135.128) + (xy 83.947 135.128) + (xy 83.693 135.128) + (xy 83.312 135.128) + (xy 81.788 135.128) + (xy 81.407 135.128) + (xy 81.153 135.128) + (xy 80.772 135.128) + (xy 79.248 135.128) + (xy 78.867 135.128) + (xy 78.613 135.128) + (xy 78.232 135.128) + (xy 76.708 135.128) + (xy 76.327 135.128) + (xy 76.073 135.128) + (xy 75.692 135.128) + (xy 74.422 135.128) + (xy 74.422 134.493) + (xy 75.692 134.493) + (xy 75.692 134.874) + (xy 76.073 134.874) + (xy 76.073 134.493) + (xy 76.327 134.493) + (xy 76.327 134.874) + (xy 76.708 134.874) + (xy 76.708 134.493) + (xy 78.232 134.493) + (xy 78.232 134.874) + (xy 78.613 134.874) + (xy 78.613 134.493) + (xy 78.867 134.493) + (xy 78.867 134.874) + (xy 79.248 134.874) + (xy 79.248 134.493) + (xy 80.772 134.493) + (xy 80.772 134.874) + (xy 81.153 134.874) + (xy 81.153 134.493) + (xy 81.407 134.493) + (xy 81.407 134.874) + (xy 81.788 134.874) + (xy 81.788 134.493) + (xy 83.312 134.493) + (xy 83.312 134.874) + (xy 83.693 134.874) + (xy 83.693 134.493) + (xy 83.947 134.493) + (xy 83.947 134.874) + (xy 84.328 134.874) + (xy 84.328 134.493) + (xy 85.852 134.493) + (xy 85.852 134.874) + (xy 86.233 134.874) + (xy 86.233 134.493) + (xy 86.487 134.493) + (xy 86.487 134.874) + (xy 86.868 134.874) + (xy 86.868 134.493) + (xy 88.392 134.493) + (xy 88.392 134.874) + (xy 88.773 134.874) + (xy 88.773 134.493) + (xy 89.027 134.493) + (xy 89.027 134.874) + (xy 89.408 134.874) + (xy 89.408 134.493) + (xy 90.932 134.493) + (xy 90.932 134.874) + (xy 91.313 134.874) + (xy 91.313 134.493) + (xy 91.567 134.493) + (xy 91.567 134.874) + (xy 91.948 134.874) + (xy 91.948 134.493) + (xy 93.472 134.493) + (xy 93.472 134.874) + (xy 93.853 134.874) + (xy 93.853 134.493) + (xy 94.107 134.493) + (xy 94.107 134.874) + (xy 94.488 134.874) + (xy 94.488 134.493) + (xy 96.012 134.493) + (xy 96.012 134.874) + (xy 96.393 134.874) + (xy 96.393 134.493) + (xy 96.647 134.493) + (xy 96.647 134.874) + (xy 97.028 134.874) + (xy 97.028 134.493) + (xy 98.552 134.493) + (xy 98.552 134.874) + (xy 98.933 134.874) + (xy 98.933 134.493) + (xy 99.187 134.493) + (xy 99.187 134.874) + (xy 99.568 134.874) + (xy 99.568 134.493) + (xy 101.092 134.493) + (xy 101.092 134.874) + (xy 101.473 134.874) + (xy 101.473 134.493) + (xy 101.727 134.493) + (xy 101.727 134.874) + (xy 102.108 134.874) + (xy 102.108 134.493) + (xy 103.632 134.493) + (xy 103.632 134.874) + (xy 104.013 134.874) + (xy 104.013 134.493) + (xy 104.267 134.493) + (xy 104.267 134.874) + (xy 104.648 134.874) + (xy 104.648 134.493) + (xy 106.172 134.493) + (xy 106.172 134.874) + (xy 106.553 134.874) + (xy 106.553 134.493) + (xy 106.807 134.493) + (xy 106.807 134.874) + (xy 107.188 134.874) + (xy 107.188 134.493) + (xy 108.712 134.493) + (xy 108.712 134.874) + (xy 109.093 134.874) + (xy 109.093 134.493) + (xy 109.347 134.493) + (xy 109.347 134.874) + (xy 109.728 134.874) + (xy 109.728 134.493) + (xy 111.252 134.493) + (xy 111.252 134.874) + (xy 111.633 134.874) + (xy 111.633 134.493) + (xy 111.887 134.493) + (xy 111.887 134.874) + (xy 112.268 134.874) + (xy 112.268 134.493) + (xy 113.792 134.493) + (xy 113.792 134.874) + (xy 114.173 134.874) + (xy 114.173 134.493) + (xy 114.427 134.493) + (xy 114.427 134.874) + (xy 114.808 134.874) + (xy 114.808 134.493) + (xy 116.332 134.493) + (xy 116.332 134.874) + (xy 116.713 134.874) + (xy 116.713 134.493) + (xy 116.967 134.493) + (xy 116.967 134.874) + (xy 117.348 134.874) + (xy 117.348 134.493) + (xy 118.872 134.493) + (xy 118.872 134.874) + (xy 119.253 134.874) + (xy 119.253 134.493) + (xy 119.507 134.493) + (xy 119.507 134.874) + (xy 119.888 134.874) + (xy 119.888 134.493) + (xy 121.412 134.493) + (xy 121.412 134.874) + (xy 121.793 134.874) + (xy 121.793 134.493) + (xy 122.047 134.493) + (xy 122.047 134.874) + (xy 122.428 134.874) + (xy 122.428 134.493) + (xy 123.952 134.493) + (xy 123.952 134.874) + (xy 124.333 134.874) + (xy 124.333 134.493) + (xy 124.587 134.493) + (xy 124.587 134.874) + (xy 124.968 134.874) + (xy 124.968 134.493) + (xy 126.492 134.493) + (xy 126.492 134.874) + (xy 126.873 134.874) + (xy 126.873 134.493) + (xy 127.127 134.493) + (xy 127.127 134.874) + (xy 127.508 134.874) + (xy 127.508 134.493) + (xy 129.032 134.493) + (xy 129.032 134.874) + (xy 129.413 134.874) + (xy 129.413 134.493) + (xy 129.667 134.493) + (xy 129.667 134.874) + (xy 130.048 134.874) + (xy 130.048 134.493) + (xy 131.572 134.493) + (xy 131.572 134.874) + (xy 131.953 134.874) + (xy 131.953 134.493) + (xy 132.207 134.493) + (xy 132.207 134.874) + (xy 132.588 134.874) + (xy 132.588 134.493) + (xy 134.112 134.493) + (xy 134.112 134.874) + (xy 134.493 134.874) + (xy 134.493 134.493) + (xy 134.747 134.493) + (xy 134.747 134.874) + (xy 135.128 134.874) + (xy 135.128 134.493) + (xy 134.747 134.493) + (xy 134.493 134.493) + (xy 134.112 134.493) + (xy 132.588 134.493) + (xy 132.207 134.493) + (xy 131.953 134.493) + (xy 131.572 134.493) + (xy 130.048 134.493) + (xy 129.667 134.493) + (xy 129.413 134.493) + (xy 129.032 134.493) + (xy 127.508 134.493) + (xy 127.127 134.493) + (xy 126.873 134.493) + (xy 126.492 134.493) + (xy 124.968 134.493) + (xy 124.587 134.493) + (xy 124.333 134.493) + (xy 123.952 134.493) + (xy 122.428 134.493) + (xy 122.047 134.493) + (xy 121.793 134.493) + (xy 121.412 134.493) + (xy 119.888 134.493) + (xy 119.507 134.493) + (xy 119.253 134.493) + (xy 118.872 134.493) + (xy 117.348 134.493) + (xy 116.967 134.493) + (xy 116.713 134.493) + (xy 116.332 134.493) + (xy 114.808 134.493) + (xy 114.427 134.493) + (xy 114.173 134.493) + (xy 113.792 134.493) + (xy 112.268 134.493) + (xy 111.887 134.493) + (xy 111.633 134.493) + (xy 111.252 134.493) + (xy 109.728 134.493) + (xy 109.347 134.493) + (xy 109.093 134.493) + (xy 108.712 134.493) + (xy 107.188 134.493) + (xy 106.807 134.493) + (xy 106.553 134.493) + (xy 106.172 134.493) + (xy 104.648 134.493) + (xy 104.267 134.493) + (xy 104.013 134.493) + (xy 103.632 134.493) + (xy 102.108 134.493) + (xy 101.727 134.493) + (xy 101.473 134.493) + (xy 101.092 134.493) + (xy 99.568 134.493) + (xy 99.187 134.493) + (xy 98.933 134.493) + (xy 98.552 134.493) + (xy 97.028 134.493) + (xy 96.647 134.493) + (xy 96.393 134.493) + (xy 96.012 134.493) + (xy 94.488 134.493) + (xy 94.107 134.493) + (xy 93.853 134.493) + (xy 93.472 134.493) + (xy 91.948 134.493) + (xy 91.567 134.493) + (xy 91.313 134.493) + (xy 90.932 134.493) + (xy 89.408 134.493) + (xy 89.027 134.493) + (xy 88.773 134.493) + (xy 88.392 134.493) + (xy 86.868 134.493) + (xy 86.487 134.493) + (xy 86.233 134.493) + (xy 85.852 134.493) + (xy 84.328 134.493) + (xy 83.947 134.493) + (xy 83.693 134.493) + (xy 83.312 134.493) + (xy 81.788 134.493) + (xy 81.407 134.493) + (xy 81.153 134.493) + (xy 80.772 134.493) + (xy 79.248 134.493) + (xy 78.867 134.493) + (xy 78.613 134.493) + (xy 78.232 134.493) + (xy 76.708 134.493) + (xy 76.327 134.493) + (xy 76.073 134.493) + (xy 75.692 134.493) + (xy 74.422 134.493) + (xy 74.422 133.858) + (xy 75.692 133.858) + (xy 75.692 134.239) + (xy 76.073 134.239) + (xy 76.073 133.858) + (xy 76.327 133.858) + (xy 76.327 134.239) + (xy 76.708 134.239) + (xy 76.708 133.858) + (xy 78.232 133.858) + (xy 78.232 134.239) + (xy 78.613 134.239) + (xy 78.613 133.858) + (xy 78.867 133.858) + (xy 78.867 134.239) + (xy 79.248 134.239) + (xy 79.248 133.858) + (xy 80.772 133.858) + (xy 80.772 134.239) + (xy 81.153 134.239) + (xy 81.153 133.858) + (xy 81.407 133.858) + (xy 81.407 134.239) + (xy 81.788 134.239) + (xy 81.788 133.858) + (xy 83.312 133.858) + (xy 83.312 134.239) + (xy 83.693 134.239) + (xy 83.693 133.858) + (xy 83.947 133.858) + (xy 83.947 134.239) + (xy 84.328 134.239) + (xy 84.328 133.858) + (xy 85.852 133.858) + (xy 85.852 134.239) + (xy 86.233 134.239) + (xy 86.233 133.858) + (xy 86.487 133.858) + (xy 86.487 134.239) + (xy 86.868 134.239) + (xy 86.868 133.858) + (xy 88.392 133.858) + (xy 88.392 134.239) + (xy 88.773 134.239) + (xy 88.773 133.858) + (xy 89.027 133.858) + (xy 89.027 134.239) + (xy 89.408 134.239) + (xy 89.408 133.858) + (xy 90.932 133.858) + (xy 90.932 134.239) + (xy 91.313 134.239) + (xy 91.313 133.858) + (xy 91.567 133.858) + (xy 91.567 134.239) + (xy 91.948 134.239) + (xy 91.948 133.858) + (xy 93.472 133.858) + (xy 93.472 134.239) + (xy 93.853 134.239) + (xy 93.853 133.858) + (xy 94.107 133.858) + (xy 94.107 134.239) + (xy 94.488 134.239) + (xy 94.488 133.858) + (xy 96.012 133.858) + (xy 96.012 134.239) + (xy 96.393 134.239) + (xy 96.393 133.858) + (xy 96.647 133.858) + (xy 96.647 134.239) + (xy 97.028 134.239) + (xy 97.028 133.858) + (xy 98.552 133.858) + (xy 98.552 134.239) + (xy 98.933 134.239) + (xy 98.933 133.858) + (xy 99.187 133.858) + (xy 99.187 134.239) + (xy 99.568 134.239) + (xy 99.568 133.858) + (xy 101.092 133.858) + (xy 101.092 134.239) + (xy 101.473 134.239) + (xy 101.473 133.858) + (xy 101.727 133.858) + (xy 101.727 134.239) + (xy 102.108 134.239) + (xy 102.108 133.858) + (xy 103.632 133.858) + (xy 103.632 134.239) + (xy 104.013 134.239) + (xy 104.013 133.858) + (xy 104.267 133.858) + (xy 104.267 134.239) + (xy 104.648 134.239) + (xy 104.648 133.858) + (xy 106.172 133.858) + (xy 106.172 134.239) + (xy 106.553 134.239) + (xy 106.553 133.858) + (xy 106.807 133.858) + (xy 106.807 134.239) + (xy 107.188 134.239) + (xy 107.188 133.858) + (xy 108.712 133.858) + (xy 108.712 134.239) + (xy 109.093 134.239) + (xy 109.093 133.858) + (xy 109.347 133.858) + (xy 109.347 134.239) + (xy 109.728 134.239) + (xy 109.728 133.858) + (xy 111.252 133.858) + (xy 111.252 134.239) + (xy 111.633 134.239) + (xy 111.633 133.858) + (xy 111.887 133.858) + (xy 111.887 134.239) + (xy 112.268 134.239) + (xy 112.268 133.858) + (xy 113.792 133.858) + (xy 113.792 134.239) + (xy 114.173 134.239) + (xy 114.173 133.858) + (xy 114.427 133.858) + (xy 114.427 134.239) + (xy 114.808 134.239) + (xy 114.808 133.858) + (xy 116.332 133.858) + (xy 116.332 134.239) + (xy 116.713 134.239) + (xy 116.713 133.858) + (xy 116.967 133.858) + (xy 116.967 134.239) + (xy 117.348 134.239) + (xy 117.348 133.858) + (xy 118.872 133.858) + (xy 118.872 134.239) + (xy 119.253 134.239) + (xy 119.253 133.858) + (xy 119.507 133.858) + (xy 119.507 134.239) + (xy 119.888 134.239) + (xy 119.888 133.858) + (xy 121.412 133.858) + (xy 121.412 134.239) + (xy 121.793 134.239) + (xy 121.793 133.858) + (xy 122.047 133.858) + (xy 122.047 134.239) + (xy 122.428 134.239) + (xy 122.428 133.858) + (xy 123.952 133.858) + (xy 123.952 134.239) + (xy 124.333 134.239) + (xy 124.333 133.858) + (xy 124.587 133.858) + (xy 124.587 134.239) + (xy 124.968 134.239) + (xy 124.968 133.858) + (xy 126.492 133.858) + (xy 126.492 134.239) + (xy 126.873 134.239) + (xy 126.873 133.858) + (xy 127.127 133.858) + (xy 127.127 134.239) + (xy 127.508 134.239) + (xy 127.508 133.858) + (xy 129.032 133.858) + (xy 129.032 134.239) + (xy 129.413 134.239) + (xy 129.413 133.858) + (xy 129.667 133.858) + (xy 129.667 134.239) + (xy 130.048 134.239) + (xy 130.048 133.858) + (xy 131.572 133.858) + (xy 131.572 134.239) + (xy 131.953 134.239) + (xy 131.953 133.858) + (xy 132.207 133.858) + (xy 132.207 134.239) + (xy 132.588 134.239) + (xy 132.588 133.858) + (xy 134.112 133.858) + (xy 134.112 134.239) + (xy 134.493 134.239) + (xy 134.493 133.858) + (xy 134.747 133.858) + (xy 134.747 134.239) + (xy 135.128 134.239) + (xy 135.128 133.858) + (xy 134.747 133.858) + (xy 134.493 133.858) + (xy 134.112 133.858) + (xy 132.588 133.858) + (xy 132.207 133.858) + (xy 131.953 133.858) + (xy 131.572 133.858) + (xy 130.048 133.858) + (xy 129.667 133.858) + (xy 129.413 133.858) + (xy 129.032 133.858) + (xy 127.508 133.858) + (xy 127.127 133.858) + (xy 126.873 133.858) + (xy 126.492 133.858) + (xy 124.968 133.858) + (xy 124.587 133.858) + (xy 124.333 133.858) + (xy 123.952 133.858) + (xy 122.428 133.858) + (xy 122.047 133.858) + (xy 121.793 133.858) + (xy 121.412 133.858) + (xy 119.888 133.858) + (xy 119.507 133.858) + (xy 119.253 133.858) + (xy 118.872 133.858) + (xy 117.348 133.858) + (xy 116.967 133.858) + (xy 116.713 133.858) + (xy 116.332 133.858) + (xy 114.808 133.858) + (xy 114.427 133.858) + (xy 114.173 133.858) + (xy 113.792 133.858) + (xy 112.268 133.858) + (xy 111.887 133.858) + (xy 111.633 133.858) + (xy 111.252 133.858) + (xy 109.728 133.858) + (xy 109.347 133.858) + (xy 109.093 133.858) + (xy 108.712 133.858) + (xy 107.188 133.858) + (xy 106.807 133.858) + (xy 106.553 133.858) + (xy 106.172 133.858) + (xy 104.648 133.858) + (xy 104.267 133.858) + (xy 104.013 133.858) + (xy 103.632 133.858) + (xy 102.108 133.858) + (xy 101.727 133.858) + (xy 101.473 133.858) + (xy 101.092 133.858) + (xy 99.568 133.858) + (xy 99.187 133.858) + (xy 98.933 133.858) + (xy 98.552 133.858) + (xy 97.028 133.858) + (xy 96.647 133.858) + (xy 96.393 133.858) + (xy 96.012 133.858) + (xy 94.488 133.858) + (xy 94.107 133.858) + (xy 93.853 133.858) + (xy 93.472 133.858) + (xy 91.948 133.858) + (xy 91.567 133.858) + (xy 91.313 133.858) + (xy 90.932 133.858) + (xy 89.408 133.858) + (xy 89.027 133.858) + (xy 88.773 133.858) + (xy 88.392 133.858) + (xy 86.868 133.858) + (xy 86.487 133.858) + (xy 86.233 133.858) + (xy 85.852 133.858) + (xy 84.328 133.858) + (xy 83.947 133.858) + (xy 83.693 133.858) + (xy 83.312 133.858) + (xy 81.788 133.858) + (xy 81.407 133.858) + (xy 81.153 133.858) + (xy 80.772 133.858) + (xy 79.248 133.858) + (xy 78.867 133.858) + (xy 78.613 133.858) + (xy 78.232 133.858) + (xy 76.708 133.858) + (xy 76.327 133.858) + (xy 76.073 133.858) + (xy 75.692 133.858) + (xy 74.422 133.858) + (xy 74.422 133.223) + (xy 75.692 133.223) + (xy 75.692 133.604) + (xy 76.073 133.604) + (xy 76.073 133.223) + (xy 76.327 133.223) + (xy 76.327 133.604) + (xy 76.708 133.604) + (xy 76.708 133.223) + (xy 78.232 133.223) + (xy 78.232 133.604) + (xy 78.613 133.604) + (xy 78.613 133.223) + (xy 78.867 133.223) + (xy 78.867 133.604) + (xy 79.248 133.604) + (xy 79.248 133.223) + (xy 80.772 133.223) + (xy 80.772 133.604) + (xy 81.153 133.604) + (xy 81.153 133.223) + (xy 81.407 133.223) + (xy 81.407 133.604) + (xy 81.788 133.604) + (xy 81.788 133.223) + (xy 83.312 133.223) + (xy 83.312 133.604) + (xy 83.693 133.604) + (xy 83.693 133.223) + (xy 83.947 133.223) + (xy 83.947 133.604) + (xy 84.328 133.604) + (xy 84.328 133.223) + (xy 85.852 133.223) + (xy 85.852 133.604) + (xy 86.233 133.604) + (xy 86.233 133.223) + (xy 86.487 133.223) + (xy 86.487 133.604) + (xy 86.868 133.604) + (xy 86.868 133.223) + (xy 88.392 133.223) + (xy 88.392 133.604) + (xy 88.773 133.604) + (xy 88.773 133.223) + (xy 89.027 133.223) + (xy 89.027 133.604) + (xy 89.408 133.604) + (xy 89.408 133.223) + (xy 90.932 133.223) + (xy 90.932 133.604) + (xy 91.313 133.604) + (xy 91.313 133.223) + (xy 91.567 133.223) + (xy 91.567 133.604) + (xy 91.948 133.604) + (xy 91.948 133.223) + (xy 93.472 133.223) + (xy 93.472 133.604) + (xy 93.853 133.604) + (xy 93.853 133.223) + (xy 94.107 133.223) + (xy 94.107 133.604) + (xy 94.488 133.604) + (xy 94.488 133.223) + (xy 96.012 133.223) + (xy 96.012 133.604) + (xy 96.393 133.604) + (xy 96.393 133.223) + (xy 96.647 133.223) + (xy 96.647 133.604) + (xy 97.028 133.604) + (xy 97.028 133.223) + (xy 98.552 133.223) + (xy 98.552 133.604) + (xy 98.933 133.604) + (xy 98.933 133.223) + (xy 99.187 133.223) + (xy 99.187 133.604) + (xy 99.568 133.604) + (xy 99.568 133.223) + (xy 101.092 133.223) + (xy 101.092 133.604) + (xy 101.473 133.604) + (xy 101.473 133.223) + (xy 101.727 133.223) + (xy 101.727 133.604) + (xy 102.108 133.604) + (xy 102.108 133.223) + (xy 103.632 133.223) + (xy 103.632 133.604) + (xy 104.013 133.604) + (xy 104.013 133.223) + (xy 104.267 133.223) + (xy 104.267 133.604) + (xy 104.648 133.604) + (xy 104.648 133.223) + (xy 106.172 133.223) + (xy 106.172 133.604) + (xy 106.553 133.604) + (xy 106.553 133.223) + (xy 106.807 133.223) + (xy 106.807 133.604) + (xy 107.188 133.604) + (xy 107.188 133.223) + (xy 108.712 133.223) + (xy 108.712 133.604) + (xy 109.093 133.604) + (xy 109.093 133.223) + (xy 109.347 133.223) + (xy 109.347 133.604) + (xy 109.728 133.604) + (xy 109.728 133.223) + (xy 111.252 133.223) + (xy 111.252 133.604) + (xy 111.633 133.604) + (xy 111.633 133.223) + (xy 111.887 133.223) + (xy 111.887 133.604) + (xy 112.268 133.604) + (xy 112.268 133.223) + (xy 113.792 133.223) + (xy 113.792 133.604) + (xy 114.173 133.604) + (xy 114.173 133.223) + (xy 114.427 133.223) + (xy 114.427 133.604) + (xy 114.808 133.604) + (xy 114.808 133.223) + (xy 116.332 133.223) + (xy 116.332 133.604) + (xy 116.713 133.604) + (xy 116.713 133.223) + (xy 116.967 133.223) + (xy 116.967 133.604) + (xy 117.348 133.604) + (xy 117.348 133.223) + (xy 118.872 133.223) + (xy 118.872 133.604) + (xy 119.253 133.604) + (xy 119.253 133.223) + (xy 119.507 133.223) + (xy 119.507 133.604) + (xy 119.888 133.604) + (xy 119.888 133.223) + (xy 121.412 133.223) + (xy 121.412 133.604) + (xy 121.793 133.604) + (xy 121.793 133.223) + (xy 122.047 133.223) + (xy 122.047 133.604) + (xy 122.428 133.604) + (xy 122.428 133.223) + (xy 123.952 133.223) + (xy 123.952 133.604) + (xy 124.333 133.604) + (xy 124.333 133.223) + (xy 124.587 133.223) + (xy 124.587 133.604) + (xy 124.968 133.604) + (xy 124.968 133.223) + (xy 126.492 133.223) + (xy 126.492 133.604) + (xy 126.873 133.604) + (xy 126.873 133.223) + (xy 127.127 133.223) + (xy 127.127 133.604) + (xy 127.508 133.604) + (xy 127.508 133.223) + (xy 129.032 133.223) + (xy 129.032 133.604) + (xy 129.413 133.604) + (xy 129.413 133.223) + (xy 129.667 133.223) + (xy 129.667 133.604) + (xy 130.048 133.604) + (xy 130.048 133.223) + (xy 131.572 133.223) + (xy 131.572 133.604) + (xy 131.953 133.604) + (xy 131.953 133.223) + (xy 132.207 133.223) + (xy 132.207 133.604) + (xy 132.588 133.604) + (xy 132.588 133.223) + (xy 134.112 133.223) + (xy 134.112 133.604) + (xy 134.493 133.604) + (xy 134.493 133.223) + (xy 134.747 133.223) + (xy 134.747 133.604) + (xy 135.128 133.604) + (xy 135.128 133.223) + (xy 134.747 133.223) + (xy 134.493 133.223) + (xy 134.112 133.223) + (xy 132.588 133.223) + (xy 132.207 133.223) + (xy 131.953 133.223) + (xy 131.572 133.223) + (xy 130.048 133.223) + (xy 129.667 133.223) + (xy 129.413 133.223) + (xy 129.032 133.223) + (xy 127.508 133.223) + (xy 127.127 133.223) + (xy 126.873 133.223) + (xy 126.492 133.223) + (xy 124.968 133.223) + (xy 124.587 133.223) + (xy 124.333 133.223) + (xy 123.952 133.223) + (xy 122.428 133.223) + (xy 122.047 133.223) + (xy 121.793 133.223) + (xy 121.412 133.223) + (xy 119.888 133.223) + (xy 119.507 133.223) + (xy 119.253 133.223) + (xy 118.872 133.223) + (xy 117.348 133.223) + (xy 116.967 133.223) + (xy 116.713 133.223) + (xy 116.332 133.223) + (xy 114.808 133.223) + (xy 114.427 133.223) + (xy 114.173 133.223) + (xy 113.792 133.223) + (xy 112.268 133.223) + (xy 111.887 133.223) + (xy 111.633 133.223) + (xy 111.252 133.223) + (xy 109.728 133.223) + (xy 109.347 133.223) + (xy 109.093 133.223) + (xy 108.712 133.223) + (xy 107.188 133.223) + (xy 106.807 133.223) + (xy 106.553 133.223) + (xy 106.172 133.223) + (xy 104.648 133.223) + (xy 104.267 133.223) + (xy 104.013 133.223) + (xy 103.632 133.223) + (xy 102.108 133.223) + (xy 101.727 133.223) + (xy 101.473 133.223) + (xy 101.092 133.223) + (xy 99.568 133.223) + (xy 99.187 133.223) + (xy 98.933 133.223) + (xy 98.552 133.223) + (xy 97.028 133.223) + (xy 96.647 133.223) + (xy 96.393 133.223) + (xy 96.012 133.223) + (xy 94.488 133.223) + (xy 94.107 133.223) + (xy 93.853 133.223) + (xy 93.472 133.223) + (xy 91.948 133.223) + (xy 91.567 133.223) + (xy 91.313 133.223) + (xy 90.932 133.223) + (xy 89.408 133.223) + (xy 89.027 133.223) + (xy 88.773 133.223) + (xy 88.392 133.223) + (xy 86.868 133.223) + (xy 86.487 133.223) + (xy 86.233 133.223) + (xy 85.852 133.223) + (xy 84.328 133.223) + (xy 83.947 133.223) + (xy 83.693 133.223) + (xy 83.312 133.223) + (xy 81.788 133.223) + (xy 81.407 133.223) + (xy 81.153 133.223) + (xy 80.772 133.223) + (xy 79.248 133.223) + (xy 78.867 133.223) + (xy 78.613 133.223) + (xy 78.232 133.223) + (xy 76.708 133.223) + (xy 76.327 133.223) + (xy 76.073 133.223) + (xy 75.692 133.223) + (xy 74.422 133.223) + (xy 74.422 132.588) + (xy 75.692 132.588) + (xy 75.692 132.969) + (xy 76.073 132.969) + (xy 76.073 132.588) + (xy 76.327 132.588) + (xy 76.327 132.969) + (xy 76.708 132.969) + (xy 76.708 132.588) + (xy 78.232 132.588) + (xy 78.232 132.969) + (xy 78.613 132.969) + (xy 78.613 132.588) + (xy 78.867 132.588) + (xy 78.867 132.969) + (xy 79.248 132.969) + (xy 79.248 132.588) + (xy 80.772 132.588) + (xy 80.772 132.969) + (xy 81.153 132.969) + (xy 81.153 132.588) + (xy 81.407 132.588) + (xy 81.407 132.969) + (xy 81.788 132.969) + (xy 81.788 132.588) + (xy 83.312 132.588) + (xy 83.312 132.969) + (xy 83.693 132.969) + (xy 83.693 132.588) + (xy 83.947 132.588) + (xy 83.947 132.969) + (xy 84.328 132.969) + (xy 84.328 132.588) + (xy 85.852 132.588) + (xy 85.852 132.969) + (xy 86.233 132.969) + (xy 86.233 132.588) + (xy 86.487 132.588) + (xy 86.487 132.969) + (xy 86.868 132.969) + (xy 86.868 132.588) + (xy 88.392 132.588) + (xy 88.392 132.969) + (xy 88.773 132.969) + (xy 88.773 132.588) + (xy 89.027 132.588) + (xy 89.027 132.969) + (xy 89.408 132.969) + (xy 89.408 132.588) + (xy 90.932 132.588) + (xy 90.932 132.969) + (xy 91.313 132.969) + (xy 91.313 132.588) + (xy 91.567 132.588) + (xy 91.567 132.969) + (xy 91.948 132.969) + (xy 91.948 132.588) + (xy 93.472 132.588) + (xy 93.472 132.969) + (xy 93.853 132.969) + (xy 93.853 132.588) + (xy 94.107 132.588) + (xy 94.107 132.969) + (xy 94.488 132.969) + (xy 94.488 132.588) + (xy 96.012 132.588) + (xy 96.012 132.969) + (xy 96.393 132.969) + (xy 96.393 132.588) + (xy 96.647 132.588) + (xy 96.647 132.969) + (xy 97.028 132.969) + (xy 97.028 132.588) + (xy 98.552 132.588) + (xy 98.552 132.969) + (xy 98.933 132.969) + (xy 98.933 132.588) + (xy 99.187 132.588) + (xy 99.187 132.969) + (xy 99.568 132.969) + (xy 99.568 132.588) + (xy 101.092 132.588) + (xy 101.092 132.969) + (xy 101.473 132.969) + (xy 101.473 132.588) + (xy 101.727 132.588) + (xy 101.727 132.969) + (xy 102.108 132.969) + (xy 102.108 132.588) + (xy 103.632 132.588) + (xy 103.632 132.969) + (xy 104.013 132.969) + (xy 104.013 132.588) + (xy 104.267 132.588) + (xy 104.267 132.969) + (xy 104.648 132.969) + (xy 104.648 132.588) + (xy 106.172 132.588) + (xy 106.172 132.969) + (xy 106.553 132.969) + (xy 106.553 132.588) + (xy 106.807 132.588) + (xy 106.807 132.969) + (xy 107.188 132.969) + (xy 107.188 132.588) + (xy 108.712 132.588) + (xy 108.712 132.969) + (xy 109.093 132.969) + (xy 109.093 132.588) + (xy 109.347 132.588) + (xy 109.347 132.969) + (xy 109.728 132.969) + (xy 109.728 132.588) + (xy 111.252 132.588) + (xy 111.252 132.969) + (xy 111.633 132.969) + (xy 111.633 132.588) + (xy 111.887 132.588) + (xy 111.887 132.969) + (xy 112.268 132.969) + (xy 112.268 132.588) + (xy 113.792 132.588) + (xy 113.792 132.969) + (xy 114.173 132.969) + (xy 114.173 132.588) + (xy 114.427 132.588) + (xy 114.427 132.969) + (xy 114.808 132.969) + (xy 114.808 132.588) + (xy 116.332 132.588) + (xy 116.332 132.969) + (xy 116.713 132.969) + (xy 116.713 132.588) + (xy 116.967 132.588) + (xy 116.967 132.969) + (xy 117.348 132.969) + (xy 117.348 132.588) + (xy 118.872 132.588) + (xy 118.872 132.969) + (xy 119.253 132.969) + (xy 119.253 132.588) + (xy 119.507 132.588) + (xy 119.507 132.969) + (xy 119.888 132.969) + (xy 119.888 132.588) + (xy 121.412 132.588) + (xy 121.412 132.969) + (xy 121.793 132.969) + (xy 121.793 132.588) + (xy 122.047 132.588) + (xy 122.047 132.969) + (xy 122.428 132.969) + (xy 122.428 132.588) + (xy 123.952 132.588) + (xy 123.952 132.969) + (xy 124.333 132.969) + (xy 124.333 132.588) + (xy 124.587 132.588) + (xy 124.587 132.969) + (xy 124.968 132.969) + (xy 124.968 132.588) + (xy 126.492 132.588) + (xy 126.492 132.969) + (xy 126.873 132.969) + (xy 126.873 132.588) + (xy 127.127 132.588) + (xy 127.127 132.969) + (xy 127.508 132.969) + (xy 127.508 132.588) + (xy 129.032 132.588) + (xy 129.032 132.969) + (xy 129.413 132.969) + (xy 129.413 132.588) + (xy 129.667 132.588) + (xy 129.667 132.969) + (xy 130.048 132.969) + (xy 130.048 132.588) + (xy 131.572 132.588) + (xy 131.572 132.969) + (xy 131.953 132.969) + (xy 131.953 132.588) + (xy 132.207 132.588) + (xy 132.207 132.969) + (xy 132.588 132.969) + (xy 132.588 132.588) + (xy 134.112 132.588) + (xy 134.112 132.969) + (xy 134.493 132.969) + (xy 134.493 132.588) + (xy 134.747 132.588) + (xy 134.747 132.969) + (xy 135.128 132.969) + (xy 135.128 132.588) + (xy 134.747 132.588) + (xy 134.493 132.588) + (xy 134.112 132.588) + (xy 132.588 132.588) + (xy 132.207 132.588) + (xy 131.953 132.588) + (xy 131.572 132.588) + (xy 130.048 132.588) + (xy 129.667 132.588) + (xy 129.413 132.588) + (xy 129.032 132.588) + (xy 127.508 132.588) + (xy 127.127 132.588) + (xy 126.873 132.588) + (xy 126.492 132.588) + (xy 124.968 132.588) + (xy 124.587 132.588) + (xy 124.333 132.588) + (xy 123.952 132.588) + (xy 122.428 132.588) + (xy 122.047 132.588) + (xy 121.793 132.588) + (xy 121.412 132.588) + (xy 119.888 132.588) + (xy 119.507 132.588) + (xy 119.253 132.588) + (xy 118.872 132.588) + (xy 117.348 132.588) + (xy 116.967 132.588) + (xy 116.713 132.588) + (xy 116.332 132.588) + (xy 114.808 132.588) + (xy 114.427 132.588) + (xy 114.173 132.588) + (xy 113.792 132.588) + (xy 112.268 132.588) + (xy 111.887 132.588) + (xy 111.633 132.588) + (xy 111.252 132.588) + (xy 109.728 132.588) + (xy 109.347 132.588) + (xy 109.093 132.588) + (xy 108.712 132.588) + (xy 107.188 132.588) + (xy 106.807 132.588) + (xy 106.553 132.588) + (xy 106.172 132.588) + (xy 104.648 132.588) + (xy 104.267 132.588) + (xy 104.013 132.588) + (xy 103.632 132.588) + (xy 102.108 132.588) + (xy 101.727 132.588) + (xy 101.473 132.588) + (xy 101.092 132.588) + (xy 99.568 132.588) + (xy 99.187 132.588) + (xy 98.933 132.588) + (xy 98.552 132.588) + (xy 97.028 132.588) + (xy 96.647 132.588) + (xy 96.393 132.588) + (xy 96.012 132.588) + (xy 94.488 132.588) + (xy 94.107 132.588) + (xy 93.853 132.588) + (xy 93.472 132.588) + (xy 91.948 132.588) + (xy 91.567 132.588) + (xy 91.313 132.588) + (xy 90.932 132.588) + (xy 89.408 132.588) + (xy 89.027 132.588) + (xy 88.773 132.588) + (xy 88.392 132.588) + (xy 86.868 132.588) + (xy 86.487 132.588) + (xy 86.233 132.588) + (xy 85.852 132.588) + (xy 84.328 132.588) + (xy 83.947 132.588) + (xy 83.693 132.588) + (xy 83.312 132.588) + (xy 81.788 132.588) + (xy 81.407 132.588) + (xy 81.153 132.588) + (xy 80.772 132.588) + (xy 79.248 132.588) + (xy 78.867 132.588) + (xy 78.613 132.588) + (xy 78.232 132.588) + (xy 76.708 132.588) + (xy 76.327 132.588) + (xy 76.073 132.588) + (xy 75.692 132.588) + (xy 74.422 132.588) + (xy 74.422 131.953) + (xy 75.692 131.953) + (xy 75.692 132.334) + (xy 76.073 132.334) + (xy 76.073 131.953) + (xy 76.327 131.953) + (xy 76.327 132.334) + (xy 76.708 132.334) + (xy 76.708 131.953) + (xy 78.232 131.953) + (xy 78.232 132.334) + (xy 78.613 132.334) + (xy 78.613 131.953) + (xy 78.867 131.953) + (xy 78.867 132.334) + (xy 79.248 132.334) + (xy 79.248 131.953) + (xy 80.772 131.953) + (xy 80.772 132.334) + (xy 81.153 132.334) + (xy 81.153 131.953) + (xy 81.407 131.953) + (xy 81.407 132.334) + (xy 81.788 132.334) + (xy 81.788 131.953) + (xy 83.312 131.953) + (xy 83.312 132.334) + (xy 83.693 132.334) + (xy 83.693 131.953) + (xy 83.947 131.953) + (xy 83.947 132.334) + (xy 84.328 132.334) + (xy 84.328 131.953) + (xy 85.852 131.953) + (xy 85.852 132.334) + (xy 86.233 132.334) + (xy 86.233 131.953) + (xy 86.487 131.953) + (xy 86.487 132.334) + (xy 86.868 132.334) + (xy 86.868 131.953) + (xy 88.392 131.953) + (xy 88.392 132.334) + (xy 88.773 132.334) + (xy 88.773 131.953) + (xy 89.027 131.953) + (xy 89.027 132.334) + (xy 89.408 132.334) + (xy 89.408 131.953) + (xy 90.932 131.953) + (xy 90.932 132.334) + (xy 91.313 132.334) + (xy 91.313 131.953) + (xy 91.567 131.953) + (xy 91.567 132.334) + (xy 91.948 132.334) + (xy 91.948 131.953) + (xy 93.472 131.953) + (xy 93.472 132.334) + (xy 93.853 132.334) + (xy 93.853 131.953) + (xy 94.107 131.953) + (xy 94.107 132.334) + (xy 94.488 132.334) + (xy 94.488 131.953) + (xy 96.012 131.953) + (xy 96.012 132.334) + (xy 96.393 132.334) + (xy 96.393 131.953) + (xy 96.647 131.953) + (xy 96.647 132.334) + (xy 97.028 132.334) + (xy 97.028 131.953) + (xy 98.552 131.953) + (xy 98.552 132.334) + (xy 98.933 132.334) + (xy 98.933 131.953) + (xy 99.187 131.953) + (xy 99.187 132.334) + (xy 99.568 132.334) + (xy 99.568 131.953) + (xy 101.092 131.953) + (xy 101.092 132.334) + (xy 101.473 132.334) + (xy 101.473 131.953) + (xy 101.727 131.953) + (xy 101.727 132.334) + (xy 102.108 132.334) + (xy 102.108 131.953) + (xy 103.632 131.953) + (xy 103.632 132.334) + (xy 104.013 132.334) + (xy 104.013 131.953) + (xy 104.267 131.953) + (xy 104.267 132.334) + (xy 104.648 132.334) + (xy 104.648 131.953) + (xy 106.172 131.953) + (xy 106.172 132.334) + (xy 106.553 132.334) + (xy 106.553 131.953) + (xy 106.807 131.953) + (xy 106.807 132.334) + (xy 107.188 132.334) + (xy 107.188 131.953) + (xy 108.712 131.953) + (xy 108.712 132.334) + (xy 109.093 132.334) + (xy 109.093 131.953) + (xy 109.347 131.953) + (xy 109.347 132.334) + (xy 109.728 132.334) + (xy 109.728 131.953) + (xy 111.252 131.953) + (xy 111.252 132.334) + (xy 111.633 132.334) + (xy 111.633 131.953) + (xy 111.887 131.953) + (xy 111.887 132.334) + (xy 112.268 132.334) + (xy 112.268 131.953) + (xy 113.792 131.953) + (xy 113.792 132.334) + (xy 114.173 132.334) + (xy 114.173 131.953) + (xy 114.427 131.953) + (xy 114.427 132.334) + (xy 114.808 132.334) + (xy 114.808 131.953) + (xy 116.332 131.953) + (xy 116.332 132.334) + (xy 116.713 132.334) + (xy 116.713 131.953) + (xy 116.967 131.953) + (xy 116.967 132.334) + (xy 117.348 132.334) + (xy 117.348 131.953) + (xy 118.872 131.953) + (xy 118.872 132.334) + (xy 119.253 132.334) + (xy 119.253 131.953) + (xy 119.507 131.953) + (xy 119.507 132.334) + (xy 119.888 132.334) + (xy 119.888 131.953) + (xy 121.412 131.953) + (xy 121.412 132.334) + (xy 121.793 132.334) + (xy 121.793 131.953) + (xy 122.047 131.953) + (xy 122.047 132.334) + (xy 122.428 132.334) + (xy 122.428 131.953) + (xy 123.952 131.953) + (xy 123.952 132.334) + (xy 124.333 132.334) + (xy 124.333 131.953) + (xy 124.587 131.953) + (xy 124.587 132.334) + (xy 124.968 132.334) + (xy 124.968 131.953) + (xy 126.492 131.953) + (xy 126.492 132.334) + (xy 126.873 132.334) + (xy 126.873 131.953) + (xy 127.127 131.953) + (xy 127.127 132.334) + (xy 127.508 132.334) + (xy 127.508 131.953) + (xy 129.032 131.953) + (xy 129.032 132.334) + (xy 129.413 132.334) + (xy 129.413 131.953) + (xy 129.667 131.953) + (xy 129.667 132.334) + (xy 130.048 132.334) + (xy 130.048 131.953) + (xy 131.572 131.953) + (xy 131.572 132.334) + (xy 131.953 132.334) + (xy 131.953 131.953) + (xy 132.207 131.953) + (xy 132.207 132.334) + (xy 132.588 132.334) + (xy 132.588 131.953) + (xy 134.112 131.953) + (xy 134.112 132.334) + (xy 134.493 132.334) + (xy 134.493 131.953) + (xy 134.747 131.953) + (xy 134.747 132.334) + (xy 135.128 132.334) + (xy 135.128 131.953) + (xy 134.747 131.953) + (xy 134.493 131.953) + (xy 134.112 131.953) + (xy 132.588 131.953) + (xy 132.207 131.953) + (xy 131.953 131.953) + (xy 131.572 131.953) + (xy 130.048 131.953) + (xy 129.667 131.953) + (xy 129.413 131.953) + (xy 129.032 131.953) + (xy 127.508 131.953) + (xy 127.127 131.953) + (xy 126.873 131.953) + (xy 126.492 131.953) + (xy 124.968 131.953) + (xy 124.587 131.953) + (xy 124.333 131.953) + (xy 123.952 131.953) + (xy 122.428 131.953) + (xy 122.047 131.953) + (xy 121.793 131.953) + (xy 121.412 131.953) + (xy 119.888 131.953) + (xy 119.507 131.953) + (xy 119.253 131.953) + (xy 118.872 131.953) + (xy 117.348 131.953) + (xy 116.967 131.953) + (xy 116.713 131.953) + (xy 116.332 131.953) + (xy 114.808 131.953) + (xy 114.427 131.953) + (xy 114.173 131.953) + (xy 113.792 131.953) + (xy 112.268 131.953) + (xy 111.887 131.953) + (xy 111.633 131.953) + (xy 111.252 131.953) + (xy 109.728 131.953) + (xy 109.347 131.953) + (xy 109.093 131.953) + (xy 108.712 131.953) + (xy 107.188 131.953) + (xy 106.807 131.953) + (xy 106.553 131.953) + (xy 106.172 131.953) + (xy 104.648 131.953) + (xy 104.267 131.953) + (xy 104.013 131.953) + (xy 103.632 131.953) + (xy 102.108 131.953) + (xy 101.727 131.953) + (xy 101.473 131.953) + (xy 101.092 131.953) + (xy 99.568 131.953) + (xy 99.187 131.953) + (xy 98.933 131.953) + (xy 98.552 131.953) + (xy 97.028 131.953) + (xy 96.647 131.953) + (xy 96.393 131.953) + (xy 96.012 131.953) + (xy 94.488 131.953) + (xy 94.107 131.953) + (xy 93.853 131.953) + (xy 93.472 131.953) + (xy 91.948 131.953) + (xy 91.567 131.953) + (xy 91.313 131.953) + (xy 90.932 131.953) + (xy 89.408 131.953) + (xy 89.027 131.953) + (xy 88.773 131.953) + (xy 88.392 131.953) + (xy 86.868 131.953) + (xy 86.487 131.953) + (xy 86.233 131.953) + (xy 85.852 131.953) + (xy 84.328 131.953) + (xy 83.947 131.953) + (xy 83.693 131.953) + (xy 83.312 131.953) + (xy 81.788 131.953) + (xy 81.407 131.953) + (xy 81.153 131.953) + (xy 80.772 131.953) + (xy 79.248 131.953) + (xy 78.867 131.953) + (xy 78.613 131.953) + (xy 78.232 131.953) + (xy 76.708 131.953) + (xy 76.327 131.953) + (xy 76.073 131.953) + (xy 75.692 131.953) + (xy 74.422 131.953) + (xy 74.422 131.572) + (xy 48.140448 131.572) + (xy 48.1257 131.57054) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.993343 131.191) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.727844 131.387319) + (xy 131.749984 131.430771) + (xy 131.840229 131.521016) + (xy 131.953943 131.578956) + (xy 131.953945 131.578957) + (xy 132.08 131.598922) + (xy 132.206055 131.578957) + (xy 132.319771 131.521016) + (xy 132.410016 131.430771) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.267844 131.387319) + (xy 134.289984 131.430771) + (xy 134.380229 131.521016) + (xy 134.493943 131.578956) + (xy 134.493945 131.578957) + (xy 134.62 131.598922) + (xy 134.746055 131.578957) + (xy 134.859771 131.521016) + (xy 134.950016 131.430771) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 46.993343 131.191) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.307864 130.334727) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.208733 130.078668) + (xy 46.10246 129.5473) + (xy 46.101737 129.54) + (xy 75.642329 129.54) + (xy 75.661331 129.684336) + (xy 75.717043 129.818836) + (xy 75.717044 129.818838) + (xy 75.717045 129.818839) + (xy 75.805666 129.934333) + (xy 75.872044 129.985266) + (xy 75.921164 130.022957) + (xy 76.055664 130.078669) + (xy 76.2 130.097671) + (xy 76.344336 130.078669) + (xy 76.478836 130.022957) + (xy 76.594333 129.934333) + (xy 76.682957 129.818836) + (xy 76.738669 129.684336) + (xy 76.757671 129.54) + (xy 116.536329 129.54) + (xy 116.555331 129.684336) + (xy 116.611043 129.818836) + (xy 116.611044 129.818838) + (xy 116.611045 129.818839) + (xy 116.699666 129.934333) + (xy 116.766044 129.985266) + (xy 116.815164 130.022957) + (xy 116.949664 130.078669) + (xy 117.094 130.097671) + (xy 117.238336 130.078669) + (xy 117.372836 130.022957) + (xy 117.488333 129.934333) + (xy 117.576957 129.818836) + (xy 117.632669 129.684336) + (xy 117.651671 129.54) + (xy 118.568329 129.54) + (xy 118.587331 129.684336) + (xy 118.643043 129.818836) + (xy 118.643044 129.818838) + (xy 118.643045 129.818839) + (xy 118.731666 129.934333) + (xy 118.798044 129.985266) + (xy 118.847164 130.022957) + (xy 118.981664 130.078669) + (xy 119.126 130.097671) + (xy 119.270336 130.078669) + (xy 119.404836 130.022957) + (xy 119.520333 129.934333) + (xy 119.608957 129.818836) + (xy 119.619244 129.794) + (xy 136.24006 129.794) + (xy 136.260162 129.985262) + (xy 136.260162 129.985264) + (xy 136.260163 129.985266) + (xy 136.296685 130.09767) + (xy 136.319594 130.168175) + (xy 136.415752 130.334727) + (xy 136.544441 130.47765) + (xy 136.544446 130.477654) + (xy 136.700026 130.590689) + (xy 136.700029 130.59069) + (xy 136.70003 130.590691) + (xy 136.875723 130.668915) + (xy 137.06384 130.7089) + (xy 137.063842 130.7089) + (xy 137.256158 130.7089) + (xy 137.25616 130.7089) + (xy 137.444277 130.668915) + (xy 137.61997 130.590691) + (xy 137.77556 130.477649) + (xy 137.904247 130.334727) + (xy 138.000407 130.168173) + (xy 138.059837 129.985266) + (xy 138.07994 129.794) + (xy 138.059837 129.602734) + (xy 138.000407 129.419827) + (xy 137.969924 129.367029) + (xy 137.904247 129.253272) + (xy 137.775558 129.110349) + (xy 137.775553 129.110345) + (xy 137.619973 128.99731) + (xy 137.444278 128.919085) + (xy 137.381571 128.905756) + (xy 137.25616 128.8791) + (xy 137.06384 128.8791) + (xy 136.969781 128.899092) + (xy 136.875721 128.919085) + (xy 136.700026 128.99731) + (xy 136.544446 129.110345) + (xy 136.544441 129.110349) + (xy 136.415752 129.253272) + (xy 136.319594 129.419824) + (xy 136.260162 129.602737) + (xy 136.24006 129.794) + (xy 119.619244 129.794) + (xy 119.664669 129.684336) + (xy 119.683671 129.54) + (xy 119.664669 129.395664) + (xy 119.608957 129.261165) + (xy 119.608955 129.261162) + (xy 119.520333 129.145666) + (xy 119.404839 129.057045) + (xy 119.404838 129.057044) + (xy 119.404836 129.057043) + (xy 119.317891 129.021029) + (xy 119.270337 129.001331) + (xy 119.126 128.982329) + (xy 118.981662 129.001331) + (xy 118.847163 129.057044) + (xy 118.847162 129.057044) + (xy 118.731666 129.145666) + (xy 118.643044 129.261162) + (xy 118.643044 129.261163) + (xy 118.587331 129.395662) + (xy 118.587331 129.395664) + (xy 118.568329 129.54) + (xy 117.651671 129.54) + (xy 117.632669 129.395664) + (xy 117.576957 129.261165) + (xy 117.576955 129.261162) + (xy 117.488333 129.145666) + (xy 117.372839 129.057045) + (xy 117.372838 129.057044) + (xy 117.372836 129.057043) + (xy 117.285891 129.021029) + (xy 117.238337 129.001331) + (xy 117.094 128.982329) + (xy 116.949662 129.001331) + (xy 116.815163 129.057044) + (xy 116.815162 129.057044) + (xy 116.699666 129.145666) + (xy 116.611044 129.261162) + (xy 116.611044 129.261163) + (xy 116.555331 129.395662) + (xy 116.555331 129.395664) + (xy 116.536329 129.54) + (xy 76.757671 129.54) + (xy 76.738669 129.395664) + (xy 76.682957 129.261165) + (xy 76.682955 129.261162) + (xy 76.594333 129.145666) + (xy 76.478839 129.057045) + (xy 76.478838 129.057044) + (xy 76.478836 129.057043) + (xy 76.391891 129.021029) + (xy 76.344337 129.001331) + (xy 76.2 128.982329) + (xy 76.055662 129.001331) + (xy 75.921163 129.057044) + (xy 75.921162 129.057044) + (xy 75.805666 129.145666) + (xy 75.717044 129.261162) + (xy 75.717044 129.261163) + (xy 75.661331 129.395662) + (xy 75.661331 129.395664) + (xy 75.642329 129.54) + (xy 46.101737 129.54) + (xy 46.101 129.532552) + (xy 46.101 128.27) + (xy 76.785329 128.27) + (xy 76.804331 128.414336) + (xy 76.860043 128.548836) + (xy 76.860044 128.548838) + (xy 76.860045 128.548839) + (xy 76.948666 128.664333) + (xy 77.06416 128.752954) + (xy 77.064164 128.752957) + (xy 77.198664 128.808669) + (xy 77.343 128.827671) + (xy 77.487336 128.808669) + (xy 77.621836 128.752957) + (xy 77.737333 128.664333) + (xy 77.825957 128.548836) + (xy 77.881669 128.414336) + (xy 77.900671 128.27) + (xy 77.881669 128.125664) + (xy 77.825957 127.991165) + (xy 77.825955 127.991162) + (xy 77.794371 127.95) + (xy 112.742078 127.95) + (xy 112.762043 128.076056) + (xy 112.787319 128.125662) + (xy 112.819984 128.189771) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.399787 128.27) + (xy 137.745329 128.27) + (xy 137.764331 128.414336) + (xy 137.820043 128.548836) + (xy 137.820044 128.548838) + (xy 137.820045 128.548839) + (xy 137.908666 128.664333) + (xy 138.02416 128.752954) + (xy 138.024164 128.752957) + (xy 138.158664 128.808669) + (xy 138.303 128.827671) + (xy 138.447336 128.808669) + (xy 138.581836 128.752957) + (xy 138.697333 128.664333) + (xy 138.785957 128.548836) + (xy 138.841669 128.414336) + (xy 138.860671 128.27) + (xy 138.841669 128.125664) + (xy 138.785957 127.991165) + (xy 138.785955 127.991162) + (xy 138.697333 127.875666) + (xy 138.581839 127.787045) + (xy 138.581838 127.787044) + (xy 138.581836 127.787043) + (xy 138.494891 127.751029) + (xy 138.447337 127.731331) + (xy 138.303 127.712329) + (xy 138.158662 127.731331) + (xy 138.024163 127.787044) + (xy 138.024162 127.787044) + (xy 137.908666 127.875666) + (xy 137.820044 127.991162) + (xy 137.820044 127.991163) + (xy 137.764331 128.125662) + (xy 137.764331 128.125664) + (xy 137.745329 128.27) + (xy 113.399787 128.27) + (xy 113.480016 128.189771) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.537957 127.823945) + (xy 113.480016 127.710229) + (xy 113.389771 127.619984) + (xy 113.276055 127.562043) + (xy 113.276057 127.562043) + (xy 113.15 127.542078) + (xy 113.023943 127.562043) + (xy 112.910228 127.619984) + (xy 112.819984 127.710228) + (xy 112.762043 127.823943) + (xy 112.742078 127.949999) + (xy 112.742078 127.95) + (xy 77.794371 127.95) + (xy 77.737333 127.875666) + (xy 77.621839 127.787045) + (xy 77.621838 127.787044) + (xy 77.621836 127.787043) + (xy 77.534891 127.751029) + (xy 77.487337 127.731331) + (xy 77.343 127.712329) + (xy 77.198662 127.731331) + (xy 77.064163 127.787044) + (xy 77.064162 127.787044) + (xy 76.948666 127.875666) + (xy 76.860044 127.991162) + (xy 76.860044 127.991163) + (xy 76.804331 128.125662) + (xy 76.804331 128.125664) + (xy 76.785329 128.27) + (xy 46.101 128.27) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.307726 127.04501) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.444882 127.466557) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.870885 127.381) + (xy 84.792078 127.381) + (xy 84.812043 127.507056) + (xy 84.861222 127.603574) + (xy 84.869984 127.620771) + (xy 84.960229 127.711016) + (xy 85.073943 127.768956) + (xy 85.073945 127.768957) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.530016 127.620771) + (xy 85.587957 127.507055) + (xy 85.607922 127.381) + (xy 85.587957 127.254945) + (xy 85.530016 127.141229) + (xy 85.439771 127.050984) + (xy 85.339709 127) + (xy 136.602329 127) + (xy 136.621331 127.144336) + (xy 136.677043 127.278836) + (xy 136.677044 127.278838) + (xy 136.677045 127.278839) + (xy 136.765666 127.394333) + (xy 136.85979 127.466556) + (xy 136.881164 127.482957) + (xy 137.015664 127.538669) + (xy 137.16 127.557671) + (xy 137.304336 127.538669) + (xy 137.438836 127.482957) + (xy 137.554333 127.394333) + (xy 137.642957 127.278836) + (xy 137.698669 127.144336) + (xy 137.717671 127) + (xy 137.711745 126.95499) + (xy 142.171845 126.95499) + (xy 142.176726 127.04501) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.313882 127.466557) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.824817 127.089771) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.819691 126.855664) + (xy 143.798011 126.77758) + (xy 143.774327 126.692277) + (xy 143.745906 126.638669) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.689702 126.532954) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.223566 126.202484) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.924506 126.177053) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 137.711745 126.95499) + (xy 137.698669 126.855664) + (xy 137.642957 126.721165) + (xy 137.642955 126.721162) + (xy 137.554333 126.605666) + (xy 137.438839 126.517045) + (xy 137.438838 126.517044) + (xy 137.438836 126.517043) + (xy 137.351891 126.481029) + (xy 137.304337 126.461331) + (xy 137.16 126.442329) + (xy 137.015662 126.461331) + (xy 136.881163 126.517044) + (xy 136.881162 126.517044) + (xy 136.765666 126.605666) + (xy 136.677044 126.721162) + (xy 136.677044 126.721163) + (xy 136.677043 126.721164) + (xy 136.677043 126.721165) + (xy 136.667485 126.744238) + (xy 136.621331 126.855662) + (xy 136.608255 126.954985) + (xy 136.602329 127) + (xy 85.339709 127) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380999) + (xy 84.792078 127.381) + (xy 48.870885 127.381) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.955817 127.089771) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.950691 126.855664) + (xy 48.949118 126.85) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.047177 127.04501) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.526055 127.237957) + (xy 79.639771 127.180016) + (xy 79.730016 127.089771) + (xy 79.787957 126.976055) + (xy 79.807922 126.85) + (xy 80.292078 126.85) + (xy 80.312043 126.976056) + (xy 80.347177 127.04501) + (xy 80.369984 127.089771) + (xy 80.460229 127.180016) + (xy 80.573943 127.237956) + (xy 80.573945 127.237957) + (xy 80.7 127.257922) + (xy 80.826055 127.237957) + (xy 80.939771 127.180016) + (xy 81.030016 127.089771) + (xy 81.087957 126.976055) + (xy 81.107922 126.85) + (xy 81.592078 126.85) + (xy 81.612043 126.976056) + (xy 81.647177 127.04501) + (xy 81.669984 127.089771) + (xy 81.760229 127.180016) + (xy 81.873943 127.237956) + (xy 81.873945 127.237957) + (xy 82 127.257922) + (xy 82.126055 127.237957) + (xy 82.239771 127.180016) + (xy 82.330016 127.089771) + (xy 82.387957 126.976055) + (xy 82.407922 126.85) + (xy 82.892078 126.85) + (xy 82.912043 126.976056) + (xy 82.947177 127.04501) + (xy 82.969984 127.089771) + (xy 83.060229 127.180016) + (xy 83.173943 127.237956) + (xy 83.173945 127.237957) + (xy 83.3 127.257922) + (xy 83.426055 127.237957) + (xy 83.539771 127.180016) + (xy 83.630016 127.089771) + (xy 83.687957 126.976055) + (xy 83.707922 126.85) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.539771 126.519984) + (xy 83.426055 126.462043) + (xy 83.426057 126.462043) + (xy 83.3 126.442078) + (xy 83.173943 126.462043) + (xy 83.060228 126.519984) + (xy 82.969984 126.610228) + (xy 82.912043 126.723943) + (xy 82.892078 126.849999) + (xy 82.892078 126.85) + (xy 82.407922 126.85) + (xy 82.387957 126.723945) + (xy 82.330016 126.610229) + (xy 82.239771 126.519984) + (xy 82.126055 126.462043) + (xy 82.126057 126.462043) + (xy 82 126.442078) + (xy 81.873943 126.462043) + (xy 81.760228 126.519984) + (xy 81.669984 126.610228) + (xy 81.612043 126.723943) + (xy 81.592078 126.849999) + (xy 81.592078 126.85) + (xy 81.107922 126.85) + (xy 81.087957 126.723945) + (xy 81.030016 126.610229) + (xy 80.939771 126.519984) + (xy 80.826055 126.462043) + (xy 80.826057 126.462043) + (xy 80.7 126.442078) + (xy 80.573943 126.462043) + (xy 80.460228 126.519984) + (xy 80.369984 126.610228) + (xy 80.312043 126.723943) + (xy 80.292078 126.849999) + (xy 80.292078 126.85) + (xy 79.807922 126.85) + (xy 79.787957 126.723945) + (xy 79.730016 126.610229) + (xy 79.639771 126.519984) + (xy 79.526055 126.462043) + (xy 79.526057 126.462043) + (xy 79.4 126.442078) + (xy 79.273943 126.462043) + (xy 79.160228 126.519984) + (xy 79.069984 126.610228) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 48.949118 126.85) + (xy 48.929011 126.77758) + (xy 48.905327 126.692277) + (xy 48.876906 126.638669) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.820702 126.532954) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.354566 126.202484) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.055506 126.177053) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 125.984) + (xy 68.235578 125.984) + (xy 68.255543 126.110056) + (xy 68.307561 126.212146) + (xy 68.313484 126.223771) + (xy 68.403729 126.314016) + (xy 68.517443 126.371956) + (xy 68.517445 126.371957) + (xy 68.6435 126.391922) + (xy 68.769555 126.371957) + (xy 68.883271 126.314016) + (xy 68.973516 126.223771) + (xy 69.031457 126.110055) + (xy 69.03305 126.1) + (xy 78.342078 126.1) + (xy 78.362043 126.226056) + (xy 78.393417 126.287631) + (xy 78.419984 126.339771) + (xy 78.510229 126.430016) + (xy 78.623943 126.487956) + (xy 78.623945 126.487957) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.080016 126.339771) + (xy 79.137957 126.226055) + (xy 79.157922 126.1) + (xy 79.642078 126.1) + (xy 79.662043 126.226056) + (xy 79.693417 126.287631) + (xy 79.719984 126.339771) + (xy 79.810229 126.430016) + (xy 79.923943 126.487956) + (xy 79.923945 126.487957) + (xy 80.05 126.507922) + (xy 80.176055 126.487957) + (xy 80.289771 126.430016) + (xy 80.380016 126.339771) + (xy 80.437957 126.226055) + (xy 80.457922 126.1) + (xy 80.942078 126.1) + (xy 80.962043 126.226056) + (xy 80.993417 126.287631) + (xy 81.019984 126.339771) + (xy 81.110229 126.430016) + (xy 81.223943 126.487956) + (xy 81.223945 126.487957) + (xy 81.35 126.507922) + (xy 81.476055 126.487957) + (xy 81.589771 126.430016) + (xy 81.680016 126.339771) + (xy 81.737957 126.226055) + (xy 81.757922 126.1) + (xy 82.242078 126.1) + (xy 82.262043 126.226056) + (xy 82.293417 126.287631) + (xy 82.319984 126.339771) + (xy 82.410229 126.430016) + (xy 82.523943 126.487956) + (xy 82.523945 126.487957) + (xy 82.65 126.507922) + (xy 82.776055 126.487957) + (xy 82.889771 126.430016) + (xy 82.980016 126.339771) + (xy 83.037957 126.226055) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) + (xy 82.919787 125.8) + (xy 115.942078 125.8) + (xy 115.962043 125.926056) + (xy 115.977128 125.955662) + (xy 116.019984 126.039771) + (xy 116.110229 126.130016) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.619787 126.1) + (xy 129.792329 126.1) + (xy 129.811331 126.244337) + (xy 129.829264 126.287631) + (xy 129.867043 126.378836) + (xy 129.867044 126.378838) + (xy 129.867045 126.378839) + (xy 129.955666 126.494333) + (xy 130.006637 126.533444) + (xy 130.071164 126.582957) + (xy 130.205664 126.638669) + (xy 130.35 126.657671) + (xy 130.494336 126.638669) + (xy 130.628836 126.582957) + (xy 130.744333 126.494333) + (xy 130.832957 126.378836) + (xy 130.888669 126.244336) + (xy 130.907671 126.1) + (xy 132.192329 126.1) + (xy 132.211331 126.244337) + (xy 132.229264 126.287631) + (xy 132.267043 126.378836) + (xy 132.267044 126.378838) + (xy 132.267045 126.378839) + (xy 132.355666 126.494333) + (xy 132.406637 126.533444) + (xy 132.471164 126.582957) + (xy 132.605664 126.638669) + (xy 132.75 126.657671) + (xy 132.894336 126.638669) + (xy 133.028836 126.582957) + (xy 133.144333 126.494333) + (xy 133.232957 126.378836) + (xy 133.288669 126.244336) + (xy 133.307671 126.1) + (xy 133.301088 126.05) + (xy 134.642329 126.05) + (xy 134.661331 126.194337) + (xy 134.67447 126.226056) + (xy 134.717043 126.328836) + (xy 134.717044 126.328838) + (xy 134.717045 126.328839) + (xy 134.805666 126.444333) + (xy 134.900424 126.517043) + (xy 134.921164 126.532957) + (xy 135.055664 126.588669) + (xy 135.2 126.607671) + (xy 135.344336 126.588669) + (xy 135.478836 126.532957) + (xy 135.594333 126.444333) + (xy 135.682957 126.328836) + (xy 135.738669 126.194336) + (xy 135.757671 126.05) + (xy 135.738669 125.905664) + (xy 135.682957 125.771165) + (xy 135.682051 125.769984) + (xy 135.594333 125.655666) + (xy 135.478839 125.567045) + (xy 135.478838 125.567044) + (xy 135.478836 125.567043) + (xy 135.391891 125.531029) + (xy 135.344337 125.511331) + (xy 135.2 125.492329) + (xy 135.055662 125.511331) + (xy 134.921163 125.567044) + (xy 134.921162 125.567044) + (xy 134.805666 125.655666) + (xy 134.717044 125.771162) + (xy 134.717044 125.771163) + (xy 134.661331 125.905662) + (xy 134.642329 126.05) + (xy 133.301088 126.05) + (xy 133.288669 125.955664) + (xy 133.232957 125.821165) + (xy 133.194591 125.771165) + (xy 133.144333 125.705666) + (xy 133.028839 125.617045) + (xy 133.028838 125.617044) + (xy 133.028836 125.617043) + (xy 132.908131 125.567045) + (xy 132.894337 125.561331) + (xy 132.75 125.542329) + (xy 132.605662 125.561331) + (xy 132.471163 125.617044) + (xy 132.471162 125.617044) + (xy 132.355666 125.705666) + (xy 132.267044 125.821162) + (xy 132.267044 125.821163) + (xy 132.211331 125.955662) + (xy 132.192329 126.1) + (xy 130.907671 126.1) + (xy 130.888669 125.955664) + (xy 130.832957 125.821165) + (xy 130.794591 125.771165) + (xy 130.744333 125.705666) + (xy 130.628839 125.617045) + (xy 130.628838 125.617044) + (xy 130.628836 125.617043) + (xy 130.508131 125.567045) + (xy 130.494337 125.561331) + (xy 130.35 125.542329) + (xy 130.205662 125.561331) + (xy 130.071163 125.617044) + (xy 130.071162 125.617044) + (xy 129.955666 125.705666) + (xy 129.867044 125.821162) + (xy 129.867044 125.821163) + (xy 129.811331 125.955662) + (xy 129.792329 126.1) + (xy 116.619787 126.1) + (xy 116.680016 126.039771) + (xy 116.737957 125.926055) + (xy 116.757922 125.8) + (xy 116.737957 125.673945) + (xy 116.680016 125.560229) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.110228 125.469984) + (xy 116.019984 125.560228) + (xy 115.962043 125.673943) + (xy 115.942078 125.799999) + (xy 115.942078 125.8) + (xy 82.919787 125.8) + (xy 82.889771 125.769984) + (xy 82.776055 125.712043) + (xy 82.776057 125.712043) + (xy 82.65 125.692078) + (xy 82.523943 125.712043) + (xy 82.410228 125.769984) + (xy 82.319984 125.860228) + (xy 82.262043 125.973943) + (xy 82.242078 126.099999) + (xy 82.242078 126.1) + (xy 81.757922 126.1) + (xy 81.737957 125.973945) + (xy 81.680016 125.860229) + (xy 81.589771 125.769984) + (xy 81.476055 125.712043) + (xy 81.476057 125.712043) + (xy 81.35 125.692078) + (xy 81.223943 125.712043) + (xy 81.110228 125.769984) + (xy 81.019984 125.860228) + (xy 80.962043 125.973943) + (xy 80.942078 126.099999) + (xy 80.942078 126.1) + (xy 80.457922 126.1) + (xy 80.437957 125.973945) + (xy 80.380016 125.860229) + (xy 80.289771 125.769984) + (xy 80.176055 125.712043) + (xy 80.176057 125.712043) + (xy 80.05 125.692078) + (xy 79.923943 125.712043) + (xy 79.810228 125.769984) + (xy 79.719984 125.860228) + (xy 79.662043 125.973943) + (xy 79.642078 126.099999) + (xy 79.642078 126.1) + (xy 79.157922 126.1) + (xy 79.137957 125.973945) + (xy 79.080016 125.860229) + (xy 78.989771 125.769984) + (xy 78.876055 125.712043) + (xy 78.876057 125.712043) + (xy 78.75 125.692078) + (xy 78.623943 125.712043) + (xy 78.510228 125.769984) + (xy 78.419984 125.860228) + (xy 78.362043 125.973943) + (xy 78.342078 126.099999) + (xy 78.342078 126.1) + (xy 69.03305 126.1) + (xy 69.051422 125.984) + (xy 69.049829 125.973945) + (xy 69.046934 125.955662) + (xy 69.031457 125.857945) + (xy 68.973516 125.744229) + (xy 68.883271 125.653984) + (xy 68.769555 125.596043) + (xy 68.769557 125.596043) + (xy 68.6435 125.576078) + (xy 68.517443 125.596043) + (xy 68.403728 125.653984) + (xy 68.313484 125.744228) + (xy 68.255543 125.857943) + (xy 68.235578 125.983999) + (xy 68.235578 125.984) + (xy 46.101 125.984) + (xy 46.101 124.75766) + (xy 67.258893 124.75766) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.070159 125.45767) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.697967 125.011407) + (xy 68.747969 124.844388) + (xy 68.753021 124.75766) + (xy 69.290893 124.75766) + (xy 69.321168 124.929356) + (xy 69.39022 125.089437) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.102159 125.45767) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.642796 125.162393) + (xy 70.729967 125.011407) + (xy 70.778289 124.85) + (xy 128.592329 124.85) + (xy 128.611331 124.994337) + (xy 128.618402 125.011407) + (xy 128.667043 125.128836) + (xy 128.667044 125.128838) + (xy 128.667045 125.128839) + (xy 128.755666 125.244333) + (xy 128.814145 125.289205) + (xy 128.871164 125.332957) + (xy 129.005664 125.388669) + (xy 129.15 125.407671) + (xy 129.294336 125.388669) + (xy 129.428836 125.332957) + (xy 129.544333 125.244333) + (xy 129.632957 125.128836) + (xy 129.688669 124.994336) + (xy 129.707671 124.85) + (xy 130.992329 124.85) + (xy 131.011331 124.994337) + (xy 131.018402 125.011407) + (xy 131.067043 125.128836) + (xy 131.067044 125.128838) + (xy 131.067045 125.128839) + (xy 131.155666 125.244333) + (xy 131.214145 125.289205) + (xy 131.271164 125.332957) + (xy 131.405664 125.388669) + (xy 131.55 125.407671) + (xy 131.694336 125.388669) + (xy 131.828836 125.332957) + (xy 131.944333 125.244333) + (xy 132.032957 125.128836) + (xy 132.088669 124.994336) + (xy 132.101088 124.9) + (xy 133.442329 124.9) + (xy 133.454748 124.994337) + (xy 133.461331 125.044336) + (xy 133.517043 125.178836) + (xy 133.517044 125.178838) + (xy 133.517045 125.178839) + (xy 133.605666 125.294333) + (xy 133.666939 125.341349) + (xy 133.721164 125.382957) + (xy 133.855664 125.438669) + (xy 134 125.457671) + (xy 134.144336 125.438669) + (xy 134.278836 125.382957) + (xy 134.394333 125.294333) + (xy 134.482957 125.178836) + (xy 134.538669 125.044336) + (xy 134.557671 124.9) + (xy 134.538669 124.755664) + (xy 134.482957 124.621165) + (xy 134.444591 124.571165) + (xy 134.394333 124.505666) + (xy 134.278839 124.417045) + (xy 134.278838 124.417044) + (xy 134.278836 124.417043) + (xy 134.158131 124.367045) + (xy 134.144337 124.361331) + (xy 134 124.342329) + (xy 133.855662 124.361331) + (xy 133.744238 124.407485) + (xy 133.722252 124.416593) + (xy 133.721163 124.417044) + (xy 133.721162 124.417044) + (xy 133.605666 124.505666) + (xy 133.517044 124.621162) + (xy 133.517044 124.621163) + (xy 133.461331 124.755662) + (xy 133.442329 124.9) + (xy 132.101088 124.9) + (xy 132.107671 124.85) + (xy 132.088669 124.705664) + (xy 132.032957 124.571165) + (xy 132.032955 124.571162) + (xy 131.944333 124.455666) + (xy 131.828839 124.367045) + (xy 131.828838 124.367044) + (xy 131.828836 124.367043) + (xy 131.741891 124.331029) + (xy 131.694337 124.311331) + (xy 131.55 124.292329) + (xy 131.405662 124.311331) + (xy 131.271163 124.367044) + (xy 131.271162 124.367044) + (xy 131.155666 124.455666) + (xy 131.067044 124.571162) + (xy 131.067044 124.571163) + (xy 131.067043 124.571164) + (xy 131.067043 124.571165) + (xy 131.061888 124.583611) + (xy 131.011331 124.705662) + (xy 130.992329 124.85) + (xy 129.707671 124.85) + (xy 129.688669 124.705664) + (xy 129.632957 124.571165) + (xy 129.632955 124.571162) + (xy 129.544333 124.455666) + (xy 129.428839 124.367045) + (xy 129.428838 124.367044) + (xy 129.428836 124.367043) + (xy 129.341891 124.331029) + (xy 129.294337 124.311331) + (xy 129.15 124.292329) + (xy 129.005662 124.311331) + (xy 128.871163 124.367044) + (xy 128.871162 124.367044) + (xy 128.755666 124.455666) + (xy 128.667044 124.571162) + (xy 128.667044 124.571163) + (xy 128.667043 124.571164) + (xy 128.667043 124.571165) + (xy 128.661888 124.583611) + (xy 128.611331 124.705662) + (xy 128.592329 124.85) + (xy 70.778289 124.85) + (xy 70.779969 124.844388) + (xy 70.790107 124.67034) + (xy 70.759832 124.498646) + (xy 70.750087 124.476055) + (xy 70.695713 124.35) + (xy 120.592078 124.35) + (xy 120.612043 124.476056) + (xy 120.627131 124.505667) + (xy 120.669984 124.589771) + (xy 120.760229 124.680016) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.330016 124.589771) + (xy 121.387957 124.476055) + (xy 121.407922 124.35) + (xy 121.387957 124.223945) + (xy 121.330016 124.110229) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.760228 124.019984) + (xy 120.669984 124.110228) + (xy 120.612043 124.223943) + (xy 120.592078 124.349999) + (xy 120.592078 124.35) + (xy 70.695713 124.35) + (xy 70.690779 124.338562) + (xy 70.611594 124.232198) + (xy 70.586668 124.198717) + (xy 70.556852 124.173698) + (xy 70.453117 124.086653) + (xy 70.453116 124.086652) + (xy 70.297315 124.008406) + (xy 70.127671 123.9682) + (xy 69.997064 123.9682) + (xy 69.997054 123.9682) + (xy 69.867338 123.983362) + (xy 69.703509 124.042991) + (xy 69.703508 124.042991) + (xy 69.703507 124.042992) + (xy 69.557846 124.138795) + (xy 69.557845 124.138795) + (xy 69.557845 124.138796) + (xy 69.438204 124.265606) + (xy 69.351032 124.416593) + (xy 69.301031 124.583609) + (xy 69.301031 124.583611) + (xy 69.290893 124.75766) + (xy 68.753021 124.75766) + (xy 68.758107 124.67034) + (xy 68.727832 124.498646) + (xy 68.718087 124.476055) + (xy 68.658779 124.338562) + (xy 68.579594 124.232198) + (xy 68.554668 124.198717) + (xy 68.524852 124.173698) + (xy 68.421117 124.086653) + (xy 68.421116 124.086652) + (xy 68.265315 124.008406) + (xy 68.095671 123.9682) + (xy 67.965064 123.9682) + (xy 67.965054 123.9682) + (xy 67.835338 123.983362) + (xy 67.671509 124.042991) + (xy 67.671508 124.042991) + (xy 67.671507 124.042992) + (xy 67.525846 124.138795) + (xy 67.525845 124.138795) + (xy 67.525845 124.138796) + (xy 67.406204 124.265606) + (xy 67.319032 124.416593) + (xy 67.269031 124.583609) + (xy 67.269031 124.583611) + (xy 67.258893 124.75766) + (xy 46.101 124.75766) + (xy 46.101 122.809) + (xy 63.028578 122.809) + (xy 63.048543 122.935056) + (xy 63.106484 123.048771) + (xy 63.196729 123.139016) + (xy 63.310443 123.196956) + (xy 63.310445 123.196957) + (xy 63.4365 123.216922) + (xy 63.562555 123.196957) + (xy 63.676271 123.139016) + (xy 63.766516 123.048771) + (xy 63.824457 122.935055) + (xy 63.82555 122.928155) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.124515 123.276055) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.335542 123.673945) + (xy 65.42294 123.786235) + (xy 65.598266 123.947633) + (xy 65.744224 124.042992) + (xy 65.797768 124.077974) + (xy 66.015991 124.173696) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.953002 124.173699) + (xy 67.171234 124.077973) + (xy 67.370734 123.947633) + (xy 67.54606 123.786235) + (xy 67.692429 123.598179) + (xy 67.805849 123.388597) + (xy 67.883226 123.163206) + (xy 67.922449 122.928155) + (xy 70.12655 122.928155) + (xy 70.165772 123.163198) + (xy 70.165773 123.163202) + (xy 70.165774 123.163206) + (xy 70.204515 123.276055) + (xy 70.243152 123.3886) + (xy 70.243153 123.388602) + (xy 70.356569 123.598177) + (xy 70.415542 123.673945) + (xy 70.50294 123.786235) + (xy 70.678266 123.947633) + (xy 70.824224 124.042992) + (xy 70.877768 124.077974) + (xy 71.095991 124.173696) + (xy 71.095998 124.173699) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.611107 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.733517 123.9682) + (xy 77.769984 124.039771) + (xy 77.860229 124.130016) + (xy 77.973943 124.187956) + (xy 77.973945 124.187957) + (xy 78.1 124.207922) + (xy 78.226055 124.187957) + (xy 78.339771 124.130016) + (xy 78.430016 124.039771) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 86.892078 123.8) + (xy 86.912043 123.926056) + (xy 86.933517 123.9682) + (xy 86.969984 124.039771) + (xy 87.060229 124.130016) + (xy 87.173943 124.187956) + (xy 87.173945 124.187957) + (xy 87.3 124.207922) + (xy 87.426055 124.187957) + (xy 87.539771 124.130016) + (xy 87.630016 124.039771) + (xy 87.687957 123.926055) + (xy 87.707922 123.8) + (xy 96.092078 123.8) + (xy 96.112043 123.926056) + (xy 96.133517 123.9682) + (xy 96.169984 124.039771) + (xy 96.260229 124.130016) + (xy 96.373943 124.187956) + (xy 96.373945 124.187957) + (xy 96.5 124.207922) + (xy 96.626055 124.187957) + (xy 96.739771 124.130016) + (xy 96.830016 124.039771) + (xy 96.887957 123.926055) + (xy 96.907922 123.8) + (xy 105.292078 123.8) + (xy 105.312043 123.926056) + (xy 105.333517 123.9682) + (xy 105.369984 124.039771) + (xy 105.460229 124.130016) + (xy 105.573943 124.187956) + (xy 105.573945 124.187957) + (xy 105.7 124.207922) + (xy 105.826055 124.187957) + (xy 105.939771 124.130016) + (xy 106.030016 124.039771) + (xy 106.087957 123.926055) + (xy 106.107922 123.8) + (xy 106.592078 123.8) + (xy 106.612043 123.926056) + (xy 106.633517 123.9682) + (xy 106.669984 124.039771) + (xy 106.760229 124.130016) + (xy 106.873943 124.187956) + (xy 106.873945 124.187957) + (xy 107 124.207922) + (xy 107.126055 124.187957) + (xy 107.239771 124.130016) + (xy 107.330016 124.039771) + (xy 107.387957 123.926055) + (xy 107.407922 123.8) + (xy 107.892078 123.8) + (xy 107.912043 123.926056) + (xy 107.933517 123.9682) + (xy 107.969984 124.039771) + (xy 108.060229 124.130016) + (xy 108.173943 124.187956) + (xy 108.173945 124.187957) + (xy 108.3 124.207922) + (xy 108.426055 124.187957) + (xy 108.539771 124.130016) + (xy 108.630016 124.039771) + (xy 108.687957 123.926055) + (xy 108.707922 123.8) + (xy 108.687957 123.673945) + (xy 108.630016 123.560229) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173943 123.412043) + (xy 108.060228 123.469984) + (xy 107.969984 123.560228) + (xy 107.912043 123.673943) + (xy 107.892078 123.799999) + (xy 107.892078 123.8) + (xy 107.407922 123.8) + (xy 107.387957 123.673945) + (xy 107.330016 123.560229) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873943 123.412043) + (xy 106.760228 123.469984) + (xy 106.669984 123.560228) + (xy 106.612043 123.673943) + (xy 106.592078 123.799999) + (xy 106.592078 123.8) + (xy 106.107922 123.8) + (xy 106.087957 123.673945) + (xy 106.030016 123.560229) + (xy 105.939771 123.469984) + (xy 105.826055 123.412043) + (xy 105.826057 123.412043) + (xy 105.7 123.392078) + (xy 105.573943 123.412043) + (xy 105.460228 123.469984) + (xy 105.369984 123.560228) + (xy 105.312043 123.673943) + (xy 105.292078 123.799999) + (xy 105.292078 123.8) + (xy 96.907922 123.8) + (xy 96.887957 123.673945) + (xy 96.830016 123.560229) + (xy 96.739771 123.469984) + (xy 96.626055 123.412043) + (xy 96.626057 123.412043) + (xy 96.5 123.392078) + (xy 96.373943 123.412043) + (xy 96.260228 123.469984) + (xy 96.169984 123.560228) + (xy 96.112043 123.673943) + (xy 96.092078 123.799999) + (xy 96.092078 123.8) + (xy 87.707922 123.8) + (xy 87.687957 123.673945) + (xy 87.630016 123.560229) + (xy 87.539771 123.469984) + (xy 87.426055 123.412043) + (xy 87.426057 123.412043) + (xy 87.3 123.392078) + (xy 87.173943 123.412043) + (xy 87.060228 123.469984) + (xy 86.969984 123.560228) + (xy 86.912043 123.673943) + (xy 86.892078 123.799999) + (xy 86.892078 123.8) + (xy 78.507922 123.8) + (xy 78.487957 123.673945) + (xy 78.430016 123.560229) + (xy 78.339771 123.469984) + (xy 78.226055 123.412043) + (xy 78.226057 123.412043) + (xy 78.1 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 72.611107 123.8) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 72.982117 123.05) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.012995 123.276055) + (xy 106.019984 123.289771) + (xy 106.110229 123.380016) + (xy 106.223943 123.437956) + (xy 106.223945 123.437957) + (xy 106.35 123.457922) + (xy 106.476055 123.437957) + (xy 106.589771 123.380016) + (xy 106.680016 123.289771) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.312995 123.276055) + (xy 107.319984 123.289771) + (xy 107.410229 123.380016) + (xy 107.523943 123.437956) + (xy 107.523945 123.437957) + (xy 107.65 123.457922) + (xy 107.776055 123.437957) + (xy 107.889771 123.380016) + (xy 107.980016 123.289771) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.612995 123.276055) + (xy 108.619984 123.289771) + (xy 108.710229 123.380016) + (xy 108.823943 123.437956) + (xy 108.823945 123.437957) + (xy 108.95 123.457922) + (xy 109.076055 123.437957) + (xy 109.189771 123.380016) + (xy 109.280016 123.289771) + (xy 109.337957 123.176055) + (xy 109.350003 123.1) + (xy 114.392078 123.1) + (xy 114.412043 123.226056) + (xy 114.444508 123.289771) + (xy 114.469984 123.339771) + (xy 114.560229 123.430016) + (xy 114.673943 123.487956) + (xy 114.673945 123.487957) + (xy 114.8 123.507922) + (xy 114.926055 123.487957) + (xy 115.039771 123.430016) + (xy 115.130016 123.339771) + (xy 115.187957 123.226055) + (xy 115.200003 123.15) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.144508 123.339771) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.900003 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.294508 123.289771) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.050003 123.15) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.844508 123.339771) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.439771 123.480016) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.607922 123.15) + (xy 121.587957 123.023945) + (xy 121.530016 122.910229) + (xy 121.439771 122.819984) + (xy 121.326055 122.762043) + (xy 121.326057 122.762043) + (xy 121.2 122.742078) + (xy 121.073943 122.762043) + (xy 120.960228 122.819984) + (xy 120.869984 122.910228) + (xy 120.812043 123.023943) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.050003 123.15) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 119.980016 122.860229) + (xy 119.889771 122.769984) + (xy 119.776055 122.712043) + (xy 119.776057 122.712043) + (xy 119.65 122.692078) + (xy 119.523943 122.712043) + (xy 119.410228 122.769984) + (xy 119.319984 122.860228) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 116.900003 123.1) + (xy 116.887957 123.023945) + (xy 116.830016 122.910229) + (xy 116.739771 122.819984) + (xy 116.626055 122.762043) + (xy 116.626057 122.762043) + (xy 116.5 122.742078) + (xy 116.373943 122.762043) + (xy 116.260228 122.819984) + (xy 116.169984 122.910228) + (xy 116.112043 123.023943) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 115.200003 123.15) + (xy 115.207922 123.1) + (xy 115.187957 122.973945) + (xy 115.130016 122.860229) + (xy 115.039771 122.769984) + (xy 114.926055 122.712043) + (xy 114.926057 122.712043) + (xy 114.8 122.692078) + (xy 114.673943 122.712043) + (xy 114.560228 122.769984) + (xy 114.469984 122.860228) + (xy 114.412043 122.973943) + (xy 114.392078 123.099999) + (xy 114.392078 123.1) + (xy 109.350003 123.1) + (xy 109.357922 123.05) + (xy 109.337957 122.923945) + (xy 109.280016 122.810229) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 72.982117 123.05) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 115.142078 122.2) + (xy 115.162043 122.326056) + (xy 115.200269 122.401078) + (xy 115.219984 122.439771) + (xy 115.310229 122.530016) + (xy 115.423943 122.587956) + (xy 115.423945 122.587957) + (xy 115.55 122.607922) + (xy 115.676055 122.587957) + (xy 115.789771 122.530016) + (xy 115.880016 122.439771) + (xy 115.937957 122.326055) + (xy 115.957922 122.2) + (xy 116.942078 122.2) + (xy 116.962043 122.326056) + (xy 117.000269 122.401078) + (xy 117.019984 122.439771) + (xy 117.110229 122.530016) + (xy 117.223943 122.587956) + (xy 117.223945 122.587957) + (xy 117.35 122.607922) + (xy 117.476055 122.587957) + (xy 117.589771 122.530016) + (xy 117.680016 122.439771) + (xy 117.737957 122.326055) + (xy 117.757922 122.2) + (xy 119.842078 122.2) + (xy 119.862043 122.326056) + (xy 119.900269 122.401078) + (xy 119.919984 122.439771) + (xy 120.010229 122.530016) + (xy 120.123943 122.587956) + (xy 120.123945 122.587957) + (xy 120.25 122.607922) + (xy 120.376055 122.587957) + (xy 120.489771 122.530016) + (xy 120.580016 122.439771) + (xy 120.637957 122.326055) + (xy 120.657922 122.2) + (xy 121.642078 122.2) + (xy 121.662043 122.326056) + (xy 121.700269 122.401078) + (xy 121.719984 122.439771) + (xy 121.810229 122.530016) + (xy 121.923943 122.587956) + (xy 121.923945 122.587957) + (xy 122.05 122.607922) + (xy 122.176055 122.587957) + (xy 122.289771 122.530016) + (xy 122.380016 122.439771) + (xy 122.437957 122.326055) + (xy 122.457922 122.2) + (xy 122.437957 122.073945) + (xy 122.380016 121.960229) + (xy 122.289771 121.869984) + (xy 122.176055 121.812043) + (xy 122.176057 121.812043) + (xy 122.05 121.792078) + (xy 121.923943 121.812043) + (xy 121.810228 121.869984) + (xy 121.719984 121.960228) + (xy 121.662043 122.073943) + (xy 121.642078 122.199999) + (xy 121.642078 122.2) + (xy 120.657922 122.2) + (xy 120.637957 122.073945) + (xy 120.580016 121.960229) + (xy 120.489771 121.869984) + (xy 120.376055 121.812043) + (xy 120.376057 121.812043) + (xy 120.25 121.792078) + (xy 120.123943 121.812043) + (xy 120.010228 121.869984) + (xy 119.919984 121.960228) + (xy 119.862043 122.073943) + (xy 119.842078 122.199999) + (xy 119.842078 122.2) + (xy 117.757922 122.2) + (xy 117.737957 122.073945) + (xy 117.680016 121.960229) + (xy 117.589771 121.869984) + (xy 117.476055 121.812043) + (xy 117.476057 121.812043) + (xy 117.35 121.792078) + (xy 117.223943 121.812043) + (xy 117.110228 121.869984) + (xy 117.019984 121.960228) + (xy 116.962043 122.073943) + (xy 116.942078 122.199999) + (xy 116.942078 122.2) + (xy 115.957922 122.2) + (xy 115.937957 122.073945) + (xy 115.880016 121.960229) + (xy 115.789771 121.869984) + (xy 115.676055 121.812043) + (xy 115.676057 121.812043) + (xy 115.55 121.792078) + (xy 115.423943 121.812043) + (xy 115.310228 121.869984) + (xy 115.219984 121.960228) + (xy 115.162043 122.073943) + (xy 115.142078 122.199999) + (xy 115.142078 122.2) + (xy 72.869937 122.2) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356569 122.019822) + (xy 70.243153 122.229397) + (xy 70.243152 122.229399) + (xy 70.165775 122.454791) + (xy 70.165772 122.454801) + (xy 70.12655 122.689844) + (xy 70.12655 122.928155) + (xy 67.922449 122.928155) + (xy 67.92245 122.928152) + (xy 67.92245 122.689848) + (xy 67.883226 122.454794) + (xy 67.805849 122.229403) + (xy 67.789937 122.2) + (xy 67.69243 122.019822) + (xy 67.546061 121.831766) + (xy 67.546057 121.831762) + (xy 67.370734 121.670367) + (xy 67.171231 121.540025) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 63.82555 122.928155) + (xy 63.844422 122.809) + (xy 63.824457 122.682945) + (xy 63.766516 122.569229) + (xy 63.676271 122.478984) + (xy 63.562555 122.421043) + (xy 63.562557 122.421043) + (xy 63.4365 122.401078) + (xy 63.310443 122.421043) + (xy 63.196728 122.478984) + (xy 63.106484 122.569228) + (xy 63.048543 122.682943) + (xy 63.028578 122.808999) + (xy 63.028578 122.809) + (xy 46.101 122.809) + (xy 46.101 121.35) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.137957 121.223945) + (xy 133.10028 121.15) + (xy 134.742443 121.15) + (xy 134.760977 121.278911) + (xy 134.815078 121.397373) + (xy 134.81508 121.397376) + (xy 134.900366 121.495801) + (xy 135.009926 121.566209) + (xy 135.134883 121.6029) + (xy 135.265117 121.6029) + (xy 135.390073 121.566209) + (xy 135.390073 121.566208) + (xy 135.390076 121.566208) + (xy 135.499636 121.495799) + (xy 135.584921 121.397374) + (xy 135.639023 121.278909) + (xy 135.657557 121.15) + (xy 136.842443 121.15) + (xy 136.860977 121.278911) + (xy 136.915078 121.397373) + (xy 136.91508 121.397376) + (xy 137.000366 121.495801) + (xy 137.109926 121.566209) + (xy 137.234883 121.6029) + (xy 137.365117 121.6029) + (xy 137.490073 121.566209) + (xy 137.490073 121.566208) + (xy 137.490076 121.566208) + (xy 137.599636 121.495799) + (xy 137.684921 121.397374) + (xy 137.739023 121.278909) + (xy 137.757557 121.15) + (xy 137.739023 121.021091) + (xy 137.738517 121.019984) + (xy 137.684921 120.902626) + (xy 137.684919 120.902623) + (xy 137.599633 120.804198) + (xy 137.490073 120.73379) + (xy 137.365117 120.6971) + (xy 137.234883 120.6971) + (xy 137.109926 120.73379) + (xy 137.000366 120.804198) + (xy 136.91508 120.902623) + (xy 136.915078 120.902626) + (xy 136.860977 121.021088) + (xy 136.842443 121.15) + (xy 135.657557 121.15) + (xy 135.639023 121.021091) + (xy 135.638517 121.019984) + (xy 135.584921 120.902626) + (xy 135.584919 120.902623) + (xy 135.499633 120.804198) + (xy 135.390073 120.73379) + (xy 135.265117 120.6971) + (xy 135.134883 120.6971) + (xy 135.009926 120.73379) + (xy 134.900366 120.804198) + (xy 134.81508 120.902623) + (xy 134.815078 120.902626) + (xy 134.760977 121.021088) + (xy 134.742443 121.15) + (xy 133.10028 121.15) + (xy 133.080016 121.110229) + (xy 132.989771 121.019984) + (xy 132.876055 120.962043) + (xy 132.876057 120.962043) + (xy 132.75 120.942078) + (xy 132.623943 120.962043) + (xy 132.510228 121.019984) + (xy 132.419984 121.110228) + (xy 132.362043 121.223943) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 130.757922 121.35) + (xy 130.737957 121.223945) + (xy 130.680016 121.110229) + (xy 130.589771 121.019984) + (xy 130.476055 120.962043) + (xy 130.476057 120.962043) + (xy 130.35 120.942078) + (xy 130.223943 120.962043) + (xy 130.110228 121.019984) + (xy 130.019984 121.110228) + (xy 129.962043 121.223943) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 46.101 121.35) + (xy 46.101 120.15) + (xy 77.692078 120.15) + (xy 77.712043 120.276056) + (xy 77.721358 120.294337) + (xy 77.769984 120.389771) + (xy 77.860229 120.480016) + (xy 77.973943 120.537956) + (xy 77.973945 120.537957) + (xy 78.1 120.557922) + (xy 78.226055 120.537957) + (xy 78.339771 120.480016) + (xy 78.430016 120.389771) + (xy 78.487957 120.276055) + (xy 78.507922 120.15) + (xy 86.892078 120.15) + (xy 86.912043 120.276056) + (xy 86.921358 120.294337) + (xy 86.969984 120.389771) + (xy 87.060229 120.480016) + (xy 87.173943 120.537956) + (xy 87.173945 120.537957) + (xy 87.3 120.557922) + (xy 87.426055 120.537957) + (xy 87.539771 120.480016) + (xy 87.630016 120.389771) + (xy 87.687957 120.276055) + (xy 87.707922 120.15) + (xy 96.092078 120.15) + (xy 96.112043 120.276056) + (xy 96.121358 120.294337) + (xy 96.169984 120.389771) + (xy 96.260229 120.480016) + (xy 96.373943 120.537956) + (xy 96.373945 120.537957) + (xy 96.5 120.557922) + (xy 96.626055 120.537957) + (xy 96.739771 120.480016) + (xy 96.830016 120.389771) + (xy 96.887957 120.276055) + (xy 96.907922 120.15) + (xy 105.292078 120.15) + (xy 105.312043 120.276056) + (xy 105.321358 120.294337) + (xy 105.369984 120.389771) + (xy 105.460229 120.480016) + (xy 105.573943 120.537956) + (xy 105.573945 120.537957) + (xy 105.7 120.557922) + (xy 105.826055 120.537957) + (xy 105.90055 120.5) + (xy 126.042329 120.5) + (xy 126.059832 120.632954) + (xy 126.061331 120.644336) + (xy 126.117043 120.778836) + (xy 126.117044 120.778838) + (xy 126.117045 120.778839) + (xy 126.205666 120.894333) + (xy 126.293908 120.962043) + (xy 126.321164 120.982957) + (xy 126.455664 121.038669) + (xy 126.6 121.057671) + (xy 126.744336 121.038669) + (xy 126.878836 120.982957) + (xy 126.994333 120.894333) + (xy 127.082957 120.778836) + (xy 127.138669 120.644336) + (xy 127.157671 120.5) + (xy 127.138669 120.355664) + (xy 127.082957 120.221165) + (xy 127.066717 120.2) + (xy 128.592329 120.2) + (xy 128.604748 120.294337) + (xy 128.611331 120.344336) + (xy 128.667043 120.478836) + (xy 128.667044 120.478838) + (xy 128.667045 120.478839) + (xy 128.755666 120.594333) + (xy 128.820832 120.644336) + (xy 128.871164 120.682957) + (xy 129.005664 120.738669) + (xy 129.15 120.757671) + (xy 129.294336 120.738669) + (xy 129.428836 120.682957) + (xy 129.544333 120.594333) + (xy 129.632957 120.478836) + (xy 129.688669 120.344336) + (xy 129.707671 120.2) + (xy 130.992329 120.2) + (xy 131.004748 120.294337) + (xy 131.011331 120.344336) + (xy 131.067043 120.478836) + (xy 131.067044 120.478838) + (xy 131.067045 120.478839) + (xy 131.155666 120.594333) + (xy 131.220832 120.644336) + (xy 131.271164 120.682957) + (xy 131.405664 120.738669) + (xy 131.55 120.757671) + (xy 131.694336 120.738669) + (xy 131.828836 120.682957) + (xy 131.944333 120.594333) + (xy 132.032957 120.478836) + (xy 132.065612 120.4) + (xy 133.442329 120.4) + (xy 133.461331 120.544337) + (xy 133.481029 120.591891) + (xy 133.517043 120.678836) + (xy 133.517044 120.678838) + (xy 133.517045 120.678839) + (xy 133.605666 120.794333) + (xy 133.634176 120.816209) + (xy 133.721164 120.882957) + (xy 133.855664 120.938669) + (xy 134 120.957671) + (xy 134.144336 120.938669) + (xy 134.278836 120.882957) + (xy 134.394333 120.794333) + (xy 134.482957 120.678836) + (xy 134.538669 120.544336) + (xy 134.557671 120.4) + (xy 137.942443 120.4) + (xy 137.960977 120.528911) + (xy 138.015078 120.647373) + (xy 138.01508 120.647376) + (xy 138.100366 120.745801) + (xy 138.118837 120.757671) + (xy 138.19124 120.804201) + (xy 138.209926 120.816209) + (xy 138.334883 120.8529) + (xy 138.465117 120.8529) + (xy 138.590073 120.816209) + (xy 138.590073 120.816208) + (xy 138.590076 120.816208) + (xy 138.699636 120.745799) + (xy 138.784921 120.647374) + (xy 138.839023 120.528909) + (xy 138.857557 120.4) + (xy 138.839023 120.271091) + (xy 138.816221 120.221163) + (xy 138.784921 120.152626) + (xy 138.784919 120.152623) + (xy 138.782646 120.15) + (xy 140.792329 120.15) + (xy 140.806239 120.255662) + (xy 140.811331 120.294336) + (xy 140.867043 120.428836) + (xy 140.867044 120.428838) + (xy 140.867045 120.428839) + (xy 140.955666 120.544333) + (xy 141.020828 120.594333) + (xy 141.071164 120.632957) + (xy 141.205664 120.688669) + (xy 141.35 120.707671) + (xy 141.494336 120.688669) + (xy 141.628836 120.632957) + (xy 141.744333 120.544333) + (xy 141.832957 120.428836) + (xy 141.888669 120.294336) + (xy 141.907671 120.15) + (xy 141.888669 120.005664) + (xy 141.832957 119.871165) + (xy 141.832955 119.871162) + (xy 141.744333 119.755666) + (xy 141.628839 119.667045) + (xy 141.628838 119.667044) + (xy 141.628836 119.667043) + (xy 141.541891 119.631029) + (xy 141.494337 119.611331) + (xy 141.35 119.592329) + (xy 141.205662 119.611331) + (xy 141.071163 119.667044) + (xy 141.071162 119.667044) + (xy 140.955666 119.755666) + (xy 140.867044 119.871162) + (xy 140.867044 119.871163) + (xy 140.811331 120.005662) + (xy 140.792329 120.15) + (xy 138.782646 120.15) + (xy 138.73379 120.093618) + (xy 138.699636 120.054201) + (xy 138.699635 120.0542) + (xy 138.699633 120.054198) + (xy 138.590073 119.98379) + (xy 138.465117 119.9471) + (xy 138.334883 119.9471) + (xy 138.209926 119.98379) + (xy 138.100366 120.054198) + (xy 138.01508 120.152623) + (xy 138.015078 120.152626) + (xy 137.960977 120.271088) + (xy 137.942443 120.4) + (xy 134.557671 120.4) + (xy 134.538669 120.255664) + (xy 134.482957 120.121165) + (xy 134.471065 120.105667) + (xy 134.394333 120.005666) + (xy 134.278839 119.917045) + (xy 134.278838 119.917044) + (xy 134.278836 119.917043) + (xy 134.174369 119.873771) + (xy 134.144337 119.861331) + (xy 134 119.842329) + (xy 133.855662 119.861331) + (xy 133.721163 119.917044) + (xy 133.721162 119.917044) + (xy 133.605666 120.005666) + (xy 133.517044 120.121162) + (xy 133.517044 120.121163) + (xy 133.461331 120.255662) + (xy 133.442329 120.4) + (xy 132.065612 120.4) + (xy 132.088669 120.344336) + (xy 132.107671 120.2) + (xy 132.088669 120.055664) + (xy 132.032957 119.921165) + (xy 131.994591 119.871165) + (xy 131.944333 119.805666) + (xy 131.828839 119.717045) + (xy 131.828838 119.717044) + (xy 131.828836 119.717043) + (xy 131.708131 119.667045) + (xy 131.694337 119.661331) + (xy 131.55 119.642329) + (xy 131.405662 119.661331) + (xy 131.271163 119.717044) + (xy 131.271162 119.717044) + (xy 131.155666 119.805666) + (xy 131.067044 119.921162) + (xy 131.067044 119.921163) + (xy 131.011331 120.055662) + (xy 130.992329 120.2) + (xy 129.707671 120.2) + (xy 129.688669 120.055664) + (xy 129.632957 119.921165) + (xy 129.594591 119.871165) + (xy 129.544333 119.805666) + (xy 129.428839 119.717045) + (xy 129.428838 119.717044) + (xy 129.428836 119.717043) + (xy 129.308131 119.667045) + (xy 129.294337 119.661331) + (xy 129.15 119.642329) + (xy 129.005662 119.661331) + (xy 128.871163 119.717044) + (xy 128.871162 119.717044) + (xy 128.755666 119.805666) + (xy 128.667044 119.921162) + (xy 128.667044 119.921163) + (xy 128.611331 120.055662) + (xy 128.592329 120.2) + (xy 127.066717 120.2) + (xy 127.030366 120.152626) + (xy 126.994333 120.105666) + (xy 126.878839 120.017045) + (xy 126.878838 120.017044) + (xy 126.878836 120.017043) + (xy 126.791891 119.981029) + (xy 126.744337 119.961331) + (xy 126.6 119.942329) + (xy 126.455662 119.961331) + (xy 126.321163 120.017044) + (xy 126.321162 120.017044) + (xy 126.205666 120.105666) + (xy 126.117044 120.221162) + (xy 126.117044 120.221163) + (xy 126.061331 120.355662) + (xy 126.042329 120.5) + (xy 105.90055 120.5) + (xy 105.939771 120.480016) + (xy 106.030016 120.389771) + (xy 106.087957 120.276055) + (xy 106.107922 120.15) + (xy 106.087957 120.023945) + (xy 106.030016 119.910229) + (xy 105.939771 119.819984) + (xy 105.826055 119.762043) + (xy 105.826057 119.762043) + (xy 105.7 119.742078) + (xy 105.573943 119.762043) + (xy 105.460228 119.819984) + (xy 105.369984 119.910228) + (xy 105.312043 120.023943) + (xy 105.292078 120.149999) + (xy 105.292078 120.15) + (xy 96.907922 120.15) + (xy 96.887957 120.023945) + (xy 96.830016 119.910229) + (xy 96.739771 119.819984) + (xy 96.626055 119.762043) + (xy 96.626057 119.762043) + (xy 96.5 119.742078) + (xy 96.373943 119.762043) + (xy 96.260228 119.819984) + (xy 96.169984 119.910228) + (xy 96.112043 120.023943) + (xy 96.092078 120.149999) + (xy 96.092078 120.15) + (xy 87.707922 120.15) + (xy 87.687957 120.023945) + (xy 87.630016 119.910229) + (xy 87.539771 119.819984) + (xy 87.426055 119.762043) + (xy 87.426057 119.762043) + (xy 87.3 119.742078) + (xy 87.173943 119.762043) + (xy 87.060228 119.819984) + (xy 86.969984 119.910228) + (xy 86.912043 120.023943) + (xy 86.892078 120.149999) + (xy 86.892078 120.15) + (xy 78.507922 120.15) + (xy 78.487957 120.023945) + (xy 78.430016 119.910229) + (xy 78.339771 119.819984) + (xy 78.226055 119.762043) + (xy 78.226057 119.762043) + (xy 78.1 119.742078) + (xy 77.973943 119.762043) + (xy 77.860228 119.819984) + (xy 77.769984 119.910228) + (xy 77.712043 120.023943) + (xy 77.692078 120.149999) + (xy 77.692078 120.15) + (xy 46.101 120.15) + (xy 46.101 119.634) + (xy 65.886078 119.634) + (xy 65.906043 119.760056) + (xy 65.962655 119.871162) + (xy 65.963984 119.873771) + (xy 66.054229 119.964016) + (xy 66.167943 120.021956) + (xy 66.167945 120.021957) + (xy 66.294 120.041922) + (xy 66.420055 120.021957) + (xy 66.533771 119.964016) + (xy 66.624016 119.873771) + (xy 66.681957 119.760055) + (xy 66.701922 119.634) + (xy 66.681957 119.507945) + (xy 66.624016 119.394229) + (xy 66.533771 119.303984) + (xy 66.420055 119.246043) + (xy 66.420057 119.246043) + (xy 66.294 119.226078) + (xy 66.167943 119.246043) + (xy 66.054228 119.303984) + (xy 65.963984 119.394228) + (xy 65.906043 119.507943) + (xy 65.886078 119.633999) + (xy 65.886078 119.634) + (xy 46.101 119.634) + (xy 46.101 119.2) + (xy 75.242443 119.2) + (xy 75.260977 119.328911) + (xy 75.315078 119.447373) + (xy 75.31508 119.447376) + (xy 75.400366 119.545801) + (xy 75.509926 119.616209) + (xy 75.634883 119.6529) + (xy 75.765117 119.6529) + (xy 75.890073 119.616209) + (xy 75.890073 119.616208) + (xy 75.890076 119.616208) + (xy 75.915296 119.6) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.652607 119.805666) + (xy 114.669984 119.839771) + (xy 114.760229 119.930016) + (xy 114.873943 119.987956) + (xy 114.873945 119.987957) + (xy 115 120.007922) + (xy 115.126055 119.987957) + (xy 115.239771 119.930016) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.302607 119.805666) + (xy 119.319984 119.839771) + (xy 119.410229 119.930016) + (xy 119.523943 119.987956) + (xy 119.523945 119.987957) + (xy 119.65 120.007922) + (xy 119.776055 119.987957) + (xy 119.889771 119.930016) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.523943 119.212043) + (xy 119.410228 119.269984) + (xy 119.319984 119.360228) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 115.407922 119.6) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 75.915296 119.6) + (xy 75.999636 119.545799) + (xy 76.084921 119.447374) + (xy 76.139023 119.328909) + (xy 76.157557 119.2) + (xy 76.139023 119.071091) + (xy 76.12939 119.05) + (xy 77.067078 119.05) + (xy 77.087043 119.176056) + (xy 77.134902 119.269984) + (xy 77.144984 119.289771) + (xy 77.235229 119.380016) + (xy 77.348943 119.437956) + (xy 77.348945 119.437957) + (xy 77.475 119.457922) + (xy 77.601055 119.437957) + (xy 77.714771 119.380016) + (xy 77.805016 119.289771) + (xy 77.862957 119.176055) + (xy 77.867084 119.15) + (xy 84.542078 119.15) + (xy 84.562043 119.276056) + (xy 84.576273 119.303984) + (xy 84.619984 119.389771) + (xy 84.710229 119.480016) + (xy 84.823943 119.537956) + (xy 84.823945 119.537957) + (xy 84.95 119.557922) + (xy 85.076055 119.537957) + (xy 85.189771 119.480016) + (xy 85.280016 119.389771) + (xy 85.337957 119.276055) + (xy 85.357922 119.15) + (xy 85.342084 119.05) + (xy 86.267078 119.05) + (xy 86.287043 119.176056) + (xy 86.334902 119.269984) + (xy 86.344984 119.289771) + (xy 86.435229 119.380016) + (xy 86.548943 119.437956) + (xy 86.548945 119.437957) + (xy 86.675 119.457922) + (xy 86.801055 119.437957) + (xy 86.914771 119.380016) + (xy 87.005016 119.289771) + (xy 87.062957 119.176055) + (xy 87.067084 119.15) + (xy 93.742078 119.15) + (xy 93.762043 119.276056) + (xy 93.776273 119.303984) + (xy 93.819984 119.389771) + (xy 93.910229 119.480016) + (xy 94.023943 119.537956) + (xy 94.023945 119.537957) + (xy 94.15 119.557922) + (xy 94.276055 119.537957) + (xy 94.389771 119.480016) + (xy 94.480016 119.389771) + (xy 94.537957 119.276055) + (xy 94.557922 119.15) + (xy 94.542084 119.05) + (xy 95.467078 119.05) + (xy 95.487043 119.176056) + (xy 95.534902 119.269984) + (xy 95.544984 119.289771) + (xy 95.635229 119.380016) + (xy 95.748943 119.437956) + (xy 95.748945 119.437957) + (xy 95.875 119.457922) + (xy 96.001055 119.437957) + (xy 96.114771 119.380016) + (xy 96.205016 119.289771) + (xy 96.262957 119.176055) + (xy 96.267084 119.15) + (xy 102.942078 119.15) + (xy 102.962043 119.276056) + (xy 102.976273 119.303984) + (xy 103.019984 119.389771) + (xy 103.110229 119.480016) + (xy 103.223943 119.537956) + (xy 103.223945 119.537957) + (xy 103.35 119.557922) + (xy 103.476055 119.537957) + (xy 103.589771 119.480016) + (xy 103.680016 119.389771) + (xy 103.737957 119.276055) + (xy 103.757922 119.15) + (xy 103.742084 119.05) + (xy 104.667078 119.05) + (xy 104.687043 119.176056) + (xy 104.734902 119.269984) + (xy 104.744984 119.289771) + (xy 104.835229 119.380016) + (xy 104.948943 119.437956) + (xy 104.948945 119.437957) + (xy 105.075 119.457922) + (xy 105.201055 119.437957) + (xy 105.314771 119.380016) + (xy 105.405016 119.289771) + (xy 105.462957 119.176055) + (xy 105.482922 119.05) + (xy 105.462957 118.923945) + (xy 105.405016 118.810229) + (xy 105.314771 118.719984) + (xy 105.201055 118.662043) + (xy 105.201057 118.662043) + (xy 105.075 118.642078) + (xy 104.948943 118.662043) + (xy 104.835228 118.719984) + (xy 104.744984 118.810228) + (xy 104.687043 118.923943) + (xy 104.667078 119.049999) + (xy 104.667078 119.05) + (xy 103.742084 119.05) + (xy 103.737957 119.023945) + (xy 103.680016 118.910229) + (xy 103.589771 118.819984) + (xy 103.476055 118.762043) + (xy 103.476057 118.762043) + (xy 103.35 118.742078) + (xy 103.223943 118.762043) + (xy 103.110228 118.819984) + (xy 103.019984 118.910228) + (xy 102.962043 119.023943) + (xy 102.942078 119.149999) + (xy 102.942078 119.15) + (xy 96.267084 119.15) + (xy 96.282922 119.05) + (xy 96.262957 118.923945) + (xy 96.205016 118.810229) + (xy 96.114771 118.719984) + (xy 96.001055 118.662043) + (xy 96.001057 118.662043) + (xy 95.875 118.642078) + (xy 95.748943 118.662043) + (xy 95.635228 118.719984) + (xy 95.544984 118.810228) + (xy 95.487043 118.923943) + (xy 95.467078 119.049999) + (xy 95.467078 119.05) + (xy 94.542084 119.05) + (xy 94.537957 119.023945) + (xy 94.480016 118.910229) + (xy 94.389771 118.819984) + (xy 94.276055 118.762043) + (xy 94.276057 118.762043) + (xy 94.15 118.742078) + (xy 94.023943 118.762043) + (xy 93.910228 118.819984) + (xy 93.819984 118.910228) + (xy 93.762043 119.023943) + (xy 93.742078 119.149999) + (xy 93.742078 119.15) + (xy 87.067084 119.15) + (xy 87.082922 119.05) + (xy 87.062957 118.923945) + (xy 87.005016 118.810229) + (xy 86.914771 118.719984) + (xy 86.801055 118.662043) + (xy 86.801057 118.662043) + (xy 86.675 118.642078) + (xy 86.548943 118.662043) + (xy 86.435228 118.719984) + (xy 86.344984 118.810228) + (xy 86.287043 118.923943) + (xy 86.267078 119.049999) + (xy 86.267078 119.05) + (xy 85.342084 119.05) + (xy 85.337957 119.023945) + (xy 85.280016 118.910229) + (xy 85.189771 118.819984) + (xy 85.076055 118.762043) + (xy 85.076057 118.762043) + (xy 84.95 118.742078) + (xy 84.823943 118.762043) + (xy 84.710228 118.819984) + (xy 84.619984 118.910228) + (xy 84.562043 119.023943) + (xy 84.542078 119.149999) + (xy 84.542078 119.15) + (xy 77.867084 119.15) + (xy 77.882922 119.05) + (xy 77.862957 118.923945) + (xy 77.805016 118.810229) + (xy 77.714771 118.719984) + (xy 77.601055 118.662043) + (xy 77.601057 118.662043) + (xy 77.475 118.642078) + (xy 77.348943 118.662043) + (xy 77.235228 118.719984) + (xy 77.144984 118.810228) + (xy 77.087043 118.923943) + (xy 77.067078 119.049999) + (xy 77.067078 119.05) + (xy 76.12939 119.05) + (xy 76.12939 119.049999) + (xy 76.084921 118.952626) + (xy 76.084919 118.952623) + (xy 75.999633 118.854198) + (xy 75.890073 118.78379) + (xy 75.765117 118.7471) + (xy 75.634883 118.7471) + (xy 75.509926 118.78379) + (xy 75.400366 118.854198) + (xy 75.31508 118.952623) + (xy 75.315078 118.952626) + (xy 75.260977 119.071088) + (xy 75.242443 119.2) + (xy 46.101 119.2) + (xy 46.101 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.141072 117.609283) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.422828 118.071091) + (xy 65.42294 118.071235) + (xy 65.598266 118.232633) + (xy 65.790035 118.357922) + (xy 65.797768 118.362974) + (xy 65.990177 118.447373) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.66265 118.522116) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 117.13766) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.611882 117.721346) + (xy 68.611883 117.721347) + (xy 68.611884 117.721347) + (xy 68.611886 117.721349) + (xy 68.767685 117.799594) + (xy 68.937329 117.8398) + (xy 69.067943 117.8398) + (xy 69.067945 117.839799) + (xy 69.084682 117.837842) + (xy 69.197664 117.824637) + (xy 69.361493 117.765008) + (xy 69.507154 117.669205) + (xy 69.626796 117.542393) + (xy 69.713967 117.391407) + (xy 69.763969 117.224388) + (xy 69.764623 117.213155) + (xy 70.12655 117.213155) + (xy 70.165772 117.448198) + (xy 70.165773 117.448202) + (xy 70.165774 117.448206) + (xy 70.221072 117.609283) + (xy 70.243152 117.6736) + (xy 70.243153 117.673602) + (xy 70.356569 117.883177) + (xy 70.502828 118.071091) + (xy 70.50294 118.071235) + (xy 70.678266 118.232633) + (xy 70.870035 118.357922) + (xy 70.877768 118.362974) + (xy 71.070177 118.447373) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.74265 118.522116) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.486183 118.2) + (xy 76.142443 118.2) + (xy 76.160977 118.328911) + (xy 76.215078 118.447373) + (xy 76.21508 118.447376) + (xy 76.300366 118.545801) + (xy 76.409926 118.616209) + (xy 76.534883 118.6529) + (xy 76.665117 118.6529) + (xy 76.790073 118.616209) + (xy 76.790073 118.616208) + (xy 76.790076 118.616208) + (xy 76.899636 118.545799) + (xy 76.984921 118.447374) + (xy 77.039023 118.328909) + (xy 77.057557 118.2) + (xy 77.039023 118.071091) + (xy 76.984921 117.952626) + (xy 76.984919 117.952623) + (xy 76.982646 117.95) + (xy 77.692078 117.95) + (xy 77.712043 118.076056) + (xy 77.769984 118.189771) + (xy 77.860229 118.280016) + (xy 77.973943 118.337956) + (xy 77.973945 118.337957) + (xy 78.1 118.357922) + (xy 78.226055 118.337957) + (xy 78.339771 118.280016) + (xy 78.430016 118.189771) + (xy 78.475756 118.1) + (xy 85.242329 118.1) + (xy 85.261331 118.244336) + (xy 85.317043 118.378836) + (xy 85.317044 118.378838) + (xy 85.317045 118.378839) + (xy 85.405666 118.494333) + (xy 85.472741 118.545801) + (xy 85.521164 118.582957) + (xy 85.655664 118.638669) + (xy 85.8 118.657671) + (xy 85.944336 118.638669) + (xy 86.078836 118.582957) + (xy 86.194333 118.494333) + (xy 86.282957 118.378836) + (xy 86.338669 118.244336) + (xy 86.357671 118.1) + (xy 86.338669 117.955664) + (xy 86.336323 117.95) + (xy 86.892078 117.95) + (xy 86.912043 118.076056) + (xy 86.969984 118.189771) + (xy 87.060229 118.280016) + (xy 87.173943 118.337956) + (xy 87.173945 118.337957) + (xy 87.3 118.357922) + (xy 87.426055 118.337957) + (xy 87.539771 118.280016) + (xy 87.630016 118.189771) + (xy 87.675756 118.1) + (xy 94.442329 118.1) + (xy 94.461331 118.244336) + (xy 94.517043 118.378836) + (xy 94.517044 118.378838) + (xy 94.517045 118.378839) + (xy 94.605666 118.494333) + (xy 94.672741 118.545801) + (xy 94.721164 118.582957) + (xy 94.855664 118.638669) + (xy 95 118.657671) + (xy 95.144336 118.638669) + (xy 95.278836 118.582957) + (xy 95.394333 118.494333) + (xy 95.482957 118.378836) + (xy 95.538669 118.244336) + (xy 95.557671 118.1) + (xy 95.538669 117.955664) + (xy 95.536323 117.95) + (xy 96.092078 117.95) + (xy 96.112043 118.076056) + (xy 96.169984 118.189771) + (xy 96.260229 118.280016) + (xy 96.373943 118.337956) + (xy 96.373945 118.337957) + (xy 96.5 118.357922) + (xy 96.626055 118.337957) + (xy 96.739771 118.280016) + (xy 96.830016 118.189771) + (xy 96.875756 118.1) + (xy 103.642329 118.1) + (xy 103.661331 118.244336) + (xy 103.717043 118.378836) + (xy 103.717044 118.378838) + (xy 103.717045 118.378839) + (xy 103.805666 118.494333) + (xy 103.872741 118.545801) + (xy 103.921164 118.582957) + (xy 104.055664 118.638669) + (xy 104.2 118.657671) + (xy 104.344336 118.638669) + (xy 104.437691 118.6) + (xy 126.042329 118.6) + (xy 126.061331 118.744337) + (xy 126.077673 118.78379) + (xy 126.117043 118.878836) + (xy 126.117044 118.878838) + (xy 126.117045 118.878839) + (xy 126.205666 118.994333) + (xy 126.305696 119.071088) + (xy 126.321164 119.082957) + (xy 126.455664 119.138669) + (xy 126.6 119.157671) + (xy 126.744336 119.138669) + (xy 126.878836 119.082957) + (xy 126.994333 118.994333) + (xy 127.082957 118.878836) + (xy 127.138669 118.744336) + (xy 127.157671 118.6) + (xy 127.138669 118.455664) + (xy 127.082957 118.321165) + (xy 127.051382 118.280015) + (xy 126.994333 118.205666) + (xy 126.878839 118.117045) + (xy 126.878838 118.117044) + (xy 126.878836 118.117043) + (xy 126.779883 118.076055) + (xy 126.744337 118.061331) + (xy 126.6 118.042329) + (xy 126.455662 118.061331) + (xy 126.321163 118.117044) + (xy 126.321162 118.117044) + (xy 126.205666 118.205666) + (xy 126.117044 118.321162) + (xy 126.117044 118.321163) + (xy 126.117043 118.321164) + (xy 126.117043 118.321165) + (xy 126.110088 118.337956) + (xy 126.061331 118.455662) + (xy 126.042329 118.6) + (xy 104.437691 118.6) + (xy 104.478836 118.582957) + (xy 104.594333 118.494333) + (xy 104.682957 118.378836) + (xy 104.738669 118.244336) + (xy 104.757671 118.1) + (xy 104.738669 117.955664) + (xy 104.736323 117.95) + (xy 105.292078 117.95) + (xy 105.312043 118.076056) + (xy 105.369984 118.189771) + (xy 105.460229 118.280016) + (xy 105.573943 118.337956) + (xy 105.573945 118.337957) + (xy 105.7 118.357922) + (xy 105.826055 118.337957) + (xy 105.939771 118.280016) + (xy 106.030016 118.189771) + (xy 106.087957 118.076055) + (xy 106.107922 117.95) + (xy 106.087957 117.823945) + (xy 106.030016 117.710229) + (xy 105.969787 117.65) + (xy 127.292329 117.65) + (xy 127.311331 117.794337) + (xy 127.323882 117.824637) + (xy 127.367043 117.928836) + (xy 127.367044 117.928838) + (xy 127.367045 117.928839) + (xy 127.455666 118.044333) + (xy 127.550424 118.117043) + (xy 127.571164 118.132957) + (xy 127.705664 118.188669) + (xy 127.85 118.207671) + (xy 127.994336 118.188669) + (xy 128.128836 118.132957) + (xy 128.244333 118.044333) + (xy 128.332957 117.928836) + (xy 128.388669 117.794336) + (xy 128.394506 117.75) + (xy 136.842443 117.75) + (xy 136.860977 117.878911) + (xy 136.915078 117.997373) + (xy 136.91508 117.997376) + (xy 137.000366 118.095801) + (xy 137.109926 118.166209) + (xy 137.234883 118.2029) + (xy 137.365117 118.2029) + (xy 137.490073 118.166209) + (xy 137.490073 118.166208) + (xy 137.490076 118.166208) + (xy 137.599636 118.095799) + (xy 137.684921 117.997374) + (xy 137.739023 117.878909) + (xy 137.757557 117.75) + (xy 137.739023 117.621091) + (xy 137.738517 117.619984) + (xy 137.684921 117.502626) + (xy 137.684919 117.502623) + (xy 137.599633 117.404198) + (xy 137.490073 117.33379) + (xy 137.365117 117.2971) + (xy 137.234883 117.2971) + (xy 137.109926 117.33379) + (xy 137.000366 117.404198) + (xy 136.91508 117.502623) + (xy 136.915078 117.502626) + (xy 136.860977 117.621088) + (xy 136.842443 117.75) + (xy 128.394506 117.75) + (xy 128.407671 117.65) + (xy 128.388669 117.505664) + (xy 128.332957 117.371165) + (xy 128.30428 117.333792) + (xy 128.244333 117.255666) + (xy 128.128839 117.167045) + (xy 128.128838 117.167044) + (xy 128.128836 117.167043) + (xy 128.041891 117.131029) + (xy 127.994337 117.111331) + (xy 127.85 117.092329) + (xy 127.705662 117.111331) + (xy 127.571163 117.167044) + (xy 127.571162 117.167044) + (xy 127.455666 117.255666) + (xy 127.367044 117.371162) + (xy 127.367044 117.371163) + (xy 127.311331 117.505662) + (xy 127.292329 117.65) + (xy 105.969787 117.65) + (xy 105.939771 117.619984) + (xy 105.826055 117.562043) + (xy 105.826057 117.562043) + (xy 105.7 117.542078) + (xy 105.573943 117.562043) + (xy 105.460228 117.619984) + (xy 105.369984 117.710228) + (xy 105.312043 117.823943) + (xy 105.292078 117.949999) + (xy 105.292078 117.95) + (xy 104.736323 117.95) + (xy 104.682957 117.821165) + (xy 104.682955 117.821162) + (xy 104.594333 117.705666) + (xy 104.478839 117.617045) + (xy 104.478838 117.617044) + (xy 104.478836 117.617043) + (xy 104.391891 117.581029) + (xy 104.344337 117.561331) + (xy 104.2 117.542329) + (xy 104.055662 117.561331) + (xy 103.921163 117.617044) + (xy 103.921162 117.617044) + (xy 103.805666 117.705666) + (xy 103.717044 117.821162) + (xy 103.717044 117.821163) + (xy 103.717043 117.821164) + (xy 103.717043 117.821165) + (xy 103.715605 117.824637) + (xy 103.661331 117.955662) + (xy 103.649921 118.042329) + (xy 103.642329 118.1) + (xy 96.875756 118.1) + (xy 96.887957 118.076055) + (xy 96.907922 117.95) + (xy 96.887957 117.823945) + (xy 96.830016 117.710229) + (xy 96.739771 117.619984) + (xy 96.626055 117.562043) + (xy 96.626057 117.562043) + (xy 96.5 117.542078) + (xy 96.373943 117.562043) + (xy 96.260228 117.619984) + (xy 96.169984 117.710228) + (xy 96.112043 117.823943) + (xy 96.092078 117.949999) + (xy 96.092078 117.95) + (xy 95.536323 117.95) + (xy 95.482957 117.821165) + (xy 95.482955 117.821162) + (xy 95.394333 117.705666) + (xy 95.278839 117.617045) + (xy 95.278838 117.617044) + (xy 95.278836 117.617043) + (xy 95.191891 117.581029) + (xy 95.144337 117.561331) + (xy 95 117.542329) + (xy 94.855662 117.561331) + (xy 94.721163 117.617044) + (xy 94.721162 117.617044) + (xy 94.605666 117.705666) + (xy 94.517044 117.821162) + (xy 94.517044 117.821163) + (xy 94.517043 117.821164) + (xy 94.517043 117.821165) + (xy 94.515605 117.824637) + (xy 94.461331 117.955662) + (xy 94.449921 118.042329) + (xy 94.442329 118.1) + (xy 87.675756 118.1) + (xy 87.687957 118.076055) + (xy 87.707922 117.95) + (xy 87.687957 117.823945) + (xy 87.630016 117.710229) + (xy 87.539771 117.619984) + (xy 87.426055 117.562043) + (xy 87.426057 117.562043) + (xy 87.3 117.542078) + (xy 87.173943 117.562043) + (xy 87.060228 117.619984) + (xy 86.969984 117.710228) + (xy 86.912043 117.823943) + (xy 86.892078 117.949999) + (xy 86.892078 117.95) + (xy 86.336323 117.95) + (xy 86.282957 117.821165) + (xy 86.282955 117.821162) + (xy 86.194333 117.705666) + (xy 86.078839 117.617045) + (xy 86.078838 117.617044) + (xy 86.078836 117.617043) + (xy 85.991891 117.581029) + (xy 85.944337 117.561331) + (xy 85.8 117.542329) + (xy 85.655662 117.561331) + (xy 85.521163 117.617044) + (xy 85.521162 117.617044) + (xy 85.405666 117.705666) + (xy 85.317044 117.821162) + (xy 85.317044 117.821163) + (xy 85.317043 117.821164) + (xy 85.317043 117.821165) + (xy 85.315605 117.824637) + (xy 85.261331 117.955662) + (xy 85.249921 118.042329) + (xy 85.242329 118.1) + (xy 78.475756 118.1) + (xy 78.487957 118.076055) + (xy 78.507922 117.95) + (xy 78.487957 117.823945) + (xy 78.430016 117.710229) + (xy 78.339771 117.619984) + (xy 78.226055 117.562043) + (xy 78.226057 117.562043) + (xy 78.1 117.542078) + (xy 77.973943 117.562043) + (xy 77.860228 117.619984) + (xy 77.769984 117.710228) + (xy 77.712043 117.823943) + (xy 77.692078 117.949999) + (xy 77.692078 117.95) + (xy 76.982646 117.95) + (xy 76.899633 117.854198) + (xy 76.790073 117.78379) + (xy 76.665117 117.7471) + (xy 76.534883 117.7471) + (xy 76.409926 117.78379) + (xy 76.300366 117.854198) + (xy 76.21508 117.952623) + (xy 76.215078 117.952626) + (xy 76.160977 118.071088) + (xy 76.142443 118.2) + (xy 72.486183 118.2) + (xy 72.62606 118.071235) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.796879 116.35) + (xy 89.042078 116.35) + (xy 89.062043 116.476056) + (xy 89.114351 116.578716) + (xy 89.119984 116.589771) + (xy 89.210229 116.680016) + (xy 89.323943 116.737956) + (xy 89.323945 116.737957) + (xy 89.45 116.757922) + (xy 89.576055 116.737957) + (xy 89.689771 116.680016) + (xy 89.780016 116.589771) + (xy 89.837957 116.476055) + (xy 89.857922 116.35) + (xy 89.842084 116.25) + (xy 113.792443 116.25) + (xy 113.810977 116.378911) + (xy 113.865078 116.497373) + (xy 113.86508 116.497376) + (xy 113.88364 116.518795) + (xy 113.935562 116.578717) + (xy 113.950366 116.595801) + (xy 114.059926 116.666209) + (xy 114.184883 116.7029) + (xy 114.315117 116.7029) + (xy 114.440073 116.666209) + (xy 114.440073 116.666208) + (xy 114.440076 116.666208) + (xy 114.549636 116.595799) + (xy 114.634921 116.497374) + (xy 114.689023 116.378909) + (xy 114.707557 116.25) + (xy 123.792443 116.25) + (xy 123.810977 116.378911) + (xy 123.865078 116.497373) + (xy 123.86508 116.497376) + (xy 123.88364 116.518795) + (xy 123.935562 116.578717) + (xy 123.950366 116.595801) + (xy 124.059926 116.666209) + (xy 124.184883 116.7029) + (xy 124.315117 116.7029) + (xy 124.440073 116.666209) + (xy 124.440073 116.666208) + (xy 124.440076 116.666208) + (xy 124.549636 116.595799) + (xy 124.634921 116.497374) + (xy 124.689023 116.378909) + (xy 124.707557 116.25) + (xy 124.689023 116.121091) + (xy 124.687047 116.116765) + (xy 124.634921 116.002626) + (xy 124.634919 116.002623) + (xy 124.549633 115.904198) + (xy 124.440073 115.83379) + (xy 124.315117 115.7971) + (xy 124.184883 115.7971) + (xy 124.059926 115.83379) + (xy 123.950366 115.904198) + (xy 123.86508 116.002623) + (xy 123.865078 116.002626) + (xy 123.810977 116.121088) + (xy 123.792443 116.25) + (xy 114.707557 116.25) + (xy 114.689023 116.121091) + (xy 114.687047 116.116765) + (xy 114.634921 116.002626) + (xy 114.634919 116.002623) + (xy 114.549633 115.904198) + (xy 114.440073 115.83379) + (xy 114.315117 115.7971) + (xy 114.184883 115.7971) + (xy 114.059926 115.83379) + (xy 113.950366 115.904198) + (xy 113.86508 116.002623) + (xy 113.865078 116.002626) + (xy 113.810977 116.121088) + (xy 113.792443 116.25) + (xy 89.842084 116.25) + (xy 89.837957 116.223945) + (xy 89.780016 116.110229) + (xy 89.689771 116.019984) + (xy 89.576055 115.962043) + (xy 89.576057 115.962043) + (xy 89.45 115.942078) + (xy 89.323943 115.962043) + (xy 89.210228 116.019984) + (xy 89.119984 116.110228) + (xy 89.062043 116.223943) + (xy 89.042078 116.349999) + (xy 89.042078 116.35) + (xy 72.796879 116.35) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.243153 116.514397) + (xy 70.243152 116.514399) + (xy 70.165775 116.739791) + (xy 70.165772 116.739801) + (xy 70.12655 116.974844) + (xy 70.12655 117.213155) + (xy 69.764623 117.213155) + (xy 69.774107 117.05034) + (xy 69.743832 116.878646) + (xy 69.674779 116.718562) + (xy 69.570668 116.578717) + (xy 69.570667 116.578716) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 67.92245 117.13766) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 46.101 117.213155) + (xy 46.101 115.35) + (xy 114.792443 115.35) + (xy 114.810977 115.478911) + (xy 114.865078 115.597373) + (xy 114.86508 115.597376) + (xy 114.950366 115.695801) + (xy 115.059926 115.766209) + (xy 115.184883 115.8029) + (xy 115.315117 115.8029) + (xy 115.440073 115.766209) + (xy 115.440073 115.766208) + (xy 115.440076 115.766208) + (xy 115.549636 115.695799) + (xy 115.634921 115.597374) + (xy 115.689023 115.478909) + (xy 115.707557 115.35) + (xy 124.792443 115.35) + (xy 124.810977 115.478911) + (xy 124.865078 115.597373) + (xy 124.86508 115.597376) + (xy 124.950366 115.695801) + (xy 125.059926 115.766209) + (xy 125.184883 115.8029) + (xy 125.315117 115.8029) + (xy 125.440073 115.766209) + (xy 125.440073 115.766208) + (xy 125.440076 115.766208) + (xy 125.549636 115.695799) + (xy 125.634921 115.597374) + (xy 125.689023 115.478909) + (xy 125.707557 115.35) + (xy 125.689023 115.221091) + (xy 125.634921 115.102626) + (xy 125.634919 115.102623) + (xy 125.549633 115.004198) + (xy 125.440073 114.93379) + (xy 125.315117 114.8971) + (xy 125.184883 114.8971) + (xy 125.059926 114.93379) + (xy 124.950366 115.004198) + (xy 124.86508 115.102623) + (xy 124.865078 115.102626) + (xy 124.810977 115.221088) + (xy 124.792443 115.35) + (xy 115.707557 115.35) + (xy 115.689023 115.221091) + (xy 115.634921 115.102626) + (xy 115.634919 115.102623) + (xy 115.549633 115.004198) + (xy 115.440073 114.93379) + (xy 115.315117 114.8971) + (xy 115.184883 114.8971) + (xy 115.059926 114.93379) + (xy 114.950366 115.004198) + (xy 114.86508 115.102623) + (xy 114.865078 115.102626) + (xy 114.810977 115.221088) + (xy 114.792443 115.35) + (xy 46.101 115.35) + (xy 46.101 114.45) + (xy 113.792443 114.45) + (xy 113.810977 114.578911) + (xy 113.865078 114.697373) + (xy 113.86508 114.697376) + (xy 113.950366 114.795801) + (xy 114.059926 114.866209) + (xy 114.184883 114.9029) + (xy 114.315117 114.9029) + (xy 114.440073 114.866209) + (xy 114.440073 114.866208) + (xy 114.440076 114.866208) + (xy 114.549636 114.795799) + (xy 114.634921 114.697374) + (xy 114.689023 114.578909) + (xy 114.707557 114.45) + (xy 114.689023 114.321091) + (xy 114.674719 114.289771) + (xy 114.634921 114.202626) + (xy 114.634919 114.202623) + (xy 114.549633 114.104198) + (xy 114.465297 114.05) + (xy 123.992078 114.05) + (xy 124.012043 114.176056) + (xy 124.069984 114.289771) + (xy 124.160229 114.380016) + (xy 124.273943 114.437956) + (xy 124.273945 114.437957) + (xy 124.4 114.457922) + (xy 124.526055 114.437957) + (xy 124.639771 114.380016) + (xy 124.730016 114.289771) + (xy 124.787957 114.176055) + (xy 124.807922 114.05) + (xy 124.787957 113.923945) + (xy 124.730016 113.810229) + (xy 124.639771 113.719984) + (xy 124.526055 113.662043) + (xy 124.526057 113.662043) + (xy 124.4 113.642078) + (xy 124.273943 113.662043) + (xy 124.160228 113.719984) + (xy 124.069984 113.810228) + (xy 124.012043 113.923943) + (xy 123.992078 114.049999) + (xy 123.992078 114.05) + (xy 114.465297 114.05) + (xy 114.440073 114.03379) + (xy 114.315117 113.9971) + (xy 114.184883 113.9971) + (xy 114.059926 114.03379) + (xy 113.950366 114.104198) + (xy 113.86508 114.202623) + (xy 113.865078 114.202626) + (xy 113.810977 114.321088) + (xy 113.792443 114.45) + (xy 46.101 114.45) + (xy 46.101 113.75) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.476055 114.137957) + (xy 68.589771 114.080016) + (xy 68.680016 113.989771) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.280016 113.989771) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.219787 113.45) + (xy 122.842078 113.45) + (xy 122.862043 113.576056) + (xy 122.886443 113.623943) + (xy 122.919984 113.689771) + (xy 123.010229 113.780016) + (xy 123.123943 113.837956) + (xy 123.123945 113.837957) + (xy 123.25 113.857922) + (xy 123.376055 113.837957) + (xy 123.489771 113.780016) + (xy 123.580016 113.689771) + (xy 123.637957 113.576055) + (xy 123.657922 113.45) + (xy 123.637957 113.323945) + (xy 123.580016 113.210229) + (xy 123.489771 113.119984) + (xy 123.376055 113.062043) + (xy 123.376057 113.062043) + (xy 123.25 113.042078) + (xy 123.123943 113.062043) + (xy 123.010228 113.119984) + (xy 122.919984 113.210228) + (xy 122.862043 113.323943) + (xy 122.842078 113.449999) + (xy 122.842078 113.45) + (xy 70.219787 113.45) + (xy 70.189771 113.419984) + (xy 70.076055 113.362043) + (xy 70.076057 113.362043) + (xy 69.95 113.342078) + (xy 69.823943 113.362043) + (xy 69.710228 113.419984) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 46.101 113.75) + (xy 46.101 112.5) + (xy 96.642078 112.5) + (xy 96.662043 112.626056) + (xy 96.719984 112.739771) + (xy 96.810229 112.830016) + (xy 96.923943 112.887956) + (xy 96.923945 112.887957) + (xy 97.05 112.907922) + (xy 97.176055 112.887957) + (xy 97.289771 112.830016) + (xy 97.380016 112.739771) + (xy 97.425756 112.65) + (xy 114.342078 112.65) + (xy 114.362043 112.776056) + (xy 114.389537 112.830015) + (xy 114.419984 112.889771) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.080016 112.889771) + (xy 115.137957 112.776055) + (xy 115.157922 112.65) + (xy 122.142078 112.65) + (xy 122.162043 112.776056) + (xy 122.189537 112.830015) + (xy 122.219984 112.889771) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.880016 112.889771) + (xy 122.937957 112.776055) + (xy 122.957922 112.65) + (xy 122.937957 112.523945) + (xy 122.880016 112.410229) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.310228 112.319984) + (xy 122.219984 112.410228) + (xy 122.162043 112.523943) + (xy 122.142078 112.649999) + (xy 122.142078 112.65) + (xy 115.157922 112.65) + (xy 115.137957 112.523945) + (xy 115.080016 112.410229) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.510228 112.319984) + (xy 114.419984 112.410228) + (xy 114.362043 112.523943) + (xy 114.342078 112.649999) + (xy 114.342078 112.65) + (xy 97.425756 112.65) + (xy 97.437957 112.626055) + (xy 97.457922 112.5) + (xy 97.437957 112.373945) + (xy 97.380016 112.260229) + (xy 97.289771 112.169984) + (xy 97.176055 112.112043) + (xy 97.176057 112.112043) + (xy 97.05 112.092078) + (xy 96.923943 112.112043) + (xy 96.810228 112.169984) + (xy 96.719984 112.260228) + (xy 96.662043 112.373943) + (xy 96.642078 112.499999) + (xy 96.642078 112.5) + (xy 46.101 112.5) + (xy 46.101 112.05) + (xy 85.592078 112.05) + (xy 85.612043 112.176056) + (xy 85.644508 112.239771) + (xy 85.669984 112.289771) + (xy 85.760229 112.380016) + (xy 85.873943 112.437956) + (xy 85.873945 112.437957) + (xy 86 112.457922) + (xy 86.126055 112.437957) + (xy 86.239771 112.380016) + (xy 86.330016 112.289771) + (xy 86.387957 112.176055) + (xy 86.407922 112.05) + (xy 86.400003 112) + (xy 89.292078 112) + (xy 89.312043 112.126056) + (xy 89.339537 112.180015) + (xy 89.369984 112.239771) + (xy 89.460229 112.330016) + (xy 89.573943 112.387956) + (xy 89.573945 112.387957) + (xy 89.7 112.407922) + (xy 89.826055 112.387957) + (xy 89.939771 112.330016) + (xy 90.030016 112.239771) + (xy 90.087957 112.126055) + (xy 90.107922 112) + (xy 98.992078 112) + (xy 99.012043 112.126056) + (xy 99.039537 112.180015) + (xy 99.069984 112.239771) + (xy 99.160229 112.330016) + (xy 99.273943 112.387956) + (xy 99.273945 112.387957) + (xy 99.4 112.407922) + (xy 99.526055 112.387957) + (xy 99.639771 112.330016) + (xy 99.730016 112.239771) + (xy 99.787957 112.126055) + (xy 99.807922 112) + (xy 99.787957 111.873945) + (xy 99.775756 111.85) + (xy 122.842078 111.85) + (xy 122.862043 111.976056) + (xy 122.899719 112.049999) + (xy 122.919984 112.089771) + (xy 123.010229 112.180016) + (xy 123.123943 112.237956) + (xy 123.123945 112.237957) + (xy 123.25 112.257922) + (xy 123.376055 112.237957) + (xy 123.489771 112.180016) + (xy 123.580016 112.089771) + (xy 123.637957 111.976055) + (xy 123.657922 111.85) + (xy 125.142078 111.85) + (xy 125.162043 111.976056) + (xy 125.199719 112.049999) + (xy 125.219984 112.089771) + (xy 125.310229 112.180016) + (xy 125.423943 112.237956) + (xy 125.423945 112.237957) + (xy 125.55 112.257922) + (xy 125.676055 112.237957) + (xy 125.789771 112.180016) + (xy 125.880016 112.089771) + (xy 125.925756 112) + (xy 126.892443 112) + (xy 126.910977 112.128911) + (xy 126.965078 112.247373) + (xy 126.96508 112.247376) + (xy 127.050366 112.345801) + (xy 127.103607 112.380016) + (xy 127.15062 112.410229) + (xy 127.159926 112.416209) + (xy 127.284883 112.4529) + (xy 127.415117 112.4529) + (xy 127.540073 112.416209) + (xy 127.540073 112.416208) + (xy 127.540076 112.416208) + (xy 127.649636 112.345799) + (xy 127.734921 112.247374) + (xy 127.789023 112.128909) + (xy 127.807557 112) + (xy 127.789023 111.871091) + (xy 127.77939 111.849999) + (xy 127.734921 111.752626) + (xy 127.734919 111.752623) + (xy 127.649633 111.654198) + (xy 127.540073 111.58379) + (xy 127.415117 111.5471) + (xy 127.284883 111.5471) + (xy 127.159926 111.58379) + (xy 127.050366 111.654198) + (xy 126.96508 111.752623) + (xy 126.965078 111.752626) + (xy 126.910977 111.871088) + (xy 126.892443 112) + (xy 125.925756 112) + (xy 125.937957 111.976055) + (xy 125.957922 111.85) + (xy 125.937957 111.723945) + (xy 125.880016 111.610229) + (xy 125.789771 111.519984) + (xy 125.676055 111.462043) + (xy 125.676057 111.462043) + (xy 125.55 111.442078) + (xy 125.423943 111.462043) + (xy 125.310228 111.519984) + (xy 125.219984 111.610228) + (xy 125.162043 111.723943) + (xy 125.142078 111.849999) + (xy 125.142078 111.85) + (xy 123.657922 111.85) + (xy 123.637957 111.723945) + (xy 123.580016 111.610229) + (xy 123.489771 111.519984) + (xy 123.376055 111.462043) + (xy 123.376057 111.462043) + (xy 123.25 111.442078) + (xy 123.123943 111.462043) + (xy 123.010228 111.519984) + (xy 122.919984 111.610228) + (xy 122.862043 111.723943) + (xy 122.842078 111.849999) + (xy 122.842078 111.85) + (xy 99.775756 111.85) + (xy 99.730016 111.760229) + (xy 99.639771 111.669984) + (xy 99.526055 111.612043) + (xy 99.526057 111.612043) + (xy 99.4 111.592078) + (xy 99.273943 111.612043) + (xy 99.160228 111.669984) + (xy 99.069984 111.760228) + (xy 99.012043 111.873943) + (xy 98.992078 111.999999) + (xy 98.992078 112) + (xy 90.107922 112) + (xy 90.087957 111.873945) + (xy 90.030016 111.760229) + (xy 89.939771 111.669984) + (xy 89.826055 111.612043) + (xy 89.826057 111.612043) + (xy 89.7 111.592078) + (xy 89.573943 111.612043) + (xy 89.460228 111.669984) + (xy 89.369984 111.760228) + (xy 89.312043 111.873943) + (xy 89.292078 111.999999) + (xy 89.292078 112) + (xy 86.400003 112) + (xy 86.387957 111.923945) + (xy 86.330016 111.810229) + (xy 86.239771 111.719984) + (xy 86.126055 111.662043) + (xy 86.126057 111.662043) + (xy 86 111.642078) + (xy 85.873943 111.662043) + (xy 85.760228 111.719984) + (xy 85.669984 111.810228) + (xy 85.612043 111.923943) + (xy 85.592078 112.049999) + (xy 85.592078 112.05) + (xy 46.101 112.05) + (xy 46.101 111.5695) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.06099 111.719984) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.562555 111.957457) + (xy 63.676271 111.899516) + (xy 63.766516 111.809271) + (xy 63.824457 111.695555) + (xy 63.844422 111.5695) + (xy 63.824457 111.443445) + (xy 63.766516 111.329729) + (xy 63.676271 111.239484) + (xy 63.562555 111.181543) + (xy 63.562557 111.181543) + (xy 63.4365 111.161578) + (xy 63.310443 111.181543) + (xy 63.196728 111.239484) + (xy 63.106484 111.329728) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 46.101 111.5695) + (xy 46.101 110.49) + (xy 62.139578 110.49) + (xy 62.159543 110.616056) + (xy 62.178979 110.654201) + (xy 62.217484 110.729771) + (xy 62.307729 110.820016) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.799787 110.8075) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.971398 111) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.54077 111.15) + (xy 114.342078 111.15) + (xy 114.362043 111.276056) + (xy 114.389391 111.329729) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.080016 111.389771) + (xy 115.137957 111.276055) + (xy 115.157922 111.15) + (xy 122.142078 111.15) + (xy 122.162043 111.276056) + (xy 122.189391 111.329729) + (xy 122.219984 111.389771) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.937957 111.276055) + (xy 122.957922 111.15) + (xy 122.937957 111.023945) + (xy 122.925756 111) + (xy 125.992443 111) + (xy 126.010977 111.128911) + (xy 126.065078 111.247373) + (xy 126.06508 111.247376) + (xy 126.150366 111.345801) + (xy 126.259926 111.416209) + (xy 126.384883 111.4529) + (xy 126.515117 111.4529) + (xy 126.640073 111.416209) + (xy 126.640073 111.416208) + (xy 126.640076 111.416208) + (xy 126.749636 111.345799) + (xy 126.834921 111.247374) + (xy 126.889023 111.128909) + (xy 126.907557 111) + (xy 126.889023 110.871091) + (xy 126.865697 110.820016) + (xy 126.834921 110.752626) + (xy 126.834919 110.752623) + (xy 126.749633 110.654198) + (xy 126.640073 110.58379) + (xy 126.515117 110.5471) + (xy 126.384883 110.5471) + (xy 126.259926 110.58379) + (xy 126.150366 110.654198) + (xy 126.06508 110.752623) + (xy 126.065078 110.752626) + (xy 126.010977 110.871088) + (xy 125.992443 111) + (xy 122.925756 111) + (xy 122.880016 110.910229) + (xy 122.789771 110.819984) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.310228 110.819984) + (xy 122.219984 110.910228) + (xy 122.162043 111.023943) + (xy 122.142078 111.149999) + (xy 122.142078 111.15) + (xy 115.157922 111.15) + (xy 115.137957 111.023945) + (xy 115.080016 110.910229) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.510228 110.819984) + (xy 114.419984 110.910228) + (xy 114.362043 111.023943) + (xy 114.342078 111.149999) + (xy 114.342078 111.15) + (xy 64.54077 111.15) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.733422 110.8075) + (xy 64.713457 110.681445) + (xy 64.655516 110.567729) + (xy 64.565271 110.477484) + (xy 64.451555 110.419543) + (xy 64.451557 110.419543) + (xy 64.3255 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 62.799787 110.8075) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.935457 110.363945) + (xy 62.877516 110.250229) + (xy 62.827287 110.2) + (xy 87.642078 110.2) + (xy 87.662043 110.326056) + (xy 87.694508 110.389771) + (xy 87.719984 110.439771) + (xy 87.810229 110.530016) + (xy 87.923943 110.587956) + (xy 87.923945 110.587957) + (xy 88.05 110.607922) + (xy 88.176055 110.587957) + (xy 88.289771 110.530016) + (xy 88.380016 110.439771) + (xy 88.437957 110.326055) + (xy 88.450003 110.25) + (xy 89.542078 110.25) + (xy 89.562043 110.376056) + (xy 89.584201 110.419543) + (xy 89.619984 110.489771) + (xy 89.710229 110.580016) + (xy 89.823943 110.637956) + (xy 89.823945 110.637957) + (xy 89.95 110.657922) + (xy 90.076055 110.637957) + (xy 90.189771 110.580016) + (xy 90.280016 110.489771) + (xy 90.337957 110.376055) + (xy 90.357922 110.25) + (xy 98.742078 110.25) + (xy 98.762043 110.376056) + (xy 98.784201 110.419543) + (xy 98.819984 110.489771) + (xy 98.910229 110.580016) + (xy 99.023943 110.637956) + (xy 99.023945 110.637957) + (xy 99.15 110.657922) + (xy 99.276055 110.637957) + (xy 99.389771 110.580016) + (xy 99.480016 110.489771) + (xy 99.537957 110.376055) + (xy 99.557922 110.25) + (xy 110.442078 110.25) + (xy 110.462043 110.376056) + (xy 110.484201 110.419543) + (xy 110.519984 110.489771) + (xy 110.610229 110.580016) + (xy 110.723943 110.637956) + (xy 110.723945 110.637957) + (xy 110.85 110.657922) + (xy 110.976055 110.637957) + (xy 111.089771 110.580016) + (xy 111.180016 110.489771) + (xy 111.237957 110.376055) + (xy 111.257922 110.25) + (xy 111.242084 110.15) + (xy 114.342078 110.15) + (xy 114.362043 110.276056) + (xy 114.406824 110.363943) + (xy 114.419984 110.389771) + (xy 114.510229 110.480016) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.080016 110.389771) + (xy 115.137957 110.276055) + (xy 115.157922 110.15) + (xy 122.142078 110.15) + (xy 122.162043 110.276056) + (xy 122.206824 110.363943) + (xy 122.219984 110.389771) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.789771 110.480016) + (xy 122.880016 110.389771) + (xy 122.937957 110.276055) + (xy 122.957922 110.15) + (xy 122.937957 110.023945) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.310228 109.819984) + (xy 122.219984 109.910228) + (xy 122.162043 110.023943) + (xy 122.142078 110.149999) + (xy 122.142078 110.15) + (xy 115.157922 110.15) + (xy 115.137957 110.023945) + (xy 115.080016 109.910229) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.510228 109.819984) + (xy 114.419984 109.910228) + (xy 114.362043 110.023943) + (xy 114.342078 110.149999) + (xy 114.342078 110.15) + (xy 111.242084 110.15) + (xy 111.237957 110.123945) + (xy 111.180016 110.010229) + (xy 111.089771 109.919984) + (xy 110.976055 109.862043) + (xy 110.976057 109.862043) + (xy 110.85 109.842078) + (xy 110.723943 109.862043) + (xy 110.610228 109.919984) + (xy 110.519984 110.010228) + (xy 110.462043 110.123943) + (xy 110.442078 110.249999) + (xy 110.442078 110.25) + (xy 99.557922 110.25) + (xy 99.537957 110.123945) + (xy 99.480016 110.010229) + (xy 99.389771 109.919984) + (xy 99.276055 109.862043) + (xy 99.276057 109.862043) + (xy 99.15 109.842078) + (xy 99.023943 109.862043) + (xy 98.910228 109.919984) + (xy 98.819984 110.010228) + (xy 98.762043 110.123943) + (xy 98.742078 110.249999) + (xy 98.742078 110.25) + (xy 90.357922 110.25) + (xy 90.337957 110.123945) + (xy 90.280016 110.010229) + (xy 90.189771 109.919984) + (xy 90.076055 109.862043) + (xy 90.076057 109.862043) + (xy 89.95 109.842078) + (xy 89.823943 109.862043) + (xy 89.710228 109.919984) + (xy 89.619984 110.010228) + (xy 89.562043 110.123943) + (xy 89.542078 110.249999) + (xy 89.542078 110.25) + (xy 88.450003 110.25) + (xy 88.457922 110.2) + (xy 88.45783 110.199422) + (xy 88.445876 110.123945) + (xy 88.437957 110.073945) + (xy 88.380016 109.960229) + (xy 88.289771 109.869984) + (xy 88.176055 109.812043) + (xy 88.176057 109.812043) + (xy 88.05 109.792078) + (xy 87.923943 109.812043) + (xy 87.810228 109.869984) + (xy 87.719984 109.960228) + (xy 87.662043 110.073943) + (xy 87.642078 110.199999) + (xy 87.642078 110.2) + (xy 62.827287 110.2) + (xy 62.787271 110.159984) + (xy 62.673555 110.102043) + (xy 62.673557 110.102043) + (xy 62.5475 110.082078) + (xy 62.421443 110.102043) + (xy 62.307728 110.159984) + (xy 62.217484 110.250228) + (xy 62.159543 110.363943) + (xy 62.139578 110.489999) + (xy 62.139578 110.49) + (xy 46.101 110.49) + (xy 46.101 109.7915) + (xy 64.235078 109.7915) + (xy 64.255043 109.917556) + (xy 64.276786 109.960228) + (xy 64.312984 110.031271) + (xy 64.403229 110.121516) + (xy 64.516943 110.179456) + (xy 64.516945 110.179457) + (xy 64.643 110.199422) + (xy 64.769055 110.179457) + (xy 64.882771 110.121516) + (xy 64.973016 110.031271) + (xy 65.030957 109.917555) + (xy 65.050922 109.7915) + (xy 65.030957 109.665445) + (xy 64.973016 109.551729) + (xy 64.882771 109.461484) + (xy 64.769055 109.403543) + (xy 64.769057 109.403543) + (xy 64.643 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.235078 109.791499) + (xy 64.235078 109.7915) + (xy 46.101 109.7915) + (xy 46.101 109.0295) + (xy 70.775578 109.0295) + (xy 70.795543 109.155556) + (xy 70.853484 109.269271) + (xy 70.943729 109.359516) + (xy 71.057443 109.417456) + (xy 71.057445 109.417457) + (xy 71.1835 109.437422) + (xy 71.309555 109.417457) + (xy 71.343816 109.4) + (xy 100.592078 109.4) + (xy 100.612043 109.526056) + (xy 100.669984 109.639771) + (xy 100.760229 109.730016) + (xy 100.873943 109.787956) + (xy 100.873945 109.787957) + (xy 101 109.807922) + (xy 101.126055 109.787957) + (xy 101.239771 109.730016) + (xy 101.330016 109.639771) + (xy 101.387957 109.526055) + (xy 101.400003 109.45) + (xy 111.342078 109.45) + (xy 111.362043 109.576056) + (xy 111.394508 109.639771) + (xy 111.419984 109.689771) + (xy 111.510229 109.780016) + (xy 111.623943 109.837956) + (xy 111.623945 109.837957) + (xy 111.75 109.857922) + (xy 111.876055 109.837957) + (xy 111.989771 109.780016) + (xy 112.080016 109.689771) + (xy 112.137957 109.576055) + (xy 112.157922 109.45) + (xy 113.642078 109.45) + (xy 113.662043 109.576056) + (xy 113.694508 109.639771) + (xy 113.719984 109.689771) + (xy 113.810229 109.780016) + (xy 113.923943 109.837956) + (xy 113.923945 109.837957) + (xy 114.05 109.857922) + (xy 114.176055 109.837957) + (xy 114.289771 109.780016) + (xy 114.380016 109.689771) + (xy 114.437957 109.576055) + (xy 114.457922 109.45) + (xy 114.437957 109.323945) + (xy 114.380016 109.210229) + (xy 114.289771 109.119984) + (xy 114.176055 109.062043) + (xy 114.176057 109.062043) + (xy 114.05 109.042078) + (xy 113.923943 109.062043) + (xy 113.810228 109.119984) + (xy 113.719984 109.210228) + (xy 113.662043 109.323943) + (xy 113.642078 109.449999) + (xy 113.642078 109.45) + (xy 112.157922 109.45) + (xy 112.137957 109.323945) + (xy 112.080016 109.210229) + (xy 111.989771 109.119984) + (xy 111.876055 109.062043) + (xy 111.876057 109.062043) + (xy 111.75 109.042078) + (xy 111.623943 109.062043) + (xy 111.510228 109.119984) + (xy 111.419984 109.210228) + (xy 111.362043 109.323943) + (xy 111.342078 109.449999) + (xy 111.342078 109.45) + (xy 101.400003 109.45) + (xy 101.407922 109.4) + (xy 101.387957 109.273945) + (xy 101.330016 109.160229) + (xy 101.239771 109.069984) + (xy 101.126055 109.012043) + (xy 101.126057 109.012043) + (xy 101 108.992078) + (xy 100.873943 109.012043) + (xy 100.760228 109.069984) + (xy 100.669984 109.160228) + (xy 100.612043 109.273943) + (xy 100.592078 109.399999) + (xy 100.592078 109.4) + (xy 71.343816 109.4) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.571457 109.155555) + (xy 71.591422 109.0295) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029499) + (xy 70.775578 109.0295) + (xy 46.101 109.0295) + (xy 46.101 108.1405) + (xy 69.886578 108.1405) + (xy 69.906543 108.266556) + (xy 69.92762 108.307922) + (xy 69.964484 108.380271) + (xy 70.054729 108.470516) + (xy 70.168443 108.528456) + (xy 70.168445 108.528457) + (xy 70.2945 108.548422) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.624516 108.380271) + (xy 70.682457 108.266555) + (xy 70.702422 108.1405) + (xy 70.696007 108.1) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.753756 108.307922) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.973943 108.487956) + (xy 78.973945 108.487957) + (xy 79.1 108.507922) + (xy 79.150018 108.5) + (xy 101.492078 108.5) + (xy 101.512043 108.626056) + (xy 101.549457 108.699484) + (xy 101.569984 108.739771) + (xy 101.660229 108.830016) + (xy 101.773943 108.887956) + (xy 101.773945 108.887957) + (xy 101.9 108.907922) + (xy 102.026055 108.887957) + (xy 102.139771 108.830016) + (xy 102.219787 108.75) + (xy 114.342078 108.75) + (xy 114.362043 108.876056) + (xy 114.37828 108.907922) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.080016 108.989771) + (xy 115.137957 108.876055) + (xy 115.157922 108.75) + (xy 122.142078 108.75) + (xy 122.162043 108.876056) + (xy 122.17828 108.907922) + (xy 122.219984 108.989771) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.937957 108.876055) + (xy 122.957922 108.75) + (xy 122.937957 108.623945) + (xy 122.880016 108.510229) + (xy 122.789771 108.419984) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.310228 108.419984) + (xy 122.219984 108.510228) + (xy 122.162043 108.623943) + (xy 122.142078 108.749999) + (xy 122.142078 108.75) + (xy 115.157922 108.75) + (xy 115.137957 108.623945) + (xy 115.080016 108.510229) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.75 108.342078) + (xy 114.623943 108.362043) + (xy 114.510228 108.419984) + (xy 114.419984 108.510228) + (xy 114.362043 108.623943) + (xy 114.342078 108.749999) + (xy 114.342078 108.75) + (xy 102.219787 108.75) + (xy 102.230016 108.739771) + (xy 102.287957 108.626055) + (xy 102.307922 108.5) + (xy 102.306014 108.487956) + (xy 102.303252 108.470515) + (xy 102.287957 108.373945) + (xy 102.230016 108.260229) + (xy 102.139771 108.169984) + (xy 102.026055 108.112043) + (xy 102.026057 108.112043) + (xy 101.9 108.092078) + (xy 101.773943 108.112043) + (xy 101.660228 108.169984) + (xy 101.569984 108.260228) + (xy 101.512043 108.373943) + (xy 101.492078 108.499999) + (xy 101.492078 108.5) + (xy 79.150018 108.5) + (xy 79.226055 108.487957) + (xy 79.339771 108.430016) + (xy 79.430016 108.339771) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.339771 107.769984) + (xy 79.226055 107.712043) + (xy 79.226057 107.712043) + (xy 79.1 107.692078) + (xy 78.973943 107.712043) + (xy 78.860228 107.769984) + (xy 78.769984 107.860228) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 70.696007 108.1) + (xy 70.682457 108.014445) + (xy 70.624516 107.900729) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140499) + (xy 69.886578 108.1405) + (xy 46.101 108.1405) + (xy 46.101 107.65) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.67828 107.807922) + (xy 79.719984 107.889771) + (xy 79.810229 107.980016) + (xy 79.923943 108.037956) + (xy 79.923945 108.037957) + (xy 80.05 108.057922) + (xy 80.176055 108.037957) + (xy 80.289771 107.980016) + (xy 80.380016 107.889771) + (xy 80.425756 107.8) + (xy 88.392078 107.8) + (xy 88.412043 107.926056) + (xy 88.436443 107.973943) + (xy 88.469984 108.039771) + (xy 88.560229 108.130016) + (xy 88.673943 108.187956) + (xy 88.673945 108.187957) + (xy 88.8 108.207922) + (xy 88.926055 108.187957) + (xy 89.039771 108.130016) + (xy 89.130016 108.039771) + (xy 89.187957 107.926055) + (xy 89.192084 107.9) + (xy 89.542078 107.9) + (xy 89.562043 108.026056) + (xy 89.57828 108.057922) + (xy 89.619984 108.139771) + (xy 89.710229 108.230016) + (xy 89.823943 108.287956) + (xy 89.823945 108.287957) + (xy 89.95 108.307922) + (xy 90.076055 108.287957) + (xy 90.189771 108.230016) + (xy 90.280016 108.139771) + (xy 90.337957 108.026055) + (xy 90.357922 107.9) + (xy 90.342084 107.8) + (xy 91.392078 107.8) + (xy 91.412043 107.926056) + (xy 91.436443 107.973943) + (xy 91.469984 108.039771) + (xy 91.560229 108.130016) + (xy 91.673943 108.187956) + (xy 91.673945 108.187957) + (xy 91.8 108.207922) + (xy 91.926055 108.187957) + (xy 92.039771 108.130016) + (xy 92.130016 108.039771) + (xy 92.187957 107.926055) + (xy 92.192084 107.9) + (xy 93.142078 107.9) + (xy 93.162043 108.026056) + (xy 93.17828 108.057922) + (xy 93.219984 108.139771) + (xy 93.310229 108.230016) + (xy 93.423943 108.287956) + (xy 93.423945 108.287957) + (xy 93.55 108.307922) + (xy 93.676055 108.287957) + (xy 93.789771 108.230016) + (xy 93.880016 108.139771) + (xy 93.937957 108.026055) + (xy 93.957922 107.9) + (xy 93.942084 107.8) + (xy 96.942078 107.8) + (xy 96.962043 107.926056) + (xy 96.986443 107.973943) + (xy 97.019984 108.039771) + (xy 97.110229 108.130016) + (xy 97.223943 108.187956) + (xy 97.223945 108.187957) + (xy 97.35 108.207922) + (xy 97.476055 108.187957) + (xy 97.589771 108.130016) + (xy 97.680016 108.039771) + (xy 97.737957 107.926055) + (xy 97.742084 107.9) + (xy 98.742078 107.9) + (xy 98.762043 108.026056) + (xy 98.77828 108.057922) + (xy 98.819984 108.139771) + (xy 98.910229 108.230016) + (xy 99.023943 108.287956) + (xy 99.023945 108.287957) + (xy 99.15 108.307922) + (xy 99.276055 108.287957) + (xy 99.389771 108.230016) + (xy 99.480016 108.139771) + (xy 99.537957 108.026055) + (xy 99.557922 107.9) + (xy 99.537957 107.773945) + (xy 99.480016 107.660229) + (xy 99.389771 107.569984) + (xy 99.276055 107.512043) + (xy 99.276057 107.512043) + (xy 99.15 107.492078) + (xy 99.023943 107.512043) + (xy 98.910228 107.569984) + (xy 98.819984 107.660228) + (xy 98.762043 107.773943) + (xy 98.742078 107.899999) + (xy 98.742078 107.9) + (xy 97.742084 107.9) + (xy 97.757922 107.8) + (xy 97.756014 107.787956) + (xy 97.750462 107.7529) + (xy 97.737957 107.673945) + (xy 97.680016 107.560229) + (xy 97.589771 107.469984) + (xy 97.476055 107.412043) + (xy 97.476057 107.412043) + (xy 97.400019 107.4) + (xy 100.142078 107.4) + (xy 100.162043 107.526056) + (xy 100.179455 107.560228) + (xy 100.219984 107.639771) + (xy 100.310229 107.730016) + (xy 100.423943 107.787956) + (xy 100.423945 107.787957) + (xy 100.55 107.807922) + (xy 100.676055 107.787957) + (xy 100.75055 107.75) + (xy 114.342078 107.75) + (xy 114.362043 107.876056) + (xy 114.411919 107.973943) + (xy 114.419984 107.989771) + (xy 114.510229 108.080016) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.080016 107.989771) + (xy 115.137957 107.876055) + (xy 115.157922 107.75) + (xy 122.142078 107.75) + (xy 122.162043 107.876056) + (xy 122.211919 107.973943) + (xy 122.219984 107.989771) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.789771 108.080016) + (xy 122.880016 107.989771) + (xy 122.937957 107.876055) + (xy 122.957922 107.75) + (xy 122.937957 107.623945) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.310228 107.419984) + (xy 122.219984 107.510228) + (xy 122.162043 107.623943) + (xy 122.142078 107.749999) + (xy 122.142078 107.75) + (xy 115.157922 107.75) + (xy 115.137957 107.623945) + (xy 115.080016 107.510229) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.510228 107.419984) + (xy 114.419984 107.510228) + (xy 114.362043 107.623943) + (xy 114.342078 107.749999) + (xy 114.342078 107.75) + (xy 100.75055 107.75) + (xy 100.789771 107.730016) + (xy 100.880016 107.639771) + (xy 100.937957 107.526055) + (xy 100.957922 107.4) + (xy 100.942084 107.3) + (xy 102.492078 107.3) + (xy 102.512043 107.426056) + (xy 102.518107 107.437957) + (xy 102.569984 107.539771) + (xy 102.660229 107.630016) + (xy 102.773943 107.687956) + (xy 102.773945 107.687957) + (xy 102.9 107.707922) + (xy 103.026055 107.687957) + (xy 103.139771 107.630016) + (xy 103.230016 107.539771) + (xy 103.287957 107.426055) + (xy 103.307922 107.3) + (xy 103.306014 107.287956) + (xy 103.302223 107.264016) + (xy 103.287957 107.173945) + (xy 103.230016 107.060229) + (xy 103.219787 107.05) + (xy 104.242078 107.05) + (xy 104.262043 107.176056) + (xy 104.271356 107.194333) + (xy 104.319984 107.289771) + (xy 104.410229 107.380016) + (xy 104.523943 107.437956) + (xy 104.523945 107.437957) + (xy 104.65 107.457922) + (xy 104.776055 107.437957) + (xy 104.889771 107.380016) + (xy 104.980016 107.289771) + (xy 105.037957 107.176055) + (xy 105.057922 107.05) + (xy 122.842078 107.05) + (xy 122.862043 107.176056) + (xy 122.871356 107.194333) + (xy 122.919984 107.289771) + (xy 123.010229 107.380016) + (xy 123.123943 107.437956) + (xy 123.123945 107.437957) + (xy 123.25 107.457922) + (xy 123.376055 107.437957) + (xy 123.489771 107.380016) + (xy 123.580016 107.289771) + (xy 123.637957 107.176055) + (xy 123.657922 107.05) + (xy 125.142078 107.05) + (xy 125.162043 107.176056) + (xy 125.171356 107.194333) + (xy 125.219984 107.289771) + (xy 125.310229 107.380016) + (xy 125.423943 107.437956) + (xy 125.423945 107.437957) + (xy 125.55 107.457922) + (xy 125.676055 107.437957) + (xy 125.789771 107.380016) + (xy 125.869787 107.3) + (xy 126.992443 107.3) + (xy 127.010977 107.428911) + (xy 127.065078 107.547373) + (xy 127.06508 107.547376) + (xy 127.150366 107.645801) + (xy 127.259926 107.716209) + (xy 127.384883 107.7529) + (xy 127.515117 107.7529) + (xy 127.640073 107.716209) + (xy 127.640073 107.716208) + (xy 127.640076 107.716208) + (xy 127.749636 107.645799) + (xy 127.834921 107.547374) + (xy 127.889023 107.428909) + (xy 127.907557 107.3) + (xy 127.889023 107.171091) + (xy 127.884062 107.160229) + (xy 127.834921 107.052626) + (xy 127.834919 107.052623) + (xy 127.749633 106.954198) + (xy 127.640073 106.88379) + (xy 127.515117 106.8471) + (xy 127.384883 106.8471) + (xy 127.259926 106.88379) + (xy 127.150366 106.954198) + (xy 127.06508 107.052623) + (xy 127.065078 107.052626) + (xy 127.010977 107.171088) + (xy 126.992443 107.3) + (xy 125.869787 107.3) + (xy 125.880016 107.289771) + (xy 125.937957 107.176055) + (xy 125.957922 107.05) + (xy 125.937957 106.923945) + (xy 125.880016 106.810229) + (xy 125.789771 106.719984) + (xy 125.676055 106.662043) + (xy 125.676057 106.662043) + (xy 125.55 106.642078) + (xy 125.423943 106.662043) + (xy 125.310228 106.719984) + (xy 125.219984 106.810228) + (xy 125.162043 106.923943) + (xy 125.142078 107.049999) + (xy 125.142078 107.05) + (xy 123.657922 107.05) + (xy 123.637957 106.923945) + (xy 123.580016 106.810229) + (xy 123.489771 106.719984) + (xy 123.376055 106.662043) + (xy 123.376057 106.662043) + (xy 123.25 106.642078) + (xy 123.123943 106.662043) + (xy 123.010228 106.719984) + (xy 122.919984 106.810228) + (xy 122.862043 106.923943) + (xy 122.842078 107.049999) + (xy 122.842078 107.05) + (xy 105.057922 107.05) + (xy 105.037957 106.923945) + (xy 104.980016 106.810229) + (xy 104.889771 106.719984) + (xy 104.776055 106.662043) + (xy 104.776057 106.662043) + (xy 104.65 106.642078) + (xy 104.523943 106.662043) + (xy 104.410228 106.719984) + (xy 104.319984 106.810228) + (xy 104.262043 106.923943) + (xy 104.242078 107.049999) + (xy 104.242078 107.05) + (xy 103.219787 107.05) + (xy 103.139771 106.969984) + (xy 103.026055 106.912043) + (xy 103.026057 106.912043) + (xy 102.9 106.892078) + (xy 102.773943 106.912043) + (xy 102.660228 106.969984) + (xy 102.569984 107.060228) + (xy 102.512043 107.173943) + (xy 102.492078 107.299999) + (xy 102.492078 107.3) + (xy 100.942084 107.3) + (xy 100.937957 107.273945) + (xy 100.880016 107.160229) + (xy 100.789771 107.069984) + (xy 100.676055 107.012043) + (xy 100.676057 107.012043) + (xy 100.55 106.992078) + (xy 100.423943 107.012043) + (xy 100.310228 107.069984) + (xy 100.219984 107.160228) + (xy 100.162043 107.273943) + (xy 100.142078 107.399999) + (xy 100.142078 107.4) + (xy 97.400019 107.4) + (xy 97.35 107.392078) + (xy 97.223943 107.412043) + (xy 97.110228 107.469984) + (xy 97.019984 107.560228) + (xy 96.962043 107.673943) + (xy 96.942078 107.799999) + (xy 96.942078 107.8) + (xy 93.942084 107.8) + (xy 93.937957 107.773945) + (xy 93.880016 107.660229) + (xy 93.789771 107.569984) + (xy 93.676055 107.512043) + (xy 93.676057 107.512043) + (xy 93.55 107.492078) + (xy 93.423943 107.512043) + (xy 93.310228 107.569984) + (xy 93.219984 107.660228) + (xy 93.162043 107.773943) + (xy 93.142078 107.899999) + (xy 93.142078 107.9) + (xy 92.192084 107.9) + (xy 92.207922 107.8) + (xy 92.206014 107.787956) + (xy 92.200462 107.7529) + (xy 92.187957 107.673945) + (xy 92.130016 107.560229) + (xy 92.039771 107.469984) + (xy 91.926055 107.412043) + (xy 91.926057 107.412043) + (xy 91.8 107.392078) + (xy 91.673943 107.412043) + (xy 91.560228 107.469984) + (xy 91.469984 107.560228) + (xy 91.412043 107.673943) + (xy 91.392078 107.799999) + (xy 91.392078 107.8) + (xy 90.342084 107.8) + (xy 90.337957 107.773945) + (xy 90.280016 107.660229) + (xy 90.189771 107.569984) + (xy 90.076055 107.512043) + (xy 90.076057 107.512043) + (xy 89.95 107.492078) + (xy 89.823943 107.512043) + (xy 89.710228 107.569984) + (xy 89.619984 107.660228) + (xy 89.562043 107.773943) + (xy 89.542078 107.899999) + (xy 89.542078 107.9) + (xy 89.192084 107.9) + (xy 89.207922 107.8) + (xy 89.206014 107.787956) + (xy 89.200462 107.7529) + (xy 89.187957 107.673945) + (xy 89.130016 107.560229) + (xy 89.039771 107.469984) + (xy 88.926055 107.412043) + (xy 88.926057 107.412043) + (xy 88.8 107.392078) + (xy 88.673943 107.412043) + (xy 88.560228 107.469984) + (xy 88.469984 107.560228) + (xy 88.412043 107.673943) + (xy 88.392078 107.799999) + (xy 88.392078 107.8) + (xy 80.425756 107.8) + (xy 80.437957 107.776055) + (xy 80.457922 107.65) + (xy 80.437957 107.523945) + (xy 80.380016 107.410229) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 46.101 107.65) + (xy 46.101 106.934) + (xy 69.632578 106.934) + (xy 69.652543 107.060056) + (xy 69.703584 107.160229) + (xy 69.710484 107.173771) + (xy 69.800729 107.264016) + (xy 69.914443 107.321956) + (xy 69.914445 107.321957) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.370516 107.173771) + (xy 70.428457 107.060055) + (xy 70.448422 106.934) + (xy 70.446829 106.923945) + (xy 70.44047 106.883792) + (xy 70.428457 106.807945) + (xy 70.424409 106.8) + (xy 94.742329 106.8) + (xy 94.758646 106.923945) + (xy 94.761331 106.944336) + (xy 94.817043 107.078836) + (xy 94.817044 107.078838) + (xy 94.817045 107.078839) + (xy 94.905666 107.194333) + (xy 95.009417 107.273943) + (xy 95.021164 107.282957) + (xy 95.155664 107.338669) + (xy 95.3 107.357671) + (xy 95.444336 107.338669) + (xy 95.578836 107.282957) + (xy 95.694333 107.194333) + (xy 95.782957 107.078836) + (xy 95.838669 106.944336) + (xy 95.844506 106.9) + (xy 98.092078 106.9) + (xy 98.112043 107.026056) + (xy 98.169984 107.139771) + (xy 98.260229 107.230016) + (xy 98.373943 107.287956) + (xy 98.373945 107.287957) + (xy 98.5 107.307922) + (xy 98.626055 107.287957) + (xy 98.739771 107.230016) + (xy 98.830016 107.139771) + (xy 98.887957 107.026055) + (xy 98.907922 106.9) + (xy 99.242078 106.9) + (xy 99.262043 107.026056) + (xy 99.319984 107.139771) + (xy 99.410229 107.230016) + (xy 99.523943 107.287956) + (xy 99.523945 107.287957) + (xy 99.65 107.307922) + (xy 99.776055 107.287957) + (xy 99.889771 107.230016) + (xy 99.980016 107.139771) + (xy 100.037957 107.026055) + (xy 100.057922 106.9) + (xy 100.037957 106.773945) + (xy 99.980016 106.660229) + (xy 99.889771 106.569984) + (xy 99.776055 106.512043) + (xy 99.776057 106.512043) + (xy 99.65 106.492078) + (xy 99.523943 106.512043) + (xy 99.410228 106.569984) + (xy 99.319984 106.660228) + (xy 99.262043 106.773943) + (xy 99.242078 106.899999) + (xy 99.242078 106.9) + (xy 98.907922 106.9) + (xy 98.887957 106.773945) + (xy 98.830016 106.660229) + (xy 98.739771 106.569984) + (xy 98.626055 106.512043) + (xy 98.626057 106.512043) + (xy 98.5 106.492078) + (xy 98.373943 106.512043) + (xy 98.260228 106.569984) + (xy 98.169984 106.660228) + (xy 98.112043 106.773943) + (xy 98.092078 106.899999) + (xy 98.092078 106.9) + (xy 95.844506 106.9) + (xy 95.857671 106.8) + (xy 95.838669 106.655664) + (xy 95.782957 106.521165) + (xy 95.748344 106.476056) + (xy 95.694333 106.405666) + (xy 95.686949 106.4) + (xy 100.142078 106.4) + (xy 100.162043 106.526056) + (xy 100.219984 106.639771) + (xy 100.310229 106.730016) + (xy 100.423943 106.787956) + (xy 100.423945 106.787957) + (xy 100.55 106.807922) + (xy 100.676055 106.787957) + (xy 100.789771 106.730016) + (xy 100.880016 106.639771) + (xy 100.937957 106.526055) + (xy 100.957922 106.4) + (xy 100.950003 106.35) + (xy 114.342078 106.35) + (xy 114.362043 106.476056) + (xy 114.409902 106.569984) + (xy 114.419984 106.589771) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.080016 106.589771) + (xy 115.137957 106.476055) + (xy 115.157922 106.35) + (xy 122.142078 106.35) + (xy 122.162043 106.476056) + (xy 122.209902 106.569984) + (xy 122.219984 106.589771) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.937957 106.476055) + (xy 122.957922 106.35) + (xy 122.937957 106.223945) + (xy 122.925756 106.2) + (xy 125.992443 106.2) + (xy 126.010977 106.328911) + (xy 126.065078 106.447373) + (xy 126.06508 106.447376) + (xy 126.150366 106.545801) + (xy 126.259926 106.616209) + (xy 126.384883 106.6529) + (xy 126.515117 106.6529) + (xy 126.640073 106.616209) + (xy 126.640073 106.616208) + (xy 126.640076 106.616208) + (xy 126.749636 106.545799) + (xy 126.834921 106.447374) + (xy 126.889023 106.328909) + (xy 126.907557 106.2) + (xy 126.889023 106.071091) + (xy 126.888517 106.069984) + (xy 126.834921 105.952626) + (xy 126.834919 105.952623) + (xy 126.749633 105.854198) + (xy 126.640073 105.78379) + (xy 126.515117 105.7471) + (xy 126.384883 105.7471) + (xy 126.259926 105.78379) + (xy 126.150366 105.854198) + (xy 126.06508 105.952623) + (xy 126.065078 105.952626) + (xy 126.010977 106.071088) + (xy 125.992443 106.2) + (xy 122.925756 106.2) + (xy 122.880016 106.110229) + (xy 122.789771 106.019984) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.310228 106.019984) + (xy 122.219984 106.110228) + (xy 122.162043 106.223943) + (xy 122.142078 106.349999) + (xy 122.142078 106.35) + (xy 115.157922 106.35) + (xy 115.137957 106.223945) + (xy 115.080016 106.110229) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.510228 106.019984) + (xy 114.419984 106.110228) + (xy 114.362043 106.223943) + (xy 114.342078 106.349999) + (xy 114.342078 106.35) + (xy 100.950003 106.35) + (xy 100.937957 106.273945) + (xy 100.880016 106.160229) + (xy 100.789771 106.069984) + (xy 100.676055 106.012043) + (xy 100.676057 106.012043) + (xy 100.55 105.992078) + (xy 100.423943 106.012043) + (xy 100.310228 106.069984) + (xy 100.219984 106.160228) + (xy 100.162043 106.273943) + (xy 100.142078 106.399999) + (xy 100.142078 106.4) + (xy 95.686949 106.4) + (xy 95.578839 106.317045) + (xy 95.578838 106.317044) + (xy 95.578836 106.317043) + (xy 95.474784 106.273943) + (xy 95.444337 106.261331) + (xy 95.3 106.242329) + (xy 95.155662 106.261331) + (xy 95.021163 106.317044) + (xy 95.021162 106.317044) + (xy 94.905666 106.405666) + (xy 94.817044 106.521162) + (xy 94.817044 106.521163) + (xy 94.817043 106.521164) + (xy 94.817043 106.521165) + (xy 94.815017 106.526056) + (xy 94.761331 106.655662) + (xy 94.742329 106.8) + (xy 70.424409 106.8) + (xy 70.370516 106.694229) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 46.101 106.934) + (xy 46.101 105.664) + (xy 69.632578 105.664) + (xy 69.652543 105.790056) + (xy 69.678129 105.840271) + (xy 69.710484 105.903771) + (xy 69.800729 105.994016) + (xy 69.914443 106.051956) + (xy 69.914445 106.051957) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.370516 105.903771) + (xy 70.428457 105.790055) + (xy 70.448422 105.664) + (xy 70.446204 105.649999) + (xy 70.43954 105.607922) + (xy 70.438364 105.6005) + (xy 80.618078 105.6005) + (xy 80.638043 105.726556) + (xy 80.669328 105.787956) + (xy 80.695984 105.840271) + (xy 80.786229 105.930516) + (xy 80.899943 105.988456) + (xy 80.899945 105.988457) + (xy 81.026 106.008422) + (xy 81.152055 105.988457) + (xy 81.265771 105.930516) + (xy 81.356016 105.840271) + (xy 81.413957 105.726555) + (xy 81.433922 105.6005) + (xy 81.413957 105.474445) + (xy 81.356016 105.360729) + (xy 81.265771 105.270484) + (xy 81.152055 105.212543) + (xy 81.152057 105.212543) + (xy 81.072862 105.2) + (xy 82.242078 105.2) + (xy 82.262043 105.326056) + (xy 82.27971 105.360729) + (xy 82.319984 105.439771) + (xy 82.410229 105.530016) + (xy 82.523943 105.587956) + (xy 82.523945 105.587957) + (xy 82.65 105.607922) + (xy 82.776055 105.587957) + (xy 82.889771 105.530016) + (xy 82.980016 105.439771) + (xy 83.037957 105.326055) + (xy 83.057922 105.2) + (xy 83.842078 105.2) + (xy 83.862043 105.326056) + (xy 83.87971 105.360729) + (xy 83.919984 105.439771) + (xy 84.010229 105.530016) + (xy 84.123943 105.587956) + (xy 84.123945 105.587957) + (xy 84.25 105.607922) + (xy 84.376055 105.587957) + (xy 84.489771 105.530016) + (xy 84.580016 105.439771) + (xy 84.60028 105.4) + (xy 84.842078 105.4) + (xy 84.862043 105.526056) + (xy 84.894508 105.589771) + (xy 84.919984 105.639771) + (xy 85.010229 105.730016) + (xy 85.123943 105.787956) + (xy 85.123945 105.787957) + (xy 85.25 105.807922) + (xy 85.376055 105.787957) + (xy 85.489771 105.730016) + (xy 85.569787 105.65) + (xy 87.242078 105.65) + (xy 87.262043 105.776056) + (xy 87.301859 105.854198) + (xy 87.319984 105.889771) + (xy 87.410229 105.980016) + (xy 87.523943 106.037956) + (xy 87.523945 106.037957) + (xy 87.65 106.057922) + (xy 87.776055 106.037957) + (xy 87.889771 105.980016) + (xy 87.969787 105.9) + (xy 99.242078 105.9) + (xy 99.262043 106.026056) + (xy 99.27828 106.057922) + (xy 99.319984 106.139771) + (xy 99.410229 106.230016) + (xy 99.523943 106.287956) + (xy 99.523945 106.287957) + (xy 99.65 106.307922) + (xy 99.776055 106.287957) + (xy 99.889771 106.230016) + (xy 99.980016 106.139771) + (xy 100.037957 106.026055) + (xy 100.057922 105.9) + (xy 100.057506 105.897376) + (xy 100.043338 105.807922) + (xy 100.037957 105.773945) + (xy 99.980016 105.660229) + (xy 99.889771 105.569984) + (xy 99.776055 105.512043) + (xy 99.776057 105.512043) + (xy 99.65 105.492078) + (xy 99.523943 105.512043) + (xy 99.410228 105.569984) + (xy 99.319984 105.660228) + (xy 99.262043 105.773943) + (xy 99.242078 105.899999) + (xy 99.242078 105.9) + (xy 87.969787 105.9) + (xy 87.980016 105.889771) + (xy 88.037957 105.776055) + (xy 88.057922 105.65) + (xy 88.037957 105.523945) + (xy 87.980016 105.410229) + (xy 87.889771 105.319984) + (xy 87.776055 105.262043) + (xy 87.776057 105.262043) + (xy 87.65 105.242078) + (xy 87.523943 105.262043) + (xy 87.410228 105.319984) + (xy 87.319984 105.410228) + (xy 87.262043 105.523943) + (xy 87.242078 105.649999) + (xy 87.242078 105.65) + (xy 85.569787 105.65) + (xy 85.580016 105.639771) + (xy 85.637957 105.526055) + (xy 85.657922 105.4) + (xy 85.637957 105.273945) + (xy 85.580016 105.160229) + (xy 85.489771 105.069984) + (xy 85.376055 105.012043) + (xy 85.376057 105.012043) + (xy 85.25 104.992078) + (xy 85.123943 105.012043) + (xy 85.010228 105.069984) + (xy 84.919984 105.160228) + (xy 84.862043 105.273943) + (xy 84.842078 105.399999) + (xy 84.842078 105.4) + (xy 84.60028 105.4) + (xy 84.637957 105.326055) + (xy 84.657922 105.2) + (xy 84.656746 105.192578) + (xy 84.650003 105.149999) + (xy 84.637957 105.073945) + (xy 84.580016 104.960229) + (xy 84.489771 104.869984) + (xy 84.376055 104.812043) + (xy 84.376057 104.812043) + (xy 84.300019 104.8) + (xy 87.792078 104.8) + (xy 87.812043 104.926056) + (xy 87.839537 104.980015) + (xy 87.869984 105.039771) + (xy 87.960229 105.130016) + (xy 88.073943 105.187956) + (xy 88.073945 105.187957) + (xy 88.2 105.207922) + (xy 88.326055 105.187957) + (xy 88.40055 105.15) + (xy 98.542078 105.15) + (xy 98.562043 105.276056) + (xy 98.591559 105.333984) + (xy 98.619984 105.389771) + (xy 98.710229 105.480016) + (xy 98.823943 105.537956) + (xy 98.823945 105.537957) + (xy 98.95 105.557922) + (xy 99.076055 105.537957) + (xy 99.189771 105.480016) + (xy 99.269787 105.4) + (xy 100.142078 105.4) + (xy 100.162043 105.526056) + (xy 100.194508 105.589771) + (xy 100.219984 105.639771) + (xy 100.310229 105.730016) + (xy 100.423943 105.787956) + (xy 100.423945 105.787957) + (xy 100.55 105.807922) + (xy 100.676055 105.787957) + (xy 100.789771 105.730016) + (xy 100.869787 105.65) + (xy 110.392443 105.65) + (xy 110.410977 105.778911) + (xy 110.465078 105.897373) + (xy 110.46508 105.897376) + (xy 110.527594 105.969521) + (xy 110.544001 105.988456) + (xy 110.550366 105.995801) + (xy 110.659926 106.066209) + (xy 110.784883 106.1029) + (xy 110.915117 106.1029) + (xy 111.040073 106.066209) + (xy 111.040073 106.066208) + (xy 111.040076 106.066208) + (xy 111.149636 105.995799) + (xy 111.234921 105.897374) + (xy 111.289023 105.778909) + (xy 111.307557 105.65) + (xy 111.289023 105.521091) + (xy 111.270264 105.480016) + (xy 111.234921 105.402626) + (xy 111.234919 105.402623) + (xy 111.189321 105.35) + (xy 114.342078 105.35) + (xy 114.362043 105.476056) + (xy 114.403756 105.557922) + (xy 114.419984 105.589771) + (xy 114.510229 105.680016) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.080016 105.589771) + (xy 115.137957 105.476055) + (xy 115.157922 105.35) + (xy 122.142078 105.35) + (xy 122.162043 105.476056) + (xy 122.203756 105.557922) + (xy 122.219984 105.589771) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.789771 105.680016) + (xy 122.880016 105.589771) + (xy 122.937957 105.476055) + (xy 122.957922 105.35) + (xy 122.937957 105.223945) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.310228 105.019984) + (xy 122.219984 105.110228) + (xy 122.162043 105.223943) + (xy 122.142078 105.349999) + (xy 122.142078 105.35) + (xy 115.157922 105.35) + (xy 115.137957 105.223945) + (xy 115.080016 105.110229) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.510228 105.019984) + (xy 114.419984 105.110228) + (xy 114.362043 105.223943) + (xy 114.342078 105.349999) + (xy 114.342078 105.35) + (xy 111.189321 105.35) + (xy 111.149633 105.304198) + (xy 111.040073 105.23379) + (xy 110.915117 105.1971) + (xy 110.784883 105.1971) + (xy 110.659926 105.23379) + (xy 110.550366 105.304198) + (xy 110.46508 105.402623) + (xy 110.465078 105.402626) + (xy 110.410977 105.521088) + (xy 110.392443 105.65) + (xy 100.869787 105.65) + (xy 100.880016 105.639771) + (xy 100.937957 105.526055) + (xy 100.957922 105.4) + (xy 100.937957 105.273945) + (xy 100.880016 105.160229) + (xy 100.789771 105.069984) + (xy 100.676055 105.012043) + (xy 100.676057 105.012043) + (xy 100.55 104.992078) + (xy 100.423943 105.012043) + (xy 100.310228 105.069984) + (xy 100.219984 105.160228) + (xy 100.162043 105.273943) + (xy 100.142078 105.399999) + (xy 100.142078 105.4) + (xy 99.269787 105.4) + (xy 99.280016 105.389771) + (xy 99.337957 105.276055) + (xy 99.357922 105.15) + (xy 99.337957 105.023945) + (xy 99.280016 104.910229) + (xy 99.189771 104.819984) + (xy 99.076055 104.762043) + (xy 99.076057 104.762043) + (xy 98.95 104.742078) + (xy 98.823943 104.762043) + (xy 98.710228 104.819984) + (xy 98.619984 104.910228) + (xy 98.562043 105.023943) + (xy 98.542078 105.149999) + (xy 98.542078 105.15) + (xy 88.40055 105.15) + (xy 88.439771 105.130016) + (xy 88.530016 105.039771) + (xy 88.587957 104.926055) + (xy 88.607922 104.8) + (xy 88.606014 104.787956) + (xy 88.598748 104.742078) + (xy 88.587957 104.673945) + (xy 88.530016 104.560229) + (xy 88.439771 104.469984) + (xy 88.326055 104.412043) + (xy 88.326057 104.412043) + (xy 88.250019 104.4) + (xy 99.242078 104.4) + (xy 99.262043 104.526056) + (xy 99.279455 104.560228) + (xy 99.319984 104.639771) + (xy 99.410229 104.730016) + (xy 99.523943 104.787956) + (xy 99.523945 104.787957) + (xy 99.65 104.807922) + (xy 99.776055 104.787957) + (xy 99.889771 104.730016) + (xy 99.969787 104.65) + (xy 109.542078 104.65) + (xy 109.562043 104.776056) + (xy 109.584426 104.819984) + (xy 109.619984 104.889771) + (xy 109.710229 104.980016) + (xy 109.823943 105.037956) + (xy 109.823945 105.037957) + (xy 109.95 105.057922) + (xy 110.076055 105.037957) + (xy 110.189771 104.980016) + (xy 110.280016 104.889771) + (xy 110.337957 104.776055) + (xy 110.357922 104.65) + (xy 111.342078 104.65) + (xy 111.362043 104.776056) + (xy 111.384426 104.819984) + (xy 111.419984 104.889771) + (xy 111.510229 104.980016) + (xy 111.623943 105.037956) + (xy 111.623945 105.037957) + (xy 111.75 105.057922) + (xy 111.876055 105.037957) + (xy 111.989771 104.980016) + (xy 112.080016 104.889771) + (xy 112.137957 104.776055) + (xy 112.157922 104.65) + (xy 113.642078 104.65) + (xy 113.662043 104.776056) + (xy 113.684426 104.819984) + (xy 113.719984 104.889771) + (xy 113.810229 104.980016) + (xy 113.923943 105.037956) + (xy 113.923945 105.037957) + (xy 114.05 105.057922) + (xy 114.176055 105.037957) + (xy 114.289771 104.980016) + (xy 114.380016 104.889771) + (xy 114.437957 104.776055) + (xy 114.457922 104.65) + (xy 114.437957 104.523945) + (xy 114.380016 104.410229) + (xy 114.289771 104.319984) + (xy 114.176055 104.262043) + (xy 114.176057 104.262043) + (xy 114.05 104.242078) + (xy 113.923943 104.262043) + (xy 113.810228 104.319984) + (xy 113.719984 104.410228) + (xy 113.662043 104.523943) + (xy 113.642078 104.649999) + (xy 113.642078 104.65) + (xy 112.157922 104.65) + (xy 112.137957 104.523945) + (xy 112.080016 104.410229) + (xy 111.989771 104.319984) + (xy 111.876055 104.262043) + (xy 111.876057 104.262043) + (xy 111.75 104.242078) + (xy 111.623943 104.262043) + (xy 111.510228 104.319984) + (xy 111.419984 104.410228) + (xy 111.362043 104.523943) + (xy 111.342078 104.649999) + (xy 111.342078 104.65) + (xy 110.357922 104.65) + (xy 110.337957 104.523945) + (xy 110.280016 104.410229) + (xy 110.189771 104.319984) + (xy 110.076055 104.262043) + (xy 110.076057 104.262043) + (xy 109.95 104.242078) + (xy 109.823943 104.262043) + (xy 109.710228 104.319984) + (xy 109.619984 104.410228) + (xy 109.562043 104.523943) + (xy 109.542078 104.649999) + (xy 109.542078 104.65) + (xy 99.969787 104.65) + (xy 99.980016 104.639771) + (xy 100.037957 104.526055) + (xy 100.057922 104.4) + (xy 100.056014 104.387956) + (xy 100.054164 104.376276) + (xy 100.037957 104.273945) + (xy 99.980016 104.160229) + (xy 99.889771 104.069984) + (xy 99.776055 104.012043) + (xy 99.776057 104.012043) + (xy 99.65 103.992078) + (xy 99.523943 104.012043) + (xy 99.410228 104.069984) + (xy 99.319984 104.160228) + (xy 99.262043 104.273943) + (xy 99.242078 104.399999) + (xy 99.242078 104.4) + (xy 88.250019 104.4) + (xy 88.2 104.392078) + (xy 88.073943 104.412043) + (xy 87.960228 104.469984) + (xy 87.869984 104.560228) + (xy 87.812043 104.673943) + (xy 87.792078 104.799999) + (xy 87.792078 104.8) + (xy 84.300019 104.8) + (xy 84.25 104.792078) + (xy 84.123943 104.812043) + (xy 84.010228 104.869984) + (xy 83.919984 104.960228) + (xy 83.862043 105.073943) + (xy 83.842078 105.199999) + (xy 83.842078 105.2) + (xy 83.057922 105.2) + (xy 83.056746 105.192578) + (xy 83.050003 105.149999) + (xy 83.037957 105.073945) + (xy 82.980016 104.960229) + (xy 82.889771 104.869984) + (xy 82.776055 104.812043) + (xy 82.776057 104.812043) + (xy 82.65 104.792078) + (xy 82.523943 104.812043) + (xy 82.410228 104.869984) + (xy 82.319984 104.960228) + (xy 82.262043 105.073943) + (xy 82.242078 105.199999) + (xy 82.242078 105.2) + (xy 81.072862 105.2) + (xy 81.026 105.192578) + (xy 80.899943 105.212543) + (xy 80.786228 105.270484) + (xy 80.695984 105.360728) + (xy 80.638043 105.474443) + (xy 80.618078 105.600499) + (xy 80.618078 105.6005) + (xy 70.438364 105.6005) + (xy 70.428457 105.537945) + (xy 70.370516 105.424229) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663999) + (xy 69.632578 105.664) + (xy 46.101 105.664) + (xy 46.101 104.394) + (xy 69.632578 104.394) + (xy 69.652543 104.520056) + (xy 69.710484 104.633771) + (xy 69.800729 104.724016) + (xy 69.914443 104.781956) + (xy 69.914445 104.781957) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.370516 104.633771) + (xy 70.428457 104.520055) + (xy 70.447472 104.4) + (xy 81.542078 104.4) + (xy 81.562043 104.526056) + (xy 81.579455 104.560228) + (xy 81.619984 104.639771) + (xy 81.710229 104.730016) + (xy 81.823943 104.787956) + (xy 81.823945 104.787957) + (xy 81.95 104.807922) + (xy 82.076055 104.787957) + (xy 82.189771 104.730016) + (xy 82.280016 104.639771) + (xy 82.337957 104.526055) + (xy 82.357922 104.4) + (xy 82.356014 104.387956) + (xy 82.354164 104.376276) + (xy 82.337957 104.273945) + (xy 82.280016 104.160229) + (xy 82.189771 104.069984) + (xy 82.076055 104.012043) + (xy 82.076057 104.012043) + (xy 82.000019 104) + (xy 84.792078 104) + (xy 84.812043 104.126056) + (xy 84.826398 104.154229) + (xy 84.869984 104.239771) + (xy 84.960229 104.330016) + (xy 85.073943 104.387956) + (xy 85.073945 104.387957) + (xy 85.2 104.407922) + (xy 85.326055 104.387957) + (xy 85.439771 104.330016) + (xy 85.530016 104.239771) + (xy 85.587957 104.126055) + (xy 85.607922 104) + (xy 87.142078 104) + (xy 87.162043 104.126056) + (xy 87.176398 104.154229) + (xy 87.219984 104.239771) + (xy 87.310229 104.330016) + (xy 87.423943 104.387956) + (xy 87.423945 104.387957) + (xy 87.55 104.407922) + (xy 87.676055 104.387957) + (xy 87.789771 104.330016) + (xy 87.880016 104.239771) + (xy 87.937957 104.126055) + (xy 87.957922 104) + (xy 87.937957 103.873945) + (xy 87.880016 103.760229) + (xy 87.789771 103.669984) + (xy 87.676055 103.612043) + (xy 87.676057 103.612043) + (xy 87.55 103.592078) + (xy 87.423943 103.612043) + (xy 87.310228 103.669984) + (xy 87.219984 103.760228) + (xy 87.162043 103.873943) + (xy 87.142078 103.999999) + (xy 87.142078 104) + (xy 85.607922 104) + (xy 85.587957 103.873945) + (xy 85.530016 103.760229) + (xy 85.439771 103.669984) + (xy 85.326055 103.612043) + (xy 85.326057 103.612043) + (xy 85.2 103.592078) + (xy 85.073943 103.612043) + (xy 84.960228 103.669984) + (xy 84.869984 103.760228) + (xy 84.812043 103.873943) + (xy 84.792078 103.999999) + (xy 84.792078 104) + (xy 82.000019 104) + (xy 81.95 103.992078) + (xy 81.823943 104.012043) + (xy 81.710228 104.069984) + (xy 81.619984 104.160228) + (xy 81.562043 104.273943) + (xy 81.542078 104.399999) + (xy 81.542078 104.4) + (xy 70.447472 104.4) + (xy 70.448422 104.394) + (xy 70.428457 104.267945) + (xy 70.370516 104.154229) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393999) + (xy 69.632578 104.394) + (xy 46.101 104.394) + (xy 46.101 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.060893 103.592078) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.530055 103.765957) + (xy 57.643771 103.708016) + (xy 57.734016 103.617771) + (xy 57.791957 103.504055) + (xy 57.811922 103.378) + (xy 57.810652 103.369984) + (xy 57.808164 103.354276) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.643771 103.047984) + (xy 57.530055 102.990043) + (xy 57.530057 102.990043) + (xy 57.404 102.970078) + (xy 57.277943 102.990043) + (xy 57.164228 103.047984) + (xy 57.073984 103.138228) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 46.101 103.378) + (xy 46.101 102.8065) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.836072 102.954201) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.339055 103.194457) + (xy 53.452771 103.136516) + (xy 53.543016 103.046271) + (xy 53.600957 102.932555) + (xy 53.620922 102.8065) + (xy 54.075078 102.8065) + (xy 54.095043 102.932556) + (xy 54.106072 102.954201) + (xy 54.152984 103.046271) + (xy 54.243229 103.136516) + (xy 54.356943 103.194456) + (xy 54.356945 103.194457) + (xy 54.483 103.214422) + (xy 54.609055 103.194457) + (xy 54.722771 103.136516) + (xy 54.813016 103.046271) + (xy 54.870957 102.932555) + (xy 54.890922 102.8065) + (xy 55.345078 102.8065) + (xy 55.365043 102.932556) + (xy 55.376072 102.954201) + (xy 55.422984 103.046271) + (xy 55.513229 103.136516) + (xy 55.626943 103.194456) + (xy 55.626945 103.194457) + (xy 55.753 103.214422) + (xy 55.879055 103.194457) + (xy 55.992771 103.136516) + (xy 56.083016 103.046271) + (xy 56.140957 102.932555) + (xy 56.160922 102.8065) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.186072 102.954201) + (xy 59.232984 103.046271) + (xy 59.323229 103.136516) + (xy 59.436943 103.194456) + (xy 59.436945 103.194457) + (xy 59.563 103.214422) + (xy 59.689055 103.194457) + (xy 59.802771 103.136516) + (xy 59.893016 103.046271) + (xy 59.950957 102.932555) + (xy 59.970922 102.8065) + (xy 61.695078 102.8065) + (xy 61.715043 102.932556) + (xy 61.726072 102.954201) + (xy 61.772984 103.046271) + (xy 61.863229 103.136516) + (xy 61.976943 103.194456) + (xy 61.976945 103.194457) + (xy 62.103 103.214422) + (xy 62.229055 103.194457) + (xy 62.342771 103.136516) + (xy 62.355287 103.124) + (xy 69.632578 103.124) + (xy 69.652543 103.250056) + (xy 69.684127 103.312043) + (xy 69.710484 103.363771) + (xy 69.800729 103.454016) + (xy 69.914443 103.511956) + (xy 69.914445 103.511957) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.370516 103.363771) + (xy 70.428457 103.250055) + (xy 70.448422 103.124) + (xy 76.808078 103.124) + (xy 76.828043 103.250056) + (xy 76.859627 103.312043) + (xy 76.885984 103.363771) + (xy 76.976229 103.454016) + (xy 77.089943 103.511956) + (xy 77.089945 103.511957) + (xy 77.216 103.531922) + (xy 77.342055 103.511957) + (xy 77.455771 103.454016) + (xy 77.546016 103.363771) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.129627 103.312043) + (xy 78.155984 103.363771) + (xy 78.246229 103.454016) + (xy 78.359943 103.511956) + (xy 78.359945 103.511957) + (xy 78.486 103.531922) + (xy 78.612055 103.511957) + (xy 78.725771 103.454016) + (xy 78.816016 103.363771) + (xy 78.873957 103.250055) + (xy 78.893922 103.124) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.399627 103.312043) + (xy 79.425984 103.363771) + (xy 79.516229 103.454016) + (xy 79.629943 103.511956) + (xy 79.629945 103.511957) + (xy 79.756 103.531922) + (xy 79.882055 103.511957) + (xy 79.995771 103.454016) + (xy 80.049787 103.4) + (xy 99.242078 103.4) + (xy 99.262043 103.526056) + (xy 99.286443 103.573943) + (xy 99.319984 103.639771) + (xy 99.410229 103.730016) + (xy 99.523943 103.787956) + (xy 99.523945 103.787957) + (xy 99.65 103.807922) + (xy 99.776055 103.787957) + (xy 99.889771 103.730016) + (xy 99.919787 103.7) + (xy 104.492078 103.7) + (xy 104.512043 103.826056) + (xy 104.536443 103.873943) + (xy 104.569984 103.939771) + (xy 104.660229 104.030016) + (xy 104.773943 104.087956) + (xy 104.773945 104.087957) + (xy 104.9 104.107922) + (xy 105.026055 104.087957) + (xy 105.139771 104.030016) + (xy 105.230016 103.939771) + (xy 105.275756 103.85) + (xy 114.342078 103.85) + (xy 114.362043 103.976056) + (xy 114.389537 104.030015) + (xy 114.419984 104.089771) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.080016 104.089771) + (xy 115.137957 103.976055) + (xy 115.157922 103.85) + (xy 122.142078 103.85) + (xy 122.162043 103.976056) + (xy 122.189537 104.030015) + (xy 122.219984 104.089771) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.880016 104.089771) + (xy 122.937957 103.976055) + (xy 122.957922 103.85) + (xy 122.937957 103.723945) + (xy 122.880016 103.610229) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.310228 103.519984) + (xy 122.219984 103.610228) + (xy 122.162043 103.723943) + (xy 122.142078 103.849999) + (xy 122.142078 103.85) + (xy 115.157922 103.85) + (xy 115.137957 103.723945) + (xy 115.080016 103.610229) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.510228 103.519984) + (xy 114.419984 103.610228) + (xy 114.362043 103.723943) + (xy 114.342078 103.849999) + (xy 114.342078 103.85) + (xy 105.275756 103.85) + (xy 105.287957 103.826055) + (xy 105.307922 103.7) + (xy 105.287957 103.573945) + (xy 105.230016 103.460229) + (xy 105.139771 103.369984) + (xy 105.026055 103.312043) + (xy 105.026057 103.312043) + (xy 104.9 103.292078) + (xy 104.773943 103.312043) + (xy 104.660228 103.369984) + (xy 104.569984 103.460228) + (xy 104.512043 103.573943) + (xy 104.492078 103.699999) + (xy 104.492078 103.7) + (xy 99.919787 103.7) + (xy 99.980016 103.639771) + (xy 100.037957 103.526055) + (xy 100.057922 103.4) + (xy 100.037957 103.273945) + (xy 99.980016 103.160229) + (xy 99.889771 103.069984) + (xy 99.776055 103.012043) + (xy 99.776057 103.012043) + (xy 99.65 102.992078) + (xy 99.523943 103.012043) + (xy 99.410228 103.069984) + (xy 99.319984 103.160228) + (xy 99.262043 103.273943) + (xy 99.242078 103.399999) + (xy 99.242078 103.4) + (xy 80.049787 103.4) + (xy 80.086016 103.363771) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 79.995771 102.793984) + (xy 79.882055 102.736043) + (xy 79.882057 102.736043) + (xy 79.756 102.716078) + (xy 79.629943 102.736043) + (xy 79.516228 102.793984) + (xy 79.425984 102.884228) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 78.893922 103.124) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 70.448422 103.124) + (xy 70.428457 102.997945) + (xy 70.370516 102.884229) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124) + (xy 62.355287 103.124) + (xy 62.433016 103.046271) + (xy 62.490957 102.932555) + (xy 62.510922 102.8065) + (xy 62.490957 102.680445) + (xy 62.433016 102.566729) + (xy 62.342771 102.476484) + (xy 62.229055 102.418543) + (xy 62.229057 102.418543) + (xy 62.103 102.398578) + (xy 61.976943 102.418543) + (xy 61.863228 102.476484) + (xy 61.772984 102.566728) + (xy 61.715043 102.680443) + (xy 61.695078 102.806499) + (xy 61.695078 102.8065) + (xy 59.970922 102.8065) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.802771 102.476484) + (xy 59.689055 102.418543) + (xy 59.689057 102.418543) + (xy 59.563 102.398578) + (xy 59.436943 102.418543) + (xy 59.323228 102.476484) + (xy 59.232984 102.566728) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 56.160922 102.8065) + (xy 56.140957 102.680445) + (xy 56.083016 102.566729) + (xy 55.992771 102.476484) + (xy 55.879055 102.418543) + (xy 55.879057 102.418543) + (xy 55.753 102.398578) + (xy 55.626943 102.418543) + (xy 55.513228 102.476484) + (xy 55.422984 102.566728) + (xy 55.365043 102.680443) + (xy 55.345078 102.806499) + (xy 55.345078 102.8065) + (xy 54.890922 102.8065) + (xy 54.870957 102.680445) + (xy 54.813016 102.566729) + (xy 54.722771 102.476484) + (xy 54.609055 102.418543) + (xy 54.609057 102.418543) + (xy 54.483 102.398578) + (xy 54.356943 102.418543) + (xy 54.243228 102.476484) + (xy 54.152984 102.566728) + (xy 54.095043 102.680443) + (xy 54.075078 102.806499) + (xy 54.075078 102.8065) + (xy 53.620922 102.8065) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.452771 102.476484) + (xy 53.339055 102.418543) + (xy 53.339057 102.418543) + (xy 53.213 102.398578) + (xy 53.086943 102.418543) + (xy 52.973228 102.476484) + (xy 52.882984 102.566728) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 46.101 102.8065) + (xy 46.101 102.235) + (xy 63.409578 102.235) + (xy 63.429543 102.361056) + (xy 63.437186 102.376056) + (xy 63.487484 102.474771) + (xy 63.577729 102.565016) + (xy 63.691443 102.622956) + (xy 63.691445 102.622957) + (xy 63.8175 102.642922) + (xy 63.943555 102.622957) + (xy 64.057271 102.565016) + (xy 64.122287 102.5) + (xy 87.792078 102.5) + (xy 87.812043 102.626056) + (xy 87.839755 102.680443) + (xy 87.869984 102.739771) + (xy 87.960229 102.830016) + (xy 88.073943 102.887956) + (xy 88.073945 102.887957) + (xy 88.2 102.907922) + (xy 88.250018 102.9) + (xy 100.142078 102.9) + (xy 100.162043 103.026056) + (xy 100.219198 103.138228) + (xy 100.219984 103.139771) + (xy 100.310229 103.230016) + (xy 100.423943 103.287956) + (xy 100.423945 103.287957) + (xy 100.55 103.307922) + (xy 100.676055 103.287957) + (xy 100.789771 103.230016) + (xy 100.880016 103.139771) + (xy 100.925756 103.05) + (xy 122.842078 103.05) + (xy 122.862043 103.176056) + (xy 122.871419 103.194457) + (xy 122.919984 103.289771) + (xy 123.010229 103.380016) + (xy 123.123943 103.437956) + (xy 123.123945 103.437957) + (xy 123.25 103.457922) + (xy 123.376055 103.437957) + (xy 123.489771 103.380016) + (xy 123.580016 103.289771) + (xy 123.637957 103.176055) + (xy 123.657922 103.05) + (xy 125.142078 103.05) + (xy 125.162043 103.176056) + (xy 125.171419 103.194457) + (xy 125.219984 103.289771) + (xy 125.310229 103.380016) + (xy 125.423943 103.437956) + (xy 125.423945 103.437957) + (xy 125.55 103.457922) + (xy 125.676055 103.437957) + (xy 125.789771 103.380016) + (xy 125.869787 103.3) + (xy 126.992443 103.3) + (xy 127.010977 103.428911) + (xy 127.065078 103.547373) + (xy 127.06508 103.547376) + (xy 127.150366 103.645801) + (xy 127.187997 103.669984) + (xy 127.247175 103.708015) + (xy 127.259926 103.716209) + (xy 127.384883 103.7529) + (xy 127.515117 103.7529) + (xy 127.640073 103.716209) + (xy 127.640073 103.716208) + (xy 127.640076 103.716208) + (xy 127.749636 103.645799) + (xy 127.834921 103.547374) + (xy 127.889023 103.428909) + (xy 127.907557 103.3) + (xy 127.889023 103.171091) + (xy 127.884062 103.160229) + (xy 127.834921 103.052626) + (xy 127.834919 103.052623) + (xy 127.749633 102.954198) + (xy 127.640073 102.88379) + (xy 127.515117 102.8471) + (xy 127.384883 102.8471) + (xy 127.259926 102.88379) + (xy 127.150366 102.954198) + (xy 127.06508 103.052623) + (xy 127.065078 103.052626) + (xy 127.010977 103.171088) + (xy 126.992443 103.3) + (xy 125.869787 103.3) + (xy 125.880016 103.289771) + (xy 125.937957 103.176055) + (xy 125.957922 103.05) + (xy 125.957331 103.046271) + (xy 125.948426 102.990043) + (xy 125.937957 102.923945) + (xy 125.880016 102.810229) + (xy 125.789771 102.719984) + (xy 125.676055 102.662043) + (xy 125.676057 102.662043) + (xy 125.55 102.642078) + (xy 125.423943 102.662043) + (xy 125.310228 102.719984) + (xy 125.219984 102.810228) + (xy 125.162043 102.923943) + (xy 125.142078 103.049999) + (xy 125.142078 103.05) + (xy 123.657922 103.05) + (xy 123.657331 103.046271) + (xy 123.648426 102.990043) + (xy 123.637957 102.923945) + (xy 123.580016 102.810229) + (xy 123.489771 102.719984) + (xy 123.376055 102.662043) + (xy 123.376057 102.662043) + (xy 123.25 102.642078) + (xy 123.123943 102.662043) + (xy 123.010228 102.719984) + (xy 122.919984 102.810228) + (xy 122.862043 102.923943) + (xy 122.842078 103.049999) + (xy 122.842078 103.05) + (xy 100.925756 103.05) + (xy 100.937957 103.026055) + (xy 100.957922 102.9) + (xy 100.956014 102.887956) + (xy 100.954164 102.876276) + (xy 100.937957 102.773945) + (xy 100.880016 102.660229) + (xy 100.789771 102.569984) + (xy 100.676055 102.512043) + (xy 100.676057 102.512043) + (xy 100.55 102.492078) + (xy 100.423943 102.512043) + (xy 100.310228 102.569984) + (xy 100.219984 102.660228) + (xy 100.162043 102.773943) + (xy 100.142078 102.899999) + (xy 100.142078 102.9) + (xy 88.250018 102.9) + (xy 88.326055 102.887957) + (xy 88.439771 102.830016) + (xy 88.530016 102.739771) + (xy 88.587957 102.626055) + (xy 88.607922 102.5) + (xy 88.592084 102.4) + (xy 99.242078 102.4) + (xy 99.262043 102.526056) + (xy 99.282767 102.566728) + (xy 99.319984 102.639771) + (xy 99.410229 102.730016) + (xy 99.523943 102.787956) + (xy 99.523945 102.787957) + (xy 99.65 102.807922) + (xy 99.776055 102.787957) + (xy 99.889771 102.730016) + (xy 99.980016 102.639771) + (xy 100.037957 102.526055) + (xy 100.057922 102.4) + (xy 100.037957 102.273945) + (xy 99.980016 102.160229) + (xy 99.889771 102.069984) + (xy 99.776055 102.012043) + (xy 99.776057 102.012043) + (xy 99.65 101.992078) + (xy 99.523943 102.012043) + (xy 99.410228 102.069984) + (xy 99.319984 102.160228) + (xy 99.262043 102.273943) + (xy 99.242078 102.399999) + (xy 99.242078 102.4) + (xy 88.592084 102.4) + (xy 88.587957 102.373945) + (xy 88.530016 102.260229) + (xy 88.439771 102.169984) + (xy 88.326055 102.112043) + (xy 88.326057 102.112043) + (xy 88.2 102.092078) + (xy 88.073943 102.112043) + (xy 87.960228 102.169984) + (xy 87.869984 102.260228) + (xy 87.812043 102.373943) + (xy 87.792078 102.499999) + (xy 87.792078 102.5) + (xy 64.122287 102.5) + (xy 64.147516 102.474771) + (xy 64.205457 102.361055) + (xy 64.225422 102.235) + (xy 64.224632 102.230015) + (xy 64.217971 102.187956) + (xy 64.205457 102.108945) + (xy 64.147516 101.995229) + (xy 64.057271 101.904984) + (xy 63.943555 101.847043) + (xy 63.943557 101.847043) + (xy 63.8175 101.827078) + (xy 63.691443 101.847043) + (xy 63.577728 101.904984) + (xy 63.487484 101.995228) + (xy 63.429543 102.108943) + (xy 63.409578 102.234999) + (xy 63.409578 102.235) + (xy 46.101 102.235) + (xy 46.101 101.4095) + (xy 62.393578 101.4095) + (xy 62.413543 101.535556) + (xy 62.449924 101.606957) + (xy 62.471484 101.649271) + (xy 62.561729 101.739516) + (xy 62.675443 101.797456) + (xy 62.675445 101.797457) + (xy 62.8015 101.817422) + (xy 62.927555 101.797457) + (xy 63.041271 101.739516) + (xy 63.130787 101.65) + (xy 87.242078 101.65) + (xy 87.262043 101.776056) + (xy 87.295683 101.842078) + (xy 87.319984 101.889771) + (xy 87.410229 101.980016) + (xy 87.523943 102.037956) + (xy 87.523945 102.037957) + (xy 87.65 102.057922) + (xy 87.776055 102.037957) + (xy 87.889771 101.980016) + (xy 87.969787 101.9) + (xy 100.142078 101.9) + (xy 100.162043 102.026056) + (xy 100.17828 102.057922) + (xy 100.219984 102.139771) + (xy 100.310229 102.230016) + (xy 100.423943 102.287956) + (xy 100.423945 102.287957) + (xy 100.55 102.307922) + (xy 100.676055 102.287957) + (xy 100.75055 102.25) + (xy 122.192078 102.25) + (xy 122.212043 102.376056) + (xy 122.247579 102.445799) + (xy 122.269984 102.489771) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.930016 102.489771) + (xy 122.987957 102.376055) + (xy 123.007922 102.25) + (xy 122.987957 102.123945) + (xy 122.975756 102.1) + (xy 125.992443 102.1) + (xy 126.010977 102.228911) + (xy 126.065078 102.347373) + (xy 126.06508 102.347376) + (xy 126.150366 102.445801) + (xy 126.259926 102.516209) + (xy 126.384883 102.5529) + (xy 126.515117 102.5529) + (xy 126.640073 102.516209) + (xy 126.640073 102.516208) + (xy 126.640076 102.516208) + (xy 126.749636 102.445799) + (xy 126.834921 102.347374) + (xy 126.889023 102.228909) + (xy 126.907557 102.1) + (xy 126.889023 101.971091) + (xy 126.868455 101.926055) + (xy 126.834921 101.852626) + (xy 126.834919 101.852623) + (xy 126.78379 101.793618) + (xy 126.749636 101.754201) + (xy 126.749635 101.7542) + (xy 126.749633 101.754198) + (xy 126.640073 101.68379) + (xy 126.515117 101.6471) + (xy 126.384883 101.6471) + (xy 126.259926 101.68379) + (xy 126.150366 101.754198) + (xy 126.06508 101.852623) + (xy 126.065078 101.852626) + (xy 126.010977 101.971088) + (xy 125.992443 102.1) + (xy 122.975756 102.1) + (xy 122.930016 102.010229) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.360228 101.919984) + (xy 122.269984 102.010228) + (xy 122.212043 102.123943) + (xy 122.192078 102.249999) + (xy 122.192078 102.25) + (xy 100.75055 102.25) + (xy 100.789771 102.230016) + (xy 100.880016 102.139771) + (xy 100.937957 102.026055) + (xy 100.957922 101.9) + (xy 100.942084 101.8) + (xy 102.792078 101.8) + (xy 102.812043 101.926056) + (xy 102.834988 101.971088) + (xy 102.869984 102.039771) + (xy 102.960229 102.130016) + (xy 103.073943 102.187956) + (xy 103.073945 102.187957) + (xy 103.2 102.207922) + (xy 103.326055 102.187957) + (xy 103.439771 102.130016) + (xy 103.530016 102.039771) + (xy 103.587957 101.926055) + (xy 103.607922 101.8) + (xy 103.587957 101.673945) + (xy 103.575756 101.65) + (xy 104.492078 101.65) + (xy 104.512043 101.776056) + (xy 104.545683 101.842078) + (xy 104.569984 101.889771) + (xy 104.660229 101.980016) + (xy 104.773943 102.037956) + (xy 104.773945 102.037957) + (xy 104.9 102.057922) + (xy 105.026055 102.037957) + (xy 105.139771 101.980016) + (xy 105.230016 101.889771) + (xy 105.287957 101.776055) + (xy 105.307922 101.65) + (xy 105.287957 101.523945) + (xy 105.25028 101.45) + (xy 113.642078 101.45) + (xy 113.662043 101.576056) + (xy 113.677788 101.606957) + (xy 113.719984 101.689771) + (xy 113.810229 101.780016) + (xy 113.923943 101.837956) + (xy 113.923945 101.837957) + (xy 114.05 101.857922) + (xy 114.176055 101.837957) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.437957 101.576055) + (xy 114.457922 101.45) + (xy 122.842078 101.45) + (xy 122.862043 101.576056) + (xy 122.877788 101.606957) + (xy 122.919984 101.689771) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.580016 101.689771) + (xy 123.637957 101.576055) + (xy 123.657922 101.45) + (xy 123.637957 101.323945) + (xy 123.580016 101.210229) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.010228 101.119984) + (xy 122.919984 101.210228) + (xy 122.862043 101.323943) + (xy 122.842078 101.449999) + (xy 122.842078 101.45) + (xy 114.457922 101.45) + (xy 114.437957 101.323945) + (xy 114.380016 101.210229) + (xy 114.289771 101.119984) + (xy 114.176055 101.062043) + (xy 114.176057 101.062043) + (xy 114.05 101.042078) + (xy 113.923943 101.062043) + (xy 113.810228 101.119984) + (xy 113.719984 101.210228) + (xy 113.662043 101.323943) + (xy 113.642078 101.449999) + (xy 113.642078 101.45) + (xy 105.25028 101.45) + (xy 105.230016 101.410229) + (xy 105.139771 101.319984) + (xy 105.026055 101.262043) + (xy 105.026057 101.262043) + (xy 104.9 101.242078) + (xy 104.773943 101.262043) + (xy 104.660228 101.319984) + (xy 104.569984 101.410228) + (xy 104.512043 101.523943) + (xy 104.492078 101.649999) + (xy 104.492078 101.65) + (xy 103.575756 101.65) + (xy 103.530016 101.560229) + (xy 103.439771 101.469984) + (xy 103.326055 101.412043) + (xy 103.326057 101.412043) + (xy 103.2 101.392078) + (xy 103.073943 101.412043) + (xy 102.960228 101.469984) + (xy 102.869984 101.560228) + (xy 102.812043 101.673943) + (xy 102.792078 101.799999) + (xy 102.792078 101.8) + (xy 100.942084 101.8) + (xy 100.937957 101.773945) + (xy 100.880016 101.660229) + (xy 100.789771 101.569984) + (xy 100.676055 101.512043) + (xy 100.676057 101.512043) + (xy 100.55 101.492078) + (xy 100.423943 101.512043) + (xy 100.310228 101.569984) + (xy 100.219984 101.660228) + (xy 100.162043 101.773943) + (xy 100.142078 101.899999) + (xy 100.142078 101.9) + (xy 87.969787 101.9) + (xy 87.980016 101.889771) + (xy 88.037957 101.776055) + (xy 88.057922 101.65) + (xy 88.037957 101.523945) + (xy 87.980016 101.410229) + (xy 87.889771 101.319984) + (xy 87.776055 101.262043) + (xy 87.776057 101.262043) + (xy 87.65 101.242078) + (xy 87.523943 101.262043) + (xy 87.410228 101.319984) + (xy 87.319984 101.410228) + (xy 87.262043 101.523943) + (xy 87.242078 101.649999) + (xy 87.242078 101.65) + (xy 63.130787 101.65) + (xy 63.131516 101.649271) + (xy 63.189457 101.535555) + (xy 63.209422 101.4095) + (xy 63.189457 101.283445) + (xy 63.156621 101.219) + (xy 64.298578 101.219) + (xy 64.318543 101.345056) + (xy 64.352675 101.412043) + (xy 64.376484 101.458771) + (xy 64.466729 101.549016) + (xy 64.580443 101.606956) + (xy 64.580445 101.606957) + (xy 64.7065 101.626922) + (xy 64.832555 101.606957) + (xy 64.946271 101.549016) + (xy 65.036516 101.458771) + (xy 65.094457 101.345055) + (xy 65.114422 101.219) + (xy 65.094457 101.092945) + (xy 65.036516 100.979229) + (xy 64.946271 100.888984) + (xy 64.832555 100.831043) + (xy 64.832557 100.831043) + (xy 64.7065 100.811078) + (xy 64.580443 100.831043) + (xy 64.466728 100.888984) + (xy 64.376484 100.979228) + (xy 64.318543 101.092943) + (xy 64.298578 101.218999) + (xy 64.298578 101.219) + (xy 63.156621 101.219) + (xy 63.131516 101.169729) + (xy 63.041271 101.079484) + (xy 62.927555 101.021543) + (xy 62.927557 101.021543) + (xy 62.8015 101.001578) + (xy 62.675443 101.021543) + (xy 62.561728 101.079484) + (xy 62.471484 101.169728) + (xy 62.413543 101.283443) + (xy 62.393578 101.409499) + (xy 62.393578 101.4095) + (xy 46.101 101.4095) + (xy 46.101 100.45) + (xy 69.592078 100.45) + (xy 69.612043 100.576056) + (xy 69.644508 100.639771) + (xy 69.669984 100.689771) + (xy 69.760229 100.780016) + (xy 69.873943 100.837956) + (xy 69.873945 100.837957) + (xy 70 100.857922) + (xy 70.126055 100.837957) + (xy 70.239771 100.780016) + (xy 70.330016 100.689771) + (xy 70.387957 100.576055) + (xy 70.407922 100.45) + (xy 70.400003 100.4) + (xy 100.142078 100.4) + (xy 100.162043 100.526056) + (xy 100.219984 100.639771) + (xy 100.310229 100.730016) + (xy 100.423943 100.787956) + (xy 100.423945 100.787957) + (xy 100.55 100.807922) + (xy 100.676055 100.787957) + (xy 100.789771 100.730016) + (xy 100.869787 100.65) + (xy 122.192078 100.65) + (xy 122.212043 100.776056) + (xy 122.253756 100.857922) + (xy 122.269984 100.889771) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.930016 100.889771) + (xy 122.987957 100.776055) + (xy 123.007922 100.65) + (xy 122.987957 100.523945) + (xy 122.930016 100.410229) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.360228 100.319984) + (xy 122.269984 100.410228) + (xy 122.212043 100.523943) + (xy 122.192078 100.649999) + (xy 122.192078 100.65) + (xy 100.869787 100.65) + (xy 100.880016 100.639771) + (xy 100.937957 100.526055) + (xy 100.957922 100.4) + (xy 100.937957 100.273945) + (xy 100.880016 100.160229) + (xy 100.789771 100.069984) + (xy 100.676055 100.012043) + (xy 100.676057 100.012043) + (xy 100.55 99.992078) + (xy 100.423943 100.012043) + (xy 100.310228 100.069984) + (xy 100.219984 100.160228) + (xy 100.162043 100.273943) + (xy 100.142078 100.399999) + (xy 100.142078 100.4) + (xy 70.400003 100.4) + (xy 70.387957 100.323945) + (xy 70.330016 100.210229) + (xy 70.239771 100.119984) + (xy 70.126055 100.062043) + (xy 70.126057 100.062043) + (xy 70 100.042078) + (xy 69.873943 100.062043) + (xy 69.760228 100.119984) + (xy 69.669984 100.210228) + (xy 69.612043 100.323943) + (xy 69.592078 100.449999) + (xy 69.592078 100.45) + (xy 46.101 100.45) + (xy 46.101 100.0125) + (xy 61.695078 100.0125) + (xy 61.715043 100.138556) + (xy 61.76569 100.237956) + (xy 61.772984 100.252271) + (xy 61.863229 100.342516) + (xy 61.976943 100.400456) + (xy 61.976945 100.400457) + (xy 62.103 100.420422) + (xy 62.229055 100.400457) + (xy 62.342771 100.342516) + (xy 62.433016 100.252271) + (xy 62.490957 100.138555) + (xy 62.510922 100.0125) + (xy 62.507687 99.992078) + (xy 62.50515 99.976055) + (xy 62.493104 99.9) + (xy 99.242078 99.9) + (xy 99.262043 100.026056) + (xy 99.284426 100.069984) + (xy 99.319984 100.139771) + (xy 99.410229 100.230016) + (xy 99.523943 100.287956) + (xy 99.523945 100.287957) + (xy 99.65 100.307922) + (xy 99.776055 100.287957) + (xy 99.889771 100.230016) + (xy 99.980016 100.139771) + (xy 100.037957 100.026055) + (xy 100.057922 99.9) + (xy 100.050003 99.85) + (xy 114.292078 99.85) + (xy 114.312043 99.976056) + (xy 114.345683 100.042078) + (xy 114.369984 100.089771) + (xy 114.460229 100.180016) + (xy 114.573943 100.237956) + (xy 114.573945 100.237957) + (xy 114.7 100.257922) + (xy 114.826055 100.237957) + (xy 114.939771 100.180016) + (xy 115.030016 100.089771) + (xy 115.087957 99.976055) + (xy 115.107922 99.85) + (xy 122.842078 99.85) + (xy 122.862043 99.976056) + (xy 122.895683 100.042078) + (xy 122.919984 100.089771) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.580016 100.089771) + (xy 123.637957 99.976055) + (xy 123.657922 99.85) + (xy 123.637957 99.723945) + (xy 123.580016 99.610229) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.010228 99.519984) + (xy 122.919984 99.610228) + (xy 122.862043 99.723943) + (xy 122.842078 99.849999) + (xy 122.842078 99.85) + (xy 115.107922 99.85) + (xy 115.087957 99.723945) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.826057 99.462043) + (xy 114.7 99.442078) + (xy 114.573943 99.462043) + (xy 114.460228 99.519984) + (xy 114.369984 99.610228) + (xy 114.312043 99.723943) + (xy 114.292078 99.849999) + (xy 114.292078 99.85) + (xy 100.050003 99.85) + (xy 100.037957 99.773945) + (xy 99.980016 99.660229) + (xy 99.889771 99.569984) + (xy 99.776055 99.512043) + (xy 99.776057 99.512043) + (xy 99.65 99.492078) + (xy 99.523943 99.512043) + (xy 99.410228 99.569984) + (xy 99.319984 99.660228) + (xy 99.262043 99.773943) + (xy 99.242078 99.899999) + (xy 99.242078 99.9) + (xy 62.493104 99.9) + (xy 62.490957 99.886445) + (xy 62.433016 99.772729) + (xy 62.342771 99.682484) + (xy 62.229055 99.624543) + (xy 62.229057 99.624543) + (xy 62.103 99.604578) + (xy 61.976943 99.624543) + (xy 61.863228 99.682484) + (xy 61.772984 99.772728) + (xy 61.715043 99.886443) + (xy 61.695078 100.012499) + (xy 61.695078 100.0125) + (xy 46.101 100.0125) + (xy 46.101 98.95) + (xy 66.492078 98.95) + (xy 66.512043 99.076056) + (xy 66.544508 99.139771) + (xy 66.569984 99.189771) + (xy 66.660229 99.280016) + (xy 66.773943 99.337956) + (xy 66.773945 99.337957) + (xy 66.9 99.357922) + (xy 67.026055 99.337957) + (xy 67.139771 99.280016) + (xy 67.230016 99.189771) + (xy 67.287957 99.076055) + (xy 67.307922 98.95) + (xy 69.592078 98.95) + (xy 69.612043 99.076056) + (xy 69.644508 99.139771) + (xy 69.669984 99.189771) + (xy 69.760229 99.280016) + (xy 69.873943 99.337956) + (xy 69.873945 99.337957) + (xy 70 99.357922) + (xy 70.126055 99.337957) + (xy 70.20055 99.3) + (xy 84.392078 99.3) + (xy 84.412043 99.426056) + (xy 84.445683 99.492078) + (xy 84.469984 99.539771) + (xy 84.560229 99.630016) + (xy 84.673943 99.687956) + (xy 84.673945 99.687957) + (xy 84.8 99.707922) + (xy 84.926055 99.687957) + (xy 85.039771 99.630016) + (xy 85.130016 99.539771) + (xy 85.187957 99.426055) + (xy 85.207922 99.3) + (xy 85.206014 99.287956) + (xy 85.203795 99.273943) + (xy 85.187957 99.173945) + (xy 85.130016 99.060229) + (xy 85.069787 99) + (xy 87.492078 99) + (xy 87.512043 99.126056) + (xy 87.544508 99.189771) + (xy 87.569984 99.239771) + (xy 87.660229 99.330016) + (xy 87.773943 99.387956) + (xy 87.773945 99.387957) + (xy 87.9 99.407922) + (xy 87.950018 99.4) + (xy 100.142078 99.4) + (xy 100.162043 99.526056) + (xy 100.184426 99.569984) + (xy 100.219984 99.639771) + (xy 100.310229 99.730016) + (xy 100.423943 99.787956) + (xy 100.423945 99.787957) + (xy 100.55 99.807922) + (xy 100.676055 99.787957) + (xy 100.789771 99.730016) + (xy 100.880016 99.639771) + (xy 100.937957 99.526055) + (xy 100.957922 99.4) + (xy 100.956014 99.387956) + (xy 100.954164 99.376276) + (xy 100.937957 99.273945) + (xy 100.880016 99.160229) + (xy 100.789771 99.069984) + (xy 100.75055 99.05) + (xy 113.642078 99.05) + (xy 113.662043 99.176056) + (xy 113.689537 99.230015) + (xy 113.719984 99.289771) + (xy 113.810229 99.380016) + (xy 113.923943 99.437956) + (xy 113.923945 99.437957) + (xy 114.05 99.457922) + (xy 114.176055 99.437957) + (xy 114.289771 99.380016) + (xy 114.380016 99.289771) + (xy 114.437957 99.176055) + (xy 114.457922 99.05) + (xy 122.192078 99.05) + (xy 122.212043 99.176056) + (xy 122.239537 99.230015) + (xy 122.269984 99.289771) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.930016 99.289771) + (xy 122.987957 99.176055) + (xy 123.007922 99.05) + (xy 122.987957 98.923945) + (xy 122.930016 98.810229) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.360228 98.719984) + (xy 122.269984 98.810228) + (xy 122.212043 98.923943) + (xy 122.192078 99.049999) + (xy 122.192078 99.05) + (xy 114.457922 99.05) + (xy 114.437957 98.923945) + (xy 114.380016 98.810229) + (xy 114.289771 98.719984) + (xy 114.176055 98.662043) + (xy 114.176057 98.662043) + (xy 114.05 98.642078) + (xy 113.923943 98.662043) + (xy 113.810228 98.719984) + (xy 113.719984 98.810228) + (xy 113.662043 98.923943) + (xy 113.642078 99.049999) + (xy 113.642078 99.05) + (xy 100.75055 99.05) + (xy 100.676055 99.012043) + (xy 100.676057 99.012043) + (xy 100.55 98.992078) + (xy 100.423943 99.012043) + (xy 100.310228 99.069984) + (xy 100.219984 99.160228) + (xy 100.162043 99.273943) + (xy 100.142078 99.399999) + (xy 100.142078 99.4) + (xy 87.950018 99.4) + (xy 88.026055 99.387957) + (xy 88.139771 99.330016) + (xy 88.230016 99.239771) + (xy 88.287957 99.126055) + (xy 88.307922 99) + (xy 88.292084 98.9) + (xy 99.242078 98.9) + (xy 99.262043 99.026056) + (xy 99.312995 99.126055) + (xy 99.319984 99.139771) + (xy 99.410229 99.230016) + (xy 99.523943 99.287956) + (xy 99.523945 99.287957) + (xy 99.65 99.307922) + (xy 99.776055 99.287957) + (xy 99.889771 99.230016) + (xy 99.980016 99.139771) + (xy 100.037957 99.026055) + (xy 100.057922 98.9) + (xy 100.037957 98.773945) + (xy 99.980016 98.660229) + (xy 99.889771 98.569984) + (xy 99.776055 98.512043) + (xy 99.776057 98.512043) + (xy 99.65 98.492078) + (xy 99.523943 98.512043) + (xy 99.410228 98.569984) + (xy 99.319984 98.660228) + (xy 99.262043 98.773943) + (xy 99.242078 98.899999) + (xy 99.242078 98.9) + (xy 88.292084 98.9) + (xy 88.287957 98.873945) + (xy 88.230016 98.760229) + (xy 88.139771 98.669984) + (xy 88.026055 98.612043) + (xy 88.026057 98.612043) + (xy 87.9 98.592078) + (xy 87.773943 98.612043) + (xy 87.660228 98.669984) + (xy 87.569984 98.760228) + (xy 87.512043 98.873943) + (xy 87.492078 98.999999) + (xy 87.492078 99) + (xy 85.069787 99) + (xy 85.039771 98.969984) + (xy 84.926055 98.912043) + (xy 84.926057 98.912043) + (xy 84.8 98.892078) + (xy 84.673943 98.912043) + (xy 84.560228 98.969984) + (xy 84.469984 99.060228) + (xy 84.412043 99.173943) + (xy 84.392078 99.299999) + (xy 84.392078 99.3) + (xy 70.20055 99.3) + (xy 70.239771 99.280016) + (xy 70.330016 99.189771) + (xy 70.387957 99.076055) + (xy 70.407922 98.95) + (xy 70.387957 98.823945) + (xy 70.330016 98.710229) + (xy 70.239771 98.619984) + (xy 70.126055 98.562043) + (xy 70.126057 98.562043) + (xy 70 98.542078) + (xy 69.873943 98.562043) + (xy 69.760228 98.619984) + (xy 69.669984 98.710228) + (xy 69.612043 98.823943) + (xy 69.592078 98.949999) + (xy 69.592078 98.95) + (xy 67.307922 98.95) + (xy 67.287957 98.823945) + (xy 67.230016 98.710229) + (xy 67.139771 98.619984) + (xy 67.026055 98.562043) + (xy 67.026057 98.562043) + (xy 66.9 98.542078) + (xy 66.773943 98.562043) + (xy 66.660228 98.619984) + (xy 66.569984 98.710228) + (xy 66.512043 98.823943) + (xy 66.492078 98.949999) + (xy 66.492078 98.95) + (xy 46.101 98.95) + (xy 46.101 97.8535) + (xy 57.885078 97.8535) + (xy 57.905043 97.979556) + (xy 57.920672 98.010229) + (xy 57.962984 98.093271) + (xy 58.053229 98.183516) + (xy 58.166943 98.241456) + (xy 58.166945 98.241457) + (xy 58.293 98.261422) + (xy 58.419055 98.241457) + (xy 58.532771 98.183516) + (xy 58.623016 98.093271) + (xy 58.680957 97.979555) + (xy 58.700922 97.8535) + (xy 59.155078 97.8535) + (xy 59.175043 97.979556) + (xy 59.190672 98.010229) + (xy 59.232984 98.093271) + (xy 59.323229 98.183516) + (xy 59.436943 98.241456) + (xy 59.436945 98.241457) + (xy 59.563 98.261422) + (xy 59.635116 98.25) + (xy 88.342078 98.25) + (xy 88.362043 98.376056) + (xy 88.419984 98.489771) + (xy 88.510229 98.580016) + (xy 88.623943 98.637956) + (xy 88.623945 98.637957) + (xy 88.75 98.657922) + (xy 88.876055 98.637957) + (xy 88.989771 98.580016) + (xy 89.080016 98.489771) + (xy 89.137957 98.376055) + (xy 89.157922 98.25) + (xy 89.137957 98.123945) + (xy 89.080016 98.010229) + (xy 88.989771 97.919984) + (xy 88.95055 97.9) + (xy 99.242078 97.9) + (xy 99.262043 98.026056) + (xy 99.289537 98.080015) + (xy 99.319984 98.139771) + (xy 99.410229 98.230016) + (xy 99.523943 98.287956) + (xy 99.523945 98.287957) + (xy 99.65 98.307922) + (xy 99.776055 98.287957) + (xy 99.85055 98.25) + (xy 114.292078 98.25) + (xy 114.312043 98.376056) + (xy 114.369984 98.489771) + (xy 114.460229 98.580016) + (xy 114.573943 98.637956) + (xy 114.573945 98.637957) + (xy 114.7 98.657922) + (xy 114.826055 98.637957) + (xy 114.939771 98.580016) + (xy 115.030016 98.489771) + (xy 115.087957 98.376055) + (xy 115.107922 98.25) + (xy 122.842078 98.25) + (xy 122.862043 98.376056) + (xy 122.919984 98.489771) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.580016 98.489771) + (xy 123.637957 98.376055) + (xy 123.657922 98.25) + (xy 123.637957 98.123945) + (xy 123.580016 98.010229) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.010228 97.919984) + (xy 122.919984 98.010228) + (xy 122.862043 98.123943) + (xy 122.842078 98.249999) + (xy 122.842078 98.25) + (xy 115.107922 98.25) + (xy 115.087957 98.123945) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.826055 97.862043) + (xy 114.826057 97.862043) + (xy 114.7 97.842078) + (xy 114.573943 97.862043) + (xy 114.460228 97.919984) + (xy 114.369984 98.010228) + (xy 114.312043 98.123943) + (xy 114.292078 98.249999) + (xy 114.292078 98.25) + (xy 99.85055 98.25) + (xy 99.889771 98.230016) + (xy 99.980016 98.139771) + (xy 100.037957 98.026055) + (xy 100.057922 97.9) + (xy 100.056014 97.887956) + (xy 100.05191 97.862043) + (xy 100.037957 97.773945) + (xy 99.980016 97.660229) + (xy 99.889771 97.569984) + (xy 99.776055 97.512043) + (xy 99.776057 97.512043) + (xy 99.65 97.492078) + (xy 99.523943 97.512043) + (xy 99.410228 97.569984) + (xy 99.319984 97.660228) + (xy 99.262043 97.773943) + (xy 99.242078 97.899999) + (xy 99.242078 97.9) + (xy 88.95055 97.9) + (xy 88.876055 97.862043) + (xy 88.876057 97.862043) + (xy 88.75 97.842078) + (xy 88.623943 97.862043) + (xy 88.510228 97.919984) + (xy 88.419984 98.010228) + (xy 88.362043 98.123943) + (xy 88.342078 98.249999) + (xy 88.342078 98.25) + (xy 59.635116 98.25) + (xy 59.689055 98.241457) + (xy 59.802771 98.183516) + (xy 59.893016 98.093271) + (xy 59.950957 97.979555) + (xy 59.970922 97.8535) + (xy 59.950957 97.727445) + (xy 59.893016 97.613729) + (xy 59.802771 97.523484) + (xy 59.689055 97.465543) + (xy 59.689057 97.465543) + (xy 59.59092 97.45) + (xy 66.492078 97.45) + (xy 66.512043 97.576056) + (xy 66.544508 97.639771) + (xy 66.569984 97.689771) + (xy 66.660229 97.780016) + (xy 66.773943 97.837956) + (xy 66.773945 97.837957) + (xy 66.9 97.857922) + (xy 67.026055 97.837957) + (xy 67.139771 97.780016) + (xy 67.230016 97.689771) + (xy 67.287957 97.576055) + (xy 67.307922 97.45) + (xy 69.592078 97.45) + (xy 69.612043 97.576056) + (xy 69.644508 97.639771) + (xy 69.669984 97.689771) + (xy 69.760229 97.780016) + (xy 69.873943 97.837956) + (xy 69.873945 97.837957) + (xy 70 97.857922) + (xy 70.126055 97.837957) + (xy 70.239771 97.780016) + (xy 70.269787 97.75) + (xy 83.042078 97.75) + (xy 83.062043 97.876056) + (xy 83.07828 97.907922) + (xy 83.119984 97.989771) + (xy 83.210229 98.080016) + (xy 83.323943 98.137956) + (xy 83.323945 98.137957) + (xy 83.45 98.157922) + (xy 83.576055 98.137957) + (xy 83.689771 98.080016) + (xy 83.780016 97.989771) + (xy 83.837957 97.876055) + (xy 83.857922 97.75) + (xy 83.837957 97.623945) + (xy 83.780016 97.510229) + (xy 83.769787 97.5) + (xy 84.792078 97.5) + (xy 84.812043 97.626056) + (xy 84.829455 97.660228) + (xy 84.869984 97.739771) + (xy 84.960229 97.830016) + (xy 85.073943 97.887956) + (xy 85.073945 97.887957) + (xy 85.2 97.907922) + (xy 85.326055 97.887957) + (xy 85.439771 97.830016) + (xy 85.530016 97.739771) + (xy 85.587957 97.626055) + (xy 85.607922 97.5) + (xy 87.142078 97.5) + (xy 87.162043 97.626056) + (xy 87.179455 97.660228) + (xy 87.219984 97.739771) + (xy 87.310229 97.830016) + (xy 87.423943 97.887956) + (xy 87.423945 97.887957) + (xy 87.55 97.907922) + (xy 87.676055 97.887957) + (xy 87.789771 97.830016) + (xy 87.880016 97.739771) + (xy 87.937957 97.626055) + (xy 87.957922 97.5) + (xy 87.942084 97.4) + (xy 100.142078 97.4) + (xy 100.162043 97.526056) + (xy 100.206714 97.613728) + (xy 100.219984 97.639771) + (xy 100.310229 97.730016) + (xy 100.423943 97.787956) + (xy 100.423945 97.787957) + (xy 100.55 97.807922) + (xy 100.676055 97.787957) + (xy 100.789771 97.730016) + (xy 100.880016 97.639771) + (xy 100.937957 97.526055) + (xy 100.957922 97.4) + (xy 102.442078 97.4) + (xy 102.462043 97.526056) + (xy 102.506714 97.613728) + (xy 102.519984 97.639771) + (xy 102.610229 97.730016) + (xy 102.723943 97.787956) + (xy 102.723945 97.787957) + (xy 102.85 97.807922) + (xy 102.976055 97.787957) + (xy 103.089771 97.730016) + (xy 103.180016 97.639771) + (xy 103.237957 97.526055) + (xy 103.257922 97.4) + (xy 103.237957 97.273945) + (xy 103.180016 97.160229) + (xy 103.089771 97.069984) + (xy 103.05055 97.05) + (xy 104.242078 97.05) + (xy 104.262043 97.176056) + (xy 104.279455 97.210228) + (xy 104.319984 97.289771) + (xy 104.410229 97.380016) + (xy 104.523943 97.437956) + (xy 104.523945 97.437957) + (xy 104.65 97.457922) + (xy 104.700018 97.45) + (xy 113.642078 97.45) + (xy 113.662043 97.576056) + (xy 113.694508 97.639771) + (xy 113.719984 97.689771) + (xy 113.810229 97.780016) + (xy 113.923943 97.837956) + (xy 113.923945 97.837957) + (xy 114.05 97.857922) + (xy 114.176055 97.837957) + (xy 114.289771 97.780016) + (xy 114.380016 97.689771) + (xy 114.437957 97.576055) + (xy 114.457922 97.45) + (xy 122.192078 97.45) + (xy 122.212043 97.576056) + (xy 122.244508 97.639771) + (xy 122.269984 97.689771) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.930016 97.689771) + (xy 122.987957 97.576055) + (xy 123.007922 97.45) + (xy 123.006014 97.437956) + (xy 123.000003 97.399999) + (xy 122.987957 97.323945) + (xy 122.930016 97.210229) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.360228 97.119984) + (xy 122.269984 97.210228) + (xy 122.212043 97.323943) + (xy 122.192078 97.449999) + (xy 122.192078 97.45) + (xy 114.457922 97.45) + (xy 114.456014 97.437956) + (xy 114.450003 97.399999) + (xy 114.437957 97.323945) + (xy 114.380016 97.210229) + (xy 114.289771 97.119984) + (xy 114.176055 97.062043) + (xy 114.176057 97.062043) + (xy 114.05 97.042078) + (xy 113.923943 97.062043) + (xy 113.810228 97.119984) + (xy 113.719984 97.210228) + (xy 113.662043 97.323943) + (xy 113.642078 97.449999) + (xy 113.642078 97.45) + (xy 104.700018 97.45) + (xy 104.776055 97.437957) + (xy 104.889771 97.380016) + (xy 104.980016 97.289771) + (xy 105.037957 97.176055) + (xy 105.057922 97.05) + (xy 105.056014 97.037956) + (xy 105.048748 96.992078) + (xy 105.037957 96.923945) + (xy 104.980016 96.810229) + (xy 104.889771 96.719984) + (xy 104.776055 96.662043) + (xy 104.776057 96.662043) + (xy 104.700019 96.65) + (xy 114.292078 96.65) + (xy 114.312043 96.776056) + (xy 114.318107 96.787957) + (xy 114.369984 96.889771) + (xy 114.460229 96.980016) + (xy 114.573943 97.037956) + (xy 114.573945 97.037957) + (xy 114.7 97.057922) + (xy 114.826055 97.037957) + (xy 114.939771 96.980016) + (xy 115.030016 96.889771) + (xy 115.087957 96.776055) + (xy 115.107922 96.65) + (xy 122.842078 96.65) + (xy 122.862043 96.776056) + (xy 122.868107 96.787957) + (xy 122.919984 96.889771) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.580016 96.889771) + (xy 123.637957 96.776055) + (xy 123.657922 96.65) + (xy 123.637957 96.523945) + (xy 123.580016 96.410229) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.010228 96.319984) + (xy 122.919984 96.410228) + (xy 122.862043 96.523943) + (xy 122.842078 96.649999) + (xy 122.842078 96.65) + (xy 115.107922 96.65) + (xy 115.087957 96.523945) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.826055 96.262043) + (xy 114.826057 96.262043) + (xy 114.7 96.242078) + (xy 114.573943 96.262043) + (xy 114.460228 96.319984) + (xy 114.369984 96.410228) + (xy 114.312043 96.523943) + (xy 114.292078 96.649999) + (xy 114.292078 96.65) + (xy 104.700019 96.65) + (xy 104.65 96.642078) + (xy 104.523943 96.662043) + (xy 104.410228 96.719984) + (xy 104.319984 96.810228) + (xy 104.262043 96.923943) + (xy 104.242078 97.049999) + (xy 104.242078 97.05) + (xy 103.05055 97.05) + (xy 102.976055 97.012043) + (xy 102.976057 97.012043) + (xy 102.85 96.992078) + (xy 102.723943 97.012043) + (xy 102.610228 97.069984) + (xy 102.519984 97.160228) + (xy 102.462043 97.273943) + (xy 102.442078 97.399999) + (xy 102.442078 97.4) + (xy 100.957922 97.4) + (xy 100.937957 97.273945) + (xy 100.880016 97.160229) + (xy 100.789771 97.069984) + (xy 100.676055 97.012043) + (xy 100.676057 97.012043) + (xy 100.55 96.992078) + (xy 100.423943 97.012043) + (xy 100.310228 97.069984) + (xy 100.219984 97.160228) + (xy 100.162043 97.273943) + (xy 100.142078 97.399999) + (xy 100.142078 97.4) + (xy 87.942084 97.4) + (xy 87.937957 97.373945) + (xy 87.880016 97.260229) + (xy 87.789771 97.169984) + (xy 87.676055 97.112043) + (xy 87.676057 97.112043) + (xy 87.55 97.092078) + (xy 87.423943 97.112043) + (xy 87.310228 97.169984) + (xy 87.219984 97.260228) + (xy 87.162043 97.373943) + (xy 87.142078 97.499999) + (xy 87.142078 97.5) + (xy 85.607922 97.5) + (xy 85.587957 97.373945) + (xy 85.530016 97.260229) + (xy 85.439771 97.169984) + (xy 85.326055 97.112043) + (xy 85.326057 97.112043) + (xy 85.2 97.092078) + (xy 85.073943 97.112043) + (xy 84.960228 97.169984) + (xy 84.869984 97.260228) + (xy 84.812043 97.373943) + (xy 84.792078 97.499999) + (xy 84.792078 97.5) + (xy 83.769787 97.5) + (xy 83.689771 97.419984) + (xy 83.576055 97.362043) + (xy 83.576057 97.362043) + (xy 83.45 97.342078) + (xy 83.323943 97.362043) + (xy 83.210228 97.419984) + (xy 83.119984 97.510228) + (xy 83.062043 97.623943) + (xy 83.042078 97.749999) + (xy 83.042078 97.75) + (xy 70.269787 97.75) + (xy 70.330016 97.689771) + (xy 70.387957 97.576055) + (xy 70.407922 97.45) + (xy 70.406014 97.437956) + (xy 70.400003 97.399999) + (xy 70.387957 97.323945) + (xy 70.330016 97.210229) + (xy 70.239771 97.119984) + (xy 70.126055 97.062043) + (xy 70.126057 97.062043) + (xy 70 97.042078) + (xy 69.873943 97.062043) + (xy 69.760228 97.119984) + (xy 69.669984 97.210228) + (xy 69.612043 97.323943) + (xy 69.592078 97.449999) + (xy 69.592078 97.45) + (xy 67.307922 97.45) + (xy 67.306014 97.437956) + (xy 67.300003 97.399999) + (xy 67.287957 97.323945) + (xy 67.230016 97.210229) + (xy 67.139771 97.119984) + (xy 67.026055 97.062043) + (xy 67.026057 97.062043) + (xy 66.9 97.042078) + (xy 66.773943 97.062043) + (xy 66.660228 97.119984) + (xy 66.569984 97.210228) + (xy 66.512043 97.323943) + (xy 66.492078 97.449999) + (xy 66.492078 97.45) + (xy 59.59092 97.45) + (xy 59.563 97.445578) + (xy 59.436943 97.465543) + (xy 59.323228 97.523484) + (xy 59.232984 97.613728) + (xy 59.175043 97.727443) + (xy 59.155078 97.853499) + (xy 59.155078 97.8535) + (xy 58.700922 97.8535) + (xy 58.680957 97.727445) + (xy 58.623016 97.613729) + (xy 58.532771 97.523484) + (xy 58.419055 97.465543) + (xy 58.419057 97.465543) + (xy 58.293 97.445578) + (xy 58.166943 97.465543) + (xy 58.053228 97.523484) + (xy 57.962984 97.613728) + (xy 57.905043 97.727443) + (xy 57.885078 97.853499) + (xy 57.885078 97.8535) + (xy 46.101 97.8535) + (xy 46.101 96.9) + (xy 99.242078 96.9) + (xy 99.262043 97.026056) + (xy 99.284426 97.069984) + (xy 99.319984 97.139771) + (xy 99.410229 97.230016) + (xy 99.523943 97.287956) + (xy 99.523945 97.287957) + (xy 99.65 97.307922) + (xy 99.776055 97.287957) + (xy 99.889771 97.230016) + (xy 99.980016 97.139771) + (xy 100.037957 97.026055) + (xy 100.057922 96.9) + (xy 100.037957 96.773945) + (xy 99.980016 96.660229) + (xy 99.889771 96.569984) + (xy 99.776055 96.512043) + (xy 99.776057 96.512043) + (xy 99.65 96.492078) + (xy 99.523943 96.512043) + (xy 99.410228 96.569984) + (xy 99.319984 96.660228) + (xy 99.262043 96.773943) + (xy 99.242078 96.899999) + (xy 99.242078 96.9) + (xy 46.101 96.9) + (xy 46.101 96.4) + (xy 100.142078 96.4) + (xy 100.162043 96.526056) + (xy 100.184426 96.569984) + (xy 100.219984 96.639771) + (xy 100.310229 96.730016) + (xy 100.423943 96.787956) + (xy 100.423945 96.787957) + (xy 100.55 96.807922) + (xy 100.676055 96.787957) + (xy 100.789771 96.730016) + (xy 100.880016 96.639771) + (xy 100.937957 96.526055) + (xy 100.957922 96.4) + (xy 100.937957 96.273945) + (xy 100.880016 96.160229) + (xy 100.819787 96.1) + (xy 102.542078 96.1) + (xy 102.562043 96.226056) + (xy 102.586443 96.273943) + (xy 102.619984 96.339771) + (xy 102.710229 96.430016) + (xy 102.823943 96.487956) + (xy 102.823945 96.487957) + (xy 102.95 96.507922) + (xy 103.076055 96.487957) + (xy 103.189771 96.430016) + (xy 103.280016 96.339771) + (xy 103.337957 96.226055) + (xy 103.357922 96.1) + (xy 103.355143 96.082457) + (xy 103.353168 96.069984) + (xy 103.337957 95.973945) + (xy 103.280016 95.860229) + (xy 103.269787 95.85) + (xy 113.642078 95.85) + (xy 113.662043 95.976056) + (xy 113.703756 96.057922) + (xy 113.719984 96.089771) + (xy 113.810229 96.180016) + (xy 113.923943 96.237956) + (xy 113.923945 96.237957) + (xy 114.05 96.257922) + (xy 114.176055 96.237957) + (xy 114.289771 96.180016) + (xy 114.380016 96.089771) + (xy 114.437957 95.976055) + (xy 114.457922 95.85) + (xy 122.192078 95.85) + (xy 122.212043 95.976056) + (xy 122.253756 96.057922) + (xy 122.269984 96.089771) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.930016 96.089771) + (xy 122.987957 95.976055) + (xy 123.007922 95.85) + (xy 122.987957 95.723945) + (xy 122.930016 95.610229) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.360228 95.519984) + (xy 122.269984 95.610228) + (xy 122.212043 95.723943) + (xy 122.192078 95.849999) + (xy 122.192078 95.85) + (xy 114.457922 95.85) + (xy 114.437957 95.723945) + (xy 114.380016 95.610229) + (xy 114.289771 95.519984) + (xy 114.176055 95.462043) + (xy 114.176057 95.462043) + (xy 114.05 95.442078) + (xy 113.923943 95.462043) + (xy 113.810228 95.519984) + (xy 113.719984 95.610228) + (xy 113.662043 95.723943) + (xy 113.642078 95.849999) + (xy 113.642078 95.85) + (xy 103.269787 95.85) + (xy 103.189771 95.769984) + (xy 103.076055 95.712043) + (xy 103.076057 95.712043) + (xy 102.95 95.692078) + (xy 102.823943 95.712043) + (xy 102.710228 95.769984) + (xy 102.619984 95.860228) + (xy 102.562043 95.973943) + (xy 102.542078 96.099999) + (xy 102.542078 96.1) + (xy 100.819787 96.1) + (xy 100.789771 96.069984) + (xy 100.676055 96.012043) + (xy 100.676057 96.012043) + (xy 100.55 95.992078) + (xy 100.423943 96.012043) + (xy 100.310228 96.069984) + (xy 100.219984 96.160228) + (xy 100.162043 96.273943) + (xy 100.142078 96.399999) + (xy 100.142078 96.4) + (xy 46.101 96.4) + (xy 46.101 95.95) + (xy 66.492078 95.95) + (xy 66.512043 96.076056) + (xy 66.553756 96.157922) + (xy 66.569984 96.189771) + (xy 66.660229 96.280016) + (xy 66.773943 96.337956) + (xy 66.773945 96.337957) + (xy 66.9 96.357922) + (xy 67.026055 96.337957) + (xy 67.139771 96.280016) + (xy 67.230016 96.189771) + (xy 67.287957 96.076055) + (xy 67.307922 95.95) + (xy 69.592078 95.95) + (xy 69.612043 96.076056) + (xy 69.653756 96.157922) + (xy 69.669984 96.189771) + (xy 69.760229 96.280016) + (xy 69.873943 96.337956) + (xy 69.873945 96.337957) + (xy 70 96.357922) + (xy 70.126055 96.337957) + (xy 70.239771 96.280016) + (xy 70.330016 96.189771) + (xy 70.387957 96.076055) + (xy 70.407922 95.95) + (xy 70.387957 95.823945) + (xy 70.330016 95.710229) + (xy 70.314287 95.6945) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.872322 95.876056) + (xy 77.901984 95.934271) + (xy 77.992229 96.024516) + (xy 78.105943 96.082456) + (xy 78.105945 96.082457) + (xy 78.232 96.102422) + (xy 78.358055 96.082457) + (xy 78.471771 96.024516) + (xy 78.562016 95.934271) + (xy 78.619957 95.820555) + (xy 78.639922 95.6945) + (xy 79.602078 95.6945) + (xy 79.622043 95.820556) + (xy 79.650322 95.876056) + (xy 79.679984 95.934271) + (xy 79.770229 96.024516) + (xy 79.883943 96.082456) + (xy 79.883945 96.082457) + (xy 80.01 96.102422) + (xy 80.136055 96.082457) + (xy 80.249771 96.024516) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.729822 95.876056) + (xy 80.759484 95.934271) + (xy 80.849729 96.024516) + (xy 80.963443 96.082456) + (xy 80.963445 96.082457) + (xy 81.0895 96.102422) + (xy 81.215555 96.082457) + (xy 81.329271 96.024516) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.488632 95.75) + (xy 84.792078 95.75) + (xy 84.812043 95.876056) + (xy 84.841705 95.934271) + (xy 84.869984 95.989771) + (xy 84.960229 96.080016) + (xy 85.073943 96.137956) + (xy 85.073945 96.137957) + (xy 85.2 96.157922) + (xy 85.326055 96.137957) + (xy 85.439771 96.080016) + (xy 85.530016 95.989771) + (xy 85.587957 95.876055) + (xy 85.607922 95.75) + (xy 85.592084 95.65) + (xy 96.292078 95.65) + (xy 96.312043 95.776056) + (xy 96.334717 95.820556) + (xy 96.369984 95.889771) + (xy 96.460229 95.980016) + (xy 96.573943 96.037956) + (xy 96.573945 96.037957) + (xy 96.7 96.057922) + (xy 96.826055 96.037957) + (xy 96.939771 95.980016) + (xy 97.030016 95.889771) + (xy 97.05028 95.85) + (xy 97.392078 95.85) + (xy 97.412043 95.976056) + (xy 97.453756 96.057922) + (xy 97.469984 96.089771) + (xy 97.560229 96.180016) + (xy 97.673943 96.237956) + (xy 97.673945 96.237957) + (xy 97.8 96.257922) + (xy 97.926055 96.237957) + (xy 98.039771 96.180016) + (xy 98.130016 96.089771) + (xy 98.187957 95.976055) + (xy 98.207922 95.85) + (xy 98.187957 95.723945) + (xy 98.130016 95.610229) + (xy 98.039771 95.519984) + (xy 97.926055 95.462043) + (xy 97.926057 95.462043) + (xy 97.8 95.442078) + (xy 97.673943 95.462043) + (xy 97.560228 95.519984) + (xy 97.469984 95.610228) + (xy 97.412043 95.723943) + (xy 97.392078 95.849999) + (xy 97.392078 95.85) + (xy 97.05028 95.85) + (xy 97.087957 95.776055) + (xy 97.107922 95.65) + (xy 97.087957 95.523945) + (xy 97.030016 95.410229) + (xy 96.939771 95.319984) + (xy 96.826055 95.262043) + (xy 96.826057 95.262043) + (xy 96.7 95.242078) + (xy 96.573943 95.262043) + (xy 96.460228 95.319984) + (xy 96.369984 95.410228) + (xy 96.312043 95.523943) + (xy 96.292078 95.649999) + (xy 96.292078 95.65) + (xy 85.592084 95.65) + (xy 85.587957 95.623945) + (xy 85.530016 95.510229) + (xy 85.439771 95.419984) + (xy 85.326055 95.362043) + (xy 85.326057 95.362043) + (xy 85.2 95.342078) + (xy 85.073943 95.362043) + (xy 84.960228 95.419984) + (xy 84.869984 95.510228) + (xy 84.812043 95.623943) + (xy 84.792078 95.749999) + (xy 84.792078 95.75) + (xy 81.488632 95.75) + (xy 81.497422 95.6945) + (xy 81.497038 95.692078) + (xy 81.48562 95.619984) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.417922 95.6945) + (xy 80.417538 95.692078) + (xy 80.40612 95.619984) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694499) + (xy 79.602078 95.6945) + (xy 78.639922 95.6945) + (xy 78.639538 95.692078) + (xy 78.62812 95.619984) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 70.314287 95.6945) + (xy 70.239771 95.619984) + (xy 70.126055 95.562043) + (xy 70.126057 95.562043) + (xy 70 95.542078) + (xy 69.873943 95.562043) + (xy 69.760228 95.619984) + (xy 69.669984 95.710228) + (xy 69.612043 95.823943) + (xy 69.592078 95.949999) + (xy 69.592078 95.95) + (xy 67.307922 95.95) + (xy 67.287957 95.823945) + (xy 67.230016 95.710229) + (xy 67.139771 95.619984) + (xy 67.026055 95.562043) + (xy 67.026057 95.562043) + (xy 66.9 95.542078) + (xy 66.773943 95.562043) + (xy 66.660228 95.619984) + (xy 66.569984 95.710228) + (xy 66.512043 95.823943) + (xy 66.492078 95.949999) + (xy 66.492078 95.95) + (xy 46.101 95.95) + (xy 46.101 95.0595) + (xy 57.885078 95.0595) + (xy 57.905043 95.185556) + (xy 57.962984 95.299271) + (xy 58.053229 95.389516) + (xy 58.166943 95.447456) + (xy 58.166945 95.447457) + (xy 58.293 95.467422) + (xy 58.419055 95.447457) + (xy 58.532771 95.389516) + (xy 58.623016 95.299271) + (xy 58.680957 95.185555) + (xy 58.700922 95.0595) + (xy 59.155078 95.0595) + (xy 59.175043 95.185556) + (xy 59.232984 95.299271) + (xy 59.323229 95.389516) + (xy 59.436943 95.447456) + (xy 59.436945 95.447457) + (xy 59.563 95.467422) + (xy 59.689055 95.447457) + (xy 59.802771 95.389516) + (xy 59.893016 95.299271) + (xy 59.950957 95.185555) + (xy 59.970922 95.0595) + (xy 59.950957 94.933445) + (xy 59.918121 94.869) + (xy 63.409578 94.869) + (xy 63.429543 94.995056) + (xy 63.457538 95.049999) + (xy 63.487484 95.108771) + (xy 63.577729 95.199016) + (xy 63.691443 95.256956) + (xy 63.691445 95.256957) + (xy 63.8175 95.276922) + (xy 63.943555 95.256957) + (xy 64.057271 95.199016) + (xy 64.147516 95.108771) + (xy 64.205457 94.995055) + (xy 64.225422 94.869) + (xy 64.222413 94.85) + (xy 85.992078 94.85) + (xy 86.012043 94.976056) + (xy 86.049719 95.049999) + (xy 86.069984 95.089771) + (xy 86.160229 95.180016) + (xy 86.273943 95.237956) + (xy 86.273945 95.237957) + (xy 86.4 95.257922) + (xy 86.526055 95.237957) + (xy 86.639771 95.180016) + (xy 86.730016 95.089771) + (xy 86.787957 94.976055) + (xy 86.800003 94.9) + (xy 88.542078 94.9) + (xy 88.562043 95.026056) + (xy 88.579084 95.0595) + (xy 88.619984 95.139771) + (xy 88.710229 95.230016) + (xy 88.823943 95.287956) + (xy 88.823945 95.287957) + (xy 88.95 95.307922) + (xy 89.076055 95.287957) + (xy 89.189771 95.230016) + (xy 89.280016 95.139771) + (xy 89.337957 95.026055) + (xy 89.342084 95) + (xy 90.392078 95) + (xy 90.412043 95.126056) + (xy 90.44236 95.185556) + (xy 90.469984 95.239771) + (xy 90.560229 95.330016) + (xy 90.673943 95.387956) + (xy 90.673945 95.387957) + (xy 90.8 95.407922) + (xy 90.926055 95.387957) + (xy 91.039771 95.330016) + (xy 91.130016 95.239771) + (xy 91.187957 95.126055) + (xy 91.207922 95) + (xy 91.192084 94.9) + (xy 94.142078 94.9) + (xy 94.162043 95.026056) + (xy 94.179084 95.0595) + (xy 94.219984 95.139771) + (xy 94.310229 95.230016) + (xy 94.423943 95.287956) + (xy 94.423945 95.287957) + (xy 94.55 95.307922) + (xy 94.676055 95.287957) + (xy 94.789771 95.230016) + (xy 94.880016 95.139771) + (xy 94.937957 95.026055) + (xy 94.957922 94.9) + (xy 95.642078 94.9) + (xy 95.662043 95.026056) + (xy 95.679084 95.0595) + (xy 95.719984 95.139771) + (xy 95.810229 95.230016) + (xy 95.923943 95.287956) + (xy 95.923945 95.287957) + (xy 96.05 95.307922) + (xy 96.176055 95.287957) + (xy 96.289771 95.230016) + (xy 96.380016 95.139771) + (xy 96.437957 95.026055) + (xy 96.457922 94.9) + (xy 97.742078 94.9) + (xy 97.762043 95.026056) + (xy 97.779084 95.0595) + (xy 97.819984 95.139771) + (xy 97.910229 95.230016) + (xy 98.023943 95.287956) + (xy 98.023945 95.287957) + (xy 98.15 95.307922) + (xy 98.276055 95.287957) + (xy 98.389771 95.230016) + (xy 98.480016 95.139771) + (xy 98.537957 95.026055) + (xy 98.557922 94.9) + (xy 98.742078 94.9) + (xy 98.762043 95.026056) + (xy 98.779084 95.0595) + (xy 98.819984 95.139771) + (xy 98.910229 95.230016) + (xy 99.023943 95.287956) + (xy 99.023945 95.287957) + (xy 99.15 95.307922) + (xy 99.276055 95.287957) + (xy 99.389771 95.230016) + (xy 99.480016 95.139771) + (xy 99.525756 95.05) + (xy 114.292078 95.05) + (xy 114.312043 95.176056) + (xy 114.344508 95.239771) + (xy 114.369984 95.289771) + (xy 114.460229 95.380016) + (xy 114.573943 95.437956) + (xy 114.573945 95.437957) + (xy 114.7 95.457922) + (xy 114.826055 95.437957) + (xy 114.939771 95.380016) + (xy 115.030016 95.289771) + (xy 115.087957 95.176055) + (xy 115.107922 95.05) + (xy 122.842078 95.05) + (xy 122.862043 95.176056) + (xy 122.894508 95.239771) + (xy 122.919984 95.289771) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.580016 95.289771) + (xy 123.637957 95.176055) + (xy 123.657922 95.05) + (xy 123.637957 94.923945) + (xy 123.580016 94.810229) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.010228 94.719984) + (xy 122.919984 94.810228) + (xy 122.862043 94.923943) + (xy 122.842078 95.049999) + (xy 122.842078 95.05) + (xy 115.107922 95.05) + (xy 115.087957 94.923945) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.826055 94.662043) + (xy 114.826057 94.662043) + (xy 114.7 94.642078) + (xy 114.573943 94.662043) + (xy 114.460228 94.719984) + (xy 114.369984 94.810228) + (xy 114.312043 94.923943) + (xy 114.292078 95.049999) + (xy 114.292078 95.05) + (xy 99.525756 95.05) + (xy 99.537957 95.026055) + (xy 99.557922 94.9) + (xy 99.537957 94.773945) + (xy 99.480016 94.660229) + (xy 99.389771 94.569984) + (xy 99.276055 94.512043) + (xy 99.276057 94.512043) + (xy 99.15 94.492078) + (xy 99.023943 94.512043) + (xy 98.910228 94.569984) + (xy 98.819984 94.660228) + (xy 98.762043 94.773943) + (xy 98.742078 94.899999) + (xy 98.742078 94.9) + (xy 98.557922 94.9) + (xy 98.537957 94.773945) + (xy 98.480016 94.660229) + (xy 98.389771 94.569984) + (xy 98.276055 94.512043) + (xy 98.276057 94.512043) + (xy 98.15 94.492078) + (xy 98.023943 94.512043) + (xy 97.910228 94.569984) + (xy 97.819984 94.660228) + (xy 97.762043 94.773943) + (xy 97.742078 94.899999) + (xy 97.742078 94.9) + (xy 96.457922 94.9) + (xy 96.437957 94.773945) + (xy 96.380016 94.660229) + (xy 96.289771 94.569984) + (xy 96.176055 94.512043) + (xy 96.176057 94.512043) + (xy 96.05 94.492078) + (xy 95.923943 94.512043) + (xy 95.810228 94.569984) + (xy 95.719984 94.660228) + (xy 95.662043 94.773943) + (xy 95.642078 94.899999) + (xy 95.642078 94.9) + (xy 94.957922 94.9) + (xy 94.937957 94.773945) + (xy 94.880016 94.660229) + (xy 94.789771 94.569984) + (xy 94.676055 94.512043) + (xy 94.676057 94.512043) + (xy 94.55 94.492078) + (xy 94.423943 94.512043) + (xy 94.310228 94.569984) + (xy 94.219984 94.660228) + (xy 94.162043 94.773943) + (xy 94.142078 94.899999) + (xy 94.142078 94.9) + (xy 91.192084 94.9) + (xy 91.187957 94.873945) + (xy 91.130016 94.760229) + (xy 91.039771 94.669984) + (xy 90.926055 94.612043) + (xy 90.926057 94.612043) + (xy 90.8 94.592078) + (xy 90.673943 94.612043) + (xy 90.560228 94.669984) + (xy 90.469984 94.760228) + (xy 90.412043 94.873943) + (xy 90.392078 94.999999) + (xy 90.392078 95) + (xy 89.342084 95) + (xy 89.357922 94.9) + (xy 89.337957 94.773945) + (xy 89.280016 94.660229) + (xy 89.189771 94.569984) + (xy 89.076055 94.512043) + (xy 89.076057 94.512043) + (xy 88.95 94.492078) + (xy 88.823943 94.512043) + (xy 88.710228 94.569984) + (xy 88.619984 94.660228) + (xy 88.562043 94.773943) + (xy 88.542078 94.899999) + (xy 88.542078 94.9) + (xy 86.800003 94.9) + (xy 86.807922 94.85) + (xy 86.787957 94.723945) + (xy 86.730016 94.610229) + (xy 86.639771 94.519984) + (xy 86.526055 94.462043) + (xy 86.526057 94.462043) + (xy 86.4 94.442078) + (xy 86.273943 94.462043) + (xy 86.160228 94.519984) + (xy 86.069984 94.610228) + (xy 86.012043 94.723943) + (xy 85.992078 94.849999) + (xy 85.992078 94.85) + (xy 64.222413 94.85) + (xy 64.205457 94.742945) + (xy 64.147516 94.629229) + (xy 64.057271 94.538984) + (xy 63.943555 94.481043) + (xy 63.943557 94.481043) + (xy 63.8175 94.461078) + (xy 63.691443 94.481043) + (xy 63.577728 94.538984) + (xy 63.487484 94.629228) + (xy 63.429543 94.742943) + (xy 63.409578 94.868999) + (xy 63.409578 94.869) + (xy 59.918121 94.869) + (xy 59.893016 94.819729) + (xy 59.802771 94.729484) + (xy 59.689055 94.671543) + (xy 59.689057 94.671543) + (xy 59.563 94.651578) + (xy 59.436943 94.671543) + (xy 59.323228 94.729484) + (xy 59.232984 94.819728) + (xy 59.175043 94.933443) + (xy 59.155078 95.059499) + (xy 59.155078 95.0595) + (xy 58.700922 95.0595) + (xy 58.680957 94.933445) + (xy 58.623016 94.819729) + (xy 58.532771 94.729484) + (xy 58.419055 94.671543) + (xy 58.419057 94.671543) + (xy 58.293 94.651578) + (xy 58.166943 94.671543) + (xy 58.053228 94.729484) + (xy 57.962984 94.819728) + (xy 57.905043 94.933443) + (xy 57.885078 95.059499) + (xy 57.885078 95.0595) + (xy 46.101 95.0595) + (xy 46.101 94.0435) + (xy 58.774078 94.0435) + (xy 58.794043 94.169556) + (xy 58.851984 94.283271) + (xy 58.942229 94.373516) + (xy 59.055943 94.431456) + (xy 59.055945 94.431457) + (xy 59.182 94.451422) + (xy 59.308055 94.431457) + (xy 59.421771 94.373516) + (xy 59.512016 94.283271) + (xy 59.528968 94.25) + (xy 113.642078 94.25) + (xy 113.662043 94.376056) + (xy 113.695683 94.442078) + (xy 113.719984 94.489771) + (xy 113.810229 94.580016) + (xy 113.923943 94.637956) + (xy 113.923945 94.637957) + (xy 114.05 94.657922) + (xy 114.176055 94.637957) + (xy 114.289771 94.580016) + (xy 114.380016 94.489771) + (xy 114.437957 94.376055) + (xy 114.457922 94.25) + (xy 122.192078 94.25) + (xy 122.212043 94.376056) + (xy 122.245683 94.442078) + (xy 122.269984 94.489771) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.930016 94.489771) + (xy 122.987957 94.376055) + (xy 123.007922 94.25) + (xy 122.987957 94.123945) + (xy 122.930016 94.010229) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.360228 93.919984) + (xy 122.269984 94.010228) + (xy 122.212043 94.123943) + (xy 122.192078 94.249999) + (xy 122.192078 94.25) + (xy 114.457922 94.25) + (xy 114.437957 94.123945) + (xy 114.380016 94.010229) + (xy 114.289771 93.919984) + (xy 114.176055 93.862043) + (xy 114.176057 93.862043) + (xy 114.05 93.842078) + (xy 113.923943 93.862043) + (xy 113.810228 93.919984) + (xy 113.719984 94.010228) + (xy 113.662043 94.123943) + (xy 113.642078 94.249999) + (xy 113.642078 94.25) + (xy 59.528968 94.25) + (xy 59.569957 94.169555) + (xy 59.589922 94.0435) + (xy 59.569957 93.917445) + (xy 59.512016 93.803729) + (xy 59.421771 93.713484) + (xy 59.308055 93.655543) + (xy 59.308057 93.655543) + (xy 59.182 93.635578) + (xy 59.055943 93.655543) + (xy 58.942228 93.713484) + (xy 58.851984 93.803728) + (xy 58.794043 93.917443) + (xy 58.774078 94.043499) + (xy 58.774078 94.0435) + (xy 46.101 94.0435) + (xy 46.101 93.606448) + (xy 46.10246 93.5917) + (xy 46.1208 93.5) + (xy 81.992078 93.5) + (xy 82.012043 93.626056) + (xy 82.044508 93.689771) + (xy 82.069984 93.739771) + (xy 82.160229 93.830016) + (xy 82.273943 93.887956) + (xy 82.273945 93.887957) + (xy 82.4 93.907922) + (xy 82.526055 93.887957) + (xy 82.639771 93.830016) + (xy 82.730016 93.739771) + (xy 82.787957 93.626055) + (xy 82.807922 93.5) + (xy 82.800003 93.45) + (xy 114.292078 93.45) + (xy 114.312043 93.576056) + (xy 114.342371 93.635578) + (xy 114.369984 93.689771) + (xy 114.460229 93.780016) + (xy 114.573943 93.837956) + (xy 114.573945 93.837957) + (xy 114.7 93.857922) + (xy 114.826055 93.837957) + (xy 114.939771 93.780016) + (xy 115.030016 93.689771) + (xy 115.087957 93.576055) + (xy 115.107922 93.45) + (xy 121.542078 93.45) + (xy 121.562043 93.576056) + (xy 121.592371 93.635578) + (xy 121.619984 93.689771) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.280016 93.689771) + (xy 122.337957 93.576055) + (xy 122.357922 93.45) + (xy 122.337957 93.323945) + (xy 122.280016 93.210229) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.710228 93.119984) + (xy 121.619984 93.210228) + (xy 121.562043 93.323943) + (xy 121.542078 93.449999) + (xy 121.542078 93.45) + (xy 115.107922 93.45) + (xy 115.087957 93.323945) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.460228 93.119984) + (xy 114.369984 93.210228) + (xy 114.312043 93.323943) + (xy 114.292078 93.449999) + (xy 114.292078 93.45) + (xy 82.800003 93.45) + (xy 82.787957 93.373945) + (xy 82.730016 93.260229) + (xy 82.639771 93.169984) + (xy 82.526055 93.112043) + (xy 82.526057 93.112043) + (xy 82.4 93.092078) + (xy 82.273943 93.112043) + (xy 82.160228 93.169984) + (xy 82.069984 93.260228) + (xy 82.012043 93.373943) + (xy 81.992078 93.499999) + (xy 81.992078 93.5) + (xy 46.1208 93.5) + (xy 46.208391 93.062043) + (xy 46.226025 92.97387) + (xy 46.232501 92.954996) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 46.6725 92.2655) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.474822 92.45) + (xy 60.502984 92.505271) + (xy 60.593229 92.595516) + (xy 60.706943 92.653456) + (xy 60.706945 92.653457) + (xy 60.833 92.673422) + (xy 60.959055 92.653457) + (xy 61.072771 92.595516) + (xy 61.163016 92.505271) + (xy 61.220957 92.391555) + (xy 61.235458 92.3) + (xy 85.892078 92.3) + (xy 85.912043 92.426056) + (xy 85.936443 92.473943) + (xy 85.969984 92.539771) + (xy 86.060229 92.630016) + (xy 86.173943 92.687956) + (xy 86.173945 92.687957) + (xy 86.3 92.707922) + (xy 86.426055 92.687957) + (xy 86.539771 92.630016) + (xy 86.619787 92.55) + (xy 88.542078 92.55) + (xy 88.562043 92.676056) + (xy 88.602605 92.755662) + (xy 88.619984 92.789771) + (xy 88.710229 92.880016) + (xy 88.823943 92.937956) + (xy 88.823945 92.937957) + (xy 88.95 92.957922) + (xy 89.076055 92.937957) + (xy 89.189771 92.880016) + (xy 89.280016 92.789771) + (xy 89.337957 92.676055) + (xy 89.357922 92.55) + (xy 89.342084 92.45) + (xy 93.492078 92.45) + (xy 93.512043 92.576056) + (xy 93.551481 92.653457) + (xy 93.569984 92.689771) + (xy 93.660229 92.780016) + (xy 93.773943 92.837956) + (xy 93.773945 92.837957) + (xy 93.9 92.857922) + (xy 94.026055 92.837957) + (xy 94.139771 92.780016) + (xy 94.230016 92.689771) + (xy 94.275756 92.6) + (xy 95.042078 92.6) + (xy 95.062043 92.726056) + (xy 95.089537 92.780015) + (xy 95.119984 92.839771) + (xy 95.210229 92.930016) + (xy 95.323943 92.987956) + (xy 95.323945 92.987957) + (xy 95.45 93.007922) + (xy 95.576055 92.987957) + (xy 95.689771 92.930016) + (xy 95.780016 92.839771) + (xy 95.837957 92.726055) + (xy 95.857922 92.6) + (xy 96.042078 92.6) + (xy 96.062043 92.726056) + (xy 96.089537 92.780015) + (xy 96.119984 92.839771) + (xy 96.210229 92.930016) + (xy 96.323943 92.987956) + (xy 96.323945 92.987957) + (xy 96.45 93.007922) + (xy 96.576055 92.987957) + (xy 96.689771 92.930016) + (xy 96.780016 92.839771) + (xy 96.837957 92.726055) + (xy 96.857922 92.6) + (xy 96.850003 92.55) + (xy 97.742078 92.55) + (xy 97.762043 92.676056) + (xy 97.802605 92.755662) + (xy 97.819984 92.789771) + (xy 97.910229 92.880016) + (xy 98.023943 92.937956) + (xy 98.023945 92.937957) + (xy 98.15 92.957922) + (xy 98.276055 92.937957) + (xy 98.389771 92.880016) + (xy 98.480016 92.789771) + (xy 98.537957 92.676055) + (xy 98.550003 92.6) + (xy 99.142078 92.6) + (xy 99.162043 92.726056) + (xy 99.189537 92.780015) + (xy 99.219984 92.839771) + (xy 99.310229 92.930016) + (xy 99.423943 92.987956) + (xy 99.423945 92.987957) + (xy 99.55 93.007922) + (xy 99.676055 92.987957) + (xy 99.789771 92.930016) + (xy 99.880016 92.839771) + (xy 99.937957 92.726055) + (xy 99.950003 92.65) + (xy 122.842078 92.65) + (xy 122.862043 92.776056) + (xy 122.894508 92.839771) + (xy 122.919984 92.889771) + (xy 123.010229 92.980016) + (xy 123.123943 93.037956) + (xy 123.123945 93.037957) + (xy 123.25 93.057922) + (xy 123.376055 93.037957) + (xy 123.489771 92.980016) + (xy 123.580016 92.889771) + (xy 123.637957 92.776055) + (xy 123.657922 92.65) + (xy 125.142078 92.65) + (xy 125.162043 92.776056) + (xy 125.194508 92.839771) + (xy 125.219984 92.889771) + (xy 125.310229 92.980016) + (xy 125.423943 93.037956) + (xy 125.423945 93.037957) + (xy 125.55 93.057922) + (xy 125.676055 93.037957) + (xy 125.789771 92.980016) + (xy 125.869787 92.9) + (xy 126.892329 92.9) + (xy 126.911331 93.044337) + (xy 126.931029 93.091891) + (xy 126.967043 93.178836) + (xy 126.967044 93.178838) + (xy 126.967045 93.178839) + (xy 127.055666 93.294333) + (xy 127.155142 93.370663) + (xy 127.171164 93.382957) + (xy 127.305664 93.438669) + (xy 127.45 93.457671) + (xy 127.594336 93.438669) + (xy 127.728836 93.382957) + (xy 127.844333 93.294333) + (xy 127.932957 93.178836) + (xy 127.988669 93.044336) + (xy 128.007671 92.9) + (xy 127.988669 92.755664) + (xy 127.932957 92.621165) + (xy 127.913276 92.595516) + (xy 127.844333 92.505666) + (xy 127.728839 92.417045) + (xy 127.728838 92.417044) + (xy 127.728836 92.417043) + (xy 127.641891 92.381029) + (xy 127.594337 92.361331) + (xy 127.45 92.342329) + (xy 127.305662 92.361331) + (xy 127.171163 92.417044) + (xy 127.171162 92.417044) + (xy 127.055666 92.505666) + (xy 126.967044 92.621162) + (xy 126.967044 92.621163) + (xy 126.911331 92.755662) + (xy 126.892329 92.9) + (xy 125.869787 92.9) + (xy 125.880016 92.889771) + (xy 125.937957 92.776055) + (xy 125.957922 92.65) + (xy 125.937957 92.523945) + (xy 125.880016 92.410229) + (xy 125.789771 92.319984) + (xy 125.676055 92.262043) + (xy 125.676057 92.262043) + (xy 125.55 92.242078) + (xy 125.423943 92.262043) + (xy 125.310228 92.319984) + (xy 125.219984 92.410228) + (xy 125.162043 92.523943) + (xy 125.142078 92.649999) + (xy 125.142078 92.65) + (xy 123.657922 92.65) + (xy 123.637957 92.523945) + (xy 123.580016 92.410229) + (xy 123.489771 92.319984) + (xy 123.376055 92.262043) + (xy 123.376057 92.262043) + (xy 123.25 92.242078) + (xy 123.123943 92.262043) + (xy 123.010228 92.319984) + (xy 122.919984 92.410228) + (xy 122.862043 92.523943) + (xy 122.842078 92.649999) + (xy 122.842078 92.65) + (xy 99.950003 92.65) + (xy 99.957922 92.6) + (xy 99.937957 92.473945) + (xy 99.880016 92.360229) + (xy 99.789771 92.269984) + (xy 99.676055 92.212043) + (xy 99.676057 92.212043) + (xy 99.55 92.192078) + (xy 99.423943 92.212043) + (xy 99.310228 92.269984) + (xy 99.219984 92.360228) + (xy 99.162043 92.473943) + (xy 99.142078 92.599999) + (xy 99.142078 92.6) + (xy 98.550003 92.6) + (xy 98.557922 92.55) + (xy 98.537957 92.423945) + (xy 98.480016 92.310229) + (xy 98.389771 92.219984) + (xy 98.276055 92.162043) + (xy 98.276057 92.162043) + (xy 98.15 92.142078) + (xy 98.023943 92.162043) + (xy 97.910228 92.219984) + (xy 97.819984 92.310228) + (xy 97.762043 92.423943) + (xy 97.742078 92.549999) + (xy 97.742078 92.55) + (xy 96.850003 92.55) + (xy 96.837957 92.473945) + (xy 96.780016 92.360229) + (xy 96.689771 92.269984) + (xy 96.576055 92.212043) + (xy 96.576057 92.212043) + (xy 96.45 92.192078) + (xy 96.323943 92.212043) + (xy 96.210228 92.269984) + (xy 96.119984 92.360228) + (xy 96.062043 92.473943) + (xy 96.042078 92.599999) + (xy 96.042078 92.6) + (xy 95.857922 92.6) + (xy 95.837957 92.473945) + (xy 95.780016 92.360229) + (xy 95.689771 92.269984) + (xy 95.576055 92.212043) + (xy 95.576057 92.212043) + (xy 95.45 92.192078) + (xy 95.323943 92.212043) + (xy 95.210228 92.269984) + (xy 95.119984 92.360228) + (xy 95.062043 92.473943) + (xy 95.042078 92.599999) + (xy 95.042078 92.6) + (xy 94.275756 92.6) + (xy 94.287957 92.576055) + (xy 94.307922 92.45) + (xy 94.307505 92.44737) + (xy 94.301623 92.410228) + (xy 94.287957 92.323945) + (xy 94.230016 92.210229) + (xy 94.139771 92.119984) + (xy 94.026055 92.062043) + (xy 94.026057 92.062043) + (xy 93.9 92.042078) + (xy 93.773943 92.062043) + (xy 93.660228 92.119984) + (xy 93.569984 92.210228) + (xy 93.512043 92.323943) + (xy 93.492078 92.449999) + (xy 93.492078 92.45) + (xy 89.342084 92.45) + (xy 89.337957 92.423945) + (xy 89.280016 92.310229) + (xy 89.189771 92.219984) + (xy 89.076055 92.162043) + (xy 89.076057 92.162043) + (xy 88.95 92.142078) + (xy 88.823943 92.162043) + (xy 88.710228 92.219984) + (xy 88.619984 92.310228) + (xy 88.562043 92.423943) + (xy 88.542078 92.549999) + (xy 88.542078 92.55) + (xy 86.619787 92.55) + (xy 86.630016 92.539771) + (xy 86.687957 92.426055) + (xy 86.707922 92.3) + (xy 86.687957 92.173945) + (xy 86.630016 92.060229) + (xy 86.569787 92) + (xy 92.542078 92) + (xy 92.562043 92.126056) + (xy 92.609902 92.219984) + (xy 92.619984 92.239771) + (xy 92.710229 92.330016) + (xy 92.823943 92.387956) + (xy 92.823945 92.387957) + (xy 92.95 92.407922) + (xy 93.076055 92.387957) + (xy 93.189771 92.330016) + (xy 93.280016 92.239771) + (xy 93.337957 92.126055) + (xy 93.357922 92) + (xy 93.337957 91.873945) + (xy 93.325756 91.85) + (xy 100.142078 91.85) + (xy 100.162043 91.976056) + (xy 100.212995 92.076055) + (xy 100.219984 92.089771) + (xy 100.310229 92.180016) + (xy 100.423943 92.237956) + (xy 100.423945 92.237957) + (xy 100.55 92.257922) + (xy 100.676055 92.237957) + (xy 100.789771 92.180016) + (xy 100.880016 92.089771) + (xy 100.937957 91.976055) + (xy 100.957922 91.85) + (xy 100.937957 91.723945) + (xy 100.90028 91.65) + (xy 113.792443 91.65) + (xy 113.810977 91.778911) + (xy 113.865078 91.897373) + (xy 113.86508 91.897376) + (xy 113.950366 91.995801) + (xy 114.022376 92.042078) + (xy 114.05062 92.060229) + (xy 114.059926 92.066209) + (xy 114.184883 92.1029) + (xy 114.315117 92.1029) + (xy 114.440073 92.066209) + (xy 114.440073 92.066208) + (xy 114.440076 92.066208) + (xy 114.549636 91.995799) + (xy 114.589321 91.95) + (xy 123.992078 91.95) + (xy 124.012043 92.076056) + (xy 124.045683 92.142078) + (xy 124.069984 92.189771) + (xy 124.160229 92.280016) + (xy 124.273943 92.337956) + (xy 124.273945 92.337957) + (xy 124.4 92.357922) + (xy 124.526055 92.337957) + (xy 124.639771 92.280016) + (xy 124.730016 92.189771) + (xy 124.787957 92.076055) + (xy 124.807922 91.95) + (xy 124.787957 91.823945) + (xy 124.775756 91.8) + (xy 125.992443 91.8) + (xy 126.010977 91.928911) + (xy 126.065078 92.047373) + (xy 126.06508 92.047376) + (xy 126.150366 92.145801) + (xy 126.203607 92.180016) + (xy 126.25062 92.210229) + (xy 126.259926 92.216209) + (xy 126.384883 92.2529) + (xy 126.515117 92.2529) + (xy 126.640073 92.216209) + (xy 126.640073 92.216208) + (xy 126.640076 92.216208) + (xy 126.749636 92.145799) + (xy 126.834921 92.047374) + (xy 126.889023 91.928909) + (xy 126.907557 91.8) + (xy 126.889023 91.671091) + (xy 126.888517 91.669984) + (xy 126.834921 91.552626) + (xy 126.834919 91.552623) + (xy 126.749633 91.454198) + (xy 126.640073 91.38379) + (xy 126.515117 91.3471) + (xy 126.384883 91.3471) + (xy 126.259926 91.38379) + (xy 126.150366 91.454198) + (xy 126.06508 91.552623) + (xy 126.065078 91.552626) + (xy 126.010977 91.671088) + (xy 125.992443 91.8) + (xy 124.775756 91.8) + (xy 124.730016 91.710229) + (xy 124.639771 91.619984) + (xy 124.526055 91.562043) + (xy 124.526057 91.562043) + (xy 124.4 91.542078) + (xy 124.273943 91.562043) + (xy 124.160228 91.619984) + (xy 124.069984 91.710228) + (xy 124.012043 91.823943) + (xy 123.992078 91.949999) + (xy 123.992078 91.95) + (xy 114.589321 91.95) + (xy 114.634921 91.897374) + (xy 114.689023 91.778909) + (xy 114.707557 91.65) + (xy 114.689023 91.521091) + (xy 114.688517 91.519984) + (xy 114.634921 91.402626) + (xy 114.634919 91.402623) + (xy 114.580588 91.339922) + (xy 114.549636 91.304201) + (xy 114.549635 91.3042) + (xy 114.549633 91.304198) + (xy 114.440073 91.23379) + (xy 114.315117 91.1971) + (xy 114.184883 91.1971) + (xy 114.059926 91.23379) + (xy 113.950366 91.304198) + (xy 113.86508 91.402623) + (xy 113.865078 91.402626) + (xy 113.810977 91.521088) + (xy 113.792443 91.65) + (xy 100.90028 91.65) + (xy 100.880016 91.610229) + (xy 100.789771 91.519984) + (xy 100.676055 91.462043) + (xy 100.676057 91.462043) + (xy 100.55 91.442078) + (xy 100.423943 91.462043) + (xy 100.310228 91.519984) + (xy 100.219984 91.610228) + (xy 100.162043 91.723943) + (xy 100.142078 91.849999) + (xy 100.142078 91.85) + (xy 93.325756 91.85) + (xy 93.280016 91.760229) + (xy 93.189771 91.669984) + (xy 93.076055 91.612043) + (xy 93.076057 91.612043) + (xy 92.95 91.592078) + (xy 92.823943 91.612043) + (xy 92.710228 91.669984) + (xy 92.619984 91.760228) + (xy 92.562043 91.873943) + (xy 92.542078 91.999999) + (xy 92.542078 92) + (xy 86.569787 92) + (xy 86.539771 91.969984) + (xy 86.426055 91.912043) + (xy 86.426057 91.912043) + (xy 86.3 91.892078) + (xy 86.173943 91.912043) + (xy 86.060228 91.969984) + (xy 85.969984 92.060228) + (xy 85.912043 92.173943) + (xy 85.892078 92.299999) + (xy 85.892078 92.3) + (xy 61.235458 92.3) + (xy 61.240922 92.2655) + (xy 61.240374 92.262043) + (xy 61.229293 92.192078) + (xy 61.220957 92.139445) + (xy 61.163016 92.025729) + (xy 61.072771 91.935484) + (xy 60.959055 91.877543) + (xy 60.959057 91.877543) + (xy 60.833 91.857578) + (xy 60.706943 91.877543) + (xy 60.593228 91.935484) + (xy 60.502984 92.025728) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 46.6725 92.2655) + (xy 48.138 90.8) + (xy 88.292078 90.8) + (xy 88.312043 90.926056) + (xy 88.315072 90.932) + (xy 88.369984 91.039771) + (xy 88.460229 91.130016) + (xy 88.573943 91.187956) + (xy 88.573945 91.187957) + (xy 88.7 91.207922) + (xy 88.826055 91.187957) + (xy 88.939771 91.130016) + (xy 89.030016 91.039771) + (xy 89.087957 90.926055) + (xy 89.107922 90.8) + (xy 97.992078 90.8) + (xy 98.012043 90.926056) + (xy 98.015072 90.932) + (xy 98.069984 91.039771) + (xy 98.160229 91.130016) + (xy 98.273943 91.187956) + (xy 98.273945 91.187957) + (xy 98.4 91.207922) + (xy 98.526055 91.187957) + (xy 98.639771 91.130016) + (xy 98.730016 91.039771) + (xy 98.787957 90.926055) + (xy 98.807922 90.8) + (xy 98.800003 90.75) + (xy 114.792443 90.75) + (xy 114.810977 90.878911) + (xy 114.865078 90.997373) + (xy 114.86508 90.997376) + (xy 114.950366 91.095801) + (xy 115.059926 91.166209) + (xy 115.184883 91.2029) + (xy 115.315117 91.2029) + (xy 115.440073 91.166209) + (xy 115.440073 91.166208) + (xy 115.440076 91.166208) + (xy 115.549636 91.095799) + (xy 115.634921 90.997374) + (xy 115.689023 90.878909) + (xy 115.707557 90.75) + (xy 124.792443 90.75) + (xy 124.810977 90.878911) + (xy 124.865078 90.997373) + (xy 124.86508 90.997376) + (xy 124.950366 91.095801) + (xy 125.059926 91.166209) + (xy 125.184883 91.2029) + (xy 125.315117 91.2029) + (xy 125.440073 91.166209) + (xy 125.440073 91.166208) + (xy 125.440076 91.166208) + (xy 125.549636 91.095799) + (xy 125.634921 90.997374) + (xy 125.664777 90.932) + (xy 134.720078 90.932) + (xy 134.740043 91.058056) + (xy 134.79515 91.166209) + (xy 134.797984 91.171771) + (xy 134.888229 91.262016) + (xy 135.001943 91.319956) + (xy 135.001945 91.319957) + (xy 135.128 91.339922) + (xy 135.254055 91.319957) + (xy 135.367771 91.262016) + (xy 135.458016 91.171771) + (xy 135.515957 91.058055) + (xy 135.535922 90.932) + (xy 135.990078 90.932) + (xy 136.010043 91.058056) + (xy 136.06515 91.166209) + (xy 136.067984 91.171771) + (xy 136.158229 91.262016) + (xy 136.271943 91.319956) + (xy 136.271945 91.319957) + (xy 136.398 91.339922) + (xy 136.524055 91.319957) + (xy 136.637771 91.262016) + (xy 136.728016 91.171771) + (xy 136.785957 91.058055) + (xy 136.805922 90.932) + (xy 136.80498 90.926055) + (xy 136.802164 90.908276) + (xy 136.785957 90.805945) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.158228 90.601984) + (xy 136.067984 90.692228) + (xy 136.010043 90.805943) + (xy 135.990078 90.931999) + (xy 135.990078 90.932) + (xy 135.535922 90.932) + (xy 135.53498 90.926055) + (xy 135.532164 90.908276) + (xy 135.515957 90.805945) + (xy 135.458016 90.692229) + (xy 135.367771 90.601984) + (xy 135.254055 90.544043) + (xy 135.254057 90.544043) + (xy 135.128 90.524078) + (xy 135.001943 90.544043) + (xy 134.888228 90.601984) + (xy 134.797984 90.692228) + (xy 134.740043 90.805943) + (xy 134.720078 90.931999) + (xy 134.720078 90.932) + (xy 125.664777 90.932) + (xy 125.689023 90.878909) + (xy 125.707557 90.75) + (xy 125.689023 90.621091) + (xy 125.661227 90.560228) + (xy 125.634921 90.502626) + (xy 125.634919 90.502623) + (xy 125.549633 90.404198) + (xy 125.440073 90.33379) + (xy 125.315117 90.2971) + (xy 125.184883 90.2971) + (xy 125.059926 90.33379) + (xy 124.950366 90.404198) + (xy 124.86508 90.502623) + (xy 124.865078 90.502626) + (xy 124.810977 90.621088) + (xy 124.792443 90.75) + (xy 115.707557 90.75) + (xy 115.689023 90.621091) + (xy 115.661227 90.560228) + (xy 115.634921 90.502626) + (xy 115.634919 90.502623) + (xy 115.549633 90.404198) + (xy 115.440073 90.33379) + (xy 115.315117 90.2971) + (xy 115.184883 90.2971) + (xy 115.059926 90.33379) + (xy 114.950366 90.404198) + (xy 114.86508 90.502623) + (xy 114.865078 90.502626) + (xy 114.810977 90.621088) + (xy 114.792443 90.75) + (xy 98.800003 90.75) + (xy 98.787957 90.673945) + (xy 98.730016 90.560229) + (xy 98.639771 90.469984) + (xy 98.526055 90.412043) + (xy 98.526057 90.412043) + (xy 98.4 90.392078) + (xy 98.273943 90.412043) + (xy 98.160228 90.469984) + (xy 98.069984 90.560228) + (xy 98.012043 90.673943) + (xy 97.992078 90.799999) + (xy 97.992078 90.8) + (xy 89.107922 90.8) + (xy 89.087957 90.673945) + (xy 89.030016 90.560229) + (xy 88.939771 90.469984) + (xy 88.826055 90.412043) + (xy 88.826057 90.412043) + (xy 88.7 90.392078) + (xy 88.573943 90.412043) + (xy 88.460228 90.469984) + (xy 88.369984 90.560228) + (xy 88.312043 90.673943) + (xy 88.292078 90.799999) + (xy 88.292078 90.8) + (xy 48.138 90.8) + (xy 49.088 89.85) + (xy 113.792443 89.85) + (xy 113.810977 89.978911) + (xy 113.865078 90.097373) + (xy 113.86508 90.097376) + (xy 113.950366 90.195801) + (xy 114.059926 90.266209) + (xy 114.184883 90.3029) + (xy 114.315117 90.3029) + (xy 114.440073 90.266209) + (xy 114.440073 90.266208) + (xy 114.440076 90.266208) + (xy 114.549636 90.195799) + (xy 114.634921 90.097374) + (xy 114.689023 89.978909) + (xy 114.707557 89.85) + (xy 123.792443 89.85) + (xy 123.810977 89.978911) + (xy 123.865078 90.097373) + (xy 123.86508 90.097376) + (xy 123.950366 90.195801) + (xy 124.059926 90.266209) + (xy 124.184883 90.3029) + (xy 124.315117 90.3029) + (xy 124.440073 90.266209) + (xy 124.440073 90.266208) + (xy 124.440076 90.266208) + (xy 124.549636 90.195799) + (xy 124.634921 90.097374) + (xy 124.689023 89.978909) + (xy 124.707557 89.85) + (xy 124.689023 89.721091) + (xy 124.634921 89.602626) + (xy 124.634919 89.602623) + (xy 124.549633 89.504198) + (xy 124.440073 89.43379) + (xy 124.315117 89.3971) + (xy 124.184883 89.3971) + (xy 124.059926 89.43379) + (xy 123.950366 89.504198) + (xy 123.86508 89.602623) + (xy 123.865078 89.602626) + (xy 123.810977 89.721088) + (xy 123.792443 89.85) + (xy 114.707557 89.85) + (xy 114.689023 89.721091) + (xy 114.634921 89.602626) + (xy 114.634919 89.602623) + (xy 114.549633 89.504198) + (xy 114.440073 89.43379) + (xy 114.315117 89.3971) + (xy 114.184883 89.3971) + (xy 114.059926 89.43379) + (xy 113.950366 89.504198) + (xy 113.86508 89.602623) + (xy 113.865078 89.602626) + (xy 113.810977 89.721088) + (xy 113.792443 89.85) + (xy 49.088 89.85) + (xy 54.274015 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.594379 85.421367) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.752014 84.31958) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274015 84.663985) + (xy 56.56001 82.37799) + (xy 139.631845 82.37799) + (xy 139.634689 82.430448) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.033379 83.135367) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 56.56001 82.37799) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.68387 80.516025) + (xy 59.3017 80.39246) + (xy 59.316448 80.391) + (xy 142.994552 80.391) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 3938e3e6-618b-4d68-9544-e3a75f0750f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 134.493) + (xy 75.692 134.874) + (xy 76.073 134.874) + (xy 76.073 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 39a5ea41-d0cf-42fb-afcd-43cc9c6d09ec) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 135.763) + (xy 76.327 136.144) + (xy 76.708 136.144) + (xy 76.708 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 428ce001-ffed-4f62-84aa-dc872ab65a7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 138.303) + (xy 76.327 138.684) + (xy 76.708 138.684) + (xy 76.708 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 4d42d429-8c78-4c79-b023-9473e2bce9b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 132.588) + (xy 75.692 132.969) + (xy 76.073 132.969) + (xy 76.073 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 4e323a04-28eb-4759-afbc-3b88f1b58aa4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 135.128) + (xy 116.332 135.509) + (xy 116.713 135.509) + (xy 116.713 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 540a54ea-6452-4b8f-889d-266d77d62921) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 133.858) + (xy 119.507 134.239) + (xy 119.888 134.239) + (xy 119.888 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 560a1b6d-2f1b-4e69-ae3f-632b42611f59) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 135.128) + (xy 119.507 135.509) + (xy 119.888 135.509) + (xy 119.888 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 58fc4149-9dd2-41a6-9534-c6990c1432d9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 134.493) + (xy 116.332 134.874) + (xy 116.713 134.874) + (xy 116.713 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 5948f63e-2007-4fd6-9852-4c19f95588dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 131.953) + (xy 119.507 132.334) + (xy 119.888 132.334) + (xy 119.888 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 5b341ee5-3640-4590-8a34-c443b1d37c2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 136.398) + (xy 118.872 136.779) + (xy 119.253 136.779) + (xy 119.253 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 5f20705e-9a9f-4ff4-8d32-f9311c2413dc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 135.763) + (xy 118.872 136.144) + (xy 119.253 136.144) + (xy 119.253 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 63ba5bf0-a9de-4560-8abb-91be811bbad9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 137.668) + (xy 116.332 138.049) + (xy 116.713 138.049) + (xy 116.713 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 6b0903bc-3956-41d9-bac4-557082a8292c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 131.953) + (xy 116.967 132.334) + (xy 117.348 132.334) + (xy 117.348 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 6e0e50d4-f96d-4f04-ad04-3c2ef718d2c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 135.763) + (xy 116.967 136.144) + (xy 117.348 136.144) + (xy 117.348 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 7402b11c-1fd6-4fdc-b1be-5683c095d2cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 132.588) + (xy 116.332 132.969) + (xy 116.713 132.969) + (xy 116.713 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 762fcb78-69e5-47c5-9588-bfb90b14eef2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 131.953) + (xy 75.692 132.334) + (xy 76.073 132.334) + (xy 76.073 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 774b0bdc-3606-481c-846e-3602fafb98c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 135.128) + (xy 75.692 135.509) + (xy 76.073 135.509) + (xy 76.073 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 7b9934b2-f0d6-4913-b3ba-6fdb14912790) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 138.303) + (xy 116.332 138.684) + (xy 116.713 138.684) + (xy 116.713 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 7e0828c1-14e3-41e1-8333-16b70b866fc7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 134.493) + (xy 76.327 134.874) + (xy 76.708 134.874) + (xy 76.708 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 803ee649-8288-4114-b278-5cd45d85d4f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 138.303) + (xy 116.967 138.684) + (xy 117.348 138.684) + (xy 117.348 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8900cf86-1f12-48ed-8d1e-de248ce46c1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 136.398) + (xy 75.692 136.779) + (xy 76.073 136.779) + (xy 76.073 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8b539111-8003-4a46-a3ef-1a059984f535) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 135.763) + (xy 116.332 136.144) + (xy 116.713 136.144) + (xy 116.713 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8b819771-ec68-46c4-8d86-17a5516ca0a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 131.953) + (xy 76.327 132.334) + (xy 76.708 132.334) + (xy 76.708 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8e4ed9d8-f614-4d3f-acef-736ac14b9e0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 135.128) + (xy 76.327 135.509) + (xy 76.708 135.509) + (xy 76.708 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 9635e480-4066-41e4-a790-94d750c9e494) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 135.763) + (xy 75.692 136.144) + (xy 76.073 136.144) + (xy 76.073 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 97a592e4-9095-461a-b5fd-6295e28158e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 137.668) + (xy 76.327 138.049) + (xy 76.708 138.049) + (xy 76.708 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp a59efd97-715a-407f-89b8-8f6a137a56a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 133.223) + (xy 118.872 133.604) + (xy 119.253 133.604) + (xy 119.253 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp a87f5328-4091-403d-a606-48ab6a9b1788) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 133.223) + (xy 119.507 133.604) + (xy 119.888 133.604) + (xy 119.888 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp aa2e399f-6647-4ff5-a9da-dada6f5254c6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 132.588) + (xy 116.967 132.969) + (xy 117.348 132.969) + (xy 117.348 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp aac2c413-a7db-41dd-bf91-04a917c655dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 137.033) + (xy 119.507 137.414) + (xy 119.888 137.414) + (xy 119.888 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ab441cb5-1d02-452a-b2d4-df1545cd6a4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 137.033) + (xy 75.692 137.414) + (xy 76.073 137.414) + (xy 76.073 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ae071ea7-e9fe-4d50-af30-598c60039517) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 136.398) + (xy 76.327 136.779) + (xy 76.708 136.779) + (xy 76.708 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp b57d2f6b-d437-435c-8fbb-da926c50420d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 137.668) + (xy 116.967 138.049) + (xy 117.348 138.049) + (xy 117.348 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp b6c392f6-8c5f-4143-b32a-263296b55572) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 137.033) + (xy 76.327 137.414) + (xy 76.708 137.414) + (xy 76.708 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ba1aa693-a3f8-4a50-8e28-a87d82d776db) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 133.223) + (xy 75.692 133.604) + (xy 76.073 133.604) + (xy 76.073 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp bc8e78be-2bc2-42b4-bb48-5e7368e3176c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 137.033) + (xy 118.872 137.414) + (xy 119.253 137.414) + (xy 119.253 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c07f671f-f86d-4334-b083-218a226af244) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 133.223) + (xy 116.332 133.604) + (xy 116.713 133.604) + (xy 116.713 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c107178b-a0ed-4aed-b7ce-072995dd1f16) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 134.493) + (xy 116.967 134.874) + (xy 117.348 134.874) + (xy 117.348 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c309da67-8319-408e-a634-51f84231bf76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 137.668) + (xy 118.872 138.049) + (xy 119.253 138.049) + (xy 119.253 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c4370cbf-20fd-4b27-947d-ebd8538282b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 137.033) + (xy 116.332 137.414) + (xy 116.713 137.414) + (xy 116.713 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c6c86181-177d-4d6e-9228-737d2c4c0a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 131.953) + (xy 116.332 132.334) + (xy 116.713 132.334) + (xy 116.713 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d3bdcd48-1b7c-4456-a901-f56cc3307052) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 133.858) + (xy 116.967 134.239) + (xy 117.348 134.239) + (xy 117.348 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d49b61f1-820b-4d29-9eee-3806f191aaff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 137.668) + (xy 75.692 138.049) + (xy 76.073 138.049) + (xy 76.073 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d4db904d-8628-4864-b341-2e4fa0185a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 133.858) + (xy 118.872 134.239) + (xy 119.253 134.239) + (xy 119.253 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d7744088-bad4-4245-b9cd-a683f583bcdd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 137.668) + (xy 119.507 138.049) + (xy 119.888 138.049) + (xy 119.888 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp dc0ef132-affe-42af-bc32-cafd1652453c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 138.303) + (xy 75.692 138.684) + (xy 76.073 138.684) + (xy 76.073 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp e998ee7e-c1f9-44f6-97c3-ba36e61cf62e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 138.303) + (xy 119.507 138.684) + (xy 119.888 138.684) + (xy 119.888 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ea9733cf-41e5-44b7-a844-41d0bb1eb74f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 132.588) + (xy 76.327 132.969) + (xy 76.708 132.969) + (xy 76.708 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ebf9d24f-233f-49e5-846a-19033c99dc7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 133.858) + (xy 75.692 134.239) + (xy 76.073 134.239) + (xy 76.073 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp edfc581a-0c44-4071-832d-1e315ff0c532) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 135.763) + (xy 119.507 136.144) + (xy 119.888 136.144) + (xy 119.888 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f082d95a-5a31-46c2-88fc-c963d2b92b93) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 131.953) + (xy 118.872 132.334) + (xy 119.253 132.334) + (xy 119.253 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f08fbdcd-f0aa-4fbd-84f3-3a33881ead34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 133.858) + (xy 76.327 134.239) + (xy 76.708 134.239) + (xy 76.708 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f4773917-508e-48fe-abb6-a15826dffd99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 134.493) + (xy 119.507 134.874) + (xy 119.888 134.874) + (xy 119.888 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f565b9e1-4f9a-4ee3-9b4b-d925518fe8f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 136.398) + (xy 116.967 136.779) + (xy 117.348 136.779) + (xy 117.348 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f58c24d5-fc73-446b-a93c-eb57d99b1e13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 135.128) + (xy 116.967 135.509) + (xy 117.348 135.509) + (xy 117.348 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0106f2bc-97f9-4c5d-bd72-0492d7e1995f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 137.033) + (xy 99.187 137.414) + (xy 99.568 137.414) + (xy 99.568 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 013bac8a-c200-4d50-bef4-a19f6a244054) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 132.588) + (xy 131.572 132.969) + (xy 131.953 132.969) + (xy 131.953 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 025eb299-5021-4681-add5-75d462eea707) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 138.303) + (xy 96.012 138.684) + (xy 96.393 138.684) + (xy 96.393 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 02e42be2-3457-4ee2-9f2e-d1e0df01ec25) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 135.128) + (xy 104.267 135.509) + (xy 104.648 135.509) + (xy 104.648 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 02fe9c07-8a14-4b60-b46f-9db7a9e2c65e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 134.493) + (xy 132.207 134.874) + (xy 132.588 134.874) + (xy 132.588 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0310a7c8-7d3d-4a97-bc4b-446750df2825) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 134.493) + (xy 98.552 134.874) + (xy 98.933 134.874) + (xy 98.933 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 034eda9a-61c1-4166-a2dd-bb0ba0499d78) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 136.398) + (xy 124.587 136.779) + (xy 124.968 136.779) + (xy 124.968 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 035e1b56-03c4-48f9-a410-cb7368464db0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 137.033) + (xy 114.427 137.414) + (xy 114.808 137.414) + (xy 114.808 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0558947d-9d8e-4c0f-b35b-237333d908f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 136.398) + (xy 99.187 136.779) + (xy 99.568 136.779) + (xy 99.568 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 05a5410e-8c58-4aa6-89b4-f44ccb620f5a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 137.033) + (xy 94.107 137.414) + (xy 94.488 137.414) + (xy 94.488 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 06384bd5-2e7f-4e3e-9e1b-4ee299933543) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 138.303) + (xy 78.232 138.684) + (xy 78.613 138.684) + (xy 78.613 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 073dafa5-8f59-4b3a-9e69-025d40f4bdc0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 132.588) + (xy 134.747 132.969) + (xy 135.128 132.969) + (xy 135.128 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 07d791a3-8630-4036-ae41-f52aa3b9c784) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 134.493) + (xy 129.032 134.874) + (xy 129.413 134.874) + (xy 129.413 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 086fa6a5-f7ef-48eb-b2b5-7326ac8134c0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 138.303) + (xy 103.632 138.684) + (xy 104.013 138.684) + (xy 104.013 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 09e82729-9c4f-4df0-93b1-4bf953f82111) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 131.953) + (xy 104.267 132.334) + (xy 104.648 132.334) + (xy 104.648 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 09edb54a-3478-4d25-b810-abd9a12a25fc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 138.303) + (xy 123.952 138.684) + (xy 124.333 138.684) + (xy 124.333 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0a1e36b0-aeb8-43a8-ad8f-759ada6cc84d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 135.763) + (xy 124.587 136.144) + (xy 124.968 136.144) + (xy 124.968 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0b453a10-e9b6-405b-83de-f0761898fdc7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 135.763) + (xy 103.632 136.144) + (xy 104.013 136.144) + (xy 104.013 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0b9b507e-d2ac-49e5-8258-9ed3558e3ce9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 133.858) + (xy 134.747 134.239) + (xy 135.128 134.239) + (xy 135.128 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0beb4488-fbad-4042-b1bc-11d63d76c057) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 135.128) + (xy 121.412 135.509) + (xy 121.793 135.509) + (xy 121.793 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0c0c8ced-21e7-4f7d-af7e-a0cae3ca93ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 133.223) + (xy 78.232 133.604) + (xy 78.613 133.604) + (xy 78.613 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0ca37980-a1f0-4627-91a4-3e90e04a2470) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 137.668) + (xy 104.267 138.049) + (xy 104.648 138.049) + (xy 104.648 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0ce9ef29-4489-41ce-b970-5424fe81f2f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 137.668) + (xy 89.027 138.049) + (xy 89.408 138.049) + (xy 89.408 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0e62c9b8-8265-43ee-9517-f5c2bcb583e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 133.223) + (xy 111.252 133.604) + (xy 111.633 133.604) + (xy 111.633 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0f4c2c1f-2f4d-4663-911d-2e9e41a002b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 133.223) + (xy 101.092 133.604) + (xy 101.473 133.604) + (xy 101.473 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 10213c66-4464-43b4-9c71-0780001afc67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 135.128) + (xy 96.647 135.509) + (xy 97.028 135.509) + (xy 97.028 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 111af161-13d3-441a-b322-ef41d0443a02) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 138.303) + (xy 134.747 138.684) + (xy 135.128 138.684) + (xy 135.128 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 11aa3c4a-3c45-498d-aa39-8ffcabb7ee4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 134.493) + (xy 111.887 134.874) + (xy 112.268 134.874) + (xy 112.268 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 11c25dca-09e9-4b47-94e6-f99fd0375079) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 135.128) + (xy 93.472 135.509) + (xy 93.853 135.509) + (xy 93.853 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 11f9ec17-8c94-4aa6-9c65-cd8541217401) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 135.128) + (xy 81.407 135.509) + (xy 81.788 135.509) + (xy 81.788 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1387664d-0fe6-4fb3-96c1-feb7803f2a26) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 137.668) + (xy 101.092 138.049) + (xy 101.473 138.049) + (xy 101.473 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 13882a32-61f6-4f5c-84ed-57afe411c043) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 134.493) + (xy 109.347 134.874) + (xy 109.728 134.874) + (xy 109.728 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 13b18ba1-6e1a-487e-8af6-208e0385685b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 137.033) + (xy 132.207 137.414) + (xy 132.588 137.414) + (xy 132.588 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 14f255c0-713d-487c-bf54-073f25ac8356) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 136.398) + (xy 134.112 136.779) + (xy 134.493 136.779) + (xy 134.493 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 154fac87-0e8d-43f9-a20d-725766590ddc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 133.223) + (xy 106.172 133.604) + (xy 106.553 133.604) + (xy 106.553 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 16051863-8c62-4ccd-9ac7-68b013c2a69b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 138.303) + (xy 101.092 138.684) + (xy 101.473 138.684) + (xy 101.473 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1632d956-ebb8-4d0b-be17-7d8be668171c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 131.953) + (xy 111.887 132.334) + (xy 112.268 132.334) + (xy 112.268 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 165c7d08-1b45-4b3d-9a20-6eb06b129190) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 135.763) + (xy 86.487 136.144) + (xy 86.868 136.144) + (xy 86.868 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1788ed69-128d-4cba-9663-3c642409658b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 135.763) + (xy 83.312 136.144) + (xy 83.693 136.144) + (xy 83.693 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 17f36a66-677e-44d4-9d79-738ceffe2269) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 133.858) + (xy 124.587 134.239) + (xy 124.968 134.239) + (xy 124.968 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 186c9323-073b-47e6-bfa7-80f79a56994b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 134.493) + (xy 83.312 134.874) + (xy 83.693 134.874) + (xy 83.693 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 18e443af-26ad-4abe-9326-b315f43eb645) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 137.668) + (xy 88.392 138.049) + (xy 88.773 138.049) + (xy 88.773 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 19a98238-6cc8-4013-84dd-4dba0caf51be) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 132.588) + (xy 86.487 132.969) + (xy 86.868 132.969) + (xy 86.868 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 19e07741-b594-46e5-8577-b0f5b7324f89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 133.223) + (xy 124.587 133.604) + (xy 124.968 133.604) + (xy 124.968 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1a87483e-59a4-4347-a621-83619fc84859) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 133.858) + (xy 99.187 134.239) + (xy 99.568 134.239) + (xy 99.568 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1a9926a2-de5c-40b4-bb3a-a4a4d77dce89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 133.858) + (xy 111.252 134.239) + (xy 111.633 134.239) + (xy 111.633 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1b79cfef-d1a3-4c01-8604-b98bd9c09525) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 133.858) + (xy 134.112 134.239) + (xy 134.493 134.239) + (xy 134.493 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1bc535e0-1de8-4506-a6d0-0f19cad60812) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 131.953) + (xy 114.427 132.334) + (xy 114.808 132.334) + (xy 114.808 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1c381fa1-6915-4c6c-b0a4-44c732038504) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 137.668) + (xy 101.727 138.049) + (xy 102.108 138.049) + (xy 102.108 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1caa16c8-955c-4593-892c-c390061c591e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 133.858) + (xy 111.887 134.239) + (xy 112.268 134.239) + (xy 112.268 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1cb82dda-9d1d-4f4e-865d-d764735bf966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 135.763) + (xy 96.012 136.144) + (xy 96.393 136.144) + (xy 96.393 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1e385deb-9b33-440e-8599-74d3d2177e86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 137.668) + (xy 86.487 138.049) + (xy 86.868 138.049) + (xy 86.868 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1e47af8b-2ae1-4016-8336-a72917653a37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 135.128) + (xy 98.552 135.509) + (xy 98.933 135.509) + (xy 98.933 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1e8967d8-0617-4769-980d-534533f6b99c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 133.223) + (xy 111.887 133.604) + (xy 112.268 133.604) + (xy 112.268 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1ec987c8-6e1b-45ce-9d12-8c50abdf9f55) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 133.858) + (xy 85.852 134.239) + (xy 86.233 134.239) + (xy 86.233 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 20e121f2-5843-4e6a-8d06-bf26be0475d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 133.223) + (xy 127.127 133.604) + (xy 127.508 133.604) + (xy 127.508 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 21c82fd4-67ac-444e-9a3c-badf4956e8d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 133.858) + (xy 104.267 134.239) + (xy 104.648 134.239) + (xy 104.648 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 222d9350-898c-4ac5-9f6f-f69acf586162) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 133.858) + (xy 106.807 134.239) + (xy 107.188 134.239) + (xy 107.188 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 224a519d-abcf-497e-978d-88e0c3bb2226) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 135.128) + (xy 83.947 135.509) + (xy 84.328 135.509) + (xy 84.328 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 22cdb6ce-9617-4bdb-911b-d169e5088c4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 137.668) + (xy 123.952 138.049) + (xy 124.333 138.049) + (xy 124.333 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 234d460b-37a6-40bb-85e3-01235df1d0a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 138.303) + (xy 83.312 138.684) + (xy 83.693 138.684) + (xy 83.693 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2391eb83-e27d-4819-bea8-ee434a3516ab) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 133.223) + (xy 81.407 133.604) + (xy 81.788 133.604) + (xy 81.788 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2399faad-fe67-47f0-add7-98becb2c0647) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 131.953) + (xy 96.012 132.334) + (xy 96.393 132.334) + (xy 96.393 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 23c93066-f154-4277-a848-c7397b2b9ff5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 133.858) + (xy 109.347 134.239) + (xy 109.728 134.239) + (xy 109.728 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 240f768f-cdad-4921-8d96-326ff94b00ac) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 137.033) + (xy 98.552 137.414) + (xy 98.933 137.414) + (xy 98.933 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 241ca805-1887-4c44-ac85-9c1d0bf354cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 137.033) + (xy 111.887 137.414) + (xy 112.268 137.414) + (xy 112.268 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 247805d5-991c-461b-b8ac-4843225b88c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 138.303) + (xy 126.492 138.684) + (xy 126.873 138.684) + (xy 126.873 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 24825691-9e73-455d-832e-e8491ccb0f12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 134.493) + (xy 121.412 134.874) + (xy 121.793 134.874) + (xy 121.793 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 250cffb7-c502-4bef-a58e-e9401533bd51) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 135.763) + (xy 93.472 136.144) + (xy 93.853 136.144) + (xy 93.853 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 259d592d-c6db-4f49-b167-a9f2077fcc6b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 134.493) + (xy 91.567 134.874) + (xy 91.948 134.874) + (xy 91.948 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 26646ce4-1d50-4b04-bd6e-39dd8a5197f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 138.303) + (xy 104.267 138.684) + (xy 104.648 138.684) + (xy 104.648 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 269d0866-9089-4ab5-baf0-680ebb53f2a0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 137.668) + (xy 81.407 138.049) + (xy 81.788 138.049) + (xy 81.788 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 27465cec-2d0d-4783-a58f-d5b31598e82a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 131.953) + (xy 129.667 132.334) + (xy 130.048 132.334) + (xy 130.048 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2821a462-4156-46e5-ada2-5e435fedc0cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 133.858) + (xy 113.792 134.239) + (xy 114.173 134.239) + (xy 114.173 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2871954b-bda8-4955-b144-2ea0636fe4e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 135.763) + (xy 114.427 136.144) + (xy 114.808 136.144) + (xy 114.808 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 29008f90-28a8-48a2-9652-c81a02f978c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 135.763) + (xy 85.852 136.144) + (xy 86.233 136.144) + (xy 86.233 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2bf2d81d-6f4f-4a13-a562-c76d6a3872cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 135.763) + (xy 91.567 136.144) + (xy 91.948 136.144) + (xy 91.948 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2d14c959-ed25-4455-a8bc-31eeb577f480) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 137.033) + (xy 122.047 137.414) + (xy 122.428 137.414) + (xy 122.428 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2e2d29cb-4958-42c4-9334-feb4e88b3ba7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 134.493) + (xy 103.632 134.874) + (xy 104.013 134.874) + (xy 104.013 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2e3497e8-ce75-4c48-a597-98fb5f7af184) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 131.953) + (xy 109.347 132.334) + (xy 109.728 132.334) + (xy 109.728 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2f27a47b-2545-4fcd-a7c2-d71c0dd5f3b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 136.398) + (xy 103.632 136.779) + (xy 104.013 136.779) + (xy 104.013 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2f57d71f-565a-412b-8a7a-429a2646d2e0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 131.953) + (xy 85.852 132.334) + (xy 86.233 132.334) + (xy 86.233 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 305ca102-15b8-43af-a2fa-f92bcd761882) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 131.953) + (xy 94.107 132.334) + (xy 94.488 132.334) + (xy 94.488 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 318b1c4f-3ac7-4916-9874-6b1a0b21e3f6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 134.493) + (xy 134.112 134.874) + (xy 134.493 134.874) + (xy 134.493 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 31ccd95a-4632-4b2f-b3e5-55fec8e71134) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 136.398) + (xy 131.572 136.779) + (xy 131.953 136.779) + (xy 131.953 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 32130571-ff01-4ff1-a5af-dbda42575ce2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 138.303) + (xy 109.347 138.684) + (xy 109.728 138.684) + (xy 109.728 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 32812c17-16f3-4fff-8ade-de289f4cff9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 135.128) + (xy 132.207 135.509) + (xy 132.588 135.509) + (xy 132.588 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3380c0cd-ecc0-4362-965b-bf7eae8722a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 135.128) + (xy 134.747 135.509) + (xy 135.128 135.509) + (xy 135.128 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 346ad062-06ed-463f-b0b6-3b72e61d8c0a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 137.033) + (xy 86.487 137.414) + (xy 86.868 137.414) + (xy 86.868 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 35347948-e6de-4ff7-9476-80106457fc52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 133.223) + (xy 78.867 133.604) + (xy 79.248 133.604) + (xy 79.248 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 36f7dd8c-41f2-4ee1-bcf4-5d6275f20b21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 134.493) + (xy 106.807 134.874) + (xy 107.188 134.874) + (xy 107.188 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 37374faa-6bd8-4d4e-96e6-2c3d560ca61e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 137.033) + (xy 124.587 137.414) + (xy 124.968 137.414) + (xy 124.968 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3778a086-d756-4fa3-afc7-59e95e8057df) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 135.763) + (xy 121.412 136.144) + (xy 121.793 136.144) + (xy 121.793 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 37aecab0-ca64-4eb1-bca4-977fa2491d07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 136.398) + (xy 127.127 136.779) + (xy 127.508 136.779) + (xy 127.508 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 38352f67-8870-4511-946e-177bbb43172b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 136.398) + (xy 101.727 136.779) + (xy 102.108 136.779) + (xy 102.108 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3a926372-33f6-4f76-9031-80697bd130f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 134.493) + (xy 114.427 134.874) + (xy 114.808 134.874) + (xy 114.808 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3ad69a4c-0952-4bef-b92c-05896b994243) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 136.398) + (xy 86.487 136.779) + (xy 86.868 136.779) + (xy 86.868 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3bdc87d0-ce2f-4ec6-894e-dfabb213ad99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 133.223) + (xy 122.047 133.604) + (xy 122.428 133.604) + (xy 122.428 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3bf6e6c2-3314-4e73-a44b-4bb406f7a746) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 131.953) + (xy 101.727 132.334) + (xy 102.108 132.334) + (xy 102.108 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3bfc6c8d-3e0c-4941-8e49-f42614e16a2e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 137.668) + (xy 96.647 138.049) + (xy 97.028 138.049) + (xy 97.028 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3c5bac0c-f5f3-4d87-af9b-cbf98650fa1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 137.033) + (xy 96.012 137.414) + (xy 96.393 137.414) + (xy 96.393 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3d2401f7-8755-4e3e-9f74-ce3be145c8e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 135.763) + (xy 90.932 136.144) + (xy 91.313 136.144) + (xy 91.313 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3e4ce7ea-8524-4dc4-afe4-578a48635f96) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 137.668) + (xy 85.852 138.049) + (xy 86.233 138.049) + (xy 86.233 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3eedfc9f-8801-47df-ae13-256cac25f179) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 134.493) + (xy 94.107 134.874) + (xy 94.488 134.874) + (xy 94.488 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f20d5c0-8c66-4bc6-b086-983540dab1c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 137.668) + (xy 131.572 138.049) + (xy 131.953 138.049) + (xy 131.953 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f3d2cbf-9ce0-4320-8a88-2ab4e5cdcfb4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 133.223) + (xy 99.187 133.604) + (xy 99.568 133.604) + (xy 99.568 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f48c221-7eb3-402c-a000-f11cabf3bac2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 134.493) + (xy 86.487 134.874) + (xy 86.868 134.874) + (xy 86.868 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f6f7076-67b9-4589-a5fa-a50c15b85f9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 132.588) + (xy 89.027 132.969) + (xy 89.408 132.969) + (xy 89.408 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f736967-0a7d-49a4-b227-17f8e89eddde) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 133.858) + (xy 80.772 134.239) + (xy 81.153 134.239) + (xy 81.153 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 407c248d-8ff7-4240-930c-f882018e4cbc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 137.668) + (xy 99.187 138.049) + (xy 99.568 138.049) + (xy 99.568 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41953e89-5d3a-4cf0-a036-3f73ee6b03d7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 135.128) + (xy 106.172 135.509) + (xy 106.553 135.509) + (xy 106.553 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41a22a1c-a29a-4c94-9a32-8479c18104e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 138.303) + (xy 90.932 138.684) + (xy 91.313 138.684) + (xy 91.313 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41b7f983-8b74-4dec-ae45-6ad1943c1ead) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 132.588) + (xy 99.187 132.969) + (xy 99.568 132.969) + (xy 99.568 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41ca9d3d-e054-40b4-95af-961ea89d3b0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 133.858) + (xy 127.127 134.239) + (xy 127.508 134.239) + (xy 127.508 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4211bb8d-b556-452f-8a60-dd4a39f9af36) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 133.223) + (xy 93.472 133.604) + (xy 93.853 133.604) + (xy 93.853 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 421b5c87-4009-4ecd-9fd2-93e4b85b3014) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 136.398) + (xy 83.947 136.779) + (xy 84.328 136.779) + (xy 84.328 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 423f46f5-3390-40c9-959f-b574f0c5714f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 135.128) + (xy 96.012 135.509) + (xy 96.393 135.509) + (xy 96.393 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 43163d7b-f39d-4b72-be5d-b3d8b9bbf470) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 131.953) + (xy 78.232 132.334) + (xy 78.613 132.334) + (xy 78.613 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 439ecfe0-9280-487d-8915-37ebbec66b70) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 134.493) + (xy 78.867 134.874) + (xy 79.248 134.874) + (xy 79.248 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 43fe6599-19dc-4902-bd4d-1ecedb14bf13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 136.398) + (xy 129.667 136.779) + (xy 130.048 136.779) + (xy 130.048 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 443db1c3-08ea-458c-846d-54fb5dac47b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 133.858) + (xy 108.712 134.239) + (xy 109.093 134.239) + (xy 109.093 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 450e5416-dc72-4c1a-af57-a8494a9cce91) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 133.858) + (xy 88.392 134.239) + (xy 88.773 134.239) + (xy 88.773 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 45dcd1c7-2c8f-4525-ac93-63aa41ce90e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 131.953) + (xy 81.407 132.334) + (xy 81.788 132.334) + (xy 81.788 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 46445140-a36e-4eef-b1a1-43faa1a0b6a1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 137.668) + (xy 111.887 138.049) + (xy 112.268 138.049) + (xy 112.268 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 466ec5f1-81a7-4f84-b04e-8305162d1baa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 134.493) + (xy 83.947 134.874) + (xy 84.328 134.874) + (xy 84.328 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 47867dc7-8ff7-4d94-993e-567aa4108f9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 135.763) + (xy 122.047 136.144) + (xy 122.428 136.144) + (xy 122.428 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 47ee5c0d-091e-4302-ba4b-681b5b561e56) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 137.033) + (xy 101.727 137.414) + (xy 102.108 137.414) + (xy 102.108 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 490bdc15-3a6c-4b77-9d13-da6f9ae74b5c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 136.398) + (xy 78.867 136.779) + (xy 79.248 136.779) + (xy 79.248 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 492d5e9f-34c0-4d8a-a38a-dbe1f7c909f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 133.858) + (xy 126.492 134.239) + (xy 126.873 134.239) + (xy 126.873 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 49faff63-6def-4538-888b-a8bfc59024e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 133.858) + (xy 129.032 134.239) + (xy 129.413 134.239) + (xy 129.413 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4a57424f-3dcd-436f-8dd3-2ab02a45d6ed) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 132.588) + (xy 78.232 132.969) + (xy 78.613 132.969) + (xy 78.613 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4a94e1bc-785e-466d-800c-7c53bcfe8fd5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 133.223) + (xy 103.632 133.604) + (xy 104.013 133.604) + (xy 104.013 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4b205c96-0593-4d39-bc8c-cf92870ed632) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 137.033) + (xy 83.947 137.414) + (xy 84.328 137.414) + (xy 84.328 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4cb6a49b-6965-489c-acfd-21ee8920eb2a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 132.588) + (xy 104.267 132.969) + (xy 104.648 132.969) + (xy 104.648 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4d77ce26-c3d9-4464-8de3-9d2efd57865b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 135.763) + (xy 106.807 136.144) + (xy 107.188 136.144) + (xy 107.188 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4d81e373-2dfd-48e9-af8b-4cc779982ef6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 135.128) + (xy 123.952 135.509) + (xy 124.333 135.509) + (xy 124.333 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4d8d6adc-695a-4059-9f75-8eb038d1696a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 133.858) + (xy 101.092 134.239) + (xy 101.473 134.239) + (xy 101.473 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4de24b03-1cee-45d7-a2d4-ed889cc93f47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 132.588) + (xy 121.412 132.969) + (xy 121.793 132.969) + (xy 121.793 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4f8c9db7-1fbd-451b-b6ae-a92f846941b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 138.303) + (xy 83.947 138.684) + (xy 84.328 138.684) + (xy 84.328 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4fe7f409-83e6-469e-af9f-ffbb0efb5811) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 137.668) + (xy 103.632 138.049) + (xy 104.013 138.049) + (xy 104.013 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 503d1f17-12a1-4924-bf85-dee8d72ad4b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 133.858) + (xy 114.427 134.239) + (xy 114.808 134.239) + (xy 114.808 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 507a5d8e-9936-4569-b75b-a2a79ab39e5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 135.763) + (xy 132.207 136.144) + (xy 132.588 136.144) + (xy 132.588 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 50833a4d-cc21-41aa-bade-fee30d5d1373) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 137.668) + (xy 129.667 138.049) + (xy 130.048 138.049) + (xy 130.048 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 509605da-1bd3-4399-8662-f870b3dc7072) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 136.398) + (xy 93.472 136.779) + (xy 93.853 136.779) + (xy 93.853 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 51426789-2d81-4d28-8786-08d419b49c2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 133.223) + (xy 104.267 133.604) + (xy 104.648 133.604) + (xy 104.648 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 522be41c-0a58-4546-abc5-a65df46d7d50) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 136.398) + (xy 88.392 136.779) + (xy 88.773 136.779) + (xy 88.773 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5252fdc8-05cd-4727-a53c-8eb52734b3f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 137.668) + (xy 113.792 138.049) + (xy 114.173 138.049) + (xy 114.173 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 52774326-5f60-4f6b-9470-4111d8da53fb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 138.303) + (xy 121.412 138.684) + (xy 121.793 138.684) + (xy 121.793 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 52ef2031-b09e-4466-abf3-2f69e1f2a9f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 138.303) + (xy 89.027 138.684) + (xy 89.408 138.684) + (xy 89.408 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5301b9d4-8886-4e68-9d62-d2dd17f9b6d8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 137.668) + (xy 91.567 138.049) + (xy 91.948 138.049) + (xy 91.948 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 53d63d11-5468-4267-9208-81597c30d57a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 137.033) + (xy 88.392 137.414) + (xy 88.773 137.414) + (xy 88.773 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 53f9f2b3-bd5c-4e15-9d2a-8d3cffbed8da) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 132.588) + (xy 134.112 132.969) + (xy 134.493 132.969) + (xy 134.493 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5409a37c-c6ed-41a5-8d52-701aa52e7464) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 136.398) + (xy 89.027 136.779) + (xy 89.408 136.779) + (xy 89.408 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 546d0dc0-bf09-4476-adcf-4f5ea0a58454) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 137.668) + (xy 80.772 138.049) + (xy 81.153 138.049) + (xy 81.153 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 55089512-f6da-4fc2-9815-b70ffc3b34a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 133.223) + (xy 96.012 133.604) + (xy 96.393 133.604) + (xy 96.393 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 55528803-86d3-4ca3-8d96-704414372a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 133.223) + (xy 96.647 133.604) + (xy 97.028 133.604) + (xy 97.028 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5570d3a7-4162-42f0-adca-469ddeb9fa5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 135.763) + (xy 96.647 136.144) + (xy 97.028 136.144) + (xy 97.028 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 56f54a66-f520-4d43-ac17-0c8d0d22b82c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 135.763) + (xy 101.092 136.144) + (xy 101.473 136.144) + (xy 101.473 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 57660412-f9f4-4e2d-90d5-13fbf1cedd0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 133.223) + (xy 108.712 133.604) + (xy 109.093 133.604) + (xy 109.093 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 576c91d6-535b-483f-b28c-f7a4466f9540) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 134.493) + (xy 113.792 134.874) + (xy 114.173 134.874) + (xy 114.173 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 57b5a654-ef10-4638-a387-c4db44b5dca6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 131.953) + (xy 86.487 132.334) + (xy 86.868 132.334) + (xy 86.868 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 58d2df33-0bd6-4a7c-bd22-a6a418b1cea1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 137.033) + (xy 83.312 137.414) + (xy 83.693 137.414) + (xy 83.693 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 59b99417-b7fc-4333-b81a-dd23ad353717) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 135.128) + (xy 99.187 135.509) + (xy 99.568 135.509) + (xy 99.568 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5ba70a83-28b3-4c90-bbc4-b861ce478150) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 132.588) + (xy 83.947 132.969) + (xy 84.328 132.969) + (xy 84.328 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5c5e52e5-9763-4015-a36d-32a4276a9a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 135.128) + (xy 129.032 135.509) + (xy 129.413 135.509) + (xy 129.413 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5e3b0029-d33c-4bd7-b3ec-dbcc8a606e34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 131.953) + (xy 83.947 132.334) + (xy 84.328 132.334) + (xy 84.328 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5ea5fc77-356b-414a-b85c-c6cc7b3fbf07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 137.668) + (xy 122.047 138.049) + (xy 122.428 138.049) + (xy 122.428 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5f105424-0c1d-4a9c-ae3e-8d91c3a708ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 133.858) + (xy 81.407 134.239) + (xy 81.788 134.239) + (xy 81.788 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5fc961b5-dfa7-4635-82b4-482b8fbeeffb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 134.493) + (xy 96.012 134.874) + (xy 96.393 134.874) + (xy 96.393 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 608febc8-74be-4ab9-97c3-14b8db3cbd4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 131.953) + (xy 96.647 132.334) + (xy 97.028 132.334) + (xy 97.028 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6155779b-0120-4759-b506-333de3ddf251) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 134.493) + (xy 124.587 134.874) + (xy 124.968 134.874) + (xy 124.968 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 625bb350-72b0-462e-b2a8-84565e1d9ed4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 135.763) + (xy 78.867 136.144) + (xy 79.248 136.144) + (xy 79.248 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 62b80ac9-61c4-4280-9893-fb2e0177e618) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 137.033) + (xy 78.232 137.414) + (xy 78.613 137.414) + (xy 78.613 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6341d8db-43dc-4ccd-b27f-ab571c65095b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 131.953) + (xy 113.792 132.334) + (xy 114.173 132.334) + (xy 114.173 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 635d9a93-424c-4d55-aa74-5a0fab9df568) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 131.953) + (xy 83.312 132.334) + (xy 83.693 132.334) + (xy 83.693 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6377eeb9-7a74-4ff7-bd58-b8cc0c0fc2b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 135.128) + (xy 126.492 135.509) + (xy 126.873 135.509) + (xy 126.873 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 637fd705-bdd4-4f22-8ffa-33c9e3a0178b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 133.858) + (xy 122.047 134.239) + (xy 122.428 134.239) + (xy 122.428 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 63d2cf80-382a-4860-9c9d-c67b50dfb950) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 135.128) + (xy 101.092 135.509) + (xy 101.473 135.509) + (xy 101.473 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 63e71ea4-6767-41da-80a8-88b22c96d26e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 135.128) + (xy 127.127 135.509) + (xy 127.508 135.509) + (xy 127.508 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 649658ab-26d6-4e70-8da2-1fb3dd72980a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 137.668) + (xy 78.232 138.049) + (xy 78.613 138.049) + (xy 78.613 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 650c9148-e3ad-427c-bd7f-517c2c57d1fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 138.303) + (xy 86.487 138.684) + (xy 86.868 138.684) + (xy 86.868 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6525e784-400b-459c-9703-2f9d1d713d9a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 137.033) + (xy 129.667 137.414) + (xy 130.048 137.414) + (xy 130.048 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 65c50ad5-5e8b-4538-b88e-95992148cf4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 132.588) + (xy 124.587 132.969) + (xy 124.968 132.969) + (xy 124.968 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 65dab84c-418e-4262-b796-68efe5241b39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 133.858) + (xy 93.472 134.239) + (xy 93.853 134.239) + (xy 93.853 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 65fbabd7-0487-41e2-8eea-06287b114114) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 135.128) + (xy 88.392 135.509) + (xy 88.773 135.509) + (xy 88.773 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66217106-c997-4b3c-9b6b-252f53adbe60) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 135.128) + (xy 108.712 135.509) + (xy 109.093 135.509) + (xy 109.093 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 662d7fcf-12e7-4e20-9577-2d1569963b66) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 132.588) + (xy 108.712 132.969) + (xy 109.093 132.969) + (xy 109.093 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66632006-571a-4edd-8129-0d9927b5cc31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 131.953) + (xy 108.712 132.334) + (xy 109.093 132.334) + (xy 109.093 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66c48d1d-80a4-49b6-9141-fa44fdfa6555) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 137.668) + (xy 124.587 138.049) + (xy 124.968 138.049) + (xy 124.968 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66d22082-a7d4-4338-8a30-931af7c97e19) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 138.303) + (xy 131.572 138.684) + (xy 131.953 138.684) + (xy 131.953 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66ecf4aa-77f9-4b43-abff-55fb4775cb6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 132.588) + (xy 122.047 132.969) + (xy 122.428 132.969) + (xy 122.428 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 67529808-92ee-488a-837d-8c7c788f595f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 137.668) + (xy 134.112 138.049) + (xy 134.493 138.049) + (xy 134.493 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 67dd18db-dd97-4f54-824c-371111d53916) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 133.223) + (xy 129.032 133.604) + (xy 129.413 133.604) + (xy 129.413 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 67fa9129-099c-4121-ae73-5f57da4d581a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 135.763) + (xy 134.112 136.144) + (xy 134.493 136.144) + (xy 134.493 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 68cbc89e-01a9-4a69-886b-df7a68fd8d42) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 137.033) + (xy 91.567 137.414) + (xy 91.948 137.414) + (xy 91.948 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 690cb8d0-8605-4761-b557-2581c1244a4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 135.128) + (xy 78.867 135.509) + (xy 79.248 135.509) + (xy 79.248 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6a088df5-a1bd-4a39-ab6f-aafae86885b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 132.588) + (xy 111.887 132.969) + (xy 112.268 132.969) + (xy 112.268 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6aa884d1-3d0b-41a1-8772-1f44f240ef47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 133.858) + (xy 132.207 134.239) + (xy 132.588 134.239) + (xy 132.588 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6af663f6-162d-4034-9db8-50272662536e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 137.668) + (xy 83.312 138.049) + (xy 83.693 138.049) + (xy 83.693 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6b8f66e8-b975-4c13-b057-1b8740f06459) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 135.763) + (xy 98.552 136.144) + (xy 98.933 136.144) + (xy 98.933 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6bf5beee-12a8-43be-b211-0d5cfb4dd937) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 136.398) + (xy 121.412 136.779) + (xy 121.793 136.779) + (xy 121.793 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6c5c340c-5f29-430e-9629-cddf904c0002) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 135.763) + (xy 106.172 136.144) + (xy 106.553 136.144) + (xy 106.553 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6ca24ab6-bfad-49ad-aa43-09c636063fa3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 138.303) + (xy 93.472 138.684) + (xy 93.853 138.684) + (xy 93.853 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6cb75ef5-a8c0-4f02-96b6-92fb57a0c497) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 136.398) + (xy 108.712 136.779) + (xy 109.093 136.779) + (xy 109.093 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6cc05f77-2264-472e-a219-3b4081ce4440) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 135.763) + (xy 129.667 136.144) + (xy 130.048 136.144) + (xy 130.048 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6cf4f2bd-7bed-4322-9ff6-43f2a9d43e00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 134.493) + (xy 123.952 134.874) + (xy 124.333 134.874) + (xy 124.333 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6d3b8bce-4bfd-452e-be72-1c95582b1ae5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 133.223) + (xy 85.852 133.604) + (xy 86.233 133.604) + (xy 86.233 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6f41a806-09db-4d33-8a42-86913be594f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 136.398) + (xy 111.887 136.779) + (xy 112.268 136.779) + (xy 112.268 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6f698b83-542d-4ad3-a108-86384e55579a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 134.493) + (xy 106.172 134.874) + (xy 106.553 134.874) + (xy 106.553 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7006c50d-8334-49e0-b776-2d9fe953f970) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 133.223) + (xy 91.567 133.604) + (xy 91.948 133.604) + (xy 91.948 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 70c32072-5ecf-4bcb-a936-588ad98c844a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 131.953) + (xy 103.632 132.334) + (xy 104.013 132.334) + (xy 104.013 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 720edffa-bc14-44d1-b853-b5f42a1f8d94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 137.668) + (xy 132.207 138.049) + (xy 132.588 138.049) + (xy 132.588 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 73516bb7-41b7-4a28-aee6-35896c4976a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 133.858) + (xy 90.932 134.239) + (xy 91.313 134.239) + (xy 91.313 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 73847933-e814-41d5-af02-c343e13b81fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 134.493) + (xy 85.852 134.874) + (xy 86.233 134.874) + (xy 86.233 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 738a0b37-3931-4fd2-b837-f52ab44950c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 137.668) + (xy 111.252 138.049) + (xy 111.633 138.049) + (xy 111.633 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7457d91d-81c3-4e21-ae0e-a53179f395f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 136.398) + (xy 96.012 136.779) + (xy 96.393 136.779) + (xy 96.393 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 745c27cd-26dc-4e5b-bcd0-fac796e29198) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 133.223) + (xy 101.727 133.604) + (xy 102.108 133.604) + (xy 102.108 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 755db645-0c7b-4f98-9e66-1c1f9721ba69) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 137.033) + (xy 81.407 137.414) + (xy 81.788 137.414) + (xy 81.788 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 75a3b96c-2af6-45b0-968c-f1a720d9db12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 136.398) + (xy 91.567 136.779) + (xy 91.948 136.779) + (xy 91.948 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 76d7aa0b-424c-43bf-91f8-ce73b88dd46c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 135.763) + (xy 109.347 136.144) + (xy 109.728 136.144) + (xy 109.728 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 779bc4ea-a6a4-4d18-a21d-d0b91affcadf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 137.668) + (xy 109.347 138.049) + (xy 109.728 138.049) + (xy 109.728 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 795f7ad2-c6e1-49bf-a46e-081563eea279) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 136.398) + (xy 96.647 136.779) + (xy 97.028 136.779) + (xy 97.028 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 79ade1c6-043b-4ae3-8ebb-8285faa32d5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 137.033) + (xy 106.807 137.414) + (xy 107.188 137.414) + (xy 107.188 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 79d211c8-ab2c-4f9e-93a5-7aa0bdb74836) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 135.763) + (xy 88.392 136.144) + (xy 88.773 136.144) + (xy 88.773 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7a02d773-548a-401c-99a5-4d14651c5c0b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 133.858) + (xy 121.412 134.239) + (xy 121.793 134.239) + (xy 121.793 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7ac819e7-0887-4e9e-ab45-85539841ef39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 137.668) + (xy 83.947 138.049) + (xy 84.328 138.049) + (xy 84.328 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7acdd7a7-f285-4a9a-9b52-8e159d2d30c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 138.303) + (xy 113.792 138.684) + (xy 114.173 138.684) + (xy 114.173 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7ad32a12-e4de-46f9-b99f-6438adb616e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 134.493) + (xy 88.392 134.874) + (xy 88.773 134.874) + (xy 88.773 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7aee5969-255b-4189-8213-1553e6ca6c35) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 134.493) + (xy 127.127 134.874) + (xy 127.508 134.874) + (xy 127.508 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7b4b6278-60f9-4faf-ab0e-e389a7014afe) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 134.493) + (xy 111.252 134.874) + (xy 111.633 134.874) + (xy 111.633 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7b88050f-7f1c-4143-baa7-862796275ef6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 133.223) + (xy 106.807 133.604) + (xy 107.188 133.604) + (xy 107.188 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7baf1f84-dd79-4244-b92c-9cd71cdd6aba) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 133.223) + (xy 134.747 133.604) + (xy 135.128 133.604) + (xy 135.128 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7bff3542-3a61-4c0d-8019-ff7e7cc319e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 135.128) + (xy 103.632 135.509) + (xy 104.013 135.509) + (xy 104.013 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7c5c5b51-a458-4875-a05a-b12723f461e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 137.033) + (xy 127.127 137.414) + (xy 127.508 137.414) + (xy 127.508 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7cb36c60-97f8-4338-a553-2fa609448960) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 138.303) + (xy 134.112 138.684) + (xy 134.493 138.684) + (xy 134.493 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7d71756a-daf0-42b2-b01e-8c34b0d19746) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 135.128) + (xy 124.587 135.509) + (xy 124.968 135.509) + (xy 124.968 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7d9b747d-ec21-48f6-bad0-808440ea96c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 133.858) + (xy 101.727 134.239) + (xy 102.108 134.239) + (xy 102.108 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7e3dfb2f-627b-4600-a2e2-54de23861917) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 136.398) + (xy 129.032 136.779) + (xy 129.413 136.779) + (xy 129.413 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7ff2404e-e595-42ae-a970-75a2dd1abc28) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 137.033) + (xy 89.027 137.414) + (xy 89.408 137.414) + (xy 89.408 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8033f099-9265-44ce-b030-dfcad66d302e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 135.763) + (xy 101.727 136.144) + (xy 102.108 136.144) + (xy 102.108 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 808ab679-98fc-4712-9ebf-a50f6db683b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 135.763) + (xy 80.772 136.144) + (xy 81.153 136.144) + (xy 81.153 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 808ddd3c-e2f8-4f05-b169-3539a93ea733) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 133.223) + (xy 83.947 133.604) + (xy 84.328 133.604) + (xy 84.328 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 80b40300-2076-4c4b-b5ae-52fe2b495bff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 137.033) + (xy 134.747 137.414) + (xy 135.128 137.414) + (xy 135.128 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8129fc73-e3c9-4d48-aa52-3f8594133cd0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 136.398) + (xy 111.252 136.779) + (xy 111.633 136.779) + (xy 111.633 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 829f6319-3a37-44fe-a041-c00b421fc5b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 133.223) + (xy 83.312 133.604) + (xy 83.693 133.604) + (xy 83.693 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 845a56e9-ab5f-4da1-95f4-564a7e5d76c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 132.588) + (xy 96.012 132.969) + (xy 96.393 132.969) + (xy 96.393 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 85259065-0cdb-43e9-93db-238b49ceab8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 135.128) + (xy 134.112 135.509) + (xy 134.493 135.509) + (xy 134.493 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8584a1b7-d7d3-4d39-b128-b1174c47414c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 137.668) + (xy 129.032 138.049) + (xy 129.413 138.049) + (xy 129.413 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 85eaea3b-45c7-4b0d-8224-969d63d941d6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 138.303) + (xy 96.647 138.684) + (xy 97.028 138.684) + (xy 97.028 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8630ae83-d713-4563-90eb-3cfb0975d67e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 137.033) + (xy 111.252 137.414) + (xy 111.633 137.414) + (xy 111.633 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 869ce9bc-c992-47ff-a109-bd4537bb03eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 134.493) + (xy 81.407 134.874) + (xy 81.788 134.874) + (xy 81.788 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 873c3b4b-f45e-45c9-bdf3-cf798745af0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 137.668) + (xy 94.107 138.049) + (xy 94.488 138.049) + (xy 94.488 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 873e0495-8c1c-4966-bc54-e25ed3ac1728) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 137.033) + (xy 126.492 137.414) + (xy 126.873 137.414) + (xy 126.873 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 877f7da9-03d6-43ab-a24c-bf7b2641358f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 132.588) + (xy 127.127 132.969) + (xy 127.508 132.969) + (xy 127.508 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 87ab22e7-00a2-42c3-ad67-efbe0d4df13c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 132.588) + (xy 91.567 132.969) + (xy 91.948 132.969) + (xy 91.948 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8853a184-3391-4980-94f7-760438bc6b00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 132.588) + (xy 85.852 132.969) + (xy 86.233 132.969) + (xy 86.233 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8864c37f-2b05-4d81-9315-20b7a4ef2b63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 135.128) + (xy 129.667 135.509) + (xy 130.048 135.509) + (xy 130.048 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 889888ce-e8d6-41d1-a7b7-4434e2d79426) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 133.223) + (xy 98.552 133.604) + (xy 98.933 133.604) + (xy 98.933 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 89350569-1fc6-4fa5-bbbd-3d8cc7425c0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 138.303) + (xy 88.392 138.684) + (xy 88.773 138.684) + (xy 88.773 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 895a6552-cc29-459b-87db-0fefe8f86043) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 133.223) + (xy 89.027 133.604) + (xy 89.408 133.604) + (xy 89.408 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 89df4d2e-be42-49e8-8b85-47f8cbef5748) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 135.128) + (xy 83.312 135.509) + (xy 83.693 135.509) + (xy 83.693 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8aeb1986-964b-4c5d-a629-123b472dc1a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 132.588) + (xy 80.772 132.969) + (xy 81.153 132.969) + (xy 81.153 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8b1dcb4f-f24a-4e1c-a092-0033220f83a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 133.858) + (xy 78.232 134.239) + (xy 78.613 134.239) + (xy 78.613 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8c322b32-4acc-4e84-a043-a73f4c11e92f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 138.303) + (xy 127.127 138.684) + (xy 127.508 138.684) + (xy 127.508 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8c90cf80-9c48-44a0-868b-d2d8a4db5418) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 138.303) + (xy 98.552 138.684) + (xy 98.933 138.684) + (xy 98.933 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8cb3b9e6-2d55-4f19-8a8e-992cf5b88a13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 131.953) + (xy 129.032 132.334) + (xy 129.413 132.334) + (xy 129.413 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8cdb43ed-31f9-4ab4-a979-b858c5f5e4fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 132.588) + (xy 88.392 132.969) + (xy 88.773 132.969) + (xy 88.773 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8ee73a2d-6834-4cd8-b7a8-3c3968018796) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 135.128) + (xy 111.252 135.509) + (xy 111.633 135.509) + (xy 111.633 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8f654ac0-1459-4ffc-a1b2-da7695002644) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 135.128) + (xy 122.047 135.509) + (xy 122.428 135.509) + (xy 122.428 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8f7fcfac-e65a-44c1-8dc5-62ba7995bd8e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 136.398) + (xy 132.207 136.779) + (xy 132.588 136.779) + (xy 132.588 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8ffaf6ff-0095-46a7-9402-750b1c71cb9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 137.033) + (xy 101.092 137.414) + (xy 101.473 137.414) + (xy 101.473 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 905c0601-c207-43d9-976f-1adbecfba4e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 133.858) + (xy 96.647 134.239) + (xy 97.028 134.239) + (xy 97.028 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 90a1f1e5-35c0-44c8-a241-90f5200b5094) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 132.588) + (xy 103.632 132.969) + (xy 104.013 132.969) + (xy 104.013 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9100a027-4973-4092-8b0b-85b710d05d15) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 132.588) + (xy 111.252 132.969) + (xy 111.633 132.969) + (xy 111.633 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9215430c-e784-4ada-91be-bdee9c92a0a4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 138.303) + (xy 124.587 138.684) + (xy 124.968 138.684) + (xy 124.968 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 93f56fa3-03d9-4c98-a672-d1e1bb06d209) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 131.953) + (xy 134.112 132.334) + (xy 134.493 132.334) + (xy 134.493 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 94aa5a21-e1f3-4787-8d11-e19de3f9c37d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 132.588) + (xy 109.347 132.969) + (xy 109.728 132.969) + (xy 109.728 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 94e4bba4-c71e-4a4b-a340-2842fcd8246e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 138.303) + (xy 114.427 138.684) + (xy 114.808 138.684) + (xy 114.808 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 953fe9ea-7e9c-47ea-8f2b-925e2cdf57a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 134.493) + (xy 104.267 134.874) + (xy 104.648 134.874) + (xy 104.648 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 956ef31f-e446-40e7-83e4-a9ae4c5b61eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 133.858) + (xy 94.107 134.239) + (xy 94.488 134.239) + (xy 94.488 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 95fb04ca-5d41-400d-8994-17da20c7d67e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 135.763) + (xy 99.187 136.144) + (xy 99.568 136.144) + (xy 99.568 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 967df892-695a-4527-a058-e1f3fc946380) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 138.303) + (xy 129.667 138.684) + (xy 130.048 138.684) + (xy 130.048 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 96f10d48-f2ae-4e4c-add5-9d564a29e7e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 134.493) + (xy 93.472 134.874) + (xy 93.853 134.874) + (xy 93.853 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 986d6069-d701-4999-a946-b10f759588a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 138.303) + (xy 106.172 138.684) + (xy 106.553 138.684) + (xy 106.553 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 997ade54-290f-4ad6-8c0d-ffff66cfe45f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 137.033) + (xy 129.032 137.414) + (xy 129.413 137.414) + (xy 129.413 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9d32e36c-875f-4591-bd00-2d91b44f0687) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 135.128) + (xy 109.347 135.509) + (xy 109.728 135.509) + (xy 109.728 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9db4a2a2-884c-4640-8d06-5bad454a9dbf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 134.493) + (xy 131.572 134.874) + (xy 131.953 134.874) + (xy 131.953 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9dc6924a-2888-4d1d-a74d-c27e05f159f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 132.588) + (xy 96.647 132.969) + (xy 97.028 132.969) + (xy 97.028 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9df52351-382a-4be6-8e4f-21e3fadfd001) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 136.398) + (xy 134.747 136.779) + (xy 135.128 136.779) + (xy 135.128 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9e9fb6c1-ffce-4a30-89b2-0163c970c57f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 132.588) + (xy 106.807 132.969) + (xy 107.188 132.969) + (xy 107.188 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9ec1af2b-c583-43e5-b822-308d052c637e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 135.763) + (xy 113.792 136.144) + (xy 114.173 136.144) + (xy 114.173 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9ed66281-7ed1-4ae2-8ac8-175adf7dff09) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 137.668) + (xy 108.712 138.049) + (xy 109.093 138.049) + (xy 109.093 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9eebfa0b-8a80-4389-8f2e-6c42c7887d27) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 136.398) + (xy 104.267 136.779) + (xy 104.648 136.779) + (xy 104.648 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9efe5220-1f6e-4e60-ae8a-ddad431792de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 135.128) + (xy 94.107 135.509) + (xy 94.488 135.509) + (xy 94.488 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9f160768-3585-49c3-a846-603246645a21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 133.223) + (xy 123.952 133.604) + (xy 124.333 133.604) + (xy 124.333 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a0175875-a646-4947-984d-92a87b20e029) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 131.953) + (xy 106.172 132.334) + (xy 106.553 132.334) + (xy 106.553 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a04a00da-f3ee-49cd-8bd7-96a12ab9a467) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 136.398) + (xy 90.932 136.779) + (xy 91.313 136.779) + (xy 91.313 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a0ce80c7-f621-4658-8623-9fad2c8f52a9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 133.223) + (xy 131.572 133.604) + (xy 131.953 133.604) + (xy 131.953 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a1b34345-c2b7-4dff-9b0e-89a5e64d7bf6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 135.763) + (xy 131.572 136.144) + (xy 131.953 136.144) + (xy 131.953 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a251592e-86b8-4c5c-b75f-c36ab22b6747) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 132.588) + (xy 113.792 132.969) + (xy 114.173 132.969) + (xy 114.173 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a2b98959-9aa2-4eea-b14e-dc7c9f9fd5e4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 133.858) + (xy 123.952 134.239) + (xy 124.333 134.239) + (xy 124.333 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a3384ae9-7402-4f2b-9597-2239eaf31525) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 136.398) + (xy 81.407 136.779) + (xy 81.788 136.779) + (xy 81.788 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a4c6f8e6-14f7-4a1d-b2f6-e12295b676dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 134.493) + (xy 129.667 134.874) + (xy 130.048 134.874) + (xy 130.048 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a5152b71-f664-4240-b96a-e1df2ed32313) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 131.953) + (xy 121.412 132.334) + (xy 121.793 132.334) + (xy 121.793 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a520a633-239e-4a33-b55d-f4b157f25a47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 138.303) + (xy 106.807 138.684) + (xy 107.188 138.684) + (xy 107.188 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a563cc42-afb6-4dcf-bd06-09028ab1eed0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 134.493) + (xy 108.712 134.874) + (xy 109.093 134.874) + (xy 109.093 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a5bef83a-f5b0-4cd5-bc90-5b1a13c232e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 133.858) + (xy 106.172 134.239) + (xy 106.553 134.239) + (xy 106.553 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a5df8f07-3d1f-47f2-8db9-2e50d152ad8f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 135.128) + (xy 89.027 135.509) + (xy 89.408 135.509) + (xy 89.408 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a65af937-0951-4e90-a2b7-972dbff3f42f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 133.223) + (xy 80.772 133.604) + (xy 81.153 133.604) + (xy 81.153 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a704fad3-6d18-49bd-8cc7-1ebe31785d6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 137.033) + (xy 78.867 137.414) + (xy 79.248 137.414) + (xy 79.248 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a9333bd4-d1da-4927-b4c0-c8a98d15796f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 131.953) + (xy 80.772 132.334) + (xy 81.153 132.334) + (xy 81.153 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a9eb7b2e-423a-4a49-bfe2-58c71c083d41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 132.588) + (xy 114.427 132.969) + (xy 114.808 132.969) + (xy 114.808 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp aae97110-cfad-47ac-8469-3367e6637c4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 136.398) + (xy 113.792 136.779) + (xy 114.173 136.779) + (xy 114.173 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ab02100c-6647-478a-99e7-4e924deec38e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 137.668) + (xy 106.807 138.049) + (xy 107.188 138.049) + (xy 107.188 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ab35c5f7-4db9-4113-b8ea-52a89232e7fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 136.398) + (xy 78.232 136.779) + (xy 78.613 136.779) + (xy 78.613 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ac9d2c60-b267-44be-8bd3-4f224ac7ffd2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 132.588) + (xy 106.172 132.969) + (xy 106.553 132.969) + (xy 106.553 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp acda4eb9-396e-47cd-8df6-1adb2ad949c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 135.128) + (xy 80.772 135.509) + (xy 81.153 135.509) + (xy 81.153 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ad4c7aa3-a45a-4515-b3e5-cb2fb3511748) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 133.858) + (xy 89.027 134.239) + (xy 89.408 134.239) + (xy 89.408 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ad5c0bbe-88b9-48f0-b61b-a2e110e7b26f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 138.303) + (xy 78.867 138.684) + (xy 79.248 138.684) + (xy 79.248 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp adf3028e-c5bc-44d6-83f5-556aa9dfbbd6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 135.763) + (xy 134.747 136.144) + (xy 135.128 136.144) + (xy 135.128 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ae289a17-b174-4eab-8020-ac923dc64483) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 134.493) + (xy 134.747 134.874) + (xy 135.128 134.874) + (xy 135.128 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ae314047-56ad-411e-bd56-9613e9b6565b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 135.128) + (xy 131.572 135.509) + (xy 131.953 135.509) + (xy 131.953 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ae8b291c-b4a5-4c84-a3a6-eb10ef3abcc6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 131.953) + (xy 124.587 132.334) + (xy 124.968 132.334) + (xy 124.968 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp af3e8bc2-47b9-44f2-8a21-7d53b9fb2a22) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 138.303) + (xy 132.207 138.684) + (xy 132.588 138.684) + (xy 132.588 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp af5bbd6f-9b0b-4344-9b83-b8fa168a91c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 135.763) + (xy 78.232 136.144) + (xy 78.613 136.144) + (xy 78.613 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp af60b9f1-2067-43b4-b9a9-57f302768a0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 136.398) + (xy 106.807 136.779) + (xy 107.188 136.779) + (xy 107.188 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp afb99534-4ef1-4c06-92ff-860ba489e966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 135.128) + (xy 91.567 135.509) + (xy 91.948 135.509) + (xy 91.948 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp afcb7ba3-e76f-4e6c-8909-fd5df6ee51cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 133.858) + (xy 96.012 134.239) + (xy 96.393 134.239) + (xy 96.393 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp afe05b0f-6365-4afe-8662-a3e57f542fee) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 132.588) + (xy 93.472 132.969) + (xy 93.853 132.969) + (xy 93.853 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b03b163e-014f-4dc5-a684-87b14dc1a3b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 131.953) + (xy 88.392 132.334) + (xy 88.773 132.334) + (xy 88.773 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b0a1774e-b24a-4e0c-86dc-0b8f97ed7b7f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 132.588) + (xy 81.407 132.969) + (xy 81.788 132.969) + (xy 81.788 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b2039203-9fba-4248-9ae7-404a7bc684f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 133.223) + (xy 113.792 133.604) + (xy 114.173 133.604) + (xy 114.173 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b3302211-81b1-4820-b963-270f6bdd2d94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 137.668) + (xy 93.472 138.049) + (xy 93.853 138.049) + (xy 93.853 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b41c62ad-d47d-4487-9e25-20866e7d23c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 134.493) + (xy 122.047 134.874) + (xy 122.428 134.874) + (xy 122.428 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b43830a5-6386-4a31-ab3f-db53a37da21b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 135.128) + (xy 85.852 135.509) + (xy 86.233 135.509) + (xy 86.233 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b438c400-411e-463c-8df5-4fcd5bd9c99b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 134.493) + (xy 80.772 134.874) + (xy 81.153 134.874) + (xy 81.153 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b5511f03-4e78-472c-97a3-5f0e5115a221) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 133.858) + (xy 98.552 134.239) + (xy 98.933 134.239) + (xy 98.933 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b5e994e4-4e5f-4955-b105-9241defc088d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 133.858) + (xy 131.572 134.239) + (xy 131.953 134.239) + (xy 131.953 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b6ba8462-c9e9-4e39-b6a0-717c822e14e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 137.668) + (xy 121.412 138.049) + (xy 121.793 138.049) + (xy 121.793 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b6cbbca3-104b-47a9-8344-0fe4902c99fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 136.398) + (xy 114.427 136.779) + (xy 114.808 136.779) + (xy 114.808 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b6d02139-2e1b-4782-8689-dc240020709c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 135.128) + (xy 86.487 135.509) + (xy 86.868 135.509) + (xy 86.868 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b79e8d6f-6575-4614-b621-37fdd3a77626) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 136.398) + (xy 126.492 136.779) + (xy 126.873 136.779) + (xy 126.873 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b7d29c8d-5cec-4bbc-b469-625c579e198f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 133.858) + (xy 86.487 134.239) + (xy 86.868 134.239) + (xy 86.868 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b82228eb-d841-437a-97a5-ca1efa0fb54b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 131.953) + (xy 89.027 132.334) + (xy 89.408 132.334) + (xy 89.408 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b959144e-d7e1-46f5-8239-e68870dfd847) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 133.858) + (xy 78.867 134.239) + (xy 79.248 134.239) + (xy 79.248 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b9cec532-b26f-41ee-a6a8-26f91b166461) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 137.033) + (xy 134.112 137.414) + (xy 134.493 137.414) + (xy 134.493 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ba8f08e8-a523-4206-8c05-ea5006367691) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 136.398) + (xy 123.952 136.779) + (xy 124.333 136.779) + (xy 124.333 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp badb72cb-15e5-4484-ad95-ca2a00e2e615) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 135.128) + (xy 106.807 135.509) + (xy 107.188 135.509) + (xy 107.188 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bbd7d0fb-d412-4f97-bb9b-273a14956675) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 133.223) + (xy 86.487 133.604) + (xy 86.868 133.604) + (xy 86.868 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bcd011ec-7335-4ed9-8dfc-fb67db791220) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 135.763) + (xy 123.952 136.144) + (xy 124.333 136.144) + (xy 124.333 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bde2fd89-f828-4fd3-9cbc-7e36403c3515) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 138.303) + (xy 111.887 138.684) + (xy 112.268 138.684) + (xy 112.268 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bde3aafb-3bce-4d25-ae1d-652d5bdacfb7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 137.668) + (xy 127.127 138.049) + (xy 127.508 138.049) + (xy 127.508 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bf2f227b-dfde-4f21-beb9-90c6e4d31990) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 135.763) + (xy 127.127 136.144) + (xy 127.508 136.144) + (xy 127.508 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bfdf7247-e533-4a0b-877e-0ba6eea850a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 134.493) + (xy 101.092 134.874) + (xy 101.473 134.874) + (xy 101.473 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bff363fb-4ed6-407b-97c0-637c2c51b1b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 137.033) + (xy 109.347 137.414) + (xy 109.728 137.414) + (xy 109.728 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c045076d-2a68-4285-a606-13ad5b82c5d3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 136.398) + (xy 101.092 136.779) + (xy 101.473 136.779) + (xy 101.473 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c0624cbd-3372-4514-ae6b-a5f497049164) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 137.668) + (xy 98.552 138.049) + (xy 98.933 138.049) + (xy 98.933 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c22439c2-0392-4666-bf62-6955e29ec6af) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 138.303) + (xy 101.727 138.684) + (xy 102.108 138.684) + (xy 102.108 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c311446f-cda4-4a5a-8c4b-664ffda10260) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 132.588) + (xy 90.932 132.969) + (xy 91.313 132.969) + (xy 91.313 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c3af506b-2692-48c0-adc5-fd619bf2dd6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 137.668) + (xy 78.867 138.049) + (xy 79.248 138.049) + (xy 79.248 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c41939a3-779c-4084-a2ae-304078c941ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 132.588) + (xy 83.312 132.969) + (xy 83.693 132.969) + (xy 83.693 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c49c0de3-19c1-41e6-8c39-e9d080c2ee99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 134.493) + (xy 126.492 134.874) + (xy 126.873 134.874) + (xy 126.873 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c513aae5-0086-4734-bb35-fa31e7a435de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 132.588) + (xy 94.107 132.969) + (xy 94.488 132.969) + (xy 94.488 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c5fdb651-a5a7-40fa-9837-54c4ff8b13f0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 138.303) + (xy 81.407 138.684) + (xy 81.788 138.684) + (xy 81.788 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c72390cd-fd14-4205-be36-a5d63abe2f63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 131.953) + (xy 111.252 132.334) + (xy 111.633 132.334) + (xy 111.633 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c89b5b78-25a4-455e-8d39-d54702369f31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 137.033) + (xy 93.472 137.414) + (xy 93.853 137.414) + (xy 93.853 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c954e916-0ec0-4cc8-a91e-4f413fb6f82f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 134.493) + (xy 96.647 134.874) + (xy 97.028 134.874) + (xy 97.028 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c960f186-b131-48ef-bc6e-eba92744cb52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 137.033) + (xy 85.852 137.414) + (xy 86.233 137.414) + (xy 86.233 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c9cb63c6-b7ca-4456-a40f-4b5e53dabe1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 136.398) + (xy 106.172 136.779) + (xy 106.553 136.779) + (xy 106.553 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c9ff16d9-086f-4228-9980-d850d536a13a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 138.303) + (xy 111.252 138.684) + (xy 111.633 138.684) + (xy 111.633 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cace39b4-8f16-41f7-b472-f13c758cf619) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 135.763) + (xy 83.947 136.144) + (xy 84.328 136.144) + (xy 84.328 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cb58b927-392c-4621-badd-c94bd2309edd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 135.763) + (xy 89.027 136.144) + (xy 89.408 136.144) + (xy 89.408 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cbc13717-8d0f-4b5a-a35f-5a6792f3d60a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 131.953) + (xy 101.092 132.334) + (xy 101.473 132.334) + (xy 101.473 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cc3e67de-b450-440e-b1a9-d1646a2f5a67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 132.588) + (xy 129.032 132.969) + (xy 129.413 132.969) + (xy 129.413 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cc638cb0-3e7b-4ab3-99b8-53ea2edb4137) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 132.588) + (xy 123.952 132.969) + (xy 124.333 132.969) + (xy 124.333 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cc8c0243-f2a5-45e7-a0c5-2de6712d4708) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 131.953) + (xy 98.552 132.334) + (xy 98.933 132.334) + (xy 98.933 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cd7b8e65-7e92-4a41-8c44-0f7cca8e8039) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 138.303) + (xy 85.852 138.684) + (xy 86.233 138.684) + (xy 86.233 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ce61fd5c-15ec-48f9-bb53-f129c76b23d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 131.953) + (xy 91.567 132.334) + (xy 91.948 132.334) + (xy 91.948 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cf4c1803-d49d-43e9-ac79-07603054be0d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 133.858) + (xy 91.567 134.239) + (xy 91.948 134.239) + (xy 91.948 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cf66ce25-ad54-46b7-9fd7-feaff2e95189) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 133.223) + (xy 109.347 133.604) + (xy 109.728 133.604) + (xy 109.728 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cfa8b802-ce27-4531-a17b-38fab21cf908) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 137.033) + (xy 113.792 137.414) + (xy 114.173 137.414) + (xy 114.173 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d093882d-31b2-431e-a81c-f63fcaac6d7b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 137.033) + (xy 90.932 137.414) + (xy 91.313 137.414) + (xy 91.313 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d0a668d0-c7af-441e-b689-d05e16c3ea38) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 136.398) + (xy 85.852 136.779) + (xy 86.233 136.779) + (xy 86.233 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d0f77efe-4c89-4841-9fb2-cb6e557538ef) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 136.398) + (xy 80.772 136.779) + (xy 81.153 136.779) + (xy 81.153 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d19899e3-6b69-4885-9ec7-2a6292047a45) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 135.128) + (xy 111.887 135.509) + (xy 112.268 135.509) + (xy 112.268 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d254e6ed-1214-4e84-9411-380ff50a5ded) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 135.763) + (xy 104.267 136.144) + (xy 104.648 136.144) + (xy 104.648 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d34edf44-fb5e-4a4a-97dc-a386f578ad54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 134.493) + (xy 101.727 134.874) + (xy 102.108 134.874) + (xy 102.108 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d3acdc91-62d5-417b-b098-c06acb4b4c07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 135.763) + (xy 94.107 136.144) + (xy 94.488 136.144) + (xy 94.488 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d3bd1a61-e942-4638-b5d3-56c427036514) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 135.763) + (xy 81.407 136.144) + (xy 81.788 136.144) + (xy 81.788 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d3d2f828-ef1a-4181-8c01-0e32f1daace3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 137.668) + (xy 90.932 138.049) + (xy 91.313 138.049) + (xy 91.313 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d41072d2-0e24-49b7-bc59-86508e6dee1e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 134.493) + (xy 78.232 134.874) + (xy 78.613 134.874) + (xy 78.613 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d4130548-7e5d-4c86-a824-126ed4e5483a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 135.763) + (xy 129.032 136.144) + (xy 129.413 136.144) + (xy 129.413 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d4574b2b-f57d-46e3-a0e0-0021d9a32e95) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 133.223) + (xy 129.667 133.604) + (xy 130.048 133.604) + (xy 130.048 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d4f28a5b-25b7-49b1-b357-ae9b56b07bd4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 132.588) + (xy 129.667 132.969) + (xy 130.048 132.969) + (xy 130.048 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d59b14f3-b52b-4757-b4f1-abbdf1cd722d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 138.303) + (xy 94.107 138.684) + (xy 94.488 138.684) + (xy 94.488 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d5adb4c6-8e3e-4b70-817f-1fee8f0f16ca) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 137.033) + (xy 104.267 137.414) + (xy 104.648 137.414) + (xy 104.648 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d7701a6a-edf4-47ae-8b70-1549cfd89998) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 132.588) + (xy 78.867 132.969) + (xy 79.248 132.969) + (xy 79.248 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d7e6eefa-575e-4f47-b915-f78da447d30e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 133.858) + (xy 83.947 134.239) + (xy 84.328 134.239) + (xy 84.328 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d7e96cb5-9880-4c48-b2fe-2f019ba81f08) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 131.953) + (xy 93.472 132.334) + (xy 93.853 132.334) + (xy 93.853 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d883afa1-43ab-4c3d-9a74-f989b0d93781) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 133.223) + (xy 114.427 133.604) + (xy 114.808 133.604) + (xy 114.808 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d9733f61-9092-44d1-a73c-05805d0563e6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 133.858) + (xy 103.632 134.239) + (xy 104.013 134.239) + (xy 104.013 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp da40a63f-c921-49a4-b274-4a5d541e5092) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 131.953) + (xy 106.807 132.334) + (xy 107.188 132.334) + (xy 107.188 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp db5ef0d6-7561-4c13-84e3-2b1b49c37120) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 136.398) + (xy 122.047 136.779) + (xy 122.428 136.779) + (xy 122.428 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp dc56ac2c-77c4-45e2-bf2c-4537d6cf8c68) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 135.763) + (xy 126.492 136.144) + (xy 126.873 136.144) + (xy 126.873 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp de5f045c-2a1b-4d76-81e8-f4c7cac9ef85) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 137.033) + (xy 121.412 137.414) + (xy 121.793 137.414) + (xy 121.793 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp dec7e7a9-9f61-4e56-926d-86b8b37888cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 133.223) + (xy 90.932 133.604) + (xy 91.313 133.604) + (xy 91.313 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp def081e0-e497-4ebe-bbdd-b6d0d332f9dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 137.033) + (xy 106.172 137.414) + (xy 106.553 137.414) + (xy 106.553 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp df5c8c47-4192-404e-af9c-558176373189) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 131.953) + (xy 78.867 132.334) + (xy 79.248 132.334) + (xy 79.248 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp dff5f19d-ead0-41a8-a20d-62009a414ba6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 132.588) + (xy 132.207 132.969) + (xy 132.588 132.969) + (xy 132.588 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e0387c3e-95ed-4c96-bea0-15fde50b7cfa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 131.953) + (xy 132.207 132.334) + (xy 132.588 132.334) + (xy 132.588 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e19caa75-e7a4-471d-a591-5ddac0efc78d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 138.303) + (xy 80.772 138.684) + (xy 81.153 138.684) + (xy 81.153 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e24c28fe-fbad-47a0-b0ad-e28fd65661dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 131.953) + (xy 90.932 132.334) + (xy 91.313 132.334) + (xy 91.313 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e273763f-de62-4e31-95f2-81b3fe359b8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 133.223) + (xy 94.107 133.604) + (xy 94.488 133.604) + (xy 94.488 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e386798c-65e1-4932-83fa-79299b06a523) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 137.033) + (xy 96.647 137.414) + (xy 97.028 137.414) + (xy 97.028 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e51eebc3-2d25-486e-953d-4b8c9290ee6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 135.128) + (xy 114.427 135.509) + (xy 114.808 135.509) + (xy 114.808 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e53438b5-3255-4871-ace3-a0ba0be5cbb5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 138.303) + (xy 99.187 138.684) + (xy 99.568 138.684) + (xy 99.568 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e726a673-4bd4-47a0-97d0-2add665fce3c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 137.668) + (xy 134.747 138.049) + (xy 135.128 138.049) + (xy 135.128 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e72ab982-3c24-4be4-9be2-17c74c0f9cb6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 137.033) + (xy 131.572 137.414) + (xy 131.953 137.414) + (xy 131.953 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e7c922b7-d06c-427d-b51c-28e551d7a20d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 134.493) + (xy 89.027 134.874) + (xy 89.408 134.874) + (xy 89.408 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e802e1d4-2b53-4381-9efa-d20359051c77) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 136.398) + (xy 109.347 136.779) + (xy 109.728 136.779) + (xy 109.728 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e86c3ed8-eea7-46b0-830c-98e3b649e810) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 133.223) + (xy 88.392 133.604) + (xy 88.773 133.604) + (xy 88.773 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e8a5e52f-eea9-4f63-b5ce-0e556a361f5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 134.493) + (xy 90.932 134.874) + (xy 91.313 134.874) + (xy 91.313 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e967e69c-dc5e-4c98-a39d-12754fa24796) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 136.398) + (xy 94.107 136.779) + (xy 94.488 136.779) + (xy 94.488 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ea16fbc4-01c8-4551-bfbe-c77c8e641396) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 137.668) + (xy 96.012 138.049) + (xy 96.393 138.049) + (xy 96.393 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eaaf88d6-62ae-480c-9ed9-4c336da2b347) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 135.763) + (xy 108.712 136.144) + (xy 109.093 136.144) + (xy 109.093 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb0cf7f1-2987-4232-b6cf-9078e9ed17f3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 137.668) + (xy 114.427 138.049) + (xy 114.808 138.049) + (xy 114.808 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb697269-5a1f-49cf-9f29-8fbaf150ea86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 133.858) + (xy 83.312 134.239) + (xy 83.693 134.239) + (xy 83.693 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb6f1293-8779-4958-a441-d551caa70dd8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 137.033) + (xy 108.712 137.414) + (xy 109.093 137.414) + (xy 109.093 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb8ddfcf-9a57-4549-9f1d-f577d71c1fb6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 136.398) + (xy 83.312 136.779) + (xy 83.693 136.779) + (xy 83.693 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eba73cca-b5c3-45e0-8225-8da5c34c025a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 131.953) + (xy 123.952 132.334) + (xy 124.333 132.334) + (xy 124.333 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ebcfb3ee-3900-4756-8e14-c7d0a21dfa5e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 131.953) + (xy 131.572 132.334) + (xy 131.953 132.334) + (xy 131.953 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eda3e0ae-dffc-4d7b-9630-9b07ee36dfd3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 137.668) + (xy 106.172 138.049) + (xy 106.553 138.049) + (xy 106.553 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp edce6232-8465-455c-b3ee-e859a751c630) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 131.953) + (xy 99.187 132.334) + (xy 99.568 132.334) + (xy 99.568 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp edefb3b5-a0e2-4b52-9fdc-f8b7f25914e7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 135.128) + (xy 101.727 135.509) + (xy 102.108 135.509) + (xy 102.108 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ef76de19-baf3-4bc4-be52-012b51e05b41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 135.128) + (xy 113.792 135.509) + (xy 114.173 135.509) + (xy 114.173 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f0466bb9-27f1-400d-b56c-8f911044832a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 133.858) + (xy 129.667 134.239) + (xy 130.048 134.239) + (xy 130.048 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f0ffbce6-277a-44da-ab66-04223a90f323) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 131.953) + (xy 127.127 132.334) + (xy 127.508 132.334) + (xy 127.508 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f18a0eed-280e-4996-ab68-7fb165d8935b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 138.303) + (xy 129.032 138.684) + (xy 129.413 138.684) + (xy 129.413 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f1f2a2fd-255f-4194-bfc9-e9b2af39f626) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 135.763) + (xy 111.252 136.144) + (xy 111.633 136.144) + (xy 111.633 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f23128ac-b7f2-488a-95ec-714033d01476) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 132.588) + (xy 101.727 132.969) + (xy 102.108 132.969) + (xy 102.108 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f24f98e5-ba0f-4f06-b591-1a4f53e1298d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 134.493) + (xy 99.187 134.874) + (xy 99.568 134.874) + (xy 99.568 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f2e90bf6-c25d-4501-b919-919bb5d187b1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 138.303) + (xy 122.047 138.684) + (xy 122.428 138.684) + (xy 122.428 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f38114e3-b1f6-45c5-84ac-8217a1f5ec3b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 132.588) + (xy 98.552 132.969) + (xy 98.933 132.969) + (xy 98.933 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f411a2c5-ee64-4b2b-8e3b-5c95ed3734d1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 132.588) + (xy 126.492 132.969) + (xy 126.873 132.969) + (xy 126.873 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4cad589-f80d-44fa-9a40-f7ef5df06170) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 133.223) + (xy 126.492 133.604) + (xy 126.873 133.604) + (xy 126.873 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4de2ca8-2fd2-48f0-8813-8a69aca70bdf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 137.033) + (xy 103.632 137.414) + (xy 104.013 137.414) + (xy 104.013 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4e32df7-4209-495d-9352-7c1229fa1596) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 137.668) + (xy 126.492 138.049) + (xy 126.873 138.049) + (xy 126.873 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4fd0520-fdb5-4359-9bad-76181ae9cb54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 133.223) + (xy 134.112 133.604) + (xy 134.493 133.604) + (xy 134.493 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f5235f3d-1dc0-4d3f-8e93-6032ad15aced) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 131.953) + (xy 126.492 132.334) + (xy 126.873 132.334) + (xy 126.873 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f5ace2f7-28c6-4635-ae93-73561bbe2f75) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 137.033) + (xy 123.952 137.414) + (xy 124.333 137.414) + (xy 124.333 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f5bb32d8-213e-4f45-935b-c06ba3546568) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 133.223) + (xy 132.207 133.604) + (xy 132.588 133.604) + (xy 132.588 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f69f1a9e-a4fe-4988-90a4-a2e338e1c952) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 131.953) + (xy 122.047 132.334) + (xy 122.428 132.334) + (xy 122.428 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f6b26e55-5a05-4222-ade1-809caf4108ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 138.303) + (xy 108.712 138.684) + (xy 109.093 138.684) + (xy 109.093 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f78cc48f-4566-446c-9834-60be9e754ce3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 135.763) + (xy 111.887 136.144) + (xy 112.268 136.144) + (xy 112.268 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f7c6ec0e-fc45-4c62-b639-6fac016d6312) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 131.953) + (xy 134.747 132.334) + (xy 135.128 132.334) + (xy 135.128 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f7ee3ee0-579c-4a44-acd2-1e7a6bdab984) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 137.033) + (xy 80.772 137.414) + (xy 81.153 137.414) + (xy 81.153 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f7ff19ed-b853-45af-8563-3a069523becc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 135.128) + (xy 78.232 135.509) + (xy 78.613 135.509) + (xy 78.613 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f89333bb-d5ad-42a7-9a5b-40cc461c2e9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 132.588) + (xy 101.092 132.969) + (xy 101.473 132.969) + (xy 101.473 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp fc4d32d2-3ddf-47eb-9440-8bc8fbfebdf3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 133.223) + (xy 121.412 133.604) + (xy 121.793 133.604) + (xy 121.793 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp fc5ebb6d-ebc6-4d23-87c3-dd0bf9035c37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 138.303) + (xy 91.567 138.684) + (xy 91.948 138.684) + (xy 91.948 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ff27fbf8-c5fa-4d2f-810e-6d189b516d37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 136.398) + (xy 98.552 136.779) + (xy 98.933 136.779) + (xy 98.933 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ff770e19-6e85-44d6-abd5-c77173adab65) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 135.128) + (xy 90.932 135.509) + (xy 91.313 135.509) + (xy 91.313 135.128) + ) + ) + ) + (zone (net 70) (net_name "+3V3") (layer "In2.Cu") (tstamp 107a4ee3-3989-46ab-ac7e-fa6ac4645b92) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 143.637 80.518) + (xy 144.272 80.899) + (xy 144.653 81.28) + (xy 144.907 81.788) + (xy 145.034 82.423) + (xy 145.034 129.54) + (xy 144.907 130.175) + (xy 144.653 130.683) + (xy 144.145 131.191) + (xy 143.637 131.445) + (xy 143.002 131.572) + (xy 138.938 131.572) + (xy 138.938 139.192) + (xy 74.422 139.192) + (xy 74.422 131.572) + (xy 48.133 131.572) + (xy 47.498 131.445) + (xy 46.99 131.191) + (xy 46.482 130.683) + (xy 46.228 130.175) + (xy 46.101 129.54) + (xy 46.101 93.599) + (xy 46.228 92.964) + (xy 46.482 92.456) + (xy 58.166 80.772) + (xy 58.674 80.518) + (xy 59.309 80.391) + (xy 143.002 80.391) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 61.705857 80.408593) + (xy 61.731577 80.453142) + (xy 61.724522 80.500341) + (xy 61.715043 80.518942) + (xy 61.695078 80.644999) + (xy 61.695078 80.645) + (xy 61.715043 80.771056) + (xy 61.772984 80.884771) + (xy 61.863229 80.975016) + (xy 61.976943 81.032956) + (xy 61.976945 81.032957) + (xy 62.103 81.052922) + (xy 62.229055 81.032957) + (xy 62.342771 80.975016) + (xy 62.433016 80.884771) + (xy 62.490957 80.771055) + (xy 62.510922 80.645) + (xy 62.490957 80.518945) + (xy 62.490956 80.518943) + (xy 62.490956 80.518942) + (xy 62.481478 80.500341) + (xy 62.475208 80.449285) + (xy 62.503223 80.406143) + (xy 62.548481 80.391) + (xy 66.737519 80.391) + (xy 66.785857 80.408593) + (xy 66.811577 80.453142) + (xy 66.804522 80.500341) + (xy 66.795043 80.518942) + (xy 66.775078 80.644999) + (xy 66.775078 80.645) + (xy 66.795043 80.771056) + (xy 66.852984 80.884771) + (xy 66.943229 80.975016) + (xy 67.056943 81.032956) + (xy 67.056945 81.032957) + (xy 67.183 81.052922) + (xy 67.309055 81.032957) + (xy 67.422771 80.975016) + (xy 67.513016 80.884771) + (xy 67.570957 80.771055) + (xy 67.590922 80.645) + (xy 67.570957 80.518945) + (xy 67.570956 80.518943) + (xy 67.570956 80.518942) + (xy 67.561478 80.500341) + (xy 67.555208 80.449285) + (xy 67.583223 80.406143) + (xy 67.628481 80.391) + (xy 71.817519 80.391) + (xy 71.865857 80.408593) + (xy 71.891577 80.453142) + (xy 71.884522 80.500341) + (xy 71.875043 80.518942) + (xy 71.855078 80.644999) + (xy 71.855078 80.645) + (xy 71.875043 80.771056) + (xy 71.932984 80.884771) + (xy 72.023229 80.975016) + (xy 72.136943 81.032956) + (xy 72.136945 81.032957) + (xy 72.263 81.052922) + (xy 72.389055 81.032957) + (xy 72.502771 80.975016) + (xy 72.593016 80.884771) + (xy 72.650957 80.771055) + (xy 72.670922 80.645) + (xy 72.650957 80.518945) + (xy 72.650956 80.518943) + (xy 72.650956 80.518942) + (xy 72.641478 80.500341) + (xy 72.635208 80.449285) + (xy 72.663223 80.406143) + (xy 72.708481 80.391) + (xy 76.897519 80.391) + (xy 76.945857 80.408593) + (xy 76.971577 80.453142) + (xy 76.964522 80.500341) + (xy 76.955043 80.518942) + (xy 76.935078 80.644999) + (xy 76.935078 80.645) + (xy 76.955043 80.771056) + (xy 77.012984 80.884771) + (xy 77.103229 80.975016) + (xy 77.216943 81.032956) + (xy 77.216945 81.032957) + (xy 77.343 81.052922) + (xy 77.469055 81.032957) + (xy 77.582771 80.975016) + (xy 77.673016 80.884771) + (xy 77.730957 80.771055) + (xy 77.750922 80.645) + (xy 77.730957 80.518945) + (xy 77.730956 80.518943) + (xy 77.730956 80.518942) + (xy 77.721478 80.500341) + (xy 77.715208 80.449285) + (xy 77.743223 80.406143) + (xy 77.788481 80.391) + (xy 81.977519 80.391) + (xy 82.025857 80.408593) + (xy 82.051577 80.453142) + (xy 82.044522 80.500341) + (xy 82.035043 80.518942) + (xy 82.015078 80.644999) + (xy 82.015078 80.645) + (xy 82.035043 80.771056) + (xy 82.092984 80.884771) + (xy 82.183229 80.975016) + (xy 82.296943 81.032956) + (xy 82.296945 81.032957) + (xy 82.423 81.052922) + (xy 82.549055 81.032957) + (xy 82.662771 80.975016) + (xy 82.753016 80.884771) + (xy 82.810957 80.771055) + (xy 82.830922 80.645) + (xy 82.810957 80.518945) + (xy 82.810956 80.518943) + (xy 82.810956 80.518942) + (xy 82.801478 80.500341) + (xy 82.795208 80.449285) + (xy 82.823223 80.406143) + (xy 82.868481 80.391) + (xy 87.057519 80.391) + (xy 87.105857 80.408593) + (xy 87.131577 80.453142) + (xy 87.124522 80.500341) + (xy 87.115043 80.518942) + (xy 87.095078 80.644999) + (xy 87.095078 80.645) + (xy 87.115043 80.771056) + (xy 87.172984 80.884771) + (xy 87.263229 80.975016) + (xy 87.376943 81.032956) + (xy 87.376945 81.032957) + (xy 87.503 81.052922) + (xy 87.629055 81.032957) + (xy 87.742771 80.975016) + (xy 87.833016 80.884771) + (xy 87.890957 80.771055) + (xy 87.910922 80.645) + (xy 87.890957 80.518945) + (xy 87.890956 80.518943) + (xy 87.890956 80.518942) + (xy 87.881478 80.500341) + (xy 87.875208 80.449285) + (xy 87.903223 80.406143) + (xy 87.948481 80.391) + (xy 92.137519 80.391) + (xy 92.185857 80.408593) + (xy 92.211577 80.453142) + (xy 92.204522 80.500341) + (xy 92.195043 80.518942) + (xy 92.175078 80.644999) + (xy 92.175078 80.645) + (xy 92.195043 80.771056) + (xy 92.252984 80.884771) + (xy 92.343229 80.975016) + (xy 92.456943 81.032956) + (xy 92.456945 81.032957) + (xy 92.583 81.052922) + (xy 92.709055 81.032957) + (xy 92.822771 80.975016) + (xy 92.913016 80.884771) + (xy 92.970957 80.771055) + (xy 92.990922 80.645) + (xy 92.970957 80.518945) + (xy 92.970956 80.518943) + (xy 92.970956 80.518942) + (xy 92.961478 80.500341) + (xy 92.955208 80.449285) + (xy 92.983223 80.406143) + (xy 93.028481 80.391) + (xy 97.217519 80.391) + (xy 97.265857 80.408593) + (xy 97.291577 80.453142) + (xy 97.284522 80.500341) + (xy 97.275043 80.518942) + (xy 97.255078 80.644999) + (xy 97.255078 80.645) + (xy 97.275043 80.771056) + (xy 97.332984 80.884771) + (xy 97.423229 80.975016) + (xy 97.536943 81.032956) + (xy 97.536945 81.032957) + (xy 97.663 81.052922) + (xy 97.789055 81.032957) + (xy 97.902771 80.975016) + (xy 97.993016 80.884771) + (xy 98.050957 80.771055) + (xy 98.070922 80.645) + (xy 98.050957 80.518945) + (xy 98.050956 80.518943) + (xy 98.050956 80.518942) + (xy 98.041478 80.500341) + (xy 98.035208 80.449285) + (xy 98.063223 80.406143) + (xy 98.108481 80.391) + (xy 102.297519 80.391) + (xy 102.345857 80.408593) + (xy 102.371577 80.453142) + (xy 102.364522 80.500341) + (xy 102.355043 80.518942) + (xy 102.335078 80.644999) + (xy 102.335078 80.645) + (xy 102.355043 80.771056) + (xy 102.412984 80.884771) + (xy 102.503229 80.975016) + (xy 102.616943 81.032956) + (xy 102.616945 81.032957) + (xy 102.743 81.052922) + (xy 102.869055 81.032957) + (xy 102.982771 80.975016) + (xy 103.073016 80.884771) + (xy 103.130957 80.771055) + (xy 103.150922 80.645) + (xy 103.130957 80.518945) + (xy 103.130956 80.518943) + (xy 103.130956 80.518942) + (xy 103.121478 80.500341) + (xy 103.115208 80.449285) + (xy 103.143223 80.406143) + (xy 103.188481 80.391) + (xy 107.377519 80.391) + (xy 107.425857 80.408593) + (xy 107.451577 80.453142) + (xy 107.444522 80.500341) + (xy 107.435043 80.518942) + (xy 107.415078 80.644999) + (xy 107.415078 80.645) + (xy 107.435043 80.771056) + (xy 107.492984 80.884771) + (xy 107.583229 80.975016) + (xy 107.696943 81.032956) + (xy 107.696945 81.032957) + (xy 107.823 81.052922) + (xy 107.949055 81.032957) + (xy 108.062771 80.975016) + (xy 108.153016 80.884771) + (xy 108.210957 80.771055) + (xy 108.230922 80.645) + (xy 108.210957 80.518945) + (xy 108.210956 80.518943) + (xy 108.210956 80.518942) + (xy 108.201478 80.500341) + (xy 108.195208 80.449285) + (xy 108.223223 80.406143) + (xy 108.268481 80.391) + (xy 112.457519 80.391) + (xy 112.505857 80.408593) + (xy 112.531577 80.453142) + (xy 112.524522 80.500341) + (xy 112.515043 80.518942) + (xy 112.495078 80.644999) + (xy 112.495078 80.645) + (xy 112.515043 80.771056) + (xy 112.572984 80.884771) + (xy 112.663229 80.975016) + (xy 112.776943 81.032956) + (xy 112.776945 81.032957) + (xy 112.903 81.052922) + (xy 113.029055 81.032957) + (xy 113.142771 80.975016) + (xy 113.233016 80.884771) + (xy 113.290957 80.771055) + (xy 113.310922 80.645) + (xy 113.290957 80.518945) + (xy 113.290956 80.518943) + (xy 113.290956 80.518942) + (xy 113.281478 80.500341) + (xy 113.275208 80.449285) + (xy 113.303223 80.406143) + (xy 113.348481 80.391) + (xy 117.537519 80.391) + (xy 117.585857 80.408593) + (xy 117.611577 80.453142) + (xy 117.604522 80.500341) + (xy 117.595043 80.518942) + (xy 117.575078 80.644999) + (xy 117.575078 80.645) + (xy 117.595043 80.771056) + (xy 117.652984 80.884771) + (xy 117.743229 80.975016) + (xy 117.856943 81.032956) + (xy 117.856945 81.032957) + (xy 117.983 81.052922) + (xy 118.109055 81.032957) + (xy 118.222771 80.975016) + (xy 118.313016 80.884771) + (xy 118.370957 80.771055) + (xy 118.390922 80.645) + (xy 118.370957 80.518945) + (xy 118.370956 80.518943) + (xy 118.370956 80.518942) + (xy 118.361478 80.500341) + (xy 118.355208 80.449285) + (xy 118.383223 80.406143) + (xy 118.428481 80.391) + (xy 122.617519 80.391) + (xy 122.665857 80.408593) + (xy 122.691577 80.453142) + (xy 122.684522 80.500341) + (xy 122.675043 80.518942) + (xy 122.655078 80.644999) + (xy 122.655078 80.645) + (xy 122.675043 80.771056) + (xy 122.732984 80.884771) + (xy 122.823229 80.975016) + (xy 122.936943 81.032956) + (xy 122.936945 81.032957) + (xy 123.063 81.052922) + (xy 123.189055 81.032957) + (xy 123.302771 80.975016) + (xy 123.393016 80.884771) + (xy 123.450957 80.771055) + (xy 123.470922 80.645) + (xy 123.450957 80.518945) + (xy 123.450956 80.518943) + (xy 123.450956 80.518942) + (xy 123.441478 80.500341) + (xy 123.435208 80.449285) + (xy 123.463223 80.406143) + (xy 123.508481 80.391) + (xy 127.697519 80.391) + (xy 127.745857 80.408593) + (xy 127.771577 80.453142) + (xy 127.764522 80.500341) + (xy 127.755043 80.518942) + (xy 127.735078 80.644999) + (xy 127.735078 80.645) + (xy 127.755043 80.771056) + (xy 127.812984 80.884771) + (xy 127.903229 80.975016) + (xy 128.016943 81.032956) + (xy 128.016945 81.032957) + (xy 128.143 81.052922) + (xy 128.269055 81.032957) + (xy 128.382771 80.975016) + (xy 128.473016 80.884771) + (xy 128.530957 80.771055) + (xy 128.550922 80.645) + (xy 128.530957 80.518945) + (xy 128.530956 80.518943) + (xy 128.530956 80.518942) + (xy 128.521478 80.500341) + (xy 128.515208 80.449285) + (xy 128.543223 80.406143) + (xy 128.588481 80.391) + (xy 132.777519 80.391) + (xy 132.825857 80.408593) + (xy 132.851577 80.453142) + (xy 132.844522 80.500341) + (xy 132.835043 80.518942) + (xy 132.815078 80.644999) + (xy 132.815078 80.645) + (xy 132.835043 80.771056) + (xy 132.892984 80.884771) + (xy 132.983229 80.975016) + (xy 133.096943 81.032956) + (xy 133.096945 81.032957) + (xy 133.223 81.052922) + (xy 133.349055 81.032957) + (xy 133.462771 80.975016) + (xy 133.553016 80.884771) + (xy 133.610957 80.771055) + (xy 133.630922 80.645) + (xy 133.610957 80.518945) + (xy 133.610956 80.518943) + (xy 133.610956 80.518942) + (xy 133.601478 80.500341) + (xy 133.595208 80.449285) + (xy 133.623223 80.406143) + (xy 133.668481 80.391) + (xy 137.857519 80.391) + (xy 137.905857 80.408593) + (xy 137.931577 80.453142) + (xy 137.924522 80.500341) + (xy 137.915043 80.518942) + (xy 137.895078 80.644999) + (xy 137.895078 80.645) + (xy 137.915043 80.771056) + (xy 137.972984 80.884771) + (xy 138.063229 80.975016) + (xy 138.176943 81.032956) + (xy 138.176945 81.032957) + (xy 138.303 81.052922) + (xy 138.429055 81.032957) + (xy 138.542771 80.975016) + (xy 138.633016 80.884771) + (xy 138.690957 80.771055) + (xy 138.710922 80.645) + (xy 138.690957 80.518945) + (xy 138.690956 80.518943) + (xy 138.690956 80.518942) + (xy 138.681478 80.500341) + (xy 138.675208 80.449285) + (xy 138.703223 80.406143) + (xy 138.748481 80.391) + (xy 142.556519 80.391) + (xy 142.604857 80.408593) + (xy 142.630577 80.453142) + (xy 142.623522 80.500341) + (xy 142.614043 80.518942) + (xy 142.594078 80.644999) + (xy 142.594078 80.645) + (xy 142.614043 80.771056) + (xy 142.671984 80.884771) + (xy 142.762229 80.975016) + (xy 142.875943 81.032956) + (xy 142.875945 81.032957) + (xy 143.002 81.052922) + (xy 143.128055 81.032957) + (xy 143.241771 80.975016) + (xy 143.332016 80.884771) + (xy 143.389957 80.771055) + (xy 143.409922 80.645) + (xy 143.398618 80.57363) + (xy 143.408433 80.523136) + (xy 143.448409 80.490764) + (xy 143.487636 80.488127) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 144.936871 81.937359) + (xy 144.929099 81.988208) + (xy 144.89046 82.022165) + (xy 144.851367 82.026381) + (xy 144.780001 82.015078) + (xy 144.78 82.015078) + (xy 144.653943 82.035043) + (xy 144.540228 82.092984) + (xy 144.449984 82.183228) + (xy 144.392043 82.296943) + (xy 144.372078 82.422999) + (xy 144.372078 82.423) + (xy 144.392043 82.549056) + (xy 144.441137 82.645407) + (xy 144.449984 82.662771) + (xy 144.540229 82.753016) + (xy 144.653943 82.810956) + (xy 144.653945 82.810957) + (xy 144.78 82.830922) + (xy 144.906055 82.810957) + (xy 144.924659 82.801478) + (xy 144.975715 82.795208) + (xy 145.018857 82.823223) + (xy 145.034 82.868481) + (xy 145.034 87.819518) + (xy 145.016407 87.867856) + (xy 144.971858 87.893576) + (xy 144.924661 87.886522) + (xy 144.906059 87.877044) + (xy 144.906057 87.877043) + (xy 144.78 87.857078) + (xy 144.653943 87.877043) + (xy 144.540228 87.934984) + (xy 144.449984 88.025228) + (xy 144.392043 88.138943) + (xy 144.372078 88.264999) + (xy 144.372078 88.265) + (xy 144.392043 88.391056) + (xy 144.449984 88.504771) + (xy 144.540229 88.595016) + (xy 144.653943 88.652956) + (xy 144.653945 88.652957) + (xy 144.78 88.672922) + (xy 144.906055 88.652957) + (xy 144.924659 88.643478) + (xy 144.975715 88.637208) + (xy 145.018857 88.665223) + (xy 145.034 88.710481) + (xy 145.034 92.899518) + (xy 145.016407 92.947856) + (xy 144.971858 92.973576) + (xy 144.924661 92.966522) + (xy 144.906059 92.957044) + (xy 144.906057 92.957043) + (xy 144.78 92.937078) + (xy 144.653943 92.957043) + (xy 144.540228 93.014984) + (xy 144.449984 93.105228) + (xy 144.392043 93.218943) + (xy 144.372078 93.344999) + (xy 144.372078 93.345) + (xy 144.392043 93.471056) + (xy 144.447561 93.580015) + (xy 144.449984 93.584771) + (xy 144.540229 93.675016) + (xy 144.653943 93.732956) + (xy 144.653945 93.732957) + (xy 144.78 93.752922) + (xy 144.906055 93.732957) + (xy 144.924659 93.723478) + (xy 144.975715 93.717208) + (xy 145.018857 93.745223) + (xy 145.034 93.790481) + (xy 145.034 97.979518) + (xy 145.016407 98.027856) + (xy 144.971858 98.053576) + (xy 144.924661 98.046522) + (xy 144.906059 98.037044) + (xy 144.906057 98.037043) + (xy 144.78 98.017078) + (xy 144.653943 98.037043) + (xy 144.540228 98.094984) + (xy 144.449984 98.185228) + (xy 144.392043 98.298943) + (xy 144.372078 98.424999) + (xy 144.372078 98.425) + (xy 144.392043 98.551056) + (xy 144.427164 98.619984) + (xy 144.449984 98.664771) + (xy 144.540229 98.755016) + (xy 144.653943 98.812956) + (xy 144.653945 98.812957) + (xy 144.78 98.832922) + (xy 144.906055 98.812957) + (xy 144.924659 98.803478) + (xy 144.975715 98.797208) + (xy 145.018857 98.825223) + (xy 145.034 98.870481) + (xy 145.034 103.059518) + (xy 145.016407 103.107856) + (xy 144.971858 103.133576) + (xy 144.924661 103.126522) + (xy 144.906059 103.117044) + (xy 144.906057 103.117043) + (xy 144.78 103.097078) + (xy 144.653943 103.117043) + (xy 144.540228 103.174984) + (xy 144.449984 103.265228) + (xy 144.392043 103.378943) + (xy 144.372078 103.504999) + (xy 144.372078 103.505) + (xy 144.392043 103.631056) + (xy 144.432384 103.710229) + (xy 144.449984 103.744771) + (xy 144.540229 103.835016) + (xy 144.653943 103.892956) + (xy 144.653945 103.892957) + (xy 144.78 103.912922) + (xy 144.906055 103.892957) + (xy 144.906057 103.892956) + (xy 144.924659 103.883478) + (xy 144.975715 103.877208) + (xy 145.018857 103.905223) + (xy 145.034 103.950481) + (xy 145.034 108.139518) + (xy 145.016407 108.187856) + (xy 144.971858 108.213576) + (xy 144.924661 108.206522) + (xy 144.906059 108.197044) + (xy 144.906057 108.197043) + (xy 144.78 108.177078) + (xy 144.653943 108.197043) + (xy 144.540228 108.254984) + (xy 144.449984 108.345228) + (xy 144.392043 108.458943) + (xy 144.372078 108.584999) + (xy 144.372078 108.585) + (xy 144.392043 108.711056) + (xy 144.432129 108.789728) + (xy 144.449984 108.824771) + (xy 144.540229 108.915016) + (xy 144.653943 108.972956) + (xy 144.653945 108.972957) + (xy 144.78 108.992922) + (xy 144.906055 108.972957) + (xy 144.924659 108.963478) + (xy 144.975715 108.957208) + (xy 145.018857 108.985223) + (xy 145.034 109.030481) + (xy 145.034 113.219518) + (xy 145.016407 113.267856) + (xy 144.971858 113.293576) + (xy 144.924661 113.286522) + (xy 144.906059 113.277044) + (xy 144.906057 113.277043) + (xy 144.78 113.257078) + (xy 144.653943 113.277043) + (xy 144.540228 113.334984) + (xy 144.449984 113.425228) + (xy 144.392043 113.538943) + (xy 144.372078 113.664999) + (xy 144.372078 113.665) + (xy 144.392043 113.791056) + (xy 144.435353 113.876056) + (xy 144.449984 113.904771) + (xy 144.540229 113.995016) + (xy 144.653943 114.052956) + (xy 144.653945 114.052957) + (xy 144.78 114.072922) + (xy 144.906055 114.052957) + (xy 144.906057 114.052956) + (xy 144.924659 114.043478) + (xy 144.975715 114.037208) + (xy 145.018857 114.065223) + (xy 145.034 114.110481) + (xy 145.034 118.299518) + (xy 145.016407 118.347856) + (xy 144.971858 118.373576) + (xy 144.924661 118.366522) + (xy 144.906059 118.357044) + (xy 144.906057 118.357043) + (xy 144.78 118.337078) + (xy 144.653943 118.357043) + (xy 144.540228 118.414984) + (xy 144.449984 118.505228) + (xy 144.392043 118.618943) + (xy 144.372078 118.744999) + (xy 144.372078 118.745) + (xy 144.392043 118.871056) + (xy 144.449984 118.984771) + (xy 144.540229 119.075016) + (xy 144.653943 119.132956) + (xy 144.653945 119.132957) + (xy 144.78 119.152922) + (xy 144.906055 119.132957) + (xy 144.924659 119.123478) + (xy 144.975715 119.117208) + (xy 145.018857 119.145223) + (xy 145.034 119.190481) + (xy 145.034 123.379518) + (xy 145.016407 123.427856) + (xy 144.971858 123.453576) + (xy 144.924661 123.446522) + (xy 144.906059 123.437044) + (xy 144.906057 123.437043) + (xy 144.78 123.417078) + (xy 144.653943 123.437043) + (xy 144.540228 123.494984) + (xy 144.449984 123.585228) + (xy 144.392043 123.698943) + (xy 144.372078 123.824999) + (xy 144.372078 123.825) + (xy 144.392043 123.951056) + (xy 144.438887 124.042992) + (xy 144.449984 124.064771) + (xy 144.540229 124.155016) + (xy 144.653943 124.212956) + (xy 144.653945 124.212957) + (xy 144.78 124.232922) + (xy 144.906055 124.212957) + (xy 144.924659 124.203478) + (xy 144.975715 124.197208) + (xy 145.018857 124.225223) + (xy 145.034 124.270481) + (xy 145.034 129.094518) + (xy 145.016407 129.142856) + (xy 144.971858 129.168576) + (xy 144.924661 129.161522) + (xy 144.906059 129.152044) + (xy 144.906057 129.152043) + (xy 144.78 129.132078) + (xy 144.653943 129.152043) + (xy 144.540228 129.209984) + (xy 144.449984 129.300228) + (xy 144.392043 129.413943) + (xy 144.372078 129.539999) + (xy 144.372078 129.54) + (xy 144.392043 129.666056) + (xy 144.448091 129.776055) + (xy 144.449984 129.779771) + (xy 144.540229 129.870016) + (xy 144.653943 129.927956) + (xy 144.653945 129.927957) + (xy 144.735248 129.940834) + (xy 144.779999 129.947922) + (xy 144.779999 129.947921) + (xy 144.78 129.947922) + (xy 144.851368 129.936618) + (xy 144.901861 129.946433) + (xy 144.934234 129.986409) + (xy 144.936871 130.02564) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.48764 131.474871) + (xy 143.436791 131.467099) + (xy 143.402834 131.42846) + (xy 143.398618 131.389369) + (xy 143.409922 131.318) + (xy 143.409772 131.317056) + (xy 143.398831 131.247973) + (xy 143.389957 131.191945) + (xy 143.332016 131.078229) + (xy 143.241771 130.987984) + (xy 143.128055 130.930043) + (xy 143.128057 130.930043) + (xy 143.002 130.910078) + (xy 142.875943 130.930043) + (xy 142.762228 130.987984) + (xy 142.671984 131.078228) + (xy 142.614043 131.191943) + (xy 142.594078 131.317999) + (xy 142.594078 131.318) + (xy 142.614043 131.444057) + (xy 142.623522 131.462659) + (xy 142.629792 131.513715) + (xy 142.601777 131.556857) + (xy 142.556519 131.572) + (xy 138.938 131.572) + (xy 138.938 139.1168) + (xy 138.920407 139.165138) + (xy 138.875858 139.190858) + (xy 138.8628 139.192) + (xy 74.4972 139.192) + (xy 74.448862 139.174407) + (xy 74.423142 139.129858) + (xy 74.422 139.1168) + (xy 74.422 138.303) + (xy 78.232 138.303) + (xy 78.232 138.684) + (xy 78.613 138.684) + (xy 78.613 138.303) + (xy 78.867 138.303) + (xy 78.867 138.684) + (xy 79.248 138.684) + (xy 79.248 138.303) + (xy 80.772 138.303) + (xy 80.772 138.684) + (xy 81.153 138.684) + (xy 81.153 138.303) + (xy 81.407 138.303) + (xy 81.407 138.684) + (xy 81.788 138.684) + (xy 81.788 138.303) + (xy 83.312 138.303) + (xy 83.312 138.684) + (xy 83.693 138.684) + (xy 83.693 138.303) + (xy 83.947 138.303) + (xy 83.947 138.684) + (xy 84.328 138.684) + (xy 84.328 138.303) + (xy 85.852 138.303) + (xy 85.852 138.684) + (xy 86.233 138.684) + (xy 86.233 138.303) + (xy 86.487 138.303) + (xy 86.487 138.684) + (xy 86.868 138.684) + (xy 86.868 138.303) + (xy 88.392 138.303) + (xy 88.392 138.684) + (xy 88.773 138.684) + (xy 88.773 138.303) + (xy 89.027 138.303) + (xy 89.027 138.684) + (xy 89.408 138.684) + (xy 89.408 138.303) + (xy 90.932 138.303) + (xy 90.932 138.684) + (xy 91.313 138.684) + (xy 91.313 138.303) + (xy 91.567 138.303) + (xy 91.567 138.684) + (xy 91.948 138.684) + (xy 91.948 138.303) + (xy 93.472 138.303) + (xy 93.472 138.684) + (xy 93.853 138.684) + (xy 93.853 138.303) + (xy 94.107 138.303) + (xy 94.107 138.684) + (xy 94.488 138.684) + (xy 94.488 138.303) + (xy 96.012 138.303) + (xy 96.012 138.684) + (xy 96.393 138.684) + (xy 96.393 138.303) + (xy 96.647 138.303) + (xy 96.647 138.684) + (xy 97.028 138.684) + (xy 97.028 138.303) + (xy 98.552 138.303) + (xy 98.552 138.684) + (xy 98.933 138.684) + (xy 98.933 138.303) + (xy 99.187 138.303) + (xy 99.187 138.684) + (xy 99.568 138.684) + (xy 99.568 138.303) + (xy 101.092 138.303) + (xy 101.092 138.684) + (xy 101.473 138.684) + (xy 101.473 138.303) + (xy 101.727 138.303) + (xy 101.727 138.684) + (xy 102.108 138.684) + (xy 102.108 138.303) + (xy 103.632 138.303) + (xy 103.632 138.684) + (xy 104.013 138.684) + (xy 104.013 138.303) + (xy 104.267 138.303) + (xy 104.267 138.684) + (xy 104.648 138.684) + (xy 104.648 138.303) + (xy 106.172 138.303) + (xy 106.172 138.684) + (xy 106.553 138.684) + (xy 106.553 138.303) + (xy 106.807 138.303) + (xy 106.807 138.684) + (xy 107.188 138.684) + (xy 107.188 138.303) + (xy 108.712 138.303) + (xy 108.712 138.684) + (xy 109.093 138.684) + (xy 109.093 138.303) + (xy 109.347 138.303) + (xy 109.347 138.684) + (xy 109.728 138.684) + (xy 109.728 138.303) + (xy 111.252 138.303) + (xy 111.252 138.684) + (xy 111.633 138.684) + (xy 111.633 138.303) + (xy 111.887 138.303) + (xy 111.887 138.684) + (xy 112.268 138.684) + (xy 112.268 138.303) + (xy 113.792 138.303) + (xy 113.792 138.684) + (xy 114.173 138.684) + (xy 114.173 138.303) + (xy 114.427 138.303) + (xy 114.427 138.684) + (xy 114.808 138.684) + (xy 114.808 138.303) + (xy 121.412 138.303) + (xy 121.412 138.684) + (xy 121.793 138.684) + (xy 121.793 138.303) + (xy 122.047 138.303) + (xy 122.047 138.684) + (xy 122.428 138.684) + (xy 122.428 138.303) + (xy 123.952 138.303) + (xy 123.952 138.684) + (xy 124.333 138.684) + (xy 124.333 138.303) + (xy 124.587 138.303) + (xy 124.587 138.684) + (xy 124.968 138.684) + (xy 124.968 138.303) + (xy 126.492 138.303) + (xy 126.492 138.684) + (xy 126.873 138.684) + (xy 126.873 138.303) + (xy 127.127 138.303) + (xy 127.127 138.684) + (xy 127.508 138.684) + (xy 127.508 138.303) + (xy 129.032 138.303) + (xy 129.032 138.684) + (xy 129.413 138.684) + (xy 129.413 138.303) + (xy 129.667 138.303) + (xy 129.667 138.684) + (xy 130.048 138.684) + (xy 130.048 138.303) + (xy 131.572 138.303) + (xy 131.572 138.684) + (xy 131.953 138.684) + (xy 131.953 138.303) + (xy 132.207 138.303) + (xy 132.207 138.684) + (xy 132.588 138.684) + (xy 132.588 138.303) + (xy 134.112 138.303) + (xy 134.112 138.684) + (xy 134.493 138.684) + (xy 134.493 138.303) + (xy 134.747 138.303) + (xy 134.747 138.684) + (xy 135.128 138.684) + (xy 135.128 138.303) + (xy 134.747 138.303) + (xy 134.493 138.303) + (xy 134.112 138.303) + (xy 132.588 138.303) + (xy 132.207 138.303) + (xy 131.953 138.303) + (xy 131.572 138.303) + (xy 130.048 138.303) + (xy 129.667 138.303) + (xy 129.413 138.303) + (xy 129.032 138.303) + (xy 127.508 138.303) + (xy 127.127 138.303) + (xy 126.873 138.303) + (xy 126.492 138.303) + (xy 124.968 138.303) + (xy 124.587 138.303) + (xy 124.333 138.303) + (xy 123.952 138.303) + (xy 122.428 138.303) + (xy 122.047 138.303) + (xy 121.793 138.303) + (xy 121.412 138.303) + (xy 114.808 138.303) + (xy 114.427 138.303) + (xy 114.173 138.303) + (xy 113.792 138.303) + (xy 112.268 138.303) + (xy 111.887 138.303) + (xy 111.633 138.303) + (xy 111.252 138.303) + (xy 109.728 138.303) + (xy 109.347 138.303) + (xy 109.093 138.303) + (xy 108.712 138.303) + (xy 107.188 138.303) + (xy 106.807 138.303) + (xy 106.553 138.303) + (xy 106.172 138.303) + (xy 104.648 138.303) + (xy 104.267 138.303) + (xy 104.013 138.303) + (xy 103.632 138.303) + (xy 102.108 138.303) + (xy 101.727 138.303) + (xy 101.473 138.303) + (xy 101.092 138.303) + (xy 99.568 138.303) + (xy 99.187 138.303) + (xy 98.933 138.303) + (xy 98.552 138.303) + (xy 97.028 138.303) + (xy 96.647 138.303) + (xy 96.393 138.303) + (xy 96.012 138.303) + (xy 94.488 138.303) + (xy 94.107 138.303) + (xy 93.853 138.303) + (xy 93.472 138.303) + (xy 91.948 138.303) + (xy 91.567 138.303) + (xy 91.313 138.303) + (xy 90.932 138.303) + (xy 89.408 138.303) + (xy 89.027 138.303) + (xy 88.773 138.303) + (xy 88.392 138.303) + (xy 86.868 138.303) + (xy 86.487 138.303) + (xy 86.233 138.303) + (xy 85.852 138.303) + (xy 84.328 138.303) + (xy 83.947 138.303) + (xy 83.693 138.303) + (xy 83.312 138.303) + (xy 81.788 138.303) + (xy 81.407 138.303) + (xy 81.153 138.303) + (xy 80.772 138.303) + (xy 79.248 138.303) + (xy 78.867 138.303) + (xy 78.613 138.303) + (xy 78.232 138.303) + (xy 74.422 138.303) + (xy 74.422 137.668) + (xy 78.232 137.668) + (xy 78.232 138.049) + (xy 78.613 138.049) + (xy 78.613 137.668) + (xy 78.867 137.668) + (xy 78.867 138.049) + (xy 79.248 138.049) + (xy 79.248 137.668) + (xy 80.772 137.668) + (xy 80.772 138.049) + (xy 81.153 138.049) + (xy 81.153 137.668) + (xy 81.407 137.668) + (xy 81.407 138.049) + (xy 81.788 138.049) + (xy 81.788 137.668) + (xy 83.312 137.668) + (xy 83.312 138.049) + (xy 83.693 138.049) + (xy 83.693 137.668) + (xy 83.947 137.668) + (xy 83.947 138.049) + (xy 84.328 138.049) + (xy 84.328 137.668) + (xy 85.852 137.668) + (xy 85.852 138.049) + (xy 86.233 138.049) + (xy 86.233 137.668) + (xy 86.487 137.668) + (xy 86.487 138.049) + (xy 86.868 138.049) + (xy 86.868 137.668) + (xy 88.392 137.668) + (xy 88.392 138.049) + (xy 88.773 138.049) + (xy 88.773 137.668) + (xy 89.027 137.668) + (xy 89.027 138.049) + (xy 89.408 138.049) + (xy 89.408 137.668) + (xy 90.932 137.668) + (xy 90.932 138.049) + (xy 91.313 138.049) + (xy 91.313 137.668) + (xy 91.567 137.668) + (xy 91.567 138.049) + (xy 91.948 138.049) + (xy 91.948 137.668) + (xy 93.472 137.668) + (xy 93.472 138.049) + (xy 93.853 138.049) + (xy 93.853 137.668) + (xy 94.107 137.668) + (xy 94.107 138.049) + (xy 94.488 138.049) + (xy 94.488 137.668) + (xy 96.012 137.668) + (xy 96.012 138.049) + (xy 96.393 138.049) + (xy 96.393 137.668) + (xy 96.647 137.668) + (xy 96.647 138.049) + (xy 97.028 138.049) + (xy 97.028 137.668) + (xy 98.552 137.668) + (xy 98.552 138.049) + (xy 98.933 138.049) + (xy 98.933 137.668) + (xy 99.187 137.668) + (xy 99.187 138.049) + (xy 99.568 138.049) + (xy 99.568 137.668) + (xy 101.092 137.668) + (xy 101.092 138.049) + (xy 101.473 138.049) + (xy 101.473 137.668) + (xy 101.727 137.668) + (xy 101.727 138.049) + (xy 102.108 138.049) + (xy 102.108 137.668) + (xy 103.632 137.668) + (xy 103.632 138.049) + (xy 104.013 138.049) + (xy 104.013 137.668) + (xy 104.267 137.668) + (xy 104.267 138.049) + (xy 104.648 138.049) + (xy 104.648 137.668) + (xy 106.172 137.668) + (xy 106.172 138.049) + (xy 106.553 138.049) + (xy 106.553 137.668) + (xy 106.807 137.668) + (xy 106.807 138.049) + (xy 107.188 138.049) + (xy 107.188 137.668) + (xy 108.712 137.668) + (xy 108.712 138.049) + (xy 109.093 138.049) + (xy 109.093 137.668) + (xy 109.347 137.668) + (xy 109.347 138.049) + (xy 109.728 138.049) + (xy 109.728 137.668) + (xy 111.252 137.668) + (xy 111.252 138.049) + (xy 111.633 138.049) + (xy 111.633 137.668) + (xy 111.887 137.668) + (xy 111.887 138.049) + (xy 112.268 138.049) + (xy 112.268 137.668) + (xy 113.792 137.668) + (xy 113.792 138.049) + (xy 114.173 138.049) + (xy 114.173 137.668) + (xy 114.427 137.668) + (xy 114.427 138.049) + (xy 114.808 138.049) + (xy 114.808 137.668) + (xy 121.412 137.668) + (xy 121.412 138.049) + (xy 121.793 138.049) + (xy 121.793 137.668) + (xy 122.047 137.668) + (xy 122.047 138.049) + (xy 122.428 138.049) + (xy 122.428 137.668) + (xy 123.952 137.668) + (xy 123.952 138.049) + (xy 124.333 138.049) + (xy 124.333 137.668) + (xy 124.587 137.668) + (xy 124.587 138.049) + (xy 124.968 138.049) + (xy 124.968 137.668) + (xy 126.492 137.668) + (xy 126.492 138.049) + (xy 126.873 138.049) + (xy 126.873 137.668) + (xy 127.127 137.668) + (xy 127.127 138.049) + (xy 127.508 138.049) + (xy 127.508 137.668) + (xy 129.032 137.668) + (xy 129.032 138.049) + (xy 129.413 138.049) + (xy 129.413 137.668) + (xy 129.667 137.668) + (xy 129.667 138.049) + (xy 130.048 138.049) + (xy 130.048 137.668) + (xy 131.572 137.668) + (xy 131.572 138.049) + (xy 131.953 138.049) + (xy 131.953 137.668) + (xy 132.207 137.668) + (xy 132.207 138.049) + (xy 132.588 138.049) + (xy 132.588 137.668) + (xy 134.112 137.668) + (xy 134.112 138.049) + (xy 134.493 138.049) + (xy 134.493 137.668) + (xy 134.747 137.668) + (xy 134.747 138.049) + (xy 135.128 138.049) + (xy 135.128 137.668) + (xy 134.747 137.668) + (xy 134.493 137.668) + (xy 134.112 137.668) + (xy 132.588 137.668) + (xy 132.207 137.668) + (xy 131.953 137.668) + (xy 131.572 137.668) + (xy 130.048 137.668) + (xy 129.667 137.668) + (xy 129.413 137.668) + (xy 129.032 137.668) + (xy 127.508 137.668) + (xy 127.127 137.668) + (xy 126.873 137.668) + (xy 126.492 137.668) + (xy 124.968 137.668) + (xy 124.587 137.668) + (xy 124.333 137.668) + (xy 123.952 137.668) + (xy 122.428 137.668) + (xy 122.047 137.668) + (xy 121.793 137.668) + (xy 121.412 137.668) + (xy 114.808 137.668) + (xy 114.427 137.668) + (xy 114.173 137.668) + (xy 113.792 137.668) + (xy 112.268 137.668) + (xy 111.887 137.668) + (xy 111.633 137.668) + (xy 111.252 137.668) + (xy 109.728 137.668) + (xy 109.347 137.668) + (xy 109.093 137.668) + (xy 108.712 137.668) + (xy 107.188 137.668) + (xy 106.807 137.668) + (xy 106.553 137.668) + (xy 106.172 137.668) + (xy 104.648 137.668) + (xy 104.267 137.668) + (xy 104.013 137.668) + (xy 103.632 137.668) + (xy 102.108 137.668) + (xy 101.727 137.668) + (xy 101.473 137.668) + (xy 101.092 137.668) + (xy 99.568 137.668) + (xy 99.187 137.668) + (xy 98.933 137.668) + (xy 98.552 137.668) + (xy 97.028 137.668) + (xy 96.647 137.668) + (xy 96.393 137.668) + (xy 96.012 137.668) + (xy 94.488 137.668) + (xy 94.107 137.668) + (xy 93.853 137.668) + (xy 93.472 137.668) + (xy 91.948 137.668) + (xy 91.567 137.668) + (xy 91.313 137.668) + (xy 90.932 137.668) + (xy 89.408 137.668) + (xy 89.027 137.668) + (xy 88.773 137.668) + (xy 88.392 137.668) + (xy 86.868 137.668) + (xy 86.487 137.668) + (xy 86.233 137.668) + (xy 85.852 137.668) + (xy 84.328 137.668) + (xy 83.947 137.668) + (xy 83.693 137.668) + (xy 83.312 137.668) + (xy 81.788 137.668) + (xy 81.407 137.668) + (xy 81.153 137.668) + (xy 80.772 137.668) + (xy 79.248 137.668) + (xy 78.867 137.668) + (xy 78.613 137.668) + (xy 78.232 137.668) + (xy 74.422 137.668) + (xy 74.422 137.033) + (xy 78.232 137.033) + (xy 78.232 137.414) + (xy 78.613 137.414) + (xy 78.613 137.033) + (xy 78.867 137.033) + (xy 78.867 137.414) + (xy 79.248 137.414) + (xy 79.248 137.033) + (xy 80.772 137.033) + (xy 80.772 137.414) + (xy 81.153 137.414) + (xy 81.153 137.033) + (xy 81.407 137.033) + (xy 81.407 137.414) + (xy 81.788 137.414) + (xy 81.788 137.033) + (xy 83.312 137.033) + (xy 83.312 137.414) + (xy 83.693 137.414) + (xy 83.693 137.033) + (xy 83.947 137.033) + (xy 83.947 137.414) + (xy 84.328 137.414) + (xy 84.328 137.033) + (xy 85.852 137.033) + (xy 85.852 137.414) + (xy 86.233 137.414) + (xy 86.233 137.033) + (xy 86.487 137.033) + (xy 86.487 137.414) + (xy 86.868 137.414) + (xy 86.868 137.033) + (xy 88.392 137.033) + (xy 88.392 137.414) + (xy 88.773 137.414) + (xy 88.773 137.033) + (xy 89.027 137.033) + (xy 89.027 137.414) + (xy 89.408 137.414) + (xy 89.408 137.033) + (xy 90.932 137.033) + (xy 90.932 137.414) + (xy 91.313 137.414) + (xy 91.313 137.033) + (xy 91.567 137.033) + (xy 91.567 137.414) + (xy 91.948 137.414) + (xy 91.948 137.033) + (xy 93.472 137.033) + (xy 93.472 137.414) + (xy 93.853 137.414) + (xy 93.853 137.033) + (xy 94.107 137.033) + (xy 94.107 137.414) + (xy 94.488 137.414) + (xy 94.488 137.033) + (xy 96.012 137.033) + (xy 96.012 137.414) + (xy 96.393 137.414) + (xy 96.393 137.033) + (xy 96.647 137.033) + (xy 96.647 137.414) + (xy 97.028 137.414) + (xy 97.028 137.033) + (xy 98.552 137.033) + (xy 98.552 137.414) + (xy 98.933 137.414) + (xy 98.933 137.033) + (xy 99.187 137.033) + (xy 99.187 137.414) + (xy 99.568 137.414) + (xy 99.568 137.033) + (xy 101.092 137.033) + (xy 101.092 137.414) + (xy 101.473 137.414) + (xy 101.473 137.033) + (xy 101.727 137.033) + (xy 101.727 137.414) + (xy 102.108 137.414) + (xy 102.108 137.033) + (xy 103.632 137.033) + (xy 103.632 137.414) + (xy 104.013 137.414) + (xy 104.013 137.033) + (xy 104.267 137.033) + (xy 104.267 137.414) + (xy 104.648 137.414) + (xy 104.648 137.033) + (xy 106.172 137.033) + (xy 106.172 137.414) + (xy 106.553 137.414) + (xy 106.553 137.033) + (xy 106.807 137.033) + (xy 106.807 137.414) + (xy 107.188 137.414) + (xy 107.188 137.033) + (xy 108.712 137.033) + (xy 108.712 137.414) + (xy 109.093 137.414) + (xy 109.093 137.033) + (xy 109.347 137.033) + (xy 109.347 137.414) + (xy 109.728 137.414) + (xy 109.728 137.033) + (xy 111.252 137.033) + (xy 111.252 137.414) + (xy 111.633 137.414) + (xy 111.633 137.033) + (xy 111.887 137.033) + (xy 111.887 137.414) + (xy 112.268 137.414) + (xy 112.268 137.033) + (xy 113.792 137.033) + (xy 113.792 137.414) + (xy 114.173 137.414) + (xy 114.173 137.033) + (xy 114.427 137.033) + (xy 114.427 137.414) + (xy 114.808 137.414) + (xy 114.808 137.033) + (xy 121.412 137.033) + (xy 121.412 137.414) + (xy 121.793 137.414) + (xy 121.793 137.033) + (xy 122.047 137.033) + (xy 122.047 137.414) + (xy 122.428 137.414) + (xy 122.428 137.033) + (xy 123.952 137.033) + (xy 123.952 137.414) + (xy 124.333 137.414) + (xy 124.333 137.033) + (xy 124.587 137.033) + (xy 124.587 137.414) + (xy 124.968 137.414) + (xy 124.968 137.033) + (xy 126.492 137.033) + (xy 126.492 137.414) + (xy 126.873 137.414) + (xy 126.873 137.033) + (xy 127.127 137.033) + (xy 127.127 137.414) + (xy 127.508 137.414) + (xy 127.508 137.033) + (xy 129.032 137.033) + (xy 129.032 137.414) + (xy 129.413 137.414) + (xy 129.413 137.033) + (xy 129.667 137.033) + (xy 129.667 137.414) + (xy 130.048 137.414) + (xy 130.048 137.033) + (xy 131.572 137.033) + (xy 131.572 137.414) + (xy 131.953 137.414) + (xy 131.953 137.033) + (xy 132.207 137.033) + (xy 132.207 137.414) + (xy 132.588 137.414) + (xy 132.588 137.033) + (xy 134.112 137.033) + (xy 134.112 137.414) + (xy 134.493 137.414) + (xy 134.493 137.033) + (xy 134.747 137.033) + (xy 134.747 137.414) + (xy 135.128 137.414) + (xy 135.128 137.033) + (xy 134.747 137.033) + (xy 134.493 137.033) + (xy 134.112 137.033) + (xy 132.588 137.033) + (xy 132.207 137.033) + (xy 131.953 137.033) + (xy 131.572 137.033) + (xy 130.048 137.033) + (xy 129.667 137.033) + (xy 129.413 137.033) + (xy 129.032 137.033) + (xy 127.508 137.033) + (xy 127.127 137.033) + (xy 126.873 137.033) + (xy 126.492 137.033) + (xy 124.968 137.033) + (xy 124.587 137.033) + (xy 124.333 137.033) + (xy 123.952 137.033) + (xy 122.428 137.033) + (xy 122.047 137.033) + (xy 121.793 137.033) + (xy 121.412 137.033) + (xy 114.808 137.033) + (xy 114.427 137.033) + (xy 114.173 137.033) + (xy 113.792 137.033) + (xy 112.268 137.033) + (xy 111.887 137.033) + (xy 111.633 137.033) + (xy 111.252 137.033) + (xy 109.728 137.033) + (xy 109.347 137.033) + (xy 109.093 137.033) + (xy 108.712 137.033) + (xy 107.188 137.033) + (xy 106.807 137.033) + (xy 106.553 137.033) + (xy 106.172 137.033) + (xy 104.648 137.033) + (xy 104.267 137.033) + (xy 104.013 137.033) + (xy 103.632 137.033) + (xy 102.108 137.033) + (xy 101.727 137.033) + (xy 101.473 137.033) + (xy 101.092 137.033) + (xy 99.568 137.033) + (xy 99.187 137.033) + (xy 98.933 137.033) + (xy 98.552 137.033) + (xy 97.028 137.033) + (xy 96.647 137.033) + (xy 96.393 137.033) + (xy 96.012 137.033) + (xy 94.488 137.033) + (xy 94.107 137.033) + (xy 93.853 137.033) + (xy 93.472 137.033) + (xy 91.948 137.033) + (xy 91.567 137.033) + (xy 91.313 137.033) + (xy 90.932 137.033) + (xy 89.408 137.033) + (xy 89.027 137.033) + (xy 88.773 137.033) + (xy 88.392 137.033) + (xy 86.868 137.033) + (xy 86.487 137.033) + (xy 86.233 137.033) + (xy 85.852 137.033) + (xy 84.328 137.033) + (xy 83.947 137.033) + (xy 83.693 137.033) + (xy 83.312 137.033) + (xy 81.788 137.033) + (xy 81.407 137.033) + (xy 81.153 137.033) + (xy 80.772 137.033) + (xy 79.248 137.033) + (xy 78.867 137.033) + (xy 78.613 137.033) + (xy 78.232 137.033) + (xy 74.422 137.033) + (xy 74.422 136.398) + (xy 78.232 136.398) + (xy 78.232 136.779) + (xy 78.613 136.779) + (xy 78.613 136.398) + (xy 78.867 136.398) + (xy 78.867 136.779) + (xy 79.248 136.779) + (xy 79.248 136.398) + (xy 80.772 136.398) + (xy 80.772 136.779) + (xy 81.153 136.779) + (xy 81.153 136.398) + (xy 81.407 136.398) + (xy 81.407 136.779) + (xy 81.788 136.779) + (xy 81.788 136.398) + (xy 83.312 136.398) + (xy 83.312 136.779) + (xy 83.693 136.779) + (xy 83.693 136.398) + (xy 83.947 136.398) + (xy 83.947 136.779) + (xy 84.328 136.779) + (xy 84.328 136.398) + (xy 85.852 136.398) + (xy 85.852 136.779) + (xy 86.233 136.779) + (xy 86.233 136.398) + (xy 86.487 136.398) + (xy 86.487 136.779) + (xy 86.868 136.779) + (xy 86.868 136.398) + (xy 88.392 136.398) + (xy 88.392 136.779) + (xy 88.773 136.779) + (xy 88.773 136.398) + (xy 89.027 136.398) + (xy 89.027 136.779) + (xy 89.408 136.779) + (xy 89.408 136.398) + (xy 90.932 136.398) + (xy 90.932 136.779) + (xy 91.313 136.779) + (xy 91.313 136.398) + (xy 91.567 136.398) + (xy 91.567 136.779) + (xy 91.948 136.779) + (xy 91.948 136.398) + (xy 93.472 136.398) + (xy 93.472 136.779) + (xy 93.853 136.779) + (xy 93.853 136.398) + (xy 94.107 136.398) + (xy 94.107 136.779) + (xy 94.488 136.779) + (xy 94.488 136.398) + (xy 96.012 136.398) + (xy 96.012 136.779) + (xy 96.393 136.779) + (xy 96.393 136.398) + (xy 96.647 136.398) + (xy 96.647 136.779) + (xy 97.028 136.779) + (xy 97.028 136.398) + (xy 98.552 136.398) + (xy 98.552 136.779) + (xy 98.933 136.779) + (xy 98.933 136.398) + (xy 99.187 136.398) + (xy 99.187 136.779) + (xy 99.568 136.779) + (xy 99.568 136.398) + (xy 101.092 136.398) + (xy 101.092 136.779) + (xy 101.473 136.779) + (xy 101.473 136.398) + (xy 101.727 136.398) + (xy 101.727 136.779) + (xy 102.108 136.779) + (xy 102.108 136.398) + (xy 103.632 136.398) + (xy 103.632 136.779) + (xy 104.013 136.779) + (xy 104.013 136.398) + (xy 104.267 136.398) + (xy 104.267 136.779) + (xy 104.648 136.779) + (xy 104.648 136.398) + (xy 106.172 136.398) + (xy 106.172 136.779) + (xy 106.553 136.779) + (xy 106.553 136.398) + (xy 106.807 136.398) + (xy 106.807 136.779) + (xy 107.188 136.779) + (xy 107.188 136.398) + (xy 108.712 136.398) + (xy 108.712 136.779) + (xy 109.093 136.779) + (xy 109.093 136.398) + (xy 109.347 136.398) + (xy 109.347 136.779) + (xy 109.728 136.779) + (xy 109.728 136.398) + (xy 111.252 136.398) + (xy 111.252 136.779) + (xy 111.633 136.779) + (xy 111.633 136.398) + (xy 111.887 136.398) + (xy 111.887 136.779) + (xy 112.268 136.779) + (xy 112.268 136.398) + (xy 113.792 136.398) + (xy 113.792 136.779) + (xy 114.173 136.779) + (xy 114.173 136.398) + (xy 114.427 136.398) + (xy 114.427 136.779) + (xy 114.808 136.779) + (xy 114.808 136.398) + (xy 121.412 136.398) + (xy 121.412 136.779) + (xy 121.793 136.779) + (xy 121.793 136.398) + (xy 122.047 136.398) + (xy 122.047 136.779) + (xy 122.428 136.779) + (xy 122.428 136.398) + (xy 123.952 136.398) + (xy 123.952 136.779) + (xy 124.333 136.779) + (xy 124.333 136.398) + (xy 124.587 136.398) + (xy 124.587 136.779) + (xy 124.968 136.779) + (xy 124.968 136.398) + (xy 126.492 136.398) + (xy 126.492 136.779) + (xy 126.873 136.779) + (xy 126.873 136.398) + (xy 127.127 136.398) + (xy 127.127 136.779) + (xy 127.508 136.779) + (xy 127.508 136.398) + (xy 129.032 136.398) + (xy 129.032 136.779) + (xy 129.413 136.779) + (xy 129.413 136.398) + (xy 129.667 136.398) + (xy 129.667 136.779) + (xy 130.048 136.779) + (xy 130.048 136.398) + (xy 131.572 136.398) + (xy 131.572 136.779) + (xy 131.953 136.779) + (xy 131.953 136.398) + (xy 132.207 136.398) + (xy 132.207 136.779) + (xy 132.588 136.779) + (xy 132.588 136.398) + (xy 134.112 136.398) + (xy 134.112 136.779) + (xy 134.493 136.779) + (xy 134.493 136.398) + (xy 134.747 136.398) + (xy 134.747 136.779) + (xy 135.128 136.779) + (xy 135.128 136.398) + (xy 134.747 136.398) + (xy 134.493 136.398) + (xy 134.112 136.398) + (xy 132.588 136.398) + (xy 132.207 136.398) + (xy 131.953 136.398) + (xy 131.572 136.398) + (xy 130.048 136.398) + (xy 129.667 136.398) + (xy 129.413 136.398) + (xy 129.032 136.398) + (xy 127.508 136.398) + (xy 127.127 136.398) + (xy 126.873 136.398) + (xy 126.492 136.398) + (xy 124.968 136.398) + (xy 124.587 136.398) + (xy 124.333 136.398) + (xy 123.952 136.398) + (xy 122.428 136.398) + (xy 122.047 136.398) + (xy 121.793 136.398) + (xy 121.412 136.398) + (xy 114.808 136.398) + (xy 114.427 136.398) + (xy 114.173 136.398) + (xy 113.792 136.398) + (xy 112.268 136.398) + (xy 111.887 136.398) + (xy 111.633 136.398) + (xy 111.252 136.398) + (xy 109.728 136.398) + (xy 109.347 136.398) + (xy 109.093 136.398) + (xy 108.712 136.398) + (xy 107.188 136.398) + (xy 106.807 136.398) + (xy 106.553 136.398) + (xy 106.172 136.398) + (xy 104.648 136.398) + (xy 104.267 136.398) + (xy 104.013 136.398) + (xy 103.632 136.398) + (xy 102.108 136.398) + (xy 101.727 136.398) + (xy 101.473 136.398) + (xy 101.092 136.398) + (xy 99.568 136.398) + (xy 99.187 136.398) + (xy 98.933 136.398) + (xy 98.552 136.398) + (xy 97.028 136.398) + (xy 96.647 136.398) + (xy 96.393 136.398) + (xy 96.012 136.398) + (xy 94.488 136.398) + (xy 94.107 136.398) + (xy 93.853 136.398) + (xy 93.472 136.398) + (xy 91.948 136.398) + (xy 91.567 136.398) + (xy 91.313 136.398) + (xy 90.932 136.398) + (xy 89.408 136.398) + (xy 89.027 136.398) + (xy 88.773 136.398) + (xy 88.392 136.398) + (xy 86.868 136.398) + (xy 86.487 136.398) + (xy 86.233 136.398) + (xy 85.852 136.398) + (xy 84.328 136.398) + (xy 83.947 136.398) + (xy 83.693 136.398) + (xy 83.312 136.398) + (xy 81.788 136.398) + (xy 81.407 136.398) + (xy 81.153 136.398) + (xy 80.772 136.398) + (xy 79.248 136.398) + (xy 78.867 136.398) + (xy 78.613 136.398) + (xy 78.232 136.398) + (xy 74.422 136.398) + (xy 74.422 135.763) + (xy 78.232 135.763) + (xy 78.232 136.144) + (xy 78.613 136.144) + (xy 78.613 135.763) + (xy 78.867 135.763) + (xy 78.867 136.144) + (xy 79.248 136.144) + (xy 79.248 135.763) + (xy 80.772 135.763) + (xy 80.772 136.144) + (xy 81.153 136.144) + (xy 81.153 135.763) + (xy 81.407 135.763) + (xy 81.407 136.144) + (xy 81.788 136.144) + (xy 81.788 135.763) + (xy 83.312 135.763) + (xy 83.312 136.144) + (xy 83.693 136.144) + (xy 83.693 135.763) + (xy 83.947 135.763) + (xy 83.947 136.144) + (xy 84.328 136.144) + (xy 84.328 135.763) + (xy 85.852 135.763) + (xy 85.852 136.144) + (xy 86.233 136.144) + (xy 86.233 135.763) + (xy 86.487 135.763) + (xy 86.487 136.144) + (xy 86.868 136.144) + (xy 86.868 135.763) + (xy 88.392 135.763) + (xy 88.392 136.144) + (xy 88.773 136.144) + (xy 88.773 135.763) + (xy 89.027 135.763) + (xy 89.027 136.144) + (xy 89.408 136.144) + (xy 89.408 135.763) + (xy 90.932 135.763) + (xy 90.932 136.144) + (xy 91.313 136.144) + (xy 91.313 135.763) + (xy 91.567 135.763) + (xy 91.567 136.144) + (xy 91.948 136.144) + (xy 91.948 135.763) + (xy 93.472 135.763) + (xy 93.472 136.144) + (xy 93.853 136.144) + (xy 93.853 135.763) + (xy 94.107 135.763) + (xy 94.107 136.144) + (xy 94.488 136.144) + (xy 94.488 135.763) + (xy 96.012 135.763) + (xy 96.012 136.144) + (xy 96.393 136.144) + (xy 96.393 135.763) + (xy 96.647 135.763) + (xy 96.647 136.144) + (xy 97.028 136.144) + (xy 97.028 135.763) + (xy 98.552 135.763) + (xy 98.552 136.144) + (xy 98.933 136.144) + (xy 98.933 135.763) + (xy 99.187 135.763) + (xy 99.187 136.144) + (xy 99.568 136.144) + (xy 99.568 135.763) + (xy 101.092 135.763) + (xy 101.092 136.144) + (xy 101.473 136.144) + (xy 101.473 135.763) + (xy 101.727 135.763) + (xy 101.727 136.144) + (xy 102.108 136.144) + (xy 102.108 135.763) + (xy 103.632 135.763) + (xy 103.632 136.144) + (xy 104.013 136.144) + (xy 104.013 135.763) + (xy 104.267 135.763) + (xy 104.267 136.144) + (xy 104.648 136.144) + (xy 104.648 135.763) + (xy 106.172 135.763) + (xy 106.172 136.144) + (xy 106.553 136.144) + (xy 106.553 135.763) + (xy 106.807 135.763) + (xy 106.807 136.144) + (xy 107.188 136.144) + (xy 107.188 135.763) + (xy 108.712 135.763) + (xy 108.712 136.144) + (xy 109.093 136.144) + (xy 109.093 135.763) + (xy 109.347 135.763) + (xy 109.347 136.144) + (xy 109.728 136.144) + (xy 109.728 135.763) + (xy 111.252 135.763) + (xy 111.252 136.144) + (xy 111.633 136.144) + (xy 111.633 135.763) + (xy 111.887 135.763) + (xy 111.887 136.144) + (xy 112.268 136.144) + (xy 112.268 135.763) + (xy 113.792 135.763) + (xy 113.792 136.144) + (xy 114.173 136.144) + (xy 114.173 135.763) + (xy 114.427 135.763) + (xy 114.427 136.144) + (xy 114.808 136.144) + (xy 114.808 135.763) + (xy 121.412 135.763) + (xy 121.412 136.144) + (xy 121.793 136.144) + (xy 121.793 135.763) + (xy 122.047 135.763) + (xy 122.047 136.144) + (xy 122.428 136.144) + (xy 122.428 135.763) + (xy 123.952 135.763) + (xy 123.952 136.144) + (xy 124.333 136.144) + (xy 124.333 135.763) + (xy 124.587 135.763) + (xy 124.587 136.144) + (xy 124.968 136.144) + (xy 124.968 135.763) + (xy 126.492 135.763) + (xy 126.492 136.144) + (xy 126.873 136.144) + (xy 126.873 135.763) + (xy 127.127 135.763) + (xy 127.127 136.144) + (xy 127.508 136.144) + (xy 127.508 135.763) + (xy 129.032 135.763) + (xy 129.032 136.144) + (xy 129.413 136.144) + (xy 129.413 135.763) + (xy 129.667 135.763) + (xy 129.667 136.144) + (xy 130.048 136.144) + (xy 130.048 135.763) + (xy 131.572 135.763) + (xy 131.572 136.144) + (xy 131.953 136.144) + (xy 131.953 135.763) + (xy 132.207 135.763) + (xy 132.207 136.144) + (xy 132.588 136.144) + (xy 132.588 135.763) + (xy 134.112 135.763) + (xy 134.112 136.144) + (xy 134.493 136.144) + (xy 134.493 135.763) + (xy 134.747 135.763) + (xy 134.747 136.144) + (xy 135.128 136.144) + (xy 135.128 135.763) + (xy 134.747 135.763) + (xy 134.493 135.763) + (xy 134.112 135.763) + (xy 132.588 135.763) + (xy 132.207 135.763) + (xy 131.953 135.763) + (xy 131.572 135.763) + (xy 130.048 135.763) + (xy 129.667 135.763) + (xy 129.413 135.763) + (xy 129.032 135.763) + (xy 127.508 135.763) + (xy 127.127 135.763) + (xy 126.873 135.763) + (xy 126.492 135.763) + (xy 124.968 135.763) + (xy 124.587 135.763) + (xy 124.333 135.763) + (xy 123.952 135.763) + (xy 122.428 135.763) + (xy 122.047 135.763) + (xy 121.793 135.763) + (xy 121.412 135.763) + (xy 114.808 135.763) + (xy 114.427 135.763) + (xy 114.173 135.763) + (xy 113.792 135.763) + (xy 112.268 135.763) + (xy 111.887 135.763) + (xy 111.633 135.763) + (xy 111.252 135.763) + (xy 109.728 135.763) + (xy 109.347 135.763) + (xy 109.093 135.763) + (xy 108.712 135.763) + (xy 107.188 135.763) + (xy 106.807 135.763) + (xy 106.553 135.763) + (xy 106.172 135.763) + (xy 104.648 135.763) + (xy 104.267 135.763) + (xy 104.013 135.763) + (xy 103.632 135.763) + (xy 102.108 135.763) + (xy 101.727 135.763) + (xy 101.473 135.763) + (xy 101.092 135.763) + (xy 99.568 135.763) + (xy 99.187 135.763) + (xy 98.933 135.763) + (xy 98.552 135.763) + (xy 97.028 135.763) + (xy 96.647 135.763) + (xy 96.393 135.763) + (xy 96.012 135.763) + (xy 94.488 135.763) + (xy 94.107 135.763) + (xy 93.853 135.763) + (xy 93.472 135.763) + (xy 91.948 135.763) + (xy 91.567 135.763) + (xy 91.313 135.763) + (xy 90.932 135.763) + (xy 89.408 135.763) + (xy 89.027 135.763) + (xy 88.773 135.763) + (xy 88.392 135.763) + (xy 86.868 135.763) + (xy 86.487 135.763) + (xy 86.233 135.763) + (xy 85.852 135.763) + (xy 84.328 135.763) + (xy 83.947 135.763) + (xy 83.693 135.763) + (xy 83.312 135.763) + (xy 81.788 135.763) + (xy 81.407 135.763) + (xy 81.153 135.763) + (xy 80.772 135.763) + (xy 79.248 135.763) + (xy 78.867 135.763) + (xy 78.613 135.763) + (xy 78.232 135.763) + (xy 74.422 135.763) + (xy 74.422 135.128) + (xy 78.232 135.128) + (xy 78.232 135.509) + (xy 78.613 135.509) + (xy 78.613 135.128) + (xy 78.867 135.128) + (xy 78.867 135.509) + (xy 79.248 135.509) + (xy 79.248 135.128) + (xy 80.772 135.128) + (xy 80.772 135.509) + (xy 81.153 135.509) + (xy 81.153 135.128) + (xy 81.407 135.128) + (xy 81.407 135.509) + (xy 81.788 135.509) + (xy 81.788 135.128) + (xy 83.312 135.128) + (xy 83.312 135.509) + (xy 83.693 135.509) + (xy 83.693 135.128) + (xy 83.947 135.128) + (xy 83.947 135.509) + (xy 84.328 135.509) + (xy 84.328 135.128) + (xy 85.852 135.128) + (xy 85.852 135.509) + (xy 86.233 135.509) + (xy 86.233 135.128) + (xy 86.487 135.128) + (xy 86.487 135.509) + (xy 86.868 135.509) + (xy 86.868 135.128) + (xy 88.392 135.128) + (xy 88.392 135.509) + (xy 88.773 135.509) + (xy 88.773 135.128) + (xy 89.027 135.128) + (xy 89.027 135.509) + (xy 89.408 135.509) + (xy 89.408 135.128) + (xy 90.932 135.128) + (xy 90.932 135.509) + (xy 91.313 135.509) + (xy 91.313 135.128) + (xy 91.567 135.128) + (xy 91.567 135.509) + (xy 91.948 135.509) + (xy 91.948 135.128) + (xy 93.472 135.128) + (xy 93.472 135.509) + (xy 93.853 135.509) + (xy 93.853 135.128) + (xy 94.107 135.128) + (xy 94.107 135.509) + (xy 94.488 135.509) + (xy 94.488 135.128) + (xy 96.012 135.128) + (xy 96.012 135.509) + (xy 96.393 135.509) + (xy 96.393 135.128) + (xy 96.647 135.128) + (xy 96.647 135.509) + (xy 97.028 135.509) + (xy 97.028 135.128) + (xy 98.552 135.128) + (xy 98.552 135.509) + (xy 98.933 135.509) + (xy 98.933 135.128) + (xy 99.187 135.128) + (xy 99.187 135.509) + (xy 99.568 135.509) + (xy 99.568 135.128) + (xy 101.092 135.128) + (xy 101.092 135.509) + (xy 101.473 135.509) + (xy 101.473 135.128) + (xy 101.727 135.128) + (xy 101.727 135.509) + (xy 102.108 135.509) + (xy 102.108 135.128) + (xy 103.632 135.128) + (xy 103.632 135.509) + (xy 104.013 135.509) + (xy 104.013 135.128) + (xy 104.267 135.128) + (xy 104.267 135.509) + (xy 104.648 135.509) + (xy 104.648 135.128) + (xy 106.172 135.128) + (xy 106.172 135.509) + (xy 106.553 135.509) + (xy 106.553 135.128) + (xy 106.807 135.128) + (xy 106.807 135.509) + (xy 107.188 135.509) + (xy 107.188 135.128) + (xy 108.712 135.128) + (xy 108.712 135.509) + (xy 109.093 135.509) + (xy 109.093 135.128) + (xy 109.347 135.128) + (xy 109.347 135.509) + (xy 109.728 135.509) + (xy 109.728 135.128) + (xy 111.252 135.128) + (xy 111.252 135.509) + (xy 111.633 135.509) + (xy 111.633 135.128) + (xy 111.887 135.128) + (xy 111.887 135.509) + (xy 112.268 135.509) + (xy 112.268 135.128) + (xy 113.792 135.128) + (xy 113.792 135.509) + (xy 114.173 135.509) + (xy 114.173 135.128) + (xy 114.427 135.128) + (xy 114.427 135.509) + (xy 114.808 135.509) + (xy 114.808 135.128) + (xy 121.412 135.128) + (xy 121.412 135.509) + (xy 121.793 135.509) + (xy 121.793 135.128) + (xy 122.047 135.128) + (xy 122.047 135.509) + (xy 122.428 135.509) + (xy 122.428 135.128) + (xy 123.952 135.128) + (xy 123.952 135.509) + (xy 124.333 135.509) + (xy 124.333 135.128) + (xy 124.587 135.128) + (xy 124.587 135.509) + (xy 124.968 135.509) + (xy 124.968 135.128) + (xy 126.492 135.128) + (xy 126.492 135.509) + (xy 126.873 135.509) + (xy 126.873 135.128) + (xy 127.127 135.128) + (xy 127.127 135.509) + (xy 127.508 135.509) + (xy 127.508 135.128) + (xy 129.032 135.128) + (xy 129.032 135.509) + (xy 129.413 135.509) + (xy 129.413 135.128) + (xy 129.667 135.128) + (xy 129.667 135.509) + (xy 130.048 135.509) + (xy 130.048 135.128) + (xy 131.572 135.128) + (xy 131.572 135.509) + (xy 131.953 135.509) + (xy 131.953 135.128) + (xy 132.207 135.128) + (xy 132.207 135.509) + (xy 132.588 135.509) + (xy 132.588 135.128) + (xy 134.112 135.128) + (xy 134.112 135.509) + (xy 134.493 135.509) + (xy 134.493 135.128) + (xy 134.747 135.128) + (xy 134.747 135.509) + (xy 135.128 135.509) + (xy 135.128 135.128) + (xy 134.747 135.128) + (xy 134.493 135.128) + (xy 134.112 135.128) + (xy 132.588 135.128) + (xy 132.207 135.128) + (xy 131.953 135.128) + (xy 131.572 135.128) + (xy 130.048 135.128) + (xy 129.667 135.128) + (xy 129.413 135.128) + (xy 129.032 135.128) + (xy 127.508 135.128) + (xy 127.127 135.128) + (xy 126.873 135.128) + (xy 126.492 135.128) + (xy 124.968 135.128) + (xy 124.587 135.128) + (xy 124.333 135.128) + (xy 123.952 135.128) + (xy 122.428 135.128) + (xy 122.047 135.128) + (xy 121.793 135.128) + (xy 121.412 135.128) + (xy 114.808 135.128) + (xy 114.427 135.128) + (xy 114.173 135.128) + (xy 113.792 135.128) + (xy 112.268 135.128) + (xy 111.887 135.128) + (xy 111.633 135.128) + (xy 111.252 135.128) + (xy 109.728 135.128) + (xy 109.347 135.128) + (xy 109.093 135.128) + (xy 108.712 135.128) + (xy 107.188 135.128) + (xy 106.807 135.128) + (xy 106.553 135.128) + (xy 106.172 135.128) + (xy 104.648 135.128) + (xy 104.267 135.128) + (xy 104.013 135.128) + (xy 103.632 135.128) + (xy 102.108 135.128) + (xy 101.727 135.128) + (xy 101.473 135.128) + (xy 101.092 135.128) + (xy 99.568 135.128) + (xy 99.187 135.128) + (xy 98.933 135.128) + (xy 98.552 135.128) + (xy 97.028 135.128) + (xy 96.647 135.128) + (xy 96.393 135.128) + (xy 96.012 135.128) + (xy 94.488 135.128) + (xy 94.107 135.128) + (xy 93.853 135.128) + (xy 93.472 135.128) + (xy 91.948 135.128) + (xy 91.567 135.128) + (xy 91.313 135.128) + (xy 90.932 135.128) + (xy 89.408 135.128) + (xy 89.027 135.128) + (xy 88.773 135.128) + (xy 88.392 135.128) + (xy 86.868 135.128) + (xy 86.487 135.128) + (xy 86.233 135.128) + (xy 85.852 135.128) + (xy 84.328 135.128) + (xy 83.947 135.128) + (xy 83.693 135.128) + (xy 83.312 135.128) + (xy 81.788 135.128) + (xy 81.407 135.128) + (xy 81.153 135.128) + (xy 80.772 135.128) + (xy 79.248 135.128) + (xy 78.867 135.128) + (xy 78.613 135.128) + (xy 78.232 135.128) + (xy 74.422 135.128) + (xy 74.422 134.493) + (xy 78.232 134.493) + (xy 78.232 134.874) + (xy 78.613 134.874) + (xy 78.613 134.493) + (xy 78.867 134.493) + (xy 78.867 134.874) + (xy 79.248 134.874) + (xy 79.248 134.493) + (xy 80.772 134.493) + (xy 80.772 134.874) + (xy 81.153 134.874) + (xy 81.153 134.493) + (xy 81.407 134.493) + (xy 81.407 134.874) + (xy 81.788 134.874) + (xy 81.788 134.493) + (xy 83.312 134.493) + (xy 83.312 134.874) + (xy 83.693 134.874) + (xy 83.693 134.493) + (xy 83.947 134.493) + (xy 83.947 134.874) + (xy 84.328 134.874) + (xy 84.328 134.493) + (xy 85.852 134.493) + (xy 85.852 134.874) + (xy 86.233 134.874) + (xy 86.233 134.493) + (xy 86.487 134.493) + (xy 86.487 134.874) + (xy 86.868 134.874) + (xy 86.868 134.493) + (xy 88.392 134.493) + (xy 88.392 134.874) + (xy 88.773 134.874) + (xy 88.773 134.493) + (xy 89.027 134.493) + (xy 89.027 134.874) + (xy 89.408 134.874) + (xy 89.408 134.493) + (xy 90.932 134.493) + (xy 90.932 134.874) + (xy 91.313 134.874) + (xy 91.313 134.493) + (xy 91.567 134.493) + (xy 91.567 134.874) + (xy 91.948 134.874) + (xy 91.948 134.493) + (xy 93.472 134.493) + (xy 93.472 134.874) + (xy 93.853 134.874) + (xy 93.853 134.493) + (xy 94.107 134.493) + (xy 94.107 134.874) + (xy 94.488 134.874) + (xy 94.488 134.493) + (xy 96.012 134.493) + (xy 96.012 134.874) + (xy 96.393 134.874) + (xy 96.393 134.493) + (xy 96.647 134.493) + (xy 96.647 134.874) + (xy 97.028 134.874) + (xy 97.028 134.493) + (xy 98.552 134.493) + (xy 98.552 134.874) + (xy 98.933 134.874) + (xy 98.933 134.493) + (xy 99.187 134.493) + (xy 99.187 134.874) + (xy 99.568 134.874) + (xy 99.568 134.493) + (xy 101.092 134.493) + (xy 101.092 134.874) + (xy 101.473 134.874) + (xy 101.473 134.493) + (xy 101.727 134.493) + (xy 101.727 134.874) + (xy 102.108 134.874) + (xy 102.108 134.493) + (xy 103.632 134.493) + (xy 103.632 134.874) + (xy 104.013 134.874) + (xy 104.013 134.493) + (xy 104.267 134.493) + (xy 104.267 134.874) + (xy 104.648 134.874) + (xy 104.648 134.493) + (xy 106.172 134.493) + (xy 106.172 134.874) + (xy 106.553 134.874) + (xy 106.553 134.493) + (xy 106.807 134.493) + (xy 106.807 134.874) + (xy 107.188 134.874) + (xy 107.188 134.493) + (xy 108.712 134.493) + (xy 108.712 134.874) + (xy 109.093 134.874) + (xy 109.093 134.493) + (xy 109.347 134.493) + (xy 109.347 134.874) + (xy 109.728 134.874) + (xy 109.728 134.493) + (xy 111.252 134.493) + (xy 111.252 134.874) + (xy 111.633 134.874) + (xy 111.633 134.493) + (xy 111.887 134.493) + (xy 111.887 134.874) + (xy 112.268 134.874) + (xy 112.268 134.493) + (xy 113.792 134.493) + (xy 113.792 134.874) + (xy 114.173 134.874) + (xy 114.173 134.493) + (xy 114.427 134.493) + (xy 114.427 134.874) + (xy 114.808 134.874) + (xy 114.808 134.493) + (xy 121.412 134.493) + (xy 121.412 134.874) + (xy 121.793 134.874) + (xy 121.793 134.493) + (xy 122.047 134.493) + (xy 122.047 134.874) + (xy 122.428 134.874) + (xy 122.428 134.493) + (xy 123.952 134.493) + (xy 123.952 134.874) + (xy 124.333 134.874) + (xy 124.333 134.493) + (xy 124.587 134.493) + (xy 124.587 134.874) + (xy 124.968 134.874) + (xy 124.968 134.493) + (xy 126.492 134.493) + (xy 126.492 134.874) + (xy 126.873 134.874) + (xy 126.873 134.493) + (xy 127.127 134.493) + (xy 127.127 134.874) + (xy 127.508 134.874) + (xy 127.508 134.493) + (xy 129.032 134.493) + (xy 129.032 134.874) + (xy 129.413 134.874) + (xy 129.413 134.493) + (xy 129.667 134.493) + (xy 129.667 134.874) + (xy 130.048 134.874) + (xy 130.048 134.493) + (xy 131.572 134.493) + (xy 131.572 134.874) + (xy 131.953 134.874) + (xy 131.953 134.493) + (xy 132.207 134.493) + (xy 132.207 134.874) + (xy 132.588 134.874) + (xy 132.588 134.493) + (xy 134.112 134.493) + (xy 134.112 134.874) + (xy 134.493 134.874) + (xy 134.493 134.493) + (xy 134.747 134.493) + (xy 134.747 134.874) + (xy 135.128 134.874) + (xy 135.128 134.493) + (xy 134.747 134.493) + (xy 134.493 134.493) + (xy 134.112 134.493) + (xy 132.588 134.493) + (xy 132.207 134.493) + (xy 131.953 134.493) + (xy 131.572 134.493) + (xy 130.048 134.493) + (xy 129.667 134.493) + (xy 129.413 134.493) + (xy 129.032 134.493) + (xy 127.508 134.493) + (xy 127.127 134.493) + (xy 126.873 134.493) + (xy 126.492 134.493) + (xy 124.968 134.493) + (xy 124.587 134.493) + (xy 124.333 134.493) + (xy 123.952 134.493) + (xy 122.428 134.493) + (xy 122.047 134.493) + (xy 121.793 134.493) + (xy 121.412 134.493) + (xy 114.808 134.493) + (xy 114.427 134.493) + (xy 114.173 134.493) + (xy 113.792 134.493) + (xy 112.268 134.493) + (xy 111.887 134.493) + (xy 111.633 134.493) + (xy 111.252 134.493) + (xy 109.728 134.493) + (xy 109.347 134.493) + (xy 109.093 134.493) + (xy 108.712 134.493) + (xy 107.188 134.493) + (xy 106.807 134.493) + (xy 106.553 134.493) + (xy 106.172 134.493) + (xy 104.648 134.493) + (xy 104.267 134.493) + (xy 104.013 134.493) + (xy 103.632 134.493) + (xy 102.108 134.493) + (xy 101.727 134.493) + (xy 101.473 134.493) + (xy 101.092 134.493) + (xy 99.568 134.493) + (xy 99.187 134.493) + (xy 98.933 134.493) + (xy 98.552 134.493) + (xy 97.028 134.493) + (xy 96.647 134.493) + (xy 96.393 134.493) + (xy 96.012 134.493) + (xy 94.488 134.493) + (xy 94.107 134.493) + (xy 93.853 134.493) + (xy 93.472 134.493) + (xy 91.948 134.493) + (xy 91.567 134.493) + (xy 91.313 134.493) + (xy 90.932 134.493) + (xy 89.408 134.493) + (xy 89.027 134.493) + (xy 88.773 134.493) + (xy 88.392 134.493) + (xy 86.868 134.493) + (xy 86.487 134.493) + (xy 86.233 134.493) + (xy 85.852 134.493) + (xy 84.328 134.493) + (xy 83.947 134.493) + (xy 83.693 134.493) + (xy 83.312 134.493) + (xy 81.788 134.493) + (xy 81.407 134.493) + (xy 81.153 134.493) + (xy 80.772 134.493) + (xy 79.248 134.493) + (xy 78.867 134.493) + (xy 78.613 134.493) + (xy 78.232 134.493) + (xy 74.422 134.493) + (xy 74.422 133.858) + (xy 78.232 133.858) + (xy 78.232 134.239) + (xy 78.613 134.239) + (xy 78.613 133.858) + (xy 78.867 133.858) + (xy 78.867 134.239) + (xy 79.248 134.239) + (xy 79.248 133.858) + (xy 80.772 133.858) + (xy 80.772 134.239) + (xy 81.153 134.239) + (xy 81.153 133.858) + (xy 81.407 133.858) + (xy 81.407 134.239) + (xy 81.788 134.239) + (xy 81.788 133.858) + (xy 83.312 133.858) + (xy 83.312 134.239) + (xy 83.693 134.239) + (xy 83.693 133.858) + (xy 83.947 133.858) + (xy 83.947 134.239) + (xy 84.328 134.239) + (xy 84.328 133.858) + (xy 85.852 133.858) + (xy 85.852 134.239) + (xy 86.233 134.239) + (xy 86.233 133.858) + (xy 86.487 133.858) + (xy 86.487 134.239) + (xy 86.868 134.239) + (xy 86.868 133.858) + (xy 88.392 133.858) + (xy 88.392 134.239) + (xy 88.773 134.239) + (xy 88.773 133.858) + (xy 89.027 133.858) + (xy 89.027 134.239) + (xy 89.408 134.239) + (xy 89.408 133.858) + (xy 90.932 133.858) + (xy 90.932 134.239) + (xy 91.313 134.239) + (xy 91.313 133.858) + (xy 91.567 133.858) + (xy 91.567 134.239) + (xy 91.948 134.239) + (xy 91.948 133.858) + (xy 93.472 133.858) + (xy 93.472 134.239) + (xy 93.853 134.239) + (xy 93.853 133.858) + (xy 94.107 133.858) + (xy 94.107 134.239) + (xy 94.488 134.239) + (xy 94.488 133.858) + (xy 96.012 133.858) + (xy 96.012 134.239) + (xy 96.393 134.239) + (xy 96.393 133.858) + (xy 96.647 133.858) + (xy 96.647 134.239) + (xy 97.028 134.239) + (xy 97.028 133.858) + (xy 98.552 133.858) + (xy 98.552 134.239) + (xy 98.933 134.239) + (xy 98.933 133.858) + (xy 99.187 133.858) + (xy 99.187 134.239) + (xy 99.568 134.239) + (xy 99.568 133.858) + (xy 101.092 133.858) + (xy 101.092 134.239) + (xy 101.473 134.239) + (xy 101.473 133.858) + (xy 101.727 133.858) + (xy 101.727 134.239) + (xy 102.108 134.239) + (xy 102.108 133.858) + (xy 103.632 133.858) + (xy 103.632 134.239) + (xy 104.013 134.239) + (xy 104.013 133.858) + (xy 104.267 133.858) + (xy 104.267 134.239) + (xy 104.648 134.239) + (xy 104.648 133.858) + (xy 106.172 133.858) + (xy 106.172 134.239) + (xy 106.553 134.239) + (xy 106.553 133.858) + (xy 106.807 133.858) + (xy 106.807 134.239) + (xy 107.188 134.239) + (xy 107.188 133.858) + (xy 108.712 133.858) + (xy 108.712 134.239) + (xy 109.093 134.239) + (xy 109.093 133.858) + (xy 109.347 133.858) + (xy 109.347 134.239) + (xy 109.728 134.239) + (xy 109.728 133.858) + (xy 111.252 133.858) + (xy 111.252 134.239) + (xy 111.633 134.239) + (xy 111.633 133.858) + (xy 111.887 133.858) + (xy 111.887 134.239) + (xy 112.268 134.239) + (xy 112.268 133.858) + (xy 113.792 133.858) + (xy 113.792 134.239) + (xy 114.173 134.239) + (xy 114.173 133.858) + (xy 114.427 133.858) + (xy 114.427 134.239) + (xy 114.808 134.239) + (xy 114.808 133.858) + (xy 121.412 133.858) + (xy 121.412 134.239) + (xy 121.793 134.239) + (xy 121.793 133.858) + (xy 122.047 133.858) + (xy 122.047 134.239) + (xy 122.428 134.239) + (xy 122.428 133.858) + (xy 123.952 133.858) + (xy 123.952 134.239) + (xy 124.333 134.239) + (xy 124.333 133.858) + (xy 124.587 133.858) + (xy 124.587 134.239) + (xy 124.968 134.239) + (xy 124.968 133.858) + (xy 126.492 133.858) + (xy 126.492 134.239) + (xy 126.873 134.239) + (xy 126.873 133.858) + (xy 127.127 133.858) + (xy 127.127 134.239) + (xy 127.508 134.239) + (xy 127.508 133.858) + (xy 129.032 133.858) + (xy 129.032 134.239) + (xy 129.413 134.239) + (xy 129.413 133.858) + (xy 129.667 133.858) + (xy 129.667 134.239) + (xy 130.048 134.239) + (xy 130.048 133.858) + (xy 131.572 133.858) + (xy 131.572 134.239) + (xy 131.953 134.239) + (xy 131.953 133.858) + (xy 132.207 133.858) + (xy 132.207 134.239) + (xy 132.588 134.239) + (xy 132.588 133.858) + (xy 134.112 133.858) + (xy 134.112 134.239) + (xy 134.493 134.239) + (xy 134.493 133.858) + (xy 134.747 133.858) + (xy 134.747 134.239) + (xy 135.128 134.239) + (xy 135.128 133.858) + (xy 134.747 133.858) + (xy 134.493 133.858) + (xy 134.112 133.858) + (xy 132.588 133.858) + (xy 132.207 133.858) + (xy 131.953 133.858) + (xy 131.572 133.858) + (xy 130.048 133.858) + (xy 129.667 133.858) + (xy 129.413 133.858) + (xy 129.032 133.858) + (xy 127.508 133.858) + (xy 127.127 133.858) + (xy 126.873 133.858) + (xy 126.492 133.858) + (xy 124.968 133.858) + (xy 124.587 133.858) + (xy 124.333 133.858) + (xy 123.952 133.858) + (xy 122.428 133.858) + (xy 122.047 133.858) + (xy 121.793 133.858) + (xy 121.412 133.858) + (xy 114.808 133.858) + (xy 114.427 133.858) + (xy 114.173 133.858) + (xy 113.792 133.858) + (xy 112.268 133.858) + (xy 111.887 133.858) + (xy 111.633 133.858) + (xy 111.252 133.858) + (xy 109.728 133.858) + (xy 109.347 133.858) + (xy 109.093 133.858) + (xy 108.712 133.858) + (xy 107.188 133.858) + (xy 106.807 133.858) + (xy 106.553 133.858) + (xy 106.172 133.858) + (xy 104.648 133.858) + (xy 104.267 133.858) + (xy 104.013 133.858) + (xy 103.632 133.858) + (xy 102.108 133.858) + (xy 101.727 133.858) + (xy 101.473 133.858) + (xy 101.092 133.858) + (xy 99.568 133.858) + (xy 99.187 133.858) + (xy 98.933 133.858) + (xy 98.552 133.858) + (xy 97.028 133.858) + (xy 96.647 133.858) + (xy 96.393 133.858) + (xy 96.012 133.858) + (xy 94.488 133.858) + (xy 94.107 133.858) + (xy 93.853 133.858) + (xy 93.472 133.858) + (xy 91.948 133.858) + (xy 91.567 133.858) + (xy 91.313 133.858) + (xy 90.932 133.858) + (xy 89.408 133.858) + (xy 89.027 133.858) + (xy 88.773 133.858) + (xy 88.392 133.858) + (xy 86.868 133.858) + (xy 86.487 133.858) + (xy 86.233 133.858) + (xy 85.852 133.858) + (xy 84.328 133.858) + (xy 83.947 133.858) + (xy 83.693 133.858) + (xy 83.312 133.858) + (xy 81.788 133.858) + (xy 81.407 133.858) + (xy 81.153 133.858) + (xy 80.772 133.858) + (xy 79.248 133.858) + (xy 78.867 133.858) + (xy 78.613 133.858) + (xy 78.232 133.858) + (xy 74.422 133.858) + (xy 74.422 133.223) + (xy 78.232 133.223) + (xy 78.232 133.604) + (xy 78.613 133.604) + (xy 78.613 133.223) + (xy 78.867 133.223) + (xy 78.867 133.604) + (xy 79.248 133.604) + (xy 79.248 133.223) + (xy 80.772 133.223) + (xy 80.772 133.604) + (xy 81.153 133.604) + (xy 81.153 133.223) + (xy 81.407 133.223) + (xy 81.407 133.604) + (xy 81.788 133.604) + (xy 81.788 133.223) + (xy 83.312 133.223) + (xy 83.312 133.604) + (xy 83.693 133.604) + (xy 83.693 133.223) + (xy 83.947 133.223) + (xy 83.947 133.604) + (xy 84.328 133.604) + (xy 84.328 133.223) + (xy 85.852 133.223) + (xy 85.852 133.604) + (xy 86.233 133.604) + (xy 86.233 133.223) + (xy 86.487 133.223) + (xy 86.487 133.604) + (xy 86.868 133.604) + (xy 86.868 133.223) + (xy 88.392 133.223) + (xy 88.392 133.604) + (xy 88.773 133.604) + (xy 88.773 133.223) + (xy 89.027 133.223) + (xy 89.027 133.604) + (xy 89.408 133.604) + (xy 89.408 133.223) + (xy 90.932 133.223) + (xy 90.932 133.604) + (xy 91.313 133.604) + (xy 91.313 133.223) + (xy 91.567 133.223) + (xy 91.567 133.604) + (xy 91.948 133.604) + (xy 91.948 133.223) + (xy 93.472 133.223) + (xy 93.472 133.604) + (xy 93.853 133.604) + (xy 93.853 133.223) + (xy 94.107 133.223) + (xy 94.107 133.604) + (xy 94.488 133.604) + (xy 94.488 133.223) + (xy 96.012 133.223) + (xy 96.012 133.604) + (xy 96.393 133.604) + (xy 96.393 133.223) + (xy 96.647 133.223) + (xy 96.647 133.604) + (xy 97.028 133.604) + (xy 97.028 133.223) + (xy 98.552 133.223) + (xy 98.552 133.604) + (xy 98.933 133.604) + (xy 98.933 133.223) + (xy 99.187 133.223) + (xy 99.187 133.604) + (xy 99.568 133.604) + (xy 99.568 133.223) + (xy 101.092 133.223) + (xy 101.092 133.604) + (xy 101.473 133.604) + (xy 101.473 133.223) + (xy 101.727 133.223) + (xy 101.727 133.604) + (xy 102.108 133.604) + (xy 102.108 133.223) + (xy 103.632 133.223) + (xy 103.632 133.604) + (xy 104.013 133.604) + (xy 104.013 133.223) + (xy 104.267 133.223) + (xy 104.267 133.604) + (xy 104.648 133.604) + (xy 104.648 133.223) + (xy 106.172 133.223) + (xy 106.172 133.604) + (xy 106.553 133.604) + (xy 106.553 133.223) + (xy 106.807 133.223) + (xy 106.807 133.604) + (xy 107.188 133.604) + (xy 107.188 133.223) + (xy 108.712 133.223) + (xy 108.712 133.604) + (xy 109.093 133.604) + (xy 109.093 133.223) + (xy 109.347 133.223) + (xy 109.347 133.604) + (xy 109.728 133.604) + (xy 109.728 133.223) + (xy 111.252 133.223) + (xy 111.252 133.604) + (xy 111.633 133.604) + (xy 111.633 133.223) + (xy 111.887 133.223) + (xy 111.887 133.604) + (xy 112.268 133.604) + (xy 112.268 133.223) + (xy 113.792 133.223) + (xy 113.792 133.604) + (xy 114.173 133.604) + (xy 114.173 133.223) + (xy 114.427 133.223) + (xy 114.427 133.604) + (xy 114.808 133.604) + (xy 114.808 133.223) + (xy 121.412 133.223) + (xy 121.412 133.604) + (xy 121.793 133.604) + (xy 121.793 133.223) + (xy 122.047 133.223) + (xy 122.047 133.604) + (xy 122.428 133.604) + (xy 122.428 133.223) + (xy 123.952 133.223) + (xy 123.952 133.604) + (xy 124.333 133.604) + (xy 124.333 133.223) + (xy 124.587 133.223) + (xy 124.587 133.604) + (xy 124.968 133.604) + (xy 124.968 133.223) + (xy 126.492 133.223) + (xy 126.492 133.604) + (xy 126.873 133.604) + (xy 126.873 133.223) + (xy 127.127 133.223) + (xy 127.127 133.604) + (xy 127.508 133.604) + (xy 127.508 133.223) + (xy 129.032 133.223) + (xy 129.032 133.604) + (xy 129.413 133.604) + (xy 129.413 133.223) + (xy 129.667 133.223) + (xy 129.667 133.604) + (xy 130.048 133.604) + (xy 130.048 133.223) + (xy 131.572 133.223) + (xy 131.572 133.604) + (xy 131.953 133.604) + (xy 131.953 133.223) + (xy 132.207 133.223) + (xy 132.207 133.604) + (xy 132.588 133.604) + (xy 132.588 133.223) + (xy 134.112 133.223) + (xy 134.112 133.604) + (xy 134.493 133.604) + (xy 134.493 133.223) + (xy 134.747 133.223) + (xy 134.747 133.604) + (xy 135.128 133.604) + (xy 135.128 133.223) + (xy 134.747 133.223) + (xy 134.493 133.223) + (xy 134.112 133.223) + (xy 132.588 133.223) + (xy 132.207 133.223) + (xy 131.953 133.223) + (xy 131.572 133.223) + (xy 130.048 133.223) + (xy 129.667 133.223) + (xy 129.413 133.223) + (xy 129.032 133.223) + (xy 127.508 133.223) + (xy 127.127 133.223) + (xy 126.873 133.223) + (xy 126.492 133.223) + (xy 124.968 133.223) + (xy 124.587 133.223) + (xy 124.333 133.223) + (xy 123.952 133.223) + (xy 122.428 133.223) + (xy 122.047 133.223) + (xy 121.793 133.223) + (xy 121.412 133.223) + (xy 114.808 133.223) + (xy 114.427 133.223) + (xy 114.173 133.223) + (xy 113.792 133.223) + (xy 112.268 133.223) + (xy 111.887 133.223) + (xy 111.633 133.223) + (xy 111.252 133.223) + (xy 109.728 133.223) + (xy 109.347 133.223) + (xy 109.093 133.223) + (xy 108.712 133.223) + (xy 107.188 133.223) + (xy 106.807 133.223) + (xy 106.553 133.223) + (xy 106.172 133.223) + (xy 104.648 133.223) + (xy 104.267 133.223) + (xy 104.013 133.223) + (xy 103.632 133.223) + (xy 102.108 133.223) + (xy 101.727 133.223) + (xy 101.473 133.223) + (xy 101.092 133.223) + (xy 99.568 133.223) + (xy 99.187 133.223) + (xy 98.933 133.223) + (xy 98.552 133.223) + (xy 97.028 133.223) + (xy 96.647 133.223) + (xy 96.393 133.223) + (xy 96.012 133.223) + (xy 94.488 133.223) + (xy 94.107 133.223) + (xy 93.853 133.223) + (xy 93.472 133.223) + (xy 91.948 133.223) + (xy 91.567 133.223) + (xy 91.313 133.223) + (xy 90.932 133.223) + (xy 89.408 133.223) + (xy 89.027 133.223) + (xy 88.773 133.223) + (xy 88.392 133.223) + (xy 86.868 133.223) + (xy 86.487 133.223) + (xy 86.233 133.223) + (xy 85.852 133.223) + (xy 84.328 133.223) + (xy 83.947 133.223) + (xy 83.693 133.223) + (xy 83.312 133.223) + (xy 81.788 133.223) + (xy 81.407 133.223) + (xy 81.153 133.223) + (xy 80.772 133.223) + (xy 79.248 133.223) + (xy 78.867 133.223) + (xy 78.613 133.223) + (xy 78.232 133.223) + (xy 74.422 133.223) + (xy 74.422 132.588) + (xy 78.232 132.588) + (xy 78.232 132.969) + (xy 78.613 132.969) + (xy 78.613 132.588) + (xy 78.867 132.588) + (xy 78.867 132.969) + (xy 79.248 132.969) + (xy 79.248 132.588) + (xy 80.772 132.588) + (xy 80.772 132.969) + (xy 81.153 132.969) + (xy 81.153 132.588) + (xy 81.407 132.588) + (xy 81.407 132.969) + (xy 81.788 132.969) + (xy 81.788 132.588) + (xy 83.312 132.588) + (xy 83.312 132.969) + (xy 83.693 132.969) + (xy 83.693 132.588) + (xy 83.947 132.588) + (xy 83.947 132.969) + (xy 84.328 132.969) + (xy 84.328 132.588) + (xy 85.852 132.588) + (xy 85.852 132.969) + (xy 86.233 132.969) + (xy 86.233 132.588) + (xy 86.487 132.588) + (xy 86.487 132.969) + (xy 86.868 132.969) + (xy 86.868 132.588) + (xy 88.392 132.588) + (xy 88.392 132.969) + (xy 88.773 132.969) + (xy 88.773 132.588) + (xy 89.027 132.588) + (xy 89.027 132.969) + (xy 89.408 132.969) + (xy 89.408 132.588) + (xy 90.932 132.588) + (xy 90.932 132.969) + (xy 91.313 132.969) + (xy 91.313 132.588) + (xy 91.567 132.588) + (xy 91.567 132.969) + (xy 91.948 132.969) + (xy 91.948 132.588) + (xy 93.472 132.588) + (xy 93.472 132.969) + (xy 93.853 132.969) + (xy 93.853 132.588) + (xy 94.107 132.588) + (xy 94.107 132.969) + (xy 94.488 132.969) + (xy 94.488 132.588) + (xy 96.012 132.588) + (xy 96.012 132.969) + (xy 96.393 132.969) + (xy 96.393 132.588) + (xy 96.647 132.588) + (xy 96.647 132.969) + (xy 97.028 132.969) + (xy 97.028 132.588) + (xy 98.552 132.588) + (xy 98.552 132.969) + (xy 98.933 132.969) + (xy 98.933 132.588) + (xy 99.187 132.588) + (xy 99.187 132.969) + (xy 99.568 132.969) + (xy 99.568 132.588) + (xy 101.092 132.588) + (xy 101.092 132.969) + (xy 101.473 132.969) + (xy 101.473 132.588) + (xy 101.727 132.588) + (xy 101.727 132.969) + (xy 102.108 132.969) + (xy 102.108 132.588) + (xy 103.632 132.588) + (xy 103.632 132.969) + (xy 104.013 132.969) + (xy 104.013 132.588) + (xy 104.267 132.588) + (xy 104.267 132.969) + (xy 104.648 132.969) + (xy 104.648 132.588) + (xy 106.172 132.588) + (xy 106.172 132.969) + (xy 106.553 132.969) + (xy 106.553 132.588) + (xy 106.807 132.588) + (xy 106.807 132.969) + (xy 107.188 132.969) + (xy 107.188 132.588) + (xy 108.712 132.588) + (xy 108.712 132.969) + (xy 109.093 132.969) + (xy 109.093 132.588) + (xy 109.347 132.588) + (xy 109.347 132.969) + (xy 109.728 132.969) + (xy 109.728 132.588) + (xy 111.252 132.588) + (xy 111.252 132.969) + (xy 111.633 132.969) + (xy 111.633 132.588) + (xy 111.887 132.588) + (xy 111.887 132.969) + (xy 112.268 132.969) + (xy 112.268 132.588) + (xy 113.792 132.588) + (xy 113.792 132.969) + (xy 114.173 132.969) + (xy 114.173 132.588) + (xy 114.427 132.588) + (xy 114.427 132.969) + (xy 114.808 132.969) + (xy 114.808 132.588) + (xy 121.412 132.588) + (xy 121.412 132.969) + (xy 121.793 132.969) + (xy 121.793 132.588) + (xy 122.047 132.588) + (xy 122.047 132.969) + (xy 122.428 132.969) + (xy 122.428 132.588) + (xy 123.952 132.588) + (xy 123.952 132.969) + (xy 124.333 132.969) + (xy 124.333 132.588) + (xy 124.587 132.588) + (xy 124.587 132.969) + (xy 124.968 132.969) + (xy 124.968 132.588) + (xy 126.492 132.588) + (xy 126.492 132.969) + (xy 126.873 132.969) + (xy 126.873 132.588) + (xy 127.127 132.588) + (xy 127.127 132.969) + (xy 127.508 132.969) + (xy 127.508 132.588) + (xy 129.032 132.588) + (xy 129.032 132.969) + (xy 129.413 132.969) + (xy 129.413 132.588) + (xy 129.667 132.588) + (xy 129.667 132.969) + (xy 130.048 132.969) + (xy 130.048 132.588) + (xy 131.572 132.588) + (xy 131.572 132.969) + (xy 131.953 132.969) + (xy 131.953 132.588) + (xy 132.207 132.588) + (xy 132.207 132.969) + (xy 132.588 132.969) + (xy 132.588 132.588) + (xy 134.112 132.588) + (xy 134.112 132.969) + (xy 134.493 132.969) + (xy 134.493 132.588) + (xy 134.747 132.588) + (xy 134.747 132.969) + (xy 135.128 132.969) + (xy 135.128 132.588) + (xy 134.747 132.588) + (xy 134.493 132.588) + (xy 134.112 132.588) + (xy 132.588 132.588) + (xy 132.207 132.588) + (xy 131.953 132.588) + (xy 131.572 132.588) + (xy 130.048 132.588) + (xy 129.667 132.588) + (xy 129.413 132.588) + (xy 129.032 132.588) + (xy 127.508 132.588) + (xy 127.127 132.588) + (xy 126.873 132.588) + (xy 126.492 132.588) + (xy 124.968 132.588) + (xy 124.587 132.588) + (xy 124.333 132.588) + (xy 123.952 132.588) + (xy 122.428 132.588) + (xy 122.047 132.588) + (xy 121.793 132.588) + (xy 121.412 132.588) + (xy 114.808 132.588) + (xy 114.427 132.588) + (xy 114.173 132.588) + (xy 113.792 132.588) + (xy 112.268 132.588) + (xy 111.887 132.588) + (xy 111.633 132.588) + (xy 111.252 132.588) + (xy 109.728 132.588) + (xy 109.347 132.588) + (xy 109.093 132.588) + (xy 108.712 132.588) + (xy 107.188 132.588) + (xy 106.807 132.588) + (xy 106.553 132.588) + (xy 106.172 132.588) + (xy 104.648 132.588) + (xy 104.267 132.588) + (xy 104.013 132.588) + (xy 103.632 132.588) + (xy 102.108 132.588) + (xy 101.727 132.588) + (xy 101.473 132.588) + (xy 101.092 132.588) + (xy 99.568 132.588) + (xy 99.187 132.588) + (xy 98.933 132.588) + (xy 98.552 132.588) + (xy 97.028 132.588) + (xy 96.647 132.588) + (xy 96.393 132.588) + (xy 96.012 132.588) + (xy 94.488 132.588) + (xy 94.107 132.588) + (xy 93.853 132.588) + (xy 93.472 132.588) + (xy 91.948 132.588) + (xy 91.567 132.588) + (xy 91.313 132.588) + (xy 90.932 132.588) + (xy 89.408 132.588) + (xy 89.027 132.588) + (xy 88.773 132.588) + (xy 88.392 132.588) + (xy 86.868 132.588) + (xy 86.487 132.588) + (xy 86.233 132.588) + (xy 85.852 132.588) + (xy 84.328 132.588) + (xy 83.947 132.588) + (xy 83.693 132.588) + (xy 83.312 132.588) + (xy 81.788 132.588) + (xy 81.407 132.588) + (xy 81.153 132.588) + (xy 80.772 132.588) + (xy 79.248 132.588) + (xy 78.867 132.588) + (xy 78.613 132.588) + (xy 78.232 132.588) + (xy 74.422 132.588) + (xy 74.422 131.953) + (xy 78.232 131.953) + (xy 78.232 132.334) + (xy 78.613 132.334) + (xy 78.613 131.953) + (xy 78.867 131.953) + (xy 78.867 132.334) + (xy 79.248 132.334) + (xy 79.248 131.953) + (xy 80.772 131.953) + (xy 80.772 132.334) + (xy 81.153 132.334) + (xy 81.153 131.953) + (xy 81.407 131.953) + (xy 81.407 132.334) + (xy 81.788 132.334) + (xy 81.788 131.953) + (xy 83.312 131.953) + (xy 83.312 132.334) + (xy 83.693 132.334) + (xy 83.693 131.953) + (xy 83.947 131.953) + (xy 83.947 132.334) + (xy 84.328 132.334) + (xy 84.328 131.953) + (xy 85.852 131.953) + (xy 85.852 132.334) + (xy 86.233 132.334) + (xy 86.233 131.953) + (xy 86.487 131.953) + (xy 86.487 132.334) + (xy 86.868 132.334) + (xy 86.868 131.953) + (xy 88.392 131.953) + (xy 88.392 132.334) + (xy 88.773 132.334) + (xy 88.773 131.953) + (xy 89.027 131.953) + (xy 89.027 132.334) + (xy 89.408 132.334) + (xy 89.408 131.953) + (xy 90.932 131.953) + (xy 90.932 132.334) + (xy 91.313 132.334) + (xy 91.313 131.953) + (xy 91.567 131.953) + (xy 91.567 132.334) + (xy 91.948 132.334) + (xy 91.948 131.953) + (xy 93.472 131.953) + (xy 93.472 132.334) + (xy 93.853 132.334) + (xy 93.853 131.953) + (xy 94.107 131.953) + (xy 94.107 132.334) + (xy 94.488 132.334) + (xy 94.488 131.953) + (xy 96.012 131.953) + (xy 96.012 132.334) + (xy 96.393 132.334) + (xy 96.393 131.953) + (xy 96.647 131.953) + (xy 96.647 132.334) + (xy 97.028 132.334) + (xy 97.028 131.953) + (xy 98.552 131.953) + (xy 98.552 132.334) + (xy 98.933 132.334) + (xy 98.933 131.953) + (xy 99.187 131.953) + (xy 99.187 132.334) + (xy 99.568 132.334) + (xy 99.568 131.953) + (xy 101.092 131.953) + (xy 101.092 132.334) + (xy 101.473 132.334) + (xy 101.473 131.953) + (xy 101.727 131.953) + (xy 101.727 132.334) + (xy 102.108 132.334) + (xy 102.108 131.953) + (xy 103.632 131.953) + (xy 103.632 132.334) + (xy 104.013 132.334) + (xy 104.013 131.953) + (xy 104.267 131.953) + (xy 104.267 132.334) + (xy 104.648 132.334) + (xy 104.648 131.953) + (xy 106.172 131.953) + (xy 106.172 132.334) + (xy 106.553 132.334) + (xy 106.553 131.953) + (xy 106.807 131.953) + (xy 106.807 132.334) + (xy 107.188 132.334) + (xy 107.188 131.953) + (xy 108.712 131.953) + (xy 108.712 132.334) + (xy 109.093 132.334) + (xy 109.093 131.953) + (xy 109.347 131.953) + (xy 109.347 132.334) + (xy 109.728 132.334) + (xy 109.728 131.953) + (xy 111.252 131.953) + (xy 111.252 132.334) + (xy 111.633 132.334) + (xy 111.633 131.953) + (xy 111.887 131.953) + (xy 111.887 132.334) + (xy 112.268 132.334) + (xy 112.268 131.953) + (xy 113.792 131.953) + (xy 113.792 132.334) + (xy 114.173 132.334) + (xy 114.173 131.953) + (xy 114.427 131.953) + (xy 114.427 132.334) + (xy 114.808 132.334) + (xy 114.808 131.953) + (xy 121.412 131.953) + (xy 121.412 132.334) + (xy 121.793 132.334) + (xy 121.793 131.953) + (xy 122.047 131.953) + (xy 122.047 132.334) + (xy 122.428 132.334) + (xy 122.428 131.953) + (xy 123.952 131.953) + (xy 123.952 132.334) + (xy 124.333 132.334) + (xy 124.333 131.953) + (xy 124.587 131.953) + (xy 124.587 132.334) + (xy 124.968 132.334) + (xy 124.968 131.953) + (xy 126.492 131.953) + (xy 126.492 132.334) + (xy 126.873 132.334) + (xy 126.873 131.953) + (xy 127.127 131.953) + (xy 127.127 132.334) + (xy 127.508 132.334) + (xy 127.508 131.953) + (xy 129.032 131.953) + (xy 129.032 132.334) + (xy 129.413 132.334) + (xy 129.413 131.953) + (xy 129.667 131.953) + (xy 129.667 132.334) + (xy 130.048 132.334) + (xy 130.048 131.953) + (xy 131.572 131.953) + (xy 131.572 132.334) + (xy 131.953 132.334) + (xy 131.953 131.953) + (xy 132.207 131.953) + (xy 132.207 132.334) + (xy 132.588 132.334) + (xy 132.588 131.953) + (xy 134.112 131.953) + (xy 134.112 132.334) + (xy 134.493 132.334) + (xy 134.493 131.953) + (xy 134.747 131.953) + (xy 134.747 132.334) + (xy 135.128 132.334) + (xy 135.128 131.953) + (xy 134.747 131.953) + (xy 134.493 131.953) + (xy 134.112 131.953) + (xy 132.588 131.953) + (xy 132.207 131.953) + (xy 131.953 131.953) + (xy 131.572 131.953) + (xy 130.048 131.953) + (xy 129.667 131.953) + (xy 129.413 131.953) + (xy 129.032 131.953) + (xy 127.508 131.953) + (xy 127.127 131.953) + (xy 126.873 131.953) + (xy 126.492 131.953) + (xy 124.968 131.953) + (xy 124.587 131.953) + (xy 124.333 131.953) + (xy 123.952 131.953) + (xy 122.428 131.953) + (xy 122.047 131.953) + (xy 121.793 131.953) + (xy 121.412 131.953) + (xy 114.808 131.953) + (xy 114.427 131.953) + (xy 114.173 131.953) + (xy 113.792 131.953) + (xy 112.268 131.953) + (xy 111.887 131.953) + (xy 111.633 131.953) + (xy 111.252 131.953) + (xy 109.728 131.953) + (xy 109.347 131.953) + (xy 109.093 131.953) + (xy 108.712 131.953) + (xy 107.188 131.953) + (xy 106.807 131.953) + (xy 106.553 131.953) + (xy 106.172 131.953) + (xy 104.648 131.953) + (xy 104.267 131.953) + (xy 104.013 131.953) + (xy 103.632 131.953) + (xy 102.108 131.953) + (xy 101.727 131.953) + (xy 101.473 131.953) + (xy 101.092 131.953) + (xy 99.568 131.953) + (xy 99.187 131.953) + (xy 98.933 131.953) + (xy 98.552 131.953) + (xy 97.028 131.953) + (xy 96.647 131.953) + (xy 96.393 131.953) + (xy 96.012 131.953) + (xy 94.488 131.953) + (xy 94.107 131.953) + (xy 93.853 131.953) + (xy 93.472 131.953) + (xy 91.948 131.953) + (xy 91.567 131.953) + (xy 91.313 131.953) + (xy 90.932 131.953) + (xy 89.408 131.953) + (xy 89.027 131.953) + (xy 88.773 131.953) + (xy 88.392 131.953) + (xy 86.868 131.953) + (xy 86.487 131.953) + (xy 86.233 131.953) + (xy 85.852 131.953) + (xy 84.328 131.953) + (xy 83.947 131.953) + (xy 83.693 131.953) + (xy 83.312 131.953) + (xy 81.788 131.953) + (xy 81.407 131.953) + (xy 81.153 131.953) + (xy 80.772 131.953) + (xy 79.248 131.953) + (xy 78.867 131.953) + (xy 78.613 131.953) + (xy 78.232 131.953) + (xy 74.422 131.953) + (xy 74.422 131.572) + (xy 72.200481 131.572) + (xy 72.152143 131.554407) + (xy 72.126423 131.509858) + (xy 72.133478 131.462659) + (xy 72.142956 131.444057) + (xy 72.142956 131.444056) + (xy 72.142957 131.444055) + (xy 72.162922 131.318) + (xy 77.062078 131.318) + (xy 77.082043 131.444056) + (xy 77.097744 131.474871) + (xy 77.139984 131.557771) + (xy 77.230229 131.648016) + (xy 77.343943 131.705956) + (xy 77.343945 131.705957) + (xy 77.47 131.725922) + (xy 77.596055 131.705957) + (xy 77.709771 131.648016) + (xy 77.800016 131.557771) + (xy 77.857957 131.444055) + (xy 77.877922 131.318) + (xy 79.602078 131.318) + (xy 79.622043 131.444056) + (xy 79.637744 131.474871) + (xy 79.679984 131.557771) + (xy 79.770229 131.648016) + (xy 79.883943 131.705956) + (xy 79.883945 131.705957) + (xy 80.01 131.725922) + (xy 80.136055 131.705957) + (xy 80.249771 131.648016) + (xy 80.340016 131.557771) + (xy 80.397957 131.444055) + (xy 80.417922 131.318) + (xy 82.142078 131.318) + (xy 82.162043 131.444056) + (xy 82.177744 131.474871) + (xy 82.219984 131.557771) + (xy 82.310229 131.648016) + (xy 82.423943 131.705956) + (xy 82.423945 131.705957) + (xy 82.55 131.725922) + (xy 82.676055 131.705957) + (xy 82.789771 131.648016) + (xy 82.880016 131.557771) + (xy 82.937957 131.444055) + (xy 82.957922 131.318) + (xy 84.682078 131.318) + (xy 84.702043 131.444056) + (xy 84.717744 131.474871) + (xy 84.759984 131.557771) + (xy 84.850229 131.648016) + (xy 84.963943 131.705956) + (xy 84.963945 131.705957) + (xy 85.09 131.725922) + (xy 85.216055 131.705957) + (xy 85.329771 131.648016) + (xy 85.420016 131.557771) + (xy 85.477957 131.444055) + (xy 85.497922 131.318) + (xy 87.222078 131.318) + (xy 87.242043 131.444056) + (xy 87.257744 131.474871) + (xy 87.299984 131.557771) + (xy 87.390229 131.648016) + (xy 87.503943 131.705956) + (xy 87.503945 131.705957) + (xy 87.63 131.725922) + (xy 87.756055 131.705957) + (xy 87.869771 131.648016) + (xy 87.960016 131.557771) + (xy 88.017957 131.444055) + (xy 88.037922 131.318) + (xy 89.762078 131.318) + (xy 89.782043 131.444056) + (xy 89.797744 131.474871) + (xy 89.839984 131.557771) + (xy 89.930229 131.648016) + (xy 90.043943 131.705956) + (xy 90.043945 131.705957) + (xy 90.17 131.725922) + (xy 90.296055 131.705957) + (xy 90.409771 131.648016) + (xy 90.500016 131.557771) + (xy 90.557957 131.444055) + (xy 90.577922 131.318) + (xy 92.302078 131.318) + (xy 92.322043 131.444056) + (xy 92.337744 131.474871) + (xy 92.379984 131.557771) + (xy 92.470229 131.648016) + (xy 92.583943 131.705956) + (xy 92.583945 131.705957) + (xy 92.71 131.725922) + (xy 92.836055 131.705957) + (xy 92.949771 131.648016) + (xy 93.040016 131.557771) + (xy 93.097957 131.444055) + (xy 93.117922 131.318) + (xy 94.842078 131.318) + (xy 94.862043 131.444056) + (xy 94.877744 131.474871) + (xy 94.919984 131.557771) + (xy 95.010229 131.648016) + (xy 95.123943 131.705956) + (xy 95.123945 131.705957) + (xy 95.25 131.725922) + (xy 95.376055 131.705957) + (xy 95.489771 131.648016) + (xy 95.580016 131.557771) + (xy 95.637957 131.444055) + (xy 95.657922 131.318) + (xy 97.382078 131.318) + (xy 97.402043 131.444056) + (xy 97.417744 131.474871) + (xy 97.459984 131.557771) + (xy 97.550229 131.648016) + (xy 97.663943 131.705956) + (xy 97.663945 131.705957) + (xy 97.79 131.725922) + (xy 97.916055 131.705957) + (xy 98.029771 131.648016) + (xy 98.120016 131.557771) + (xy 98.177957 131.444055) + (xy 98.197922 131.318) + (xy 99.922078 131.318) + (xy 99.942043 131.444056) + (xy 99.957744 131.474871) + (xy 99.999984 131.557771) + (xy 100.090229 131.648016) + (xy 100.203943 131.705956) + (xy 100.203945 131.705957) + (xy 100.33 131.725922) + (xy 100.456055 131.705957) + (xy 100.569771 131.648016) + (xy 100.660016 131.557771) + (xy 100.717957 131.444055) + (xy 100.737922 131.318) + (xy 102.462078 131.318) + (xy 102.482043 131.444056) + (xy 102.497744 131.474871) + (xy 102.539984 131.557771) + (xy 102.630229 131.648016) + (xy 102.743943 131.705956) + (xy 102.743945 131.705957) + (xy 102.87 131.725922) + (xy 102.996055 131.705957) + (xy 103.109771 131.648016) + (xy 103.200016 131.557771) + (xy 103.257957 131.444055) + (xy 103.277922 131.318) + (xy 105.002078 131.318) + (xy 105.022043 131.444056) + (xy 105.037744 131.474871) + (xy 105.079984 131.557771) + (xy 105.170229 131.648016) + (xy 105.283943 131.705956) + (xy 105.283945 131.705957) + (xy 105.41 131.725922) + (xy 105.536055 131.705957) + (xy 105.649771 131.648016) + (xy 105.740016 131.557771) + (xy 105.797957 131.444055) + (xy 105.817922 131.318) + (xy 107.542078 131.318) + (xy 107.562043 131.444056) + (xy 107.577744 131.474871) + (xy 107.619984 131.557771) + (xy 107.710229 131.648016) + (xy 107.823943 131.705956) + (xy 107.823945 131.705957) + (xy 107.95 131.725922) + (xy 108.076055 131.705957) + (xy 108.189771 131.648016) + (xy 108.280016 131.557771) + (xy 108.337957 131.444055) + (xy 108.357922 131.318) + (xy 110.082078 131.318) + (xy 110.102043 131.444056) + (xy 110.117744 131.474871) + (xy 110.159984 131.557771) + (xy 110.250229 131.648016) + (xy 110.363943 131.705956) + (xy 110.363945 131.705957) + (xy 110.49 131.725922) + (xy 110.616055 131.705957) + (xy 110.729771 131.648016) + (xy 110.820016 131.557771) + (xy 110.877957 131.444055) + (xy 110.897922 131.318) + (xy 112.622078 131.318) + (xy 112.642043 131.444056) + (xy 112.657744 131.474871) + (xy 112.699984 131.557771) + (xy 112.790229 131.648016) + (xy 112.903943 131.705956) + (xy 112.903945 131.705957) + (xy 113.03 131.725922) + (xy 113.156055 131.705957) + (xy 113.269771 131.648016) + (xy 113.360016 131.557771) + (xy 113.417957 131.444055) + (xy 113.437922 131.318) + (xy 115.162078 131.318) + (xy 115.182043 131.444056) + (xy 115.197744 131.474871) + (xy 115.239984 131.557771) + (xy 115.330229 131.648016) + (xy 115.443943 131.705956) + (xy 115.443945 131.705957) + (xy 115.57 131.725922) + (xy 115.696055 131.705957) + (xy 115.809771 131.648016) + (xy 115.900016 131.557771) + (xy 115.957957 131.444055) + (xy 115.977922 131.318) + (xy 117.702078 131.318) + (xy 117.722043 131.444056) + (xy 117.737744 131.474871) + (xy 117.779984 131.557771) + (xy 117.870229 131.648016) + (xy 117.983943 131.705956) + (xy 117.983945 131.705957) + (xy 118.11 131.725922) + (xy 118.236055 131.705957) + (xy 118.349771 131.648016) + (xy 118.440016 131.557771) + (xy 118.497957 131.444055) + (xy 118.517922 131.318) + (xy 120.242078 131.318) + (xy 120.262043 131.444056) + (xy 120.277744 131.474871) + (xy 120.319984 131.557771) + (xy 120.410229 131.648016) + (xy 120.523943 131.705956) + (xy 120.523945 131.705957) + (xy 120.65 131.725922) + (xy 120.776055 131.705957) + (xy 120.889771 131.648016) + (xy 120.980016 131.557771) + (xy 121.037957 131.444055) + (xy 121.057922 131.318) + (xy 122.782078 131.318) + (xy 122.802043 131.444056) + (xy 122.817744 131.474871) + (xy 122.859984 131.557771) + (xy 122.950229 131.648016) + (xy 123.063943 131.705956) + (xy 123.063945 131.705957) + (xy 123.19 131.725922) + (xy 123.316055 131.705957) + (xy 123.429771 131.648016) + (xy 123.520016 131.557771) + (xy 123.577957 131.444055) + (xy 123.597922 131.318) + (xy 125.322078 131.318) + (xy 125.342043 131.444056) + (xy 125.357744 131.474871) + (xy 125.399984 131.557771) + (xy 125.490229 131.648016) + (xy 125.603943 131.705956) + (xy 125.603945 131.705957) + (xy 125.73 131.725922) + (xy 125.856055 131.705957) + (xy 125.969771 131.648016) + (xy 126.060016 131.557771) + (xy 126.117957 131.444055) + (xy 126.137922 131.318) + (xy 127.862078 131.318) + (xy 127.882043 131.444056) + (xy 127.897744 131.474871) + (xy 127.939984 131.557771) + (xy 128.030229 131.648016) + (xy 128.143943 131.705956) + (xy 128.143945 131.705957) + (xy 128.27 131.725922) + (xy 128.396055 131.705957) + (xy 128.509771 131.648016) + (xy 128.600016 131.557771) + (xy 128.657957 131.444055) + (xy 128.677922 131.318) + (xy 130.402078 131.318) + (xy 130.422043 131.444056) + (xy 130.437744 131.474871) + (xy 130.479984 131.557771) + (xy 130.570229 131.648016) + (xy 130.683943 131.705956) + (xy 130.683945 131.705957) + (xy 130.81 131.725922) + (xy 130.936055 131.705957) + (xy 131.049771 131.648016) + (xy 131.140016 131.557771) + (xy 131.197957 131.444055) + (xy 131.217922 131.318) + (xy 131.217772 131.317056) + (xy 131.206831 131.247973) + (xy 131.197957 131.191945) + (xy 131.197476 131.191) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.748806 131.42846) + (xy 131.749984 131.430771) + (xy 131.840229 131.521016) + (xy 131.953943 131.578956) + (xy 131.953945 131.578957) + (xy 132.08 131.598922) + (xy 132.206055 131.578957) + (xy 132.319771 131.521016) + (xy 132.410016 131.430771) + (xy 132.467476 131.318) + (xy 132.942078 131.318) + (xy 132.962043 131.444056) + (xy 132.977744 131.474871) + (xy 133.019984 131.557771) + (xy 133.110229 131.648016) + (xy 133.223943 131.705956) + (xy 133.223945 131.705957) + (xy 133.35 131.725922) + (xy 133.476055 131.705957) + (xy 133.589771 131.648016) + (xy 133.680016 131.557771) + (xy 133.737957 131.444055) + (xy 133.757922 131.318) + (xy 133.757772 131.317056) + (xy 133.746831 131.247973) + (xy 133.737957 131.191945) + (xy 133.737476 131.191) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.288806 131.42846) + (xy 134.289984 131.430771) + (xy 134.380229 131.521016) + (xy 134.493943 131.578956) + (xy 134.493945 131.578957) + (xy 134.62 131.598922) + (xy 134.746055 131.578957) + (xy 134.859771 131.521016) + (xy 134.950016 131.430771) + (xy 135.007476 131.318) + (xy 135.482078 131.318) + (xy 135.502043 131.444056) + (xy 135.517744 131.474871) + (xy 135.559984 131.557771) + (xy 135.650229 131.648016) + (xy 135.763943 131.705956) + (xy 135.763945 131.705957) + (xy 135.89 131.725922) + (xy 136.016055 131.705957) + (xy 136.129771 131.648016) + (xy 136.220016 131.557771) + (xy 136.277957 131.444055) + (xy 136.297922 131.318) + (xy 138.022078 131.318) + (xy 138.042043 131.444056) + (xy 138.057744 131.474871) + (xy 138.099984 131.557771) + (xy 138.190229 131.648016) + (xy 138.303943 131.705956) + (xy 138.303945 131.705957) + (xy 138.43 131.725922) + (xy 138.556055 131.705957) + (xy 138.669771 131.648016) + (xy 138.760016 131.557771) + (xy 138.817957 131.444055) + (xy 138.837922 131.318) + (xy 138.837772 131.317056) + (xy 138.826831 131.247973) + (xy 138.817957 131.191945) + (xy 138.760016 131.078229) + (xy 138.669771 130.987984) + (xy 138.556055 130.930043) + (xy 138.556057 130.930043) + (xy 138.43 130.910078) + (xy 138.303943 130.930043) + (xy 138.190228 130.987984) + (xy 138.099984 131.078228) + (xy 138.042043 131.191943) + (xy 138.022078 131.317999) + (xy 138.022078 131.318) + (xy 136.297922 131.318) + (xy 136.297772 131.317056) + (xy 136.286831 131.247973) + (xy 136.277957 131.191945) + (xy 136.220016 131.078229) + (xy 136.129771 130.987984) + (xy 136.016055 130.930043) + (xy 136.016057 130.930043) + (xy 135.89 130.910078) + (xy 135.763943 130.930043) + (xy 135.650228 130.987984) + (xy 135.559984 131.078228) + (xy 135.502043 131.191943) + (xy 135.482078 131.317999) + (xy 135.482078 131.318) + (xy 135.007476 131.318) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 133.737476 131.191) + (xy 133.680016 131.078229) + (xy 133.589771 130.987984) + (xy 133.476055 130.930043) + (xy 133.476057 130.930043) + (xy 133.35 130.910078) + (xy 133.223943 130.930043) + (xy 133.110228 130.987984) + (xy 133.019984 131.078228) + (xy 132.962043 131.191943) + (xy 132.942078 131.317999) + (xy 132.942078 131.318) + (xy 132.467476 131.318) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 131.197476 131.191) + (xy 131.140016 131.078229) + (xy 131.049771 130.987984) + (xy 130.936055 130.930043) + (xy 130.936057 130.930043) + (xy 130.81 130.910078) + (xy 130.683943 130.930043) + (xy 130.570228 130.987984) + (xy 130.479984 131.078228) + (xy 130.422043 131.191943) + (xy 130.402078 131.317999) + (xy 130.402078 131.318) + (xy 128.677922 131.318) + (xy 128.677772 131.317056) + (xy 128.666831 131.247973) + (xy 128.657957 131.191945) + (xy 128.600016 131.078229) + (xy 128.509771 130.987984) + (xy 128.396055 130.930043) + (xy 128.396057 130.930043) + (xy 128.27 130.910078) + (xy 128.143943 130.930043) + (xy 128.030228 130.987984) + (xy 127.939984 131.078228) + (xy 127.882043 131.191943) + (xy 127.862078 131.317999) + (xy 127.862078 131.318) + (xy 126.137922 131.318) + (xy 126.137772 131.317056) + (xy 126.126831 131.247973) + (xy 126.117957 131.191945) + (xy 126.060016 131.078229) + (xy 125.969771 130.987984) + (xy 125.856055 130.930043) + (xy 125.856057 130.930043) + (xy 125.73 130.910078) + (xy 125.603943 130.930043) + (xy 125.490228 130.987984) + (xy 125.399984 131.078228) + (xy 125.342043 131.191943) + (xy 125.322078 131.317999) + (xy 125.322078 131.318) + (xy 123.597922 131.318) + (xy 123.597772 131.317056) + (xy 123.586831 131.247973) + (xy 123.577957 131.191945) + (xy 123.520016 131.078229) + (xy 123.429771 130.987984) + (xy 123.316055 130.930043) + (xy 123.316057 130.930043) + (xy 123.19 130.910078) + (xy 123.063943 130.930043) + (xy 122.950228 130.987984) + (xy 122.859984 131.078228) + (xy 122.802043 131.191943) + (xy 122.782078 131.317999) + (xy 122.782078 131.318) + (xy 121.057922 131.318) + (xy 121.057772 131.317056) + (xy 121.046831 131.247973) + (xy 121.037957 131.191945) + (xy 120.980016 131.078229) + (xy 120.889771 130.987984) + (xy 120.776055 130.930043) + (xy 120.776057 130.930043) + (xy 120.65 130.910078) + (xy 120.523943 130.930043) + (xy 120.410228 130.987984) + (xy 120.319984 131.078228) + (xy 120.262043 131.191943) + (xy 120.242078 131.317999) + (xy 120.242078 131.318) + (xy 118.517922 131.318) + (xy 118.517772 131.317056) + (xy 118.506831 131.247973) + (xy 118.497957 131.191945) + (xy 118.440016 131.078229) + (xy 118.349771 130.987984) + (xy 118.236055 130.930043) + (xy 118.236057 130.930043) + (xy 118.11 130.910078) + (xy 117.983943 130.930043) + (xy 117.870228 130.987984) + (xy 117.779984 131.078228) + (xy 117.722043 131.191943) + (xy 117.702078 131.317999) + (xy 117.702078 131.318) + (xy 115.977922 131.318) + (xy 115.977772 131.317056) + (xy 115.966831 131.247973) + (xy 115.957957 131.191945) + (xy 115.900016 131.078229) + (xy 115.809771 130.987984) + (xy 115.696055 130.930043) + (xy 115.696057 130.930043) + (xy 115.57 130.910078) + (xy 115.443943 130.930043) + (xy 115.330228 130.987984) + (xy 115.239984 131.078228) + (xy 115.182043 131.191943) + (xy 115.162078 131.317999) + (xy 115.162078 131.318) + (xy 113.437922 131.318) + (xy 113.437772 131.317056) + (xy 113.426831 131.247973) + (xy 113.417957 131.191945) + (xy 113.360016 131.078229) + (xy 113.269771 130.987984) + (xy 113.156055 130.930043) + (xy 113.156057 130.930043) + (xy 113.03 130.910078) + (xy 112.903943 130.930043) + (xy 112.790228 130.987984) + (xy 112.699984 131.078228) + (xy 112.642043 131.191943) + (xy 112.622078 131.317999) + (xy 112.622078 131.318) + (xy 110.897922 131.318) + (xy 110.897772 131.317056) + (xy 110.886831 131.247973) + (xy 110.877957 131.191945) + (xy 110.820016 131.078229) + (xy 110.729771 130.987984) + (xy 110.616055 130.930043) + (xy 110.616057 130.930043) + (xy 110.49 130.910078) + (xy 110.363943 130.930043) + (xy 110.250228 130.987984) + (xy 110.159984 131.078228) + (xy 110.102043 131.191943) + (xy 110.082078 131.317999) + (xy 110.082078 131.318) + (xy 108.357922 131.318) + (xy 108.357772 131.317056) + (xy 108.346831 131.247973) + (xy 108.337957 131.191945) + (xy 108.280016 131.078229) + (xy 108.189771 130.987984) + (xy 108.076055 130.930043) + (xy 108.076057 130.930043) + (xy 107.95 130.910078) + (xy 107.823943 130.930043) + (xy 107.710228 130.987984) + (xy 107.619984 131.078228) + (xy 107.562043 131.191943) + (xy 107.542078 131.317999) + (xy 107.542078 131.318) + (xy 105.817922 131.318) + (xy 105.817772 131.317056) + (xy 105.806831 131.247973) + (xy 105.797957 131.191945) + (xy 105.740016 131.078229) + (xy 105.649771 130.987984) + (xy 105.536055 130.930043) + (xy 105.536057 130.930043) + (xy 105.41 130.910078) + (xy 105.283943 130.930043) + (xy 105.170228 130.987984) + (xy 105.079984 131.078228) + (xy 105.022043 131.191943) + (xy 105.002078 131.317999) + (xy 105.002078 131.318) + (xy 103.277922 131.318) + (xy 103.277772 131.317056) + (xy 103.266831 131.247973) + (xy 103.257957 131.191945) + (xy 103.200016 131.078229) + (xy 103.109771 130.987984) + (xy 102.996055 130.930043) + (xy 102.996057 130.930043) + (xy 102.87 130.910078) + (xy 102.743943 130.930043) + (xy 102.630228 130.987984) + (xy 102.539984 131.078228) + (xy 102.482043 131.191943) + (xy 102.462078 131.317999) + (xy 102.462078 131.318) + (xy 100.737922 131.318) + (xy 100.737772 131.317056) + (xy 100.726831 131.247973) + (xy 100.717957 131.191945) + (xy 100.660016 131.078229) + (xy 100.569771 130.987984) + (xy 100.456055 130.930043) + (xy 100.456057 130.930043) + (xy 100.33 130.910078) + (xy 100.203943 130.930043) + (xy 100.090228 130.987984) + (xy 99.999984 131.078228) + (xy 99.942043 131.191943) + (xy 99.922078 131.317999) + (xy 99.922078 131.318) + (xy 98.197922 131.318) + (xy 98.197772 131.317056) + (xy 98.186831 131.247973) + (xy 98.177957 131.191945) + (xy 98.120016 131.078229) + (xy 98.029771 130.987984) + (xy 97.916055 130.930043) + (xy 97.916057 130.930043) + (xy 97.79 130.910078) + (xy 97.663943 130.930043) + (xy 97.550228 130.987984) + (xy 97.459984 131.078228) + (xy 97.402043 131.191943) + (xy 97.382078 131.317999) + (xy 97.382078 131.318) + (xy 95.657922 131.318) + (xy 95.657772 131.317056) + (xy 95.646831 131.247973) + (xy 95.637957 131.191945) + (xy 95.580016 131.078229) + (xy 95.489771 130.987984) + (xy 95.376055 130.930043) + (xy 95.376057 130.930043) + (xy 95.25 130.910078) + (xy 95.123943 130.930043) + (xy 95.010228 130.987984) + (xy 94.919984 131.078228) + (xy 94.862043 131.191943) + (xy 94.842078 131.317999) + (xy 94.842078 131.318) + (xy 93.117922 131.318) + (xy 93.117772 131.317056) + (xy 93.106831 131.247973) + (xy 93.097957 131.191945) + (xy 93.040016 131.078229) + (xy 92.949771 130.987984) + (xy 92.836055 130.930043) + (xy 92.836057 130.930043) + (xy 92.71 130.910078) + (xy 92.583943 130.930043) + (xy 92.470228 130.987984) + (xy 92.379984 131.078228) + (xy 92.322043 131.191943) + (xy 92.302078 131.317999) + (xy 92.302078 131.318) + (xy 90.577922 131.318) + (xy 90.577772 131.317056) + (xy 90.566831 131.247973) + (xy 90.557957 131.191945) + (xy 90.500016 131.078229) + (xy 90.409771 130.987984) + (xy 90.296055 130.930043) + (xy 90.296057 130.930043) + (xy 90.17 130.910078) + (xy 90.043943 130.930043) + (xy 89.930228 130.987984) + (xy 89.839984 131.078228) + (xy 89.782043 131.191943) + (xy 89.762078 131.317999) + (xy 89.762078 131.318) + (xy 88.037922 131.318) + (xy 88.037772 131.317056) + (xy 88.026831 131.247973) + (xy 88.017957 131.191945) + (xy 87.960016 131.078229) + (xy 87.869771 130.987984) + (xy 87.756055 130.930043) + (xy 87.756057 130.930043) + (xy 87.63 130.910078) + (xy 87.503943 130.930043) + (xy 87.390228 130.987984) + (xy 87.299984 131.078228) + (xy 87.242043 131.191943) + (xy 87.222078 131.317999) + (xy 87.222078 131.318) + (xy 85.497922 131.318) + (xy 85.497772 131.317056) + (xy 85.486831 131.247973) + (xy 85.477957 131.191945) + (xy 85.420016 131.078229) + (xy 85.329771 130.987984) + (xy 85.216055 130.930043) + (xy 85.216057 130.930043) + (xy 85.09 130.910078) + (xy 84.963943 130.930043) + (xy 84.850228 130.987984) + (xy 84.759984 131.078228) + (xy 84.702043 131.191943) + (xy 84.682078 131.317999) + (xy 84.682078 131.318) + (xy 82.957922 131.318) + (xy 82.957772 131.317056) + (xy 82.946831 131.247973) + (xy 82.937957 131.191945) + (xy 82.880016 131.078229) + (xy 82.789771 130.987984) + (xy 82.676055 130.930043) + (xy 82.676057 130.930043) + (xy 82.55 130.910078) + (xy 82.423943 130.930043) + (xy 82.310228 130.987984) + (xy 82.219984 131.078228) + (xy 82.162043 131.191943) + (xy 82.142078 131.317999) + (xy 82.142078 131.318) + (xy 80.417922 131.318) + (xy 80.417772 131.317056) + (xy 80.406831 131.247973) + (xy 80.397957 131.191945) + (xy 80.340016 131.078229) + (xy 80.249771 130.987984) + (xy 80.136055 130.930043) + (xy 80.136057 130.930043) + (xy 80.01 130.910078) + (xy 79.883943 130.930043) + (xy 79.770228 130.987984) + (xy 79.679984 131.078228) + (xy 79.622043 131.191943) + (xy 79.602078 131.317999) + (xy 79.602078 131.318) + (xy 77.877922 131.318) + (xy 77.877772 131.317056) + (xy 77.866831 131.247973) + (xy 77.857957 131.191945) + (xy 77.800016 131.078229) + (xy 77.709771 130.987984) + (xy 77.596055 130.930043) + (xy 77.596057 130.930043) + (xy 77.47 130.910078) + (xy 77.343943 130.930043) + (xy 77.230228 130.987984) + (xy 77.139984 131.078228) + (xy 77.082043 131.191943) + (xy 77.062078 131.317999) + (xy 77.062078 131.318) + (xy 72.162922 131.318) + (xy 72.162772 131.317056) + (xy 72.151831 131.247973) + (xy 72.142957 131.191945) + (xy 72.085016 131.078229) + (xy 71.994771 130.987984) + (xy 71.881055 130.930043) + (xy 71.881057 130.930043) + (xy 71.755 130.910078) + (xy 71.628943 130.930043) + (xy 71.515228 130.987984) + (xy 71.424984 131.078228) + (xy 71.367043 131.191943) + (xy 71.347078 131.317999) + (xy 71.347078 131.318) + (xy 71.367043 131.444057) + (xy 71.376522 131.462659) + (xy 71.382792 131.513715) + (xy 71.354777 131.556857) + (xy 71.309519 131.572) + (xy 67.120481 131.572) + (xy 67.072143 131.554407) + (xy 67.046423 131.509858) + (xy 67.053478 131.462659) + (xy 67.062956 131.444057) + (xy 67.062956 131.444056) + (xy 67.062957 131.444055) + (xy 67.082922 131.318) + (xy 67.082772 131.317056) + (xy 67.071831 131.247973) + (xy 67.062957 131.191945) + (xy 67.005016 131.078229) + (xy 66.914771 130.987984) + (xy 66.801055 130.930043) + (xy 66.801057 130.930043) + (xy 66.675 130.910078) + (xy 66.548943 130.930043) + (xy 66.435228 130.987984) + (xy 66.344984 131.078228) + (xy 66.287043 131.191943) + (xy 66.267078 131.317999) + (xy 66.267078 131.318) + (xy 66.287043 131.444057) + (xy 66.296522 131.462659) + (xy 66.302792 131.513715) + (xy 66.274777 131.556857) + (xy 66.229519 131.572) + (xy 62.040481 131.572) + (xy 61.992143 131.554407) + (xy 61.966423 131.509858) + (xy 61.973478 131.462659) + (xy 61.982956 131.444057) + (xy 61.982956 131.444056) + (xy 61.982957 131.444055) + (xy 62.002922 131.318) + (xy 62.002772 131.317056) + (xy 61.991831 131.247973) + (xy 61.982957 131.191945) + (xy 61.925016 131.078229) + (xy 61.834771 130.987984) + (xy 61.721055 130.930043) + (xy 61.721057 130.930043) + (xy 61.595 130.910078) + (xy 61.468943 130.930043) + (xy 61.355228 130.987984) + (xy 61.264984 131.078228) + (xy 61.207043 131.191943) + (xy 61.187078 131.317999) + (xy 61.187078 131.318) + (xy 61.207043 131.444057) + (xy 61.216522 131.462659) + (xy 61.222792 131.513715) + (xy 61.194777 131.556857) + (xy 61.149519 131.572) + (xy 56.960481 131.572) + (xy 56.912143 131.554407) + (xy 56.886423 131.509858) + (xy 56.893478 131.462659) + (xy 56.902956 131.444057) + (xy 56.902956 131.444056) + (xy 56.902957 131.444055) + (xy 56.922922 131.318) + (xy 56.922772 131.317056) + (xy 56.911831 131.247973) + (xy 56.902957 131.191945) + (xy 56.845016 131.078229) + (xy 56.754771 130.987984) + (xy 56.641055 130.930043) + (xy 56.641057 130.930043) + (xy 56.515 130.910078) + (xy 56.388943 130.930043) + (xy 56.275228 130.987984) + (xy 56.184984 131.078228) + (xy 56.127043 131.191943) + (xy 56.107078 131.317999) + (xy 56.107078 131.318) + (xy 56.127043 131.444057) + (xy 56.136522 131.462659) + (xy 56.142792 131.513715) + (xy 56.114777 131.556857) + (xy 56.069519 131.572) + (xy 51.880481 131.572) + (xy 51.832143 131.554407) + (xy 51.806423 131.509858) + (xy 51.813478 131.462659) + (xy 51.822956 131.444057) + (xy 51.822956 131.444056) + (xy 51.822957 131.444055) + (xy 51.842922 131.318) + (xy 51.842772 131.317056) + (xy 51.831831 131.247973) + (xy 51.822957 131.191945) + (xy 51.765016 131.078229) + (xy 51.674771 130.987984) + (xy 51.561055 130.930043) + (xy 51.561057 130.930043) + (xy 51.435 130.910078) + (xy 51.308943 130.930043) + (xy 51.195228 130.987984) + (xy 51.104984 131.078228) + (xy 51.047043 131.191943) + (xy 51.027078 131.317999) + (xy 51.027078 131.318) + (xy 51.047043 131.444057) + (xy 51.056522 131.462659) + (xy 51.062792 131.513715) + (xy 51.034777 131.556857) + (xy 50.989519 131.572) + (xy 48.578481 131.572) + (xy 48.530143 131.554407) + (xy 48.504423 131.509858) + (xy 48.511478 131.462659) + (xy 48.520956 131.444057) + (xy 48.520956 131.444056) + (xy 48.520957 131.444055) + (xy 48.540922 131.318) + (xy 48.540772 131.317056) + (xy 48.529831 131.247973) + (xy 48.520957 131.191945) + (xy 48.463016 131.078229) + (xy 48.372771 130.987984) + (xy 48.259055 130.930043) + (xy 48.259057 130.930043) + (xy 48.133 130.910078) + (xy 48.006943 130.930043) + (xy 47.893228 130.987984) + (xy 47.802984 131.078228) + (xy 47.745043 131.191943) + (xy 47.725078 131.317999) + (xy 47.725078 131.318) + (xy 47.736381 131.389367) + (xy 47.726566 131.439862) + (xy 47.686589 131.472234) + (xy 47.647359 131.474871) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.307864 130.334727) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.214584 130.107922) + (xy 46.198127 130.025637) + (xy 46.205899 129.974791) + (xy 46.244538 129.940834) + (xy 46.283629 129.936618) + (xy 46.355 129.947922) + (xy 46.481055 129.927957) + (xy 46.594771 129.870016) + (xy 46.685016 129.779771) + (xy 46.742957 129.666055) + (xy 46.762922 129.54) + (xy 73.991329 129.54) + (xy 74.010331 129.684336) + (xy 74.066043 129.818836) + (xy 74.066044 129.818838) + (xy 74.066045 129.818839) + (xy 74.154666 129.934333) + (xy 74.27016 130.022954) + (xy 74.270164 130.022957) + (xy 74.404664 130.078669) + (xy 74.549 130.097671) + (xy 74.693336 130.078669) + (xy 74.827836 130.022957) + (xy 74.943333 129.934333) + (xy 75.031957 129.818836) + (xy 75.087669 129.684336) + (xy 75.106671 129.54) + (xy 75.642329 129.54) + (xy 75.661331 129.684336) + (xy 75.717043 129.818836) + (xy 75.717044 129.818838) + (xy 75.717045 129.818839) + (xy 75.805666 129.934333) + (xy 75.92116 130.022954) + (xy 75.921164 130.022957) + (xy 76.055664 130.078669) + (xy 76.2 130.097671) + (xy 76.344336 130.078669) + (xy 76.478836 130.022957) + (xy 76.594333 129.934333) + (xy 76.620678 129.9) + (xy 80.342078 129.9) + (xy 80.362043 130.026056) + (xy 80.398533 130.097671) + (xy 80.419984 130.139771) + (xy 80.510229 130.230016) + (xy 80.623943 130.287956) + (xy 80.623945 130.287957) + (xy 80.75 130.307922) + (xy 80.876055 130.287957) + (xy 80.989771 130.230016) + (xy 81.080016 130.139771) + (xy 81.137957 130.026055) + (xy 81.150003 129.95) + (xy 86.442078 129.95) + (xy 86.462043 130.076056) + (xy 86.47828 130.107922) + (xy 86.519984 130.189771) + (xy 86.610229 130.280016) + (xy 86.723943 130.337956) + (xy 86.723945 130.337957) + (xy 86.85 130.357922) + (xy 86.976055 130.337957) + (xy 87.089771 130.280016) + (xy 87.180016 130.189771) + (xy 87.237957 130.076055) + (xy 87.257922 129.95) + (xy 87.237957 129.823945) + (xy 87.180016 129.710229) + (xy 87.119787 129.65) + (xy 87.642078 129.65) + (xy 87.662043 129.776056) + (xy 87.699719 129.849999) + (xy 87.719984 129.889771) + (xy 87.810229 129.980016) + (xy 87.923943 130.037956) + (xy 87.923945 130.037957) + (xy 88.05 130.057922) + (xy 88.176055 130.037957) + (xy 88.289771 129.980016) + (xy 88.380016 129.889771) + (xy 88.40028 129.85) + (xy 88.992078 129.85) + (xy 89.012043 129.976056) + (xy 89.053756 130.057922) + (xy 89.069984 130.089771) + (xy 89.160229 130.180016) + (xy 89.273943 130.237956) + (xy 89.273945 130.237957) + (xy 89.4 130.257922) + (xy 89.526055 130.237957) + (xy 89.639771 130.180016) + (xy 89.730016 130.089771) + (xy 89.787957 129.976055) + (xy 89.807922 129.85) + (xy 91.542078 129.85) + (xy 91.562043 129.976056) + (xy 91.603756 130.057922) + (xy 91.619984 130.089771) + (xy 91.710229 130.180016) + (xy 91.823943 130.237956) + (xy 91.823945 130.237957) + (xy 91.95 130.257922) + (xy 92.076055 130.237957) + (xy 92.189771 130.180016) + (xy 92.280016 130.089771) + (xy 92.337957 129.976055) + (xy 92.350003 129.9) + (xy 94.092078 129.9) + (xy 94.112043 130.026056) + (xy 94.148533 130.097671) + (xy 94.169984 130.139771) + (xy 94.260229 130.230016) + (xy 94.373943 130.287956) + (xy 94.373945 130.287957) + (xy 94.5 130.307922) + (xy 94.626055 130.287957) + (xy 94.739771 130.230016) + (xy 94.830016 130.139771) + (xy 94.887957 130.026055) + (xy 94.907922 129.9) + (xy 94.887957 129.773945) + (xy 94.85028 129.7) + (xy 101.692078 129.7) + (xy 101.712043 129.826056) + (xy 101.734442 129.870016) + (xy 101.769984 129.939771) + (xy 101.860229 130.030016) + (xy 101.973943 130.087956) + (xy 101.973945 130.087957) + (xy 102.1 130.107922) + (xy 102.226055 130.087957) + (xy 102.339771 130.030016) + (xy 102.430016 129.939771) + (xy 102.487957 129.826055) + (xy 102.507922 129.7) + (xy 102.487957 129.573945) + (xy 102.470661 129.54) + (xy 114.885329 129.54) + (xy 114.904331 129.684336) + (xy 114.960043 129.818836) + (xy 114.960044 129.818838) + (xy 114.960045 129.818839) + (xy 115.048666 129.934333) + (xy 115.16416 130.022954) + (xy 115.164164 130.022957) + (xy 115.298664 130.078669) + (xy 115.443 130.097671) + (xy 115.587336 130.078669) + (xy 115.721836 130.022957) + (xy 115.837333 129.934333) + (xy 115.925957 129.818836) + (xy 115.981669 129.684336) + (xy 116.000671 129.54) + (xy 116.536329 129.54) + (xy 116.555331 129.684336) + (xy 116.611043 129.818836) + (xy 116.611044 129.818838) + (xy 116.611045 129.818839) + (xy 116.699666 129.934333) + (xy 116.81516 130.022954) + (xy 116.815164 130.022957) + (xy 116.949664 130.078669) + (xy 117.094 130.097671) + (xy 117.238336 130.078669) + (xy 117.372836 130.022957) + (xy 117.488333 129.934333) + (xy 117.576957 129.818836) + (xy 117.632669 129.684336) + (xy 117.651671 129.54) + (xy 118.568329 129.54) + (xy 118.587331 129.684336) + (xy 118.643043 129.818836) + (xy 118.643044 129.818838) + (xy 118.643045 129.818839) + (xy 118.731666 129.934333) + (xy 118.84716 130.022954) + (xy 118.847164 130.022957) + (xy 118.981664 130.078669) + (xy 119.126 130.097671) + (xy 119.270336 130.078669) + (xy 119.404836 130.022957) + (xy 119.520333 129.934333) + (xy 119.608957 129.818836) + (xy 119.664669 129.684336) + (xy 119.683671 129.54) + (xy 120.219329 129.54) + (xy 120.238331 129.684336) + (xy 120.294043 129.818836) + (xy 120.294044 129.818838) + (xy 120.294045 129.818839) + (xy 120.382666 129.934333) + (xy 120.49816 130.022954) + (xy 120.498164 130.022957) + (xy 120.632664 130.078669) + (xy 120.777 130.097671) + (xy 120.921336 130.078669) + (xy 121.055836 130.022957) + (xy 121.171333 129.934333) + (xy 121.259957 129.818836) + (xy 121.315669 129.684336) + (xy 121.334671 129.54) + (xy 134.951329 129.54) + (xy 134.970331 129.684336) + (xy 135.026043 129.818836) + (xy 135.026044 129.818838) + (xy 135.026045 129.818839) + (xy 135.114666 129.934333) + (xy 135.23016 130.022954) + (xy 135.230164 130.022957) + (xy 135.364664 130.078669) + (xy 135.509 130.097671) + (xy 135.653336 130.078669) + (xy 135.787836 130.022957) + (xy 135.903333 129.934333) + (xy 135.991957 129.818836) + (xy 136.002244 129.794) + (xy 136.24006 129.794) + (xy 136.260162 129.985262) + (xy 136.260162 129.985264) + (xy 136.260163 129.985266) + (xy 136.313532 130.14952) + (xy 136.319594 130.168175) + (xy 136.415752 130.334727) + (xy 136.544441 130.47765) + (xy 136.544446 130.477654) + (xy 136.700026 130.590689) + (xy 136.700029 130.59069) + (xy 136.70003 130.590691) + (xy 136.875723 130.668915) + (xy 137.06384 130.7089) + (xy 137.063842 130.7089) + (xy 137.256158 130.7089) + (xy 137.25616 130.7089) + (xy 137.444277 130.668915) + (xy 137.61997 130.590691) + (xy 137.639565 130.576455) + (xy 137.775553 130.477654) + (xy 137.775551 130.477654) + (xy 137.77556 130.477649) + (xy 137.904247 130.334727) + (xy 138.000407 130.168173) + (xy 138.059837 129.985266) + (xy 138.07994 129.794) + (xy 138.059837 129.602734) + (xy 138.039454 129.540002) + (xy 139.304161 129.540002) + (xy 139.323875 129.752752) + (xy 139.323876 129.75276) + (xy 139.382344 129.958251) + (xy 139.38235 129.958267) + (xy 139.477582 130.14952) + (xy 139.606343 130.320027) + (xy 139.606346 130.32003) + (xy 139.764243 130.463973) + (xy 139.945903 130.576453) + (xy 139.945905 130.576453) + (xy 139.945907 130.576455) + (xy 140.145142 130.653639) + (xy 140.355168 130.6929) + (xy 140.355172 130.6929) + (xy 140.568828 130.6929) + (xy 140.568832 130.6929) + (xy 140.778858 130.653639) + (xy 140.978093 130.576455) + (xy 141.159754 130.463975) + (xy 141.317653 130.320031) + (xy 141.446415 130.149524) + (xy 141.541653 129.95826) + (xy 141.588389 129.794) + (xy 141.600123 129.75276) + (xy 141.600123 129.752758) + (xy 141.600125 129.752752) + (xy 141.617061 129.569984) + (xy 141.619839 129.540002) + (xy 141.619839 129.539997) + (xy 141.615017 129.487957) + (xy 141.600125 129.327248) + (xy 141.600123 129.327242) + (xy 141.600123 129.327239) + (xy 141.548461 129.145667) + (xy 141.541653 129.12174) + (xy 141.496498 129.031056) + (xy 141.446417 128.930479) + (xy 141.317656 128.759972) + (xy 141.317653 128.759969) + (xy 141.159756 128.616026) + (xy 140.978096 128.503546) + (xy 140.778858 128.426361) + (xy 140.568832 128.3871) + (xy 140.355168 128.3871) + (xy 140.187147 128.418508) + (xy 140.145141 128.426361) + (xy 139.945904 128.503546) + (xy 139.945903 128.503546) + (xy 139.764243 128.616026) + (xy 139.606346 128.759969) + (xy 139.606343 128.759972) + (xy 139.477582 128.930479) + (xy 139.38235 129.121732) + (xy 139.382344 129.121748) + (xy 139.323876 129.327239) + (xy 139.323875 129.327247) + (xy 139.304161 129.539997) + (xy 139.304161 129.540002) + (xy 138.039454 129.540002) + (xy 138.000407 129.419827) + (xy 137.954187 129.339771) + (xy 137.904247 129.253272) + (xy 137.775558 129.110349) + (xy 137.775553 129.110345) + (xy 137.619973 128.99731) + (xy 137.444278 128.919085) + (xy 137.378007 128.904999) + (xy 137.25616 128.8791) + (xy 137.06384 128.8791) + (xy 136.969781 128.899092) + (xy 136.875721 128.919085) + (xy 136.700026 128.99731) + (xy 136.544446 129.110345) + (xy 136.544441 129.110349) + (xy 136.415752 129.253272) + (xy 136.319594 129.419824) + (xy 136.260162 129.602737) + (xy 136.24006 129.794) + (xy 136.002244 129.794) + (xy 136.047669 129.684336) + (xy 136.066671 129.54) + (xy 136.047669 129.395664) + (xy 135.991957 129.261165) + (xy 135.991955 129.261162) + (xy 135.903333 129.145666) + (xy 135.787839 129.057045) + (xy 135.787838 129.057044) + (xy 135.787836 129.057043) + (xy 135.697161 129.019484) + (xy 135.653337 129.001331) + (xy 135.509 128.982329) + (xy 135.364662 129.001331) + (xy 135.230163 129.057044) + (xy 135.230162 129.057044) + (xy 135.114666 129.145666) + (xy 135.026044 129.261162) + (xy 135.026044 129.261163) + (xy 134.970331 129.395662) + (xy 134.957638 129.492078) + (xy 134.951329 129.54) + (xy 121.334671 129.54) + (xy 121.315669 129.395664) + (xy 121.259957 129.261165) + (xy 121.259955 129.261162) + (xy 121.171333 129.145666) + (xy 121.055839 129.057045) + (xy 121.055838 129.057044) + (xy 121.055836 129.057043) + (xy 120.965161 129.019484) + (xy 120.921337 129.001331) + (xy 120.777 128.982329) + (xy 120.632662 129.001331) + (xy 120.498163 129.057044) + (xy 120.498162 129.057044) + (xy 120.382666 129.145666) + (xy 120.294044 129.261162) + (xy 120.294044 129.261163) + (xy 120.238331 129.395662) + (xy 120.225638 129.492078) + (xy 120.219329 129.54) + (xy 119.683671 129.54) + (xy 119.664669 129.395664) + (xy 119.608957 129.261165) + (xy 119.608955 129.261162) + (xy 119.520333 129.145666) + (xy 119.404839 129.057045) + (xy 119.404838 129.057044) + (xy 119.404836 129.057043) + (xy 119.314161 129.019484) + (xy 119.270337 129.001331) + (xy 119.126 128.982329) + (xy 118.981662 129.001331) + (xy 118.847163 129.057044) + (xy 118.847162 129.057044) + (xy 118.731666 129.145666) + (xy 118.643044 129.261162) + (xy 118.643044 129.261163) + (xy 118.587331 129.395662) + (xy 118.574638 129.492078) + (xy 118.568329 129.54) + (xy 117.651671 129.54) + (xy 117.632669 129.395664) + (xy 117.576957 129.261165) + (xy 117.576955 129.261162) + (xy 117.488333 129.145666) + (xy 117.372839 129.057045) + (xy 117.372838 129.057044) + (xy 117.372836 129.057043) + (xy 117.282161 129.019484) + (xy 117.238337 129.001331) + (xy 117.094 128.982329) + (xy 116.949662 129.001331) + (xy 116.815163 129.057044) + (xy 116.815162 129.057044) + (xy 116.699666 129.145666) + (xy 116.611044 129.261162) + (xy 116.611044 129.261163) + (xy 116.555331 129.395662) + (xy 116.542638 129.492078) + (xy 116.536329 129.54) + (xy 116.000671 129.54) + (xy 115.981669 129.395664) + (xy 115.925957 129.261165) + (xy 115.925955 129.261162) + (xy 115.837333 129.145666) + (xy 115.721839 129.057045) + (xy 115.721838 129.057044) + (xy 115.721836 129.057043) + (xy 115.631161 129.019484) + (xy 115.587337 129.001331) + (xy 115.443 128.982329) + (xy 115.298662 129.001331) + (xy 115.164163 129.057044) + (xy 115.164162 129.057044) + (xy 115.048666 129.145666) + (xy 114.960044 129.261162) + (xy 114.960044 129.261163) + (xy 114.904331 129.395662) + (xy 114.891638 129.492078) + (xy 114.885329 129.54) + (xy 102.470661 129.54) + (xy 102.430016 129.460229) + (xy 102.339771 129.369984) + (xy 102.226055 129.312043) + (xy 102.226057 129.312043) + (xy 102.1 129.292078) + (xy 101.973943 129.312043) + (xy 101.860228 129.369984) + (xy 101.769984 129.460228) + (xy 101.712043 129.573943) + (xy 101.692078 129.699999) + (xy 101.692078 129.7) + (xy 94.85028 129.7) + (xy 94.830016 129.660229) + (xy 94.739771 129.569984) + (xy 94.626055 129.512043) + (xy 94.626057 129.512043) + (xy 94.5 129.492078) + (xy 94.373943 129.512043) + (xy 94.260228 129.569984) + (xy 94.169984 129.660228) + (xy 94.112043 129.773943) + (xy 94.092078 129.899999) + (xy 94.092078 129.9) + (xy 92.350003 129.9) + (xy 92.357922 129.85) + (xy 92.337957 129.723945) + (xy 92.280016 129.610229) + (xy 92.189771 129.519984) + (xy 92.076055 129.462043) + (xy 92.076057 129.462043) + (xy 91.95 129.442078) + (xy 91.823943 129.462043) + (xy 91.710228 129.519984) + (xy 91.619984 129.610228) + (xy 91.562043 129.723943) + (xy 91.542078 129.849999) + (xy 91.542078 129.85) + (xy 89.807922 129.85) + (xy 89.787957 129.723945) + (xy 89.730016 129.610229) + (xy 89.639771 129.519984) + (xy 89.526055 129.462043) + (xy 89.526057 129.462043) + (xy 89.4 129.442078) + (xy 89.273943 129.462043) + (xy 89.160228 129.519984) + (xy 89.069984 129.610228) + (xy 89.012043 129.723943) + (xy 88.992078 129.849999) + (xy 88.992078 129.85) + (xy 88.40028 129.85) + (xy 88.437957 129.776055) + (xy 88.457922 129.65) + (xy 88.437957 129.523945) + (xy 88.380016 129.410229) + (xy 88.319787 129.35) + (xy 89.892078 129.35) + (xy 89.912043 129.476056) + (xy 89.945683 129.542078) + (xy 89.969984 129.589771) + (xy 90.060229 129.680016) + (xy 90.173943 129.737956) + (xy 90.173945 129.737957) + (xy 90.3 129.757922) + (xy 90.426055 129.737957) + (xy 90.539771 129.680016) + (xy 90.630016 129.589771) + (xy 90.687957 129.476055) + (xy 90.707922 129.35) + (xy 90.687957 129.223945) + (xy 90.630016 129.110229) + (xy 90.569787 129.05) + (xy 92.142078 129.05) + (xy 92.162043 129.176056) + (xy 92.205856 129.262043) + (xy 92.219984 129.289771) + (xy 92.310229 129.380016) + (xy 92.423943 129.437956) + (xy 92.423945 129.437957) + (xy 92.55 129.457922) + (xy 92.676055 129.437957) + (xy 92.789771 129.380016) + (xy 92.880016 129.289771) + (xy 92.937957 129.176055) + (xy 92.950003 129.1) + (xy 102.742078 129.1) + (xy 102.762043 129.226056) + (xy 102.796131 129.292957) + (xy 102.819984 129.339771) + (xy 102.910229 129.430016) + (xy 103.023943 129.487956) + (xy 103.023945 129.487957) + (xy 103.15 129.507922) + (xy 103.276055 129.487957) + (xy 103.389771 129.430016) + (xy 103.480016 129.339771) + (xy 103.537957 129.226055) + (xy 103.557922 129.1) + (xy 103.556014 129.087956) + (xy 103.547002 129.031055) + (xy 103.537957 128.973945) + (xy 103.480016 128.860229) + (xy 103.389771 128.769984) + (xy 103.276055 128.712043) + (xy 103.276057 128.712043) + (xy 103.200019 128.7) + (xy 104.792078 128.7) + (xy 104.812043 128.826056) + (xy 104.869059 128.937956) + (xy 104.869984 128.939771) + (xy 104.960229 129.030016) + (xy 105.073943 129.087956) + (xy 105.073945 129.087957) + (xy 105.2 129.107922) + (xy 105.326055 129.087957) + (xy 105.439771 129.030016) + (xy 105.530016 128.939771) + (xy 105.547733 128.905) + (xy 125.195078 128.905) + (xy 125.215043 129.031056) + (xy 125.255384 129.110229) + (xy 125.272984 129.144771) + (xy 125.363229 129.235016) + (xy 125.476943 129.292956) + (xy 125.476945 129.292957) + (xy 125.603 129.312922) + (xy 125.729055 129.292957) + (xy 125.842771 129.235016) + (xy 125.933016 129.144771) + (xy 125.990957 129.031055) + (xy 126.010922 128.905) + (xy 130.275078 128.905) + (xy 130.295043 129.031056) + (xy 130.335384 129.110229) + (xy 130.352984 129.144771) + (xy 130.443229 129.235016) + (xy 130.556943 129.292956) + (xy 130.556945 129.292957) + (xy 130.683 129.312922) + (xy 130.809055 129.292957) + (xy 130.922771 129.235016) + (xy 131.013016 129.144771) + (xy 131.070957 129.031055) + (xy 131.090922 128.905) + (xy 131.070957 128.778945) + (xy 131.013016 128.665229) + (xy 130.922771 128.574984) + (xy 130.809055 128.517043) + (xy 130.809057 128.517043) + (xy 130.683 128.497078) + (xy 130.556943 128.517043) + (xy 130.443228 128.574984) + (xy 130.352984 128.665228) + (xy 130.295043 128.778943) + (xy 130.275078 128.904999) + (xy 130.275078 128.905) + (xy 126.010922 128.905) + (xy 125.990957 128.778945) + (xy 125.933016 128.665229) + (xy 125.842771 128.574984) + (xy 125.729055 128.517043) + (xy 125.729057 128.517043) + (xy 125.603 128.497078) + (xy 125.476943 128.517043) + (xy 125.363228 128.574984) + (xy 125.272984 128.665228) + (xy 125.215043 128.778943) + (xy 125.195078 128.904999) + (xy 125.195078 128.905) + (xy 105.547733 128.905) + (xy 105.587957 128.826055) + (xy 105.607922 128.7) + (xy 105.587957 128.573945) + (xy 105.530016 128.460229) + (xy 105.469787 128.4) + (xy 106.792078 128.4) + (xy 106.812043 128.526056) + (xy 106.844508 128.589771) + (xy 106.869984 128.639771) + (xy 106.960229 128.730016) + (xy 107.073943 128.787956) + (xy 107.073945 128.787957) + (xy 107.2 128.807922) + (xy 107.326055 128.787957) + (xy 107.439771 128.730016) + (xy 107.530016 128.639771) + (xy 107.587957 128.526055) + (xy 107.600003 128.45) + (xy 109.692078 128.45) + (xy 109.712043 128.576056) + (xy 109.732409 128.616026) + (xy 109.769984 128.689771) + (xy 109.860229 128.780016) + (xy 109.973943 128.837956) + (xy 109.973945 128.837957) + (xy 110.1 128.857922) + (xy 110.226055 128.837957) + (xy 110.339771 128.780016) + (xy 110.430016 128.689771) + (xy 110.487957 128.576055) + (xy 110.507922 128.45) + (xy 110.506014 128.437956) + (xy 110.503792 128.423922) + (xy 110.487957 128.323945) + (xy 110.430016 128.210229) + (xy 110.339771 128.119984) + (xy 110.226055 128.062043) + (xy 110.226057 128.062043) + (xy 110.1 128.042078) + (xy 109.973943 128.062043) + (xy 109.860228 128.119984) + (xy 109.769984 128.210228) + (xy 109.712043 128.323943) + (xy 109.692078 128.449999) + (xy 109.692078 128.45) + (xy 107.600003 128.45) + (xy 107.607922 128.4) + (xy 107.587957 128.273945) + (xy 107.530016 128.160229) + (xy 107.439771 128.069984) + (xy 107.326055 128.012043) + (xy 107.326057 128.012043) + (xy 107.2 127.992078) + (xy 107.073943 128.012043) + (xy 106.960228 128.069984) + (xy 106.869984 128.160228) + (xy 106.812043 128.273943) + (xy 106.792078 128.399999) + (xy 106.792078 128.4) + (xy 105.469787 128.4) + (xy 105.439771 128.369984) + (xy 105.326055 128.312043) + (xy 105.326057 128.312043) + (xy 105.2 128.292078) + (xy 105.073943 128.312043) + (xy 104.960228 128.369984) + (xy 104.869984 128.460228) + (xy 104.812043 128.573943) + (xy 104.792078 128.699999) + (xy 104.792078 128.7) + (xy 103.200019 128.7) + (xy 103.15 128.692078) + (xy 103.023943 128.712043) + (xy 102.910228 128.769984) + (xy 102.819984 128.860228) + (xy 102.762043 128.973943) + (xy 102.742078 129.099999) + (xy 102.742078 129.1) + (xy 92.950003 129.1) + (xy 92.957922 129.05) + (xy 92.937957 128.923945) + (xy 92.880016 128.810229) + (xy 92.789771 128.719984) + (xy 92.676055 128.662043) + (xy 92.676057 128.662043) + (xy 92.55 128.642078) + (xy 92.423943 128.662043) + (xy 92.310228 128.719984) + (xy 92.219984 128.810228) + (xy 92.162043 128.923943) + (xy 92.142078 129.049999) + (xy 92.142078 129.05) + (xy 90.569787 129.05) + (xy 90.539771 129.019984) + (xy 90.426055 128.962043) + (xy 90.426057 128.962043) + (xy 90.3 128.942078) + (xy 90.173943 128.962043) + (xy 90.060228 129.019984) + (xy 89.969984 129.110228) + (xy 89.912043 129.223943) + (xy 89.892078 129.349999) + (xy 89.892078 129.35) + (xy 88.319787 129.35) + (xy 88.289771 129.319984) + (xy 88.176055 129.262043) + (xy 88.176057 129.262043) + (xy 88.05 129.242078) + (xy 87.923943 129.262043) + (xy 87.810228 129.319984) + (xy 87.719984 129.410228) + (xy 87.662043 129.523943) + (xy 87.642078 129.649999) + (xy 87.642078 129.65) + (xy 87.119787 129.65) + (xy 87.089771 129.619984) + (xy 86.976055 129.562043) + (xy 86.976057 129.562043) + (xy 86.85 129.542078) + (xy 86.723943 129.562043) + (xy 86.610228 129.619984) + (xy 86.519984 129.710228) + (xy 86.462043 129.823943) + (xy 86.442078 129.949999) + (xy 86.442078 129.95) + (xy 81.150003 129.95) + (xy 81.157922 129.9) + (xy 81.137957 129.773945) + (xy 81.080016 129.660229) + (xy 80.989771 129.569984) + (xy 80.876055 129.512043) + (xy 80.876057 129.512043) + (xy 80.75 129.492078) + (xy 80.623943 129.512043) + (xy 80.510228 129.569984) + (xy 80.419984 129.660228) + (xy 80.362043 129.773943) + (xy 80.342078 129.899999) + (xy 80.342078 129.9) + (xy 76.620678 129.9) + (xy 76.682957 129.818836) + (xy 76.738669 129.684336) + (xy 76.757671 129.54) + (xy 76.738669 129.395664) + (xy 76.719547 129.3495) + (xy 81.380078 129.3495) + (xy 81.400043 129.475556) + (xy 81.424698 129.523943) + (xy 81.457984 129.589271) + (xy 81.548229 129.679516) + (xy 81.661943 129.737456) + (xy 81.661945 129.737457) + (xy 81.788 129.757422) + (xy 81.914055 129.737457) + (xy 82.027771 129.679516) + (xy 82.118016 129.589271) + (xy 82.175957 129.475555) + (xy 82.195922 129.3495) + (xy 82.192397 129.327247) + (xy 82.188118 129.300228) + (xy 82.175957 129.223445) + (xy 82.118016 129.109729) + (xy 82.027771 129.019484) + (xy 81.914055 128.961543) + (xy 81.914057 128.961543) + (xy 81.788 128.941578) + (xy 81.661943 128.961543) + (xy 81.548228 129.019484) + (xy 81.457984 129.109728) + (xy 81.400043 129.223443) + (xy 81.380078 129.349499) + (xy 81.380078 129.3495) + (xy 76.719547 129.3495) + (xy 76.682957 129.261165) + (xy 76.682955 129.261162) + (xy 76.594333 129.145666) + (xy 76.478839 129.057045) + (xy 76.478838 129.057044) + (xy 76.478836 129.057043) + (xy 76.388161 129.019484) + (xy 76.344337 129.001331) + (xy 76.2 128.982329) + (xy 76.055662 129.001331) + (xy 75.921163 129.057044) + (xy 75.921162 129.057044) + (xy 75.805666 129.145666) + (xy 75.717044 129.261162) + (xy 75.717044 129.261163) + (xy 75.661331 129.395662) + (xy 75.648638 129.492078) + (xy 75.642329 129.54) + (xy 75.106671 129.54) + (xy 75.087669 129.395664) + (xy 75.031957 129.261165) + (xy 75.031955 129.261162) + (xy 74.943333 129.145666) + (xy 74.827839 129.057045) + (xy 74.827838 129.057044) + (xy 74.827836 129.057043) + (xy 74.737161 129.019484) + (xy 74.693337 129.001331) + (xy 74.549 128.982329) + (xy 74.404662 129.001331) + (xy 74.270163 129.057044) + (xy 74.270162 129.057044) + (xy 74.154666 129.145666) + (xy 74.066044 129.261162) + (xy 74.066044 129.261163) + (xy 74.010331 129.395662) + (xy 73.997638 129.492078) + (xy 73.991329 129.54) + (xy 46.762922 129.54) + (xy 46.742957 129.413945) + (xy 46.685016 129.300229) + (xy 46.594771 129.209984) + (xy 46.481055 129.152043) + (xy 46.481057 129.152043) + (xy 46.355 129.132078) + (xy 46.228942 129.152043) + (xy 46.22894 129.152044) + (xy 46.210339 129.161522) + (xy 46.159283 129.16779) + (xy 46.116142 129.139774) + (xy 46.101 129.094518) + (xy 46.101 128.524) + (xy 72.848329 128.524) + (xy 72.867331 128.668337) + (xy 72.877165 128.692078) + (xy 72.923043 128.802836) + (xy 72.923044 128.802838) + (xy 72.923045 128.802839) + (xy 73.011666 128.918333) + (xy 73.084139 128.973943) + (xy 73.127164 129.006957) + (xy 73.261664 129.062669) + (xy 73.406 129.081671) + (xy 73.550336 129.062669) + (xy 73.684836 129.006957) + (xy 73.800333 128.918333) + (xy 73.888957 128.802836) + (xy 73.944669 128.668336) + (xy 73.963671 128.524) + (xy 73.944669 128.379664) + (xy 73.899244 128.27) + (xy 76.785329 128.27) + (xy 76.804331 128.414337) + (xy 76.822385 128.457922) + (xy 76.860043 128.548836) + (xy 76.860044 128.548838) + (xy 76.860045 128.548839) + (xy 76.948666 128.664333) + (xy 77.06416 128.752954) + (xy 77.064164 128.752957) + (xy 77.198664 128.808669) + (xy 77.343 128.827671) + (xy 77.487336 128.808669) + (xy 77.621836 128.752957) + (xy 77.737333 128.664333) + (xy 77.825957 128.548836) + (xy 77.881669 128.414336) + (xy 77.890139 128.35) + (xy 79.542078 128.35) + (xy 79.562043 128.476056) + (xy 79.599128 128.548839) + (xy 79.619984 128.589771) + (xy 79.710229 128.680016) + (xy 79.823943 128.737956) + (xy 79.823945 128.737957) + (xy 79.95 128.757922) + (xy 80.076055 128.737957) + (xy 80.189771 128.680016) + (xy 80.280016 128.589771) + (xy 80.30028 128.55) + (xy 99.142078 128.55) + (xy 99.162043 128.676056) + (xy 99.201225 128.752954) + (xy 99.219984 128.789771) + (xy 99.310229 128.880016) + (xy 99.423943 128.937956) + (xy 99.423945 128.937957) + (xy 99.55 128.957922) + (xy 99.676055 128.937957) + (xy 99.789771 128.880016) + (xy 99.880016 128.789771) + (xy 99.937957 128.676055) + (xy 99.957922 128.55) + (xy 99.937957 128.423945) + (xy 99.880016 128.310229) + (xy 99.789771 128.219984) + (xy 99.676055 128.162043) + (xy 99.676057 128.162043) + (xy 99.55 128.142078) + (xy 99.423943 128.162043) + (xy 99.310228 128.219984) + (xy 99.219984 128.310228) + (xy 99.162043 128.423943) + (xy 99.142078 128.549999) + (xy 99.142078 128.55) + (xy 80.30028 128.55) + (xy 80.337957 128.476055) + (xy 80.357922 128.35) + (xy 80.356014 128.337956) + (xy 80.351623 128.310228) + (xy 80.337957 128.223945) + (xy 80.280016 128.110229) + (xy 80.219787 128.05) + (xy 87.992078 128.05) + (xy 88.012043 128.176056) + (xy 88.047255 128.245163) + (xy 88.069984 128.289771) + (xy 88.160229 128.380016) + (xy 88.273943 128.437956) + (xy 88.273945 128.437957) + (xy 88.4 128.457922) + (xy 88.526055 128.437957) + (xy 88.639771 128.380016) + (xy 88.730016 128.289771) + (xy 88.787957 128.176055) + (xy 88.807922 128.05) + (xy 88.792084 127.95) + (xy 92.142078 127.95) + (xy 92.162043 128.076056) + (xy 92.195672 128.142056) + (xy 92.219984 128.189771) + (xy 92.310229 128.280016) + (xy 92.423943 128.337956) + (xy 92.423945 128.337957) + (xy 92.55 128.357922) + (xy 92.676055 128.337957) + (xy 92.789771 128.280016) + (xy 92.880016 128.189771) + (xy 92.937957 128.076055) + (xy 92.957922 127.95) + (xy 92.950003 127.9) + (xy 94.592078 127.9) + (xy 94.612043 128.026056) + (xy 94.654931 128.110228) + (xy 94.669984 128.139771) + (xy 94.760229 128.230016) + (xy 94.873943 128.287956) + (xy 94.873945 128.287957) + (xy 95 128.307922) + (xy 95.126055 128.287957) + (xy 95.239771 128.230016) + (xy 95.330016 128.139771) + (xy 95.375756 128.05) + (xy 98.142078 128.05) + (xy 98.162043 128.176056) + (xy 98.197255 128.245163) + (xy 98.219984 128.289771) + (xy 98.310229 128.380016) + (xy 98.423943 128.437956) + (xy 98.423945 128.437957) + (xy 98.55 128.457922) + (xy 98.676055 128.437957) + (xy 98.789771 128.380016) + (xy 98.880016 128.289771) + (xy 98.937957 128.176055) + (xy 98.957922 128.05) + (xy 98.942084 127.95) + (xy 112.742078 127.95) + (xy 112.762043 128.076056) + (xy 112.795672 128.142056) + (xy 112.819984 128.189771) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.399787 128.27) + (xy 113.742329 128.27) + (xy 113.761331 128.414337) + (xy 113.779385 128.457922) + (xy 113.817043 128.548836) + (xy 113.817044 128.548838) + (xy 113.817045 128.548839) + (xy 113.905666 128.664333) + (xy 114.02116 128.752954) + (xy 114.021164 128.752957) + (xy 114.155664 128.808669) + (xy 114.3 128.827671) + (xy 114.444336 128.808669) + (xy 114.578836 128.752957) + (xy 114.694333 128.664333) + (xy 114.782957 128.548836) + (xy 114.838669 128.414336) + (xy 114.857671 128.27) + (xy 121.298829 128.27) + (xy 121.317831 128.414337) + (xy 121.335885 128.457922) + (xy 121.373543 128.548836) + (xy 121.373544 128.548838) + (xy 121.373545 128.548839) + (xy 121.462166 128.664333) + (xy 121.57766 128.752954) + (xy 121.577664 128.752957) + (xy 121.712164 128.808669) + (xy 121.8565 128.827671) + (xy 122.000836 128.808669) + (xy 122.135336 128.752957) + (xy 122.250833 128.664333) + (xy 122.339457 128.548836) + (xy 122.395169 128.414336) + (xy 122.414171 128.27) + (xy 137.745329 128.27) + (xy 137.764331 128.414337) + (xy 137.782385 128.457922) + (xy 137.820043 128.548836) + (xy 137.820044 128.548838) + (xy 137.820045 128.548839) + (xy 137.908666 128.664333) + (xy 138.02416 128.752954) + (xy 138.024164 128.752957) + (xy 138.158664 128.808669) + (xy 138.303 128.827671) + (xy 138.447336 128.808669) + (xy 138.581836 128.752957) + (xy 138.697333 128.664333) + (xy 138.785957 128.548836) + (xy 138.841669 128.414336) + (xy 138.860671 128.27) + (xy 138.841669 128.125664) + (xy 138.785957 127.991165) + (xy 138.785955 127.991162) + (xy 138.697333 127.875666) + (xy 138.581839 127.787045) + (xy 138.581838 127.787044) + (xy 138.581836 127.787043) + (xy 138.494891 127.751029) + (xy 138.447337 127.731331) + (xy 138.303 127.712329) + (xy 138.158662 127.731331) + (xy 138.024163 127.787044) + (xy 138.024162 127.787044) + (xy 137.908666 127.875666) + (xy 137.820044 127.991162) + (xy 137.820044 127.991163) + (xy 137.764331 128.125662) + (xy 137.745329 128.27) + (xy 122.414171 128.27) + (xy 122.395169 128.125664) + (xy 122.339457 127.991165) + (xy 122.339455 127.991162) + (xy 122.250833 127.875666) + (xy 122.135339 127.787045) + (xy 122.135338 127.787044) + (xy 122.135336 127.787043) + (xy 122.048391 127.751029) + (xy 122.000837 127.731331) + (xy 121.8565 127.712329) + (xy 121.712162 127.731331) + (xy 121.577663 127.787044) + (xy 121.577662 127.787044) + (xy 121.462166 127.875666) + (xy 121.373544 127.991162) + (xy 121.373544 127.991163) + (xy 121.317831 128.125662) + (xy 121.298829 128.27) + (xy 114.857671 128.27) + (xy 114.838669 128.125664) + (xy 114.782957 127.991165) + (xy 114.782955 127.991162) + (xy 114.694333 127.875666) + (xy 114.578839 127.787045) + (xy 114.578838 127.787044) + (xy 114.578836 127.787043) + (xy 114.491891 127.751029) + (xy 114.444337 127.731331) + (xy 114.3 127.712329) + (xy 114.155662 127.731331) + (xy 114.021163 127.787044) + (xy 114.021162 127.787044) + (xy 113.905666 127.875666) + (xy 113.817044 127.991162) + (xy 113.817044 127.991163) + (xy 113.761331 128.125662) + (xy 113.742329 128.27) + (xy 113.399787 128.27) + (xy 113.480016 128.189771) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.537957 127.823945) + (xy 113.480016 127.710229) + (xy 113.389771 127.619984) + (xy 113.276055 127.562043) + (xy 113.276057 127.562043) + (xy 113.15 127.542078) + (xy 113.023943 127.562043) + (xy 112.910228 127.619984) + (xy 112.819984 127.710228) + (xy 112.762043 127.823943) + (xy 112.742078 127.949999) + (xy 112.742078 127.95) + (xy 98.942084 127.95) + (xy 98.937957 127.923945) + (xy 98.880016 127.810229) + (xy 98.789771 127.719984) + (xy 98.676055 127.662043) + (xy 98.676057 127.662043) + (xy 98.55 127.642078) + (xy 98.423943 127.662043) + (xy 98.310228 127.719984) + (xy 98.219984 127.810228) + (xy 98.162043 127.923943) + (xy 98.142078 128.049999) + (xy 98.142078 128.05) + (xy 95.375756 128.05) + (xy 95.387957 128.026055) + (xy 95.407922 127.9) + (xy 95.406329 127.889945) + (xy 95.404068 127.875666) + (xy 95.387957 127.773945) + (xy 95.330016 127.660229) + (xy 95.239771 127.569984) + (xy 95.126055 127.512043) + (xy 95.126057 127.512043) + (xy 95 127.492078) + (xy 94.873943 127.512043) + (xy 94.760228 127.569984) + (xy 94.669984 127.660228) + (xy 94.612043 127.773943) + (xy 94.592078 127.899999) + (xy 94.592078 127.9) + (xy 92.950003 127.9) + (xy 92.937957 127.823945) + (xy 92.880016 127.710229) + (xy 92.789771 127.619984) + (xy 92.676055 127.562043) + (xy 92.676057 127.562043) + (xy 92.55 127.542078) + (xy 92.423943 127.562043) + (xy 92.310228 127.619984) + (xy 92.219984 127.710228) + (xy 92.162043 127.823943) + (xy 92.142078 127.949999) + (xy 92.142078 127.95) + (xy 88.792084 127.95) + (xy 88.787957 127.923945) + (xy 88.730016 127.810229) + (xy 88.639771 127.719984) + (xy 88.526055 127.662043) + (xy 88.526057 127.662043) + (xy 88.4 127.642078) + (xy 88.273943 127.662043) + (xy 88.160228 127.719984) + (xy 88.069984 127.810228) + (xy 88.012043 127.923943) + (xy 87.992078 128.049999) + (xy 87.992078 128.05) + (xy 80.219787 128.05) + (xy 80.189771 128.019984) + (xy 80.076055 127.962043) + (xy 80.076057 127.962043) + (xy 79.95 127.942078) + (xy 79.823943 127.962043) + (xy 79.710228 128.019984) + (xy 79.619984 128.110228) + (xy 79.562043 128.223943) + (xy 79.542078 128.349999) + (xy 79.542078 128.35) + (xy 77.890139 128.35) + (xy 77.900671 128.27) + (xy 77.881669 128.125664) + (xy 77.825957 127.991165) + (xy 77.825955 127.991162) + (xy 77.737333 127.875666) + (xy 77.621839 127.787045) + (xy 77.621838 127.787044) + (xy 77.621836 127.787043) + (xy 77.534891 127.751029) + (xy 77.487337 127.731331) + (xy 77.343 127.712329) + (xy 77.198662 127.731331) + (xy 77.064163 127.787044) + (xy 77.064162 127.787044) + (xy 76.948666 127.875666) + (xy 76.860044 127.991162) + (xy 76.860044 127.991163) + (xy 76.804331 128.125662) + (xy 76.785329 128.27) + (xy 73.899244 128.27) + (xy 73.888957 128.245165) + (xy 73.877332 128.230015) + (xy 73.800333 128.129666) + (xy 73.684839 128.041045) + (xy 73.684838 128.041044) + (xy 73.684836 128.041043) + (xy 73.566625 127.992078) + (xy 73.550337 127.985331) + (xy 73.406 127.966329) + (xy 73.261662 127.985331) + (xy 73.127163 128.041044) + (xy 73.127162 128.041044) + (xy 73.011666 128.129666) + (xy 72.923044 128.245162) + (xy 72.923044 128.245163) + (xy 72.867331 128.379662) + (xy 72.848329 128.524) + (xy 46.101 128.524) + (xy 46.101 128.016) + (xy 53.440078 128.016) + (xy 53.460043 128.142056) + (xy 53.477367 128.176056) + (xy 53.517984 128.255771) + (xy 53.608229 128.346016) + (xy 53.721943 128.403956) + (xy 53.721945 128.403957) + (xy 53.848 128.423922) + (xy 53.974055 128.403957) + (xy 54.087771 128.346016) + (xy 54.178016 128.255771) + (xy 54.235957 128.142055) + (xy 54.255922 128.016) + (xy 58.520078 128.016) + (xy 58.540043 128.142056) + (xy 58.557367 128.176056) + (xy 58.597984 128.255771) + (xy 58.688229 128.346016) + (xy 58.801943 128.403956) + (xy 58.801945 128.403957) + (xy 58.928 128.423922) + (xy 59.054055 128.403957) + (xy 59.167771 128.346016) + (xy 59.258016 128.255771) + (xy 59.315957 128.142055) + (xy 59.335922 128.016) + (xy 63.600078 128.016) + (xy 63.620043 128.142056) + (xy 63.637367 128.176056) + (xy 63.677984 128.255771) + (xy 63.768229 128.346016) + (xy 63.881943 128.403956) + (xy 63.881945 128.403957) + (xy 64.008 128.423922) + (xy 64.134055 128.403957) + (xy 64.247771 128.346016) + (xy 64.338016 128.255771) + (xy 64.395957 128.142055) + (xy 64.415922 128.016) + (xy 68.680078 128.016) + (xy 68.700043 128.142056) + (xy 68.717367 128.176056) + (xy 68.757984 128.255771) + (xy 68.848229 128.346016) + (xy 68.961943 128.403956) + (xy 68.961945 128.403957) + (xy 69.088 128.423922) + (xy 69.214055 128.403957) + (xy 69.327771 128.346016) + (xy 69.418016 128.255771) + (xy 69.475957 128.142055) + (xy 69.495922 128.016) + (xy 69.475957 127.889945) + (xy 69.418016 127.776229) + (xy 69.327771 127.685984) + (xy 69.214055 127.628043) + (xy 69.214057 127.628043) + (xy 69.088 127.608078) + (xy 68.961943 127.628043) + (xy 68.848228 127.685984) + (xy 68.757984 127.776228) + (xy 68.700043 127.889943) + (xy 68.680078 128.015999) + (xy 68.680078 128.016) + (xy 64.415922 128.016) + (xy 64.395957 127.889945) + (xy 64.338016 127.776229) + (xy 64.247771 127.685984) + (xy 64.134055 127.628043) + (xy 64.134057 127.628043) + (xy 64.008 127.608078) + (xy 63.881943 127.628043) + (xy 63.768228 127.685984) + (xy 63.677984 127.776228) + (xy 63.620043 127.889943) + (xy 63.600078 128.015999) + (xy 63.600078 128.016) + (xy 59.335922 128.016) + (xy 59.315957 127.889945) + (xy 59.258016 127.776229) + (xy 59.167771 127.685984) + (xy 59.054055 127.628043) + (xy 59.054057 127.628043) + (xy 58.928 127.608078) + (xy 58.801943 127.628043) + (xy 58.688228 127.685984) + (xy 58.597984 127.776228) + (xy 58.540043 127.889943) + (xy 58.520078 128.015999) + (xy 58.520078 128.016) + (xy 54.255922 128.016) + (xy 54.235957 127.889945) + (xy 54.178016 127.776229) + (xy 54.087771 127.685984) + (xy 53.974055 127.628043) + (xy 53.974057 127.628043) + (xy 53.848 127.608078) + (xy 53.721943 127.628043) + (xy 53.608228 127.685984) + (xy 53.517984 127.776228) + (xy 53.460043 127.889943) + (xy 53.440078 128.015999) + (xy 53.440078 128.016) + (xy 46.101 128.016) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.306698 127.026055) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.44488 127.466555) + (xy 47.444881 127.466556) + (xy 47.498784 127.530016) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.937745 127.2) + (xy 77.442078 127.2) + (xy 77.462043 127.326056) + (xy 77.496832 127.394333) + (xy 77.519984 127.439771) + (xy 77.610229 127.530016) + (xy 77.723943 127.587956) + (xy 77.723945 127.587957) + (xy 77.85 127.607922) + (xy 77.976055 127.587957) + (xy 78.089771 127.530016) + (xy 78.180016 127.439771) + (xy 78.209961 127.381) + (xy 84.792078 127.381) + (xy 84.812043 127.507056) + (xy 84.844107 127.569984) + (xy 84.869984 127.620771) + (xy 84.960229 127.711016) + (xy 85.073943 127.768956) + (xy 85.073945 127.768957) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.530016 127.620771) + (xy 85.587957 127.507055) + (xy 85.607922 127.381) + (xy 85.587957 127.254945) + (xy 85.530016 127.141229) + (xy 85.439771 127.050984) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380999) + (xy 84.792078 127.381) + (xy 78.209961 127.381) + (xy 78.237957 127.326055) + (xy 78.257922 127.2) + (xy 78.256014 127.187956) + (xy 78.254164 127.176276) + (xy 78.237957 127.073945) + (xy 78.180016 126.960229) + (xy 78.089771 126.869984) + (xy 78.05055 126.85) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.044508 127.039771) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.526055 127.237957) + (xy 79.639771 127.180016) + (xy 79.730016 127.089771) + (xy 79.787957 126.976055) + (xy 79.807922 126.85) + (xy 80.292078 126.85) + (xy 80.312043 126.976056) + (xy 80.344508 127.039771) + (xy 80.369984 127.089771) + (xy 80.460229 127.180016) + (xy 80.573943 127.237956) + (xy 80.573945 127.237957) + (xy 80.7 127.257922) + (xy 80.826055 127.237957) + (xy 80.939771 127.180016) + (xy 81.030016 127.089771) + (xy 81.087957 126.976055) + (xy 81.107922 126.85) + (xy 81.592078 126.85) + (xy 81.612043 126.976056) + (xy 81.644508 127.039771) + (xy 81.669984 127.089771) + (xy 81.760229 127.180016) + (xy 81.873943 127.237956) + (xy 81.873945 127.237957) + (xy 82 127.257922) + (xy 82.126055 127.237957) + (xy 82.239771 127.180016) + (xy 82.330016 127.089771) + (xy 82.387957 126.976055) + (xy 82.407922 126.85) + (xy 82.892078 126.85) + (xy 82.912043 126.976056) + (xy 82.944508 127.039771) + (xy 82.969984 127.089771) + (xy 83.060229 127.180016) + (xy 83.173943 127.237956) + (xy 83.173945 127.237957) + (xy 83.3 127.257922) + (xy 83.426055 127.237957) + (xy 83.539771 127.180016) + (xy 83.630016 127.089771) + (xy 83.687957 126.976055) + (xy 83.707922 126.85) + (xy 83.692084 126.75) + (xy 91.542078 126.75) + (xy 91.562043 126.876056) + (xy 91.612995 126.976055) + (xy 91.619984 126.989771) + (xy 91.710229 127.080016) + (xy 91.823943 127.137956) + (xy 91.823945 127.137957) + (xy 91.95 127.157922) + (xy 92.076055 127.137957) + (xy 92.189771 127.080016) + (xy 92.280016 126.989771) + (xy 92.325756 126.9) + (xy 97.042078 126.9) + (xy 97.062043 127.026056) + (xy 97.073872 127.049271) + (xy 97.119984 127.139771) + (xy 97.210229 127.230016) + (xy 97.323943 127.287956) + (xy 97.323945 127.287957) + (xy 97.45 127.307922) + (xy 97.576055 127.287957) + (xy 97.689771 127.230016) + (xy 97.780016 127.139771) + (xy 97.837957 127.026055) + (xy 97.857922 126.9) + (xy 97.842084 126.8) + (xy 106.492078 126.8) + (xy 106.512043 126.926056) + (xy 106.544508 126.989771) + (xy 106.569984 127.039771) + (xy 106.660229 127.130016) + (xy 106.773943 127.187956) + (xy 106.773945 127.187957) + (xy 106.9 127.207922) + (xy 107.026055 127.187957) + (xy 107.139771 127.130016) + (xy 107.230016 127.039771) + (xy 107.287957 126.926055) + (xy 107.306417 126.8095) + (xy 109.129578 126.8095) + (xy 109.149543 126.935556) + (xy 109.205313 127.04501) + (xy 109.207484 127.049271) + (xy 109.297729 127.139516) + (xy 109.411443 127.197456) + (xy 109.411445 127.197457) + (xy 109.5375 127.217422) + (xy 109.663555 127.197457) + (xy 109.777271 127.139516) + (xy 109.867516 127.049271) + (xy 109.892621 127) + (xy 134.951329 127) + (xy 134.970331 127.144337) + (xy 134.98511 127.180015) + (xy 135.026043 127.278836) + (xy 135.026044 127.278838) + (xy 135.026045 127.278839) + (xy 135.114666 127.394333) + (xy 135.20879 127.466556) + (xy 135.230164 127.482957) + (xy 135.364664 127.538669) + (xy 135.509 127.557671) + (xy 135.653336 127.538669) + (xy 135.787836 127.482957) + (xy 135.903333 127.394333) + (xy 135.991957 127.278836) + (xy 136.047669 127.144336) + (xy 136.066671 127) + (xy 136.602329 127) + (xy 136.621331 127.144337) + (xy 136.63611 127.180015) + (xy 136.677043 127.278836) + (xy 136.677044 127.278838) + (xy 136.677045 127.278839) + (xy 136.765666 127.394333) + (xy 136.85979 127.466556) + (xy 136.881164 127.482957) + (xy 137.015664 127.538669) + (xy 137.16 127.557671) + (xy 137.304336 127.538669) + (xy 137.438836 127.482957) + (xy 137.554333 127.394333) + (xy 137.642957 127.278836) + (xy 137.698669 127.144336) + (xy 137.717671 127) + (xy 137.711745 126.95499) + (xy 142.171845 126.95499) + (xy 142.175698 127.026055) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.31388 127.466555) + (xy 142.313881 127.466556) + (xy 142.367784 127.530016) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.827411 127.073945) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.819691 126.855664) + (xy 143.797002 126.773945) + (xy 143.774327 126.692277) + (xy 143.757336 126.660228) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.676189 126.517045) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.223566 126.202484) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.924506 126.177053) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 137.711745 126.95499) + (xy 137.698669 126.855664) + (xy 137.642957 126.721165) + (xy 137.642955 126.721162) + (xy 137.554333 126.605666) + (xy 137.438839 126.517045) + (xy 137.438838 126.517044) + (xy 137.438836 126.517043) + (xy 137.348161 126.479484) + (xy 137.304337 126.461331) + (xy 137.16 126.442329) + (xy 137.015662 126.461331) + (xy 136.881163 126.517044) + (xy 136.881162 126.517044) + (xy 136.765666 126.605666) + (xy 136.677044 126.721162) + (xy 136.677044 126.721163) + (xy 136.677043 126.721164) + (xy 136.677043 126.721165) + (xy 136.667485 126.744238) + (xy 136.621331 126.855662) + (xy 136.602329 127) + (xy 136.066671 127) + (xy 136.047669 126.855664) + (xy 135.991957 126.721165) + (xy 135.991955 126.721162) + (xy 135.903333 126.605666) + (xy 135.787839 126.517045) + (xy 135.787838 126.517044) + (xy 135.787836 126.517043) + (xy 135.697161 126.479484) + (xy 135.653337 126.461331) + (xy 135.509 126.442329) + (xy 135.364662 126.461331) + (xy 135.230163 126.517044) + (xy 135.230162 126.517044) + (xy 135.114666 126.605666) + (xy 135.026044 126.721162) + (xy 135.026044 126.721163) + (xy 135.026043 126.721164) + (xy 135.026043 126.721165) + (xy 135.016485 126.744238) + (xy 134.970331 126.855662) + (xy 134.951329 127) + (xy 109.892621 127) + (xy 109.925457 126.935555) + (xy 109.945422 126.8095) + (xy 109.925457 126.683445) + (xy 109.867516 126.569729) + (xy 109.777271 126.479484) + (xy 109.663555 126.421543) + (xy 109.663557 126.421543) + (xy 109.5375 126.401578) + (xy 109.411443 126.421543) + (xy 109.297728 126.479484) + (xy 109.207484 126.569728) + (xy 109.149543 126.683443) + (xy 109.129578 126.809499) + (xy 109.129578 126.8095) + (xy 107.306417 126.8095) + (xy 107.307922 126.8) + (xy 107.287957 126.673945) + (xy 107.230016 126.560229) + (xy 107.139771 126.469984) + (xy 107.026055 126.412043) + (xy 107.026057 126.412043) + (xy 106.9 126.392078) + (xy 106.773943 126.412043) + (xy 106.660228 126.469984) + (xy 106.569984 126.560228) + (xy 106.512043 126.673943) + (xy 106.492078 126.799999) + (xy 106.492078 126.8) + (xy 97.842084 126.8) + (xy 97.837957 126.773945) + (xy 97.780016 126.660229) + (xy 97.689771 126.569984) + (xy 97.576055 126.512043) + (xy 97.576057 126.512043) + (xy 97.45 126.492078) + (xy 97.323943 126.512043) + (xy 97.210228 126.569984) + (xy 97.119984 126.660228) + (xy 97.062043 126.773943) + (xy 97.042078 126.899999) + (xy 97.042078 126.9) + (xy 92.325756 126.9) + (xy 92.337957 126.876055) + (xy 92.357922 126.75) + (xy 92.337957 126.623945) + (xy 92.280016 126.510229) + (xy 92.189771 126.419984) + (xy 92.076055 126.362043) + (xy 92.076057 126.362043) + (xy 91.95 126.342078) + (xy 91.823943 126.362043) + (xy 91.710228 126.419984) + (xy 91.619984 126.510228) + (xy 91.562043 126.623943) + (xy 91.542078 126.749999) + (xy 91.542078 126.75) + (xy 83.692084 126.75) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.539771 126.519984) + (xy 83.426055 126.462043) + (xy 83.426057 126.462043) + (xy 83.3 126.442078) + (xy 83.173943 126.462043) + (xy 83.060228 126.519984) + (xy 82.969984 126.610228) + (xy 82.912043 126.723943) + (xy 82.892078 126.849999) + (xy 82.892078 126.85) + (xy 82.407922 126.85) + (xy 82.387957 126.723945) + (xy 82.330016 126.610229) + (xy 82.239771 126.519984) + (xy 82.126055 126.462043) + (xy 82.126057 126.462043) + (xy 82 126.442078) + (xy 81.873943 126.462043) + (xy 81.760228 126.519984) + (xy 81.669984 126.610228) + (xy 81.612043 126.723943) + (xy 81.592078 126.849999) + (xy 81.592078 126.85) + (xy 81.107922 126.85) + (xy 81.087957 126.723945) + (xy 81.030016 126.610229) + (xy 80.939771 126.519984) + (xy 80.826055 126.462043) + (xy 80.826057 126.462043) + (xy 80.7 126.442078) + (xy 80.573943 126.462043) + (xy 80.460228 126.519984) + (xy 80.369984 126.610228) + (xy 80.312043 126.723943) + (xy 80.292078 126.849999) + (xy 80.292078 126.85) + (xy 79.807922 126.85) + (xy 79.787957 126.723945) + (xy 79.730016 126.610229) + (xy 79.639771 126.519984) + (xy 79.526055 126.462043) + (xy 79.526057 126.462043) + (xy 79.4 126.442078) + (xy 79.273943 126.462043) + (xy 79.160228 126.519984) + (xy 79.069984 126.610228) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 78.05055 126.85) + (xy 77.976055 126.812043) + (xy 77.976057 126.812043) + (xy 77.85 126.792078) + (xy 77.723943 126.812043) + (xy 77.610228 126.869984) + (xy 77.519984 126.960228) + (xy 77.462043 127.073943) + (xy 77.442078 127.199999) + (xy 77.442078 127.2) + (xy 48.937745 127.2) + (xy 48.958411 127.073945) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.950691 126.855664) + (xy 48.928002 126.773945) + (xy 48.905327 126.692277) + (xy 48.888336 126.660228) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.807189 126.517045) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.354566 126.202484) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.055506 126.177053) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 126.1) + (xy 78.342078 126.1) + (xy 78.362043 126.226056) + (xy 78.393417 126.287631) + (xy 78.419984 126.339771) + (xy 78.510229 126.430016) + (xy 78.623943 126.487956) + (xy 78.623945 126.487957) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.080016 126.339771) + (xy 79.137957 126.226055) + (xy 79.157922 126.1) + (xy 79.642078 126.1) + (xy 79.662043 126.226056) + (xy 79.693417 126.287631) + (xy 79.719984 126.339771) + (xy 79.810229 126.430016) + (xy 79.923943 126.487956) + (xy 79.923945 126.487957) + (xy 80.05 126.507922) + (xy 80.176055 126.487957) + (xy 80.289771 126.430016) + (xy 80.380016 126.339771) + (xy 80.437957 126.226055) + (xy 80.457922 126.1) + (xy 80.942078 126.1) + (xy 80.962043 126.226056) + (xy 80.993417 126.287631) + (xy 81.019984 126.339771) + (xy 81.110229 126.430016) + (xy 81.223943 126.487956) + (xy 81.223945 126.487957) + (xy 81.35 126.507922) + (xy 81.476055 126.487957) + (xy 81.589771 126.430016) + (xy 81.680016 126.339771) + (xy 81.737957 126.226055) + (xy 81.757922 126.1) + (xy 82.242078 126.1) + (xy 82.262043 126.226056) + (xy 82.293417 126.287631) + (xy 82.319984 126.339771) + (xy 82.410229 126.430016) + (xy 82.523943 126.487956) + (xy 82.523945 126.487957) + (xy 82.65 126.507922) + (xy 82.776055 126.487957) + (xy 82.889771 126.430016) + (xy 82.980016 126.339771) + (xy 83.037957 126.226055) + (xy 83.057922 126.1) + (xy 83.542078 126.1) + (xy 83.562043 126.226056) + (xy 83.593417 126.287631) + (xy 83.619984 126.339771) + (xy 83.710229 126.430016) + (xy 83.823943 126.487956) + (xy 83.823945 126.487957) + (xy 83.95 126.507922) + (xy 84.076055 126.487957) + (xy 84.189771 126.430016) + (xy 84.280016 126.339771) + (xy 84.337957 126.226055) + (xy 84.342084 126.2) + (xy 86.492078 126.2) + (xy 86.512043 126.326056) + (xy 86.550524 126.401578) + (xy 86.569984 126.439771) + (xy 86.660229 126.530016) + (xy 86.773943 126.587956) + (xy 86.773945 126.587957) + (xy 86.9 126.607922) + (xy 87.026055 126.587957) + (xy 87.139771 126.530016) + (xy 87.230016 126.439771) + (xy 87.287957 126.326055) + (xy 87.292084 126.3) + (xy 90.492078 126.3) + (xy 90.512043 126.426056) + (xy 90.559902 126.519984) + (xy 90.569984 126.539771) + (xy 90.660229 126.630016) + (xy 90.773943 126.687956) + (xy 90.773945 126.687957) + (xy 90.9 126.707922) + (xy 91.026055 126.687957) + (xy 91.139771 126.630016) + (xy 91.230016 126.539771) + (xy 91.287957 126.426055) + (xy 91.307922 126.3) + (xy 91.287957 126.173945) + (xy 91.25028 126.1) + (xy 92.742078 126.1) + (xy 92.762043 126.226056) + (xy 92.793417 126.287631) + (xy 92.819984 126.339771) + (xy 92.910229 126.430016) + (xy 93.023943 126.487956) + (xy 93.023945 126.487957) + (xy 93.15 126.507922) + (xy 93.276055 126.487957) + (xy 93.389771 126.430016) + (xy 93.480016 126.339771) + (xy 93.537957 126.226055) + (xy 93.557922 126.1) + (xy 101.942078 126.1) + (xy 101.962043 126.226056) + (xy 101.993417 126.287631) + (xy 102.019984 126.339771) + (xy 102.110229 126.430016) + (xy 102.223943 126.487956) + (xy 102.223945 126.487957) + (xy 102.35 126.507922) + (xy 102.476055 126.487957) + (xy 102.589771 126.430016) + (xy 102.680016 126.339771) + (xy 102.737957 126.226055) + (xy 102.757922 126.1) + (xy 109.842078 126.1) + (xy 109.862043 126.226056) + (xy 109.893417 126.287631) + (xy 109.919984 126.339771) + (xy 110.010229 126.430016) + (xy 110.123943 126.487956) + (xy 110.123945 126.487957) + (xy 110.25 126.507922) + (xy 110.376055 126.487957) + (xy 110.489771 126.430016) + (xy 110.580016 126.339771) + (xy 110.637957 126.226055) + (xy 110.657922 126.1) + (xy 111.142078 126.1) + (xy 111.162043 126.226056) + (xy 111.193417 126.287631) + (xy 111.219984 126.339771) + (xy 111.310229 126.430016) + (xy 111.423943 126.487956) + (xy 111.423945 126.487957) + (xy 111.55 126.507922) + (xy 111.676055 126.487957) + (xy 111.789771 126.430016) + (xy 111.854787 126.365) + (xy 122.655078 126.365) + (xy 122.675043 126.491056) + (xy 122.699865 126.539771) + (xy 122.732984 126.604771) + (xy 122.823229 126.695016) + (xy 122.936943 126.752956) + (xy 122.936945 126.752957) + (xy 123.063 126.772922) + (xy 123.189055 126.752957) + (xy 123.302771 126.695016) + (xy 123.393016 126.604771) + (xy 123.450957 126.491055) + (xy 123.470922 126.365) + (xy 127.735078 126.365) + (xy 127.755043 126.491056) + (xy 127.779865 126.539771) + (xy 127.812984 126.604771) + (xy 127.903229 126.695016) + (xy 128.016943 126.752956) + (xy 128.016945 126.752957) + (xy 128.143 126.772922) + (xy 128.269055 126.752957) + (xy 128.382771 126.695016) + (xy 128.473016 126.604771) + (xy 128.530957 126.491055) + (xy 128.550922 126.365) + (xy 128.530957 126.238945) + (xy 128.473016 126.125229) + (xy 128.382771 126.034984) + (xy 128.269055 125.977043) + (xy 128.269057 125.977043) + (xy 128.143 125.957078) + (xy 128.016943 125.977043) + (xy 127.903228 126.034984) + (xy 127.812984 126.125228) + (xy 127.755043 126.238943) + (xy 127.735078 126.364999) + (xy 127.735078 126.365) + (xy 123.470922 126.365) + (xy 123.450957 126.238945) + (xy 123.393016 126.125229) + (xy 123.302771 126.034984) + (xy 123.189055 125.977043) + (xy 123.189057 125.977043) + (xy 123.063 125.957078) + (xy 122.936943 125.977043) + (xy 122.823228 126.034984) + (xy 122.732984 126.125228) + (xy 122.675043 126.238943) + (xy 122.655078 126.364999) + (xy 122.655078 126.365) + (xy 111.854787 126.365) + (xy 111.880016 126.339771) + (xy 111.937957 126.226055) + (xy 111.957922 126.1) + (xy 111.937957 125.973945) + (xy 111.880016 125.860229) + (xy 111.819787 125.8) + (xy 115.942078 125.8) + (xy 115.962043 125.926056) + (xy 116.017545 126.034984) + (xy 116.019984 126.039771) + (xy 116.110229 126.130016) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.680016 126.039771) + (xy 116.737957 125.926055) + (xy 116.757922 125.8) + (xy 116.737957 125.673945) + (xy 116.680016 125.560229) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.110228 125.469984) + (xy 116.019984 125.560228) + (xy 115.962043 125.673943) + (xy 115.942078 125.799999) + (xy 115.942078 125.8) + (xy 111.819787 125.8) + (xy 111.789771 125.769984) + (xy 111.676055 125.712043) + (xy 111.676057 125.712043) + (xy 111.55 125.692078) + (xy 111.423943 125.712043) + (xy 111.310228 125.769984) + (xy 111.219984 125.860228) + (xy 111.162043 125.973943) + (xy 111.142078 126.099999) + (xy 111.142078 126.1) + (xy 110.657922 126.1) + (xy 110.637957 125.973945) + (xy 110.580016 125.860229) + (xy 110.489771 125.769984) + (xy 110.376055 125.712043) + (xy 110.376057 125.712043) + (xy 110.25 125.692078) + (xy 110.123943 125.712043) + (xy 110.010228 125.769984) + (xy 109.919984 125.860228) + (xy 109.862043 125.973943) + (xy 109.842078 126.099999) + (xy 109.842078 126.1) + (xy 102.757922 126.1) + (xy 102.737957 125.973945) + (xy 102.680016 125.860229) + (xy 102.589771 125.769984) + (xy 102.476055 125.712043) + (xy 102.476057 125.712043) + (xy 102.35 125.692078) + (xy 102.223943 125.712043) + (xy 102.110228 125.769984) + (xy 102.019984 125.860228) + (xy 101.962043 125.973943) + (xy 101.942078 126.099999) + (xy 101.942078 126.1) + (xy 93.557922 126.1) + (xy 93.537957 125.973945) + (xy 93.480016 125.860229) + (xy 93.389771 125.769984) + (xy 93.276055 125.712043) + (xy 93.276057 125.712043) + (xy 93.15 125.692078) + (xy 93.023943 125.712043) + (xy 92.910228 125.769984) + (xy 92.819984 125.860228) + (xy 92.762043 125.973943) + (xy 92.742078 126.099999) + (xy 92.742078 126.1) + (xy 91.25028 126.1) + (xy 91.230016 126.060229) + (xy 91.139771 125.969984) + (xy 91.026055 125.912043) + (xy 91.026057 125.912043) + (xy 90.9 125.892078) + (xy 90.773943 125.912043) + (xy 90.660228 125.969984) + (xy 90.569984 126.060228) + (xy 90.512043 126.173943) + (xy 90.492078 126.299999) + (xy 90.492078 126.3) + (xy 87.292084 126.3) + (xy 87.307922 126.2) + (xy 87.306014 126.187956) + (xy 87.303725 126.1735) + (xy 87.287957 126.073945) + (xy 87.230016 125.960229) + (xy 87.139771 125.869984) + (xy 87.026055 125.812043) + (xy 87.026057 125.812043) + (xy 86.9 125.792078) + (xy 86.773943 125.812043) + (xy 86.660228 125.869984) + (xy 86.569984 125.960228) + (xy 86.512043 126.073943) + (xy 86.492078 126.199999) + (xy 86.492078 126.2) + (xy 84.342084 126.2) + (xy 84.357922 126.1) + (xy 84.337957 125.973945) + (xy 84.280016 125.860229) + (xy 84.189771 125.769984) + (xy 84.076055 125.712043) + (xy 84.076057 125.712043) + (xy 83.95 125.692078) + (xy 83.823943 125.712043) + (xy 83.710228 125.769984) + (xy 83.619984 125.860228) + (xy 83.562043 125.973943) + (xy 83.542078 126.099999) + (xy 83.542078 126.1) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) + (xy 82.889771 125.769984) + (xy 82.776055 125.712043) + (xy 82.776057 125.712043) + (xy 82.65 125.692078) + (xy 82.523943 125.712043) + (xy 82.410228 125.769984) + (xy 82.319984 125.860228) + (xy 82.262043 125.973943) + (xy 82.242078 126.099999) + (xy 82.242078 126.1) + (xy 81.757922 126.1) + (xy 81.737957 125.973945) + (xy 81.680016 125.860229) + (xy 81.589771 125.769984) + (xy 81.476055 125.712043) + (xy 81.476057 125.712043) + (xy 81.35 125.692078) + (xy 81.223943 125.712043) + (xy 81.110228 125.769984) + (xy 81.019984 125.860228) + (xy 80.962043 125.973943) + (xy 80.942078 126.099999) + (xy 80.942078 126.1) + (xy 80.457922 126.1) + (xy 80.437957 125.973945) + (xy 80.380016 125.860229) + (xy 80.289771 125.769984) + (xy 80.176055 125.712043) + (xy 80.176057 125.712043) + (xy 80.05 125.692078) + (xy 79.923943 125.712043) + (xy 79.810228 125.769984) + (xy 79.719984 125.860228) + (xy 79.662043 125.973943) + (xy 79.642078 126.099999) + (xy 79.642078 126.1) + (xy 79.157922 126.1) + (xy 79.137957 125.973945) + (xy 79.080016 125.860229) + (xy 78.989771 125.769984) + (xy 78.876055 125.712043) + (xy 78.876057 125.712043) + (xy 78.75 125.692078) + (xy 78.623943 125.712043) + (xy 78.510228 125.769984) + (xy 78.419984 125.860228) + (xy 78.362043 125.973943) + (xy 78.342078 126.099999) + (xy 78.342078 126.1) + (xy 46.101 126.1) + (xy 46.101 125.286481) + (xy 46.118593 125.238143) + (xy 46.163142 125.212423) + (xy 46.210341 125.219478) + (xy 46.228942 125.228956) + (xy 46.228943 125.228956) + (xy 46.228945 125.228957) + (xy 46.355 125.248922) + (xy 46.481055 125.228957) + (xy 46.594771 125.171016) + (xy 46.685016 125.080771) + (xy 46.742957 124.967055) + (xy 46.762922 124.841) + (xy 51.027078 124.841) + (xy 51.047043 124.967056) + (xy 51.083424 125.038457) + (xy 51.104984 125.080771) + (xy 51.195229 125.171016) + (xy 51.308943 125.228956) + (xy 51.308945 125.228957) + (xy 51.435 125.248922) + (xy 51.561055 125.228957) + (xy 51.674771 125.171016) + (xy 51.765016 125.080771) + (xy 51.822957 124.967055) + (xy 51.832865 124.9045) + (xy 56.107078 124.9045) + (xy 56.127043 125.030556) + (xy 56.131069 125.038457) + (xy 56.184984 125.144271) + (xy 56.275229 125.234516) + (xy 56.388943 125.292456) + (xy 56.388945 125.292457) + (xy 56.515 125.312422) + (xy 56.641055 125.292457) + (xy 56.754771 125.234516) + (xy 56.845016 125.144271) + (xy 56.902957 125.030555) + (xy 56.922922 124.9045) + (xy 56.902957 124.778445) + (xy 56.845016 124.664729) + (xy 56.830787 124.6505) + (xy 65.124078 124.6505) + (xy 65.144043 124.776556) + (xy 65.201984 124.890271) + (xy 65.292229 124.980516) + (xy 65.405943 125.038456) + (xy 65.405945 125.038457) + (xy 65.532 125.058422) + (xy 65.658055 125.038457) + (xy 65.771771 124.980516) + (xy 65.862016 124.890271) + (xy 65.919957 124.776555) + (xy 65.92295 124.75766) + (xy 67.258893 124.75766) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.068682 125.457842) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.697967 125.011407) + (xy 68.747969 124.844388) + (xy 68.753021 124.75766) + (xy 69.290893 124.75766) + (xy 69.321168 124.929356) + (xy 69.39022 125.089437) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.100682 125.457842) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.642796 125.162393) + (xy 70.729967 125.011407) + (xy 70.748351 124.95) + (xy 84.192078 124.95) + (xy 84.212043 125.076056) + (xy 84.256034 125.162393) + (xy 84.269984 125.189771) + (xy 84.360229 125.280016) + (xy 84.473943 125.337956) + (xy 84.473945 125.337957) + (xy 84.6 125.357922) + (xy 84.726055 125.337957) + (xy 84.839771 125.280016) + (xy 84.930016 125.189771) + (xy 84.987957 125.076055) + (xy 85.007922 124.95) + (xy 93.392078 124.95) + (xy 93.412043 125.076056) + (xy 93.456034 125.162393) + (xy 93.469984 125.189771) + (xy 93.560229 125.280016) + (xy 93.673943 125.337956) + (xy 93.673945 125.337957) + (xy 93.8 125.357922) + (xy 93.926055 125.337957) + (xy 94.039771 125.280016) + (xy 94.130016 125.189771) + (xy 94.187957 125.076055) + (xy 94.207922 124.95) + (xy 102.592078 124.95) + (xy 102.612043 125.076056) + (xy 102.656034 125.162393) + (xy 102.669984 125.189771) + (xy 102.760229 125.280016) + (xy 102.873943 125.337956) + (xy 102.873945 125.337957) + (xy 103 125.357922) + (xy 103.126055 125.337957) + (xy 103.239771 125.280016) + (xy 103.330016 125.189771) + (xy 103.387957 125.076055) + (xy 103.407922 124.95) + (xy 111.792078 124.95) + (xy 111.812043 125.076056) + (xy 111.856034 125.162393) + (xy 111.869984 125.189771) + (xy 111.960229 125.280016) + (xy 112.073943 125.337956) + (xy 112.073945 125.337957) + (xy 112.2 125.357922) + (xy 112.326055 125.337957) + (xy 112.439771 125.280016) + (xy 112.530016 125.189771) + (xy 112.587957 125.076055) + (xy 112.607922 124.95) + (xy 112.587957 124.823945) + (xy 112.530016 124.710229) + (xy 112.439771 124.619984) + (xy 112.326055 124.562043) + (xy 112.326057 124.562043) + (xy 112.2 124.542078) + (xy 112.073943 124.562043) + (xy 111.960228 124.619984) + (xy 111.869984 124.710228) + (xy 111.812043 124.823943) + (xy 111.792078 124.949999) + (xy 111.792078 124.95) + (xy 103.407922 124.95) + (xy 103.387957 124.823945) + (xy 103.330016 124.710229) + (xy 103.239771 124.619984) + (xy 103.126055 124.562043) + (xy 103.126057 124.562043) + (xy 103 124.542078) + (xy 102.873943 124.562043) + (xy 102.760228 124.619984) + (xy 102.669984 124.710228) + (xy 102.612043 124.823943) + (xy 102.592078 124.949999) + (xy 102.592078 124.95) + (xy 94.207922 124.95) + (xy 94.187957 124.823945) + (xy 94.130016 124.710229) + (xy 94.039771 124.619984) + (xy 93.926055 124.562043) + (xy 93.926057 124.562043) + (xy 93.8 124.542078) + (xy 93.673943 124.562043) + (xy 93.560228 124.619984) + (xy 93.469984 124.710228) + (xy 93.412043 124.823943) + (xy 93.392078 124.949999) + (xy 93.392078 124.95) + (xy 85.007922 124.95) + (xy 84.987957 124.823945) + (xy 84.930016 124.710229) + (xy 84.839771 124.619984) + (xy 84.726055 124.562043) + (xy 84.726057 124.562043) + (xy 84.6 124.542078) + (xy 84.473943 124.562043) + (xy 84.360228 124.619984) + (xy 84.269984 124.710228) + (xy 84.212043 124.823943) + (xy 84.192078 124.949999) + (xy 84.192078 124.95) + (xy 70.748351 124.95) + (xy 70.779969 124.844388) + (xy 70.790107 124.67034) + (xy 70.759832 124.498646) + (xy 70.750087 124.476055) + (xy 70.695713 124.35) + (xy 120.592078 124.35) + (xy 120.612043 124.476056) + (xy 120.636698 124.524443) + (xy 120.669984 124.589771) + (xy 120.760229 124.680016) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.330016 124.589771) + (xy 121.387957 124.476055) + (xy 121.407922 124.35) + (xy 121.387957 124.223945) + (xy 121.330016 124.110229) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.760228 124.019984) + (xy 120.669984 124.110228) + (xy 120.612043 124.223943) + (xy 120.592078 124.349999) + (xy 120.592078 124.35) + (xy 70.695713 124.35) + (xy 70.690779 124.338562) + (xy 70.606401 124.225223) + (xy 70.586668 124.198717) + (xy 70.556852 124.173698) + (xy 70.453117 124.086653) + (xy 70.453116 124.086652) + (xy 70.297315 124.008406) + (xy 70.127671 123.9682) + (xy 69.997064 123.9682) + (xy 69.997054 123.9682) + (xy 69.867338 123.983362) + (xy 69.703509 124.042991) + (xy 69.703508 124.042991) + (xy 69.703507 124.042992) + (xy 69.557846 124.138795) + (xy 69.557845 124.138795) + (xy 69.557845 124.138796) + (xy 69.438204 124.265606) + (xy 69.351032 124.416593) + (xy 69.301031 124.583609) + (xy 69.301031 124.583611) + (xy 69.290893 124.75766) + (xy 68.753021 124.75766) + (xy 68.758107 124.67034) + (xy 68.727832 124.498646) + (xy 68.718087 124.476055) + (xy 68.658779 124.338562) + (xy 68.574401 124.225223) + (xy 68.554668 124.198717) + (xy 68.524852 124.173698) + (xy 68.421117 124.086653) + (xy 68.421116 124.086652) + (xy 68.265315 124.008406) + (xy 68.095671 123.9682) + (xy 67.965064 123.9682) + (xy 67.965054 123.9682) + (xy 67.835338 123.983362) + (xy 67.671509 124.042991) + (xy 67.671508 124.042991) + (xy 67.671507 124.042992) + (xy 67.525846 124.138795) + (xy 67.525845 124.138795) + (xy 67.525845 124.138796) + (xy 67.406204 124.265606) + (xy 67.319032 124.416593) + (xy 67.269031 124.583609) + (xy 67.269031 124.583611) + (xy 67.258893 124.75766) + (xy 65.92295 124.75766) + (xy 65.939922 124.6505) + (xy 65.919957 124.524445) + (xy 65.862016 124.410729) + (xy 65.771771 124.320484) + (xy 65.658055 124.262543) + (xy 65.658057 124.262543) + (xy 65.532 124.242578) + (xy 65.405943 124.262543) + (xy 65.292228 124.320484) + (xy 65.201984 124.410728) + (xy 65.144043 124.524443) + (xy 65.124078 124.650499) + (xy 65.124078 124.6505) + (xy 56.830787 124.6505) + (xy 56.754771 124.574484) + (xy 56.641055 124.516543) + (xy 56.641057 124.516543) + (xy 56.515 124.496578) + (xy 56.388943 124.516543) + (xy 56.275228 124.574484) + (xy 56.184984 124.664728) + (xy 56.127043 124.778443) + (xy 56.107078 124.904499) + (xy 56.107078 124.9045) + (xy 51.832865 124.9045) + (xy 51.842922 124.841) + (xy 51.84022 124.823943) + (xy 51.832715 124.776555) + (xy 51.822957 124.714945) + (xy 51.765016 124.601229) + (xy 51.674771 124.510984) + (xy 51.561055 124.453043) + (xy 51.561057 124.453043) + (xy 51.435 124.433078) + (xy 51.308943 124.453043) + (xy 51.195228 124.510984) + (xy 51.104984 124.601228) + (xy 51.047043 124.714943) + (xy 51.027078 124.840999) + (xy 51.027078 124.841) + (xy 46.762922 124.841) + (xy 46.76022 124.823943) + (xy 46.752715 124.776555) + (xy 46.742957 124.714945) + (xy 46.685016 124.601229) + (xy 46.594771 124.510984) + (xy 46.481055 124.453043) + (xy 46.481057 124.453043) + (xy 46.355 124.433078) + (xy 46.228942 124.453043) + (xy 46.22894 124.453044) + (xy 46.210339 124.462522) + (xy 46.159283 124.46879) + (xy 46.116142 124.440774) + (xy 46.101 124.395518) + (xy 46.101 122.928155) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.129224 123.289771) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.422938 123.786233) + (xy 65.422942 123.786237) + (xy 65.473691 123.832954) + (xy 65.598266 123.947633) + (xy 65.744224 124.042992) + (xy 65.797768 124.077974) + (xy 65.973403 124.155015) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.953002 124.173699) + (xy 67.171234 124.077973) + (xy 67.370734 123.947633) + (xy 67.54606 123.786235) + (xy 67.692429 123.598179) + (xy 67.805849 123.388597) + (xy 67.883226 123.163206) + (xy 67.922449 122.928155) + (xy 70.12655 122.928155) + (xy 70.165772 123.163198) + (xy 70.165773 123.163202) + (xy 70.165774 123.163206) + (xy 70.209224 123.289771) + (xy 70.243152 123.3886) + (xy 70.243153 123.388602) + (xy 70.356569 123.598177) + (xy 70.502938 123.786233) + (xy 70.502942 123.786237) + (xy 70.553691 123.832954) + (xy 70.678266 123.947633) + (xy 70.824224 124.042992) + (xy 70.877768 124.077974) + (xy 71.053403 124.155015) + (xy 71.095998 124.173699) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.611107 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.769984 124.039771) + (xy 77.860229 124.130016) + (xy 77.973943 124.187956) + (xy 77.973945 124.187957) + (xy 78.1 124.207922) + (xy 78.226055 124.187957) + (xy 78.339771 124.130016) + (xy 78.430016 124.039771) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 83.542078 123.8) + (xy 83.562043 123.926056) + (xy 83.619984 124.039771) + (xy 83.710229 124.130016) + (xy 83.823943 124.187956) + (xy 83.823945 124.187957) + (xy 83.95 124.207922) + (xy 84.076055 124.187957) + (xy 84.189771 124.130016) + (xy 84.280016 124.039771) + (xy 84.337957 123.926055) + (xy 84.357922 123.8) + (xy 92.742078 123.8) + (xy 92.762043 123.926056) + (xy 92.819984 124.039771) + (xy 92.910229 124.130016) + (xy 93.023943 124.187956) + (xy 93.023945 124.187957) + (xy 93.15 124.207922) + (xy 93.276055 124.187957) + (xy 93.389771 124.130016) + (xy 93.480016 124.039771) + (xy 93.537957 123.926055) + (xy 93.557922 123.8) + (xy 101.942078 123.8) + (xy 101.962043 123.926056) + (xy 102.019984 124.039771) + (xy 102.110229 124.130016) + (xy 102.223943 124.187956) + (xy 102.223945 124.187957) + (xy 102.35 124.207922) + (xy 102.476055 124.187957) + (xy 102.589771 124.130016) + (xy 102.680016 124.039771) + (xy 102.737957 123.926055) + (xy 102.757922 123.8) + (xy 106.592078 123.8) + (xy 106.612043 123.926056) + (xy 106.669984 124.039771) + (xy 106.760229 124.130016) + (xy 106.873943 124.187956) + (xy 106.873945 124.187957) + (xy 107 124.207922) + (xy 107.126055 124.187957) + (xy 107.239771 124.130016) + (xy 107.330016 124.039771) + (xy 107.387957 123.926055) + (xy 107.407922 123.8) + (xy 107.892078 123.8) + (xy 107.912043 123.926056) + (xy 107.969984 124.039771) + (xy 108.060229 124.130016) + (xy 108.173943 124.187956) + (xy 108.173945 124.187957) + (xy 108.3 124.207922) + (xy 108.426055 124.187957) + (xy 108.539771 124.130016) + (xy 108.630016 124.039771) + (xy 108.687957 123.926055) + (xy 108.707922 123.8) + (xy 111.142078 123.8) + (xy 111.162043 123.926056) + (xy 111.219984 124.039771) + (xy 111.310229 124.130016) + (xy 111.423943 124.187956) + (xy 111.423945 124.187957) + (xy 111.55 124.207922) + (xy 111.676055 124.187957) + (xy 111.789771 124.130016) + (xy 111.880016 124.039771) + (xy 111.937957 123.926055) + (xy 111.953962 123.825) + (xy 125.195078 123.825) + (xy 125.215043 123.951056) + (xy 125.261887 124.042992) + (xy 125.272984 124.064771) + (xy 125.363229 124.155016) + (xy 125.476943 124.212956) + (xy 125.476945 124.212957) + (xy 125.603 124.232922) + (xy 125.729055 124.212957) + (xy 125.842771 124.155016) + (xy 125.933016 124.064771) + (xy 125.990957 123.951055) + (xy 126.010922 123.825) + (xy 125.990957 123.698945) + (xy 125.933016 123.585229) + (xy 125.842771 123.494984) + (xy 125.729055 123.437043) + (xy 125.729057 123.437043) + (xy 125.603 123.417078) + (xy 125.476943 123.437043) + (xy 125.363228 123.494984) + (xy 125.272984 123.585228) + (xy 125.215043 123.698943) + (xy 125.195078 123.824999) + (xy 125.195078 123.825) + (xy 111.953962 123.825) + (xy 111.957922 123.8) + (xy 111.937957 123.673945) + (xy 111.880016 123.560229) + (xy 111.789771 123.469984) + (xy 111.676055 123.412043) + (xy 111.676057 123.412043) + (xy 111.55 123.392078) + (xy 111.423943 123.412043) + (xy 111.310228 123.469984) + (xy 111.219984 123.560228) + (xy 111.162043 123.673943) + (xy 111.142078 123.799999) + (xy 111.142078 123.8) + (xy 108.707922 123.8) + (xy 108.687957 123.673945) + (xy 108.630016 123.560229) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173943 123.412043) + (xy 108.060228 123.469984) + (xy 107.969984 123.560228) + (xy 107.912043 123.673943) + (xy 107.892078 123.799999) + (xy 107.892078 123.8) + (xy 107.407922 123.8) + (xy 107.387957 123.673945) + (xy 107.330016 123.560229) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873943 123.412043) + (xy 106.760228 123.469984) + (xy 106.669984 123.560228) + (xy 106.612043 123.673943) + (xy 106.592078 123.799999) + (xy 106.592078 123.8) + (xy 102.757922 123.8) + (xy 102.737957 123.673945) + (xy 102.680016 123.560229) + (xy 102.589771 123.469984) + (xy 102.476055 123.412043) + (xy 102.476057 123.412043) + (xy 102.35 123.392078) + (xy 102.223943 123.412043) + (xy 102.110228 123.469984) + (xy 102.019984 123.560228) + (xy 101.962043 123.673943) + (xy 101.942078 123.799999) + (xy 101.942078 123.8) + (xy 93.557922 123.8) + (xy 93.537957 123.673945) + (xy 93.480016 123.560229) + (xy 93.389771 123.469984) + (xy 93.276055 123.412043) + (xy 93.276057 123.412043) + (xy 93.15 123.392078) + (xy 93.023943 123.412043) + (xy 92.910228 123.469984) + (xy 92.819984 123.560228) + (xy 92.762043 123.673943) + (xy 92.742078 123.799999) + (xy 92.742078 123.8) + (xy 84.357922 123.8) + (xy 84.337957 123.673945) + (xy 84.280016 123.560229) + (xy 84.189771 123.469984) + (xy 84.076055 123.412043) + (xy 84.076057 123.412043) + (xy 83.95 123.392078) + (xy 83.823943 123.412043) + (xy 83.710228 123.469984) + (xy 83.619984 123.560228) + (xy 83.562043 123.673943) + (xy 83.542078 123.799999) + (xy 83.542078 123.8) + (xy 78.507922 123.8) + (xy 78.487957 123.673945) + (xy 78.430016 123.560229) + (xy 78.339771 123.469984) + (xy 78.226055 123.412043) + (xy 78.226057 123.412043) + (xy 78.1 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 72.611107 123.8) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 72.982117 123.05) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.010502 123.271162) + (xy 106.019984 123.289771) + (xy 106.110229 123.380016) + (xy 106.223943 123.437956) + (xy 106.223945 123.437957) + (xy 106.35 123.457922) + (xy 106.476055 123.437957) + (xy 106.589771 123.380016) + (xy 106.680016 123.289771) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.310502 123.271162) + (xy 107.319984 123.289771) + (xy 107.410229 123.380016) + (xy 107.523943 123.437956) + (xy 107.523945 123.437957) + (xy 107.65 123.457922) + (xy 107.776055 123.437957) + (xy 107.889771 123.380016) + (xy 107.980016 123.289771) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.610502 123.271162) + (xy 108.619984 123.289771) + (xy 108.710229 123.380016) + (xy 108.823943 123.437956) + (xy 108.823945 123.437957) + (xy 108.95 123.457922) + (xy 109.076055 123.437957) + (xy 109.189771 123.380016) + (xy 109.219787 123.35) + (xy 128.592329 123.35) + (xy 128.603908 123.437956) + (xy 128.611331 123.494336) + (xy 128.667043 123.628836) + (xy 128.667044 123.628838) + (xy 128.667045 123.628839) + (xy 128.755666 123.744333) + (xy 128.860793 123.824999) + (xy 128.871164 123.832957) + (xy 129.005664 123.888669) + (xy 129.15 123.907671) + (xy 129.294336 123.888669) + (xy 129.428836 123.832957) + (xy 129.544333 123.744333) + (xy 129.632957 123.628836) + (xy 129.665612 123.55) + (xy 130.992329 123.55) + (xy 131.008646 123.673945) + (xy 131.011331 123.694336) + (xy 131.067043 123.828836) + (xy 131.067044 123.828838) + (xy 131.067045 123.828839) + (xy 131.155666 123.944333) + (xy 131.254257 124.019984) + (xy 131.271164 124.032957) + (xy 131.405664 124.088669) + (xy 131.55 124.107671) + (xy 131.694336 124.088669) + (xy 131.828836 124.032957) + (xy 131.944333 123.944333) + (xy 132.032957 123.828836) + (xy 132.088669 123.694336) + (xy 132.107671 123.55) + (xy 132.101088 123.5) + (xy 133.442329 123.5) + (xy 133.461331 123.644337) + (xy 133.481029 123.691891) + (xy 133.517043 123.778836) + (xy 133.517044 123.778838) + (xy 133.517045 123.778839) + (xy 133.605666 123.894333) + (xy 133.693908 123.962043) + (xy 133.721164 123.982957) + (xy 133.855664 124.038669) + (xy 134 124.057671) + (xy 134.144336 124.038669) + (xy 134.278836 123.982957) + (xy 134.394333 123.894333) + (xy 134.482957 123.778836) + (xy 134.538669 123.644336) + (xy 134.557671 123.5) + (xy 134.551088 123.45) + (xy 138.342329 123.45) + (xy 138.361331 123.594337) + (xy 138.375621 123.628836) + (xy 138.417043 123.728836) + (xy 138.417044 123.728838) + (xy 138.417045 123.728839) + (xy 138.505666 123.844333) + (xy 138.612169 123.926055) + (xy 138.621164 123.932957) + (xy 138.755664 123.988669) + (xy 138.9 124.007671) + (xy 139.044336 123.988669) + (xy 139.178836 123.932957) + (xy 139.294333 123.844333) + (xy 139.382957 123.728836) + (xy 139.438669 123.594336) + (xy 139.444506 123.55) + (xy 140.742329 123.55) + (xy 140.758646 123.673945) + (xy 140.761331 123.694336) + (xy 140.817043 123.828836) + (xy 140.817044 123.828838) + (xy 140.817045 123.828839) + (xy 140.905666 123.944333) + (xy 141.004257 124.019984) + (xy 141.021164 124.032957) + (xy 141.155664 124.088669) + (xy 141.3 124.107671) + (xy 141.444336 124.088669) + (xy 141.578836 124.032957) + (xy 141.694333 123.944333) + (xy 141.782957 123.828836) + (xy 141.838669 123.694336) + (xy 141.857671 123.55) + (xy 141.838669 123.405664) + (xy 141.782957 123.271165) + (xy 141.744591 123.221165) + (xy 141.694333 123.155666) + (xy 141.578839 123.067045) + (xy 141.578838 123.067044) + (xy 141.578836 123.067043) + (xy 141.458131 123.017045) + (xy 141.444337 123.011331) + (xy 141.3 122.992329) + (xy 141.155662 123.011331) + (xy 141.021163 123.067044) + (xy 141.021162 123.067044) + (xy 140.905666 123.155666) + (xy 140.817044 123.271162) + (xy 140.817044 123.271163) + (xy 140.761331 123.405662) + (xy 140.742329 123.55) + (xy 139.444506 123.55) + (xy 139.457671 123.45) + (xy 139.438669 123.305664) + (xy 139.382957 123.171165) + (xy 139.382955 123.171162) + (xy 139.294333 123.055666) + (xy 139.178839 122.967045) + (xy 139.178838 122.967044) + (xy 139.178836 122.967043) + (xy 139.074784 122.923943) + (xy 139.044337 122.911331) + (xy 138.9 122.892329) + (xy 138.755662 122.911331) + (xy 138.621163 122.967044) + (xy 138.621162 122.967044) + (xy 138.505666 123.055666) + (xy 138.417044 123.171162) + (xy 138.417044 123.171163) + (xy 138.417043 123.171164) + (xy 138.417043 123.171165) + (xy 138.415017 123.176056) + (xy 138.361331 123.305662) + (xy 138.342329 123.45) + (xy 134.551088 123.45) + (xy 134.538669 123.355664) + (xy 134.482957 123.221165) + (xy 134.471063 123.205664) + (xy 134.394333 123.105666) + (xy 134.278839 123.017045) + (xy 134.278838 123.017044) + (xy 134.278836 123.017043) + (xy 134.158131 122.967045) + (xy 134.144337 122.961331) + (xy 134 122.942329) + (xy 133.855662 122.961331) + (xy 133.721163 123.017044) + (xy 133.721162 123.017044) + (xy 133.605666 123.105666) + (xy 133.517044 123.221162) + (xy 133.517044 123.221163) + (xy 133.461331 123.355662) + (xy 133.442329 123.5) + (xy 132.101088 123.5) + (xy 132.088669 123.405664) + (xy 132.032957 123.271165) + (xy 131.994591 123.221165) + (xy 131.944333 123.155666) + (xy 131.828839 123.067045) + (xy 131.828838 123.067044) + (xy 131.828836 123.067043) + (xy 131.708131 123.017045) + (xy 131.694337 123.011331) + (xy 131.55 122.992329) + (xy 131.405662 123.011331) + (xy 131.271163 123.067044) + (xy 131.271162 123.067044) + (xy 131.155666 123.155666) + (xy 131.067044 123.271162) + (xy 131.067044 123.271163) + (xy 131.011331 123.405662) + (xy 130.992329 123.55) + (xy 129.665612 123.55) + (xy 129.688669 123.494336) + (xy 129.707671 123.35) + (xy 129.688669 123.205664) + (xy 129.632957 123.071165) + (xy 129.621065 123.055667) + (xy 129.544333 122.955666) + (xy 129.428839 122.867045) + (xy 129.428838 122.867044) + (xy 129.428836 122.867043) + (xy 129.341891 122.831029) + (xy 129.294337 122.811331) + (xy 129.15 122.792329) + (xy 129.005662 122.811331) + (xy 128.871163 122.867044) + (xy 128.871162 122.867044) + (xy 128.755666 122.955666) + (xy 128.667044 123.071162) + (xy 128.667044 123.071163) + (xy 128.611331 123.205662) + (xy 128.592329 123.35) + (xy 109.219787 123.35) + (xy 109.280016 123.289771) + (xy 109.337957 123.176055) + (xy 109.357922 123.05) + (xy 109.337957 122.923945) + (xy 109.280016 122.810229) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 72.982117 123.05) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.944415 122.4) + (xy 129.942078 122.4) + (xy 129.962043 122.526056) + (xy 130.001896 122.604271) + (xy 130.019984 122.639771) + (xy 130.110229 122.730016) + (xy 130.223943 122.787956) + (xy 130.223945 122.787957) + (xy 130.35 122.807922) + (xy 130.476055 122.787957) + (xy 130.589771 122.730016) + (xy 130.680016 122.639771) + (xy 130.737957 122.526055) + (xy 130.757922 122.4) + (xy 132.342078 122.4) + (xy 132.362043 122.526056) + (xy 132.401896 122.604271) + (xy 132.419984 122.639771) + (xy 132.510229 122.730016) + (xy 132.623943 122.787956) + (xy 132.623945 122.787957) + (xy 132.75 122.807922) + (xy 132.876055 122.787957) + (xy 132.989771 122.730016) + (xy 133.080016 122.639771) + (xy 133.137957 122.526055) + (xy 133.157922 122.4) + (xy 133.142084 122.3) + (xy 134.642329 122.3) + (xy 134.661331 122.444337) + (xy 134.680476 122.490555) + (xy 134.717043 122.578836) + (xy 134.717044 122.578838) + (xy 134.717045 122.578839) + (xy 134.805666 122.694333) + (xy 134.92116 122.782954) + (xy 134.921164 122.782957) + (xy 135.055664 122.838669) + (xy 135.2 122.857671) + (xy 135.344336 122.838669) + (xy 135.478836 122.782957) + (xy 135.594333 122.694333) + (xy 135.682957 122.578836) + (xy 135.738669 122.444336) + (xy 135.757671 122.3) + (xy 139.542329 122.3) + (xy 139.561331 122.444337) + (xy 139.580476 122.490555) + (xy 139.617043 122.578836) + (xy 139.617044 122.578838) + (xy 139.617045 122.578839) + (xy 139.705666 122.694333) + (xy 139.82116 122.782954) + (xy 139.821164 122.782957) + (xy 139.955664 122.838669) + (xy 140.1 122.857671) + (xy 140.244336 122.838669) + (xy 140.378836 122.782957) + (xy 140.494333 122.694333) + (xy 140.582957 122.578836) + (xy 140.638669 122.444336) + (xy 140.657671 122.3) + (xy 140.638669 122.155664) + (xy 140.582957 122.021165) + (xy 140.582955 122.021162) + (xy 140.494333 121.905666) + (xy 140.378839 121.817045) + (xy 140.378838 121.817044) + (xy 140.378836 121.817043) + (xy 140.291891 121.781029) + (xy 140.244337 121.761331) + (xy 140.1 121.742329) + (xy 139.955662 121.761331) + (xy 139.844238 121.807485) + (xy 139.823179 121.816209) + (xy 139.821163 121.817044) + (xy 139.821162 121.817044) + (xy 139.705666 121.905666) + (xy 139.617044 122.021162) + (xy 139.617044 122.021163) + (xy 139.561331 122.155662) + (xy 139.542329 122.3) + (xy 135.757671 122.3) + (xy 135.738669 122.155664) + (xy 135.682957 122.021165) + (xy 135.682955 122.021162) + (xy 135.594333 121.905666) + (xy 135.478839 121.817045) + (xy 135.478838 121.817044) + (xy 135.478836 121.817043) + (xy 135.391891 121.781029) + (xy 135.344337 121.761331) + (xy 135.329998 121.759443) + (xy 135.269169 121.751435) + (xy 135.223544 121.727683) + (xy 135.203859 121.680159) + (xy 135.219327 121.6311) + (xy 135.260155 121.60509) + (xy 135.259957 121.604415) + (xy 135.26229 121.603729) + (xy 135.262711 121.603462) + (xy 135.264011 121.603224) + (xy 135.265114 121.6029) + (xy 135.265117 121.6029) + (xy 135.390076 121.566208) + (xy 135.499636 121.495799) + (xy 135.584921 121.397374) + (xy 135.636241 121.285) + (xy 142.975078 121.285) + (xy 142.995043 121.411056) + (xy 143.038222 121.495799) + (xy 143.052984 121.524771) + (xy 143.143229 121.615016) + (xy 143.256943 121.672956) + (xy 143.256945 121.672957) + (xy 143.383 121.692922) + (xy 143.509055 121.672957) + (xy 143.622771 121.615016) + (xy 143.713016 121.524771) + (xy 143.770957 121.411055) + (xy 143.790922 121.285) + (xy 143.770957 121.158945) + (xy 143.713016 121.045229) + (xy 143.622771 120.954984) + (xy 143.509055 120.897043) + (xy 143.509057 120.897043) + (xy 143.383 120.877078) + (xy 143.256943 120.897043) + (xy 143.143228 120.954984) + (xy 143.052984 121.045228) + (xy 142.995043 121.158943) + (xy 142.975078 121.284999) + (xy 142.975078 121.285) + (xy 135.636241 121.285) + (xy 135.639023 121.278909) + (xy 135.657557 121.15) + (xy 135.639023 121.021091) + (xy 135.638517 121.019984) + (xy 135.584921 120.902626) + (xy 135.584919 120.902623) + (xy 135.53027 120.839555) + (xy 135.499636 120.804201) + (xy 135.499635 120.8042) + (xy 135.499633 120.804198) + (xy 135.390073 120.73379) + (xy 135.265117 120.6971) + (xy 135.134883 120.6971) + (xy 135.009926 120.73379) + (xy 134.900366 120.804198) + (xy 134.81508 120.902623) + (xy 134.815078 120.902626) + (xy 134.760977 121.021088) + (xy 134.742443 121.15) + (xy 134.760977 121.278911) + (xy 134.815078 121.397373) + (xy 134.81508 121.397376) + (xy 134.900366 121.495801) + (xy 135.009926 121.566209) + (xy 135.140043 121.604415) + (xy 135.139411 121.606567) + (xy 135.177059 121.626742) + (xy 135.196174 121.674498) + (xy 135.18012 121.723369) + (xy 135.136408 121.750486) + (xy 135.130839 121.751434) + (xy 135.084339 121.757555) + (xy 135.055662 121.761331) + (xy 134.944238 121.807485) + (xy 134.923179 121.816209) + (xy 134.921163 121.817044) + (xy 134.921162 121.817044) + (xy 134.805666 121.905666) + (xy 134.717044 122.021162) + (xy 134.717044 122.021163) + (xy 134.661331 122.155662) + (xy 134.642329 122.3) + (xy 133.142084 122.3) + (xy 133.137957 122.273945) + (xy 133.080016 122.160229) + (xy 132.989771 122.069984) + (xy 132.876055 122.012043) + (xy 132.876057 122.012043) + (xy 132.75 121.992078) + (xy 132.623943 122.012043) + (xy 132.510228 122.069984) + (xy 132.419984 122.160228) + (xy 132.362043 122.273943) + (xy 132.342078 122.399999) + (xy 132.342078 122.4) + (xy 130.757922 122.4) + (xy 130.737957 122.273945) + (xy 130.680016 122.160229) + (xy 130.589771 122.069984) + (xy 130.476055 122.012043) + (xy 130.476057 122.012043) + (xy 130.35 121.992078) + (xy 130.223943 122.012043) + (xy 130.110228 122.069984) + (xy 130.019984 122.160228) + (xy 129.962043 122.273943) + (xy 129.942078 122.399999) + (xy 129.942078 122.4) + (xy 72.944415 122.4) + (xy 72.885849 122.229403) + (xy 72.848414 122.160229) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.858061 121.4) + (xy 76.142443 121.4) + (xy 76.160977 121.528911) + (xy 76.215078 121.647373) + (xy 76.21508 121.647376) + (xy 76.243362 121.680015) + (xy 76.280928 121.723369) + (xy 76.300366 121.745801) + (xy 76.409926 121.816209) + (xy 76.534883 121.8529) + (xy 76.665117 121.8529) + (xy 76.790073 121.816209) + (xy 76.790073 121.816208) + (xy 76.790076 121.816208) + (xy 76.899636 121.745799) + (xy 76.984921 121.647374) + (xy 77.039023 121.528909) + (xy 77.057557 121.4) + (xy 85.342443 121.4) + (xy 85.360977 121.528911) + (xy 85.415078 121.647373) + (xy 85.41508 121.647376) + (xy 85.443362 121.680015) + (xy 85.480928 121.723369) + (xy 85.500366 121.745801) + (xy 85.609926 121.816209) + (xy 85.734883 121.8529) + (xy 85.865117 121.8529) + (xy 85.990073 121.816209) + (xy 85.990073 121.816208) + (xy 85.990076 121.816208) + (xy 86.099636 121.745799) + (xy 86.184921 121.647374) + (xy 86.239023 121.528909) + (xy 86.257557 121.4) + (xy 94.542443 121.4) + (xy 94.560977 121.528911) + (xy 94.615078 121.647373) + (xy 94.61508 121.647376) + (xy 94.643362 121.680015) + (xy 94.680928 121.723369) + (xy 94.700366 121.745801) + (xy 94.809926 121.816209) + (xy 94.934883 121.8529) + (xy 95.065117 121.8529) + (xy 95.190073 121.816209) + (xy 95.190073 121.816208) + (xy 95.190076 121.816208) + (xy 95.299636 121.745799) + (xy 95.384921 121.647374) + (xy 95.439023 121.528909) + (xy 95.457557 121.4) + (xy 103.742443 121.4) + (xy 103.760977 121.528911) + (xy 103.815078 121.647373) + (xy 103.81508 121.647376) + (xy 103.843362 121.680015) + (xy 103.880928 121.723369) + (xy 103.900366 121.745801) + (xy 104.009926 121.816209) + (xy 104.134883 121.8529) + (xy 104.265117 121.8529) + (xy 104.390073 121.816209) + (xy 104.390073 121.816208) + (xy 104.390076 121.816208) + (xy 104.499636 121.745799) + (xy 104.584921 121.647374) + (xy 104.639023 121.528909) + (xy 104.657557 121.4) + (xy 104.639023 121.271091) + (xy 104.624719 121.239771) + (xy 104.584921 121.152626) + (xy 104.584919 121.152623) + (xy 104.53379 121.093618) + (xy 104.499636 121.054201) + (xy 104.499635 121.0542) + (xy 104.499633 121.054198) + (xy 104.415297 121) + (xy 115.242078 121) + (xy 115.262043 121.126056) + (xy 115.2788 121.158943) + (xy 115.319984 121.239771) + (xy 115.410229 121.330016) + (xy 115.523943 121.387956) + (xy 115.523945 121.387957) + (xy 115.65 121.407922) + (xy 115.776055 121.387957) + (xy 115.889771 121.330016) + (xy 115.980016 121.239771) + (xy 116.037957 121.126055) + (xy 116.057922 121) + (xy 116.942078 121) + (xy 116.962043 121.126056) + (xy 116.9788 121.158943) + (xy 117.019984 121.239771) + (xy 117.110229 121.330016) + (xy 117.223943 121.387956) + (xy 117.223945 121.387957) + (xy 117.35 121.407922) + (xy 117.476055 121.387957) + (xy 117.589771 121.330016) + (xy 117.680016 121.239771) + (xy 117.737957 121.126055) + (xy 117.757922 121) + (xy 119.942078 121) + (xy 119.962043 121.126056) + (xy 119.9788 121.158943) + (xy 120.019984 121.239771) + (xy 120.110229 121.330016) + (xy 120.223943 121.387956) + (xy 120.223945 121.387957) + (xy 120.35 121.407922) + (xy 120.476055 121.387957) + (xy 120.589771 121.330016) + (xy 120.680016 121.239771) + (xy 120.737957 121.126055) + (xy 120.757922 121) + (xy 121.642078 121) + (xy 121.662043 121.126056) + (xy 121.6788 121.158943) + (xy 121.719984 121.239771) + (xy 121.810229 121.330016) + (xy 121.923943 121.387956) + (xy 121.923945 121.387957) + (xy 122.05 121.407922) + (xy 122.176055 121.387957) + (xy 122.25055 121.35) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.137957 121.223945) + (xy 133.080016 121.110229) + (xy 132.989771 121.019984) + (xy 132.876055 120.962043) + (xy 132.876057 120.962043) + (xy 132.75 120.942078) + (xy 132.623943 120.962043) + (xy 132.510228 121.019984) + (xy 132.419984 121.110228) + (xy 132.362043 121.223943) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 130.757922 121.35) + (xy 130.737957 121.223945) + (xy 130.680016 121.110229) + (xy 130.589771 121.019984) + (xy 130.476055 120.962043) + (xy 130.476057 120.962043) + (xy 130.35 120.942078) + (xy 130.223943 120.962043) + (xy 130.110228 121.019984) + (xy 130.019984 121.110228) + (xy 129.962043 121.223943) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 122.25055 121.35) + (xy 122.289771 121.330016) + (xy 122.380016 121.239771) + (xy 122.437957 121.126055) + (xy 122.457922 121) + (xy 122.437957 120.873945) + (xy 122.380016 120.760229) + (xy 122.289771 120.669984) + (xy 122.176055 120.612043) + (xy 122.176057 120.612043) + (xy 122.05 120.592078) + (xy 121.923943 120.612043) + (xy 121.810228 120.669984) + (xy 121.719984 120.760228) + (xy 121.662043 120.873943) + (xy 121.642078 120.999999) + (xy 121.642078 121) + (xy 120.757922 121) + (xy 120.737957 120.873945) + (xy 120.680016 120.760229) + (xy 120.589771 120.669984) + (xy 120.476055 120.612043) + (xy 120.476057 120.612043) + (xy 120.35 120.592078) + (xy 120.223943 120.612043) + (xy 120.110228 120.669984) + (xy 120.019984 120.760228) + (xy 119.962043 120.873943) + (xy 119.942078 120.999999) + (xy 119.942078 121) + (xy 117.757922 121) + (xy 117.737957 120.873945) + (xy 117.680016 120.760229) + (xy 117.589771 120.669984) + (xy 117.476055 120.612043) + (xy 117.476057 120.612043) + (xy 117.35 120.592078) + (xy 117.223943 120.612043) + (xy 117.110228 120.669984) + (xy 117.019984 120.760228) + (xy 116.962043 120.873943) + (xy 116.942078 120.999999) + (xy 116.942078 121) + (xy 116.057922 121) + (xy 116.037957 120.873945) + (xy 115.980016 120.760229) + (xy 115.889771 120.669984) + (xy 115.776055 120.612043) + (xy 115.776057 120.612043) + (xy 115.65 120.592078) + (xy 115.523943 120.612043) + (xy 115.410228 120.669984) + (xy 115.319984 120.760228) + (xy 115.262043 120.873943) + (xy 115.242078 120.999999) + (xy 115.242078 121) + (xy 104.415297 121) + (xy 104.390073 120.98379) + (xy 104.265117 120.9471) + (xy 104.134883 120.9471) + (xy 104.009926 120.98379) + (xy 103.900366 121.054198) + (xy 103.81508 121.152623) + (xy 103.815078 121.152626) + (xy 103.760977 121.271088) + (xy 103.742443 121.4) + (xy 95.457557 121.4) + (xy 95.439023 121.271091) + (xy 95.424719 121.239771) + (xy 95.384921 121.152626) + (xy 95.384919 121.152623) + (xy 95.33379 121.093618) + (xy 95.299636 121.054201) + (xy 95.299635 121.0542) + (xy 95.299633 121.054198) + (xy 95.190073 120.98379) + (xy 95.065117 120.9471) + (xy 94.934883 120.9471) + (xy 94.809926 120.98379) + (xy 94.700366 121.054198) + (xy 94.61508 121.152623) + (xy 94.615078 121.152626) + (xy 94.560977 121.271088) + (xy 94.542443 121.4) + (xy 86.257557 121.4) + (xy 86.239023 121.271091) + (xy 86.224719 121.239771) + (xy 86.184921 121.152626) + (xy 86.184919 121.152623) + (xy 86.13379 121.093618) + (xy 86.099636 121.054201) + (xy 86.099635 121.0542) + (xy 86.099633 121.054198) + (xy 85.990073 120.98379) + (xy 85.865117 120.9471) + (xy 85.734883 120.9471) + (xy 85.609926 120.98379) + (xy 85.500366 121.054198) + (xy 85.41508 121.152623) + (xy 85.415078 121.152626) + (xy 85.360977 121.271088) + (xy 85.342443 121.4) + (xy 77.057557 121.4) + (xy 77.039023 121.271091) + (xy 77.024719 121.239771) + (xy 76.984921 121.152626) + (xy 76.984919 121.152623) + (xy 76.93379 121.093618) + (xy 76.899636 121.054201) + (xy 76.899635 121.0542) + (xy 76.899633 121.054198) + (xy 76.790073 120.98379) + (xy 76.665117 120.9471) + (xy 76.534883 120.9471) + (xy 76.409926 120.98379) + (xy 76.300366 121.054198) + (xy 76.21508 121.152623) + (xy 76.215078 121.152626) + (xy 76.160977 121.271088) + (xy 76.142443 121.4) + (xy 71.858061 121.4) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356569 122.019822) + (xy 70.243153 122.229397) + (xy 70.243152 122.229399) + (xy 70.165775 122.454791) + (xy 70.165772 122.454801) + (xy 70.12655 122.689844) + (xy 70.12655 122.928155) + (xy 67.922449 122.928155) + (xy 67.92245 122.928152) + (xy 67.92245 122.689848) + (xy 67.883226 122.454794) + (xy 67.805849 122.229403) + (xy 67.768414 122.160229) + (xy 67.69243 122.019822) + (xy 67.546061 121.831766) + (xy 67.546057 121.831762) + (xy 67.370734 121.670367) + (xy 67.171231 121.540025) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 46.101 122.928155) + (xy 46.101 122.301) + (xy 48.487078 122.301) + (xy 48.507043 122.427056) + (xy 48.52118 122.454801) + (xy 48.564984 122.540771) + (xy 48.655229 122.631016) + (xy 48.768943 122.688956) + (xy 48.768945 122.688957) + (xy 48.895 122.708922) + (xy 49.021055 122.688957) + (xy 49.134771 122.631016) + (xy 49.225016 122.540771) + (xy 49.282957 122.427055) + (xy 49.292865 122.3645) + (xy 53.567078 122.3645) + (xy 53.587043 122.490556) + (xy 53.612629 122.540771) + (xy 53.644984 122.604271) + (xy 53.735229 122.694516) + (xy 53.848943 122.752456) + (xy 53.848945 122.752457) + (xy 53.975 122.772422) + (xy 54.101055 122.752457) + (xy 54.214771 122.694516) + (xy 54.305016 122.604271) + (xy 54.362957 122.490555) + (xy 54.382922 122.3645) + (xy 58.647078 122.3645) + (xy 58.667043 122.490556) + (xy 58.692629 122.540771) + (xy 58.724984 122.604271) + (xy 58.815229 122.694516) + (xy 58.928943 122.752456) + (xy 58.928945 122.752457) + (xy 59.055 122.772422) + (xy 59.181055 122.752457) + (xy 59.294771 122.694516) + (xy 59.385016 122.604271) + (xy 59.442957 122.490555) + (xy 59.462922 122.3645) + (xy 59.442957 122.238445) + (xy 59.385016 122.124729) + (xy 59.294771 122.034484) + (xy 59.181055 121.976543) + (xy 59.181057 121.976543) + (xy 59.055 121.956578) + (xy 58.928943 121.976543) + (xy 58.815228 122.034484) + (xy 58.724984 122.124728) + (xy 58.667043 122.238443) + (xy 58.647078 122.364499) + (xy 58.647078 122.3645) + (xy 54.382922 122.3645) + (xy 54.362957 122.238445) + (xy 54.305016 122.124729) + (xy 54.214771 122.034484) + (xy 54.101055 121.976543) + (xy 54.101057 121.976543) + (xy 53.975 121.956578) + (xy 53.848943 121.976543) + (xy 53.735228 122.034484) + (xy 53.644984 122.124728) + (xy 53.587043 122.238443) + (xy 53.567078 122.364499) + (xy 53.567078 122.3645) + (xy 49.292865 122.3645) + (xy 49.302922 122.301) + (xy 49.282957 122.174945) + (xy 49.225016 122.061229) + (xy 49.134771 121.970984) + (xy 49.021055 121.913043) + (xy 49.021057 121.913043) + (xy 48.895 121.893078) + (xy 48.768943 121.913043) + (xy 48.655228 121.970984) + (xy 48.564984 122.061228) + (xy 48.507043 122.174943) + (xy 48.487078 122.300999) + (xy 48.487078 122.301) + (xy 46.101 122.301) + (xy 46.101 120.7135) + (xy 70.394578 120.7135) + (xy 70.414543 120.839556) + (xy 70.464681 120.937956) + (xy 70.472484 120.953271) + (xy 70.562729 121.043516) + (xy 70.676443 121.101456) + (xy 70.676445 121.101457) + (xy 70.8025 121.121422) + (xy 70.928555 121.101457) + (xy 71.042271 121.043516) + (xy 71.132516 120.953271) + (xy 71.190457 120.839555) + (xy 71.210422 120.7135) + (xy 71.190457 120.587445) + (xy 71.132516 120.473729) + (xy 71.058787 120.4) + (xy 75.242443 120.4) + (xy 75.260977 120.528911) + (xy 75.315078 120.647373) + (xy 75.31508 120.647376) + (xy 75.400366 120.745801) + (xy 75.451771 120.778836) + (xy 75.49124 120.804201) + (xy 75.509926 120.816209) + (xy 75.634883 120.8529) + (xy 75.765117 120.8529) + (xy 75.890073 120.816209) + (xy 75.890073 120.816208) + (xy 75.890076 120.816208) + (xy 75.999636 120.745799) + (xy 76.084921 120.647374) + (xy 76.129391 120.55) + (xy 77.067078 120.55) + (xy 77.087043 120.676056) + (xy 77.106122 120.7135) + (xy 77.144984 120.789771) + (xy 77.235229 120.880016) + (xy 77.348943 120.937956) + (xy 77.348945 120.937957) + (xy 77.475 120.957922) + (xy 77.601055 120.937957) + (xy 77.714771 120.880016) + (xy 77.805016 120.789771) + (xy 77.862957 120.676055) + (xy 77.882922 120.55) + (xy 77.881014 120.537956) + (xy 77.875003 120.499999) + (xy 77.862957 120.423945) + (xy 77.850756 120.4) + (xy 84.442443 120.4) + (xy 84.460977 120.528911) + (xy 84.515078 120.647373) + (xy 84.51508 120.647376) + (xy 84.600366 120.745801) + (xy 84.651771 120.778836) + (xy 84.69124 120.804201) + (xy 84.709926 120.816209) + (xy 84.834883 120.8529) + (xy 84.965117 120.8529) + (xy 85.090073 120.816209) + (xy 85.090073 120.816208) + (xy 85.090076 120.816208) + (xy 85.199636 120.745799) + (xy 85.284921 120.647374) + (xy 85.329391 120.55) + (xy 86.242078 120.55) + (xy 86.262043 120.676056) + (xy 86.281122 120.7135) + (xy 86.319984 120.789771) + (xy 86.410229 120.880016) + (xy 86.523943 120.937956) + (xy 86.523945 120.937957) + (xy 86.65 120.957922) + (xy 86.776055 120.937957) + (xy 86.889771 120.880016) + (xy 86.980016 120.789771) + (xy 87.037957 120.676055) + (xy 87.057922 120.55) + (xy 87.056014 120.537956) + (xy 87.050003 120.499999) + (xy 87.037957 120.423945) + (xy 87.025756 120.4) + (xy 93.642443 120.4) + (xy 93.660977 120.528911) + (xy 93.715078 120.647373) + (xy 93.71508 120.647376) + (xy 93.800366 120.745801) + (xy 93.851771 120.778836) + (xy 93.89124 120.804201) + (xy 93.909926 120.816209) + (xy 94.034883 120.8529) + (xy 94.165117 120.8529) + (xy 94.290073 120.816209) + (xy 94.290073 120.816208) + (xy 94.290076 120.816208) + (xy 94.399636 120.745799) + (xy 94.484921 120.647374) + (xy 94.529391 120.55) + (xy 95.467078 120.55) + (xy 95.487043 120.676056) + (xy 95.506122 120.7135) + (xy 95.544984 120.789771) + (xy 95.635229 120.880016) + (xy 95.748943 120.937956) + (xy 95.748945 120.937957) + (xy 95.875 120.957922) + (xy 96.001055 120.937957) + (xy 96.114771 120.880016) + (xy 96.205016 120.789771) + (xy 96.262957 120.676055) + (xy 96.282922 120.55) + (xy 96.281014 120.537956) + (xy 96.275003 120.499999) + (xy 96.262957 120.423945) + (xy 96.250756 120.4) + (xy 102.842443 120.4) + (xy 102.860977 120.528911) + (xy 102.915078 120.647373) + (xy 102.91508 120.647376) + (xy 103.000366 120.745801) + (xy 103.051771 120.778836) + (xy 103.09124 120.804201) + (xy 103.109926 120.816209) + (xy 103.234883 120.8529) + (xy 103.365117 120.8529) + (xy 103.490073 120.816209) + (xy 103.490073 120.816208) + (xy 103.490076 120.816208) + (xy 103.599636 120.745799) + (xy 103.684921 120.647374) + (xy 103.729391 120.55) + (xy 104.642078 120.55) + (xy 104.662043 120.676056) + (xy 104.681122 120.7135) + (xy 104.719984 120.789771) + (xy 104.810229 120.880016) + (xy 104.923943 120.937956) + (xy 104.923945 120.937957) + (xy 105.05 120.957922) + (xy 105.176055 120.937957) + (xy 105.289771 120.880016) + (xy 105.380016 120.789771) + (xy 105.437957 120.676055) + (xy 105.457922 120.55) + (xy 105.456014 120.537956) + (xy 105.450003 120.499999) + (xy 105.437957 120.423945) + (xy 105.380016 120.310229) + (xy 105.289771 120.219984) + (xy 105.176055 120.162043) + (xy 105.176057 120.162043) + (xy 105.100019 120.15) + (xy 105.942078 120.15) + (xy 105.962043 120.276056) + (xy 105.979455 120.310228) + (xy 106.019984 120.389771) + (xy 106.110229 120.480016) + (xy 106.223943 120.537956) + (xy 106.223945 120.537957) + (xy 106.35 120.557922) + (xy 106.476055 120.537957) + (xy 106.55055 120.5) + (xy 112.692078 120.5) + (xy 112.712043 120.626056) + (xy 112.769984 120.739771) + (xy 112.860229 120.830016) + (xy 112.973943 120.887956) + (xy 112.973945 120.887957) + (xy 113.1 120.907922) + (xy 113.226055 120.887957) + (xy 113.339771 120.830016) + (xy 113.430016 120.739771) + (xy 113.487957 120.626055) + (xy 113.507922 120.5) + (xy 126.042329 120.5) + (xy 126.061331 120.644337) + (xy 126.07447 120.676056) + (xy 126.117043 120.778836) + (xy 126.117044 120.778838) + (xy 126.117045 120.778839) + (xy 126.205666 120.894333) + (xy 126.293908 120.962043) + (xy 126.321164 120.982957) + (xy 126.455664 121.038669) + (xy 126.6 121.057671) + (xy 126.744336 121.038669) + (xy 126.878836 120.982957) + (xy 126.994333 120.894333) + (xy 127.082957 120.778836) + (xy 127.138669 120.644336) + (xy 127.157671 120.5) + (xy 127.138669 120.355664) + (xy 127.082957 120.221165) + (xy 127.082051 120.219984) + (xy 127.066717 120.2) + (xy 128.592329 120.2) + (xy 128.611331 120.344337) + (xy 128.62611 120.380015) + (xy 128.667043 120.478836) + (xy 128.667044 120.478838) + (xy 128.667045 120.478839) + (xy 128.755666 120.594333) + (xy 128.797009 120.626056) + (xy 128.871164 120.682957) + (xy 129.005664 120.738669) + (xy 129.15 120.757671) + (xy 129.294336 120.738669) + (xy 129.428836 120.682957) + (xy 129.544333 120.594333) + (xy 129.632957 120.478836) + (xy 129.688669 120.344336) + (xy 129.707671 120.2) + (xy 130.992329 120.2) + (xy 131.011331 120.344337) + (xy 131.02611 120.380015) + (xy 131.067043 120.478836) + (xy 131.067044 120.478838) + (xy 131.067045 120.478839) + (xy 131.155666 120.594333) + (xy 131.197009 120.626056) + (xy 131.271164 120.682957) + (xy 131.405664 120.738669) + (xy 131.55 120.757671) + (xy 131.694336 120.738669) + (xy 131.828836 120.682957) + (xy 131.944333 120.594333) + (xy 132.032957 120.478836) + (xy 132.065612 120.4) + (xy 133.442329 120.4) + (xy 133.461331 120.544337) + (xy 133.479187 120.587443) + (xy 133.517043 120.678836) + (xy 133.517044 120.678838) + (xy 133.517045 120.678839) + (xy 133.605666 120.794333) + (xy 133.681993 120.8529) + (xy 133.721164 120.882957) + (xy 133.855664 120.938669) + (xy 134 120.957671) + (xy 134.144336 120.938669) + (xy 134.278836 120.882957) + (xy 134.394333 120.794333) + (xy 134.482957 120.678836) + (xy 134.538669 120.544336) + (xy 134.557671 120.4) + (xy 134.538669 120.255664) + (xy 134.482957 120.121165) + (xy 134.471065 120.105667) + (xy 134.394333 120.005666) + (xy 134.278839 119.917045) + (xy 134.278838 119.917044) + (xy 134.278836 119.917043) + (xy 134.191891 119.881029) + (xy 134.144337 119.861331) + (xy 134 119.842329) + (xy 133.855662 119.861331) + (xy 133.761064 119.900516) + (xy 133.726286 119.914922) + (xy 133.721163 119.917044) + (xy 133.721162 119.917044) + (xy 133.605666 120.005666) + (xy 133.517044 120.121162) + (xy 133.517044 120.121163) + (xy 133.461331 120.255662) + (xy 133.442329 120.4) + (xy 132.065612 120.4) + (xy 132.088669 120.344336) + (xy 132.107671 120.2) + (xy 132.088669 120.055664) + (xy 132.032957 119.921165) + (xy 132.012847 119.894957) + (xy 131.944333 119.805666) + (xy 131.828839 119.717045) + (xy 131.828838 119.717044) + (xy 131.828836 119.717043) + (xy 131.741891 119.681029) + (xy 131.694337 119.661331) + (xy 131.55 119.642329) + (xy 131.405662 119.661331) + (xy 131.316064 119.698445) + (xy 131.28813 119.710016) + (xy 131.271163 119.717044) + (xy 131.271162 119.717044) + (xy 131.155666 119.805666) + (xy 131.067044 119.921162) + (xy 131.067044 119.921163) + (xy 131.067043 119.921164) + (xy 131.067043 119.921165) + (xy 131.063377 119.930015) + (xy 131.011331 120.055662) + (xy 130.992329 120.2) + (xy 129.707671 120.2) + (xy 129.688669 120.055664) + (xy 129.632957 119.921165) + (xy 129.612847 119.894957) + (xy 129.544333 119.805666) + (xy 129.428839 119.717045) + (xy 129.428838 119.717044) + (xy 129.428836 119.717043) + (xy 129.341891 119.681029) + (xy 129.294337 119.661331) + (xy 129.15 119.642329) + (xy 129.005662 119.661331) + (xy 128.916064 119.698445) + (xy 128.88813 119.710016) + (xy 128.871163 119.717044) + (xy 128.871162 119.717044) + (xy 128.755666 119.805666) + (xy 128.667044 119.921162) + (xy 128.667044 119.921163) + (xy 128.667043 119.921164) + (xy 128.667043 119.921165) + (xy 128.663377 119.930015) + (xy 128.611331 120.055662) + (xy 128.592329 120.2) + (xy 127.066717 120.2) + (xy 126.994333 120.105666) + (xy 126.878839 120.017045) + (xy 126.878838 120.017044) + (xy 126.878836 120.017043) + (xy 126.785597 119.978422) + (xy 126.744337 119.961331) + (xy 126.6 119.942329) + (xy 126.455662 119.961331) + (xy 126.321163 120.017044) + (xy 126.321162 120.017044) + (xy 126.205666 120.105666) + (xy 126.117044 120.221162) + (xy 126.117044 120.221163) + (xy 126.061331 120.355662) + (xy 126.042329 120.5) + (xy 113.507922 120.5) + (xy 113.487957 120.373945) + (xy 113.430016 120.260229) + (xy 113.339771 120.169984) + (xy 113.226055 120.112043) + (xy 113.226057 120.112043) + (xy 113.1 120.092078) + (xy 112.973943 120.112043) + (xy 112.860228 120.169984) + (xy 112.769984 120.260228) + (xy 112.712043 120.373943) + (xy 112.692078 120.499999) + (xy 112.692078 120.5) + (xy 106.55055 120.5) + (xy 106.589771 120.480016) + (xy 106.680016 120.389771) + (xy 106.737957 120.276055) + (xy 106.757922 120.15) + (xy 106.756255 120.139478) + (xy 106.744344 120.064271) + (xy 106.742084 120.05) + (xy 116.092078 120.05) + (xy 116.112043 120.176056) + (xy 116.160466 120.271091) + (xy 116.169984 120.289771) + (xy 116.260229 120.380016) + (xy 116.373943 120.437956) + (xy 116.373945 120.437957) + (xy 116.5 120.457922) + (xy 116.626055 120.437957) + (xy 116.739771 120.380016) + (xy 116.830016 120.289771) + (xy 116.887957 120.176055) + (xy 116.907922 120.05) + (xy 120.792078 120.05) + (xy 120.812043 120.176056) + (xy 120.860466 120.271091) + (xy 120.869984 120.289771) + (xy 120.960229 120.380016) + (xy 121.073943 120.437956) + (xy 121.073945 120.437957) + (xy 121.2 120.457922) + (xy 121.326055 120.437957) + (xy 121.439771 120.380016) + (xy 121.530016 120.289771) + (xy 121.587957 120.176055) + (xy 121.607922 120.05) + (xy 121.587957 119.923945) + (xy 121.530016 119.810229) + (xy 121.439771 119.719984) + (xy 121.326055 119.662043) + (xy 121.326057 119.662043) + (xy 121.2 119.642078) + (xy 121.073943 119.662043) + (xy 120.960228 119.719984) + (xy 120.869984 119.810228) + (xy 120.812043 119.923943) + (xy 120.792078 120.049999) + (xy 120.792078 120.05) + (xy 116.907922 120.05) + (xy 116.887957 119.923945) + (xy 116.830016 119.810229) + (xy 116.739771 119.719984) + (xy 116.626055 119.662043) + (xy 116.626057 119.662043) + (xy 116.5 119.642078) + (xy 116.373943 119.662043) + (xy 116.260228 119.719984) + (xy 116.169984 119.810228) + (xy 116.112043 119.923943) + (xy 116.092078 120.049999) + (xy 116.092078 120.05) + (xy 106.742084 120.05) + (xy 106.737957 120.023945) + (xy 106.680016 119.910229) + (xy 106.589771 119.819984) + (xy 106.476055 119.762043) + (xy 106.476057 119.762043) + (xy 106.35 119.742078) + (xy 106.223943 119.762043) + (xy 106.110228 119.819984) + (xy 106.019984 119.910228) + (xy 105.962043 120.023943) + (xy 105.942078 120.149999) + (xy 105.942078 120.15) + (xy 105.100019 120.15) + (xy 105.05 120.142078) + (xy 104.923943 120.162043) + (xy 104.810228 120.219984) + (xy 104.719984 120.310228) + (xy 104.662043 120.423943) + (xy 104.642078 120.549999) + (xy 104.642078 120.55) + (xy 103.729391 120.55) + (xy 103.739023 120.528909) + (xy 103.757557 120.4) + (xy 103.739023 120.271091) + (xy 103.734062 120.260229) + (xy 103.684921 120.152626) + (xy 103.684919 120.152623) + (xy 103.631535 120.091015) + (xy 103.599636 120.054201) + (xy 103.599635 120.0542) + (xy 103.599633 120.054198) + (xy 103.490073 119.98379) + (xy 103.365117 119.9471) + (xy 103.234883 119.9471) + (xy 103.109926 119.98379) + (xy 103.000366 120.054198) + (xy 102.91508 120.152623) + (xy 102.915078 120.152626) + (xy 102.860977 120.271088) + (xy 102.842443 120.4) + (xy 96.250756 120.4) + (xy 96.205016 120.310229) + (xy 96.114771 120.219984) + (xy 96.001055 120.162043) + (xy 96.001057 120.162043) + (xy 95.875 120.142078) + (xy 95.748943 120.162043) + (xy 95.635228 120.219984) + (xy 95.544984 120.310228) + (xy 95.487043 120.423943) + (xy 95.467078 120.549999) + (xy 95.467078 120.55) + (xy 94.529391 120.55) + (xy 94.539023 120.528909) + (xy 94.557557 120.4) + (xy 94.539023 120.271091) + (xy 94.534062 120.260229) + (xy 94.484921 120.152626) + (xy 94.484919 120.152623) + (xy 94.431535 120.091015) + (xy 94.399636 120.054201) + (xy 94.399635 120.0542) + (xy 94.399633 120.054198) + (xy 94.290073 119.98379) + (xy 94.165117 119.9471) + (xy 94.034883 119.9471) + (xy 93.909926 119.98379) + (xy 93.800366 120.054198) + (xy 93.71508 120.152623) + (xy 93.715078 120.152626) + (xy 93.660977 120.271088) + (xy 93.642443 120.4) + (xy 87.025756 120.4) + (xy 86.980016 120.310229) + (xy 86.889771 120.219984) + (xy 86.776055 120.162043) + (xy 86.776057 120.162043) + (xy 86.65 120.142078) + (xy 86.523943 120.162043) + (xy 86.410228 120.219984) + (xy 86.319984 120.310228) + (xy 86.262043 120.423943) + (xy 86.242078 120.549999) + (xy 86.242078 120.55) + (xy 85.329391 120.55) + (xy 85.339023 120.528909) + (xy 85.357557 120.4) + (xy 85.339023 120.271091) + (xy 85.334062 120.260229) + (xy 85.284921 120.152626) + (xy 85.284919 120.152623) + (xy 85.231535 120.091015) + (xy 85.199636 120.054201) + (xy 85.199635 120.0542) + (xy 85.199633 120.054198) + (xy 85.090073 119.98379) + (xy 84.965117 119.9471) + (xy 84.834883 119.9471) + (xy 84.709926 119.98379) + (xy 84.600366 120.054198) + (xy 84.51508 120.152623) + (xy 84.515078 120.152626) + (xy 84.460977 120.271088) + (xy 84.442443 120.4) + (xy 77.850756 120.4) + (xy 77.805016 120.310229) + (xy 77.714771 120.219984) + (xy 77.601055 120.162043) + (xy 77.601057 120.162043) + (xy 77.475 120.142078) + (xy 77.348943 120.162043) + (xy 77.235228 120.219984) + (xy 77.144984 120.310228) + (xy 77.087043 120.423943) + (xy 77.067078 120.549999) + (xy 77.067078 120.55) + (xy 76.129391 120.55) + (xy 76.139023 120.528909) + (xy 76.157557 120.4) + (xy 76.139023 120.271091) + (xy 76.134062 120.260229) + (xy 76.084921 120.152626) + (xy 76.084919 120.152623) + (xy 76.031535 120.091015) + (xy 75.999636 120.054201) + (xy 75.999635 120.0542) + (xy 75.999633 120.054198) + (xy 75.890073 119.98379) + (xy 75.765117 119.9471) + (xy 75.634883 119.9471) + (xy 75.509926 119.98379) + (xy 75.400366 120.054198) + (xy 75.31508 120.152623) + (xy 75.315078 120.152626) + (xy 75.260977 120.271088) + (xy 75.242443 120.4) + (xy 71.058787 120.4) + (xy 71.042271 120.383484) + (xy 70.928555 120.325543) + (xy 70.928557 120.325543) + (xy 70.8025 120.305578) + (xy 70.676443 120.325543) + (xy 70.562728 120.383484) + (xy 70.472484 120.473728) + (xy 70.414543 120.587443) + (xy 70.394578 120.713499) + (xy 70.394578 120.7135) + (xy 46.101 120.7135) + (xy 46.101 120.206481) + (xy 46.118593 120.158143) + (xy 46.163142 120.132423) + (xy 46.210341 120.139478) + (xy 46.228942 120.148956) + (xy 46.228943 120.148956) + (xy 46.228945 120.148957) + (xy 46.355 120.168922) + (xy 46.481055 120.148957) + (xy 46.594771 120.091016) + (xy 46.685016 120.000771) + (xy 46.742957 119.887055) + (xy 46.762922 119.761) + (xy 51.027078 119.761) + (xy 51.047043 119.887056) + (xy 51.083424 119.958457) + (xy 51.104984 120.000771) + (xy 51.195229 120.091016) + (xy 51.308943 120.148956) + (xy 51.308945 120.148957) + (xy 51.435 120.168922) + (xy 51.561055 120.148957) + (xy 51.674771 120.091016) + (xy 51.765016 120.000771) + (xy 51.822957 119.887055) + (xy 51.832865 119.8245) + (xy 56.107078 119.8245) + (xy 56.127043 119.950556) + (xy 56.184984 120.064271) + (xy 56.275229 120.154516) + (xy 56.388943 120.212456) + (xy 56.388945 120.212457) + (xy 56.515 120.232422) + (xy 56.641055 120.212457) + (xy 56.754771 120.154516) + (xy 56.845016 120.064271) + (xy 56.902957 119.950555) + (xy 56.922922 119.8245) + (xy 61.123578 119.8245) + (xy 61.143543 119.950556) + (xy 61.201484 120.064271) + (xy 61.291729 120.154516) + (xy 61.405443 120.212456) + (xy 61.405445 120.212457) + (xy 61.5315 120.232422) + (xy 61.657555 120.212457) + (xy 61.771271 120.154516) + (xy 61.861516 120.064271) + (xy 61.919457 119.950555) + (xy 61.939422 119.8245) + (xy 61.919457 119.698445) + (xy 61.861516 119.584729) + (xy 61.847287 119.5705) + (xy 63.536578 119.5705) + (xy 63.556543 119.696556) + (xy 63.592924 119.767957) + (xy 63.614484 119.810271) + (xy 63.704729 119.900516) + (xy 63.818443 119.958456) + (xy 63.818445 119.958457) + (xy 63.9445 119.978422) + (xy 64.070555 119.958457) + (xy 64.184271 119.900516) + (xy 64.274516 119.810271) + (xy 64.332457 119.696555) + (xy 64.352422 119.5705) + (xy 64.342365 119.507) + (xy 64.870078 119.507) + (xy 64.890043 119.633056) + (xy 64.922815 119.697374) + (xy 64.947984 119.746771) + (xy 65.038229 119.837016) + (xy 65.151943 119.894956) + (xy 65.151945 119.894957) + (xy 65.278 119.914922) + (xy 65.404055 119.894957) + (xy 65.517771 119.837016) + (xy 65.608016 119.746771) + (xy 65.665957 119.633055) + (xy 65.685922 119.507) + (xy 65.685772 119.506056) + (xy 65.676014 119.444443) + (xy 65.665957 119.380945) + (xy 65.665476 119.38) + (xy 70.077078 119.38) + (xy 70.097043 119.506056) + (xy 70.129858 119.570459) + (xy 70.154984 119.619771) + (xy 70.245229 119.710016) + (xy 70.358943 119.767956) + (xy 70.358945 119.767957) + (xy 70.485 119.787922) + (xy 70.611055 119.767957) + (xy 70.724771 119.710016) + (xy 70.815016 119.619771) + (xy 70.82509 119.6) + (xy 113.292078 119.6) + (xy 113.312043 119.726056) + (xy 113.362203 119.8245) + (xy 113.369984 119.839771) + (xy 113.460229 119.930016) + (xy 113.573943 119.987956) + (xy 113.573945 119.987957) + (xy 113.7 120.007922) + (xy 113.826055 119.987957) + (xy 113.939771 119.930016) + (xy 114.030016 119.839771) + (xy 114.087957 119.726055) + (xy 114.107922 119.6) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.662203 119.8245) + (xy 114.669984 119.839771) + (xy 114.760229 119.930016) + (xy 114.873943 119.987956) + (xy 114.873945 119.987957) + (xy 115 120.007922) + (xy 115.126055 119.987957) + (xy 115.239771 119.930016) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 117.942078 119.6) + (xy 117.962043 119.726056) + (xy 118.012203 119.8245) + (xy 118.019984 119.839771) + (xy 118.110229 119.930016) + (xy 118.223943 119.987956) + (xy 118.223945 119.987957) + (xy 118.35 120.007922) + (xy 118.476055 119.987957) + (xy 118.589771 119.930016) + (xy 118.680016 119.839771) + (xy 118.737957 119.726055) + (xy 118.757922 119.6) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.312203 119.8245) + (xy 119.319984 119.839771) + (xy 119.410229 119.930016) + (xy 119.523943 119.987956) + (xy 119.523945 119.987957) + (xy 119.65 120.007922) + (xy 119.776055 119.987957) + (xy 119.889771 119.930016) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.523943 119.212043) + (xy 119.410228 119.269984) + (xy 119.319984 119.360228) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 118.757922 119.6) + (xy 118.737957 119.473945) + (xy 118.680016 119.360229) + (xy 118.589771 119.269984) + (xy 118.476055 119.212043) + (xy 118.476057 119.212043) + (xy 118.35 119.192078) + (xy 118.223943 119.212043) + (xy 118.110228 119.269984) + (xy 118.019984 119.360228) + (xy 117.962043 119.473943) + (xy 117.942078 119.599999) + (xy 117.942078 119.6) + (xy 115.407922 119.6) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.107922 119.6) + (xy 114.087957 119.473945) + (xy 114.030016 119.360229) + (xy 113.939771 119.269984) + (xy 113.826055 119.212043) + (xy 113.826057 119.212043) + (xy 113.7 119.192078) + (xy 113.573943 119.212043) + (xy 113.460228 119.269984) + (xy 113.369984 119.360228) + (xy 113.312043 119.473943) + (xy 113.292078 119.599999) + (xy 113.292078 119.6) + (xy 70.82509 119.6) + (xy 70.872957 119.506055) + (xy 70.892922 119.38) + (xy 70.872957 119.253945) + (xy 70.815016 119.140229) + (xy 70.724771 119.049984) + (xy 70.611055 118.992043) + (xy 70.611057 118.992043) + (xy 70.485 118.972078) + (xy 70.358943 118.992043) + (xy 70.245228 119.049984) + (xy 70.154984 119.140228) + (xy 70.097043 119.253943) + (xy 70.077078 119.379999) + (xy 70.077078 119.38) + (xy 65.665476 119.38) + (xy 65.608016 119.267229) + (xy 65.517771 119.176984) + (xy 65.404055 119.119043) + (xy 65.404057 119.119043) + (xy 65.278 119.099078) + (xy 65.151943 119.119043) + (xy 65.038228 119.176984) + (xy 64.947984 119.267228) + (xy 64.890043 119.380943) + (xy 64.870078 119.506999) + (xy 64.870078 119.507) + (xy 64.342365 119.507) + (xy 64.332457 119.444445) + (xy 64.274516 119.330729) + (xy 64.184271 119.240484) + (xy 64.070555 119.182543) + (xy 64.070557 119.182543) + (xy 63.9445 119.162578) + (xy 63.818443 119.182543) + (xy 63.704728 119.240484) + (xy 63.614484 119.330728) + (xy 63.556543 119.444443) + (xy 63.536578 119.570499) + (xy 63.536578 119.5705) + (xy 61.847287 119.5705) + (xy 61.771271 119.494484) + (xy 61.657555 119.436543) + (xy 61.657557 119.436543) + (xy 61.5315 119.416578) + (xy 61.405443 119.436543) + (xy 61.291728 119.494484) + (xy 61.201484 119.584728) + (xy 61.143543 119.698443) + (xy 61.123578 119.824499) + (xy 61.123578 119.8245) + (xy 56.922922 119.8245) + (xy 56.902957 119.698445) + (xy 56.845016 119.584729) + (xy 56.754771 119.494484) + (xy 56.641055 119.436543) + (xy 56.641057 119.436543) + (xy 56.515 119.416578) + (xy 56.388943 119.436543) + (xy 56.275228 119.494484) + (xy 56.184984 119.584728) + (xy 56.127043 119.698443) + (xy 56.107078 119.824499) + (xy 56.107078 119.8245) + (xy 51.832865 119.8245) + (xy 51.842922 119.761) + (xy 51.822957 119.634945) + (xy 51.765016 119.521229) + (xy 51.674771 119.430984) + (xy 51.561055 119.373043) + (xy 51.561057 119.373043) + (xy 51.435 119.353078) + (xy 51.308943 119.373043) + (xy 51.195228 119.430984) + (xy 51.104984 119.521228) + (xy 51.047043 119.634943) + (xy 51.027078 119.760999) + (xy 51.027078 119.761) + (xy 46.762922 119.761) + (xy 46.742957 119.634945) + (xy 46.685016 119.521229) + (xy 46.594771 119.430984) + (xy 46.481055 119.373043) + (xy 46.481057 119.373043) + (xy 46.355 119.353078) + (xy 46.228942 119.373043) + (xy 46.22894 119.373044) + (xy 46.210339 119.382522) + (xy 46.159283 119.38879) + (xy 46.116142 119.360774) + (xy 46.101 119.315518) + (xy 46.101 118.491) + (xy 63.854078 118.491) + (xy 63.874043 118.617056) + (xy 63.876136 118.621163) + (xy 63.931984 118.730771) + (xy 64.022229 118.821016) + (xy 64.135943 118.878956) + (xy 64.135945 118.878957) + (xy 64.262 118.898922) + (xy 64.388055 118.878957) + (xy 64.501771 118.821016) + (xy 64.577787 118.745) + (xy 125.195078 118.745) + (xy 125.215043 118.871056) + (xy 125.272984 118.984771) + (xy 125.363229 119.075016) + (xy 125.476943 119.132956) + (xy 125.476945 119.132957) + (xy 125.603 119.152922) + (xy 125.729055 119.132957) + (xy 125.842771 119.075016) + (xy 125.933016 118.984771) + (xy 125.990957 118.871055) + (xy 126.010922 118.745) + (xy 126.003795 118.7) + (xy 128.592329 118.7) + (xy 128.611331 118.844337) + (xy 128.62611 118.880015) + (xy 128.667043 118.978836) + (xy 128.667044 118.978838) + (xy 128.667045 118.978839) + (xy 128.755666 119.094333) + (xy 128.86338 119.176984) + (xy 128.871164 119.182957) + (xy 129.005664 119.238669) + (xy 129.15 119.257671) + (xy 129.294336 119.238669) + (xy 129.428836 119.182957) + (xy 129.544333 119.094333) + (xy 129.632957 118.978836) + (xy 129.665612 118.9) + (xy 130.992329 118.9) + (xy 131.009942 119.03379) + (xy 131.011331 119.044336) + (xy 131.067043 119.178836) + (xy 131.067044 119.178838) + (xy 131.067045 119.178839) + (xy 131.155666 119.294333) + (xy 131.258244 119.373043) + (xy 131.271164 119.382957) + (xy 131.405664 119.438669) + (xy 131.55 119.457671) + (xy 131.694336 119.438669) + (xy 131.828836 119.382957) + (xy 131.944333 119.294333) + (xy 132.032957 119.178836) + (xy 132.088669 119.044336) + (xy 132.101088 118.95) + (xy 133.442329 118.95) + (xy 133.46133 119.094333) + (xy 133.461331 119.094336) + (xy 133.517043 119.228836) + (xy 133.517044 119.228838) + (xy 133.517045 119.228839) + (xy 133.605666 119.344333) + (xy 133.663604 119.38879) + (xy 133.721164 119.432957) + (xy 133.855664 119.488669) + (xy 134 119.507671) + (xy 134.144336 119.488669) + (xy 134.237691 119.45) + (xy 135.742443 119.45) + (xy 135.760977 119.578911) + (xy 135.815078 119.697373) + (xy 135.81508 119.697376) + (xy 135.900366 119.795801) + (xy 136.009926 119.866209) + (xy 136.134883 119.9029) + (xy 136.265117 119.9029) + (xy 136.390073 119.866209) + (xy 136.390073 119.866208) + (xy 136.390076 119.866208) + (xy 136.499636 119.795799) + (xy 136.584921 119.697374) + (xy 136.639023 119.578909) + (xy 136.657557 119.45) + (xy 137.942443 119.45) + (xy 137.960977 119.578911) + (xy 138.015078 119.697373) + (xy 138.01508 119.697376) + (xy 138.100366 119.795801) + (xy 138.209926 119.866209) + (xy 138.334883 119.9029) + (xy 138.465117 119.9029) + (xy 138.590073 119.866209) + (xy 138.590073 119.866208) + (xy 138.590076 119.866208) + (xy 138.699636 119.795799) + (xy 138.784921 119.697374) + (xy 138.839023 119.578909) + (xy 138.857557 119.45) + (xy 138.839023 119.321091) + (xy 138.80221 119.240484) + (xy 138.784921 119.202626) + (xy 138.784919 119.202623) + (xy 138.716339 119.123478) + (xy 138.699636 119.104201) + (xy 138.699635 119.1042) + (xy 138.699633 119.104198) + (xy 138.590073 119.03379) + (xy 138.465117 118.9971) + (xy 138.334883 118.9971) + (xy 138.209926 119.03379) + (xy 138.100366 119.104198) + (xy 138.01508 119.202623) + (xy 138.015078 119.202626) + (xy 137.960977 119.321088) + (xy 137.942443 119.45) + (xy 136.657557 119.45) + (xy 136.639023 119.321091) + (xy 136.60221 119.240484) + (xy 136.584921 119.202626) + (xy 136.584919 119.202623) + (xy 136.516339 119.123478) + (xy 136.499636 119.104201) + (xy 136.499635 119.1042) + (xy 136.499633 119.104198) + (xy 136.390073 119.03379) + (xy 136.265117 118.9971) + (xy 136.134883 118.9971) + (xy 136.009926 119.03379) + (xy 135.900366 119.104198) + (xy 135.81508 119.202623) + (xy 135.815078 119.202626) + (xy 135.760977 119.321088) + (xy 135.742443 119.45) + (xy 134.237691 119.45) + (xy 134.278836 119.432957) + (xy 134.394333 119.344333) + (xy 134.482957 119.228836) + (xy 134.538669 119.094336) + (xy 134.557671 118.95) + (xy 134.538669 118.805664) + (xy 134.482957 118.671165) + (xy 134.444591 118.621165) + (xy 134.394333 118.555666) + (xy 134.386949 118.55) + (xy 138.592078 118.55) + (xy 138.612043 118.676056) + (xy 138.647172 118.745) + (xy 138.669984 118.789771) + (xy 138.760229 118.880016) + (xy 138.873943 118.937956) + (xy 138.873945 118.937957) + (xy 139 118.957922) + (xy 139.050018 118.95) + (xy 140.792329 118.95) + (xy 140.81133 119.094333) + (xy 140.811331 119.094336) + (xy 140.867043 119.228836) + (xy 140.867044 119.228838) + (xy 140.867045 119.228839) + (xy 140.955666 119.344333) + (xy 141.013604 119.38879) + (xy 141.071164 119.432957) + (xy 141.205664 119.488669) + (xy 141.35 119.507671) + (xy 141.494336 119.488669) + (xy 141.628836 119.432957) + (xy 141.744333 119.344333) + (xy 141.832957 119.228836) + (xy 141.888669 119.094336) + (xy 141.907671 118.95) + (xy 141.888669 118.805664) + (xy 141.832957 118.671165) + (xy 141.794591 118.621165) + (xy 141.744333 118.555666) + (xy 141.628839 118.467045) + (xy 141.628838 118.467044) + (xy 141.628836 118.467043) + (xy 141.524784 118.423943) + (xy 141.494337 118.411331) + (xy 141.35 118.392329) + (xy 141.205662 118.411331) + (xy 141.071163 118.467044) + (xy 141.071162 118.467044) + (xy 140.955666 118.555666) + (xy 140.867044 118.671162) + (xy 140.867044 118.671163) + (xy 140.867043 118.671164) + (xy 140.867043 118.671165) + (xy 140.865017 118.676056) + (xy 140.811331 118.805662) + (xy 140.792329 118.95) + (xy 139.050018 118.95) + (xy 139.126055 118.937957) + (xy 139.239771 118.880016) + (xy 139.330016 118.789771) + (xy 139.387957 118.676055) + (xy 139.407922 118.55) + (xy 139.387957 118.423945) + (xy 139.330016 118.310229) + (xy 139.239771 118.219984) + (xy 139.126055 118.162043) + (xy 139.126057 118.162043) + (xy 139 118.142078) + (xy 138.873943 118.162043) + (xy 138.760228 118.219984) + (xy 138.669984 118.310228) + (xy 138.612043 118.423943) + (xy 138.592078 118.549999) + (xy 138.592078 118.55) + (xy 134.386949 118.55) + (xy 134.278839 118.467045) + (xy 134.278838 118.467044) + (xy 134.278836 118.467043) + (xy 134.174784 118.423943) + (xy 134.144337 118.411331) + (xy 134 118.392329) + (xy 133.855662 118.411331) + (xy 133.721163 118.467044) + (xy 133.721162 118.467044) + (xy 133.605666 118.555666) + (xy 133.517044 118.671162) + (xy 133.517044 118.671163) + (xy 133.517043 118.671164) + (xy 133.517043 118.671165) + (xy 133.515017 118.676056) + (xy 133.461331 118.805662) + (xy 133.442329 118.95) + (xy 132.101088 118.95) + (xy 132.107671 118.9) + (xy 132.088669 118.755664) + (xy 132.032957 118.621165) + (xy 132.029804 118.617056) + (xy 131.944333 118.505666) + (xy 131.828839 118.417045) + (xy 131.828838 118.417044) + (xy 131.828836 118.417043) + (xy 131.723898 118.373576) + (xy 131.694337 118.361331) + (xy 131.55 118.342329) + (xy 131.405662 118.361331) + (xy 131.271163 118.417044) + (xy 131.271162 118.417044) + (xy 131.155666 118.505666) + (xy 131.067044 118.621162) + (xy 131.067044 118.621163) + (xy 131.011331 118.755662) + (xy 130.992329 118.9) + (xy 129.665612 118.9) + (xy 129.688669 118.844336) + (xy 129.707671 118.7) + (xy 129.688669 118.555664) + (xy 129.632957 118.421165) + (xy 129.629794 118.417043) + (xy 129.544333 118.305666) + (xy 129.428839 118.217045) + (xy 129.428838 118.217044) + (xy 129.428836 118.217043) + (xy 129.341891 118.181029) + (xy 129.294337 118.161331) + (xy 129.15 118.142329) + (xy 129.005662 118.161331) + (xy 128.871163 118.217044) + (xy 128.871162 118.217044) + (xy 128.755666 118.305666) + (xy 128.667044 118.421162) + (xy 128.667044 118.421163) + (xy 128.667043 118.421164) + (xy 128.667043 118.421165) + (xy 128.657485 118.444238) + (xy 128.611331 118.555662) + (xy 128.592329 118.7) + (xy 126.003795 118.7) + (xy 125.990957 118.618945) + (xy 125.933016 118.505229) + (xy 125.842771 118.414984) + (xy 125.729055 118.357043) + (xy 125.729057 118.357043) + (xy 125.603 118.337078) + (xy 125.476943 118.357043) + (xy 125.363228 118.414984) + (xy 125.272984 118.505228) + (xy 125.215043 118.618943) + (xy 125.195078 118.744999) + (xy 125.195078 118.745) + (xy 64.577787 118.745) + (xy 64.592016 118.730771) + (xy 64.649957 118.617055) + (xy 64.669922 118.491) + (xy 64.649957 118.364945) + (xy 64.592016 118.251229) + (xy 64.501771 118.160984) + (xy 64.388055 118.103043) + (xy 64.388057 118.103043) + (xy 64.262 118.083078) + (xy 64.135943 118.103043) + (xy 64.022228 118.160984) + (xy 63.931984 118.251228) + (xy 63.874043 118.364943) + (xy 63.854078 118.490999) + (xy 63.854078 118.491) + (xy 46.101 118.491) + (xy 46.101 117.221) + (xy 48.487078 117.221) + (xy 48.507043 117.347056) + (xy 48.529641 117.391406) + (xy 48.564984 117.460771) + (xy 48.655229 117.551016) + (xy 48.768943 117.608956) + (xy 48.768945 117.608957) + (xy 48.895 117.628922) + (xy 49.021055 117.608957) + (xy 49.134771 117.551016) + (xy 49.225016 117.460771) + (xy 49.282957 117.347055) + (xy 49.292865 117.2845) + (xy 53.567078 117.2845) + (xy 53.587043 117.410556) + (xy 53.635502 117.505662) + (xy 53.644984 117.524271) + (xy 53.735229 117.614516) + (xy 53.848943 117.672456) + (xy 53.848945 117.672457) + (xy 53.975 117.692422) + (xy 54.101055 117.672457) + (xy 54.214771 117.614516) + (xy 54.305016 117.524271) + (xy 54.362957 117.410555) + (xy 54.382922 117.2845) + (xy 58.647078 117.2845) + (xy 58.667043 117.410556) + (xy 58.715502 117.505662) + (xy 58.724984 117.524271) + (xy 58.815229 117.614516) + (xy 58.928943 117.672456) + (xy 58.928945 117.672457) + (xy 59.055 117.692422) + (xy 59.181055 117.672457) + (xy 59.294771 117.614516) + (xy 59.385016 117.524271) + (xy 59.442957 117.410555) + (xy 59.462922 117.2845) + (xy 59.451622 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.137885 117.599999) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.373662 118.007922) + (xy 65.42294 118.071235) + (xy 65.598266 118.232633) + (xy 65.788691 118.357044) + (xy 65.797768 118.362974) + (xy 65.936763 118.423943) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.66265 118.522116) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 117.13766) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.611882 117.721346) + (xy 68.611883 117.721347) + (xy 68.611884 117.721347) + (xy 68.611886 117.721349) + (xy 68.767685 117.799594) + (xy 68.937329 117.8398) + (xy 69.067943 117.8398) + (xy 69.067945 117.839799) + (xy 69.084682 117.837842) + (xy 69.197664 117.824637) + (xy 69.361493 117.765008) + (xy 69.507154 117.669205) + (xy 69.626796 117.542393) + (xy 69.713967 117.391407) + (xy 69.763969 117.224388) + (xy 69.764623 117.213155) + (xy 70.12655 117.213155) + (xy 70.165772 117.448198) + (xy 70.165773 117.448202) + (xy 70.165774 117.448206) + (xy 70.217885 117.599999) + (xy 70.243152 117.6736) + (xy 70.243153 117.673602) + (xy 70.356569 117.883177) + (xy 70.453662 118.007922) + (xy 70.50294 118.071235) + (xy 70.678266 118.232633) + (xy 70.868691 118.357044) + (xy 70.877768 118.362974) + (xy 71.016763 118.423943) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.74265 118.522116) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.62606 118.071235) + (xy 72.72042 117.95) + (xy 78.392078 117.95) + (xy 78.412043 118.076056) + (xy 78.469984 118.189771) + (xy 78.560229 118.280016) + (xy 78.673943 118.337956) + (xy 78.673945 118.337957) + (xy 78.8 118.357922) + (xy 78.926055 118.337957) + (xy 79.039771 118.280016) + (xy 79.130016 118.189771) + (xy 79.187957 118.076055) + (xy 79.207922 117.95) + (xy 87.592078 117.95) + (xy 87.612043 118.076056) + (xy 87.669984 118.189771) + (xy 87.760229 118.280016) + (xy 87.873943 118.337956) + (xy 87.873945 118.337957) + (xy 88 118.357922) + (xy 88.126055 118.337957) + (xy 88.239771 118.280016) + (xy 88.330016 118.189771) + (xy 88.387957 118.076055) + (xy 88.407922 117.95) + (xy 96.792078 117.95) + (xy 96.812043 118.076056) + (xy 96.869984 118.189771) + (xy 96.960229 118.280016) + (xy 97.073943 118.337956) + (xy 97.073945 118.337957) + (xy 97.2 118.357922) + (xy 97.326055 118.337957) + (xy 97.439771 118.280016) + (xy 97.530016 118.189771) + (xy 97.587957 118.076055) + (xy 97.607922 117.95) + (xy 97.587957 117.823945) + (xy 97.530016 117.710229) + (xy 97.439771 117.619984) + (xy 97.40055 117.6) + (xy 102.092078 117.6) + (xy 102.112043 117.726056) + (xy 102.13189 117.765008) + (xy 102.169984 117.839771) + (xy 102.260229 117.930016) + (xy 102.373943 117.987956) + (xy 102.373945 117.987957) + (xy 102.5 118.007922) + (xy 102.626055 117.987957) + (xy 102.70055 117.95) + (xy 105.992078 117.95) + (xy 106.012043 118.076056) + (xy 106.069984 118.189771) + (xy 106.160229 118.280016) + (xy 106.273943 118.337956) + (xy 106.273945 118.337957) + (xy 106.4 118.357922) + (xy 106.526055 118.337957) + (xy 106.639771 118.280016) + (xy 106.730016 118.189771) + (xy 106.787957 118.076055) + (xy 106.807922 117.95) + (xy 106.787957 117.823945) + (xy 106.730016 117.710229) + (xy 106.669787 117.65) + (xy 129.792329 117.65) + (xy 129.811331 117.794337) + (xy 129.823882 117.824637) + (xy 129.867043 117.928836) + (xy 129.867044 117.928838) + (xy 129.867045 117.928839) + (xy 129.955666 118.044333) + (xy 129.997009 118.076056) + (xy 130.071164 118.132957) + (xy 130.205664 118.188669) + (xy 130.35 118.207671) + (xy 130.494336 118.188669) + (xy 130.628836 118.132957) + (xy 130.744333 118.044333) + (xy 130.832957 117.928836) + (xy 130.888669 117.794336) + (xy 130.907671 117.65) + (xy 132.192329 117.65) + (xy 132.211331 117.794337) + (xy 132.223882 117.824637) + (xy 132.267043 117.928836) + (xy 132.267044 117.928838) + (xy 132.267045 117.928839) + (xy 132.355666 118.044333) + (xy 132.397009 118.076056) + (xy 132.471164 118.132957) + (xy 132.605664 118.188669) + (xy 132.75 118.207671) + (xy 132.894336 118.188669) + (xy 133.028836 118.132957) + (xy 133.144333 118.044333) + (xy 133.232957 117.928836) + (xy 133.288669 117.794336) + (xy 133.307671 117.65) + (xy 133.288669 117.505664) + (xy 133.232957 117.371165) + (xy 133.18553 117.309356) + (xy 133.144333 117.255666) + (xy 133.028839 117.167045) + (xy 133.028838 117.167044) + (xy 133.028836 117.167043) + (xy 132.941891 117.131029) + (xy 132.894337 117.111331) + (xy 132.75 117.092329) + (xy 132.605662 117.111331) + (xy 132.471163 117.167044) + (xy 132.471162 117.167044) + (xy 132.355666 117.255666) + (xy 132.267044 117.371162) + (xy 132.267044 117.371163) + (xy 132.211331 117.505662) + (xy 132.192329 117.65) + (xy 130.907671 117.65) + (xy 130.888669 117.505664) + (xy 130.832957 117.371165) + (xy 130.78553 117.309356) + (xy 130.744333 117.255666) + (xy 130.628839 117.167045) + (xy 130.628838 117.167044) + (xy 130.628836 117.167043) + (xy 130.541891 117.131029) + (xy 130.494337 117.111331) + (xy 130.35 117.092329) + (xy 130.205662 117.111331) + (xy 130.071163 117.167044) + (xy 130.071162 117.167044) + (xy 129.955666 117.255666) + (xy 129.867044 117.371162) + (xy 129.867044 117.371163) + (xy 129.811331 117.505662) + (xy 129.792329 117.65) + (xy 106.669787 117.65) + (xy 106.639771 117.619984) + (xy 106.526055 117.562043) + (xy 106.526057 117.562043) + (xy 106.4 117.542078) + (xy 106.273943 117.562043) + (xy 106.160228 117.619984) + (xy 106.069984 117.710228) + (xy 106.012043 117.823943) + (xy 105.992078 117.949999) + (xy 105.992078 117.95) + (xy 102.70055 117.95) + (xy 102.739771 117.930016) + (xy 102.830016 117.839771) + (xy 102.887957 117.726055) + (xy 102.907922 117.6) + (xy 102.887957 117.473945) + (xy 102.830016 117.360229) + (xy 102.739771 117.269984) + (xy 102.626055 117.212043) + (xy 102.626057 117.212043) + (xy 102.5 117.192078) + (xy 102.373943 117.212043) + (xy 102.260228 117.269984) + (xy 102.169984 117.360228) + (xy 102.112043 117.473943) + (xy 102.092078 117.599999) + (xy 102.092078 117.6) + (xy 97.40055 117.6) + (xy 97.326055 117.562043) + (xy 97.326057 117.562043) + (xy 97.2 117.542078) + (xy 97.073943 117.562043) + (xy 96.960228 117.619984) + (xy 96.869984 117.710228) + (xy 96.812043 117.823943) + (xy 96.792078 117.949999) + (xy 96.792078 117.95) + (xy 88.407922 117.95) + (xy 88.387957 117.823945) + (xy 88.330016 117.710229) + (xy 88.239771 117.619984) + (xy 88.126055 117.562043) + (xy 88.126057 117.562043) + (xy 88 117.542078) + (xy 87.873943 117.562043) + (xy 87.760228 117.619984) + (xy 87.669984 117.710228) + (xy 87.612043 117.823943) + (xy 87.592078 117.949999) + (xy 87.592078 117.95) + (xy 79.207922 117.95) + (xy 79.187957 117.823945) + (xy 79.130016 117.710229) + (xy 79.039771 117.619984) + (xy 78.926055 117.562043) + (xy 78.926057 117.562043) + (xy 78.8 117.542078) + (xy 78.673943 117.562043) + (xy 78.560228 117.619984) + (xy 78.469984 117.710228) + (xy 78.412043 117.823943) + (xy 78.392078 117.949999) + (xy 78.392078 117.95) + (xy 72.72042 117.95) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.796879 116.35) + (xy 89.042078 116.35) + (xy 89.062043 116.476056) + (xy 89.092085 116.535016) + (xy 89.119984 116.589771) + (xy 89.210229 116.680016) + (xy 89.323943 116.737956) + (xy 89.323945 116.737957) + (xy 89.45 116.757922) + (xy 89.576055 116.737957) + (xy 89.689771 116.680016) + (xy 89.780016 116.589771) + (xy 89.837957 116.476055) + (xy 89.857922 116.35) + (xy 89.842084 116.25) + (xy 112.592443 116.25) + (xy 112.610977 116.378911) + (xy 112.665078 116.497373) + (xy 112.66508 116.497376) + (xy 112.727594 116.569521) + (xy 112.747901 116.592957) + (xy 112.750366 116.595801) + (xy 112.859926 116.666209) + (xy 112.984883 116.7029) + (xy 113.115117 116.7029) + (xy 113.240073 116.666209) + (xy 113.240073 116.666208) + (xy 113.240076 116.666208) + (xy 113.349636 116.595799) + (xy 113.434921 116.497374) + (xy 113.489023 116.378909) + (xy 113.507557 116.25) + (xy 113.501087 116.205) + (xy 117.575078 116.205) + (xy 117.595043 116.331056) + (xy 117.641887 116.422992) + (xy 117.652984 116.444771) + (xy 117.743229 116.535016) + (xy 117.856943 116.592956) + (xy 117.856945 116.592957) + (xy 117.983 116.612922) + (xy 118.109055 116.592957) + (xy 118.222771 116.535016) + (xy 118.313016 116.444771) + (xy 118.370957 116.331055) + (xy 118.383795 116.25) + (xy 122.592443 116.25) + (xy 122.610977 116.378911) + (xy 122.665078 116.497373) + (xy 122.66508 116.497376) + (xy 122.727594 116.569521) + (xy 122.747901 116.592957) + (xy 122.750366 116.595801) + (xy 122.859926 116.666209) + (xy 122.984883 116.7029) + (xy 123.115117 116.7029) + (xy 123.240073 116.666209) + (xy 123.240073 116.666208) + (xy 123.240076 116.666208) + (xy 123.349636 116.595799) + (xy 123.434921 116.497374) + (xy 123.489023 116.378909) + (xy 123.507557 116.25) + (xy 123.501087 116.205) + (xy 127.735078 116.205) + (xy 127.755043 116.331056) + (xy 127.801887 116.422992) + (xy 127.812984 116.444771) + (xy 127.903229 116.535016) + (xy 128.016943 116.592956) + (xy 128.016945 116.592957) + (xy 128.143 116.612922) + (xy 128.269055 116.592957) + (xy 128.382771 116.535016) + (xy 128.473016 116.444771) + (xy 128.530957 116.331055) + (xy 128.550922 116.205) + (xy 132.815078 116.205) + (xy 132.835043 116.331056) + (xy 132.881887 116.422992) + (xy 132.892984 116.444771) + (xy 132.983229 116.535016) + (xy 133.096943 116.592956) + (xy 133.096945 116.592957) + (xy 133.223 116.612922) + (xy 133.349055 116.592957) + (xy 133.462771 116.535016) + (xy 133.553016 116.444771) + (xy 133.610957 116.331055) + (xy 133.630922 116.205) + (xy 137.895078 116.205) + (xy 137.915043 116.331056) + (xy 137.961887 116.422992) + (xy 137.972984 116.444771) + (xy 138.063229 116.535016) + (xy 138.176943 116.592956) + (xy 138.176945 116.592957) + (xy 138.303 116.612922) + (xy 138.429055 116.592957) + (xy 138.542771 116.535016) + (xy 138.633016 116.444771) + (xy 138.690957 116.331055) + (xy 138.710922 116.205) + (xy 142.975078 116.205) + (xy 142.995043 116.331056) + (xy 143.041887 116.422992) + (xy 143.052984 116.444771) + (xy 143.143229 116.535016) + (xy 143.256943 116.592956) + (xy 143.256945 116.592957) + (xy 143.383 116.612922) + (xy 143.509055 116.592957) + (xy 143.622771 116.535016) + (xy 143.713016 116.444771) + (xy 143.770957 116.331055) + (xy 143.790922 116.205) + (xy 143.770957 116.078945) + (xy 143.713016 115.965229) + (xy 143.622771 115.874984) + (xy 143.509055 115.817043) + (xy 143.509057 115.817043) + (xy 143.383 115.797078) + (xy 143.256943 115.817043) + (xy 143.143228 115.874984) + (xy 143.052984 115.965228) + (xy 142.995043 116.078943) + (xy 142.975078 116.204999) + (xy 142.975078 116.205) + (xy 138.710922 116.205) + (xy 138.690957 116.078945) + (xy 138.633016 115.965229) + (xy 138.542771 115.874984) + (xy 138.429055 115.817043) + (xy 138.429057 115.817043) + (xy 138.303 115.797078) + (xy 138.176943 115.817043) + (xy 138.063228 115.874984) + (xy 137.972984 115.965228) + (xy 137.915043 116.078943) + (xy 137.895078 116.204999) + (xy 137.895078 116.205) + (xy 133.630922 116.205) + (xy 133.610957 116.078945) + (xy 133.553016 115.965229) + (xy 133.462771 115.874984) + (xy 133.349055 115.817043) + (xy 133.349057 115.817043) + (xy 133.223 115.797078) + (xy 133.096943 115.817043) + (xy 132.983228 115.874984) + (xy 132.892984 115.965228) + (xy 132.835043 116.078943) + (xy 132.815078 116.204999) + (xy 132.815078 116.205) + (xy 128.550922 116.205) + (xy 128.530957 116.078945) + (xy 128.473016 115.965229) + (xy 128.382771 115.874984) + (xy 128.269055 115.817043) + (xy 128.269057 115.817043) + (xy 128.143 115.797078) + (xy 128.016943 115.817043) + (xy 127.903228 115.874984) + (xy 127.812984 115.965228) + (xy 127.755043 116.078943) + (xy 127.735078 116.204999) + (xy 127.735078 116.205) + (xy 123.501087 116.205) + (xy 123.489023 116.121091) + (xy 123.487047 116.116765) + (xy 123.434921 116.002626) + (xy 123.434919 116.002623) + (xy 123.349633 115.904198) + (xy 123.240073 115.83379) + (xy 123.115117 115.7971) + (xy 122.984883 115.7971) + (xy 122.859926 115.83379) + (xy 122.750366 115.904198) + (xy 122.66508 116.002623) + (xy 122.665078 116.002626) + (xy 122.610977 116.121088) + (xy 122.592443 116.25) + (xy 118.383795 116.25) + (xy 118.390922 116.205) + (xy 118.370957 116.078945) + (xy 118.313016 115.965229) + (xy 118.222771 115.874984) + (xy 118.109055 115.817043) + (xy 118.109057 115.817043) + (xy 117.983 115.797078) + (xy 117.856943 115.817043) + (xy 117.743228 115.874984) + (xy 117.652984 115.965228) + (xy 117.595043 116.078943) + (xy 117.575078 116.204999) + (xy 117.575078 116.205) + (xy 113.501087 116.205) + (xy 113.489023 116.121091) + (xy 113.487047 116.116765) + (xy 113.434921 116.002626) + (xy 113.434919 116.002623) + (xy 113.349633 115.904198) + (xy 113.240073 115.83379) + (xy 113.115117 115.7971) + (xy 112.984883 115.7971) + (xy 112.859926 115.83379) + (xy 112.750366 115.904198) + (xy 112.66508 116.002623) + (xy 112.665078 116.002626) + (xy 112.610977 116.121088) + (xy 112.592443 116.25) + (xy 89.842084 116.25) + (xy 89.837957 116.223945) + (xy 89.780016 116.110229) + (xy 89.689771 116.019984) + (xy 89.576055 115.962043) + (xy 89.576057 115.962043) + (xy 89.45 115.942078) + (xy 89.323943 115.962043) + (xy 89.210228 116.019984) + (xy 89.119984 116.110228) + (xy 89.062043 116.223943) + (xy 89.042078 116.349999) + (xy 89.042078 116.35) + (xy 72.796879 116.35) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.243153 116.514397) + (xy 70.243152 116.514399) + (xy 70.165775 116.739791) + (xy 70.165772 116.739801) + (xy 70.12655 116.974844) + (xy 70.12655 117.213155) + (xy 69.764623 117.213155) + (xy 69.774107 117.05034) + (xy 69.743832 116.878646) + (xy 69.674779 116.718562) + (xy 69.570668 116.578717) + (xy 69.518588 116.535016) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 67.92245 117.13766) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 59.451622 117.213155) + (xy 59.442957 117.158445) + (xy 59.385016 117.044729) + (xy 59.294771 116.954484) + (xy 59.181055 116.896543) + (xy 59.181057 116.896543) + (xy 59.055 116.876578) + (xy 58.928943 116.896543) + (xy 58.815228 116.954484) + (xy 58.724984 117.044728) + (xy 58.667043 117.158443) + (xy 58.647078 117.284499) + (xy 58.647078 117.2845) + (xy 54.382922 117.2845) + (xy 54.362957 117.158445) + (xy 54.305016 117.044729) + (xy 54.214771 116.954484) + (xy 54.101055 116.896543) + (xy 54.101057 116.896543) + (xy 53.975 116.876578) + (xy 53.848943 116.896543) + (xy 53.735228 116.954484) + (xy 53.644984 117.044728) + (xy 53.587043 117.158443) + (xy 53.567078 117.284499) + (xy 53.567078 117.2845) + (xy 49.292865 117.2845) + (xy 49.302922 117.221) + (xy 49.301679 117.213155) + (xy 49.285552 117.111331) + (xy 49.282957 117.094945) + (xy 49.225016 116.981229) + (xy 49.134771 116.890984) + (xy 49.021055 116.833043) + (xy 49.021057 116.833043) + (xy 48.895 116.813078) + (xy 48.768943 116.833043) + (xy 48.655228 116.890984) + (xy 48.564984 116.981228) + (xy 48.507043 117.094943) + (xy 48.487078 117.220999) + (xy 48.487078 117.221) + (xy 46.101 117.221) + (xy 46.101 115.697) + (xy 63.854078 115.697) + (xy 63.874043 115.823056) + (xy 63.901048 115.876056) + (xy 63.931984 115.936771) + (xy 64.022229 116.027016) + (xy 64.135943 116.084956) + (xy 64.135945 116.084957) + (xy 64.262 116.104922) + (xy 64.388055 116.084957) + (xy 64.501771 116.027016) + (xy 64.592016 115.936771) + (xy 64.649957 115.823055) + (xy 64.669922 115.697) + (xy 64.649957 115.570945) + (xy 64.592016 115.457229) + (xy 64.514287 115.3795) + (xy 73.379078 115.3795) + (xy 73.399043 115.505556) + (xy 73.43236 115.570943) + (xy 73.456984 115.619271) + (xy 73.547229 115.709516) + (xy 73.660943 115.767456) + (xy 73.660945 115.767457) + (xy 73.787 115.787422) + (xy 73.913055 115.767457) + (xy 73.947316 115.75) + (xy 100.242078 115.75) + (xy 100.262043 115.876056) + (xy 100.276384 115.904201) + (xy 100.319984 115.989771) + (xy 100.410229 116.080016) + (xy 100.523943 116.137956) + (xy 100.523945 116.137957) + (xy 100.65 116.157922) + (xy 100.776055 116.137957) + (xy 100.889771 116.080016) + (xy 100.980016 115.989771) + (xy 101.037957 115.876055) + (xy 101.057922 115.75) + (xy 101.037957 115.623945) + (xy 100.980016 115.510229) + (xy 100.889771 115.419984) + (xy 100.776055 115.362043) + (xy 100.776057 115.362043) + (xy 100.700019 115.35) + (xy 111.592443 115.35) + (xy 111.610977 115.478911) + (xy 111.665078 115.597373) + (xy 111.66508 115.597376) + (xy 111.750366 115.695801) + (xy 111.859926 115.766209) + (xy 111.984883 115.8029) + (xy 112.115117 115.8029) + (xy 112.240073 115.766209) + (xy 112.240073 115.766208) + (xy 112.240076 115.766208) + (xy 112.349636 115.695799) + (xy 112.434921 115.597374) + (xy 112.489023 115.478909) + (xy 112.507557 115.35) + (xy 121.592443 115.35) + (xy 121.610977 115.478911) + (xy 121.665078 115.597373) + (xy 121.66508 115.597376) + (xy 121.750366 115.695801) + (xy 121.859926 115.766209) + (xy 121.984883 115.8029) + (xy 122.115117 115.8029) + (xy 122.240073 115.766209) + (xy 122.240073 115.766208) + (xy 122.240076 115.766208) + (xy 122.349636 115.695799) + (xy 122.434921 115.597374) + (xy 122.489023 115.478909) + (xy 122.507557 115.35) + (xy 122.489023 115.221091) + (xy 122.457662 115.152422) + (xy 122.434921 115.102626) + (xy 122.434919 115.102623) + (xy 122.349633 115.004198) + (xy 122.240073 114.93379) + (xy 122.115117 114.8971) + (xy 121.984883 114.8971) + (xy 121.859926 114.93379) + (xy 121.750366 115.004198) + (xy 121.66508 115.102623) + (xy 121.665078 115.102626) + (xy 121.610977 115.221088) + (xy 121.592443 115.35) + (xy 112.507557 115.35) + (xy 112.489023 115.221091) + (xy 112.457662 115.152422) + (xy 112.434921 115.102626) + (xy 112.434919 115.102623) + (xy 112.349633 115.004198) + (xy 112.240073 114.93379) + (xy 112.115117 114.8971) + (xy 111.984883 114.8971) + (xy 111.859926 114.93379) + (xy 111.750366 115.004198) + (xy 111.66508 115.102623) + (xy 111.665078 115.102626) + (xy 111.610977 115.221088) + (xy 111.592443 115.35) + (xy 100.700019 115.35) + (xy 100.65 115.342078) + (xy 100.523943 115.362043) + (xy 100.410228 115.419984) + (xy 100.319984 115.510228) + (xy 100.262043 115.623943) + (xy 100.242078 115.749999) + (xy 100.242078 115.75) + (xy 73.947316 115.75) + (xy 74.026771 115.709516) + (xy 74.117016 115.619271) + (xy 74.174957 115.505555) + (xy 74.194922 115.3795) + (xy 74.174957 115.253445) + (xy 74.117016 115.139729) + (xy 74.026771 115.049484) + (xy 73.913055 114.991543) + (xy 73.913057 114.991543) + (xy 73.787 114.971578) + (xy 73.660943 114.991543) + (xy 73.547228 115.049484) + (xy 73.456984 115.139728) + (xy 73.399043 115.253443) + (xy 73.379078 115.379499) + (xy 73.379078 115.3795) + (xy 64.514287 115.3795) + (xy 64.501771 115.366984) + (xy 64.388055 115.309043) + (xy 64.388057 115.309043) + (xy 64.262 115.289078) + (xy 64.135943 115.309043) + (xy 64.022228 115.366984) + (xy 63.931984 115.457228) + (xy 63.874043 115.570943) + (xy 63.854078 115.696999) + (xy 63.854078 115.697) + (xy 46.101 115.697) + (xy 46.101 115.126481) + (xy 46.118593 115.078143) + (xy 46.163142 115.052423) + (xy 46.210341 115.059478) + (xy 46.228942 115.068956) + (xy 46.228943 115.068956) + (xy 46.228945 115.068957) + (xy 46.355 115.088922) + (xy 46.481055 115.068957) + (xy 46.594771 115.011016) + (xy 46.685016 114.920771) + (xy 46.742957 114.807055) + (xy 46.762922 114.681) + (xy 51.027078 114.681) + (xy 51.047043 114.807056) + (xy 51.08036 114.872443) + (xy 51.104984 114.920771) + (xy 51.195229 115.011016) + (xy 51.308943 115.068956) + (xy 51.308945 115.068957) + (xy 51.435 115.088922) + (xy 51.561055 115.068957) + (xy 51.674771 115.011016) + (xy 51.765016 114.920771) + (xy 51.822957 114.807055) + (xy 51.832865 114.7445) + (xy 56.107078 114.7445) + (xy 56.127043 114.870556) + (xy 56.152629 114.920771) + (xy 56.184984 114.984271) + (xy 56.275229 115.074516) + (xy 56.388943 115.132456) + (xy 56.388945 115.132457) + (xy 56.515 115.152422) + (xy 56.641055 115.132457) + (xy 56.754771 115.074516) + (xy 56.845016 114.984271) + (xy 56.902957 114.870555) + (xy 56.922922 114.7445) + (xy 61.123578 114.7445) + (xy 61.143543 114.870556) + (xy 61.169129 114.920771) + (xy 61.201484 114.984271) + (xy 61.291729 115.074516) + (xy 61.405443 115.132456) + (xy 61.405445 115.132457) + (xy 61.5315 115.152422) + (xy 61.657555 115.132457) + (xy 61.771271 115.074516) + (xy 61.847287 114.9985) + (xy 63.155578 114.9985) + (xy 63.175543 115.124556) + (xy 63.189742 115.152422) + (xy 63.233484 115.238271) + (xy 63.323729 115.328516) + (xy 63.437443 115.386456) + (xy 63.437445 115.386457) + (xy 63.5635 115.406422) + (xy 63.689555 115.386457) + (xy 63.803271 115.328516) + (xy 63.893516 115.238271) + (xy 63.951457 115.124555) + (xy 63.971422 114.9985) + (xy 63.951457 114.872445) + (xy 63.893516 114.758729) + (xy 63.803271 114.668484) + (xy 63.689555 114.610543) + (xy 63.689557 114.610543) + (xy 63.5635 114.590578) + (xy 63.437443 114.610543) + (xy 63.323728 114.668484) + (xy 63.233484 114.758728) + (xy 63.175543 114.872443) + (xy 63.155578 114.998499) + (xy 63.155578 114.9985) + (xy 61.847287 114.9985) + (xy 61.861516 114.984271) + (xy 61.919457 114.870555) + (xy 61.939422 114.7445) + (xy 61.919457 114.618445) + (xy 61.861516 114.504729) + (xy 61.771271 114.414484) + (xy 61.657555 114.356543) + (xy 61.657557 114.356543) + (xy 61.5315 114.336578) + (xy 61.405443 114.356543) + (xy 61.291728 114.414484) + (xy 61.201484 114.504728) + (xy 61.143543 114.618443) + (xy 61.123578 114.744499) + (xy 61.123578 114.7445) + (xy 56.922922 114.7445) + (xy 56.902957 114.618445) + (xy 56.845016 114.504729) + (xy 56.754771 114.414484) + (xy 56.641055 114.356543) + (xy 56.641057 114.356543) + (xy 56.515 114.336578) + (xy 56.388943 114.356543) + (xy 56.275228 114.414484) + (xy 56.184984 114.504728) + (xy 56.127043 114.618443) + (xy 56.107078 114.744499) + (xy 56.107078 114.7445) + (xy 51.832865 114.7445) + (xy 51.842922 114.681) + (xy 51.822957 114.554945) + (xy 51.765016 114.441229) + (xy 51.674771 114.350984) + (xy 51.574709 114.3) + (xy 62.457078 114.3) + (xy 62.477043 114.426056) + (xy 62.49328 114.457922) + (xy 62.534984 114.539771) + (xy 62.625229 114.630016) + (xy 62.738943 114.687956) + (xy 62.738945 114.687957) + (xy 62.865 114.707922) + (xy 62.991055 114.687957) + (xy 63.104771 114.630016) + (xy 63.195016 114.539771) + (xy 63.240756 114.45) + (xy 87.392078 114.45) + (xy 87.412043 114.576056) + (xy 87.439537 114.630015) + (xy 87.469984 114.689771) + (xy 87.560229 114.780016) + (xy 87.673943 114.837956) + (xy 87.673945 114.837957) + (xy 87.8 114.857922) + (xy 87.926055 114.837957) + (xy 88.039771 114.780016) + (xy 88.130016 114.689771) + (xy 88.187957 114.576055) + (xy 88.207922 114.45) + (xy 88.206014 114.437956) + (xy 88.202297 114.414484) + (xy 88.187957 114.323945) + (xy 88.130016 114.210229) + (xy 88.039771 114.119984) + (xy 87.926055 114.062043) + (xy 87.926057 114.062043) + (xy 87.850019 114.05) + (xy 112.492078 114.05) + (xy 112.512043 114.176056) + (xy 112.5654 114.280774) + (xy 112.569984 114.289771) + (xy 112.660229 114.380016) + (xy 112.773943 114.437956) + (xy 112.773945 114.437957) + (xy 112.9 114.457922) + (xy 112.950018 114.45) + (xy 122.592443 114.45) + (xy 122.610977 114.578911) + (xy 122.665078 114.697373) + (xy 122.66508 114.697376) + (xy 122.718242 114.758728) + (xy 122.739236 114.782957) + (xy 122.750366 114.795801) + (xy 122.76788 114.807056) + (xy 122.846638 114.85767) + (xy 122.859926 114.866209) + (xy 122.984883 114.9029) + (xy 123.115117 114.9029) + (xy 123.240073 114.866209) + (xy 123.240073 114.866208) + (xy 123.240076 114.866208) + (xy 123.349636 114.795799) + (xy 123.434921 114.697374) + (xy 123.489023 114.578909) + (xy 123.507557 114.45) + (xy 123.489023 114.321091) + (xy 123.483405 114.30879) + (xy 123.479391 114.3) + (xy 125.892329 114.3) + (xy 125.911331 114.444337) + (xy 125.930436 114.490459) + (xy 125.967043 114.578836) + (xy 125.967044 114.578838) + (xy 125.967045 114.578839) + (xy 126.055666 114.694333) + (xy 126.139588 114.758728) + (xy 126.171164 114.782957) + (xy 126.305664 114.838669) + (xy 126.45 114.857671) + (xy 126.594336 114.838669) + (xy 126.728836 114.782957) + (xy 126.844333 114.694333) + (xy 126.932957 114.578836) + (xy 126.988669 114.444336) + (xy 127.007671 114.3) + (xy 126.988669 114.155664) + (xy 126.932957 114.021165) + (xy 126.932955 114.021162) + (xy 126.844333 113.905666) + (xy 126.728839 113.817045) + (xy 126.728838 113.817044) + (xy 126.728836 113.817043) + (xy 126.639443 113.780015) + (xy 126.594337 113.761331) + (xy 126.45 113.742329) + (xy 126.305662 113.761331) + (xy 126.171163 113.817044) + (xy 126.171162 113.817044) + (xy 126.055666 113.905666) + (xy 125.967044 114.021162) + (xy 125.967044 114.021163) + (xy 125.967043 114.021164) + (xy 125.967043 114.021165) + (xy 125.961813 114.033792) + (xy 125.911331 114.155662) + (xy 125.892329 114.3) + (xy 123.479391 114.3) + (xy 123.434921 114.202626) + (xy 123.434919 114.202623) + (xy 123.363312 114.119984) + (xy 123.349636 114.104201) + (xy 123.349635 114.1042) + (xy 123.349633 114.104198) + (xy 123.240073 114.03379) + (xy 123.115117 113.9971) + (xy 122.984883 113.9971) + (xy 122.859926 114.03379) + (xy 122.750366 114.104198) + (xy 122.66508 114.202623) + (xy 122.665078 114.202626) + (xy 122.610977 114.321088) + (xy 122.592443 114.45) + (xy 112.950018 114.45) + (xy 113.026055 114.437957) + (xy 113.139771 114.380016) + (xy 113.230016 114.289771) + (xy 113.287957 114.176055) + (xy 113.307922 114.05) + (xy 113.287957 113.923945) + (xy 113.230016 113.810229) + (xy 113.139771 113.719984) + (xy 113.026055 113.662043) + (xy 113.026057 113.662043) + (xy 112.9 113.642078) + (xy 112.773943 113.662043) + (xy 112.660228 113.719984) + (xy 112.569984 113.810228) + (xy 112.512043 113.923943) + (xy 112.492078 114.049999) + (xy 112.492078 114.05) + (xy 87.850019 114.05) + (xy 87.8 114.042078) + (xy 87.673943 114.062043) + (xy 87.560228 114.119984) + (xy 87.469984 114.210228) + (xy 87.412043 114.323943) + (xy 87.392078 114.449999) + (xy 87.392078 114.45) + (xy 63.240756 114.45) + (xy 63.252957 114.426055) + (xy 63.272922 114.3) + (xy 63.252957 114.173945) + (xy 63.195016 114.060229) + (xy 63.104771 113.969984) + (xy 62.991055 113.912043) + (xy 62.991057 113.912043) + (xy 62.865 113.892078) + (xy 62.738943 113.912043) + (xy 62.625228 113.969984) + (xy 62.534984 114.060228) + (xy 62.477043 114.173943) + (xy 62.457078 114.299999) + (xy 62.457078 114.3) + (xy 51.574709 114.3) + (xy 51.561055 114.293043) + (xy 51.561057 114.293043) + (xy 51.435 114.273078) + (xy 51.308943 114.293043) + (xy 51.195228 114.350984) + (xy 51.104984 114.441228) + (xy 51.047043 114.554943) + (xy 51.027078 114.680999) + (xy 51.027078 114.681) + (xy 46.762922 114.681) + (xy 46.742957 114.554945) + (xy 46.685016 114.441229) + (xy 46.594771 114.350984) + (xy 46.481055 114.293043) + (xy 46.481057 114.293043) + (xy 46.355 114.273078) + (xy 46.228942 114.293043) + (xy 46.22894 114.293044) + (xy 46.210339 114.302522) + (xy 46.159283 114.30879) + (xy 46.116142 114.280774) + (xy 46.101 114.235518) + (xy 46.101 113.75) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.476055 114.137957) + (xy 68.589771 114.080016) + (xy 68.680016 113.989771) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.280016 113.989771) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.189771 113.419984) + (xy 70.15055 113.4) + (xy 108.492078 113.4) + (xy 108.512043 113.526056) + (xy 108.561919 113.623943) + (xy 108.569984 113.639771) + (xy 108.660229 113.730016) + (xy 108.773943 113.787956) + (xy 108.773945 113.787957) + (xy 108.9 113.807922) + (xy 109.026055 113.787957) + (xy 109.139771 113.730016) + (xy 109.230016 113.639771) + (xy 109.287957 113.526055) + (xy 109.300003 113.45) + (xy 111.342078 113.45) + (xy 111.362043 113.576056) + (xy 111.419984 113.689771) + (xy 111.510229 113.780016) + (xy 111.623943 113.837956) + (xy 111.623945 113.837957) + (xy 111.75 113.857922) + (xy 111.876055 113.837957) + (xy 111.989771 113.780016) + (xy 112.080016 113.689771) + (xy 112.137957 113.576055) + (xy 112.157922 113.45) + (xy 113.642078 113.45) + (xy 113.662043 113.576056) + (xy 113.719984 113.689771) + (xy 113.810229 113.780016) + (xy 113.923943 113.837956) + (xy 113.923945 113.837957) + (xy 114.05 113.857922) + (xy 114.176055 113.837957) + (xy 114.289771 113.780016) + (xy 114.380016 113.689771) + (xy 114.437957 113.576055) + (xy 114.457922 113.45) + (xy 118.242078 113.45) + (xy 118.262043 113.576056) + (xy 118.319984 113.689771) + (xy 118.410229 113.780016) + (xy 118.523943 113.837956) + (xy 118.523945 113.837957) + (xy 118.65 113.857922) + (xy 118.776055 113.837957) + (xy 118.889771 113.780016) + (xy 118.980016 113.689771) + (xy 118.992637 113.665) + (xy 130.275078 113.665) + (xy 130.295043 113.791056) + (xy 130.338353 113.876056) + (xy 130.352984 113.904771) + (xy 130.443229 113.995016) + (xy 130.556943 114.052956) + (xy 130.556945 114.052957) + (xy 130.683 114.072922) + (xy 130.809055 114.052957) + (xy 130.922771 113.995016) + (xy 131.013016 113.904771) + (xy 131.070957 113.791055) + (xy 131.090922 113.665) + (xy 135.355078 113.665) + (xy 135.375043 113.791056) + (xy 135.418353 113.876056) + (xy 135.432984 113.904771) + (xy 135.523229 113.995016) + (xy 135.636943 114.052956) + (xy 135.636945 114.052957) + (xy 135.763 114.072922) + (xy 135.889055 114.052957) + (xy 136.002771 113.995016) + (xy 136.093016 113.904771) + (xy 136.150957 113.791055) + (xy 136.170922 113.665) + (xy 140.435078 113.665) + (xy 140.455043 113.791056) + (xy 140.498353 113.876056) + (xy 140.512984 113.904771) + (xy 140.603229 113.995016) + (xy 140.716943 114.052956) + (xy 140.716945 114.052957) + (xy 140.843 114.072922) + (xy 140.969055 114.052957) + (xy 141.082771 113.995016) + (xy 141.173016 113.904771) + (xy 141.230957 113.791055) + (xy 141.250922 113.665) + (xy 141.230957 113.538945) + (xy 141.173016 113.425229) + (xy 141.082771 113.334984) + (xy 140.969055 113.277043) + (xy 140.969057 113.277043) + (xy 140.843 113.257078) + (xy 140.716943 113.277043) + (xy 140.603228 113.334984) + (xy 140.512984 113.425228) + (xy 140.455043 113.538943) + (xy 140.435078 113.664999) + (xy 140.435078 113.665) + (xy 136.170922 113.665) + (xy 136.150957 113.538945) + (xy 136.093016 113.425229) + (xy 136.002771 113.334984) + (xy 135.889055 113.277043) + (xy 135.889057 113.277043) + (xy 135.763 113.257078) + (xy 135.636943 113.277043) + (xy 135.523228 113.334984) + (xy 135.432984 113.425228) + (xy 135.375043 113.538943) + (xy 135.355078 113.664999) + (xy 135.355078 113.665) + (xy 131.090922 113.665) + (xy 131.070957 113.538945) + (xy 131.013016 113.425229) + (xy 130.922771 113.334984) + (xy 130.809055 113.277043) + (xy 130.809057 113.277043) + (xy 130.683 113.257078) + (xy 130.556943 113.277043) + (xy 130.443228 113.334984) + (xy 130.352984 113.425228) + (xy 130.295043 113.538943) + (xy 130.275078 113.664999) + (xy 130.275078 113.665) + (xy 118.992637 113.665) + (xy 119.037957 113.576055) + (xy 119.057922 113.45) + (xy 119.057506 113.447376) + (xy 119.050003 113.399999) + (xy 119.037957 113.323945) + (xy 118.980016 113.210229) + (xy 118.889771 113.119984) + (xy 118.776055 113.062043) + (xy 118.776057 113.062043) + (xy 118.65 113.042078) + (xy 118.523943 113.062043) + (xy 118.410228 113.119984) + (xy 118.319984 113.210228) + (xy 118.262043 113.323943) + (xy 118.242078 113.449999) + (xy 118.242078 113.45) + (xy 114.457922 113.45) + (xy 114.457506 113.447376) + (xy 114.450003 113.399999) + (xy 114.437957 113.323945) + (xy 114.380016 113.210229) + (xy 114.289771 113.119984) + (xy 114.176055 113.062043) + (xy 114.176057 113.062043) + (xy 114.05 113.042078) + (xy 113.923943 113.062043) + (xy 113.810228 113.119984) + (xy 113.719984 113.210228) + (xy 113.662043 113.323943) + (xy 113.642078 113.449999) + (xy 113.642078 113.45) + (xy 112.157922 113.45) + (xy 112.157506 113.447376) + (xy 112.150003 113.399999) + (xy 112.137957 113.323945) + (xy 112.080016 113.210229) + (xy 111.989771 113.119984) + (xy 111.876055 113.062043) + (xy 111.876057 113.062043) + (xy 111.75 113.042078) + (xy 111.623943 113.062043) + (xy 111.510228 113.119984) + (xy 111.419984 113.210228) + (xy 111.362043 113.323943) + (xy 111.342078 113.449999) + (xy 111.342078 113.45) + (xy 109.300003 113.45) + (xy 109.307922 113.4) + (xy 109.287957 113.273945) + (xy 109.230016 113.160229) + (xy 109.139771 113.069984) + (xy 109.026055 113.012043) + (xy 109.026057 113.012043) + (xy 108.9 112.992078) + (xy 108.773943 113.012043) + (xy 108.660228 113.069984) + (xy 108.569984 113.160228) + (xy 108.512043 113.273943) + (xy 108.492078 113.399999) + (xy 108.492078 113.4) + (xy 70.15055 113.4) + (xy 70.076055 113.362043) + (xy 70.076057 113.362043) + (xy 69.95 113.342078) + (xy 69.823943 113.362043) + (xy 69.710228 113.419984) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 46.101 113.75) + (xy 46.101 113.2205) + (xy 62.139578 113.2205) + (xy 62.159543 113.346556) + (xy 62.176592 113.380016) + (xy 62.217484 113.460271) + (xy 62.307729 113.550516) + (xy 62.421443 113.608456) + (xy 62.421445 113.608457) + (xy 62.5475 113.628422) + (xy 62.673555 113.608457) + (xy 62.787271 113.550516) + (xy 62.877516 113.460271) + (xy 62.935457 113.346555) + (xy 62.955422 113.2205) + (xy 62.955266 113.219518) + (xy 62.945876 113.160228) + (xy 62.935457 113.094445) + (xy 62.877516 112.980729) + (xy 62.787271 112.890484) + (xy 62.687209 112.8395) + (xy 70.839078 112.8395) + (xy 70.859043 112.965556) + (xy 70.898033 113.042078) + (xy 70.916984 113.079271) + (xy 71.007229 113.169516) + (xy 71.120943 113.227456) + (xy 71.120945 113.227457) + (xy 71.247 113.247422) + (xy 71.373055 113.227457) + (xy 71.486771 113.169516) + (xy 71.577016 113.079271) + (xy 71.634957 112.965555) + (xy 71.654922 112.8395) + (xy 71.634957 112.713445) + (xy 71.577016 112.599729) + (xy 71.486771 112.509484) + (xy 71.468158 112.5) + (xy 96.642078 112.5) + (xy 96.662043 112.626056) + (xy 96.706569 112.713443) + (xy 96.719984 112.739771) + (xy 96.810229 112.830016) + (xy 96.923943 112.887956) + (xy 96.923945 112.887957) + (xy 97.05 112.907922) + (xy 97.176055 112.887957) + (xy 97.289771 112.830016) + (xy 97.380016 112.739771) + (xy 97.425756 112.65) + (xy 114.342078 112.65) + (xy 114.362043 112.776056) + (xy 114.365984 112.78379) + (xy 114.419984 112.889771) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.080016 112.889771) + (xy 115.137957 112.776055) + (xy 115.157922 112.65) + (xy 122.142078 112.65) + (xy 122.162043 112.776056) + (xy 122.165984 112.78379) + (xy 122.219984 112.889771) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.600018 113.05) + (xy 125.142078 113.05) + (xy 125.162043 113.176056) + (xy 125.198406 113.247422) + (xy 125.219984 113.289771) + (xy 125.310229 113.380016) + (xy 125.423943 113.437956) + (xy 125.423945 113.437957) + (xy 125.55 113.457922) + (xy 125.676055 113.437957) + (xy 125.789771 113.380016) + (xy 125.880016 113.289771) + (xy 125.925756 113.2) + (xy 126.892443 113.2) + (xy 126.910977 113.328911) + (xy 126.965078 113.447373) + (xy 126.96508 113.447376) + (xy 127.050366 113.545801) + (xy 127.097445 113.576056) + (xy 127.147861 113.608456) + (xy 127.159926 113.616209) + (xy 127.284883 113.6529) + (xy 127.415117 113.6529) + (xy 127.540073 113.616209) + (xy 127.540073 113.616208) + (xy 127.540076 113.616208) + (xy 127.649636 113.545799) + (xy 127.734921 113.447374) + (xy 127.789023 113.328909) + (xy 127.807557 113.2) + (xy 127.789023 113.071091) + (xy 127.788517 113.069984) + (xy 127.734921 112.952626) + (xy 127.734919 112.952623) + (xy 127.649633 112.854198) + (xy 127.540073 112.78379) + (xy 127.415117 112.7471) + (xy 127.284883 112.7471) + (xy 127.159926 112.78379) + (xy 127.050366 112.854198) + (xy 126.96508 112.952623) + (xy 126.965078 112.952626) + (xy 126.910977 113.071088) + (xy 126.892443 113.2) + (xy 125.925756 113.2) + (xy 125.937957 113.176055) + (xy 125.957922 113.05) + (xy 125.956014 113.037956) + (xy 125.948748 112.992078) + (xy 125.937957 112.923945) + (xy 125.880016 112.810229) + (xy 125.789771 112.719984) + (xy 125.676055 112.662043) + (xy 125.676057 112.662043) + (xy 125.55 112.642078) + (xy 125.423943 112.662043) + (xy 125.310228 112.719984) + (xy 125.219984 112.810228) + (xy 125.162043 112.923943) + (xy 125.142078 113.049999) + (xy 125.142078 113.05) + (xy 122.600018 113.05) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.880016 112.889771) + (xy 122.937957 112.776055) + (xy 122.957922 112.65) + (xy 122.937957 112.523945) + (xy 122.880016 112.410229) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.310228 112.319984) + (xy 122.219984 112.410228) + (xy 122.162043 112.523943) + (xy 122.142078 112.649999) + (xy 122.142078 112.65) + (xy 115.157922 112.65) + (xy 115.137957 112.523945) + (xy 115.080016 112.410229) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.510228 112.319984) + (xy 114.419984 112.410228) + (xy 114.362043 112.523943) + (xy 114.342078 112.649999) + (xy 114.342078 112.65) + (xy 97.425756 112.65) + (xy 97.437957 112.626055) + (xy 97.457922 112.5) + (xy 97.437957 112.373945) + (xy 97.380016 112.260229) + (xy 97.289771 112.169984) + (xy 97.176055 112.112043) + (xy 97.176057 112.112043) + (xy 97.05 112.092078) + (xy 96.923943 112.112043) + (xy 96.810228 112.169984) + (xy 96.719984 112.260228) + (xy 96.662043 112.373943) + (xy 96.642078 112.499999) + (xy 96.642078 112.5) + (xy 71.468158 112.5) + (xy 71.373055 112.451543) + (xy 71.373057 112.451543) + (xy 71.247 112.431578) + (xy 71.120943 112.451543) + (xy 71.007228 112.509484) + (xy 70.916984 112.599728) + (xy 70.859043 112.713443) + (xy 70.839078 112.839499) + (xy 70.839078 112.8395) + (xy 62.687209 112.8395) + (xy 62.673555 112.832543) + (xy 62.673557 112.832543) + (xy 62.5475 112.812578) + (xy 62.421443 112.832543) + (xy 62.307728 112.890484) + (xy 62.217484 112.980728) + (xy 62.159543 113.094443) + (xy 62.139578 113.220499) + (xy 62.139578 113.2205) + (xy 46.101 113.2205) + (xy 46.101 112.141) + (xy 48.487078 112.141) + (xy 48.507043 112.267056) + (xy 48.539123 112.330016) + (xy 48.564984 112.380771) + (xy 48.655229 112.471016) + (xy 48.768943 112.528956) + (xy 48.768945 112.528957) + (xy 48.895 112.548922) + (xy 49.021055 112.528957) + (xy 49.134771 112.471016) + (xy 49.225016 112.380771) + (xy 49.282957 112.267055) + (xy 49.292865 112.2045) + (xy 53.567078 112.2045) + (xy 53.587043 112.330556) + (xy 53.626463 112.407922) + (xy 53.644984 112.444271) + (xy 53.735229 112.534516) + (xy 53.848943 112.592456) + (xy 53.848945 112.592457) + (xy 53.975 112.612422) + (xy 54.101055 112.592457) + (xy 54.214771 112.534516) + (xy 54.305016 112.444271) + (xy 54.362957 112.330555) + (xy 54.382922 112.2045) + (xy 58.647078 112.2045) + (xy 58.667043 112.330556) + (xy 58.706463 112.407922) + (xy 58.724984 112.444271) + (xy 58.815229 112.534516) + (xy 58.928943 112.592456) + (xy 58.928945 112.592457) + (xy 59.055 112.612422) + (xy 59.181055 112.592457) + (xy 59.294771 112.534516) + (xy 59.385016 112.444271) + (xy 59.442957 112.330555) + (xy 59.462922 112.2045) + (xy 59.442957 112.078445) + (xy 59.385016 111.964729) + (xy 59.294771 111.874484) + (xy 59.181055 111.816543) + (xy 59.181057 111.816543) + (xy 59.055 111.796578) + (xy 58.928943 111.816543) + (xy 58.815228 111.874484) + (xy 58.724984 111.964728) + (xy 58.667043 112.078443) + (xy 58.647078 112.204499) + (xy 58.647078 112.2045) + (xy 54.382922 112.2045) + (xy 54.362957 112.078445) + (xy 54.305016 111.964729) + (xy 54.214771 111.874484) + (xy 54.101055 111.816543) + (xy 54.101057 111.816543) + (xy 53.975 111.796578) + (xy 53.848943 111.816543) + (xy 53.735228 111.874484) + (xy 53.644984 111.964728) + (xy 53.587043 112.078443) + (xy 53.567078 112.204499) + (xy 53.567078 112.2045) + (xy 49.292865 112.2045) + (xy 49.302922 112.141) + (xy 49.282957 112.014945) + (xy 49.225016 111.901229) + (xy 49.134771 111.810984) + (xy 49.021055 111.753043) + (xy 49.021057 111.753043) + (xy 48.895 111.733078) + (xy 48.768943 111.753043) + (xy 48.655228 111.810984) + (xy 48.564984 111.901228) + (xy 48.507043 112.014943) + (xy 48.487078 112.140999) + (xy 48.487078 112.141) + (xy 46.101 112.141) + (xy 46.101 111.5695) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.084924 111.766957) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.562555 111.957457) + (xy 63.676271 111.899516) + (xy 63.752287 111.8235) + (xy 69.124578 111.8235) + (xy 69.144543 111.949556) + (xy 69.158742 111.977422) + (xy 69.202484 112.063271) + (xy 69.292729 112.153516) + (xy 69.406443 112.211456) + (xy 69.406445 112.211457) + (xy 69.5325 112.231422) + (xy 69.658555 112.211457) + (xy 69.772271 112.153516) + (xy 69.862516 112.063271) + (xy 69.894754 112) + (xy 90.492078 112) + (xy 90.512043 112.126056) + (xy 90.555557 112.211456) + (xy 90.569984 112.239771) + (xy 90.660229 112.330016) + (xy 90.773943 112.387956) + (xy 90.773945 112.387957) + (xy 90.9 112.407922) + (xy 91.026055 112.387957) + (xy 91.139771 112.330016) + (xy 91.230016 112.239771) + (xy 91.287957 112.126055) + (xy 91.307922 112) + (xy 97.792078 112) + (xy 97.812043 112.126056) + (xy 97.855557 112.211456) + (xy 97.869984 112.239771) + (xy 97.960229 112.330016) + (xy 98.073943 112.387956) + (xy 98.073945 112.387957) + (xy 98.2 112.407922) + (xy 98.326055 112.387957) + (xy 98.439771 112.330016) + (xy 98.530016 112.239771) + (xy 98.587957 112.126055) + (xy 98.607922 112) + (xy 98.587957 111.873945) + (xy 98.530016 111.760229) + (xy 98.439771 111.669984) + (xy 98.326055 111.612043) + (xy 98.326057 111.612043) + (xy 98.2 111.592078) + (xy 98.073943 111.612043) + (xy 97.960228 111.669984) + (xy 97.869984 111.760228) + (xy 97.812043 111.873943) + (xy 97.792078 111.999999) + (xy 97.792078 112) + (xy 91.307922 112) + (xy 91.287957 111.873945) + (xy 91.230016 111.760229) + (xy 91.139771 111.669984) + (xy 91.026055 111.612043) + (xy 91.026057 111.612043) + (xy 90.9 111.592078) + (xy 90.773943 111.612043) + (xy 90.660228 111.669984) + (xy 90.569984 111.760228) + (xy 90.512043 111.873943) + (xy 90.492078 111.999999) + (xy 90.492078 112) + (xy 69.894754 112) + (xy 69.920457 111.949555) + (xy 69.940422 111.8235) + (xy 69.920457 111.697445) + (xy 69.862516 111.583729) + (xy 69.772271 111.493484) + (xy 69.658555 111.435543) + (xy 69.658557 111.435543) + (xy 69.5325 111.415578) + (xy 69.406443 111.435543) + (xy 69.292728 111.493484) + (xy 69.202484 111.583728) + (xy 69.144543 111.697443) + (xy 69.124578 111.823499) + (xy 69.124578 111.8235) + (xy 63.752287 111.8235) + (xy 63.766516 111.809271) + (xy 63.824457 111.695555) + (xy 63.844422 111.5695) + (xy 63.824457 111.443445) + (xy 63.791621 111.379) + (xy 65.378078 111.379) + (xy 65.398043 111.505056) + (xy 65.42498 111.557922) + (xy 65.455984 111.618771) + (xy 65.546229 111.709016) + (xy 65.659943 111.766956) + (xy 65.659945 111.766957) + (xy 65.786 111.786922) + (xy 65.912055 111.766957) + (xy 66.025771 111.709016) + (xy 66.116016 111.618771) + (xy 66.173957 111.505055) + (xy 66.193922 111.379) + (xy 66.775078 111.379) + (xy 66.795043 111.505056) + (xy 66.82198 111.557922) + (xy 66.852984 111.618771) + (xy 66.943229 111.709016) + (xy 67.056943 111.766956) + (xy 67.056945 111.766957) + (xy 67.183 111.786922) + (xy 67.309055 111.766957) + (xy 67.422771 111.709016) + (xy 67.513016 111.618771) + (xy 67.570957 111.505055) + (xy 67.590922 111.379) + (xy 68.172078 111.379) + (xy 68.192043 111.505056) + (xy 68.21898 111.557922) + (xy 68.249984 111.618771) + (xy 68.340229 111.709016) + (xy 68.453943 111.766956) + (xy 68.453945 111.766957) + (xy 68.58 111.786922) + (xy 68.706055 111.766957) + (xy 68.819771 111.709016) + (xy 68.910016 111.618771) + (xy 68.967957 111.505055) + (xy 68.987922 111.379) + (xy 68.97541 111.3) + (xy 94.042078 111.3) + (xy 94.062043 111.426056) + (xy 94.102295 111.505055) + (xy 94.119984 111.539771) + (xy 94.210229 111.630016) + (xy 94.323943 111.687956) + (xy 94.323945 111.687957) + (xy 94.45 111.707922) + (xy 94.576055 111.687957) + (xy 94.689771 111.630016) + (xy 94.769787 111.55) + (xy 100.592078 111.55) + (xy 100.612043 111.676056) + (xy 100.65127 111.753043) + (xy 100.669984 111.789771) + (xy 100.760229 111.880016) + (xy 100.873943 111.937956) + (xy 100.873945 111.937957) + (xy 101 111.957922) + (xy 101.126055 111.937957) + (xy 101.239771 111.880016) + (xy 101.269787 111.85) + (xy 111.342078 111.85) + (xy 111.362043 111.976056) + (xy 111.381857 112.014943) + (xy 111.419984 112.089771) + (xy 111.510229 112.180016) + (xy 111.623943 112.237956) + (xy 111.623945 112.237957) + (xy 111.75 112.257922) + (xy 111.876055 112.237957) + (xy 111.989771 112.180016) + (xy 112.080016 112.089771) + (xy 112.137957 111.976055) + (xy 112.157922 111.85) + (xy 113.642078 111.85) + (xy 113.662043 111.976056) + (xy 113.681857 112.014943) + (xy 113.719984 112.089771) + (xy 113.810229 112.180016) + (xy 113.923943 112.237956) + (xy 113.923945 112.237957) + (xy 114.05 112.257922) + (xy 114.176055 112.237957) + (xy 114.289771 112.180016) + (xy 114.380016 112.089771) + (xy 114.437957 111.976055) + (xy 114.457922 111.85) + (xy 118.242078 111.85) + (xy 118.262043 111.976056) + (xy 118.281857 112.014943) + (xy 118.319984 112.089771) + (xy 118.410229 112.180016) + (xy 118.523943 112.237956) + (xy 118.523945 112.237957) + (xy 118.65 112.257922) + (xy 118.776055 112.237957) + (xy 118.889771 112.180016) + (xy 118.980016 112.089771) + (xy 119.037957 111.976055) + (xy 119.057922 111.85) + (xy 119.037957 111.723945) + (xy 118.980016 111.610229) + (xy 118.889771 111.519984) + (xy 118.776055 111.462043) + (xy 118.776057 111.462043) + (xy 118.65 111.442078) + (xy 118.523943 111.462043) + (xy 118.410228 111.519984) + (xy 118.319984 111.610228) + (xy 118.262043 111.723943) + (xy 118.242078 111.849999) + (xy 118.242078 111.85) + (xy 114.457922 111.85) + (xy 114.437957 111.723945) + (xy 114.380016 111.610229) + (xy 114.289771 111.519984) + (xy 114.176055 111.462043) + (xy 114.176057 111.462043) + (xy 114.05 111.442078) + (xy 113.923943 111.462043) + (xy 113.810228 111.519984) + (xy 113.719984 111.610228) + (xy 113.662043 111.723943) + (xy 113.642078 111.849999) + (xy 113.642078 111.85) + (xy 112.157922 111.85) + (xy 112.137957 111.723945) + (xy 112.080016 111.610229) + (xy 111.989771 111.519984) + (xy 111.876055 111.462043) + (xy 111.876057 111.462043) + (xy 111.75 111.442078) + (xy 111.623943 111.462043) + (xy 111.510228 111.519984) + (xy 111.419984 111.610228) + (xy 111.362043 111.723943) + (xy 111.342078 111.849999) + (xy 111.342078 111.85) + (xy 101.269787 111.85) + (xy 101.330016 111.789771) + (xy 101.387957 111.676055) + (xy 101.407922 111.55) + (xy 101.406014 111.537956) + (xy 101.402055 111.512956) + (xy 101.387957 111.423945) + (xy 101.330016 111.310229) + (xy 101.239771 111.219984) + (xy 101.126055 111.162043) + (xy 101.126057 111.162043) + (xy 101.050019 111.15) + (xy 114.342078 111.15) + (xy 114.362043 111.276056) + (xy 114.389391 111.329729) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.080016 111.389771) + (xy 115.137957 111.276055) + (xy 115.157922 111.15) + (xy 122.142078 111.15) + (xy 122.162043 111.276056) + (xy 122.189391 111.329729) + (xy 122.219984 111.389771) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.937957 111.276055) + (xy 122.957922 111.15) + (xy 122.953962 111.125) + (xy 127.735078 111.125) + (xy 127.755043 111.251056) + (xy 127.795129 111.329728) + (xy 127.812984 111.364771) + (xy 127.903229 111.455016) + (xy 128.016943 111.512956) + (xy 128.016945 111.512957) + (xy 128.143 111.532922) + (xy 128.269055 111.512957) + (xy 128.382771 111.455016) + (xy 128.473016 111.364771) + (xy 128.530957 111.251055) + (xy 128.550922 111.125) + (xy 132.815078 111.125) + (xy 132.835043 111.251056) + (xy 132.875129 111.329728) + (xy 132.892984 111.364771) + (xy 132.983229 111.455016) + (xy 133.096943 111.512956) + (xy 133.096945 111.512957) + (xy 133.223 111.532922) + (xy 133.349055 111.512957) + (xy 133.462771 111.455016) + (xy 133.553016 111.364771) + (xy 133.610957 111.251055) + (xy 133.630922 111.125) + (xy 137.895078 111.125) + (xy 137.915043 111.251056) + (xy 137.955129 111.329728) + (xy 137.972984 111.364771) + (xy 138.063229 111.455016) + (xy 138.176943 111.512956) + (xy 138.176945 111.512957) + (xy 138.303 111.532922) + (xy 138.429055 111.512957) + (xy 138.542771 111.455016) + (xy 138.633016 111.364771) + (xy 138.690957 111.251055) + (xy 138.710922 111.125) + (xy 142.975078 111.125) + (xy 142.995043 111.251056) + (xy 143.035129 111.329728) + (xy 143.052984 111.364771) + (xy 143.143229 111.455016) + (xy 143.256943 111.512956) + (xy 143.256945 111.512957) + (xy 143.383 111.532922) + (xy 143.509055 111.512957) + (xy 143.622771 111.455016) + (xy 143.713016 111.364771) + (xy 143.770957 111.251055) + (xy 143.790922 111.125) + (xy 143.770957 110.998945) + (xy 143.713016 110.885229) + (xy 143.622771 110.794984) + (xy 143.509055 110.737043) + (xy 143.509057 110.737043) + (xy 143.383 110.717078) + (xy 143.256943 110.737043) + (xy 143.143228 110.794984) + (xy 143.052984 110.885228) + (xy 142.995043 110.998943) + (xy 142.975078 111.124999) + (xy 142.975078 111.125) + (xy 138.710922 111.125) + (xy 138.690957 110.998945) + (xy 138.633016 110.885229) + (xy 138.542771 110.794984) + (xy 138.429055 110.737043) + (xy 138.429057 110.737043) + (xy 138.303 110.717078) + (xy 138.176943 110.737043) + (xy 138.063228 110.794984) + (xy 137.972984 110.885228) + (xy 137.915043 110.998943) + (xy 137.895078 111.124999) + (xy 137.895078 111.125) + (xy 133.630922 111.125) + (xy 133.610957 110.998945) + (xy 133.553016 110.885229) + (xy 133.462771 110.794984) + (xy 133.349055 110.737043) + (xy 133.349057 110.737043) + (xy 133.223 110.717078) + (xy 133.096943 110.737043) + (xy 132.983228 110.794984) + (xy 132.892984 110.885228) + (xy 132.835043 110.998943) + (xy 132.815078 111.124999) + (xy 132.815078 111.125) + (xy 128.550922 111.125) + (xy 128.530957 110.998945) + (xy 128.473016 110.885229) + (xy 128.382771 110.794984) + (xy 128.269055 110.737043) + (xy 128.269057 110.737043) + (xy 128.143 110.717078) + (xy 128.016943 110.737043) + (xy 127.903228 110.794984) + (xy 127.812984 110.885228) + (xy 127.755043 110.998943) + (xy 127.735078 111.124999) + (xy 127.735078 111.125) + (xy 122.953962 111.125) + (xy 122.937957 111.023945) + (xy 122.880016 110.910229) + (xy 122.789771 110.819984) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.310228 110.819984) + (xy 122.219984 110.910228) + (xy 122.162043 111.023943) + (xy 122.142078 111.149999) + (xy 122.142078 111.15) + (xy 115.157922 111.15) + (xy 115.137957 111.023945) + (xy 115.080016 110.910229) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.510228 110.819984) + (xy 114.419984 110.910228) + (xy 114.362043 111.023943) + (xy 114.342078 111.149999) + (xy 114.342078 111.15) + (xy 101.050019 111.15) + (xy 101 111.142078) + (xy 100.873943 111.162043) + (xy 100.760228 111.219984) + (xy 100.669984 111.310228) + (xy 100.612043 111.423943) + (xy 100.592078 111.549999) + (xy 100.592078 111.55) + (xy 94.769787 111.55) + (xy 94.780016 111.539771) + (xy 94.837957 111.426055) + (xy 94.857922 111.3) + (xy 94.837957 111.173945) + (xy 94.780016 111.060229) + (xy 94.689771 110.969984) + (xy 94.576055 110.912043) + (xy 94.576057 110.912043) + (xy 94.45 110.892078) + (xy 94.323943 110.912043) + (xy 94.210228 110.969984) + (xy 94.119984 111.060228) + (xy 94.062043 111.173943) + (xy 94.042078 111.299999) + (xy 94.042078 111.3) + (xy 68.97541 111.3) + (xy 68.967957 111.252945) + (xy 68.910016 111.139229) + (xy 68.819771 111.048984) + (xy 68.706055 110.991043) + (xy 68.706057 110.991043) + (xy 68.58 110.971078) + (xy 68.453943 110.991043) + (xy 68.340228 111.048984) + (xy 68.249984 111.139228) + (xy 68.192043 111.252943) + (xy 68.172078 111.378999) + (xy 68.172078 111.379) + (xy 67.590922 111.379) + (xy 67.570957 111.252945) + (xy 67.513016 111.139229) + (xy 67.422771 111.048984) + (xy 67.309055 110.991043) + (xy 67.309057 110.991043) + (xy 67.183 110.971078) + (xy 67.056943 110.991043) + (xy 66.943228 111.048984) + (xy 66.852984 111.139228) + (xy 66.795043 111.252943) + (xy 66.775078 111.378999) + (xy 66.775078 111.379) + (xy 66.193922 111.379) + (xy 66.173957 111.252945) + (xy 66.116016 111.139229) + (xy 66.025771 111.048984) + (xy 65.912055 110.991043) + (xy 65.912057 110.991043) + (xy 65.786 110.971078) + (xy 65.659943 110.991043) + (xy 65.546228 111.048984) + (xy 65.455984 111.139228) + (xy 65.398043 111.252943) + (xy 65.378078 111.378999) + (xy 65.378078 111.379) + (xy 63.791621 111.379) + (xy 63.766516 111.329729) + (xy 63.676271 111.239484) + (xy 63.562555 111.181543) + (xy 63.562557 111.181543) + (xy 63.4365 111.161578) + (xy 63.310443 111.181543) + (xy 63.196728 111.239484) + (xy 63.106484 111.329728) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 46.101 111.5695) + (xy 46.101 110.49) + (xy 62.139578 110.49) + (xy 62.159543 110.616056) + (xy 62.180875 110.657922) + (xy 62.217484 110.729771) + (xy 62.307729 110.820016) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.799787 110.8075) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.956104 110.969984) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.733422 110.8075) + (xy 64.713457 110.681445) + (xy 64.655516 110.567729) + (xy 64.565271 110.477484) + (xy 64.451555 110.419543) + (xy 64.451557 110.419543) + (xy 64.3255 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 62.799787 110.8075) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.935457 110.363945) + (xy 62.877516 110.250229) + (xy 62.827287 110.2) + (xy 87.642078 110.2) + (xy 87.662043 110.326056) + (xy 87.694508 110.389771) + (xy 87.719984 110.439771) + (xy 87.810229 110.530016) + (xy 87.923943 110.587956) + (xy 87.923945 110.587957) + (xy 88.05 110.607922) + (xy 88.176055 110.587957) + (xy 88.289771 110.530016) + (xy 88.380016 110.439771) + (xy 88.437957 110.326055) + (xy 88.450003 110.25) + (xy 90.242078 110.25) + (xy 90.262043 110.376056) + (xy 90.294508 110.439771) + (xy 90.319984 110.489771) + (xy 90.410229 110.580016) + (xy 90.523943 110.637956) + (xy 90.523945 110.637957) + (xy 90.65 110.657922) + (xy 90.776055 110.637957) + (xy 90.889771 110.580016) + (xy 90.980016 110.489771) + (xy 91.037957 110.376055) + (xy 91.050003 110.3) + (xy 93.042078 110.3) + (xy 93.062043 110.426056) + (xy 93.088247 110.477484) + (xy 93.119984 110.539771) + (xy 93.210229 110.630016) + (xy 93.323943 110.687956) + (xy 93.323945 110.687957) + (xy 93.45 110.707922) + (xy 93.576055 110.687957) + (xy 93.689771 110.630016) + (xy 93.780016 110.539771) + (xy 93.837957 110.426055) + (xy 93.857922 110.3) + (xy 93.850003 110.25) + (xy 98.042078 110.25) + (xy 98.062043 110.376056) + (xy 98.094508 110.439771) + (xy 98.119984 110.489771) + (xy 98.210229 110.580016) + (xy 98.323943 110.637956) + (xy 98.323945 110.637957) + (xy 98.45 110.657922) + (xy 98.500018 110.65) + (xy 118.242078 110.65) + (xy 118.262043 110.776056) + (xy 118.284442 110.820016) + (xy 118.319984 110.889771) + (xy 118.410229 110.980016) + (xy 118.523943 111.037956) + (xy 118.523945 111.037957) + (xy 118.65 111.057922) + (xy 118.776055 111.037957) + (xy 118.889771 110.980016) + (xy 118.980016 110.889771) + (xy 119.037957 110.776055) + (xy 119.057922 110.65) + (xy 119.056014 110.637956) + (xy 119.052546 110.616055) + (xy 119.037957 110.523945) + (xy 118.980016 110.410229) + (xy 118.889771 110.319984) + (xy 118.776055 110.262043) + (xy 118.776057 110.262043) + (xy 118.65 110.242078) + (xy 118.523943 110.262043) + (xy 118.410228 110.319984) + (xy 118.319984 110.410228) + (xy 118.262043 110.523943) + (xy 118.242078 110.649999) + (xy 118.242078 110.65) + (xy 98.500018 110.65) + (xy 98.576055 110.637957) + (xy 98.689771 110.580016) + (xy 98.780016 110.489771) + (xy 98.837957 110.376055) + (xy 98.857922 110.25) + (xy 98.842084 110.15) + (xy 114.342078 110.15) + (xy 114.362043 110.276056) + (xy 114.406824 110.363943) + (xy 114.419984 110.389771) + (xy 114.510229 110.480016) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.080016 110.389771) + (xy 115.137957 110.276055) + (xy 115.157922 110.15) + (xy 122.142078 110.15) + (xy 122.162043 110.276056) + (xy 122.206824 110.363943) + (xy 122.219984 110.389771) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.789771 110.480016) + (xy 122.880016 110.389771) + (xy 122.937957 110.276055) + (xy 122.957922 110.15) + (xy 122.937957 110.023945) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.310228 109.819984) + (xy 122.219984 109.910228) + (xy 122.162043 110.023943) + (xy 122.142078 110.149999) + (xy 122.142078 110.15) + (xy 115.157922 110.15) + (xy 115.137957 110.023945) + (xy 115.080016 109.910229) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.510228 109.819984) + (xy 114.419984 109.910228) + (xy 114.362043 110.023943) + (xy 114.342078 110.149999) + (xy 114.342078 110.15) + (xy 98.842084 110.15) + (xy 98.837957 110.123945) + (xy 98.780016 110.010229) + (xy 98.689771 109.919984) + (xy 98.576055 109.862043) + (xy 98.576057 109.862043) + (xy 98.45 109.842078) + (xy 98.323943 109.862043) + (xy 98.210228 109.919984) + (xy 98.119984 110.010228) + (xy 98.062043 110.123943) + (xy 98.042078 110.249999) + (xy 98.042078 110.25) + (xy 93.850003 110.25) + (xy 93.837957 110.173945) + (xy 93.780016 110.060229) + (xy 93.689771 109.969984) + (xy 93.576055 109.912043) + (xy 93.576057 109.912043) + (xy 93.45 109.892078) + (xy 93.323943 109.912043) + (xy 93.210228 109.969984) + (xy 93.119984 110.060228) + (xy 93.062043 110.173943) + (xy 93.042078 110.299999) + (xy 93.042078 110.3) + (xy 91.050003 110.3) + (xy 91.057922 110.25) + (xy 91.037957 110.123945) + (xy 90.980016 110.010229) + (xy 90.889771 109.919984) + (xy 90.776055 109.862043) + (xy 90.776057 109.862043) + (xy 90.65 109.842078) + (xy 90.523943 109.862043) + (xy 90.410228 109.919984) + (xy 90.319984 110.010228) + (xy 90.262043 110.123943) + (xy 90.242078 110.249999) + (xy 90.242078 110.25) + (xy 88.450003 110.25) + (xy 88.457922 110.2) + (xy 88.45783 110.199422) + (xy 88.445876 110.123945) + (xy 88.437957 110.073945) + (xy 88.380016 109.960229) + (xy 88.289771 109.869984) + (xy 88.176055 109.812043) + (xy 88.176057 109.812043) + (xy 88.05 109.792078) + (xy 87.923943 109.812043) + (xy 87.810228 109.869984) + (xy 87.719984 109.960228) + (xy 87.662043 110.073943) + (xy 87.642078 110.199999) + (xy 87.642078 110.2) + (xy 62.827287 110.2) + (xy 62.787271 110.159984) + (xy 62.673555 110.102043) + (xy 62.673557 110.102043) + (xy 62.5475 110.082078) + (xy 62.421443 110.102043) + (xy 62.307728 110.159984) + (xy 62.217484 110.250228) + (xy 62.159543 110.363943) + (xy 62.139578 110.489999) + (xy 62.139578 110.49) + (xy 46.101 110.49) + (xy 46.101 110.046481) + (xy 46.118593 109.998143) + (xy 46.163142 109.972423) + (xy 46.210341 109.979478) + (xy 46.228942 109.988956) + (xy 46.228943 109.988956) + (xy 46.228945 109.988957) + (xy 46.355 110.008922) + (xy 46.481055 109.988957) + (xy 46.594771 109.931016) + (xy 46.685016 109.840771) + (xy 46.742957 109.727055) + (xy 46.762922 109.601) + (xy 51.027078 109.601) + (xy 51.047043 109.727056) + (xy 51.10355 109.837956) + (xy 51.104984 109.840771) + (xy 51.195229 109.931016) + (xy 51.308943 109.988956) + (xy 51.308945 109.988957) + (xy 51.435 110.008922) + (xy 51.561055 109.988957) + (xy 51.674771 109.931016) + (xy 51.765016 109.840771) + (xy 51.822957 109.727055) + (xy 51.832865 109.6645) + (xy 56.107078 109.6645) + (xy 56.127043 109.790556) + (xy 56.151195 109.837956) + (xy 56.184984 109.904271) + (xy 56.275229 109.994516) + (xy 56.388943 110.052456) + (xy 56.388945 110.052457) + (xy 56.515 110.072422) + (xy 56.641055 110.052457) + (xy 56.754771 109.994516) + (xy 56.845016 109.904271) + (xy 56.902957 109.790555) + (xy 56.922922 109.6645) + (xy 61.123578 109.6645) + (xy 61.143543 109.790556) + (xy 61.167695 109.837956) + (xy 61.201484 109.904271) + (xy 61.291729 109.994516) + (xy 61.405443 110.052456) + (xy 61.405445 110.052457) + (xy 61.5315 110.072422) + (xy 61.657555 110.052457) + (xy 61.771271 109.994516) + (xy 61.861516 109.904271) + (xy 61.918976 109.7915) + (xy 64.235078 109.7915) + (xy 64.255043 109.917556) + (xy 64.291424 109.988957) + (xy 64.312984 110.031271) + (xy 64.403229 110.121516) + (xy 64.516943 110.179456) + (xy 64.516945 110.179457) + (xy 64.643 110.199422) + (xy 64.769055 110.179457) + (xy 64.882771 110.121516) + (xy 64.973016 110.031271) + (xy 65.030957 109.917555) + (xy 65.050922 109.7915) + (xy 65.050772 109.790556) + (xy 65.047164 109.767776) + (xy 65.030957 109.665445) + (xy 64.973016 109.551729) + (xy 64.882771 109.461484) + (xy 64.769055 109.403543) + (xy 64.769057 109.403543) + (xy 64.643 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.235078 109.791499) + (xy 64.235078 109.7915) + (xy 61.918976 109.7915) + (xy 61.919457 109.790555) + (xy 61.939422 109.6645) + (xy 61.919457 109.538445) + (xy 61.861516 109.424729) + (xy 61.771271 109.334484) + (xy 61.657555 109.276543) + (xy 61.657557 109.276543) + (xy 61.5315 109.256578) + (xy 61.405443 109.276543) + (xy 61.291728 109.334484) + (xy 61.201484 109.424728) + (xy 61.143543 109.538443) + (xy 61.123578 109.664499) + (xy 61.123578 109.6645) + (xy 56.922922 109.6645) + (xy 56.902957 109.538445) + (xy 56.845016 109.424729) + (xy 56.754771 109.334484) + (xy 56.641055 109.276543) + (xy 56.641057 109.276543) + (xy 56.515 109.256578) + (xy 56.388943 109.276543) + (xy 56.275228 109.334484) + (xy 56.184984 109.424728) + (xy 56.127043 109.538443) + (xy 56.107078 109.664499) + (xy 56.107078 109.6645) + (xy 51.832865 109.6645) + (xy 51.842922 109.601) + (xy 51.822957 109.474945) + (xy 51.765016 109.361229) + (xy 51.674771 109.270984) + (xy 51.561055 109.213043) + (xy 51.561057 109.213043) + (xy 51.435 109.193078) + (xy 51.308943 109.213043) + (xy 51.195228 109.270984) + (xy 51.104984 109.361228) + (xy 51.047043 109.474943) + (xy 51.027078 109.600999) + (xy 51.027078 109.601) + (xy 46.762922 109.601) + (xy 46.742957 109.474945) + (xy 46.685016 109.361229) + (xy 46.594771 109.270984) + (xy 46.481055 109.213043) + (xy 46.481057 109.213043) + (xy 46.355 109.193078) + (xy 46.228942 109.213043) + (xy 46.22894 109.213044) + (xy 46.210339 109.222522) + (xy 46.159283 109.22879) + (xy 46.116142 109.200774) + (xy 46.101 109.155518) + (xy 46.101 109.0295) + (xy 70.775578 109.0295) + (xy 70.795543 109.155556) + (xy 70.824834 109.213043) + (xy 70.853484 109.269271) + (xy 70.943729 109.359516) + (xy 71.057443 109.417456) + (xy 71.057445 109.417457) + (xy 71.1835 109.437422) + (xy 71.309555 109.417457) + (xy 71.343816 109.4) + (xy 100.592078 109.4) + (xy 100.612043 109.526056) + (xy 100.650229 109.600999) + (xy 100.669984 109.639771) + (xy 100.760229 109.730016) + (xy 100.873943 109.787956) + (xy 100.873945 109.787957) + (xy 101 109.807922) + (xy 101.126055 109.787957) + (xy 101.239771 109.730016) + (xy 101.330016 109.639771) + (xy 101.387957 109.526055) + (xy 101.400003 109.45) + (xy 118.242078 109.45) + (xy 118.262043 109.576056) + (xy 118.274753 109.601) + (xy 118.319984 109.689771) + (xy 118.410229 109.780016) + (xy 118.523943 109.837956) + (xy 118.523945 109.837957) + (xy 118.65 109.857922) + (xy 118.776055 109.837957) + (xy 118.889771 109.780016) + (xy 118.980016 109.689771) + (xy 119.037957 109.576055) + (xy 119.057922 109.45) + (xy 122.842078 109.45) + (xy 122.862043 109.576056) + (xy 122.874753 109.601) + (xy 122.919984 109.689771) + (xy 123.010229 109.780016) + (xy 123.123943 109.837956) + (xy 123.123945 109.837957) + (xy 123.25 109.857922) + (xy 123.376055 109.837957) + (xy 123.489771 109.780016) + (xy 123.580016 109.689771) + (xy 123.637957 109.576055) + (xy 123.650003 109.5) + (xy 125.992443 109.5) + (xy 126.010977 109.628911) + (xy 126.065078 109.747373) + (xy 126.06508 109.747376) + (xy 126.150366 109.845801) + (xy 126.17564 109.862043) + (xy 126.25062 109.910229) + (xy 126.259926 109.916209) + (xy 126.384883 109.9529) + (xy 126.515117 109.9529) + (xy 126.640073 109.916209) + (xy 126.640073 109.916208) + (xy 126.640076 109.916208) + (xy 126.749636 109.845799) + (xy 126.834921 109.747374) + (xy 126.889023 109.628909) + (xy 126.907557 109.5) + (xy 126.889023 109.371091) + (xy 126.883736 109.359515) + (xy 126.834921 109.252626) + (xy 126.834919 109.252623) + (xy 126.749633 109.154198) + (xy 126.640073 109.08379) + (xy 126.515117 109.0471) + (xy 126.384883 109.0471) + (xy 126.259926 109.08379) + (xy 126.150366 109.154198) + (xy 126.06508 109.252623) + (xy 126.065078 109.252626) + (xy 126.010977 109.371088) + (xy 125.992443 109.5) + (xy 123.650003 109.5) + (xy 123.657922 109.45) + (xy 123.637957 109.323945) + (xy 123.580016 109.210229) + (xy 123.489771 109.119984) + (xy 123.376055 109.062043) + (xy 123.376057 109.062043) + (xy 123.25 109.042078) + (xy 123.123943 109.062043) + (xy 123.010228 109.119984) + (xy 122.919984 109.210228) + (xy 122.862043 109.323943) + (xy 122.842078 109.449999) + (xy 122.842078 109.45) + (xy 119.057922 109.45) + (xy 119.037957 109.323945) + (xy 118.980016 109.210229) + (xy 118.889771 109.119984) + (xy 118.776055 109.062043) + (xy 118.776057 109.062043) + (xy 118.65 109.042078) + (xy 118.523943 109.062043) + (xy 118.410228 109.119984) + (xy 118.319984 109.210228) + (xy 118.262043 109.323943) + (xy 118.242078 109.449999) + (xy 118.242078 109.45) + (xy 101.400003 109.45) + (xy 101.407922 109.4) + (xy 101.387957 109.273945) + (xy 101.330016 109.160229) + (xy 101.239771 109.069984) + (xy 101.126055 109.012043) + (xy 101.126057 109.012043) + (xy 101 108.992078) + (xy 100.873943 109.012043) + (xy 100.760228 109.069984) + (xy 100.669984 109.160228) + (xy 100.612043 109.273943) + (xy 100.592078 109.399999) + (xy 100.592078 109.4) + (xy 71.343816 109.4) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.571457 109.155555) + (xy 71.591422 109.0295) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) + (xy 71.473787 108.75) + (xy 83.042078 108.75) + (xy 83.062043 108.876056) + (xy 83.103392 108.957208) + (xy 83.119984 108.989771) + (xy 83.210229 109.080016) + (xy 83.323943 109.137956) + (xy 83.323945 109.137957) + (xy 83.45 109.157922) + (xy 83.576055 109.137957) + (xy 83.689771 109.080016) + (xy 83.780016 108.989771) + (xy 83.837957 108.876055) + (xy 83.857922 108.75) + (xy 84.742078 108.75) + (xy 84.762043 108.876056) + (xy 84.803392 108.957208) + (xy 84.819984 108.989771) + (xy 84.910229 109.080016) + (xy 85.023943 109.137956) + (xy 85.023945 109.137957) + (xy 85.15 109.157922) + (xy 85.276055 109.137957) + (xy 85.389771 109.080016) + (xy 85.480016 108.989771) + (xy 85.537957 108.876055) + (xy 85.557922 108.75) + (xy 85.537957 108.623945) + (xy 85.480016 108.510229) + (xy 85.469787 108.5) + (xy 101.492078 108.5) + (xy 101.512043 108.626056) + (xy 101.569984 108.739771) + (xy 101.660229 108.830016) + (xy 101.773943 108.887956) + (xy 101.773945 108.887957) + (xy 101.9 108.907922) + (xy 102.026055 108.887957) + (xy 102.139771 108.830016) + (xy 102.219787 108.75) + (xy 114.342078 108.75) + (xy 114.362043 108.876056) + (xy 114.403392 108.957208) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.080016 108.989771) + (xy 115.137957 108.876055) + (xy 115.157922 108.75) + (xy 122.142078 108.75) + (xy 122.162043 108.876056) + (xy 122.203392 108.957208) + (xy 122.219984 108.989771) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.937957 108.876055) + (xy 122.957922 108.75) + (xy 122.937957 108.623945) + (xy 122.880016 108.510229) + (xy 122.789771 108.419984) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.310228 108.419984) + (xy 122.219984 108.510228) + (xy 122.162043 108.623943) + (xy 122.142078 108.749999) + (xy 122.142078 108.75) + (xy 115.157922 108.75) + (xy 115.137957 108.623945) + (xy 115.080016 108.510229) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.75 108.342078) + (xy 114.623943 108.362043) + (xy 114.510228 108.419984) + (xy 114.419984 108.510228) + (xy 114.362043 108.623943) + (xy 114.342078 108.749999) + (xy 114.342078 108.75) + (xy 102.219787 108.75) + (xy 102.230016 108.739771) + (xy 102.287957 108.626055) + (xy 102.307922 108.5) + (xy 102.306014 108.487956) + (xy 102.303252 108.470515) + (xy 102.287957 108.373945) + (xy 102.230016 108.260229) + (xy 102.139771 108.169984) + (xy 102.026055 108.112043) + (xy 102.026057 108.112043) + (xy 101.9 108.092078) + (xy 101.773943 108.112043) + (xy 101.660228 108.169984) + (xy 101.569984 108.260228) + (xy 101.512043 108.373943) + (xy 101.492078 108.499999) + (xy 101.492078 108.5) + (xy 85.469787 108.5) + (xy 85.389771 108.419984) + (xy 85.276055 108.362043) + (xy 85.276057 108.362043) + (xy 85.15 108.342078) + (xy 85.023943 108.362043) + (xy 84.910228 108.419984) + (xy 84.819984 108.510228) + (xy 84.762043 108.623943) + (xy 84.742078 108.749999) + (xy 84.742078 108.75) + (xy 83.857922 108.75) + (xy 83.837957 108.623945) + (xy 83.780016 108.510229) + (xy 83.689771 108.419984) + (xy 83.576055 108.362043) + (xy 83.576057 108.362043) + (xy 83.45 108.342078) + (xy 83.323943 108.362043) + (xy 83.210228 108.419984) + (xy 83.119984 108.510228) + (xy 83.062043 108.623943) + (xy 83.042078 108.749999) + (xy 83.042078 108.75) + (xy 71.473787 108.75) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029499) + (xy 70.775578 109.0295) + (xy 46.101 109.0295) + (xy 46.101 108.1405) + (xy 69.886578 108.1405) + (xy 69.906543 108.266556) + (xy 69.923584 108.3) + (xy 69.964484 108.380271) + (xy 70.054729 108.470516) + (xy 70.168443 108.528456) + (xy 70.168445 108.528457) + (xy 70.2945 108.548422) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.624516 108.380271) + (xy 70.682457 108.266555) + (xy 70.702422 108.1405) + (xy 70.702266 108.139518) + (xy 70.696007 108.1) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.74972 108.3) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.973943 108.487956) + (xy 78.973945 108.487957) + (xy 79.1 108.507922) + (xy 79.226055 108.487957) + (xy 79.339771 108.430016) + (xy 79.430016 108.339771) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.339771 107.769984) + (xy 79.226055 107.712043) + (xy 79.226057 107.712043) + (xy 79.1 107.692078) + (xy 78.973943 107.712043) + (xy 78.860228 107.769984) + (xy 78.769984 107.860228) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 70.696007 108.1) + (xy 70.688504 108.052626) + (xy 70.682457 108.014445) + (xy 70.624516 107.900729) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140499) + (xy 69.886578 108.1405) + (xy 46.101 108.1405) + (xy 46.101 107.6) + (xy 77.592078 107.6) + (xy 77.612043 107.726056) + (xy 77.669984 107.839771) + (xy 77.760229 107.930016) + (xy 77.873943 107.987956) + (xy 77.873945 107.987957) + (xy 78 108.007922) + (xy 78.126055 107.987957) + (xy 78.239771 107.930016) + (xy 78.330016 107.839771) + (xy 78.387957 107.726055) + (xy 78.400003 107.65) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.679585 107.810484) + (xy 79.719984 107.889771) + (xy 79.810229 107.980016) + (xy 79.923943 108.037956) + (xy 79.923945 108.037957) + (xy 80.05 108.057922) + (xy 80.176055 108.037957) + (xy 80.289771 107.980016) + (xy 80.380016 107.889771) + (xy 80.425756 107.8) + (xy 88.392078 107.8) + (xy 88.412043 107.926056) + (xy 88.444508 107.989771) + (xy 88.469984 108.039771) + (xy 88.560229 108.130016) + (xy 88.673943 108.187956) + (xy 88.673945 108.187957) + (xy 88.8 108.207922) + (xy 88.926055 108.187957) + (xy 89.039771 108.130016) + (xy 89.130016 108.039771) + (xy 89.187957 107.926055) + (xy 89.192084 107.9) + (xy 90.242078 107.9) + (xy 90.262043 108.026056) + (xy 90.27828 108.057922) + (xy 90.319984 108.139771) + (xy 90.410229 108.230016) + (xy 90.523943 108.287956) + (xy 90.523945 108.287957) + (xy 90.65 108.307922) + (xy 90.776055 108.287957) + (xy 90.889771 108.230016) + (xy 90.980016 108.139771) + (xy 91.037957 108.026055) + (xy 91.057922 107.9) + (xy 91.042084 107.8) + (xy 91.392078 107.8) + (xy 91.412043 107.926056) + (xy 91.444508 107.989771) + (xy 91.469984 108.039771) + (xy 91.560229 108.130016) + (xy 91.673943 108.187956) + (xy 91.673945 108.187957) + (xy 91.8 108.207922) + (xy 91.926055 108.187957) + (xy 92.039771 108.130016) + (xy 92.130016 108.039771) + (xy 92.187957 107.926055) + (xy 92.192084 107.9) + (xy 93.142078 107.9) + (xy 93.162043 108.026056) + (xy 93.17828 108.057922) + (xy 93.219984 108.139771) + (xy 93.310229 108.230016) + (xy 93.423943 108.287956) + (xy 93.423945 108.287957) + (xy 93.55 108.307922) + (xy 93.676055 108.287957) + (xy 93.789771 108.230016) + (xy 93.880016 108.139771) + (xy 93.937957 108.026055) + (xy 93.957922 107.9) + (xy 93.942084 107.8) + (xy 96.942078 107.8) + (xy 96.962043 107.926056) + (xy 96.994508 107.989771) + (xy 97.019984 108.039771) + (xy 97.110229 108.130016) + (xy 97.223943 108.187956) + (xy 97.223945 108.187957) + (xy 97.35 108.207922) + (xy 97.476055 108.187957) + (xy 97.589771 108.130016) + (xy 97.680016 108.039771) + (xy 97.737957 107.926055) + (xy 97.742084 107.9) + (xy 98.042078 107.9) + (xy 98.062043 108.026056) + (xy 98.07828 108.057922) + (xy 98.119984 108.139771) + (xy 98.210229 108.230016) + (xy 98.323943 108.287956) + (xy 98.323945 108.287957) + (xy 98.45 108.307922) + (xy 98.576055 108.287957) + (xy 98.689771 108.230016) + (xy 98.780016 108.139771) + (xy 98.837957 108.026055) + (xy 98.842084 108) + (xy 102.492078 108) + (xy 102.512043 108.126056) + (xy 102.553043 108.206522) + (xy 102.569984 108.239771) + (xy 102.660229 108.330016) + (xy 102.773943 108.387956) + (xy 102.773945 108.387957) + (xy 102.9 108.407922) + (xy 103.026055 108.387957) + (xy 103.139771 108.330016) + (xy 103.219787 108.25) + (xy 104.242078 108.25) + (xy 104.262043 108.376056) + (xy 104.268107 108.387957) + (xy 104.319984 108.489771) + (xy 104.410229 108.580016) + (xy 104.523943 108.637956) + (xy 104.523945 108.637957) + (xy 104.65 108.657922) + (xy 104.776055 108.637957) + (xy 104.889771 108.580016) + (xy 104.980016 108.489771) + (xy 105.037957 108.376055) + (xy 105.057922 108.25) + (xy 105.056014 108.237956) + (xy 105.052153 108.213576) + (xy 105.037957 108.123945) + (xy 104.980016 108.010229) + (xy 104.889771 107.919984) + (xy 104.776055 107.862043) + (xy 104.776057 107.862043) + (xy 104.700019 107.85) + (xy 109.542078 107.85) + (xy 109.562043 107.976056) + (xy 109.594508 108.039771) + (xy 109.619984 108.089771) + (xy 109.710229 108.180016) + (xy 109.823943 108.237956) + (xy 109.823945 108.237957) + (xy 109.95 108.257922) + (xy 110.000018 108.25) + (xy 118.242078 108.25) + (xy 118.262043 108.376056) + (xy 118.268107 108.387957) + (xy 118.319984 108.489771) + (xy 118.410229 108.580016) + (xy 118.523943 108.637956) + (xy 118.523945 108.637957) + (xy 118.65 108.657922) + (xy 118.776055 108.637957) + (xy 118.889771 108.580016) + (xy 118.980016 108.489771) + (xy 119.037957 108.376055) + (xy 119.050003 108.3) + (xy 126.992443 108.3) + (xy 127.010977 108.428911) + (xy 127.065078 108.547373) + (xy 127.06508 108.547376) + (xy 127.150366 108.645801) + (xy 127.228668 108.696121) + (xy 127.251907 108.711056) + (xy 127.259926 108.716209) + (xy 127.384883 108.7529) + (xy 127.515117 108.7529) + (xy 127.640073 108.716209) + (xy 127.640073 108.716208) + (xy 127.640076 108.716208) + (xy 127.749636 108.645799) + (xy 127.834921 108.547374) + (xy 127.889023 108.428909) + (xy 127.907557 108.3) + (xy 127.889023 108.171091) + (xy 127.888517 108.169984) + (xy 127.834921 108.052626) + (xy 127.834919 108.052623) + (xy 127.778885 107.987956) + (xy 127.749636 107.954201) + (xy 127.749635 107.9542) + (xy 127.749633 107.954198) + (xy 127.640073 107.88379) + (xy 127.515117 107.8471) + (xy 127.384883 107.8471) + (xy 127.259926 107.88379) + (xy 127.150366 107.954198) + (xy 127.06508 108.052623) + (xy 127.065078 108.052626) + (xy 127.010977 108.171088) + (xy 126.992443 108.3) + (xy 119.050003 108.3) + (xy 119.057922 108.25) + (xy 119.056014 108.237956) + (xy 119.052153 108.213576) + (xy 119.037957 108.123945) + (xy 118.980016 108.010229) + (xy 118.889771 107.919984) + (xy 118.776055 107.862043) + (xy 118.776057 107.862043) + (xy 118.65 107.842078) + (xy 118.523943 107.862043) + (xy 118.410228 107.919984) + (xy 118.319984 108.010228) + (xy 118.262043 108.123943) + (xy 118.242078 108.249999) + (xy 118.242078 108.25) + (xy 110.000018 108.25) + (xy 110.076055 108.237957) + (xy 110.189771 108.180016) + (xy 110.280016 108.089771) + (xy 110.337957 107.976055) + (xy 110.357922 107.85) + (xy 110.342084 107.75) + (xy 114.342078 107.75) + (xy 114.362043 107.876056) + (xy 114.389537 107.930015) + (xy 114.419984 107.989771) + (xy 114.510229 108.080016) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.080016 107.989771) + (xy 115.137957 107.876055) + (xy 115.157922 107.75) + (xy 122.142078 107.75) + (xy 122.162043 107.876056) + (xy 122.189537 107.930015) + (xy 122.219984 107.989771) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.789771 108.080016) + (xy 122.880016 107.989771) + (xy 122.937957 107.876055) + (xy 122.957922 107.75) + (xy 122.937957 107.623945) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.310228 107.419984) + (xy 122.219984 107.510228) + (xy 122.162043 107.623943) + (xy 122.142078 107.749999) + (xy 122.142078 107.75) + (xy 115.157922 107.75) + (xy 115.137957 107.623945) + (xy 115.080016 107.510229) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.510228 107.419984) + (xy 114.419984 107.510228) + (xy 114.362043 107.623943) + (xy 114.342078 107.749999) + (xy 114.342078 107.75) + (xy 110.342084 107.75) + (xy 110.337957 107.723945) + (xy 110.280016 107.610229) + (xy 110.189771 107.519984) + (xy 110.076055 107.462043) + (xy 110.076057 107.462043) + (xy 109.95 107.442078) + (xy 109.823943 107.462043) + (xy 109.710228 107.519984) + (xy 109.619984 107.610228) + (xy 109.562043 107.723943) + (xy 109.542078 107.849999) + (xy 109.542078 107.85) + (xy 104.700019 107.85) + (xy 104.65 107.842078) + (xy 104.523943 107.862043) + (xy 104.410228 107.919984) + (xy 104.319984 108.010228) + (xy 104.262043 108.123943) + (xy 104.242078 108.249999) + (xy 104.242078 108.25) + (xy 103.219787 108.25) + (xy 103.230016 108.239771) + (xy 103.287957 108.126055) + (xy 103.307922 108) + (xy 103.306014 107.987956) + (xy 103.300668 107.954198) + (xy 103.287957 107.873945) + (xy 103.230016 107.760229) + (xy 103.139771 107.669984) + (xy 103.026055 107.612043) + (xy 103.026057 107.612043) + (xy 102.9 107.592078) + (xy 102.773943 107.612043) + (xy 102.660228 107.669984) + (xy 102.569984 107.760228) + (xy 102.512043 107.873943) + (xy 102.492078 107.999999) + (xy 102.492078 108) + (xy 98.842084 108) + (xy 98.857922 107.9) + (xy 98.837957 107.773945) + (xy 98.780016 107.660229) + (xy 98.689771 107.569984) + (xy 98.576055 107.512043) + (xy 98.576057 107.512043) + (xy 98.45 107.492078) + (xy 98.323943 107.512043) + (xy 98.210228 107.569984) + (xy 98.119984 107.660228) + (xy 98.062043 107.773943) + (xy 98.042078 107.899999) + (xy 98.042078 107.9) + (xy 97.742084 107.9) + (xy 97.757922 107.8) + (xy 97.737957 107.673945) + (xy 97.680016 107.560229) + (xy 97.589771 107.469984) + (xy 97.476055 107.412043) + (xy 97.476057 107.412043) + (xy 97.35 107.392078) + (xy 97.223943 107.412043) + (xy 97.110228 107.469984) + (xy 97.019984 107.560228) + (xy 96.962043 107.673943) + (xy 96.942078 107.799999) + (xy 96.942078 107.8) + (xy 93.942084 107.8) + (xy 93.937957 107.773945) + (xy 93.880016 107.660229) + (xy 93.789771 107.569984) + (xy 93.676055 107.512043) + (xy 93.676057 107.512043) + (xy 93.55 107.492078) + (xy 93.423943 107.512043) + (xy 93.310228 107.569984) + (xy 93.219984 107.660228) + (xy 93.162043 107.773943) + (xy 93.142078 107.899999) + (xy 93.142078 107.9) + (xy 92.192084 107.9) + (xy 92.207922 107.8) + (xy 92.187957 107.673945) + (xy 92.130016 107.560229) + (xy 92.039771 107.469984) + (xy 91.926055 107.412043) + (xy 91.926057 107.412043) + (xy 91.8 107.392078) + (xy 91.673943 107.412043) + (xy 91.560228 107.469984) + (xy 91.469984 107.560228) + (xy 91.412043 107.673943) + (xy 91.392078 107.799999) + (xy 91.392078 107.8) + (xy 91.042084 107.8) + (xy 91.037957 107.773945) + (xy 90.980016 107.660229) + (xy 90.889771 107.569984) + (xy 90.776055 107.512043) + (xy 90.776057 107.512043) + (xy 90.65 107.492078) + (xy 90.523943 107.512043) + (xy 90.410228 107.569984) + (xy 90.319984 107.660228) + (xy 90.262043 107.773943) + (xy 90.242078 107.899999) + (xy 90.242078 107.9) + (xy 89.192084 107.9) + (xy 89.207922 107.8) + (xy 89.187957 107.673945) + (xy 89.130016 107.560229) + (xy 89.039771 107.469984) + (xy 88.926055 107.412043) + (xy 88.926057 107.412043) + (xy 88.8 107.392078) + (xy 88.673943 107.412043) + (xy 88.560228 107.469984) + (xy 88.469984 107.560228) + (xy 88.412043 107.673943) + (xy 88.392078 107.799999) + (xy 88.392078 107.8) + (xy 80.425756 107.8) + (xy 80.437957 107.776055) + (xy 80.457922 107.65) + (xy 80.437957 107.523945) + (xy 80.380016 107.410229) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 78.400003 107.65) + (xy 78.407922 107.6) + (xy 78.387957 107.473945) + (xy 78.330016 107.360229) + (xy 78.239771 107.269984) + (xy 78.126055 107.212043) + (xy 78.126057 107.212043) + (xy 78 107.192078) + (xy 77.873943 107.212043) + (xy 77.760228 107.269984) + (xy 77.669984 107.360228) + (xy 77.612043 107.473943) + (xy 77.592078 107.599999) + (xy 77.592078 107.6) + (xy 46.101 107.6) + (xy 46.101 107.061) + (xy 48.487078 107.061) + (xy 48.507043 107.187056) + (xy 48.537776 107.247373) + (xy 48.564984 107.300771) + (xy 48.655229 107.391016) + (xy 48.768943 107.448956) + (xy 48.768945 107.448957) + (xy 48.895 107.468922) + (xy 49.021055 107.448957) + (xy 49.134771 107.391016) + (xy 49.225016 107.300771) + (xy 49.282957 107.187055) + (xy 49.292865 107.1245) + (xy 53.567078 107.1245) + (xy 53.587043 107.250556) + (xy 53.643849 107.362043) + (xy 53.644984 107.364271) + (xy 53.735229 107.454516) + (xy 53.848943 107.512456) + (xy 53.848945 107.512457) + (xy 53.975 107.532422) + (xy 54.101055 107.512457) + (xy 54.214771 107.454516) + (xy 54.305016 107.364271) + (xy 54.362957 107.250555) + (xy 54.382922 107.1245) + (xy 58.647078 107.1245) + (xy 58.667043 107.250556) + (xy 58.723849 107.362043) + (xy 58.724984 107.364271) + (xy 58.815229 107.454516) + (xy 58.928943 107.512456) + (xy 58.928945 107.512457) + (xy 59.055 107.532422) + (xy 59.181055 107.512457) + (xy 59.294771 107.454516) + (xy 59.385016 107.364271) + (xy 59.442957 107.250555) + (xy 59.462922 107.1245) + (xy 59.442957 106.998445) + (xy 59.410121 106.934) + (xy 69.632578 106.934) + (xy 69.652543 107.060056) + (xy 69.685379 107.124499) + (xy 69.710484 107.173771) + (xy 69.800729 107.264016) + (xy 69.914443 107.321956) + (xy 69.914445 107.321957) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.370516 107.173771) + (xy 70.428457 107.060055) + (xy 70.448422 106.934) + (xy 70.446829 106.923945) + (xy 70.438458 106.871088) + (xy 70.428457 106.807945) + (xy 70.424409 106.8) + (xy 94.742329 106.8) + (xy 94.758646 106.923945) + (xy 94.761331 106.944336) + (xy 94.817043 107.078836) + (xy 94.817044 107.078838) + (xy 94.817045 107.078839) + (xy 94.905666 107.194333) + (xy 95.004257 107.269984) + (xy 95.021164 107.282957) + (xy 95.155664 107.338669) + (xy 95.3 107.357671) + (xy 95.444336 107.338669) + (xy 95.578836 107.282957) + (xy 95.694333 107.194333) + (xy 95.782957 107.078836) + (xy 95.838669 106.944336) + (xy 95.844506 106.9) + (xy 98.092078 106.9) + (xy 98.112043 107.026056) + (xy 98.162203 107.1245) + (xy 98.169984 107.139771) + (xy 98.260229 107.230016) + (xy 98.373943 107.287956) + (xy 98.373945 107.287957) + (xy 98.5 107.307922) + (xy 98.626055 107.287957) + (xy 98.739771 107.230016) + (xy 98.830016 107.139771) + (xy 98.887957 107.026055) + (xy 98.907922 106.9) + (xy 99.242078 106.9) + (xy 99.262043 107.026056) + (xy 99.312203 107.1245) + (xy 99.319984 107.139771) + (xy 99.410229 107.230016) + (xy 99.523943 107.287956) + (xy 99.523945 107.287957) + (xy 99.65 107.307922) + (xy 99.776055 107.287957) + (xy 99.889771 107.230016) + (xy 99.980016 107.139771) + (xy 100.037957 107.026055) + (xy 100.042084 107) + (xy 110.392443 107) + (xy 110.410977 107.128911) + (xy 110.465078 107.247373) + (xy 110.46508 107.247376) + (xy 110.550366 107.345801) + (xy 110.603607 107.380016) + (xy 110.65062 107.410229) + (xy 110.659926 107.416209) + (xy 110.784883 107.4529) + (xy 110.915117 107.4529) + (xy 111.040073 107.416209) + (xy 111.040073 107.416208) + (xy 111.040076 107.416208) + (xy 111.149636 107.345799) + (xy 111.234921 107.247374) + (xy 111.289023 107.128909) + (xy 111.300368 107.05) + (xy 113.642078 107.05) + (xy 113.662043 107.176056) + (xy 113.700003 107.250556) + (xy 113.719984 107.289771) + (xy 113.810229 107.380016) + (xy 113.923943 107.437956) + (xy 113.923945 107.437957) + (xy 114.05 107.457922) + (xy 114.176055 107.437957) + (xy 114.289771 107.380016) + (xy 114.380016 107.289771) + (xy 114.437957 107.176055) + (xy 114.457922 107.05) + (xy 118.242078 107.05) + (xy 118.262043 107.176056) + (xy 118.300003 107.250556) + (xy 118.319984 107.289771) + (xy 118.410229 107.380016) + (xy 118.523943 107.437956) + (xy 118.523945 107.437957) + (xy 118.65 107.457922) + (xy 118.776055 107.437957) + (xy 118.889771 107.380016) + (xy 118.980016 107.289771) + (xy 119.037957 107.176055) + (xy 119.057922 107.05) + (xy 119.037957 106.923945) + (xy 118.980016 106.810229) + (xy 118.889771 106.719984) + (xy 118.776055 106.662043) + (xy 118.776057 106.662043) + (xy 118.65 106.642078) + (xy 118.523943 106.662043) + (xy 118.410228 106.719984) + (xy 118.319984 106.810228) + (xy 118.262043 106.923943) + (xy 118.242078 107.049999) + (xy 118.242078 107.05) + (xy 114.457922 107.05) + (xy 114.437957 106.923945) + (xy 114.380016 106.810229) + (xy 114.289771 106.719984) + (xy 114.176055 106.662043) + (xy 114.176057 106.662043) + (xy 114.05 106.642078) + (xy 113.923943 106.662043) + (xy 113.810228 106.719984) + (xy 113.719984 106.810228) + (xy 113.662043 106.923943) + (xy 113.642078 107.049999) + (xy 113.642078 107.05) + (xy 111.300368 107.05) + (xy 111.307557 107) + (xy 111.289023 106.871091) + (xy 111.266251 106.821229) + (xy 111.234921 106.752626) + (xy 111.234919 106.752623) + (xy 111.18379 106.693618) + (xy 111.149636 106.654201) + (xy 111.149635 106.6542) + (xy 111.149633 106.654198) + (xy 111.040073 106.58379) + (xy 110.915117 106.5471) + (xy 110.784883 106.5471) + (xy 110.659926 106.58379) + (xy 110.550366 106.654198) + (xy 110.46508 106.752623) + (xy 110.465078 106.752626) + (xy 110.410977 106.871088) + (xy 110.392443 107) + (xy 100.042084 107) + (xy 100.057922 106.9) + (xy 100.037957 106.773945) + (xy 99.980016 106.660229) + (xy 99.889771 106.569984) + (xy 99.776055 106.512043) + (xy 99.776057 106.512043) + (xy 99.65 106.492078) + (xy 99.523943 106.512043) + (xy 99.410228 106.569984) + (xy 99.319984 106.660228) + (xy 99.262043 106.773943) + (xy 99.242078 106.899999) + (xy 99.242078 106.9) + (xy 98.907922 106.9) + (xy 98.887957 106.773945) + (xy 98.830016 106.660229) + (xy 98.739771 106.569984) + (xy 98.626055 106.512043) + (xy 98.626057 106.512043) + (xy 98.5 106.492078) + (xy 98.373943 106.512043) + (xy 98.260228 106.569984) + (xy 98.169984 106.660228) + (xy 98.112043 106.773943) + (xy 98.092078 106.899999) + (xy 98.092078 106.9) + (xy 95.844506 106.9) + (xy 95.857671 106.8) + (xy 95.838669 106.655664) + (xy 95.782957 106.521165) + (xy 95.748344 106.476056) + (xy 95.694333 106.405666) + (xy 95.686949 106.4) + (xy 100.142078 106.4) + (xy 100.162043 106.526056) + (xy 100.172227 106.546043) + (xy 100.219984 106.639771) + (xy 100.310229 106.730016) + (xy 100.423943 106.787956) + (xy 100.423945 106.787957) + (xy 100.55 106.807922) + (xy 100.676055 106.787957) + (xy 100.789771 106.730016) + (xy 100.880016 106.639771) + (xy 100.937957 106.526055) + (xy 100.957922 106.4) + (xy 100.950003 106.35) + (xy 114.342078 106.35) + (xy 114.362043 106.476056) + (xy 114.398242 106.5471) + (xy 114.419984 106.589771) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.080016 106.589771) + (xy 115.137957 106.476055) + (xy 115.157922 106.35) + (xy 122.142078 106.35) + (xy 122.162043 106.476056) + (xy 122.198242 106.5471) + (xy 122.219984 106.589771) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.937957 106.476055) + (xy 122.957922 106.35) + (xy 122.956014 106.337956) + (xy 122.952702 106.317043) + (xy 122.937957 106.223945) + (xy 122.880016 106.110229) + (xy 122.814787 106.045) + (xy 142.975078 106.045) + (xy 142.995043 106.171056) + (xy 143.029131 106.237957) + (xy 143.052984 106.284771) + (xy 143.143229 106.375016) + (xy 143.256943 106.432956) + (xy 143.256945 106.432957) + (xy 143.383 106.452922) + (xy 143.509055 106.432957) + (xy 143.622771 106.375016) + (xy 143.713016 106.284771) + (xy 143.770957 106.171055) + (xy 143.790922 106.045) + (xy 143.770957 105.918945) + (xy 143.713016 105.805229) + (xy 143.622771 105.714984) + (xy 143.509055 105.657043) + (xy 143.509057 105.657043) + (xy 143.383 105.637078) + (xy 143.256943 105.657043) + (xy 143.143228 105.714984) + (xy 143.052984 105.805228) + (xy 142.995043 105.918943) + (xy 142.975078 106.044999) + (xy 142.975078 106.045) + (xy 122.814787 106.045) + (xy 122.789771 106.019984) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.310228 106.019984) + (xy 122.219984 106.110228) + (xy 122.162043 106.223943) + (xy 122.142078 106.349999) + (xy 122.142078 106.35) + (xy 115.157922 106.35) + (xy 115.156014 106.337956) + (xy 115.152702 106.317043) + (xy 115.137957 106.223945) + (xy 115.080016 106.110229) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.510228 106.019984) + (xy 114.419984 106.110228) + (xy 114.362043 106.223943) + (xy 114.342078 106.349999) + (xy 114.342078 106.35) + (xy 100.950003 106.35) + (xy 100.937957 106.273945) + (xy 100.880016 106.160229) + (xy 100.789771 106.069984) + (xy 100.676055 106.012043) + (xy 100.676057 106.012043) + (xy 100.55 105.992078) + (xy 100.423943 106.012043) + (xy 100.310228 106.069984) + (xy 100.219984 106.160228) + (xy 100.162043 106.273943) + (xy 100.142078 106.399999) + (xy 100.142078 106.4) + (xy 95.686949 106.4) + (xy 95.578839 106.317045) + (xy 95.578838 106.317044) + (xy 95.578836 106.317043) + (xy 95.474784 106.273943) + (xy 95.444337 106.261331) + (xy 95.3 106.242329) + (xy 95.155662 106.261331) + (xy 95.021163 106.317044) + (xy 95.021162 106.317044) + (xy 94.905666 106.405666) + (xy 94.817044 106.521162) + (xy 94.817044 106.521163) + (xy 94.817043 106.521164) + (xy 94.817043 106.521165) + (xy 94.815017 106.526056) + (xy 94.761331 106.655662) + (xy 94.742329 106.8) + (xy 70.424409 106.8) + (xy 70.370516 106.694229) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 59.410121 106.934) + (xy 59.385016 106.884729) + (xy 59.294771 106.794484) + (xy 59.181055 106.736543) + (xy 59.181057 106.736543) + (xy 59.055 106.716578) + (xy 58.928943 106.736543) + (xy 58.815228 106.794484) + (xy 58.724984 106.884728) + (xy 58.667043 106.998443) + (xy 58.647078 107.124499) + (xy 58.647078 107.1245) + (xy 54.382922 107.1245) + (xy 54.362957 106.998445) + (xy 54.305016 106.884729) + (xy 54.214771 106.794484) + (xy 54.101055 106.736543) + (xy 54.101057 106.736543) + (xy 53.975 106.716578) + (xy 53.848943 106.736543) + (xy 53.735228 106.794484) + (xy 53.644984 106.884728) + (xy 53.587043 106.998443) + (xy 53.567078 107.124499) + (xy 53.567078 107.1245) + (xy 49.292865 107.1245) + (xy 49.302922 107.061) + (xy 49.302772 107.060056) + (xy 49.297387 107.026055) + (xy 49.282957 106.934945) + (xy 49.225016 106.821229) + (xy 49.134771 106.730984) + (xy 49.021055 106.673043) + (xy 49.021057 106.673043) + (xy 48.895 106.653078) + (xy 48.768943 106.673043) + (xy 48.655228 106.730984) + (xy 48.564984 106.821228) + (xy 48.507043 106.934943) + (xy 48.487078 107.060999) + (xy 48.487078 107.061) + (xy 46.101 107.061) + (xy 46.101 105.664) + (xy 69.632578 105.664) + (xy 69.652543 105.790056) + (xy 69.683086 105.849999) + (xy 69.710484 105.903771) + (xy 69.800729 105.994016) + (xy 69.914443 106.051956) + (xy 69.914445 106.051957) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.324287 105.95) + (xy 77.792078 105.95) + (xy 77.812043 106.076056) + (xy 77.829455 106.110228) + (xy 77.869984 106.189771) + (xy 77.960229 106.280016) + (xy 78.073943 106.337956) + (xy 78.073945 106.337957) + (xy 78.2 106.357922) + (xy 78.326055 106.337957) + (xy 78.439771 106.280016) + (xy 78.530016 106.189771) + (xy 78.587957 106.076055) + (xy 78.607922 105.95) + (xy 78.587957 105.823945) + (xy 78.530016 105.710229) + (xy 78.439771 105.619984) + (xy 78.326055 105.562043) + (xy 78.326057 105.562043) + (xy 78.2 105.542078) + (xy 78.073943 105.562043) + (xy 77.960228 105.619984) + (xy 77.869984 105.710228) + (xy 77.812043 105.823943) + (xy 77.792078 105.949999) + (xy 77.792078 105.95) + (xy 70.324287 105.95) + (xy 70.370516 105.903771) + (xy 70.428457 105.790055) + (xy 70.448422 105.664) + (xy 70.446204 105.649999) + (xy 70.444158 105.637078) + (xy 70.428457 105.537945) + (xy 70.370516 105.424229) + (xy 70.346287 105.4) + (xy 84.842078 105.4) + (xy 84.862043 105.526056) + (xy 84.884426 105.569984) + (xy 84.919984 105.639771) + (xy 85.010229 105.730016) + (xy 85.123943 105.787956) + (xy 85.123945 105.787957) + (xy 85.25 105.807922) + (xy 85.376055 105.787957) + (xy 85.489771 105.730016) + (xy 85.569787 105.65) + (xy 87.242078 105.65) + (xy 87.262043 105.776056) + (xy 87.27828 105.807922) + (xy 87.319984 105.889771) + (xy 87.410229 105.980016) + (xy 87.523943 106.037956) + (xy 87.523945 106.037957) + (xy 87.65 106.057922) + (xy 87.776055 106.037957) + (xy 87.889771 105.980016) + (xy 87.969787 105.9) + (xy 99.242078 105.9) + (xy 99.262043 106.026056) + (xy 99.294508 106.089771) + (xy 99.319984 106.139771) + (xy 99.410229 106.230016) + (xy 99.523943 106.287956) + (xy 99.523945 106.287957) + (xy 99.65 106.307922) + (xy 99.776055 106.287957) + (xy 99.889771 106.230016) + (xy 99.980016 106.139771) + (xy 100.037957 106.026055) + (xy 100.057922 105.9) + (xy 100.050003 105.85) + (xy 118.242078 105.85) + (xy 118.262043 105.976056) + (xy 118.312995 106.076055) + (xy 118.319984 106.089771) + (xy 118.410229 106.180016) + (xy 118.523943 106.237956) + (xy 118.523945 106.237957) + (xy 118.65 106.257922) + (xy 118.776055 106.237957) + (xy 118.889771 106.180016) + (xy 118.980016 106.089771) + (xy 119.037957 105.976055) + (xy 119.057922 105.85) + (xy 119.037957 105.723945) + (xy 118.980016 105.610229) + (xy 118.889771 105.519984) + (xy 118.776055 105.462043) + (xy 118.776057 105.462043) + (xy 118.65 105.442078) + (xy 118.523943 105.462043) + (xy 118.410228 105.519984) + (xy 118.319984 105.610228) + (xy 118.262043 105.723943) + (xy 118.242078 105.849999) + (xy 118.242078 105.85) + (xy 100.050003 105.85) + (xy 100.037957 105.773945) + (xy 99.980016 105.660229) + (xy 99.889771 105.569984) + (xy 99.776055 105.512043) + (xy 99.776057 105.512043) + (xy 99.65 105.492078) + (xy 99.523943 105.512043) + (xy 99.410228 105.569984) + (xy 99.319984 105.660228) + (xy 99.262043 105.773943) + (xy 99.242078 105.899999) + (xy 99.242078 105.9) + (xy 87.969787 105.9) + (xy 87.980016 105.889771) + (xy 88.037957 105.776055) + (xy 88.057922 105.65) + (xy 88.057506 105.647376) + (xy 88.051623 105.610228) + (xy 88.037957 105.523945) + (xy 87.980016 105.410229) + (xy 87.889771 105.319984) + (xy 87.776055 105.262043) + (xy 87.776057 105.262043) + (xy 87.65 105.242078) + (xy 87.523943 105.262043) + (xy 87.410228 105.319984) + (xy 87.319984 105.410228) + (xy 87.262043 105.523943) + (xy 87.242078 105.649999) + (xy 87.242078 105.65) + (xy 85.569787 105.65) + (xy 85.580016 105.639771) + (xy 85.637957 105.526055) + (xy 85.657922 105.4) + (xy 85.637957 105.273945) + (xy 85.580016 105.160229) + (xy 85.489771 105.069984) + (xy 85.376055 105.012043) + (xy 85.376057 105.012043) + (xy 85.25 104.992078) + (xy 85.123943 105.012043) + (xy 85.010228 105.069984) + (xy 84.919984 105.160228) + (xy 84.862043 105.273943) + (xy 84.842078 105.399999) + (xy 84.842078 105.4) + (xy 70.346287 105.4) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663999) + (xy 69.632578 105.664) + (xy 46.101 105.664) + (xy 46.101 104.95) + (xy 46.542443 104.95) + (xy 46.560977 105.078911) + (xy 46.615078 105.197373) + (xy 46.61508 105.197376) + (xy 46.677594 105.269521) + (xy 46.683245 105.276043) + (xy 46.700366 105.295801) + (xy 46.809926 105.366209) + (xy 46.934883 105.4029) + (xy 47.065117 105.4029) + (xy 47.190073 105.366209) + (xy 47.190073 105.366208) + (xy 47.190076 105.366208) + (xy 47.299636 105.295799) + (xy 47.384921 105.197374) + (xy 47.439023 105.078909) + (xy 47.457557 104.95) + (xy 47.439023 104.821091) + (xy 47.438517 104.819984) + (xy 47.384921 104.702626) + (xy 47.384919 104.702623) + (xy 47.299633 104.604198) + (xy 47.190073 104.53379) + (xy 47.065117 104.4971) + (xy 46.934883 104.4971) + (xy 46.809926 104.53379) + (xy 46.700366 104.604198) + (xy 46.61508 104.702623) + (xy 46.615078 104.702626) + (xy 46.560977 104.821088) + (xy 46.542443 104.95) + (xy 46.101 104.95) + (xy 46.101 104.4) + (xy 49.092443 104.4) + (xy 49.110977 104.528911) + (xy 49.165078 104.647373) + (xy 49.16508 104.647376) + (xy 49.250366 104.745801) + (xy 49.359926 104.816209) + (xy 49.484883 104.8529) + (xy 49.615117 104.8529) + (xy 49.740073 104.816209) + (xy 49.740073 104.816208) + (xy 49.740076 104.816208) + (xy 49.849636 104.745799) + (xy 49.934921 104.647374) + (xy 49.963635 104.5845) + (xy 51.027078 104.5845) + (xy 51.047043 104.710556) + (xy 51.086481 104.787957) + (xy 51.104984 104.824271) + (xy 51.195229 104.914516) + (xy 51.308943 104.972456) + (xy 51.308945 104.972457) + (xy 51.435 104.992422) + (xy 51.561055 104.972457) + (xy 51.674771 104.914516) + (xy 51.765016 104.824271) + (xy 51.822957 104.710555) + (xy 51.842922 104.5845) + (xy 56.107078 104.5845) + (xy 56.127043 104.710556) + (xy 56.166481 104.787957) + (xy 56.184984 104.824271) + (xy 56.275229 104.914516) + (xy 56.388943 104.972456) + (xy 56.388945 104.972457) + (xy 56.515 104.992422) + (xy 56.641055 104.972457) + (xy 56.754771 104.914516) + (xy 56.845016 104.824271) + (xy 56.902957 104.710555) + (xy 56.922922 104.5845) + (xy 56.922772 104.583556) + (xy 56.91489 104.53379) + (xy 56.902957 104.458445) + (xy 56.902476 104.4575) + (xy 59.663078 104.4575) + (xy 59.683043 104.583556) + (xy 59.708629 104.633771) + (xy 59.740984 104.697271) + (xy 59.831229 104.787516) + (xy 59.944943 104.845456) + (xy 59.944945 104.845457) + (xy 60.071 104.865422) + (xy 60.197055 104.845457) + (xy 60.310771 104.787516) + (xy 60.401016 104.697271) + (xy 60.458957 104.583555) + (xy 60.478922 104.4575) + (xy 60.468865 104.394) + (xy 69.632578 104.394) + (xy 69.652543 104.520056) + (xy 69.68 104.573943) + (xy 69.710484 104.633771) + (xy 69.800729 104.724016) + (xy 69.914443 104.781956) + (xy 69.914445 104.781957) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.304287 104.7) + (xy 75.942078 104.7) + (xy 75.962043 104.826056) + (xy 75.975721 104.8529) + (xy 76.019984 104.939771) + (xy 76.110229 105.030016) + (xy 76.223943 105.087956) + (xy 76.223945 105.087957) + (xy 76.35 105.107922) + (xy 76.476055 105.087957) + (xy 76.589771 105.030016) + (xy 76.680016 104.939771) + (xy 76.737957 104.826055) + (xy 76.742084 104.8) + (xy 87.792078 104.8) + (xy 87.812043 104.926056) + (xy 87.855856 105.012043) + (xy 87.869984 105.039771) + (xy 87.960229 105.130016) + (xy 88.073943 105.187956) + (xy 88.073945 105.187957) + (xy 88.2 105.207922) + (xy 88.326055 105.187957) + (xy 88.40055 105.15) + (xy 98.542078 105.15) + (xy 98.562043 105.276056) + (xy 98.619984 105.389771) + (xy 98.710229 105.480016) + (xy 98.823943 105.537956) + (xy 98.823945 105.537957) + (xy 98.95 105.557922) + (xy 99.076055 105.537957) + (xy 99.189771 105.480016) + (xy 99.269787 105.4) + (xy 100.142078 105.4) + (xy 100.162043 105.526056) + (xy 100.184426 105.569984) + (xy 100.219984 105.639771) + (xy 100.310229 105.730016) + (xy 100.423943 105.787956) + (xy 100.423945 105.787957) + (xy 100.55 105.807922) + (xy 100.676055 105.787957) + (xy 100.789771 105.730016) + (xy 100.880016 105.639771) + (xy 100.937957 105.526055) + (xy 100.957922 105.4) + (xy 100.950003 105.35) + (xy 114.342078 105.35) + (xy 114.362043 105.476056) + (xy 114.395683 105.542078) + (xy 114.419984 105.589771) + (xy 114.510229 105.680016) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.080016 105.589771) + (xy 115.137957 105.476055) + (xy 115.157922 105.35) + (xy 122.142078 105.35) + (xy 122.162043 105.476056) + (xy 122.195683 105.542078) + (xy 122.219984 105.589771) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.789771 105.680016) + (xy 122.880016 105.589771) + (xy 122.937957 105.476055) + (xy 122.950003 105.4) + (xy 125.992443 105.4) + (xy 126.010977 105.528911) + (xy 126.065078 105.647373) + (xy 126.06508 105.647376) + (xy 126.150366 105.745801) + (xy 126.259926 105.816209) + (xy 126.384883 105.8529) + (xy 126.515117 105.8529) + (xy 126.640073 105.816209) + (xy 126.640073 105.816208) + (xy 126.640076 105.816208) + (xy 126.749636 105.745799) + (xy 126.834921 105.647374) + (xy 126.889023 105.528909) + (xy 126.907557 105.4) + (xy 126.889023 105.271091) + (xy 126.834921 105.152626) + (xy 126.834919 105.152623) + (xy 126.749633 105.054198) + (xy 126.640073 104.98379) + (xy 126.515117 104.9471) + (xy 126.384883 104.9471) + (xy 126.259926 104.98379) + (xy 126.150366 105.054198) + (xy 126.06508 105.152623) + (xy 126.065078 105.152626) + (xy 126.010977 105.271088) + (xy 125.992443 105.4) + (xy 122.950003 105.4) + (xy 122.957922 105.35) + (xy 122.937957 105.223945) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.310228 105.019984) + (xy 122.219984 105.110228) + (xy 122.162043 105.223943) + (xy 122.142078 105.349999) + (xy 122.142078 105.35) + (xy 115.157922 105.35) + (xy 115.137957 105.223945) + (xy 115.080016 105.110229) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.510228 105.019984) + (xy 114.419984 105.110228) + (xy 114.362043 105.223943) + (xy 114.342078 105.349999) + (xy 114.342078 105.35) + (xy 100.950003 105.35) + (xy 100.937957 105.273945) + (xy 100.880016 105.160229) + (xy 100.789771 105.069984) + (xy 100.676055 105.012043) + (xy 100.676057 105.012043) + (xy 100.55 104.992078) + (xy 100.423943 105.012043) + (xy 100.310228 105.069984) + (xy 100.219984 105.160228) + (xy 100.162043 105.273943) + (xy 100.142078 105.399999) + (xy 100.142078 105.4) + (xy 99.269787 105.4) + (xy 99.280016 105.389771) + (xy 99.337957 105.276055) + (xy 99.357922 105.15) + (xy 99.337957 105.023945) + (xy 99.280016 104.910229) + (xy 99.189771 104.819984) + (xy 99.076055 104.762043) + (xy 99.076057 104.762043) + (xy 98.95 104.742078) + (xy 98.823943 104.762043) + (xy 98.710228 104.819984) + (xy 98.619984 104.910228) + (xy 98.562043 105.023943) + (xy 98.542078 105.149999) + (xy 98.542078 105.15) + (xy 88.40055 105.15) + (xy 88.439771 105.130016) + (xy 88.530016 105.039771) + (xy 88.587957 104.926055) + (xy 88.607922 104.8) + (xy 88.606014 104.787956) + (xy 88.60191 104.762043) + (xy 88.587957 104.673945) + (xy 88.530016 104.560229) + (xy 88.439771 104.469984) + (xy 88.326055 104.412043) + (xy 88.326057 104.412043) + (xy 88.250019 104.4) + (xy 99.242078 104.4) + (xy 99.262043 104.526056) + (xy 99.291822 104.5845) + (xy 99.319984 104.639771) + (xy 99.410229 104.730016) + (xy 99.523943 104.787956) + (xy 99.523945 104.787957) + (xy 99.65 104.807922) + (xy 99.776055 104.787957) + (xy 99.889771 104.730016) + (xy 99.980016 104.639771) + (xy 100.037957 104.526055) + (xy 100.057922 104.4) + (xy 100.037957 104.273945) + (xy 99.980016 104.160229) + (xy 99.889771 104.069984) + (xy 99.776055 104.012043) + (xy 99.776057 104.012043) + (xy 99.65 103.992078) + (xy 99.523943 104.012043) + (xy 99.410228 104.069984) + (xy 99.319984 104.160228) + (xy 99.262043 104.273943) + (xy 99.242078 104.399999) + (xy 99.242078 104.4) + (xy 88.250019 104.4) + (xy 88.2 104.392078) + (xy 88.073943 104.412043) + (xy 87.960228 104.469984) + (xy 87.869984 104.560228) + (xy 87.812043 104.673943) + (xy 87.792078 104.799999) + (xy 87.792078 104.8) + (xy 76.742084 104.8) + (xy 76.757922 104.7) + (xy 76.737957 104.573945) + (xy 76.680016 104.460229) + (xy 76.589771 104.369984) + (xy 76.476055 104.312043) + (xy 76.476057 104.312043) + (xy 76.35 104.292078) + (xy 76.223943 104.312043) + (xy 76.110228 104.369984) + (xy 76.019984 104.460228) + (xy 75.962043 104.573943) + (xy 75.942078 104.699999) + (xy 75.942078 104.7) + (xy 70.304287 104.7) + (xy 70.370516 104.633771) + (xy 70.428457 104.520055) + (xy 70.448422 104.394) + (xy 70.428457 104.267945) + (xy 70.370516 104.154229) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393999) + (xy 69.632578 104.394) + (xy 60.468865 104.394) + (xy 60.458957 104.331445) + (xy 60.401016 104.217729) + (xy 60.310771 104.127484) + (xy 60.197055 104.069543) + (xy 60.197057 104.069543) + (xy 60.071 104.049578) + (xy 59.944943 104.069543) + (xy 59.831228 104.127484) + (xy 59.740984 104.217728) + (xy 59.683043 104.331443) + (xy 59.663078 104.457499) + (xy 59.663078 104.4575) + (xy 56.902476 104.4575) + (xy 56.845016 104.344729) + (xy 56.754771 104.254484) + (xy 56.641055 104.196543) + (xy 56.641057 104.196543) + (xy 56.515 104.176578) + (xy 56.388943 104.196543) + (xy 56.275228 104.254484) + (xy 56.184984 104.344728) + (xy 56.127043 104.458443) + (xy 56.107078 104.584499) + (xy 56.107078 104.5845) + (xy 51.842922 104.5845) + (xy 51.842772 104.583556) + (xy 51.83489 104.53379) + (xy 51.822957 104.458445) + (xy 51.765016 104.344729) + (xy 51.674771 104.254484) + (xy 51.561055 104.196543) + (xy 51.561057 104.196543) + (xy 51.435 104.176578) + (xy 51.308943 104.196543) + (xy 51.195228 104.254484) + (xy 51.104984 104.344728) + (xy 51.047043 104.458443) + (xy 51.027078 104.584499) + (xy 51.027078 104.5845) + (xy 49.963635 104.5845) + (xy 49.989023 104.528909) + (xy 50.007557 104.4) + (xy 49.989023 104.271091) + (xy 49.987586 104.267945) + (xy 49.934921 104.152626) + (xy 49.934919 104.152623) + (xy 49.858113 104.063984) + (xy 49.849636 104.054201) + (xy 49.849635 104.0542) + (xy 49.849633 104.054198) + (xy 49.740073 103.98379) + (xy 49.615117 103.9471) + (xy 49.484883 103.9471) + (xy 49.359926 103.98379) + (xy 49.250366 104.054198) + (xy 49.16508 104.152623) + (xy 49.165078 104.152626) + (xy 49.110977 104.271088) + (xy 49.092443 104.4) + (xy 46.101 104.4) + (xy 46.101 103.8225) + (xy 58.647078 103.8225) + (xy 58.667043 103.948556) + (xy 58.718517 104.049578) + (xy 58.724984 104.062271) + (xy 58.815229 104.152516) + (xy 58.928943 104.210456) + (xy 58.928945 104.210457) + (xy 59.055 104.230422) + (xy 59.181055 104.210457) + (xy 59.294771 104.152516) + (xy 59.385016 104.062271) + (xy 59.442957 103.948555) + (xy 59.462922 103.8225) + (xy 59.442957 103.696445) + (xy 59.385016 103.582729) + (xy 59.307287 103.505) + (xy 64.235078 103.505) + (xy 64.255043 103.631056) + (xy 64.295384 103.710229) + (xy 64.312984 103.744771) + (xy 64.403229 103.835016) + (xy 64.516943 103.892956) + (xy 64.516945 103.892957) + (xy 64.643 103.912922) + (xy 64.724587 103.9) + (xy 100.142078 103.9) + (xy 100.162043 104.026056) + (xy 100.194508 104.089771) + (xy 100.219984 104.139771) + (xy 100.310229 104.230016) + (xy 100.423943 104.287956) + (xy 100.423945 104.287957) + (xy 100.55 104.307922) + (xy 100.676055 104.287957) + (xy 100.789771 104.230016) + (xy 100.880016 104.139771) + (xy 100.937957 104.026055) + (xy 100.950003 103.95) + (xy 102.442078 103.95) + (xy 102.462043 104.076056) + (xy 102.510466 104.171091) + (xy 102.519984 104.189771) + (xy 102.610229 104.280016) + (xy 102.723943 104.337956) + (xy 102.723945 104.337957) + (xy 102.85 104.357922) + (xy 102.976055 104.337957) + (xy 103.089771 104.280016) + (xy 103.180016 104.189771) + (xy 103.237957 104.076055) + (xy 103.257922 103.95) + (xy 103.642078 103.95) + (xy 103.662043 104.076056) + (xy 103.710466 104.171091) + (xy 103.719984 104.189771) + (xy 103.810229 104.280016) + (xy 103.923943 104.337956) + (xy 103.923945 104.337957) + (xy 104.05 104.357922) + (xy 104.100018 104.35) + (xy 107.642078 104.35) + (xy 107.662043 104.476056) + (xy 107.688973 104.528909) + (xy 107.719984 104.589771) + (xy 107.810229 104.680016) + (xy 107.923943 104.737956) + (xy 107.923945 104.737957) + (xy 108.05 104.757922) + (xy 108.176055 104.737957) + (xy 108.289771 104.680016) + (xy 108.319787 104.65) + (xy 118.242078 104.65) + (xy 118.262043 104.776056) + (xy 118.319984 104.889771) + (xy 118.410229 104.980016) + (xy 118.523943 105.037956) + (xy 118.523945 105.037957) + (xy 118.65 105.057922) + (xy 118.776055 105.037957) + (xy 118.889771 104.980016) + (xy 118.980016 104.889771) + (xy 119.037957 104.776055) + (xy 119.057922 104.65) + (xy 122.842078 104.65) + (xy 122.862043 104.776056) + (xy 122.919984 104.889771) + (xy 123.010229 104.980016) + (xy 123.123943 105.037956) + (xy 123.123945 105.037957) + (xy 123.25 105.057922) + (xy 123.376055 105.037957) + (xy 123.489771 104.980016) + (xy 123.580016 104.889771) + (xy 123.637957 104.776055) + (xy 123.657922 104.65) + (xy 125.142078 104.65) + (xy 125.162043 104.776056) + (xy 125.219984 104.889771) + (xy 125.310229 104.980016) + (xy 125.423943 105.037956) + (xy 125.423945 105.037957) + (xy 125.55 105.057922) + (xy 125.676055 105.037957) + (xy 125.789771 104.980016) + (xy 125.880016 104.889771) + (xy 125.937957 104.776055) + (xy 125.957922 104.65) + (xy 125.957506 104.647376) + (xy 125.950668 104.604198) + (xy 125.937957 104.523945) + (xy 125.880016 104.410229) + (xy 125.789771 104.319984) + (xy 125.75055 104.3) + (xy 126.992443 104.3) + (xy 127.010977 104.428911) + (xy 127.065078 104.547373) + (xy 127.06508 104.547376) + (xy 127.150366 104.645801) + (xy 127.203607 104.680016) + (xy 127.251129 104.710556) + (xy 127.259926 104.716209) + (xy 127.384883 104.7529) + (xy 127.515117 104.7529) + (xy 127.640073 104.716209) + (xy 127.640073 104.716208) + (xy 127.640076 104.716208) + (xy 127.749636 104.645799) + (xy 127.834921 104.547374) + (xy 127.889023 104.428909) + (xy 127.907557 104.3) + (xy 127.889023 104.171091) + (xy 127.884062 104.160229) + (xy 127.834921 104.052626) + (xy 127.834919 104.052623) + (xy 127.777257 103.986078) + (xy 127.749636 103.954201) + (xy 127.749635 103.9542) + (xy 127.749633 103.954198) + (xy 127.640073 103.88379) + (xy 127.515117 103.8471) + (xy 127.384883 103.8471) + (xy 127.259926 103.88379) + (xy 127.150366 103.954198) + (xy 127.06508 104.052623) + (xy 127.065078 104.052626) + (xy 127.010977 104.171088) + (xy 126.992443 104.3) + (xy 125.75055 104.3) + (xy 125.676055 104.262043) + (xy 125.676057 104.262043) + (xy 125.55 104.242078) + (xy 125.423943 104.262043) + (xy 125.310228 104.319984) + (xy 125.219984 104.410228) + (xy 125.162043 104.523943) + (xy 125.142078 104.649999) + (xy 125.142078 104.65) + (xy 123.657922 104.65) + (xy 123.657506 104.647376) + (xy 123.650668 104.604198) + (xy 123.637957 104.523945) + (xy 123.580016 104.410229) + (xy 123.489771 104.319984) + (xy 123.376055 104.262043) + (xy 123.376057 104.262043) + (xy 123.25 104.242078) + (xy 123.123943 104.262043) + (xy 123.010228 104.319984) + (xy 122.919984 104.410228) + (xy 122.862043 104.523943) + (xy 122.842078 104.649999) + (xy 122.842078 104.65) + (xy 119.057922 104.65) + (xy 119.057506 104.647376) + (xy 119.050668 104.604198) + (xy 119.037957 104.523945) + (xy 118.980016 104.410229) + (xy 118.889771 104.319984) + (xy 118.776055 104.262043) + (xy 118.776057 104.262043) + (xy 118.65 104.242078) + (xy 118.523943 104.262043) + (xy 118.410228 104.319984) + (xy 118.319984 104.410228) + (xy 118.262043 104.523943) + (xy 118.242078 104.649999) + (xy 118.242078 104.65) + (xy 108.319787 104.65) + (xy 108.380016 104.589771) + (xy 108.437957 104.476055) + (xy 108.457922 104.35) + (xy 108.456014 104.337956) + (xy 108.45191 104.312043) + (xy 108.437957 104.223945) + (xy 108.380016 104.110229) + (xy 108.289771 104.019984) + (xy 108.176055 103.962043) + (xy 108.176057 103.962043) + (xy 108.05 103.942078) + (xy 107.923943 103.962043) + (xy 107.810228 104.019984) + (xy 107.719984 104.110228) + (xy 107.662043 104.223943) + (xy 107.642078 104.349999) + (xy 107.642078 104.35) + (xy 104.100018 104.35) + (xy 104.176055 104.337957) + (xy 104.289771 104.280016) + (xy 104.380016 104.189771) + (xy 104.437957 104.076055) + (xy 104.457922 103.95) + (xy 104.442084 103.85) + (xy 114.342078 103.85) + (xy 114.362043 103.976056) + (xy 114.399505 104.049578) + (xy 114.419984 104.089771) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.080016 104.089771) + (xy 115.137957 103.976055) + (xy 115.157922 103.85) + (xy 122.142078 103.85) + (xy 122.162043 103.976056) + (xy 122.199505 104.049578) + (xy 122.219984 104.089771) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.880016 104.089771) + (xy 122.937957 103.976055) + (xy 122.957922 103.85) + (xy 122.937957 103.723945) + (xy 122.880016 103.610229) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.310228 103.519984) + (xy 122.219984 103.610228) + (xy 122.162043 103.723943) + (xy 122.142078 103.849999) + (xy 122.142078 103.85) + (xy 115.157922 103.85) + (xy 115.137957 103.723945) + (xy 115.080016 103.610229) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.510228 103.519984) + (xy 114.419984 103.610228) + (xy 114.362043 103.723943) + (xy 114.342078 103.849999) + (xy 114.342078 103.85) + (xy 104.442084 103.85) + (xy 104.437957 103.823945) + (xy 104.380016 103.710229) + (xy 104.289771 103.619984) + (xy 104.176055 103.562043) + (xy 104.176057 103.562043) + (xy 104.05 103.542078) + (xy 103.923943 103.562043) + (xy 103.810228 103.619984) + (xy 103.719984 103.710228) + (xy 103.662043 103.823943) + (xy 103.642078 103.949999) + (xy 103.642078 103.95) + (xy 103.257922 103.95) + (xy 103.237957 103.823945) + (xy 103.180016 103.710229) + (xy 103.089771 103.619984) + (xy 102.976055 103.562043) + (xy 102.976057 103.562043) + (xy 102.85 103.542078) + (xy 102.723943 103.562043) + (xy 102.610228 103.619984) + (xy 102.519984 103.710228) + (xy 102.462043 103.823943) + (xy 102.442078 103.949999) + (xy 102.442078 103.95) + (xy 100.950003 103.95) + (xy 100.957922 103.9) + (xy 100.937957 103.773945) + (xy 100.880016 103.660229) + (xy 100.789771 103.569984) + (xy 100.676055 103.512043) + (xy 100.676057 103.512043) + (xy 100.55 103.492078) + (xy 100.423943 103.512043) + (xy 100.310228 103.569984) + (xy 100.219984 103.660228) + (xy 100.162043 103.773943) + (xy 100.142078 103.899999) + (xy 100.142078 103.9) + (xy 64.724587 103.9) + (xy 64.769055 103.892957) + (xy 64.882771 103.835016) + (xy 64.973016 103.744771) + (xy 65.030957 103.631055) + (xy 65.050922 103.505) + (xy 65.050772 103.504056) + (xy 65.044118 103.462043) + (xy 65.030957 103.378945) + (xy 64.973016 103.265229) + (xy 64.882771 103.174984) + (xy 64.782709 103.124) + (xy 69.632578 103.124) + (xy 69.652543 103.250056) + (xy 69.685358 103.314459) + (xy 69.710484 103.363771) + (xy 69.800729 103.454016) + (xy 69.914443 103.511956) + (xy 69.914445 103.511957) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.370516 103.363771) + (xy 70.428457 103.250055) + (xy 70.448422 103.124) + (xy 76.808078 103.124) + (xy 76.828043 103.250056) + (xy 76.860858 103.314459) + (xy 76.885984 103.363771) + (xy 76.976229 103.454016) + (xy 77.089943 103.511956) + (xy 77.089945 103.511957) + (xy 77.216 103.531922) + (xy 77.342055 103.511957) + (xy 77.455771 103.454016) + (xy 77.546016 103.363771) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.130858 103.314459) + (xy 78.155984 103.363771) + (xy 78.246229 103.454016) + (xy 78.359943 103.511956) + (xy 78.359945 103.511957) + (xy 78.486 103.531922) + (xy 78.612055 103.511957) + (xy 78.725771 103.454016) + (xy 78.816016 103.363771) + (xy 78.873957 103.250055) + (xy 78.893922 103.124) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.400858 103.314459) + (xy 79.425984 103.363771) + (xy 79.516229 103.454016) + (xy 79.629943 103.511956) + (xy 79.629945 103.511957) + (xy 79.756 103.531922) + (xy 79.882055 103.511957) + (xy 79.995771 103.454016) + (xy 80.086016 103.363771) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.152202 103.05) + (xy 81.542078 103.05) + (xy 81.562043 103.176056) + (xy 81.571419 103.194457) + (xy 81.619984 103.289771) + (xy 81.710229 103.380016) + (xy 81.823943 103.437956) + (xy 81.823945 103.437957) + (xy 81.95 103.457922) + (xy 82.076055 103.437957) + (xy 82.189771 103.380016) + (xy 82.269787 103.3) + (xy 84.792078 103.3) + (xy 84.812043 103.426056) + (xy 84.855812 103.511957) + (xy 84.869984 103.539771) + (xy 84.960229 103.630016) + (xy 85.073943 103.687956) + (xy 85.073945 103.687957) + (xy 85.2 103.707922) + (xy 85.326055 103.687957) + (xy 85.439771 103.630016) + (xy 85.530016 103.539771) + (xy 85.587957 103.426055) + (xy 85.607922 103.3) + (xy 87.142078 103.3) + (xy 87.162043 103.426056) + (xy 87.205812 103.511957) + (xy 87.219984 103.539771) + (xy 87.310229 103.630016) + (xy 87.423943 103.687956) + (xy 87.423945 103.687957) + (xy 87.55 103.707922) + (xy 87.676055 103.687957) + (xy 87.789771 103.630016) + (xy 87.880016 103.539771) + (xy 87.937957 103.426055) + (xy 87.957922 103.3) + (xy 87.956014 103.287956) + (xy 87.950311 103.251945) + (xy 87.950003 103.25) + (xy 88.542078 103.25) + (xy 88.562043 103.376056) + (xy 88.595683 103.442078) + (xy 88.619984 103.489771) + (xy 88.710229 103.580016) + (xy 88.823943 103.637956) + (xy 88.823945 103.637957) + (xy 88.95 103.657922) + (xy 89.076055 103.637957) + (xy 89.189771 103.580016) + (xy 89.280016 103.489771) + (xy 89.325756 103.4) + (xy 99.242078 103.4) + (xy 99.262043 103.526056) + (xy 99.319059 103.637956) + (xy 99.319984 103.639771) + (xy 99.410229 103.730016) + (xy 99.523943 103.787956) + (xy 99.523945 103.787957) + (xy 99.65 103.807922) + (xy 99.776055 103.787957) + (xy 99.889771 103.730016) + (xy 99.980016 103.639771) + (xy 100.037957 103.526055) + (xy 100.057922 103.4) + (xy 100.037957 103.273945) + (xy 99.980016 103.160229) + (xy 99.889771 103.069984) + (xy 99.776055 103.012043) + (xy 99.776057 103.012043) + (xy 99.65 102.992078) + (xy 99.523943 103.012043) + (xy 99.410228 103.069984) + (xy 99.319984 103.160228) + (xy 99.262043 103.273943) + (xy 99.242078 103.399999) + (xy 99.242078 103.4) + (xy 89.325756 103.4) + (xy 89.337957 103.376055) + (xy 89.357922 103.25) + (xy 89.337957 103.123945) + (xy 89.280016 103.010229) + (xy 89.189771 102.919984) + (xy 89.15055 102.9) + (xy 100.142078 102.9) + (xy 100.162043 103.026056) + (xy 100.219198 103.138228) + (xy 100.219984 103.139771) + (xy 100.310229 103.230016) + (xy 100.423943 103.287956) + (xy 100.423945 103.287957) + (xy 100.55 103.307922) + (xy 100.676055 103.287957) + (xy 100.789771 103.230016) + (xy 100.880016 103.139771) + (xy 100.937957 103.026055) + (xy 100.950003 102.95) + (xy 102.792078 102.95) + (xy 102.812043 103.076056) + (xy 102.843722 103.138229) + (xy 102.869984 103.189771) + (xy 102.960229 103.280016) + (xy 103.073943 103.337956) + (xy 103.073945 103.337957) + (xy 103.2 103.357922) + (xy 103.250018 103.35) + (xy 108.992443 103.35) + (xy 109.010977 103.478911) + (xy 109.065078 103.597373) + (xy 109.06508 103.597376) + (xy 109.150366 103.695801) + (xy 109.203607 103.730016) + (xy 109.259533 103.765957) + (xy 109.259926 103.766209) + (xy 109.384883 103.8029) + (xy 109.515117 103.8029) + (xy 109.640073 103.766209) + (xy 109.640073 103.766208) + (xy 109.640076 103.766208) + (xy 109.749636 103.695799) + (xy 109.834921 103.597374) + (xy 109.889023 103.478909) + (xy 109.907557 103.35) + (xy 109.889023 103.221091) + (xy 109.885977 103.214422) + (xy 109.834921 103.102626) + (xy 109.834919 103.102623) + (xy 109.789321 103.05) + (xy 111.342078 103.05) + (xy 111.362043 103.176056) + (xy 111.371419 103.194457) + (xy 111.419984 103.289771) + (xy 111.510229 103.380016) + (xy 111.623943 103.437956) + (xy 111.623945 103.437957) + (xy 111.75 103.457922) + (xy 111.876055 103.437957) + (xy 111.989771 103.380016) + (xy 112.080016 103.289771) + (xy 112.137957 103.176055) + (xy 112.157922 103.05) + (xy 113.642078 103.05) + (xy 113.662043 103.176056) + (xy 113.671419 103.194457) + (xy 113.719984 103.289771) + (xy 113.810229 103.380016) + (xy 113.923943 103.437956) + (xy 113.923945 103.437957) + (xy 114.05 103.457922) + (xy 114.176055 103.437957) + (xy 114.289771 103.380016) + (xy 114.380016 103.289771) + (xy 114.437957 103.176055) + (xy 114.457922 103.05) + (xy 117.042078 103.05) + (xy 117.062043 103.176056) + (xy 117.071419 103.194457) + (xy 117.119984 103.289771) + (xy 117.210229 103.380016) + (xy 117.323943 103.437956) + (xy 117.323945 103.437957) + (xy 117.45 103.457922) + (xy 117.576055 103.437957) + (xy 117.689771 103.380016) + (xy 117.780016 103.289771) + (xy 117.837957 103.176055) + (xy 117.857922 103.05) + (xy 119.442078 103.05) + (xy 119.462043 103.176056) + (xy 119.471419 103.194457) + (xy 119.519984 103.289771) + (xy 119.610229 103.380016) + (xy 119.723943 103.437956) + (xy 119.723945 103.437957) + (xy 119.85 103.457922) + (xy 119.976055 103.437957) + (xy 120.089771 103.380016) + (xy 120.180016 103.289771) + (xy 120.237957 103.176055) + (xy 120.257922 103.05) + (xy 120.257331 103.046271) + (xy 120.251623 103.010228) + (xy 120.237957 102.923945) + (xy 120.180016 102.810229) + (xy 120.089771 102.719984) + (xy 119.976055 102.662043) + (xy 119.976057 102.662043) + (xy 119.85 102.642078) + (xy 119.723943 102.662043) + (xy 119.610228 102.719984) + (xy 119.519984 102.810228) + (xy 119.462043 102.923943) + (xy 119.442078 103.049999) + (xy 119.442078 103.05) + (xy 117.857922 103.05) + (xy 117.857331 103.046271) + (xy 117.851623 103.010228) + (xy 117.837957 102.923945) + (xy 117.780016 102.810229) + (xy 117.689771 102.719984) + (xy 117.576055 102.662043) + (xy 117.576057 102.662043) + (xy 117.45 102.642078) + (xy 117.323943 102.662043) + (xy 117.210228 102.719984) + (xy 117.119984 102.810228) + (xy 117.062043 102.923943) + (xy 117.042078 103.049999) + (xy 117.042078 103.05) + (xy 114.457922 103.05) + (xy 114.457331 103.046271) + (xy 114.451623 103.010228) + (xy 114.437957 102.923945) + (xy 114.380016 102.810229) + (xy 114.289771 102.719984) + (xy 114.176055 102.662043) + (xy 114.176057 102.662043) + (xy 114.05 102.642078) + (xy 113.923943 102.662043) + (xy 113.810228 102.719984) + (xy 113.719984 102.810228) + (xy 113.662043 102.923943) + (xy 113.642078 103.049999) + (xy 113.642078 103.05) + (xy 112.157922 103.05) + (xy 112.157331 103.046271) + (xy 112.151623 103.010228) + (xy 112.137957 102.923945) + (xy 112.080016 102.810229) + (xy 111.989771 102.719984) + (xy 111.876055 102.662043) + (xy 111.876057 102.662043) + (xy 111.75 102.642078) + (xy 111.623943 102.662043) + (xy 111.510228 102.719984) + (xy 111.419984 102.810228) + (xy 111.362043 102.923943) + (xy 111.342078 103.049999) + (xy 111.342078 103.05) + (xy 109.789321 103.05) + (xy 109.749633 103.004198) + (xy 109.640073 102.93379) + (xy 109.515117 102.8971) + (xy 109.384883 102.8971) + (xy 109.259926 102.93379) + (xy 109.150366 103.004198) + (xy 109.06508 103.102623) + (xy 109.065078 103.102626) + (xy 109.010977 103.221088) + (xy 108.992443 103.35) + (xy 103.250018 103.35) + (xy 103.326055 103.337957) + (xy 103.439771 103.280016) + (xy 103.530016 103.189771) + (xy 103.587957 103.076055) + (xy 103.607922 102.95) + (xy 103.587957 102.823945) + (xy 103.530016 102.710229) + (xy 103.439771 102.619984) + (xy 103.40055 102.6) + (xy 104.542078 102.6) + (xy 104.562043 102.726056) + (xy 104.603756 102.807922) + (xy 104.619984 102.839771) + (xy 104.710229 102.930016) + (xy 104.823943 102.987956) + (xy 104.823945 102.987957) + (xy 104.95 103.007922) + (xy 105.076055 102.987957) + (xy 105.189771 102.930016) + (xy 105.280016 102.839771) + (xy 105.337957 102.726055) + (xy 105.357922 102.6) + (xy 105.337957 102.473945) + (xy 105.325756 102.45) + (xy 110.342443 102.45) + (xy 110.360977 102.578911) + (xy 110.415078 102.697373) + (xy 110.41508 102.697376) + (xy 110.451816 102.739771) + (xy 110.498791 102.793984) + (xy 110.500366 102.795801) + (xy 110.609926 102.866209) + (xy 110.734883 102.9029) + (xy 110.865117 102.9029) + (xy 110.990073 102.866209) + (xy 110.990073 102.866208) + (xy 110.990076 102.866208) + (xy 111.099636 102.795799) + (xy 111.184921 102.697374) + (xy 111.239023 102.578909) + (xy 111.257557 102.45) + (xy 111.239023 102.321091) + (xy 111.211227 102.260228) + (xy 111.206556 102.25) + (xy 122.192078 102.25) + (xy 122.212043 102.376056) + (xy 122.239537 102.430015) + (xy 122.269984 102.489771) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.930016 102.489771) + (xy 122.987957 102.376055) + (xy 123.007922 102.25) + (xy 122.987957 102.123945) + (xy 122.930016 102.010229) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.360228 101.919984) + (xy 122.269984 102.010228) + (xy 122.212043 102.123943) + (xy 122.192078 102.249999) + (xy 122.192078 102.25) + (xy 111.206556 102.25) + (xy 111.184921 102.202626) + (xy 111.184919 102.202623) + (xy 111.099633 102.104198) + (xy 110.990073 102.03379) + (xy 110.865117 101.9971) + (xy 110.734883 101.9971) + (xy 110.609926 102.03379) + (xy 110.500366 102.104198) + (xy 110.41508 102.202623) + (xy 110.415078 102.202626) + (xy 110.360977 102.321088) + (xy 110.342443 102.45) + (xy 105.325756 102.45) + (xy 105.280016 102.360229) + (xy 105.189771 102.269984) + (xy 105.076055 102.212043) + (xy 105.076057 102.212043) + (xy 104.95 102.192078) + (xy 104.823943 102.212043) + (xy 104.710228 102.269984) + (xy 104.619984 102.360228) + (xy 104.562043 102.473943) + (xy 104.542078 102.599999) + (xy 104.542078 102.6) + (xy 103.40055 102.6) + (xy 103.326055 102.562043) + (xy 103.326057 102.562043) + (xy 103.2 102.542078) + (xy 103.073943 102.562043) + (xy 102.960228 102.619984) + (xy 102.869984 102.710228) + (xy 102.812043 102.823943) + (xy 102.792078 102.949999) + (xy 102.792078 102.95) + (xy 100.950003 102.95) + (xy 100.957922 102.9) + (xy 100.956014 102.887956) + (xy 100.948748 102.842078) + (xy 100.937957 102.773945) + (xy 100.880016 102.660229) + (xy 100.789771 102.569984) + (xy 100.676055 102.512043) + (xy 100.676057 102.512043) + (xy 100.55 102.492078) + (xy 100.423943 102.512043) + (xy 100.310228 102.569984) + (xy 100.219984 102.660228) + (xy 100.162043 102.773943) + (xy 100.142078 102.899999) + (xy 100.142078 102.9) + (xy 89.15055 102.9) + (xy 89.076055 102.862043) + (xy 89.076057 102.862043) + (xy 88.95 102.842078) + (xy 88.823943 102.862043) + (xy 88.710228 102.919984) + (xy 88.619984 103.010228) + (xy 88.562043 103.123943) + (xy 88.542078 103.249999) + (xy 88.542078 103.25) + (xy 87.950003 103.25) + (xy 87.937957 103.173945) + (xy 87.880016 103.060229) + (xy 87.789771 102.969984) + (xy 87.676055 102.912043) + (xy 87.676057 102.912043) + (xy 87.55 102.892078) + (xy 87.423943 102.912043) + (xy 87.310228 102.969984) + (xy 87.219984 103.060228) + (xy 87.162043 103.173943) + (xy 87.142078 103.299999) + (xy 87.142078 103.3) + (xy 85.607922 103.3) + (xy 85.606014 103.287956) + (xy 85.600311 103.251945) + (xy 85.587957 103.173945) + (xy 85.530016 103.060229) + (xy 85.439771 102.969984) + (xy 85.326055 102.912043) + (xy 85.326057 102.912043) + (xy 85.2 102.892078) + (xy 85.073943 102.912043) + (xy 84.960228 102.969984) + (xy 84.869984 103.060228) + (xy 84.812043 103.173943) + (xy 84.792078 103.299999) + (xy 84.792078 103.3) + (xy 82.269787 103.3) + (xy 82.280016 103.289771) + (xy 82.337957 103.176055) + (xy 82.357922 103.05) + (xy 82.357331 103.046271) + (xy 82.351623 103.010228) + (xy 82.337957 102.923945) + (xy 82.280016 102.810229) + (xy 82.189771 102.719984) + (xy 82.076055 102.662043) + (xy 82.076057 102.662043) + (xy 81.95 102.642078) + (xy 81.823943 102.662043) + (xy 81.710228 102.719984) + (xy 81.619984 102.810228) + (xy 81.562043 102.923943) + (xy 81.542078 103.049999) + (xy 81.542078 103.05) + (xy 80.152202 103.05) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 79.995771 102.793984) + (xy 79.882055 102.736043) + (xy 79.882057 102.736043) + (xy 79.756 102.716078) + (xy 79.629943 102.736043) + (xy 79.516228 102.793984) + (xy 79.425984 102.884228) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 78.893922 103.124) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 70.448422 103.124) + (xy 70.428457 102.997945) + (xy 70.370516 102.884229) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124) + (xy 64.782709 103.124) + (xy 64.769055 103.117043) + (xy 64.769057 103.117043) + (xy 64.643 103.097078) + (xy 64.516943 103.117043) + (xy 64.403228 103.174984) + (xy 64.312984 103.265228) + (xy 64.255043 103.378943) + (xy 64.235078 103.504999) + (xy 64.235078 103.505) + (xy 59.307287 103.505) + (xy 59.294771 103.492484) + (xy 59.181055 103.434543) + (xy 59.181057 103.434543) + (xy 59.055 103.414578) + (xy 58.928943 103.434543) + (xy 58.815228 103.492484) + (xy 58.724984 103.582728) + (xy 58.667043 103.696443) + (xy 58.647078 103.822499) + (xy 58.647078 103.8225) + (xy 46.101 103.8225) + (xy 46.101 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.045589 103.562043) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.530055 103.765957) + (xy 57.643771 103.708016) + (xy 57.734016 103.617771) + (xy 57.791957 103.504055) + (xy 57.811922 103.378) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.643771 103.047984) + (xy 57.530055 102.990043) + (xy 57.530057 102.990043) + (xy 57.404 102.970078) + (xy 57.277943 102.990043) + (xy 57.164228 103.047984) + (xy 57.073984 103.138228) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 46.101 103.378) + (xy 46.101 102.9) + (xy 50.342078 102.9) + (xy 50.362043 103.026056) + (xy 50.419198 103.138228) + (xy 50.419984 103.139771) + (xy 50.510229 103.230016) + (xy 50.623943 103.287956) + (xy 50.623945 103.287957) + (xy 50.75 103.307922) + (xy 50.876055 103.287957) + (xy 50.989771 103.230016) + (xy 51.080016 103.139771) + (xy 51.137957 103.026055) + (xy 51.157922 102.9) + (xy 51.156014 102.887956) + (xy 51.148748 102.842078) + (xy 51.143113 102.8065) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.854334 102.990043) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.339055 103.194457) + (xy 53.452771 103.136516) + (xy 53.543016 103.046271) + (xy 53.600957 102.932555) + (xy 53.620922 102.8065) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.204334 102.990043) + (xy 59.232984 103.046271) + (xy 59.323229 103.136516) + (xy 59.436943 103.194456) + (xy 59.436945 103.194457) + (xy 59.563 103.214422) + (xy 59.689055 103.194457) + (xy 59.802771 103.136516) + (xy 59.893016 103.046271) + (xy 59.950957 102.932555) + (xy 59.970922 102.8065) + (xy 60.425078 102.8065) + (xy 60.445043 102.932556) + (xy 60.474334 102.990043) + (xy 60.502984 103.046271) + (xy 60.593229 103.136516) + (xy 60.706943 103.194456) + (xy 60.706945 103.194457) + (xy 60.833 103.214422) + (xy 60.959055 103.194457) + (xy 61.072771 103.136516) + (xy 61.163016 103.046271) + (xy 61.220957 102.932555) + (xy 61.240922 102.8065) + (xy 61.239227 102.795801) + (xy 61.235766 102.773945) + (xy 61.220957 102.680445) + (xy 61.163016 102.566729) + (xy 61.072771 102.476484) + (xy 60.959055 102.418543) + (xy 60.959057 102.418543) + (xy 60.833 102.398578) + (xy 60.706943 102.418543) + (xy 60.593228 102.476484) + (xy 60.502984 102.566728) + (xy 60.445043 102.680443) + (xy 60.425078 102.806499) + (xy 60.425078 102.8065) + (xy 59.970922 102.8065) + (xy 59.969227 102.795801) + (xy 59.965766 102.773945) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.802771 102.476484) + (xy 59.689055 102.418543) + (xy 59.689057 102.418543) + (xy 59.563 102.398578) + (xy 59.436943 102.418543) + (xy 59.323228 102.476484) + (xy 59.232984 102.566728) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 53.620922 102.8065) + (xy 53.619227 102.795801) + (xy 53.615766 102.773945) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.452771 102.476484) + (xy 53.339055 102.418543) + (xy 53.339057 102.418543) + (xy 53.213 102.398578) + (xy 53.086943 102.418543) + (xy 52.973228 102.476484) + (xy 52.882984 102.566728) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 51.143113 102.8065) + (xy 51.137957 102.773945) + (xy 51.080016 102.660229) + (xy 50.989771 102.569984) + (xy 50.876055 102.512043) + (xy 50.876057 102.512043) + (xy 50.75 102.492078) + (xy 50.623943 102.512043) + (xy 50.510228 102.569984) + (xy 50.419984 102.660228) + (xy 50.362043 102.773943) + (xy 50.342078 102.899999) + (xy 50.342078 102.9) + (xy 46.101 102.9) + (xy 46.101 102.1) + (xy 82.392078 102.1) + (xy 82.412043 102.226056) + (xy 82.460466 102.321091) + (xy 82.469984 102.339771) + (xy 82.560229 102.430016) + (xy 82.673943 102.487956) + (xy 82.673945 102.487957) + (xy 82.8 102.507922) + (xy 82.926055 102.487957) + (xy 83.039771 102.430016) + (xy 83.130016 102.339771) + (xy 83.187957 102.226055) + (xy 83.207922 102.1) + (xy 83.892078 102.1) + (xy 83.912043 102.226056) + (xy 83.960466 102.321091) + (xy 83.969984 102.339771) + (xy 84.060229 102.430016) + (xy 84.173943 102.487956) + (xy 84.173945 102.487957) + (xy 84.3 102.507922) + (xy 84.350018 102.5) + (xy 87.792078 102.5) + (xy 87.812043 102.626056) + (xy 87.839755 102.680443) + (xy 87.869984 102.739771) + (xy 87.960229 102.830016) + (xy 88.073943 102.887956) + (xy 88.073945 102.887957) + (xy 88.2 102.907922) + (xy 88.326055 102.887957) + (xy 88.439771 102.830016) + (xy 88.530016 102.739771) + (xy 88.587957 102.626055) + (xy 88.607922 102.5) + (xy 88.606014 102.487956) + (xy 88.600003 102.45) + (xy 88.592084 102.4) + (xy 99.242078 102.4) + (xy 99.262043 102.526056) + (xy 99.282767 102.566728) + (xy 99.319984 102.639771) + (xy 99.410229 102.730016) + (xy 99.523943 102.787956) + (xy 99.523945 102.787957) + (xy 99.65 102.807922) + (xy 99.776055 102.787957) + (xy 99.889771 102.730016) + (xy 99.980016 102.639771) + (xy 100.037957 102.526055) + (xy 100.057922 102.4) + (xy 100.037957 102.273945) + (xy 99.980016 102.160229) + (xy 99.889771 102.069984) + (xy 99.776055 102.012043) + (xy 99.776057 102.012043) + (xy 99.65 101.992078) + (xy 99.523943 102.012043) + (xy 99.410228 102.069984) + (xy 99.319984 102.160228) + (xy 99.262043 102.273943) + (xy 99.242078 102.399999) + (xy 99.242078 102.4) + (xy 88.592084 102.4) + (xy 88.587957 102.373945) + (xy 88.530016 102.260229) + (xy 88.439771 102.169984) + (xy 88.326055 102.112043) + (xy 88.326057 102.112043) + (xy 88.2 102.092078) + (xy 88.073943 102.112043) + (xy 87.960228 102.169984) + (xy 87.869984 102.260228) + (xy 87.812043 102.373943) + (xy 87.792078 102.499999) + (xy 87.792078 102.5) + (xy 84.350018 102.5) + (xy 84.426055 102.487957) + (xy 84.539771 102.430016) + (xy 84.630016 102.339771) + (xy 84.687957 102.226055) + (xy 84.707922 102.1) + (xy 84.687957 101.973945) + (xy 84.630016 101.860229) + (xy 84.539771 101.769984) + (xy 84.426055 101.712043) + (xy 84.426057 101.712043) + (xy 84.3 101.692078) + (xy 84.173943 101.712043) + (xy 84.060228 101.769984) + (xy 83.969984 101.860228) + (xy 83.912043 101.973943) + (xy 83.892078 102.099999) + (xy 83.892078 102.1) + (xy 83.207922 102.1) + (xy 83.187957 101.973945) + (xy 83.130016 101.860229) + (xy 83.039771 101.769984) + (xy 82.926055 101.712043) + (xy 82.926057 101.712043) + (xy 82.8 101.692078) + (xy 82.673943 101.712043) + (xy 82.560228 101.769984) + (xy 82.469984 101.860228) + (xy 82.412043 101.973943) + (xy 82.392078 102.099999) + (xy 82.392078 102.1) + (xy 46.101 102.1) + (xy 46.101 101.65) + (xy 87.242078 101.65) + (xy 87.262043 101.776056) + (xy 87.295683 101.842078) + (xy 87.319984 101.889771) + (xy 87.410229 101.980016) + (xy 87.523943 102.037956) + (xy 87.523945 102.037957) + (xy 87.65 102.057922) + (xy 87.776055 102.037957) + (xy 87.889771 101.980016) + (xy 87.969787 101.9) + (xy 100.142078 101.9) + (xy 100.162043 102.026056) + (xy 100.168107 102.037957) + (xy 100.219984 102.139771) + (xy 100.310229 102.230016) + (xy 100.423943 102.287956) + (xy 100.423945 102.287957) + (xy 100.55 102.307922) + (xy 100.676055 102.287957) + (xy 100.789771 102.230016) + (xy 100.880016 102.139771) + (xy 100.937957 102.026055) + (xy 100.957922 101.9) + (xy 100.937957 101.773945) + (xy 100.880016 101.660229) + (xy 100.789771 101.569984) + (xy 100.676055 101.512043) + (xy 100.676057 101.512043) + (xy 100.55 101.492078) + (xy 100.423943 101.512043) + (xy 100.310228 101.569984) + (xy 100.219984 101.660228) + (xy 100.162043 101.773943) + (xy 100.142078 101.899999) + (xy 100.142078 101.9) + (xy 87.969787 101.9) + (xy 87.980016 101.889771) + (xy 88.037957 101.776055) + (xy 88.057922 101.65) + (xy 88.037957 101.523945) + (xy 88.00028 101.45) + (xy 113.642078 101.45) + (xy 113.662043 101.576056) + (xy 113.699719 101.649999) + (xy 113.719984 101.689771) + (xy 113.810229 101.780016) + (xy 113.923943 101.837956) + (xy 113.923945 101.837957) + (xy 114.05 101.857922) + (xy 114.176055 101.837957) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.437957 101.576055) + (xy 114.457922 101.45) + (xy 122.842078 101.45) + (xy 122.862043 101.576056) + (xy 122.899719 101.649999) + (xy 122.919984 101.689771) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.580016 101.689771) + (xy 123.637957 101.576055) + (xy 123.657922 101.45) + (xy 123.637957 101.323945) + (xy 123.580016 101.210229) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.010228 101.119984) + (xy 122.919984 101.210228) + (xy 122.862043 101.323943) + (xy 122.842078 101.449999) + (xy 122.842078 101.45) + (xy 114.457922 101.45) + (xy 114.437957 101.323945) + (xy 114.380016 101.210229) + (xy 114.289771 101.119984) + (xy 114.176055 101.062043) + (xy 114.176057 101.062043) + (xy 114.05 101.042078) + (xy 113.923943 101.062043) + (xy 113.810228 101.119984) + (xy 113.719984 101.210228) + (xy 113.662043 101.323943) + (xy 113.642078 101.449999) + (xy 113.642078 101.45) + (xy 88.00028 101.45) + (xy 87.980016 101.410229) + (xy 87.889771 101.319984) + (xy 87.776055 101.262043) + (xy 87.776057 101.262043) + (xy 87.65 101.242078) + (xy 87.523943 101.262043) + (xy 87.410228 101.319984) + (xy 87.319984 101.410228) + (xy 87.262043 101.523943) + (xy 87.242078 101.649999) + (xy 87.242078 101.65) + (xy 46.101 101.65) + (xy 46.101 101.1) + (xy 50.342078 101.1) + (xy 50.362043 101.226056) + (xy 50.39718 101.295015) + (xy 50.419984 101.339771) + (xy 50.510229 101.430016) + (xy 50.623943 101.487956) + (xy 50.623945 101.487957) + (xy 50.75 101.507922) + (xy 50.876055 101.487957) + (xy 50.989771 101.430016) + (xy 51.080016 101.339771) + (xy 51.137957 101.226055) + (xy 51.157922 101.1) + (xy 51.156505 101.091056) + (xy 51.15191 101.062043) + (xy 51.137957 100.973945) + (xy 51.080016 100.860229) + (xy 50.989771 100.769984) + (xy 50.876055 100.712043) + (xy 50.876057 100.712043) + (xy 50.75 100.692078) + (xy 50.623943 100.712043) + (xy 50.510228 100.769984) + (xy 50.419984 100.860228) + (xy 50.362043 100.973943) + (xy 50.342078 101.099999) + (xy 50.342078 101.1) + (xy 46.101 101.1) + (xy 46.101 100.0125) + (xy 56.615078 100.0125) + (xy 56.635043 100.138556) + (xy 56.68569 100.237956) + (xy 56.692984 100.252271) + (xy 56.783229 100.342516) + (xy 56.896943 100.400456) + (xy 56.896945 100.400457) + (xy 57.023 100.420422) + (xy 57.149055 100.400457) + (xy 57.262771 100.342516) + (xy 57.353016 100.252271) + (xy 57.410957 100.138555) + (xy 57.430922 100.0125) + (xy 60.425078 100.0125) + (xy 60.445043 100.138556) + (xy 60.49569 100.237956) + (xy 60.502984 100.252271) + (xy 60.593229 100.342516) + (xy 60.706943 100.400456) + (xy 60.706945 100.400457) + (xy 60.833 100.420422) + (xy 60.959055 100.400457) + (xy 61.072771 100.342516) + (xy 61.163016 100.252271) + (xy 61.220957 100.138555) + (xy 61.230865 100.076) + (xy 62.520578 100.076) + (xy 62.540543 100.202056) + (xy 62.584312 100.287957) + (xy 62.598484 100.315771) + (xy 62.688729 100.406016) + (xy 62.802443 100.463956) + (xy 62.802445 100.463957) + (xy 62.9285 100.483922) + (xy 63.054555 100.463957) + (xy 63.168271 100.406016) + (xy 63.258516 100.315771) + (xy 63.316457 100.202055) + (xy 63.336422 100.076) + (xy 64.298578 100.076) + (xy 64.318543 100.202056) + (xy 64.362312 100.287957) + (xy 64.376484 100.315771) + (xy 64.466729 100.406016) + (xy 64.580443 100.463956) + (xy 64.580445 100.463957) + (xy 64.7065 100.483922) + (xy 64.832555 100.463957) + (xy 64.859947 100.45) + (xy 66.492078 100.45) + (xy 66.512043 100.576056) + (xy 66.544508 100.639771) + (xy 66.569984 100.689771) + (xy 66.660229 100.780016) + (xy 66.773943 100.837956) + (xy 66.773945 100.837957) + (xy 66.9 100.857922) + (xy 67.026055 100.837957) + (xy 67.139771 100.780016) + (xy 67.230016 100.689771) + (xy 67.287957 100.576055) + (xy 67.307922 100.45) + (xy 69.592078 100.45) + (xy 69.612043 100.576056) + (xy 69.644508 100.639771) + (xy 69.669984 100.689771) + (xy 69.760229 100.780016) + (xy 69.873943 100.837956) + (xy 69.873945 100.837957) + (xy 70 100.857922) + (xy 70.126055 100.837957) + (xy 70.239771 100.780016) + (xy 70.330016 100.689771) + (xy 70.387957 100.576055) + (xy 70.407922 100.45) + (xy 70.400003 100.4) + (xy 100.142078 100.4) + (xy 100.162043 100.526056) + (xy 100.198817 100.598228) + (xy 100.219984 100.639771) + (xy 100.310229 100.730016) + (xy 100.423943 100.787956) + (xy 100.423945 100.787957) + (xy 100.55 100.807922) + (xy 100.676055 100.787957) + (xy 100.789771 100.730016) + (xy 100.869787 100.65) + (xy 122.192078 100.65) + (xy 122.212043 100.776056) + (xy 122.243605 100.837999) + (xy 122.269984 100.889771) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.854787 100.965) + (xy 127.735078 100.965) + (xy 127.755043 101.091056) + (xy 127.769783 101.119984) + (xy 127.812984 101.204771) + (xy 127.903229 101.295016) + (xy 128.016943 101.352956) + (xy 128.016945 101.352957) + (xy 128.143 101.372922) + (xy 128.269055 101.352957) + (xy 128.382771 101.295016) + (xy 128.473016 101.204771) + (xy 128.530957 101.091055) + (xy 128.550922 100.965) + (xy 132.815078 100.965) + (xy 132.835043 101.091056) + (xy 132.849783 101.119984) + (xy 132.892984 101.204771) + (xy 132.983229 101.295016) + (xy 133.096943 101.352956) + (xy 133.096945 101.352957) + (xy 133.223 101.372922) + (xy 133.349055 101.352957) + (xy 133.462771 101.295016) + (xy 133.553016 101.204771) + (xy 133.610957 101.091055) + (xy 133.630922 100.965) + (xy 133.630772 100.964056) + (xy 133.619007 100.889771) + (xy 133.610957 100.838945) + (xy 133.610476 100.838) + (xy 134.720078 100.838) + (xy 134.740043 100.964056) + (xy 134.78787 101.057922) + (xy 134.797984 101.077771) + (xy 134.888229 101.168016) + (xy 135.001943 101.225956) + (xy 135.001945 101.225957) + (xy 135.128 101.245922) + (xy 135.254055 101.225957) + (xy 135.367771 101.168016) + (xy 135.458016 101.077771) + (xy 135.515957 100.964055) + (xy 135.535922 100.838) + (xy 135.990078 100.838) + (xy 136.010043 100.964056) + (xy 136.05787 101.057922) + (xy 136.067984 101.077771) + (xy 136.158229 101.168016) + (xy 136.271943 101.225956) + (xy 136.271945 101.225957) + (xy 136.398 101.245922) + (xy 136.524055 101.225957) + (xy 136.637771 101.168016) + (xy 136.728016 101.077771) + (xy 136.785476 100.965) + (xy 137.895078 100.965) + (xy 137.915043 101.091056) + (xy 137.929783 101.119984) + (xy 137.972984 101.204771) + (xy 138.063229 101.295016) + (xy 138.176943 101.352956) + (xy 138.176945 101.352957) + (xy 138.303 101.372922) + (xy 138.429055 101.352957) + (xy 138.542771 101.295016) + (xy 138.633016 101.204771) + (xy 138.690957 101.091055) + (xy 138.710922 100.965) + (xy 142.975078 100.965) + (xy 142.995043 101.091056) + (xy 143.009783 101.119984) + (xy 143.052984 101.204771) + (xy 143.143229 101.295016) + (xy 143.256943 101.352956) + (xy 143.256945 101.352957) + (xy 143.383 101.372922) + (xy 143.509055 101.352957) + (xy 143.622771 101.295016) + (xy 143.713016 101.204771) + (xy 143.770957 101.091055) + (xy 143.790922 100.965) + (xy 143.790772 100.964056) + (xy 143.779007 100.889771) + (xy 143.770957 100.838945) + (xy 143.713016 100.725229) + (xy 143.622771 100.634984) + (xy 143.509055 100.577043) + (xy 143.509057 100.577043) + (xy 143.383 100.557078) + (xy 143.256943 100.577043) + (xy 143.143228 100.634984) + (xy 143.052984 100.725228) + (xy 142.995043 100.838943) + (xy 142.975078 100.964999) + (xy 142.975078 100.965) + (xy 138.710922 100.965) + (xy 138.710772 100.964056) + (xy 138.699007 100.889771) + (xy 138.690957 100.838945) + (xy 138.633016 100.725229) + (xy 138.542771 100.634984) + (xy 138.429055 100.577043) + (xy 138.429057 100.577043) + (xy 138.303 100.557078) + (xy 138.176943 100.577043) + (xy 138.063228 100.634984) + (xy 137.972984 100.725228) + (xy 137.915043 100.838943) + (xy 137.895078 100.964999) + (xy 137.895078 100.965) + (xy 136.785476 100.965) + (xy 136.785957 100.964055) + (xy 136.805922 100.838) + (xy 136.785957 100.711945) + (xy 136.728016 100.598229) + (xy 136.637771 100.507984) + (xy 136.524055 100.450043) + (xy 136.524057 100.450043) + (xy 136.398 100.430078) + (xy 136.271943 100.450043) + (xy 136.158228 100.507984) + (xy 136.067984 100.598228) + (xy 136.010043 100.711943) + (xy 135.990078 100.837999) + (xy 135.990078 100.838) + (xy 135.535922 100.838) + (xy 135.515957 100.711945) + (xy 135.458016 100.598229) + (xy 135.367771 100.507984) + (xy 135.254055 100.450043) + (xy 135.254057 100.450043) + (xy 135.128 100.430078) + (xy 135.001943 100.450043) + (xy 134.888228 100.507984) + (xy 134.797984 100.598228) + (xy 134.740043 100.711943) + (xy 134.720078 100.837999) + (xy 134.720078 100.838) + (xy 133.610476 100.838) + (xy 133.553016 100.725229) + (xy 133.462771 100.634984) + (xy 133.349055 100.577043) + (xy 133.349057 100.577043) + (xy 133.223 100.557078) + (xy 133.096943 100.577043) + (xy 132.983228 100.634984) + (xy 132.892984 100.725228) + (xy 132.835043 100.838943) + (xy 132.815078 100.964999) + (xy 132.815078 100.965) + (xy 128.550922 100.965) + (xy 128.550772 100.964056) + (xy 128.539007 100.889771) + (xy 128.530957 100.838945) + (xy 128.473016 100.725229) + (xy 128.382771 100.634984) + (xy 128.269055 100.577043) + (xy 128.269057 100.577043) + (xy 128.143 100.557078) + (xy 128.016943 100.577043) + (xy 127.903228 100.634984) + (xy 127.812984 100.725228) + (xy 127.755043 100.838943) + (xy 127.735078 100.964999) + (xy 127.735078 100.965) + (xy 122.854787 100.965) + (xy 122.930016 100.889771) + (xy 122.987957 100.776055) + (xy 123.007922 100.65) + (xy 122.987957 100.523945) + (xy 122.930016 100.410229) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.360228 100.319984) + (xy 122.269984 100.410228) + (xy 122.212043 100.523943) + (xy 122.192078 100.649999) + (xy 122.192078 100.65) + (xy 100.869787 100.65) + (xy 100.880016 100.639771) + (xy 100.937957 100.526055) + (xy 100.957922 100.4) + (xy 100.937957 100.273945) + (xy 100.880016 100.160229) + (xy 100.789771 100.069984) + (xy 100.676055 100.012043) + (xy 100.676057 100.012043) + (xy 100.55 99.992078) + (xy 100.423943 100.012043) + (xy 100.310228 100.069984) + (xy 100.219984 100.160228) + (xy 100.162043 100.273943) + (xy 100.142078 100.399999) + (xy 100.142078 100.4) + (xy 70.400003 100.4) + (xy 70.387957 100.323945) + (xy 70.330016 100.210229) + (xy 70.239771 100.119984) + (xy 70.126055 100.062043) + (xy 70.126057 100.062043) + (xy 70 100.042078) + (xy 69.873943 100.062043) + (xy 69.760228 100.119984) + (xy 69.669984 100.210228) + (xy 69.612043 100.323943) + (xy 69.592078 100.449999) + (xy 69.592078 100.45) + (xy 67.307922 100.45) + (xy 67.287957 100.323945) + (xy 67.230016 100.210229) + (xy 67.139771 100.119984) + (xy 67.026055 100.062043) + (xy 67.026057 100.062043) + (xy 66.9 100.042078) + (xy 66.773943 100.062043) + (xy 66.660228 100.119984) + (xy 66.569984 100.210228) + (xy 66.512043 100.323943) + (xy 66.492078 100.449999) + (xy 66.492078 100.45) + (xy 64.859947 100.45) + (xy 64.946271 100.406016) + (xy 65.036516 100.315771) + (xy 65.094457 100.202055) + (xy 65.114422 100.076) + (xy 65.112211 100.062043) + (xy 65.106512 100.026056) + (xy 65.094457 99.949945) + (xy 65.069009 99.9) + (xy 99.242078 99.9) + (xy 99.262043 100.026056) + (xy 99.287491 100.076) + (xy 99.319984 100.139771) + (xy 99.410229 100.230016) + (xy 99.523943 100.287956) + (xy 99.523945 100.287957) + (xy 99.65 100.307922) + (xy 99.776055 100.287957) + (xy 99.889771 100.230016) + (xy 99.980016 100.139771) + (xy 100.037957 100.026055) + (xy 100.057922 99.9) + (xy 100.050003 99.85) + (xy 114.292078 99.85) + (xy 114.312043 99.976056) + (xy 114.345683 100.042078) + (xy 114.369984 100.089771) + (xy 114.460229 100.180016) + (xy 114.573943 100.237956) + (xy 114.573945 100.237957) + (xy 114.7 100.257922) + (xy 114.826055 100.237957) + (xy 114.939771 100.180016) + (xy 115.030016 100.089771) + (xy 115.087957 99.976055) + (xy 115.107922 99.85) + (xy 122.842078 99.85) + (xy 122.862043 99.976056) + (xy 122.895683 100.042078) + (xy 122.919984 100.089771) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.580016 100.089771) + (xy 123.637957 99.976055) + (xy 123.657922 99.85) + (xy 123.637957 99.723945) + (xy 123.580016 99.610229) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.010228 99.519984) + (xy 122.919984 99.610228) + (xy 122.862043 99.723943) + (xy 122.842078 99.849999) + (xy 122.842078 99.85) + (xy 115.107922 99.85) + (xy 115.087957 99.723945) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.826057 99.462043) + (xy 114.7 99.442078) + (xy 114.573943 99.462043) + (xy 114.460228 99.519984) + (xy 114.369984 99.610228) + (xy 114.312043 99.723943) + (xy 114.292078 99.849999) + (xy 114.292078 99.85) + (xy 100.050003 99.85) + (xy 100.037957 99.773945) + (xy 99.980016 99.660229) + (xy 99.889771 99.569984) + (xy 99.776055 99.512043) + (xy 99.776057 99.512043) + (xy 99.65 99.492078) + (xy 99.523943 99.512043) + (xy 99.410228 99.569984) + (xy 99.319984 99.660228) + (xy 99.262043 99.773943) + (xy 99.242078 99.899999) + (xy 99.242078 99.9) + (xy 65.069009 99.9) + (xy 65.036516 99.836229) + (xy 64.946271 99.745984) + (xy 64.832555 99.688043) + (xy 64.832557 99.688043) + (xy 64.7065 99.668078) + (xy 64.580443 99.688043) + (xy 64.466728 99.745984) + (xy 64.376484 99.836228) + (xy 64.318543 99.949943) + (xy 64.298578 100.075999) + (xy 64.298578 100.076) + (xy 63.336422 100.076) + (xy 63.334211 100.062043) + (xy 63.328512 100.026056) + (xy 63.316457 99.949945) + (xy 63.258516 99.836229) + (xy 63.168271 99.745984) + (xy 63.054555 99.688043) + (xy 63.054557 99.688043) + (xy 62.9285 99.668078) + (xy 62.802443 99.688043) + (xy 62.688728 99.745984) + (xy 62.598484 99.836228) + (xy 62.540543 99.949943) + (xy 62.520578 100.075999) + (xy 62.520578 100.076) + (xy 61.230865 100.076) + (xy 61.240922 100.0125) + (xy 61.237687 99.992078) + (xy 61.231014 99.949943) + (xy 61.220957 99.886445) + (xy 61.163016 99.772729) + (xy 61.072771 99.682484) + (xy 60.959055 99.624543) + (xy 60.959057 99.624543) + (xy 60.833 99.604578) + (xy 60.706943 99.624543) + (xy 60.593228 99.682484) + (xy 60.502984 99.772728) + (xy 60.445043 99.886443) + (xy 60.425078 100.012499) + (xy 60.425078 100.0125) + (xy 57.430922 100.0125) + (xy 57.427687 99.992078) + (xy 57.421014 99.949943) + (xy 57.410957 99.886445) + (xy 57.353016 99.772729) + (xy 57.262771 99.682484) + (xy 57.149055 99.624543) + (xy 57.149057 99.624543) + (xy 57.023 99.604578) + (xy 56.896943 99.624543) + (xy 56.783228 99.682484) + (xy 56.692984 99.772728) + (xy 56.635043 99.886443) + (xy 56.615078 100.012499) + (xy 56.615078 100.0125) + (xy 46.101 100.0125) + (xy 46.101 99.06) + (xy 63.409578 99.06) + (xy 63.429543 99.186056) + (xy 63.474324 99.273943) + (xy 63.487484 99.299771) + (xy 63.577729 99.390016) + (xy 63.691443 99.447956) + (xy 63.691445 99.447957) + (xy 63.8175 99.467922) + (xy 63.943555 99.447957) + (xy 64.057271 99.390016) + (xy 64.147516 99.299771) + (xy 64.205457 99.186055) + (xy 64.225422 99.06) + (xy 64.208 98.95) + (xy 69.592078 98.95) + (xy 69.612043 99.076056) + (xy 69.639537 99.130015) + (xy 69.669984 99.189771) + (xy 69.760229 99.280016) + (xy 69.873943 99.337956) + (xy 69.873945 99.337957) + (xy 70 99.357922) + (xy 70.126055 99.337957) + (xy 70.20055 99.3) + (xy 84.392078 99.3) + (xy 84.412043 99.426056) + (xy 84.433375 99.467922) + (xy 84.469984 99.539771) + (xy 84.560229 99.630016) + (xy 84.673943 99.687956) + (xy 84.673945 99.687957) + (xy 84.8 99.707922) + (xy 84.926055 99.687957) + (xy 85.039771 99.630016) + (xy 85.130016 99.539771) + (xy 85.187957 99.426055) + (xy 85.207922 99.3) + (xy 85.206014 99.287956) + (xy 85.203795 99.273943) + (xy 85.187957 99.173945) + (xy 85.130016 99.060229) + (xy 85.069787 99) + (xy 87.492078 99) + (xy 87.512043 99.126056) + (xy 87.544508 99.189771) + (xy 87.569984 99.239771) + (xy 87.660229 99.330016) + (xy 87.773943 99.387956) + (xy 87.773945 99.387957) + (xy 87.9 99.407922) + (xy 87.950018 99.4) + (xy 100.142078 99.4) + (xy 100.162043 99.526056) + (xy 100.184426 99.569984) + (xy 100.219984 99.639771) + (xy 100.310229 99.730016) + (xy 100.423943 99.787956) + (xy 100.423945 99.787957) + (xy 100.55 99.807922) + (xy 100.676055 99.787957) + (xy 100.789771 99.730016) + (xy 100.880016 99.639771) + (xy 100.937957 99.526055) + (xy 100.957922 99.4) + (xy 100.956014 99.387956) + (xy 100.954164 99.376276) + (xy 100.937957 99.273945) + (xy 100.880016 99.160229) + (xy 100.789771 99.069984) + (xy 100.75055 99.05) + (xy 113.642078 99.05) + (xy 113.662043 99.176056) + (xy 113.67828 99.207922) + (xy 113.719984 99.289771) + (xy 113.810229 99.380016) + (xy 113.923943 99.437956) + (xy 113.923945 99.437957) + (xy 114.05 99.457922) + (xy 114.176055 99.437957) + (xy 114.289771 99.380016) + (xy 114.380016 99.289771) + (xy 114.437957 99.176055) + (xy 114.457922 99.05) + (xy 122.192078 99.05) + (xy 122.212043 99.176056) + (xy 122.22828 99.207922) + (xy 122.269984 99.289771) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.930016 99.289771) + (xy 122.987957 99.176055) + (xy 123.007922 99.05) + (xy 122.987957 98.923945) + (xy 122.930016 98.810229) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.360228 98.719984) + (xy 122.269984 98.810228) + (xy 122.212043 98.923943) + (xy 122.192078 99.049999) + (xy 122.192078 99.05) + (xy 114.457922 99.05) + (xy 114.437957 98.923945) + (xy 114.380016 98.810229) + (xy 114.289771 98.719984) + (xy 114.176055 98.662043) + (xy 114.176057 98.662043) + (xy 114.05 98.642078) + (xy 113.923943 98.662043) + (xy 113.810228 98.719984) + (xy 113.719984 98.810228) + (xy 113.662043 98.923943) + (xy 113.642078 99.049999) + (xy 113.642078 99.05) + (xy 100.75055 99.05) + (xy 100.676055 99.012043) + (xy 100.676057 99.012043) + (xy 100.55 98.992078) + (xy 100.423943 99.012043) + (xy 100.310228 99.069984) + (xy 100.219984 99.160228) + (xy 100.162043 99.273943) + (xy 100.142078 99.399999) + (xy 100.142078 99.4) + (xy 87.950018 99.4) + (xy 88.026055 99.387957) + (xy 88.139771 99.330016) + (xy 88.230016 99.239771) + (xy 88.287957 99.126055) + (xy 88.307922 99) + (xy 88.292084 98.9) + (xy 99.242078 98.9) + (xy 99.262043 99.026056) + (xy 99.312995 99.126055) + (xy 99.319984 99.139771) + (xy 99.410229 99.230016) + (xy 99.523943 99.287956) + (xy 99.523945 99.287957) + (xy 99.65 99.307922) + (xy 99.776055 99.287957) + (xy 99.889771 99.230016) + (xy 99.980016 99.139771) + (xy 100.037957 99.026055) + (xy 100.057922 98.9) + (xy 100.037957 98.773945) + (xy 99.980016 98.660229) + (xy 99.889771 98.569984) + (xy 99.776055 98.512043) + (xy 99.776057 98.512043) + (xy 99.65 98.492078) + (xy 99.523943 98.512043) + (xy 99.410228 98.569984) + (xy 99.319984 98.660228) + (xy 99.262043 98.773943) + (xy 99.242078 98.899999) + (xy 99.242078 98.9) + (xy 88.292084 98.9) + (xy 88.287957 98.873945) + (xy 88.230016 98.760229) + (xy 88.139771 98.669984) + (xy 88.026055 98.612043) + (xy 88.026057 98.612043) + (xy 87.9 98.592078) + (xy 87.773943 98.612043) + (xy 87.660228 98.669984) + (xy 87.569984 98.760228) + (xy 87.512043 98.873943) + (xy 87.492078 98.999999) + (xy 87.492078 99) + (xy 85.069787 99) + (xy 85.039771 98.969984) + (xy 84.926055 98.912043) + (xy 84.926057 98.912043) + (xy 84.8 98.892078) + (xy 84.673943 98.912043) + (xy 84.560228 98.969984) + (xy 84.469984 99.060228) + (xy 84.412043 99.173943) + (xy 84.392078 99.299999) + (xy 84.392078 99.3) + (xy 70.20055 99.3) + (xy 70.239771 99.280016) + (xy 70.330016 99.189771) + (xy 70.387957 99.076055) + (xy 70.407922 98.95) + (xy 70.387957 98.823945) + (xy 70.375756 98.8) + (xy 83.342078 98.8) + (xy 83.362043 98.926056) + (xy 83.384426 98.969984) + (xy 83.419984 99.039771) + (xy 83.510229 99.130016) + (xy 83.623943 99.187956) + (xy 83.623945 99.187957) + (xy 83.75 99.207922) + (xy 83.876055 99.187957) + (xy 83.989771 99.130016) + (xy 84.080016 99.039771) + (xy 84.137957 98.926055) + (xy 84.157922 98.8) + (xy 84.156014 98.787956) + (xy 84.150797 98.755015) + (xy 84.137957 98.673945) + (xy 84.080016 98.560229) + (xy 83.989771 98.469984) + (xy 83.876055 98.412043) + (xy 83.876057 98.412043) + (xy 83.75 98.392078) + (xy 83.623943 98.412043) + (xy 83.510228 98.469984) + (xy 83.419984 98.560228) + (xy 83.362043 98.673943) + (xy 83.342078 98.799999) + (xy 83.342078 98.8) + (xy 70.375756 98.8) + (xy 70.330016 98.710229) + (xy 70.239771 98.619984) + (xy 70.126055 98.562043) + (xy 70.126057 98.562043) + (xy 70 98.542078) + (xy 69.873943 98.562043) + (xy 69.760228 98.619984) + (xy 69.669984 98.710228) + (xy 69.612043 98.823943) + (xy 69.592078 98.949999) + (xy 69.592078 98.95) + (xy 64.208 98.95) + (xy 64.205457 98.933945) + (xy 64.147516 98.820229) + (xy 64.057271 98.729984) + (xy 63.943555 98.672043) + (xy 63.943557 98.672043) + (xy 63.8175 98.652078) + (xy 63.691443 98.672043) + (xy 63.577728 98.729984) + (xy 63.487484 98.820228) + (xy 63.429543 98.933943) + (xy 63.409578 99.059999) + (xy 63.409578 99.06) + (xy 46.101 99.06) + (xy 46.101 98.425) + (xy 64.235078 98.425) + (xy 64.255043 98.551056) + (xy 64.290164 98.619984) + (xy 64.312984 98.664771) + (xy 64.403229 98.755016) + (xy 64.516943 98.812956) + (xy 64.516945 98.812957) + (xy 64.643 98.832922) + (xy 64.769055 98.812957) + (xy 64.882771 98.755016) + (xy 64.973016 98.664771) + (xy 65.030957 98.551055) + (xy 65.050922 98.425) + (xy 65.050772 98.424056) + (xy 65.04317 98.376055) + (xy 65.030957 98.298945) + (xy 65.030476 98.298) + (xy 76.808078 98.298) + (xy 76.828043 98.424056) + (xy 76.828524 98.425) + (xy 76.885984 98.537771) + (xy 76.976229 98.628016) + (xy 77.089943 98.685956) + (xy 77.089945 98.685957) + (xy 77.216 98.705922) + (xy 77.342055 98.685957) + (xy 77.455771 98.628016) + (xy 77.546016 98.537771) + (xy 77.603957 98.424055) + (xy 77.623922 98.298) + (xy 77.61632 98.25) + (xy 88.342078 98.25) + (xy 88.362043 98.376056) + (xy 88.409902 98.469984) + (xy 88.419984 98.489771) + (xy 88.510229 98.580016) + (xy 88.623943 98.637956) + (xy 88.623945 98.637957) + (xy 88.75 98.657922) + (xy 88.876055 98.637957) + (xy 88.989771 98.580016) + (xy 89.080016 98.489771) + (xy 89.125756 98.4) + (xy 100.142078 98.4) + (xy 100.162043 98.526056) + (xy 100.219059 98.637956) + (xy 100.219984 98.639771) + (xy 100.310229 98.730016) + (xy 100.423943 98.787956) + (xy 100.423945 98.787957) + (xy 100.55 98.807922) + (xy 100.676055 98.787957) + (xy 100.789771 98.730016) + (xy 100.880016 98.639771) + (xy 100.937957 98.526055) + (xy 100.957922 98.4) + (xy 102.442078 98.4) + (xy 102.462043 98.526056) + (xy 102.519059 98.637956) + (xy 102.519984 98.639771) + (xy 102.610229 98.730016) + (xy 102.723943 98.787956) + (xy 102.723945 98.787957) + (xy 102.85 98.807922) + (xy 102.976055 98.787957) + (xy 103.089771 98.730016) + (xy 103.180016 98.639771) + (xy 103.237957 98.526055) + (xy 103.257922 98.4) + (xy 103.237957 98.273945) + (xy 103.180016 98.160229) + (xy 103.169787 98.15) + (xy 104.292078 98.15) + (xy 104.312043 98.276056) + (xy 104.318107 98.287957) + (xy 104.369984 98.389771) + (xy 104.460229 98.480016) + (xy 104.573943 98.537956) + (xy 104.573945 98.537957) + (xy 104.7 98.557922) + (xy 104.826055 98.537957) + (xy 104.939771 98.480016) + (xy 105.030016 98.389771) + (xy 105.087957 98.276055) + (xy 105.092084 98.25) + (xy 114.292078 98.25) + (xy 114.312043 98.376056) + (xy 114.359902 98.469984) + (xy 114.369984 98.489771) + (xy 114.460229 98.580016) + (xy 114.573943 98.637956) + (xy 114.573945 98.637957) + (xy 114.7 98.657922) + (xy 114.826055 98.637957) + (xy 114.939771 98.580016) + (xy 115.030016 98.489771) + (xy 115.087957 98.376055) + (xy 115.107922 98.25) + (xy 122.842078 98.25) + (xy 122.862043 98.376056) + (xy 122.909902 98.469984) + (xy 122.919984 98.489771) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.580016 98.489771) + (xy 123.613018 98.425) + (xy 130.275078 98.425) + (xy 130.295043 98.551056) + (xy 130.330164 98.619984) + (xy 130.352984 98.664771) + (xy 130.443229 98.755016) + (xy 130.556943 98.812956) + (xy 130.556945 98.812957) + (xy 130.683 98.832922) + (xy 130.809055 98.812957) + (xy 130.922771 98.755016) + (xy 131.013016 98.664771) + (xy 131.070957 98.551055) + (xy 131.090922 98.425) + (xy 135.355078 98.425) + (xy 135.375043 98.551056) + (xy 135.410164 98.619984) + (xy 135.432984 98.664771) + (xy 135.523229 98.755016) + (xy 135.636943 98.812956) + (xy 135.636945 98.812957) + (xy 135.763 98.832922) + (xy 135.889055 98.812957) + (xy 136.002771 98.755016) + (xy 136.093016 98.664771) + (xy 136.150957 98.551055) + (xy 136.170922 98.425) + (xy 140.435078 98.425) + (xy 140.455043 98.551056) + (xy 140.490164 98.619984) + (xy 140.512984 98.664771) + (xy 140.603229 98.755016) + (xy 140.716943 98.812956) + (xy 140.716945 98.812957) + (xy 140.843 98.832922) + (xy 140.969055 98.812957) + (xy 141.082771 98.755016) + (xy 141.173016 98.664771) + (xy 141.230957 98.551055) + (xy 141.250922 98.425) + (xy 141.250772 98.424056) + (xy 141.24317 98.376055) + (xy 141.230957 98.298945) + (xy 141.173016 98.185229) + (xy 141.082771 98.094984) + (xy 140.969055 98.037043) + (xy 140.969057 98.037043) + (xy 140.843 98.017078) + (xy 140.716943 98.037043) + (xy 140.603228 98.094984) + (xy 140.512984 98.185228) + (xy 140.455043 98.298943) + (xy 140.435078 98.424999) + (xy 140.435078 98.425) + (xy 136.170922 98.425) + (xy 136.170772 98.424056) + (xy 136.16317 98.376055) + (xy 136.150957 98.298945) + (xy 136.093016 98.185229) + (xy 136.002771 98.094984) + (xy 135.889055 98.037043) + (xy 135.889057 98.037043) + (xy 135.763 98.017078) + (xy 135.636943 98.037043) + (xy 135.523228 98.094984) + (xy 135.432984 98.185228) + (xy 135.375043 98.298943) + (xy 135.355078 98.424999) + (xy 135.355078 98.425) + (xy 131.090922 98.425) + (xy 131.090772 98.424056) + (xy 131.08317 98.376055) + (xy 131.070957 98.298945) + (xy 131.013016 98.185229) + (xy 130.922771 98.094984) + (xy 130.809055 98.037043) + (xy 130.809057 98.037043) + (xy 130.683 98.017078) + (xy 130.556943 98.037043) + (xy 130.443228 98.094984) + (xy 130.352984 98.185228) + (xy 130.295043 98.298943) + (xy 130.275078 98.424999) + (xy 130.275078 98.425) + (xy 123.613018 98.425) + (xy 123.637957 98.376055) + (xy 123.657922 98.25) + (xy 123.637957 98.123945) + (xy 123.580016 98.010229) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.010228 97.919984) + (xy 122.919984 98.010228) + (xy 122.862043 98.123943) + (xy 122.842078 98.249999) + (xy 122.842078 98.25) + (xy 115.107922 98.25) + (xy 115.087957 98.123945) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.826055 97.862043) + (xy 114.826057 97.862043) + (xy 114.7 97.842078) + (xy 114.573943 97.862043) + (xy 114.460228 97.919984) + (xy 114.369984 98.010228) + (xy 114.312043 98.123943) + (xy 114.292078 98.249999) + (xy 114.292078 98.25) + (xy 105.092084 98.25) + (xy 105.107922 98.15) + (xy 105.087957 98.023945) + (xy 105.030016 97.910229) + (xy 104.939771 97.819984) + (xy 104.826055 97.762043) + (xy 104.826057 97.762043) + (xy 104.7 97.742078) + (xy 104.573943 97.762043) + (xy 104.460228 97.819984) + (xy 104.369984 97.910228) + (xy 104.312043 98.023943) + (xy 104.292078 98.149999) + (xy 104.292078 98.15) + (xy 103.169787 98.15) + (xy 103.089771 98.069984) + (xy 102.976055 98.012043) + (xy 102.976057 98.012043) + (xy 102.85 97.992078) + (xy 102.723943 98.012043) + (xy 102.610228 98.069984) + (xy 102.519984 98.160228) + (xy 102.462043 98.273943) + (xy 102.442078 98.399999) + (xy 102.442078 98.4) + (xy 100.957922 98.4) + (xy 100.937957 98.273945) + (xy 100.880016 98.160229) + (xy 100.789771 98.069984) + (xy 100.676055 98.012043) + (xy 100.676057 98.012043) + (xy 100.55 97.992078) + (xy 100.423943 98.012043) + (xy 100.310228 98.069984) + (xy 100.219984 98.160228) + (xy 100.162043 98.273943) + (xy 100.142078 98.399999) + (xy 100.142078 98.4) + (xy 89.125756 98.4) + (xy 89.137957 98.376055) + (xy 89.157922 98.25) + (xy 89.137957 98.123945) + (xy 89.080016 98.010229) + (xy 88.989771 97.919984) + (xy 88.95055 97.9) + (xy 99.242078 97.9) + (xy 99.262043 98.026056) + (xy 99.278436 98.058228) + (xy 99.319984 98.139771) + (xy 99.410229 98.230016) + (xy 99.523943 98.287956) + (xy 99.523945 98.287957) + (xy 99.65 98.307922) + (xy 99.776055 98.287957) + (xy 99.889771 98.230016) + (xy 99.980016 98.139771) + (xy 100.037957 98.026055) + (xy 100.057922 97.9) + (xy 100.037957 97.773945) + (xy 99.980016 97.660229) + (xy 99.889771 97.569984) + (xy 99.776055 97.512043) + (xy 99.776057 97.512043) + (xy 99.65 97.492078) + (xy 99.523943 97.512043) + (xy 99.410228 97.569984) + (xy 99.319984 97.660228) + (xy 99.262043 97.773943) + (xy 99.242078 97.899999) + (xy 99.242078 97.9) + (xy 88.95055 97.9) + (xy 88.876055 97.862043) + (xy 88.876057 97.862043) + (xy 88.75 97.842078) + (xy 88.623943 97.862043) + (xy 88.510228 97.919984) + (xy 88.419984 98.010228) + (xy 88.362043 98.123943) + (xy 88.342078 98.249999) + (xy 88.342078 98.25) + (xy 77.61632 98.25) + (xy 77.603957 98.171945) + (xy 77.546016 98.058229) + (xy 77.455771 97.967984) + (xy 77.342055 97.910043) + (xy 77.342057 97.910043) + (xy 77.216 97.890078) + (xy 77.089943 97.910043) + (xy 76.976228 97.967984) + (xy 76.885984 98.058228) + (xy 76.828043 98.171943) + (xy 76.808078 98.297999) + (xy 76.808078 98.298) + (xy 65.030476 98.298) + (xy 64.973016 98.185229) + (xy 64.882771 98.094984) + (xy 64.769055 98.037043) + (xy 64.769057 98.037043) + (xy 64.643 98.017078) + (xy 64.516943 98.037043) + (xy 64.403228 98.094984) + (xy 64.312984 98.185228) + (xy 64.255043 98.298943) + (xy 64.235078 98.424999) + (xy 64.235078 98.425) + (xy 46.101 98.425) + (xy 46.101 97.8535) + (xy 61.695078 97.8535) + (xy 61.715043 97.979556) + (xy 61.744334 98.037043) + (xy 61.772984 98.093271) + (xy 61.863229 98.183516) + (xy 61.976943 98.241456) + (xy 61.976945 98.241457) + (xy 62.103 98.261422) + (xy 62.229055 98.241457) + (xy 62.342771 98.183516) + (xy 62.433016 98.093271) + (xy 62.490957 97.979555) + (xy 62.510922 97.8535) + (xy 62.490957 97.727445) + (xy 62.433016 97.613729) + (xy 62.342771 97.523484) + (xy 62.229055 97.465543) + (xy 62.229057 97.465543) + (xy 62.13092 97.45) + (xy 69.592078 97.45) + (xy 69.612043 97.576056) + (xy 69.654931 97.660228) + (xy 69.669984 97.689771) + (xy 69.760229 97.780016) + (xy 69.873943 97.837956) + (xy 69.873945 97.837957) + (xy 70 97.857922) + (xy 70.126055 97.837957) + (xy 70.239771 97.780016) + (xy 70.330016 97.689771) + (xy 70.387957 97.576055) + (xy 70.407922 97.45) + (xy 70.387957 97.323945) + (xy 70.330016 97.210229) + (xy 70.239771 97.119984) + (xy 70.183868 97.0915) + (xy 76.046078 97.0915) + (xy 76.066043 97.217556) + (xy 76.101914 97.287956) + (xy 76.123984 97.331271) + (xy 76.214229 97.421516) + (xy 76.327943 97.479456) + (xy 76.327945 97.479457) + (xy 76.454 97.499422) + (xy 76.580055 97.479457) + (xy 76.637868 97.45) + (xy 113.642078 97.45) + (xy 113.662043 97.576056) + (xy 113.704931 97.660228) + (xy 113.719984 97.689771) + (xy 113.810229 97.780016) + (xy 113.923943 97.837956) + (xy 113.923945 97.837957) + (xy 114.05 97.857922) + (xy 114.176055 97.837957) + (xy 114.289771 97.780016) + (xy 114.380016 97.689771) + (xy 114.437957 97.576055) + (xy 114.457922 97.45) + (xy 122.192078 97.45) + (xy 122.212043 97.576056) + (xy 122.254931 97.660228) + (xy 122.269984 97.689771) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.930016 97.689771) + (xy 122.987957 97.576055) + (xy 123.007922 97.45) + (xy 122.987957 97.323945) + (xy 122.930016 97.210229) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.360228 97.119984) + (xy 122.269984 97.210228) + (xy 122.212043 97.323943) + (xy 122.192078 97.449999) + (xy 122.192078 97.45) + (xy 114.457922 97.45) + (xy 114.437957 97.323945) + (xy 114.380016 97.210229) + (xy 114.289771 97.119984) + (xy 114.176055 97.062043) + (xy 114.176057 97.062043) + (xy 114.05 97.042078) + (xy 113.923943 97.062043) + (xy 113.810228 97.119984) + (xy 113.719984 97.210228) + (xy 113.662043 97.323943) + (xy 113.642078 97.449999) + (xy 113.642078 97.45) + (xy 76.637868 97.45) + (xy 76.693771 97.421516) + (xy 76.784016 97.331271) + (xy 76.841957 97.217555) + (xy 76.861922 97.0915) + (xy 76.841957 96.965445) + (xy 76.784016 96.851729) + (xy 76.693771 96.761484) + (xy 76.580055 96.703543) + (xy 76.580057 96.703543) + (xy 76.454 96.683578) + (xy 76.327943 96.703543) + (xy 76.214228 96.761484) + (xy 76.123984 96.851728) + (xy 76.066043 96.965443) + (xy 76.046078 97.091499) + (xy 76.046078 97.0915) + (xy 70.183868 97.0915) + (xy 70.126055 97.062043) + (xy 70.126057 97.062043) + (xy 70 97.042078) + (xy 69.873943 97.062043) + (xy 69.760228 97.119984) + (xy 69.669984 97.210228) + (xy 69.612043 97.323943) + (xy 69.592078 97.449999) + (xy 69.592078 97.45) + (xy 62.13092 97.45) + (xy 62.103 97.445578) + (xy 61.976943 97.465543) + (xy 61.863228 97.523484) + (xy 61.772984 97.613728) + (xy 61.715043 97.727443) + (xy 61.695078 97.853499) + (xy 61.695078 97.8535) + (xy 46.101 97.8535) + (xy 46.101 96.7) + (xy 50.342078 96.7) + (xy 50.362043 96.826056) + (xy 50.37828 96.857922) + (xy 50.419984 96.939771) + (xy 50.510229 97.030016) + (xy 50.623943 97.087956) + (xy 50.623945 97.087957) + (xy 50.75 97.107922) + (xy 50.876055 97.087957) + (xy 50.989771 97.030016) + (xy 51.019787 97) + (xy 52.092078 97) + (xy 52.112043 97.126056) + (xy 52.153756 97.207922) + (xy 52.169984 97.239771) + (xy 52.260229 97.330016) + (xy 52.373943 97.387956) + (xy 52.373945 97.387957) + (xy 52.5 97.407922) + (xy 52.626055 97.387957) + (xy 52.739771 97.330016) + (xy 52.830016 97.239771) + (xy 52.887957 97.126055) + (xy 52.907922 97) + (xy 52.887957 96.873945) + (xy 52.830016 96.760229) + (xy 52.739771 96.669984) + (xy 52.626055 96.612043) + (xy 52.626057 96.612043) + (xy 52.5 96.592078) + (xy 52.373943 96.612043) + (xy 52.260228 96.669984) + (xy 52.169984 96.760228) + (xy 52.112043 96.873943) + (xy 52.092078 96.999999) + (xy 52.092078 97) + (xy 51.019787 97) + (xy 51.080016 96.939771) + (xy 51.137957 96.826055) + (xy 51.157922 96.7) + (xy 51.157331 96.696271) + (xy 51.150003 96.649999) + (xy 51.137957 96.573945) + (xy 51.080016 96.460229) + (xy 50.989771 96.369984) + (xy 50.876055 96.312043) + (xy 50.876057 96.312043) + (xy 50.75 96.292078) + (xy 50.623943 96.312043) + (xy 50.510228 96.369984) + (xy 50.419984 96.460228) + (xy 50.362043 96.573943) + (xy 50.342078 96.699999) + (xy 50.342078 96.7) + (xy 46.101 96.7) + (xy 46.101 96.2) + (xy 51.242078 96.2) + (xy 51.262043 96.326056) + (xy 51.27828 96.357922) + (xy 51.319984 96.439771) + (xy 51.410229 96.530016) + (xy 51.523943 96.587956) + (xy 51.523945 96.587957) + (xy 51.65 96.607922) + (xy 51.776055 96.587957) + (xy 51.889771 96.530016) + (xy 51.963287 96.4565) + (xy 62.393578 96.4565) + (xy 62.413543 96.582556) + (xy 62.442696 96.639771) + (xy 62.471484 96.696271) + (xy 62.561729 96.786516) + (xy 62.675443 96.844456) + (xy 62.675445 96.844457) + (xy 62.8015 96.864422) + (xy 62.927555 96.844457) + (xy 63.041271 96.786516) + (xy 63.131516 96.696271) + (xy 63.189457 96.582555) + (xy 63.194613 96.55) + (xy 83.042078 96.55) + (xy 83.062043 96.676056) + (xy 83.119059 96.787956) + (xy 83.119984 96.789771) + (xy 83.210229 96.880016) + (xy 83.323943 96.937956) + (xy 83.323945 96.937957) + (xy 83.45 96.957922) + (xy 83.576055 96.937957) + (xy 83.689771 96.880016) + (xy 83.769787 96.8) + (xy 84.792078 96.8) + (xy 84.812043 96.926056) + (xy 84.82828 96.957922) + (xy 84.869984 97.039771) + (xy 84.960229 97.130016) + (xy 85.073943 97.187956) + (xy 85.073945 97.187957) + (xy 85.2 97.207922) + (xy 85.326055 97.187957) + (xy 85.439771 97.130016) + (xy 85.530016 97.039771) + (xy 85.587957 96.926055) + (xy 85.607922 96.8) + (xy 87.142078 96.8) + (xy 87.162043 96.926056) + (xy 87.17828 96.957922) + (xy 87.219984 97.039771) + (xy 87.310229 97.130016) + (xy 87.423943 97.187956) + (xy 87.423945 97.187957) + (xy 87.55 97.207922) + (xy 87.676055 97.187957) + (xy 87.789771 97.130016) + (xy 87.880016 97.039771) + (xy 87.937957 96.926055) + (xy 87.942084 96.9) + (xy 99.242078 96.9) + (xy 99.262043 97.026056) + (xy 99.295388 97.091499) + (xy 99.319984 97.139771) + (xy 99.410229 97.230016) + (xy 99.523943 97.287956) + (xy 99.523945 97.287957) + (xy 99.65 97.307922) + (xy 99.776055 97.287957) + (xy 99.889771 97.230016) + (xy 99.980016 97.139771) + (xy 100.037957 97.026055) + (xy 100.057922 96.9) + (xy 100.037957 96.773945) + (xy 99.980016 96.660229) + (xy 99.889771 96.569984) + (xy 99.776055 96.512043) + (xy 99.776057 96.512043) + (xy 99.65 96.492078) + (xy 99.523943 96.512043) + (xy 99.410228 96.569984) + (xy 99.319984 96.660228) + (xy 99.262043 96.773943) + (xy 99.242078 96.899999) + (xy 99.242078 96.9) + (xy 87.942084 96.9) + (xy 87.957922 96.8) + (xy 87.956014 96.787956) + (xy 87.951623 96.760228) + (xy 87.937957 96.673945) + (xy 87.880016 96.560229) + (xy 87.789771 96.469984) + (xy 87.676055 96.412043) + (xy 87.676057 96.412043) + (xy 87.600019 96.4) + (xy 100.142078 96.4) + (xy 100.162043 96.526056) + (xy 100.195683 96.592078) + (xy 100.219984 96.639771) + (xy 100.310229 96.730016) + (xy 100.423943 96.787956) + (xy 100.423945 96.787957) + (xy 100.55 96.807922) + (xy 100.676055 96.787957) + (xy 100.789771 96.730016) + (xy 100.880016 96.639771) + (xy 100.937957 96.526055) + (xy 100.957922 96.4) + (xy 100.937957 96.273945) + (xy 100.880016 96.160229) + (xy 100.819787 96.1) + (xy 102.542078 96.1) + (xy 102.562043 96.226056) + (xy 102.586443 96.273943) + (xy 102.619984 96.339771) + (xy 102.710229 96.430016) + (xy 102.823943 96.487956) + (xy 102.823945 96.487957) + (xy 102.95 96.507922) + (xy 103.076055 96.487957) + (xy 103.15055 96.45) + (xy 110.342078 96.45) + (xy 110.362043 96.576056) + (xy 110.419984 96.689771) + (xy 110.510229 96.780016) + (xy 110.623943 96.837956) + (xy 110.623945 96.837957) + (xy 110.75 96.857922) + (xy 110.876055 96.837957) + (xy 110.989771 96.780016) + (xy 111.080016 96.689771) + (xy 111.10028 96.65) + (xy 114.292078 96.65) + (xy 114.312043 96.776056) + (xy 114.346895 96.844456) + (xy 114.369984 96.889771) + (xy 114.460229 96.980016) + (xy 114.573943 97.037956) + (xy 114.573945 97.037957) + (xy 114.7 97.057922) + (xy 114.826055 97.037957) + (xy 114.939771 96.980016) + (xy 115.030016 96.889771) + (xy 115.087957 96.776055) + (xy 115.107922 96.65) + (xy 122.842078 96.65) + (xy 122.862043 96.776056) + (xy 122.896895 96.844456) + (xy 122.919984 96.889771) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.580016 96.889771) + (xy 123.637957 96.776055) + (xy 123.657922 96.65) + (xy 123.637957 96.523945) + (xy 123.580016 96.410229) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.010228 96.319984) + (xy 122.919984 96.410228) + (xy 122.862043 96.523943) + (xy 122.842078 96.649999) + (xy 122.842078 96.65) + (xy 115.107922 96.65) + (xy 115.087957 96.523945) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.826055 96.262043) + (xy 114.826057 96.262043) + (xy 114.7 96.242078) + (xy 114.573943 96.262043) + (xy 114.460228 96.319984) + (xy 114.369984 96.410228) + (xy 114.312043 96.523943) + (xy 114.292078 96.649999) + (xy 114.292078 96.65) + (xy 111.10028 96.65) + (xy 111.137957 96.576055) + (xy 111.157922 96.45) + (xy 111.137957 96.323945) + (xy 111.080016 96.210229) + (xy 110.989771 96.119984) + (xy 110.876055 96.062043) + (xy 110.876057 96.062043) + (xy 110.75 96.042078) + (xy 110.623943 96.062043) + (xy 110.510228 96.119984) + (xy 110.419984 96.210228) + (xy 110.362043 96.323943) + (xy 110.342078 96.449999) + (xy 110.342078 96.45) + (xy 103.15055 96.45) + (xy 103.189771 96.430016) + (xy 103.280016 96.339771) + (xy 103.337957 96.226055) + (xy 103.357922 96.1) + (xy 103.355143 96.082457) + (xy 103.351788 96.061271) + (xy 103.337957 95.973945) + (xy 103.280016 95.860229) + (xy 103.269787 95.85) + (xy 113.642078 95.85) + (xy 113.662043 95.976056) + (xy 113.705856 96.062043) + (xy 113.719984 96.089771) + (xy 113.810229 96.180016) + (xy 113.923943 96.237956) + (xy 113.923945 96.237957) + (xy 114.05 96.257922) + (xy 114.176055 96.237957) + (xy 114.289771 96.180016) + (xy 114.380016 96.089771) + (xy 114.437957 95.976055) + (xy 114.457922 95.85) + (xy 122.192078 95.85) + (xy 122.212043 95.976056) + (xy 122.255856 96.062043) + (xy 122.269984 96.089771) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.930016 96.089771) + (xy 122.987957 95.976055) + (xy 123.002379 95.885) + (xy 127.735078 95.885) + (xy 127.755043 96.011056) + (xy 127.801597 96.102422) + (xy 127.812984 96.124771) + (xy 127.903229 96.215016) + (xy 128.016943 96.272956) + (xy 128.016945 96.272957) + (xy 128.143 96.292922) + (xy 128.269055 96.272957) + (xy 128.382771 96.215016) + (xy 128.473016 96.124771) + (xy 128.530957 96.011055) + (xy 128.550922 95.885) + (xy 132.815078 95.885) + (xy 132.835043 96.011056) + (xy 132.881597 96.102422) + (xy 132.892984 96.124771) + (xy 132.983229 96.215016) + (xy 133.096943 96.272956) + (xy 133.096945 96.272957) + (xy 133.223 96.292922) + (xy 133.349055 96.272957) + (xy 133.462771 96.215016) + (xy 133.553016 96.124771) + (xy 133.610957 96.011055) + (xy 133.630922 95.885) + (xy 137.895078 95.885) + (xy 137.915043 96.011056) + (xy 137.961597 96.102422) + (xy 137.972984 96.124771) + (xy 138.063229 96.215016) + (xy 138.176943 96.272956) + (xy 138.176945 96.272957) + (xy 138.303 96.292922) + (xy 138.429055 96.272957) + (xy 138.542771 96.215016) + (xy 138.633016 96.124771) + (xy 138.690957 96.011055) + (xy 138.710922 95.885) + (xy 142.975078 95.885) + (xy 142.995043 96.011056) + (xy 143.041597 96.102422) + (xy 143.052984 96.124771) + (xy 143.143229 96.215016) + (xy 143.256943 96.272956) + (xy 143.256945 96.272957) + (xy 143.383 96.292922) + (xy 143.509055 96.272957) + (xy 143.622771 96.215016) + (xy 143.713016 96.124771) + (xy 143.770957 96.011055) + (xy 143.790922 95.885) + (xy 143.789505 95.876056) + (xy 143.781252 95.823945) + (xy 143.770957 95.758945) + (xy 143.713016 95.645229) + (xy 143.622771 95.554984) + (xy 143.509055 95.497043) + (xy 143.509057 95.497043) + (xy 143.383 95.477078) + (xy 143.256943 95.497043) + (xy 143.143228 95.554984) + (xy 143.052984 95.645228) + (xy 142.995043 95.758943) + (xy 142.975078 95.884999) + (xy 142.975078 95.885) + (xy 138.710922 95.885) + (xy 138.709505 95.876056) + (xy 138.701252 95.823945) + (xy 138.690957 95.758945) + (xy 138.633016 95.645229) + (xy 138.542771 95.554984) + (xy 138.429055 95.497043) + (xy 138.429057 95.497043) + (xy 138.303 95.477078) + (xy 138.176943 95.497043) + (xy 138.063228 95.554984) + (xy 137.972984 95.645228) + (xy 137.915043 95.758943) + (xy 137.895078 95.884999) + (xy 137.895078 95.885) + (xy 133.630922 95.885) + (xy 133.629505 95.876056) + (xy 133.621252 95.823945) + (xy 133.610957 95.758945) + (xy 133.553016 95.645229) + (xy 133.462771 95.554984) + (xy 133.349055 95.497043) + (xy 133.349057 95.497043) + (xy 133.223 95.477078) + (xy 133.096943 95.497043) + (xy 132.983228 95.554984) + (xy 132.892984 95.645228) + (xy 132.835043 95.758943) + (xy 132.815078 95.884999) + (xy 132.815078 95.885) + (xy 128.550922 95.885) + (xy 128.549505 95.876056) + (xy 128.541252 95.823945) + (xy 128.530957 95.758945) + (xy 128.473016 95.645229) + (xy 128.382771 95.554984) + (xy 128.269055 95.497043) + (xy 128.269057 95.497043) + (xy 128.143 95.477078) + (xy 128.016943 95.497043) + (xy 127.903228 95.554984) + (xy 127.812984 95.645228) + (xy 127.755043 95.758943) + (xy 127.735078 95.884999) + (xy 127.735078 95.885) + (xy 123.002379 95.885) + (xy 123.007922 95.85) + (xy 122.987957 95.723945) + (xy 122.930016 95.610229) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.360228 95.519984) + (xy 122.269984 95.610228) + (xy 122.212043 95.723943) + (xy 122.192078 95.849999) + (xy 122.192078 95.85) + (xy 114.457922 95.85) + (xy 114.437957 95.723945) + (xy 114.380016 95.610229) + (xy 114.289771 95.519984) + (xy 114.176055 95.462043) + (xy 114.176057 95.462043) + (xy 114.05 95.442078) + (xy 113.923943 95.462043) + (xy 113.810228 95.519984) + (xy 113.719984 95.610228) + (xy 113.662043 95.723943) + (xy 113.642078 95.849999) + (xy 113.642078 95.85) + (xy 103.269787 95.85) + (xy 103.189771 95.769984) + (xy 103.076055 95.712043) + (xy 103.076057 95.712043) + (xy 102.95 95.692078) + (xy 102.823943 95.712043) + (xy 102.710228 95.769984) + (xy 102.619984 95.860228) + (xy 102.562043 95.973943) + (xy 102.542078 96.099999) + (xy 102.542078 96.1) + (xy 100.819787 96.1) + (xy 100.789771 96.069984) + (xy 100.676055 96.012043) + (xy 100.676057 96.012043) + (xy 100.55 95.992078) + (xy 100.423943 96.012043) + (xy 100.310228 96.069984) + (xy 100.219984 96.160228) + (xy 100.162043 96.273943) + (xy 100.142078 96.399999) + (xy 100.142078 96.4) + (xy 87.600019 96.4) + (xy 87.55 96.392078) + (xy 87.423943 96.412043) + (xy 87.310228 96.469984) + (xy 87.219984 96.560228) + (xy 87.162043 96.673943) + (xy 87.142078 96.799999) + (xy 87.142078 96.8) + (xy 85.607922 96.8) + (xy 85.606014 96.787956) + (xy 85.601623 96.760228) + (xy 85.587957 96.673945) + (xy 85.530016 96.560229) + (xy 85.439771 96.469984) + (xy 85.326055 96.412043) + (xy 85.326057 96.412043) + (xy 85.2 96.392078) + (xy 85.073943 96.412043) + (xy 84.960228 96.469984) + (xy 84.869984 96.560228) + (xy 84.812043 96.673943) + (xy 84.792078 96.799999) + (xy 84.792078 96.8) + (xy 83.769787 96.8) + (xy 83.780016 96.789771) + (xy 83.837957 96.676055) + (xy 83.857922 96.55) + (xy 83.837957 96.423945) + (xy 83.780016 96.310229) + (xy 83.689771 96.219984) + (xy 83.576055 96.162043) + (xy 83.576057 96.162043) + (xy 83.45 96.142078) + (xy 83.323943 96.162043) + (xy 83.210228 96.219984) + (xy 83.119984 96.310228) + (xy 83.062043 96.423943) + (xy 83.042078 96.549999) + (xy 83.042078 96.55) + (xy 63.194613 96.55) + (xy 63.209422 96.4565) + (xy 63.189457 96.330445) + (xy 63.131516 96.216729) + (xy 63.041271 96.126484) + (xy 62.927555 96.068543) + (xy 62.927557 96.068543) + (xy 62.8015 96.048578) + (xy 62.675443 96.068543) + (xy 62.561728 96.126484) + (xy 62.471484 96.216728) + (xy 62.413543 96.330443) + (xy 62.393578 96.456499) + (xy 62.393578 96.4565) + (xy 51.963287 96.4565) + (xy 51.980016 96.439771) + (xy 52.037957 96.326055) + (xy 52.057922 96.2) + (xy 52.037957 96.073945) + (xy 51.980016 95.960229) + (xy 51.969787 95.95) + (xy 69.592078 95.95) + (xy 69.612043 96.076056) + (xy 69.650492 96.151516) + (xy 69.669984 96.189771) + (xy 69.760229 96.280016) + (xy 69.873943 96.337956) + (xy 69.873945 96.337957) + (xy 70 96.357922) + (xy 70.126055 96.337957) + (xy 70.239771 96.280016) + (xy 70.330016 96.189771) + (xy 70.387957 96.076055) + (xy 70.407922 95.95) + (xy 70.387957 95.823945) + (xy 70.386711 95.8215) + (xy 76.808078 95.8215) + (xy 76.828043 95.947556) + (xy 76.860398 96.011055) + (xy 76.885984 96.061271) + (xy 76.976229 96.151516) + (xy 77.089943 96.209456) + (xy 77.089945 96.209457) + (xy 77.216 96.229422) + (xy 77.342055 96.209457) + (xy 77.455771 96.151516) + (xy 77.546016 96.061271) + (xy 77.603957 95.947555) + (xy 77.623922 95.8215) + (xy 77.623772 95.820556) + (xy 77.614014 95.758943) + (xy 77.603957 95.695445) + (xy 77.603476 95.6945) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.872322 95.876056) + (xy 77.901984 95.934271) + (xy 77.992229 96.024516) + (xy 78.105943 96.082456) + (xy 78.105945 96.082457) + (xy 78.232 96.102422) + (xy 78.358055 96.082457) + (xy 78.471771 96.024516) + (xy 78.562016 95.934271) + (xy 78.619957 95.820555) + (xy 78.639922 95.6945) + (xy 79.602078 95.6945) + (xy 79.622043 95.820556) + (xy 79.650322 95.876056) + (xy 79.679984 95.934271) + (xy 79.770229 96.024516) + (xy 79.883943 96.082456) + (xy 79.883945 96.082457) + (xy 80.01 96.102422) + (xy 80.136055 96.082457) + (xy 80.249771 96.024516) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.729822 95.876056) + (xy 80.759484 95.934271) + (xy 80.849729 96.024516) + (xy 80.963443 96.082456) + (xy 80.963445 96.082457) + (xy 81.0895 96.102422) + (xy 81.215555 96.082457) + (xy 81.329271 96.024516) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.488632 95.75) + (xy 84.792078 95.75) + (xy 84.812043 95.876056) + (xy 84.841705 95.934271) + (xy 84.869984 95.989771) + (xy 84.960229 96.080016) + (xy 85.073943 96.137956) + (xy 85.073945 96.137957) + (xy 85.2 96.157922) + (xy 85.326055 96.137957) + (xy 85.439771 96.080016) + (xy 85.530016 95.989771) + (xy 85.587957 95.876055) + (xy 85.607922 95.75) + (xy 85.592084 95.65) + (xy 96.292078 95.65) + (xy 96.312043 95.776056) + (xy 96.335198 95.8215) + (xy 96.369984 95.889771) + (xy 96.460229 95.980016) + (xy 96.573943 96.037956) + (xy 96.573945 96.037957) + (xy 96.7 96.057922) + (xy 96.826055 96.037957) + (xy 96.939771 95.980016) + (xy 97.030016 95.889771) + (xy 97.05028 95.85) + (xy 97.392078 95.85) + (xy 97.412043 95.976056) + (xy 97.455856 96.062043) + (xy 97.469984 96.089771) + (xy 97.560229 96.180016) + (xy 97.673943 96.237956) + (xy 97.673945 96.237957) + (xy 97.8 96.257922) + (xy 97.926055 96.237957) + (xy 98.039771 96.180016) + (xy 98.130016 96.089771) + (xy 98.187957 95.976055) + (xy 98.207922 95.85) + (xy 98.187957 95.723945) + (xy 98.130016 95.610229) + (xy 98.039771 95.519984) + (xy 97.926055 95.462043) + (xy 97.926057 95.462043) + (xy 97.8 95.442078) + (xy 97.673943 95.462043) + (xy 97.560228 95.519984) + (xy 97.469984 95.610228) + (xy 97.412043 95.723943) + (xy 97.392078 95.849999) + (xy 97.392078 95.85) + (xy 97.05028 95.85) + (xy 97.087957 95.776055) + (xy 97.107922 95.65) + (xy 97.087957 95.523945) + (xy 97.030016 95.410229) + (xy 96.939771 95.319984) + (xy 96.826055 95.262043) + (xy 96.826057 95.262043) + (xy 96.7 95.242078) + (xy 96.573943 95.262043) + (xy 96.460228 95.319984) + (xy 96.369984 95.410228) + (xy 96.312043 95.523943) + (xy 96.292078 95.649999) + (xy 96.292078 95.65) + (xy 85.592084 95.65) + (xy 85.587957 95.623945) + (xy 85.530016 95.510229) + (xy 85.439771 95.419984) + (xy 85.326055 95.362043) + (xy 85.326057 95.362043) + (xy 85.2 95.342078) + (xy 85.073943 95.362043) + (xy 84.960228 95.419984) + (xy 84.869984 95.510228) + (xy 84.812043 95.623943) + (xy 84.792078 95.749999) + (xy 84.792078 95.75) + (xy 81.488632 95.75) + (xy 81.497422 95.6945) + (xy 81.497038 95.692078) + (xy 81.485022 95.616209) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.417922 95.6945) + (xy 80.417538 95.692078) + (xy 80.405522 95.616209) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694499) + (xy 79.602078 95.6945) + (xy 78.639922 95.6945) + (xy 78.639538 95.692078) + (xy 78.627522 95.616209) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 77.603476 95.6945) + (xy 77.546016 95.581729) + (xy 77.455771 95.491484) + (xy 77.342055 95.433543) + (xy 77.342057 95.433543) + (xy 77.216 95.413578) + (xy 77.089943 95.433543) + (xy 76.976228 95.491484) + (xy 76.885984 95.581728) + (xy 76.828043 95.695443) + (xy 76.808078 95.821499) + (xy 76.808078 95.8215) + (xy 70.386711 95.8215) + (xy 70.330016 95.710229) + (xy 70.239771 95.619984) + (xy 70.126055 95.562043) + (xy 70.126057 95.562043) + (xy 70 95.542078) + (xy 69.873943 95.562043) + (xy 69.760228 95.619984) + (xy 69.669984 95.710228) + (xy 69.612043 95.823943) + (xy 69.592078 95.949999) + (xy 69.592078 95.95) + (xy 51.969787 95.95) + (xy 51.889771 95.869984) + (xy 51.776055 95.812043) + (xy 51.776057 95.812043) + (xy 51.65 95.792078) + (xy 51.523943 95.812043) + (xy 51.410228 95.869984) + (xy 51.319984 95.960228) + (xy 51.262043 96.073943) + (xy 51.242078 96.199999) + (xy 51.242078 96.2) + (xy 46.101 96.2) + (xy 46.101 95.2) + (xy 49.092443 95.2) + (xy 49.110977 95.328911) + (xy 49.165078 95.447373) + (xy 49.16508 95.447376) + (xy 49.250366 95.545801) + (xy 49.328668 95.596121) + (xy 49.35062 95.610229) + (xy 49.359926 95.616209) + (xy 49.484883 95.6529) + (xy 49.615117 95.6529) + (xy 49.740073 95.616209) + (xy 49.740073 95.616208) + (xy 49.740076 95.616208) + (xy 49.849636 95.545799) + (xy 49.934921 95.447374) + (xy 49.989023 95.328909) + (xy 50.007557 95.2) + (xy 49.996486 95.123) + (xy 53.440078 95.123) + (xy 53.460043 95.249056) + (xy 53.50744 95.342078) + (xy 53.517984 95.362771) + (xy 53.608229 95.453016) + (xy 53.721943 95.510956) + (xy 53.721945 95.510957) + (xy 53.848 95.530922) + (xy 53.974055 95.510957) + (xy 54.087771 95.453016) + (xy 54.178016 95.362771) + (xy 54.235957 95.249055) + (xy 54.255922 95.123) + (xy 54.252738 95.1029) + (xy 54.246927 95.066208) + (xy 54.245865 95.0595) + (xy 55.980078 95.0595) + (xy 56.000043 95.185556) + (xy 56.057984 95.299271) + (xy 56.148229 95.389516) + (xy 56.261943 95.447456) + (xy 56.261945 95.447457) + (xy 56.388 95.467422) + (xy 56.514055 95.447457) + (xy 56.627771 95.389516) + (xy 56.718016 95.299271) + (xy 56.775957 95.185555) + (xy 56.795922 95.0595) + (xy 61.695078 95.0595) + (xy 61.715043 95.185556) + (xy 61.772984 95.299271) + (xy 61.863229 95.389516) + (xy 61.976943 95.447456) + (xy 61.976945 95.447457) + (xy 62.103 95.467422) + (xy 62.229055 95.447457) + (xy 62.342771 95.389516) + (xy 62.433016 95.299271) + (xy 62.490957 95.185555) + (xy 62.510922 95.0595) + (xy 62.490957 94.933445) + (xy 62.44844 94.85) + (xy 85.992078 94.85) + (xy 86.012043 94.976056) + (xy 86.060466 95.071091) + (xy 86.069984 95.089771) + (xy 86.160229 95.180016) + (xy 86.273943 95.237956) + (xy 86.273945 95.237957) + (xy 86.4 95.257922) + (xy 86.526055 95.237957) + (xy 86.639771 95.180016) + (xy 86.730016 95.089771) + (xy 86.787957 94.976055) + (xy 86.800003 94.9) + (xy 89.242078 94.9) + (xy 89.262043 95.026056) + (xy 89.279084 95.0595) + (xy 89.319984 95.139771) + (xy 89.410229 95.230016) + (xy 89.523943 95.287956) + (xy 89.523945 95.287957) + (xy 89.65 95.307922) + (xy 89.776055 95.287957) + (xy 89.889771 95.230016) + (xy 89.980016 95.139771) + (xy 90.037957 95.026055) + (xy 90.042084 95) + (xy 90.392078 95) + (xy 90.412043 95.126056) + (xy 90.44236 95.185556) + (xy 90.469984 95.239771) + (xy 90.560229 95.330016) + (xy 90.673943 95.387956) + (xy 90.673945 95.387957) + (xy 90.8 95.407922) + (xy 90.926055 95.387957) + (xy 91.039771 95.330016) + (xy 91.130016 95.239771) + (xy 91.187957 95.126055) + (xy 91.207922 95) + (xy 91.192084 94.9) + (xy 94.142078 94.9) + (xy 94.162043 95.026056) + (xy 94.179084 95.0595) + (xy 94.219984 95.139771) + (xy 94.310229 95.230016) + (xy 94.423943 95.287956) + (xy 94.423945 95.287957) + (xy 94.55 95.307922) + (xy 94.676055 95.287957) + (xy 94.789771 95.230016) + (xy 94.880016 95.139771) + (xy 94.937957 95.026055) + (xy 94.957922 94.9) + (xy 95.642078 94.9) + (xy 95.662043 95.026056) + (xy 95.679084 95.0595) + (xy 95.719984 95.139771) + (xy 95.810229 95.230016) + (xy 95.923943 95.287956) + (xy 95.923945 95.287957) + (xy 96.05 95.307922) + (xy 96.176055 95.287957) + (xy 96.289771 95.230016) + (xy 96.380016 95.139771) + (xy 96.437957 95.026055) + (xy 96.457922 94.9) + (xy 97.042078 94.9) + (xy 97.062043 95.026056) + (xy 97.079084 95.0595) + (xy 97.119984 95.139771) + (xy 97.210229 95.230016) + (xy 97.323943 95.287956) + (xy 97.323945 95.287957) + (xy 97.45 95.307922) + (xy 97.576055 95.287957) + (xy 97.689771 95.230016) + (xy 97.780016 95.139771) + (xy 97.837957 95.026055) + (xy 97.857922 94.9) + (xy 98.742078 94.9) + (xy 98.762043 95.026056) + (xy 98.779084 95.0595) + (xy 98.819984 95.139771) + (xy 98.910229 95.230016) + (xy 99.023943 95.287956) + (xy 99.023945 95.287957) + (xy 99.15 95.307922) + (xy 99.276055 95.287957) + (xy 99.389771 95.230016) + (xy 99.480016 95.139771) + (xy 99.525756 95.05) + (xy 114.292078 95.05) + (xy 114.312043 95.176056) + (xy 114.344508 95.239771) + (xy 114.369984 95.289771) + (xy 114.460229 95.380016) + (xy 114.573943 95.437956) + (xy 114.573945 95.437957) + (xy 114.7 95.457922) + (xy 114.826055 95.437957) + (xy 114.939771 95.380016) + (xy 115.030016 95.289771) + (xy 115.087957 95.176055) + (xy 115.107922 95.05) + (xy 122.842078 95.05) + (xy 122.862043 95.176056) + (xy 122.894508 95.239771) + (xy 122.919984 95.289771) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.580016 95.289771) + (xy 123.637957 95.176055) + (xy 123.650003 95.1) + (xy 125.892329 95.1) + (xy 125.911331 95.244337) + (xy 125.928828 95.286578) + (xy 125.967043 95.378836) + (xy 125.967044 95.378838) + (xy 125.967045 95.378839) + (xy 126.055666 95.494333) + (xy 126.169562 95.581728) + (xy 126.171164 95.582957) + (xy 126.305664 95.638669) + (xy 126.45 95.657671) + (xy 126.594336 95.638669) + (xy 126.728836 95.582957) + (xy 126.844333 95.494333) + (xy 126.932957 95.378836) + (xy 126.988669 95.244336) + (xy 127.007671 95.1) + (xy 126.988669 94.955664) + (xy 126.932957 94.821165) + (xy 126.931855 94.819729) + (xy 126.844333 94.705666) + (xy 126.728839 94.617045) + (xy 126.728838 94.617044) + (xy 126.728836 94.617043) + (xy 126.639443 94.580015) + (xy 126.594337 94.561331) + (xy 126.45 94.542329) + (xy 126.305662 94.561331) + (xy 126.194238 94.607485) + (xy 126.176098 94.615) + (xy 126.171163 94.617044) + (xy 126.171162 94.617044) + (xy 126.055666 94.705666) + (xy 125.967044 94.821162) + (xy 125.967044 94.821163) + (xy 125.911331 94.955662) + (xy 125.892329 95.1) + (xy 123.650003 95.1) + (xy 123.657922 95.05) + (xy 123.637957 94.923945) + (xy 123.580016 94.810229) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.010228 94.719984) + (xy 122.919984 94.810228) + (xy 122.862043 94.923943) + (xy 122.842078 95.049999) + (xy 122.842078 95.05) + (xy 115.107922 95.05) + (xy 115.087957 94.923945) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.826055 94.662043) + (xy 114.826057 94.662043) + (xy 114.7 94.642078) + (xy 114.573943 94.662043) + (xy 114.460228 94.719984) + (xy 114.369984 94.810228) + (xy 114.312043 94.923943) + (xy 114.292078 95.049999) + (xy 114.292078 95.05) + (xy 99.525756 95.05) + (xy 99.537957 95.026055) + (xy 99.557922 94.9) + (xy 99.557506 94.897376) + (xy 99.550003 94.849999) + (xy 99.537957 94.773945) + (xy 99.480016 94.660229) + (xy 99.389771 94.569984) + (xy 99.276055 94.512043) + (xy 99.276057 94.512043) + (xy 99.15 94.492078) + (xy 99.023943 94.512043) + (xy 98.910228 94.569984) + (xy 98.819984 94.660228) + (xy 98.762043 94.773943) + (xy 98.742078 94.899999) + (xy 98.742078 94.9) + (xy 97.857922 94.9) + (xy 97.857506 94.897376) + (xy 97.850003 94.849999) + (xy 97.837957 94.773945) + (xy 97.780016 94.660229) + (xy 97.689771 94.569984) + (xy 97.576055 94.512043) + (xy 97.576057 94.512043) + (xy 97.45 94.492078) + (xy 97.323943 94.512043) + (xy 97.210228 94.569984) + (xy 97.119984 94.660228) + (xy 97.062043 94.773943) + (xy 97.042078 94.899999) + (xy 97.042078 94.9) + (xy 96.457922 94.9) + (xy 96.457506 94.897376) + (xy 96.450003 94.849999) + (xy 96.437957 94.773945) + (xy 96.380016 94.660229) + (xy 96.289771 94.569984) + (xy 96.176055 94.512043) + (xy 96.176057 94.512043) + (xy 96.05 94.492078) + (xy 95.923943 94.512043) + (xy 95.810228 94.569984) + (xy 95.719984 94.660228) + (xy 95.662043 94.773943) + (xy 95.642078 94.899999) + (xy 95.642078 94.9) + (xy 94.957922 94.9) + (xy 94.957506 94.897376) + (xy 94.950003 94.849999) + (xy 94.937957 94.773945) + (xy 94.880016 94.660229) + (xy 94.789771 94.569984) + (xy 94.676055 94.512043) + (xy 94.676057 94.512043) + (xy 94.55 94.492078) + (xy 94.423943 94.512043) + (xy 94.310228 94.569984) + (xy 94.219984 94.660228) + (xy 94.162043 94.773943) + (xy 94.142078 94.899999) + (xy 94.142078 94.9) + (xy 91.192084 94.9) + (xy 91.187957 94.873945) + (xy 91.130016 94.760229) + (xy 91.039771 94.669984) + (xy 90.926055 94.612043) + (xy 90.926057 94.612043) + (xy 90.8 94.592078) + (xy 90.673943 94.612043) + (xy 90.560228 94.669984) + (xy 90.469984 94.760228) + (xy 90.412043 94.873943) + (xy 90.392078 94.999999) + (xy 90.392078 95) + (xy 90.042084 95) + (xy 90.057922 94.9) + (xy 90.057506 94.897376) + (xy 90.050003 94.849999) + (xy 90.037957 94.773945) + (xy 89.980016 94.660229) + (xy 89.889771 94.569984) + (xy 89.776055 94.512043) + (xy 89.776057 94.512043) + (xy 89.65 94.492078) + (xy 89.523943 94.512043) + (xy 89.410228 94.569984) + (xy 89.319984 94.660228) + (xy 89.262043 94.773943) + (xy 89.242078 94.899999) + (xy 89.242078 94.9) + (xy 86.800003 94.9) + (xy 86.807922 94.85) + (xy 86.787957 94.723945) + (xy 86.730016 94.610229) + (xy 86.639771 94.519984) + (xy 86.526055 94.462043) + (xy 86.526057 94.462043) + (xy 86.4 94.442078) + (xy 86.273943 94.462043) + (xy 86.160228 94.519984) + (xy 86.069984 94.610228) + (xy 86.012043 94.723943) + (xy 85.992078 94.849999) + (xy 85.992078 94.85) + (xy 62.44844 94.85) + (xy 62.433016 94.819729) + (xy 62.342771 94.729484) + (xy 62.229055 94.671543) + (xy 62.229057 94.671543) + (xy 62.103 94.651578) + (xy 61.976943 94.671543) + (xy 61.863228 94.729484) + (xy 61.772984 94.819728) + (xy 61.715043 94.933443) + (xy 61.695078 95.059499) + (xy 61.695078 95.0595) + (xy 56.795922 95.0595) + (xy 56.775957 94.933445) + (xy 56.718016 94.819729) + (xy 56.627771 94.729484) + (xy 56.514055 94.671543) + (xy 56.514057 94.671543) + (xy 56.388 94.651578) + (xy 56.261943 94.671543) + (xy 56.148228 94.729484) + (xy 56.057984 94.819728) + (xy 56.000043 94.933443) + (xy 55.980078 95.059499) + (xy 55.980078 95.0595) + (xy 54.245865 95.0595) + (xy 54.235957 94.996945) + (xy 54.178016 94.883229) + (xy 54.087771 94.792984) + (xy 53.974055 94.735043) + (xy 53.974057 94.735043) + (xy 53.848 94.715078) + (xy 53.721943 94.735043) + (xy 53.608228 94.792984) + (xy 53.517984 94.883228) + (xy 53.460043 94.996943) + (xy 53.440078 95.122999) + (xy 53.440078 95.123) + (xy 49.996486 95.123) + (xy 49.989023 95.071091) + (xy 49.986793 95.066209) + (xy 49.934921 94.952626) + (xy 49.934919 94.952623) + (xy 49.873304 94.881516) + (xy 49.849636 94.854201) + (xy 49.849635 94.8542) + (xy 49.849633 94.854198) + (xy 49.740073 94.78379) + (xy 49.615117 94.7471) + (xy 49.484883 94.7471) + (xy 49.359926 94.78379) + (xy 49.250366 94.854198) + (xy 49.16508 94.952623) + (xy 49.165078 94.952626) + (xy 49.110977 95.071088) + (xy 49.092443 95.2) + (xy 46.101 95.2) + (xy 46.101 94.65) + (xy 46.542443 94.65) + (xy 46.560977 94.778911) + (xy 46.615078 94.897373) + (xy 46.61508 94.897376) + (xy 46.700366 94.995801) + (xy 46.747445 95.026056) + (xy 46.799484 95.059499) + (xy 46.809926 95.066209) + (xy 46.934883 95.1029) + (xy 47.065117 95.1029) + (xy 47.190073 95.066209) + (xy 47.190073 95.066208) + (xy 47.190076 95.066208) + (xy 47.299636 94.995799) + (xy 47.384921 94.897374) + (xy 47.439023 94.778909) + (xy 47.457557 94.65) + (xy 47.452525 94.615) + (xy 50.265078 94.615) + (xy 50.285043 94.741056) + (xy 50.310629 94.791271) + (xy 50.342984 94.854771) + (xy 50.433229 94.945016) + (xy 50.546943 95.002956) + (xy 50.546945 95.002957) + (xy 50.673 95.022922) + (xy 50.799055 95.002957) + (xy 50.912771 94.945016) + (xy 51.003016 94.854771) + (xy 51.060957 94.741055) + (xy 51.080922 94.615) + (xy 51.070865 94.5515) + (xy 51.916078 94.5515) + (xy 51.936043 94.677556) + (xy 51.978167 94.760228) + (xy 51.993984 94.791271) + (xy 52.084229 94.881516) + (xy 52.197943 94.939456) + (xy 52.197945 94.939457) + (xy 52.324 94.959422) + (xy 52.450055 94.939457) + (xy 52.563771 94.881516) + (xy 52.654016 94.791271) + (xy 52.711957 94.677555) + (xy 52.731922 94.5515) + (xy 52.730469 94.542329) + (xy 52.725673 94.512043) + (xy 52.711957 94.425445) + (xy 52.673822 94.3506) + (xy 54.992078 94.3506) + (xy 55.012043 94.476656) + (xy 55.034684 94.521091) + (xy 55.069984 94.590371) + (xy 55.160229 94.680616) + (xy 55.273943 94.738556) + (xy 55.273945 94.738557) + (xy 55.4 94.758522) + (xy 55.526055 94.738557) + (xy 55.639771 94.680616) + (xy 55.730016 94.590371) + (xy 55.787957 94.476655) + (xy 55.807922 94.3506) + (xy 55.791989 94.25) + (xy 113.642078 94.25) + (xy 113.662043 94.376056) + (xy 113.687207 94.425443) + (xy 113.719984 94.489771) + (xy 113.810229 94.580016) + (xy 113.923943 94.637956) + (xy 113.923945 94.637957) + (xy 114.05 94.657922) + (xy 114.176055 94.637957) + (xy 114.289771 94.580016) + (xy 114.380016 94.489771) + (xy 114.437957 94.376055) + (xy 114.457922 94.25) + (xy 122.192078 94.25) + (xy 122.212043 94.376056) + (xy 122.237207 94.425443) + (xy 122.269984 94.489771) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.930016 94.489771) + (xy 122.987957 94.376055) + (xy 123.007922 94.25) + (xy 122.992084 94.15) + (xy 125.192078 94.15) + (xy 125.212043 94.276056) + (xy 125.262995 94.376055) + (xy 125.269984 94.389771) + (xy 125.360229 94.480016) + (xy 125.473943 94.537956) + (xy 125.473945 94.537957) + (xy 125.6 94.557922) + (xy 125.726055 94.537957) + (xy 125.839771 94.480016) + (xy 125.930016 94.389771) + (xy 125.987957 94.276055) + (xy 126.007922 94.15) + (xy 125.987957 94.023945) + (xy 125.930016 93.910229) + (xy 125.919787 93.9) + (xy 126.892329 93.9) + (xy 126.908646 94.023945) + (xy 126.911331 94.044336) + (xy 126.967043 94.178836) + (xy 126.967044 94.178838) + (xy 126.967045 94.178839) + (xy 127.055666 94.294333) + (xy 127.161091 94.375228) + (xy 127.171164 94.382957) + (xy 127.305664 94.438669) + (xy 127.45 94.457671) + (xy 127.594336 94.438669) + (xy 127.728836 94.382957) + (xy 127.844333 94.294333) + (xy 127.932957 94.178836) + (xy 127.988669 94.044336) + (xy 128.007671 93.9) + (xy 127.988669 93.755664) + (xy 127.932957 93.621165) + (xy 127.915225 93.598056) + (xy 127.844333 93.505666) + (xy 127.728839 93.417045) + (xy 127.728838 93.417044) + (xy 127.728836 93.417043) + (xy 127.624784 93.373943) + (xy 127.594337 93.361331) + (xy 127.470289 93.345) + (xy 130.275078 93.345) + (xy 130.295043 93.471056) + (xy 130.350561 93.580015) + (xy 130.352984 93.584771) + (xy 130.443229 93.675016) + (xy 130.556943 93.732956) + (xy 130.556945 93.732957) + (xy 130.683 93.752922) + (xy 130.809055 93.732957) + (xy 130.922771 93.675016) + (xy 131.013016 93.584771) + (xy 131.070957 93.471055) + (xy 131.090922 93.345) + (xy 135.355078 93.345) + (xy 135.375043 93.471056) + (xy 135.430561 93.580015) + (xy 135.432984 93.584771) + (xy 135.523229 93.675016) + (xy 135.636943 93.732956) + (xy 135.636945 93.732957) + (xy 135.763 93.752922) + (xy 135.889055 93.732957) + (xy 136.002771 93.675016) + (xy 136.093016 93.584771) + (xy 136.150957 93.471055) + (xy 136.170922 93.345) + (xy 140.435078 93.345) + (xy 140.455043 93.471056) + (xy 140.510561 93.580015) + (xy 140.512984 93.584771) + (xy 140.603229 93.675016) + (xy 140.716943 93.732956) + (xy 140.716945 93.732957) + (xy 140.843 93.752922) + (xy 140.969055 93.732957) + (xy 141.082771 93.675016) + (xy 141.173016 93.584771) + (xy 141.230957 93.471055) + (xy 141.250922 93.345) + (xy 141.230957 93.218945) + (xy 141.173016 93.105229) + (xy 141.082771 93.014984) + (xy 140.969055 92.957043) + (xy 140.969057 92.957043) + (xy 140.843 92.937078) + (xy 140.716943 92.957043) + (xy 140.603228 93.014984) + (xy 140.512984 93.105228) + (xy 140.455043 93.218943) + (xy 140.435078 93.344999) + (xy 140.435078 93.345) + (xy 136.170922 93.345) + (xy 136.150957 93.218945) + (xy 136.093016 93.105229) + (xy 136.002771 93.014984) + (xy 135.889055 92.957043) + (xy 135.889057 92.957043) + (xy 135.763 92.937078) + (xy 135.636943 92.957043) + (xy 135.523228 93.014984) + (xy 135.432984 93.105228) + (xy 135.375043 93.218943) + (xy 135.355078 93.344999) + (xy 135.355078 93.345) + (xy 131.090922 93.345) + (xy 131.070957 93.218945) + (xy 131.013016 93.105229) + (xy 130.922771 93.014984) + (xy 130.809055 92.957043) + (xy 130.809057 92.957043) + (xy 130.683 92.937078) + (xy 130.556943 92.957043) + (xy 130.443228 93.014984) + (xy 130.352984 93.105228) + (xy 130.295043 93.218943) + (xy 130.275078 93.344999) + (xy 130.275078 93.345) + (xy 127.470289 93.345) + (xy 127.45 93.342329) + (xy 127.305662 93.361331) + (xy 127.171163 93.417044) + (xy 127.171162 93.417044) + (xy 127.055666 93.505666) + (xy 126.967044 93.621162) + (xy 126.967044 93.621163) + (xy 126.967043 93.621164) + (xy 126.967043 93.621165) + (xy 126.965017 93.626056) + (xy 126.911331 93.755662) + (xy 126.892329 93.9) + (xy 125.919787 93.9) + (xy 125.839771 93.819984) + (xy 125.726055 93.762043) + (xy 125.726057 93.762043) + (xy 125.6 93.742078) + (xy 125.473943 93.762043) + (xy 125.360228 93.819984) + (xy 125.269984 93.910228) + (xy 125.212043 94.023943) + (xy 125.192078 94.149999) + (xy 125.192078 94.15) + (xy 122.992084 94.15) + (xy 122.987957 94.123945) + (xy 122.930016 94.010229) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.360228 93.919984) + (xy 122.269984 94.010228) + (xy 122.212043 94.123943) + (xy 122.192078 94.249999) + (xy 122.192078 94.25) + (xy 114.457922 94.25) + (xy 114.437957 94.123945) + (xy 114.380016 94.010229) + (xy 114.289771 93.919984) + (xy 114.176055 93.862043) + (xy 114.176057 93.862043) + (xy 114.05 93.842078) + (xy 113.923943 93.862043) + (xy 113.810228 93.919984) + (xy 113.719984 94.010228) + (xy 113.662043 94.123943) + (xy 113.642078 94.249999) + (xy 113.642078 94.25) + (xy 55.791989 94.25) + (xy 55.787957 94.224545) + (xy 55.730016 94.110829) + (xy 55.639771 94.020584) + (xy 55.526055 93.962643) + (xy 55.526057 93.962643) + (xy 55.4 93.942678) + (xy 55.273943 93.962643) + (xy 55.160228 94.020584) + (xy 55.069984 94.110828) + (xy 55.012043 94.224543) + (xy 54.992078 94.350599) + (xy 54.992078 94.3506) + (xy 52.673822 94.3506) + (xy 52.654016 94.311729) + (xy 52.563771 94.221484) + (xy 52.450055 94.163543) + (xy 52.450057 94.163543) + (xy 52.324 94.143578) + (xy 52.197943 94.163543) + (xy 52.084228 94.221484) + (xy 51.993984 94.311728) + (xy 51.936043 94.425443) + (xy 51.916078 94.551499) + (xy 51.916078 94.5515) + (xy 51.070865 94.5515) + (xy 51.060957 94.488945) + (xy 51.003016 94.375229) + (xy 50.912771 94.284984) + (xy 50.799055 94.227043) + (xy 50.799057 94.227043) + (xy 50.673 94.207078) + (xy 50.546943 94.227043) + (xy 50.433228 94.284984) + (xy 50.342984 94.375228) + (xy 50.285043 94.488943) + (xy 50.265078 94.614999) + (xy 50.265078 94.615) + (xy 47.452525 94.615) + (xy 47.439023 94.521091) + (xy 47.438517 94.519984) + (xy 47.384921 94.402626) + (xy 47.384919 94.402623) + (xy 47.299633 94.304198) + (xy 47.190073 94.23379) + (xy 47.065117 94.1971) + (xy 46.934883 94.1971) + (xy 46.809926 94.23379) + (xy 46.700366 94.304198) + (xy 46.61508 94.402623) + (xy 46.615078 94.402626) + (xy 46.560977 94.521088) + (xy 46.542443 94.65) + (xy 46.101 94.65) + (xy 46.101 93.917481) + (xy 46.118593 93.869143) + (xy 46.163142 93.843423) + (xy 46.210341 93.850478) + (xy 46.228942 93.859956) + (xy 46.228943 93.859956) + (xy 46.228945 93.859957) + (xy 46.355 93.879922) + (xy 46.481055 93.859957) + (xy 46.594771 93.802016) + (xy 46.685016 93.711771) + (xy 46.742957 93.598055) + (xy 46.762922 93.472) + (xy 46.762772 93.471056) + (xy 46.747726 93.376056) + (xy 46.742957 93.345945) + (xy 46.742476 93.345) + (xy 49.566578 93.345) + (xy 49.586543 93.471056) + (xy 49.642061 93.580015) + (xy 49.644484 93.584771) + (xy 49.734729 93.675016) + (xy 49.848443 93.732956) + (xy 49.848445 93.732957) + (xy 49.9745 93.752922) + (xy 50.100555 93.732957) + (xy 50.214271 93.675016) + (xy 50.304516 93.584771) + (xy 50.362457 93.471055) + (xy 50.382422 93.345) + (xy 64.235078 93.345) + (xy 64.255043 93.471056) + (xy 64.310561 93.580015) + (xy 64.312984 93.584771) + (xy 64.403229 93.675016) + (xy 64.516943 93.732956) + (xy 64.516945 93.732957) + (xy 64.643 93.752922) + (xy 64.769055 93.732957) + (xy 64.882771 93.675016) + (xy 64.973016 93.584771) + (xy 65.016209 93.5) + (xy 81.992078 93.5) + (xy 82.012043 93.626056) + (xy 82.018107 93.637957) + (xy 82.069984 93.739771) + (xy 82.160229 93.830016) + (xy 82.273943 93.887956) + (xy 82.273945 93.887957) + (xy 82.4 93.907922) + (xy 82.526055 93.887957) + (xy 82.639771 93.830016) + (xy 82.730016 93.739771) + (xy 82.787957 93.626055) + (xy 82.807922 93.5) + (xy 82.787957 93.373945) + (xy 82.730016 93.260229) + (xy 82.719787 93.25) + (xy 86.842078 93.25) + (xy 86.862043 93.376056) + (xy 86.882927 93.417043) + (xy 86.919984 93.489771) + (xy 87.010229 93.580016) + (xy 87.123943 93.637956) + (xy 87.123945 93.637957) + (xy 87.25 93.657922) + (xy 87.376055 93.637957) + (xy 87.489771 93.580016) + (xy 87.580016 93.489771) + (xy 87.60028 93.45) + (xy 114.292078 93.45) + (xy 114.312043 93.576056) + (xy 114.353756 93.657922) + (xy 114.369984 93.689771) + (xy 114.460229 93.780016) + (xy 114.573943 93.837956) + (xy 114.573945 93.837957) + (xy 114.7 93.857922) + (xy 114.826055 93.837957) + (xy 114.939771 93.780016) + (xy 115.030016 93.689771) + (xy 115.087957 93.576055) + (xy 115.107922 93.45) + (xy 121.542078 93.45) + (xy 121.562043 93.576056) + (xy 121.603756 93.657922) + (xy 121.619984 93.689771) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.280016 93.689771) + (xy 122.337957 93.576055) + (xy 122.357922 93.45) + (xy 122.337957 93.323945) + (xy 122.280016 93.210229) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.710228 93.119984) + (xy 121.619984 93.210228) + (xy 121.562043 93.323943) + (xy 121.542078 93.449999) + (xy 121.542078 93.45) + (xy 115.107922 93.45) + (xy 115.087957 93.323945) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.460228 93.119984) + (xy 114.369984 93.210228) + (xy 114.312043 93.323943) + (xy 114.292078 93.449999) + (xy 114.292078 93.45) + (xy 87.60028 93.45) + (xy 87.637957 93.376055) + (xy 87.657922 93.25) + (xy 87.637957 93.123945) + (xy 87.580016 93.010229) + (xy 87.489771 92.919984) + (xy 87.376055 92.862043) + (xy 87.376057 92.862043) + (xy 87.25 92.842078) + (xy 87.123943 92.862043) + (xy 87.010228 92.919984) + (xy 86.919984 93.010228) + (xy 86.862043 93.123943) + (xy 86.842078 93.249999) + (xy 86.842078 93.25) + (xy 82.719787 93.25) + (xy 82.639771 93.169984) + (xy 82.526055 93.112043) + (xy 82.526057 93.112043) + (xy 82.4 93.092078) + (xy 82.273943 93.112043) + (xy 82.160228 93.169984) + (xy 82.069984 93.260228) + (xy 82.012043 93.373943) + (xy 81.992078 93.499999) + (xy 81.992078 93.5) + (xy 65.016209 93.5) + (xy 65.030957 93.471055) + (xy 65.050922 93.345) + (xy 65.030957 93.218945) + (xy 64.973016 93.105229) + (xy 64.882771 93.014984) + (xy 64.769055 92.957043) + (xy 64.769057 92.957043) + (xy 64.643 92.937078) + (xy 64.516943 92.957043) + (xy 64.403228 93.014984) + (xy 64.312984 93.105228) + (xy 64.255043 93.218943) + (xy 64.235078 93.344999) + (xy 64.235078 93.345) + (xy 50.382422 93.345) + (xy 50.362457 93.218945) + (xy 50.304516 93.105229) + (xy 50.214271 93.014984) + (xy 50.100555 92.957043) + (xy 50.100557 92.957043) + (xy 49.9745 92.937078) + (xy 49.848443 92.957043) + (xy 49.734728 93.014984) + (xy 49.644484 93.105228) + (xy 49.586543 93.218943) + (xy 49.566578 93.344999) + (xy 49.566578 93.345) + (xy 46.742476 93.345) + (xy 46.685016 93.232229) + (xy 46.594771 93.141984) + (xy 46.481055 93.084043) + (xy 46.481057 93.084043) + (xy 46.355 93.064078) + (xy 46.354999 93.064078) + (xy 46.309862 93.071227) + (xy 46.259367 93.061412) + (xy 46.226995 93.021436) + (xy 46.224359 92.982203) + (xy 46.226026 92.973865) + (xy 46.232501 92.954996) + (xy 46.259749 92.9005) + (xy 56.996078 92.9005) + (xy 57.016043 93.026556) + (xy 57.073984 93.140271) + (xy 57.164229 93.230516) + (xy 57.277943 93.288456) + (xy 57.277945 93.288457) + (xy 57.404 93.308422) + (xy 57.530055 93.288457) + (xy 57.643771 93.230516) + (xy 57.734016 93.140271) + (xy 57.791957 93.026555) + (xy 57.811922 92.9005) + (xy 58.774078 92.9005) + (xy 58.794043 93.026556) + (xy 58.851984 93.140271) + (xy 58.942229 93.230516) + (xy 59.055943 93.288456) + (xy 59.055945 93.288457) + (xy 59.182 93.308422) + (xy 59.308055 93.288457) + (xy 59.421771 93.230516) + (xy 59.512016 93.140271) + (xy 59.569957 93.026555) + (xy 59.589922 92.9005) + (xy 59.589766 92.899518) + (xy 59.580669 92.842078) + (xy 59.569957 92.774445) + (xy 59.512016 92.660729) + (xy 59.421771 92.570484) + (xy 59.308055 92.512543) + (xy 59.308057 92.512543) + (xy 59.182 92.492578) + (xy 59.055943 92.512543) + (xy 58.942228 92.570484) + (xy 58.851984 92.660728) + (xy 58.794043 92.774443) + (xy 58.774078 92.900499) + (xy 58.774078 92.9005) + (xy 57.811922 92.9005) + (xy 57.811766 92.899518) + (xy 57.802669 92.842078) + (xy 57.791957 92.774445) + (xy 57.734016 92.660729) + (xy 57.643771 92.570484) + (xy 57.530055 92.512543) + (xy 57.530057 92.512543) + (xy 57.404 92.492578) + (xy 57.277943 92.512543) + (xy 57.164228 92.570484) + (xy 57.073984 92.660728) + (xy 57.016043 92.774443) + (xy 56.996078 92.900499) + (xy 56.996078 92.9005) + (xy 46.259749 92.9005) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 47.088 91.85) + (xy 49.942078 91.85) + (xy 49.962043 91.976056) + (xy 50.01445 92.078909) + (xy 50.019984 92.089771) + (xy 50.110229 92.180016) + (xy 50.223943 92.237956) + (xy 50.223945 92.237957) + (xy 50.35 92.257922) + (xy 50.476055 92.237957) + (xy 50.589771 92.180016) + (xy 50.680016 92.089771) + (xy 50.737957 91.976055) + (xy 50.757922 91.85) + (xy 50.737957 91.723945) + (xy 50.700586 91.6506) + (xy 51.692078 91.6506) + (xy 51.712043 91.776656) + (xy 51.763448 91.877543) + (xy 51.769984 91.890371) + (xy 51.860229 91.980616) + (xy 51.973943 92.038556) + (xy 51.973945 92.038557) + (xy 52.1 92.058522) + (xy 52.226055 92.038557) + (xy 52.339771 91.980616) + (xy 52.430016 91.890371) + (xy 52.433007 91.8845) + (xy 57.885078 91.8845) + (xy 57.905043 92.010556) + (xy 57.9608 92.119984) + (xy 57.962984 92.124271) + (xy 58.053229 92.214516) + (xy 58.166943 92.272456) + (xy 58.166945 92.272457) + (xy 58.293 92.292422) + (xy 58.419055 92.272457) + (xy 58.432709 92.2655) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.474822 92.45) + (xy 60.502984 92.505271) + (xy 60.593229 92.595516) + (xy 60.706943 92.653456) + (xy 60.706945 92.653457) + (xy 60.833 92.673422) + (xy 60.959055 92.653457) + (xy 61.072771 92.595516) + (xy 61.163016 92.505271) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240772 92.264556) + (xy 61.229293 92.192078) + (xy 61.220957 92.139445) + (xy 61.220476 92.1385) + (xy 63.346078 92.1385) + (xy 63.366043 92.264556) + (xy 63.399396 92.330015) + (xy 63.423984 92.378271) + (xy 63.514229 92.468516) + (xy 63.627943 92.526456) + (xy 63.627945 92.526457) + (xy 63.754 92.546422) + (xy 63.880055 92.526457) + (xy 63.993771 92.468516) + (xy 64.084016 92.378271) + (xy 64.123897 92.3) + (xy 85.892078 92.3) + (xy 85.912043 92.426056) + (xy 85.933677 92.468515) + (xy 85.969984 92.539771) + (xy 86.060229 92.630016) + (xy 86.173943 92.687956) + (xy 86.173945 92.687957) + (xy 86.3 92.707922) + (xy 86.426055 92.687957) + (xy 86.539771 92.630016) + (xy 86.619787 92.55) + (xy 89.242078 92.55) + (xy 89.262043 92.676056) + (xy 89.294508 92.739771) + (xy 89.319984 92.789771) + (xy 89.410229 92.880016) + (xy 89.523943 92.937956) + (xy 89.523945 92.937957) + (xy 89.65 92.957922) + (xy 89.776055 92.937957) + (xy 89.889771 92.880016) + (xy 89.980016 92.789771) + (xy 90.037957 92.676055) + (xy 90.057922 92.55) + (xy 90.042084 92.45) + (xy 93.492078 92.45) + (xy 93.512043 92.576056) + (xy 93.551481 92.653457) + (xy 93.569984 92.689771) + (xy 93.660229 92.780016) + (xy 93.773943 92.837956) + (xy 93.773945 92.837957) + (xy 93.9 92.857922) + (xy 94.026055 92.837957) + (xy 94.139771 92.780016) + (xy 94.230016 92.689771) + (xy 94.275756 92.6) + (xy 95.042078 92.6) + (xy 95.062043 92.726056) + (xy 95.089537 92.780015) + (xy 95.119984 92.839771) + (xy 95.210229 92.930016) + (xy 95.323943 92.987956) + (xy 95.323945 92.987957) + (xy 95.45 93.007922) + (xy 95.576055 92.987957) + (xy 95.689771 92.930016) + (xy 95.780016 92.839771) + (xy 95.837957 92.726055) + (xy 95.857922 92.6) + (xy 96.042078 92.6) + (xy 96.062043 92.726056) + (xy 96.089537 92.780015) + (xy 96.119984 92.839771) + (xy 96.210229 92.930016) + (xy 96.323943 92.987956) + (xy 96.323945 92.987957) + (xy 96.45 93.007922) + (xy 96.576055 92.987957) + (xy 96.689771 92.930016) + (xy 96.780016 92.839771) + (xy 96.837957 92.726055) + (xy 96.857922 92.6) + (xy 96.850003 92.55) + (xy 97.042078 92.55) + (xy 97.062043 92.676056) + (xy 97.094508 92.739771) + (xy 97.119984 92.789771) + (xy 97.210229 92.880016) + (xy 97.323943 92.937956) + (xy 97.323945 92.937957) + (xy 97.45 92.957922) + (xy 97.576055 92.937957) + (xy 97.689771 92.880016) + (xy 97.780016 92.789771) + (xy 97.837957 92.676055) + (xy 97.850003 92.6) + (xy 99.142078 92.6) + (xy 99.162043 92.726056) + (xy 99.189537 92.780015) + (xy 99.219984 92.839771) + (xy 99.310229 92.930016) + (xy 99.423943 92.987956) + (xy 99.423945 92.987957) + (xy 99.55 93.007922) + (xy 99.676055 92.987957) + (xy 99.789771 92.930016) + (xy 99.880016 92.839771) + (xy 99.937957 92.726055) + (xy 99.957922 92.6) + (xy 99.942084 92.5) + (xy 111.342078 92.5) + (xy 111.362043 92.626056) + (xy 111.394508 92.689771) + (xy 111.419984 92.739771) + (xy 111.510229 92.830016) + (xy 111.623943 92.887956) + (xy 111.623945 92.887957) + (xy 111.75 92.907922) + (xy 111.876055 92.887957) + (xy 111.989771 92.830016) + (xy 112.080016 92.739771) + (xy 112.125756 92.65) + (xy 113.642078 92.65) + (xy 113.662043 92.776056) + (xy 113.694508 92.839771) + (xy 113.719984 92.889771) + (xy 113.810229 92.980016) + (xy 113.923943 93.037956) + (xy 113.923945 93.037957) + (xy 114.05 93.057922) + (xy 114.176055 93.037957) + (xy 114.289771 92.980016) + (xy 114.380016 92.889771) + (xy 114.437957 92.776055) + (xy 114.457922 92.65) + (xy 114.437957 92.523945) + (xy 114.380016 92.410229) + (xy 114.289771 92.319984) + (xy 114.176055 92.262043) + (xy 114.176057 92.262043) + (xy 114.05 92.242078) + (xy 113.923943 92.262043) + (xy 113.810228 92.319984) + (xy 113.719984 92.410228) + (xy 113.662043 92.523943) + (xy 113.642078 92.649999) + (xy 113.642078 92.65) + (xy 112.125756 92.65) + (xy 112.137957 92.626055) + (xy 112.157922 92.5) + (xy 112.156746 92.492578) + (xy 112.149586 92.44737) + (xy 112.137957 92.373945) + (xy 112.080016 92.260229) + (xy 111.989771 92.169984) + (xy 111.876055 92.112043) + (xy 111.876057 92.112043) + (xy 111.75 92.092078) + (xy 111.623943 92.112043) + (xy 111.510228 92.169984) + (xy 111.419984 92.260228) + (xy 111.362043 92.373943) + (xy 111.342078 92.499999) + (xy 111.342078 92.5) + (xy 99.942084 92.5) + (xy 99.937957 92.473945) + (xy 99.880016 92.360229) + (xy 99.789771 92.269984) + (xy 99.676055 92.212043) + (xy 99.676057 92.212043) + (xy 99.55 92.192078) + (xy 99.423943 92.212043) + (xy 99.310228 92.269984) + (xy 99.219984 92.360228) + (xy 99.162043 92.473943) + (xy 99.142078 92.599999) + (xy 99.142078 92.6) + (xy 97.850003 92.6) + (xy 97.857922 92.55) + (xy 97.837957 92.423945) + (xy 97.780016 92.310229) + (xy 97.689771 92.219984) + (xy 97.576055 92.162043) + (xy 97.576057 92.162043) + (xy 97.45 92.142078) + (xy 97.323943 92.162043) + (xy 97.210228 92.219984) + (xy 97.119984 92.310228) + (xy 97.062043 92.423943) + (xy 97.042078 92.549999) + (xy 97.042078 92.55) + (xy 96.850003 92.55) + (xy 96.837957 92.473945) + (xy 96.780016 92.360229) + (xy 96.689771 92.269984) + (xy 96.576055 92.212043) + (xy 96.576057 92.212043) + (xy 96.45 92.192078) + (xy 96.323943 92.212043) + (xy 96.210228 92.269984) + (xy 96.119984 92.360228) + (xy 96.062043 92.473943) + (xy 96.042078 92.599999) + (xy 96.042078 92.6) + (xy 95.857922 92.6) + (xy 95.837957 92.473945) + (xy 95.780016 92.360229) + (xy 95.689771 92.269984) + (xy 95.576055 92.212043) + (xy 95.576057 92.212043) + (xy 95.45 92.192078) + (xy 95.323943 92.212043) + (xy 95.210228 92.269984) + (xy 95.119984 92.360228) + (xy 95.062043 92.473943) + (xy 95.042078 92.599999) + (xy 95.042078 92.6) + (xy 94.275756 92.6) + (xy 94.287957 92.576055) + (xy 94.307922 92.45) + (xy 94.307505 92.44737) + (xy 94.301623 92.410228) + (xy 94.287957 92.323945) + (xy 94.230016 92.210229) + (xy 94.139771 92.119984) + (xy 94.026055 92.062043) + (xy 94.026057 92.062043) + (xy 93.9 92.042078) + (xy 93.773943 92.062043) + (xy 93.660228 92.119984) + (xy 93.569984 92.210228) + (xy 93.512043 92.323943) + (xy 93.492078 92.449999) + (xy 93.492078 92.45) + (xy 90.042084 92.45) + (xy 90.037957 92.423945) + (xy 89.980016 92.310229) + (xy 89.889771 92.219984) + (xy 89.776055 92.162043) + (xy 89.776057 92.162043) + (xy 89.65 92.142078) + (xy 89.523943 92.162043) + (xy 89.410228 92.219984) + (xy 89.319984 92.310228) + (xy 89.262043 92.423943) + (xy 89.242078 92.549999) + (xy 89.242078 92.55) + (xy 86.619787 92.55) + (xy 86.630016 92.539771) + (xy 86.687957 92.426055) + (xy 86.707922 92.3) + (xy 86.687957 92.173945) + (xy 86.630016 92.060229) + (xy 86.569787 92) + (xy 92.542078 92) + (xy 92.562043 92.126056) + (xy 92.586443 92.173943) + (xy 92.619984 92.239771) + (xy 92.710229 92.330016) + (xy 92.823943 92.387956) + (xy 92.823945 92.387957) + (xy 92.95 92.407922) + (xy 93.076055 92.387957) + (xy 93.189771 92.330016) + (xy 93.280016 92.239771) + (xy 93.337957 92.126055) + (xy 93.357922 92) + (xy 93.337957 91.873945) + (xy 93.325756 91.85) + (xy 100.142078 91.85) + (xy 100.162043 91.976056) + (xy 100.21445 92.078909) + (xy 100.219984 92.089771) + (xy 100.310229 92.180016) + (xy 100.423943 92.237956) + (xy 100.423945 92.237957) + (xy 100.55 92.257922) + (xy 100.676055 92.237957) + (xy 100.789771 92.180016) + (xy 100.880016 92.089771) + (xy 100.937957 91.976055) + (xy 100.942084 91.95) + (xy 112.442443 91.95) + (xy 112.460977 92.078911) + (xy 112.515078 92.197373) + (xy 112.51508 92.197376) + (xy 112.600366 92.295801) + (xy 112.653607 92.330016) + (xy 112.70062 92.360229) + (xy 112.709926 92.366209) + (xy 112.834883 92.4029) + (xy 112.965117 92.4029) + (xy 113.090073 92.366209) + (xy 113.090073 92.366208) + (xy 113.090076 92.366208) + (xy 113.199636 92.295799) + (xy 113.284921 92.197374) + (xy 113.339023 92.078909) + (xy 113.357557 91.95) + (xy 113.339023 91.821091) + (xy 113.333922 91.809922) + (xy 113.284921 91.702626) + (xy 113.284919 91.702623) + (xy 113.239321 91.65) + (xy 122.592443 91.65) + (xy 122.610977 91.778911) + (xy 122.665078 91.897373) + (xy 122.66508 91.897376) + (xy 122.750366 91.995801) + (xy 122.822376 92.042078) + (xy 122.85062 92.060229) + (xy 122.859926 92.066209) + (xy 122.984883 92.1029) + (xy 123.115117 92.1029) + (xy 123.240073 92.066209) + (xy 123.240073 92.066208) + (xy 123.240076 92.066208) + (xy 123.349636 91.995799) + (xy 123.434921 91.897374) + (xy 123.489023 91.778909) + (xy 123.507557 91.65) + (xy 123.489023 91.521091) + (xy 123.488517 91.519984) + (xy 123.434921 91.402626) + (xy 123.434919 91.402623) + (xy 123.363832 91.320584) + (xy 123.349636 91.304201) + (xy 123.349635 91.3042) + (xy 123.349633 91.304198) + (xy 123.240073 91.23379) + (xy 123.115117 91.1971) + (xy 122.984883 91.1971) + (xy 122.859926 91.23379) + (xy 122.750366 91.304198) + (xy 122.66508 91.402623) + (xy 122.665078 91.402626) + (xy 122.610977 91.521088) + (xy 122.592443 91.65) + (xy 113.239321 91.65) + (xy 113.199633 91.604198) + (xy 113.090073 91.53379) + (xy 112.965117 91.4971) + (xy 112.834883 91.4971) + (xy 112.709926 91.53379) + (xy 112.600366 91.604198) + (xy 112.51508 91.702623) + (xy 112.515078 91.702626) + (xy 112.460977 91.821088) + (xy 112.442443 91.95) + (xy 100.942084 91.95) + (xy 100.957922 91.85) + (xy 100.937957 91.723945) + (xy 100.880016 91.610229) + (xy 100.789771 91.519984) + (xy 100.676055 91.462043) + (xy 100.676057 91.462043) + (xy 100.55 91.442078) + (xy 100.423943 91.462043) + (xy 100.310228 91.519984) + (xy 100.219984 91.610228) + (xy 100.162043 91.723943) + (xy 100.142078 91.849999) + (xy 100.142078 91.85) + (xy 93.325756 91.85) + (xy 93.280016 91.760229) + (xy 93.189771 91.669984) + (xy 93.076055 91.612043) + (xy 93.076057 91.612043) + (xy 92.95 91.592078) + (xy 92.823943 91.612043) + (xy 92.710228 91.669984) + (xy 92.619984 91.760228) + (xy 92.562043 91.873943) + (xy 92.542078 91.999999) + (xy 92.542078 92) + (xy 86.569787 92) + (xy 86.539771 91.969984) + (xy 86.426055 91.912043) + (xy 86.426057 91.912043) + (xy 86.3 91.892078) + (xy 86.173943 91.912043) + (xy 86.060228 91.969984) + (xy 85.969984 92.060228) + (xy 85.912043 92.173943) + (xy 85.892078 92.299999) + (xy 85.892078 92.3) + (xy 64.123897 92.3) + (xy 64.141957 92.264555) + (xy 64.161922 92.1385) + (xy 64.141957 92.012445) + (xy 64.084016 91.898729) + (xy 63.993771 91.808484) + (xy 63.880055 91.750543) + (xy 63.880057 91.750543) + (xy 63.754 91.730578) + (xy 63.627943 91.750543) + (xy 63.514228 91.808484) + (xy 63.423984 91.898728) + (xy 63.366043 92.012443) + (xy 63.346078 92.138499) + (xy 63.346078 92.1385) + (xy 61.220476 92.1385) + (xy 61.163016 92.025729) + (xy 61.072771 91.935484) + (xy 60.959055 91.877543) + (xy 60.959057 91.877543) + (xy 60.833 91.857578) + (xy 60.706943 91.877543) + (xy 60.593228 91.935484) + (xy 60.502984 92.025728) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 58.432709 92.2655) + (xy 58.532771 92.214516) + (xy 58.623016 92.124271) + (xy 58.680957 92.010555) + (xy 58.700922 91.8845) + (xy 58.680957 91.758445) + (xy 58.623016 91.644729) + (xy 58.532771 91.554484) + (xy 58.419055 91.496543) + (xy 58.419057 91.496543) + (xy 58.293 91.476578) + (xy 58.166943 91.496543) + (xy 58.053228 91.554484) + (xy 57.962984 91.644728) + (xy 57.905043 91.758443) + (xy 57.885078 91.884499) + (xy 57.885078 91.8845) + (xy 52.433007 91.8845) + (xy 52.487957 91.776655) + (xy 52.507922 91.6506) + (xy 52.487957 91.524545) + (xy 52.430016 91.410829) + (xy 52.339771 91.320584) + (xy 52.226055 91.262643) + (xy 52.226057 91.262643) + (xy 52.1 91.242678) + (xy 51.973943 91.262643) + (xy 51.860228 91.320584) + (xy 51.769984 91.410828) + (xy 51.712043 91.524543) + (xy 51.692078 91.650599) + (xy 51.692078 91.6506) + (xy 50.700586 91.6506) + (xy 50.680016 91.610229) + (xy 50.589771 91.519984) + (xy 50.476055 91.462043) + (xy 50.476057 91.462043) + (xy 50.35 91.442078) + (xy 50.223943 91.462043) + (xy 50.110228 91.519984) + (xy 50.019984 91.610228) + (xy 49.962043 91.723943) + (xy 49.942078 91.849999) + (xy 49.942078 91.85) + (xy 47.088 91.85) + (xy 47.441457 91.496543) + (xy 48.428934 90.509065) + (xy 48.475553 90.487326) + (xy 48.52524 90.50064) + (xy 48.554745 90.542777) + (xy 48.550261 90.594021) + (xy 48.549111 90.59638) + (xy 48.507043 90.678943) + (xy 48.487078 90.804999) + (xy 48.487078 90.805) + (xy 48.507043 90.931056) + (xy 48.540834 90.997374) + (xy 48.564984 91.044771) + (xy 48.655229 91.135016) + (xy 48.768943 91.192956) + (xy 48.768945 91.192957) + (xy 48.895 91.212922) + (xy 49.021055 91.192957) + (xy 49.034709 91.186) + (xy 56.742078 91.186) + (xy 56.762043 91.312056) + (xy 56.808191 91.402626) + (xy 56.819984 91.425771) + (xy 56.910229 91.516016) + (xy 57.023943 91.573956) + (xy 57.023945 91.573957) + (xy 57.15 91.593922) + (xy 57.276055 91.573957) + (xy 57.389771 91.516016) + (xy 57.480016 91.425771) + (xy 57.537957 91.312055) + (xy 57.557922 91.186) + (xy 57.537957 91.059945) + (xy 57.480016 90.946229) + (xy 57.389771 90.855984) + (xy 57.276055 90.798043) + (xy 57.276057 90.798043) + (xy 57.15 90.778078) + (xy 57.023943 90.798043) + (xy 56.910228 90.855984) + (xy 56.819984 90.946228) + (xy 56.762043 91.059943) + (xy 56.742078 91.185999) + (xy 56.742078 91.186) + (xy 49.034709 91.186) + (xy 49.134771 91.135016) + (xy 49.225016 91.044771) + (xy 49.282957 90.931055) + (xy 49.302922 90.805) + (xy 49.282957 90.678945) + (xy 49.225016 90.565229) + (xy 49.134771 90.474984) + (xy 49.021055 90.417043) + (xy 49.021057 90.417043) + (xy 48.895 90.397078) + (xy 48.768943 90.417043) + (xy 48.68638 90.459111) + (xy 48.635323 90.46538) + (xy 48.592182 90.437363) + (xy 48.577143 90.388171) + (xy 48.597243 90.34082) + (xy 48.599037 90.338962) + (xy 48.640999 90.297) + (xy 51.598578 90.297) + (xy 51.618543 90.423056) + (xy 51.670255 90.524545) + (xy 51.676484 90.536771) + (xy 51.766729 90.627016) + (xy 51.880443 90.684956) + (xy 51.880445 90.684957) + (xy 52.0065 90.704922) + (xy 52.132555 90.684957) + (xy 52.199985 90.6506) + (xy 52.792078 90.6506) + (xy 52.812043 90.776656) + (xy 52.852463 90.855984) + (xy 52.869984 90.890371) + (xy 52.960229 90.980616) + (xy 53.073943 91.038556) + (xy 53.073945 91.038557) + (xy 53.2 91.058522) + (xy 53.326055 91.038557) + (xy 53.439771 90.980616) + (xy 53.530016 90.890371) + (xy 53.587957 90.776655) + (xy 53.607922 90.6506) + (xy 53.587957 90.524545) + (xy 53.569082 90.4875) + (xy 58.901078 90.4875) + (xy 58.921043 90.613556) + (xy 58.957424 90.684957) + (xy 58.978984 90.727271) + (xy 59.069229 90.817516) + (xy 59.182943 90.875456) + (xy 59.182945 90.875457) + (xy 59.309 90.895422) + (xy 59.435055 90.875457) + (xy 59.548771 90.817516) + (xy 59.561287 90.805) + (xy 61.695078 90.805) + (xy 61.715043 90.931056) + (xy 61.748834 90.997374) + (xy 61.772984 91.044771) + (xy 61.863229 91.135016) + (xy 61.976943 91.192956) + (xy 61.976945 91.192957) + (xy 62.103 91.212922) + (xy 62.229055 91.192957) + (xy 62.342771 91.135016) + (xy 62.433016 91.044771) + (xy 62.490957 90.931055) + (xy 62.510922 90.805) + (xy 66.775078 90.805) + (xy 66.795043 90.931056) + (xy 66.828834 90.997374) + (xy 66.852984 91.044771) + (xy 66.943229 91.135016) + (xy 67.056943 91.192956) + (xy 67.056945 91.192957) + (xy 67.183 91.212922) + (xy 67.309055 91.192957) + (xy 67.422771 91.135016) + (xy 67.513016 91.044771) + (xy 67.570957 90.931055) + (xy 67.590922 90.805) + (xy 71.855078 90.805) + (xy 71.875043 90.931056) + (xy 71.908834 90.997374) + (xy 71.932984 91.044771) + (xy 72.023229 91.135016) + (xy 72.136943 91.192956) + (xy 72.136945 91.192957) + (xy 72.263 91.212922) + (xy 72.389055 91.192957) + (xy 72.502771 91.135016) + (xy 72.593016 91.044771) + (xy 72.650957 90.931055) + (xy 72.670922 90.805) + (xy 72.67013 90.8) + (xy 89.492078 90.8) + (xy 89.512043 90.926056) + (xy 89.539843 90.980616) + (xy 89.569984 91.039771) + (xy 89.660229 91.130016) + (xy 89.773943 91.187956) + (xy 89.773945 91.187957) + (xy 89.9 91.207922) + (xy 90.026055 91.187957) + (xy 90.139771 91.130016) + (xy 90.230016 91.039771) + (xy 90.287957 90.926055) + (xy 90.307922 90.8) + (xy 96.792078 90.8) + (xy 96.812043 90.926056) + (xy 96.839843 90.980616) + (xy 96.869984 91.039771) + (xy 96.960229 91.130016) + (xy 97.073943 91.187956) + (xy 97.073945 91.187957) + (xy 97.2 91.207922) + (xy 97.326055 91.187957) + (xy 97.439771 91.130016) + (xy 97.530016 91.039771) + (xy 97.587957 90.926055) + (xy 97.60713 90.805) + (xy 107.415078 90.805) + (xy 107.435043 90.931056) + (xy 107.468834 90.997374) + (xy 107.492984 91.044771) + (xy 107.583229 91.135016) + (xy 107.696943 91.192956) + (xy 107.696945 91.192957) + (xy 107.823 91.212922) + (xy 107.949055 91.192957) + (xy 108.062771 91.135016) + (xy 108.153016 91.044771) + (xy 108.210957 90.931055) + (xy 108.230922 90.805) + (xy 108.222211 90.75) + (xy 111.592443 90.75) + (xy 111.610977 90.878911) + (xy 111.665078 90.997373) + (xy 111.66508 90.997376) + (xy 111.750366 91.095801) + (xy 111.859926 91.166209) + (xy 111.984883 91.2029) + (xy 112.115117 91.2029) + (xy 112.240073 91.166209) + (xy 112.240073 91.166208) + (xy 112.240076 91.166208) + (xy 112.349636 91.095799) + (xy 112.434921 90.997374) + (xy 112.489023 90.878909) + (xy 112.499649 90.805) + (xy 117.575078 90.805) + (xy 117.595043 90.931056) + (xy 117.628834 90.997374) + (xy 117.652984 91.044771) + (xy 117.743229 91.135016) + (xy 117.856943 91.192956) + (xy 117.856945 91.192957) + (xy 117.983 91.212922) + (xy 118.109055 91.192957) + (xy 118.222771 91.135016) + (xy 118.313016 91.044771) + (xy 118.370957 90.931055) + (xy 118.390922 90.805) + (xy 118.382211 90.75) + (xy 121.592443 90.75) + (xy 121.610977 90.878911) + (xy 121.665078 90.997373) + (xy 121.66508 90.997376) + (xy 121.750366 91.095801) + (xy 121.859926 91.166209) + (xy 121.984883 91.2029) + (xy 122.115117 91.2029) + (xy 122.240073 91.166209) + (xy 122.240073 91.166208) + (xy 122.240076 91.166208) + (xy 122.349636 91.095799) + (xy 122.434921 90.997374) + (xy 122.489023 90.878909) + (xy 122.499649 90.805) + (xy 127.735078 90.805) + (xy 127.755043 90.931056) + (xy 127.788834 90.997374) + (xy 127.812984 91.044771) + (xy 127.903229 91.135016) + (xy 128.016943 91.192956) + (xy 128.016945 91.192957) + (xy 128.143 91.212922) + (xy 128.269055 91.192957) + (xy 128.382771 91.135016) + (xy 128.473016 91.044771) + (xy 128.530957 90.931055) + (xy 128.550922 90.805) + (xy 132.815078 90.805) + (xy 132.835043 90.931056) + (xy 132.868834 90.997374) + (xy 132.892984 91.044771) + (xy 132.983229 91.135016) + (xy 133.096943 91.192956) + (xy 133.096945 91.192957) + (xy 133.223 91.212922) + (xy 133.349055 91.192957) + (xy 133.462771 91.135016) + (xy 133.553016 91.044771) + (xy 133.610476 90.932) + (xy 134.720078 90.932) + (xy 134.740043 91.058056) + (xy 134.79515 91.166209) + (xy 134.797984 91.171771) + (xy 134.888229 91.262016) + (xy 135.001943 91.319956) + (xy 135.001945 91.319957) + (xy 135.128 91.339922) + (xy 135.254055 91.319957) + (xy 135.367771 91.262016) + (xy 135.458016 91.171771) + (xy 135.515957 91.058055) + (xy 135.535922 90.932) + (xy 135.990078 90.932) + (xy 136.010043 91.058056) + (xy 136.06515 91.166209) + (xy 136.067984 91.171771) + (xy 136.158229 91.262016) + (xy 136.271943 91.319956) + (xy 136.271945 91.319957) + (xy 136.398 91.339922) + (xy 136.524055 91.319957) + (xy 136.637771 91.262016) + (xy 136.728016 91.171771) + (xy 136.785957 91.058055) + (xy 136.805922 90.932) + (xy 136.805772 90.931056) + (xy 136.799329 90.890371) + (xy 136.785957 90.805945) + (xy 136.785476 90.805) + (xy 137.895078 90.805) + (xy 137.915043 90.931056) + (xy 137.948834 90.997374) + (xy 137.972984 91.044771) + (xy 138.063229 91.135016) + (xy 138.176943 91.192956) + (xy 138.176945 91.192957) + (xy 138.303 91.212922) + (xy 138.429055 91.192957) + (xy 138.542771 91.135016) + (xy 138.633016 91.044771) + (xy 138.690957 90.931055) + (xy 138.710922 90.805) + (xy 142.975078 90.805) + (xy 142.995043 90.931056) + (xy 143.028834 90.997374) + (xy 143.052984 91.044771) + (xy 143.143229 91.135016) + (xy 143.256943 91.192956) + (xy 143.256945 91.192957) + (xy 143.383 91.212922) + (xy 143.509055 91.192957) + (xy 143.622771 91.135016) + (xy 143.713016 91.044771) + (xy 143.770957 90.931055) + (xy 143.790922 90.805) + (xy 143.770957 90.678945) + (xy 143.713016 90.565229) + (xy 143.622771 90.474984) + (xy 143.509055 90.417043) + (xy 143.509057 90.417043) + (xy 143.383 90.397078) + (xy 143.256943 90.417043) + (xy 143.143228 90.474984) + (xy 143.052984 90.565228) + (xy 142.995043 90.678943) + (xy 142.975078 90.804999) + (xy 142.975078 90.805) + (xy 138.710922 90.805) + (xy 138.690957 90.678945) + (xy 138.633016 90.565229) + (xy 138.542771 90.474984) + (xy 138.429055 90.417043) + (xy 138.429057 90.417043) + (xy 138.303 90.397078) + (xy 138.176943 90.417043) + (xy 138.063228 90.474984) + (xy 137.972984 90.565228) + (xy 137.915043 90.678943) + (xy 137.895078 90.804999) + (xy 137.895078 90.805) + (xy 136.785476 90.805) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.158228 90.601984) + (xy 136.067984 90.692228) + (xy 136.010043 90.805943) + (xy 135.990078 90.931999) + (xy 135.990078 90.932) + (xy 135.535922 90.932) + (xy 135.535772 90.931056) + (xy 135.529329 90.890371) + (xy 135.515957 90.805945) + (xy 135.458016 90.692229) + (xy 135.367771 90.601984) + (xy 135.254055 90.544043) + (xy 135.254057 90.544043) + (xy 135.128 90.524078) + (xy 135.001943 90.544043) + (xy 134.888228 90.601984) + (xy 134.797984 90.692228) + (xy 134.740043 90.805943) + (xy 134.720078 90.931999) + (xy 134.720078 90.932) + (xy 133.610476 90.932) + (xy 133.610957 90.931055) + (xy 133.630922 90.805) + (xy 133.610957 90.678945) + (xy 133.553016 90.565229) + (xy 133.462771 90.474984) + (xy 133.349055 90.417043) + (xy 133.349057 90.417043) + (xy 133.223 90.397078) + (xy 133.096943 90.417043) + (xy 132.983228 90.474984) + (xy 132.892984 90.565228) + (xy 132.835043 90.678943) + (xy 132.815078 90.804999) + (xy 132.815078 90.805) + (xy 128.550922 90.805) + (xy 128.530957 90.678945) + (xy 128.473016 90.565229) + (xy 128.382771 90.474984) + (xy 128.269055 90.417043) + (xy 128.269057 90.417043) + (xy 128.143 90.397078) + (xy 128.016943 90.417043) + (xy 127.903228 90.474984) + (xy 127.812984 90.565228) + (xy 127.755043 90.678943) + (xy 127.735078 90.804999) + (xy 127.735078 90.805) + (xy 122.499649 90.805) + (xy 122.507557 90.75) + (xy 122.489023 90.621091) + (xy 122.485581 90.613555) + (xy 122.434921 90.502626) + (xy 122.434919 90.502623) + (xy 122.365973 90.423055) + (xy 122.349636 90.404201) + (xy 122.349635 90.4042) + (xy 122.349633 90.404198) + (xy 122.240073 90.33379) + (xy 122.115117 90.2971) + (xy 121.984883 90.2971) + (xy 121.859926 90.33379) + (xy 121.750366 90.404198) + (xy 121.66508 90.502623) + (xy 121.665078 90.502626) + (xy 121.610977 90.621088) + (xy 121.592443 90.75) + (xy 118.382211 90.75) + (xy 118.370957 90.678945) + (xy 118.313016 90.565229) + (xy 118.222771 90.474984) + (xy 118.109055 90.417043) + (xy 118.109057 90.417043) + (xy 117.983 90.397078) + (xy 117.856943 90.417043) + (xy 117.743228 90.474984) + (xy 117.652984 90.565228) + (xy 117.595043 90.678943) + (xy 117.575078 90.804999) + (xy 117.575078 90.805) + (xy 112.499649 90.805) + (xy 112.507557 90.75) + (xy 112.489023 90.621091) + (xy 112.485581 90.613555) + (xy 112.434921 90.502626) + (xy 112.434919 90.502623) + (xy 112.365973 90.423055) + (xy 112.349636 90.404201) + (xy 112.349635 90.4042) + (xy 112.349633 90.404198) + (xy 112.240073 90.33379) + (xy 112.115117 90.2971) + (xy 111.984883 90.2971) + (xy 111.859926 90.33379) + (xy 111.750366 90.404198) + (xy 111.66508 90.502623) + (xy 111.665078 90.502626) + (xy 111.610977 90.621088) + (xy 111.592443 90.75) + (xy 108.222211 90.75) + (xy 108.210957 90.678945) + (xy 108.153016 90.565229) + (xy 108.062771 90.474984) + (xy 107.949055 90.417043) + (xy 107.949057 90.417043) + (xy 107.823 90.397078) + (xy 107.696943 90.417043) + (xy 107.583228 90.474984) + (xy 107.492984 90.565228) + (xy 107.435043 90.678943) + (xy 107.415078 90.804999) + (xy 107.415078 90.805) + (xy 97.60713 90.805) + (xy 97.607922 90.8) + (xy 97.587957 90.673945) + (xy 97.530016 90.560229) + (xy 97.439771 90.469984) + (xy 97.326055 90.412043) + (xy 97.326057 90.412043) + (xy 97.2 90.392078) + (xy 97.073943 90.412043) + (xy 96.960228 90.469984) + (xy 96.869984 90.560228) + (xy 96.812043 90.673943) + (xy 96.792078 90.799999) + (xy 96.792078 90.8) + (xy 90.307922 90.8) + (xy 90.287957 90.673945) + (xy 90.230016 90.560229) + (xy 90.139771 90.469984) + (xy 90.026055 90.412043) + (xy 90.026057 90.412043) + (xy 89.9 90.392078) + (xy 89.773943 90.412043) + (xy 89.660228 90.469984) + (xy 89.569984 90.560228) + (xy 89.512043 90.673943) + (xy 89.492078 90.799999) + (xy 89.492078 90.8) + (xy 72.67013 90.8) + (xy 72.650957 90.678945) + (xy 72.593016 90.565229) + (xy 72.502771 90.474984) + (xy 72.389055 90.417043) + (xy 72.389057 90.417043) + (xy 72.263 90.397078) + (xy 72.136943 90.417043) + (xy 72.023228 90.474984) + (xy 71.932984 90.565228) + (xy 71.875043 90.678943) + (xy 71.855078 90.804999) + (xy 71.855078 90.805) + (xy 67.590922 90.805) + (xy 67.570957 90.678945) + (xy 67.513016 90.565229) + (xy 67.422771 90.474984) + (xy 67.309055 90.417043) + (xy 67.309057 90.417043) + (xy 67.183 90.397078) + (xy 67.056943 90.417043) + (xy 66.943228 90.474984) + (xy 66.852984 90.565228) + (xy 66.795043 90.678943) + (xy 66.775078 90.804999) + (xy 66.775078 90.805) + (xy 62.510922 90.805) + (xy 62.490957 90.678945) + (xy 62.433016 90.565229) + (xy 62.342771 90.474984) + (xy 62.229055 90.417043) + (xy 62.229057 90.417043) + (xy 62.103 90.397078) + (xy 61.976943 90.417043) + (xy 61.863228 90.474984) + (xy 61.772984 90.565228) + (xy 61.715043 90.678943) + (xy 61.695078 90.804999) + (xy 61.695078 90.805) + (xy 59.561287 90.805) + (xy 59.639016 90.727271) + (xy 59.696957 90.613555) + (xy 59.716922 90.4875) + (xy 59.716894 90.487326) + (xy 59.706715 90.423055) + (xy 59.696957 90.361445) + (xy 59.639016 90.247729) + (xy 59.548771 90.157484) + (xy 59.435055 90.099543) + (xy 59.435057 90.099543) + (xy 59.309 90.079578) + (xy 59.182943 90.099543) + (xy 59.069228 90.157484) + (xy 58.978984 90.247728) + (xy 58.921043 90.361443) + (xy 58.901078 90.487499) + (xy 58.901078 90.4875) + (xy 53.569082 90.4875) + (xy 53.530016 90.410829) + (xy 53.439771 90.320584) + (xy 53.326055 90.262643) + (xy 53.326057 90.262643) + (xy 53.2 90.242678) + (xy 53.073943 90.262643) + (xy 52.960228 90.320584) + (xy 52.869984 90.410828) + (xy 52.812043 90.524543) + (xy 52.792078 90.650599) + (xy 52.792078 90.6506) + (xy 52.199985 90.6506) + (xy 52.246271 90.627016) + (xy 52.336516 90.536771) + (xy 52.394457 90.423055) + (xy 52.414422 90.297) + (xy 52.394457 90.170945) + (xy 52.336516 90.057229) + (xy 52.246271 89.966984) + (xy 52.146209 89.916) + (xy 56.107078 89.916) + (xy 56.127043 90.042056) + (xy 56.146162 90.079578) + (xy 56.184984 90.155771) + (xy 56.275229 90.246016) + (xy 56.388943 90.303956) + (xy 56.388945 90.303957) + (xy 56.515 90.323922) + (xy 56.641055 90.303957) + (xy 56.754771 90.246016) + (xy 56.845016 90.155771) + (xy 56.902957 90.042055) + (xy 56.922922 89.916) + (xy 56.912469 89.85) + (xy 112.592443 89.85) + (xy 112.610977 89.978911) + (xy 112.665078 90.097373) + (xy 112.66508 90.097376) + (xy 112.750366 90.195801) + (xy 112.859926 90.266209) + (xy 112.984883 90.3029) + (xy 113.115117 90.3029) + (xy 113.240073 90.266209) + (xy 113.240073 90.266208) + (xy 113.240076 90.266208) + (xy 113.349636 90.195799) + (xy 113.434921 90.097374) + (xy 113.489023 89.978909) + (xy 113.507557 89.85) + (xy 122.592443 89.85) + (xy 122.610977 89.978911) + (xy 122.665078 90.097373) + (xy 122.66508 90.097376) + (xy 122.750366 90.195801) + (xy 122.859926 90.266209) + (xy 122.984883 90.3029) + (xy 123.115117 90.3029) + (xy 123.240073 90.266209) + (xy 123.240073 90.266208) + (xy 123.240076 90.266208) + (xy 123.349636 90.195799) + (xy 123.434921 90.097374) + (xy 123.489023 89.978909) + (xy 123.507557 89.85) + (xy 123.489023 89.721091) + (xy 123.468534 89.676228) + (xy 123.434921 89.602626) + (xy 123.434919 89.602623) + (xy 123.349633 89.504198) + (xy 123.240073 89.43379) + (xy 123.115117 89.3971) + (xy 122.984883 89.3971) + (xy 122.859926 89.43379) + (xy 122.750366 89.504198) + (xy 122.66508 89.602623) + (xy 122.665078 89.602626) + (xy 122.610977 89.721088) + (xy 122.592443 89.85) + (xy 113.507557 89.85) + (xy 113.489023 89.721091) + (xy 113.468534 89.676228) + (xy 113.434921 89.602626) + (xy 113.434919 89.602623) + (xy 113.349633 89.504198) + (xy 113.240073 89.43379) + (xy 113.115117 89.3971) + (xy 112.984883 89.3971) + (xy 112.859926 89.43379) + (xy 112.750366 89.504198) + (xy 112.66508 89.602623) + (xy 112.665078 89.602626) + (xy 112.610977 89.721088) + (xy 112.592443 89.85) + (xy 56.912469 89.85) + (xy 56.902957 89.789945) + (xy 56.845016 89.676229) + (xy 56.754771 89.585984) + (xy 56.641055 89.528043) + (xy 56.641057 89.528043) + (xy 56.515 89.508078) + (xy 56.388943 89.528043) + (xy 56.275228 89.585984) + (xy 56.184984 89.676228) + (xy 56.127043 89.789943) + (xy 56.107078 89.915999) + (xy 56.107078 89.916) + (xy 52.146209 89.916) + (xy 52.132555 89.909043) + (xy 52.132557 89.909043) + (xy 52.0065 89.889078) + (xy 51.880443 89.909043) + (xy 51.766728 89.966984) + (xy 51.676484 90.057228) + (xy 51.618543 90.170943) + (xy 51.598578 90.296999) + (xy 51.598578 90.297) + (xy 48.640999 90.297) + (xy 49.837399 89.1006) + (xy 53.492078 89.1006) + (xy 53.512043 89.226656) + (xy 53.569984 89.340371) + (xy 53.660229 89.430616) + (xy 53.773943 89.488556) + (xy 53.773945 89.488557) + (xy 53.9 89.508522) + (xy 54.026055 89.488557) + (xy 54.139771 89.430616) + (xy 54.230016 89.340371) + (xy 54.287957 89.226655) + (xy 54.307922 89.1006) + (xy 54.287957 88.974545) + (xy 54.230016 88.860829) + (xy 54.142187 88.773) + (xy 55.027578 88.773) + (xy 55.047543 88.899056) + (xy 55.086006 88.974543) + (xy 55.105484 89.012771) + (xy 55.195729 89.103016) + (xy 55.309443 89.160956) + (xy 55.309445 89.160957) + (xy 55.4355 89.180922) + (xy 55.561555 89.160957) + (xy 55.675271 89.103016) + (xy 55.765516 89.012771) + (xy 55.823457 88.899055) + (xy 55.843422 88.773) + (xy 55.823457 88.646945) + (xy 55.765516 88.533229) + (xy 55.675271 88.442984) + (xy 55.561555 88.385043) + (xy 55.561557 88.385043) + (xy 55.4355 88.365078) + (xy 55.309443 88.385043) + (xy 55.195728 88.442984) + (xy 55.105484 88.533228) + (xy 55.047543 88.646943) + (xy 55.027578 88.772999) + (xy 55.027578 88.773) + (xy 54.142187 88.773) + (xy 54.139771 88.770584) + (xy 54.026055 88.712643) + (xy 54.026057 88.712643) + (xy 53.9 88.692678) + (xy 53.773943 88.712643) + (xy 53.660228 88.770584) + (xy 53.569984 88.860828) + (xy 53.512043 88.974543) + (xy 53.492078 89.100599) + (xy 53.492078 89.1006) + (xy 49.837399 89.1006) + (xy 50.968934 87.969065) + (xy 51.015553 87.947326) + (xy 51.06524 87.96064) + (xy 51.094745 88.002777) + (xy 51.090261 88.054021) + (xy 51.089111 88.05638) + (xy 51.047043 88.138943) + (xy 51.027078 88.264999) + (xy 51.027078 88.265) + (xy 51.047043 88.391056) + (xy 51.104984 88.504771) + (xy 51.195229 88.595016) + (xy 51.308943 88.652956) + (xy 51.308945 88.652957) + (xy 51.435 88.672922) + (xy 51.561055 88.652957) + (xy 51.674771 88.595016) + (xy 51.765016 88.504771) + (xy 51.822957 88.391055) + (xy 51.842922 88.265) + (xy 59.155078 88.265) + (xy 59.175043 88.391056) + (xy 59.232984 88.504771) + (xy 59.323229 88.595016) + (xy 59.436943 88.652956) + (xy 59.436945 88.652957) + (xy 59.563 88.672922) + (xy 59.689055 88.652957) + (xy 59.802771 88.595016) + (xy 59.893016 88.504771) + (xy 59.950957 88.391055) + (xy 59.970922 88.265) + (xy 64.235078 88.265) + (xy 64.255043 88.391056) + (xy 64.312984 88.504771) + (xy 64.403229 88.595016) + (xy 64.516943 88.652956) + (xy 64.516945 88.652957) + (xy 64.643 88.672922) + (xy 64.769055 88.652957) + (xy 64.882771 88.595016) + (xy 64.973016 88.504771) + (xy 65.030957 88.391055) + (xy 65.050922 88.265) + (xy 69.315078 88.265) + (xy 69.335043 88.391056) + (xy 69.392984 88.504771) + (xy 69.483229 88.595016) + (xy 69.596943 88.652956) + (xy 69.596945 88.652957) + (xy 69.723 88.672922) + (xy 69.849055 88.652957) + (xy 69.962771 88.595016) + (xy 70.053016 88.504771) + (xy 70.110957 88.391055) + (xy 70.130922 88.265) + (xy 74.395078 88.265) + (xy 74.415043 88.391056) + (xy 74.472984 88.504771) + (xy 74.563229 88.595016) + (xy 74.676943 88.652956) + (xy 74.676945 88.652957) + (xy 74.803 88.672922) + (xy 74.929055 88.652957) + (xy 75.042771 88.595016) + (xy 75.133016 88.504771) + (xy 75.190957 88.391055) + (xy 75.210922 88.265) + (xy 79.475078 88.265) + (xy 79.495043 88.391056) + (xy 79.552984 88.504771) + (xy 79.643229 88.595016) + (xy 79.756943 88.652956) + (xy 79.756945 88.652957) + (xy 79.883 88.672922) + (xy 80.009055 88.652957) + (xy 80.122771 88.595016) + (xy 80.213016 88.504771) + (xy 80.270476 88.392) + (xy 84.555078 88.392) + (xy 84.575043 88.518056) + (xy 84.614256 88.595015) + (xy 84.632984 88.631771) + (xy 84.723229 88.722016) + (xy 84.836943 88.779956) + (xy 84.836945 88.779957) + (xy 84.963 88.799922) + (xy 85.089055 88.779957) + (xy 85.202771 88.722016) + (xy 85.293016 88.631771) + (xy 85.350957 88.518055) + (xy 85.370922 88.392) + (xy 85.370772 88.391056) + (xy 85.366658 88.365078) + (xy 85.350957 88.265945) + (xy 85.350476 88.265) + (xy 94.715078 88.265) + (xy 94.735043 88.391056) + (xy 94.792984 88.504771) + (xy 94.883229 88.595016) + (xy 94.996943 88.652956) + (xy 94.996945 88.652957) + (xy 95.123 88.672922) + (xy 95.249055 88.652957) + (xy 95.362771 88.595016) + (xy 95.453016 88.504771) + (xy 95.510957 88.391055) + (xy 95.530922 88.265) + (xy 99.795078 88.265) + (xy 99.815043 88.391056) + (xy 99.872984 88.504771) + (xy 99.963229 88.595016) + (xy 100.076943 88.652956) + (xy 100.076945 88.652957) + (xy 100.203 88.672922) + (xy 100.329055 88.652957) + (xy 100.442771 88.595016) + (xy 100.533016 88.504771) + (xy 100.590957 88.391055) + (xy 100.610922 88.265) + (xy 104.875078 88.265) + (xy 104.895043 88.391056) + (xy 104.952984 88.504771) + (xy 105.043229 88.595016) + (xy 105.156943 88.652956) + (xy 105.156945 88.652957) + (xy 105.283 88.672922) + (xy 105.409055 88.652957) + (xy 105.522771 88.595016) + (xy 105.613016 88.504771) + (xy 105.670957 88.391055) + (xy 105.690922 88.265) + (xy 109.955078 88.265) + (xy 109.975043 88.391056) + (xy 110.032984 88.504771) + (xy 110.123229 88.595016) + (xy 110.236943 88.652956) + (xy 110.236945 88.652957) + (xy 110.363 88.672922) + (xy 110.489055 88.652957) + (xy 110.602771 88.595016) + (xy 110.693016 88.504771) + (xy 110.750957 88.391055) + (xy 110.770922 88.265) + (xy 115.035078 88.265) + (xy 115.055043 88.391056) + (xy 115.112984 88.504771) + (xy 115.203229 88.595016) + (xy 115.316943 88.652956) + (xy 115.316945 88.652957) + (xy 115.443 88.672922) + (xy 115.569055 88.652957) + (xy 115.682771 88.595016) + (xy 115.773016 88.504771) + (xy 115.830957 88.391055) + (xy 115.850922 88.265) + (xy 120.115078 88.265) + (xy 120.135043 88.391056) + (xy 120.192984 88.504771) + (xy 120.283229 88.595016) + (xy 120.396943 88.652956) + (xy 120.396945 88.652957) + (xy 120.523 88.672922) + (xy 120.649055 88.652957) + (xy 120.762771 88.595016) + (xy 120.853016 88.504771) + (xy 120.910957 88.391055) + (xy 120.930922 88.265) + (xy 125.195078 88.265) + (xy 125.215043 88.391056) + (xy 125.272984 88.504771) + (xy 125.363229 88.595016) + (xy 125.476943 88.652956) + (xy 125.476945 88.652957) + (xy 125.603 88.672922) + (xy 125.729055 88.652957) + (xy 125.842771 88.595016) + (xy 125.933016 88.504771) + (xy 125.990957 88.391055) + (xy 126.010922 88.265) + (xy 130.275078 88.265) + (xy 130.295043 88.391056) + (xy 130.352984 88.504771) + (xy 130.443229 88.595016) + (xy 130.556943 88.652956) + (xy 130.556945 88.652957) + (xy 130.683 88.672922) + (xy 130.809055 88.652957) + (xy 130.922771 88.595016) + (xy 131.013016 88.504771) + (xy 131.070957 88.391055) + (xy 131.090922 88.265) + (xy 135.355078 88.265) + (xy 135.375043 88.391056) + (xy 135.432984 88.504771) + (xy 135.523229 88.595016) + (xy 135.636943 88.652956) + (xy 135.636945 88.652957) + (xy 135.763 88.672922) + (xy 135.889055 88.652957) + (xy 136.002771 88.595016) + (xy 136.093016 88.504771) + (xy 136.150957 88.391055) + (xy 136.170922 88.265) + (xy 140.435078 88.265) + (xy 140.455043 88.391056) + (xy 140.512984 88.504771) + (xy 140.603229 88.595016) + (xy 140.716943 88.652956) + (xy 140.716945 88.652957) + (xy 140.843 88.672922) + (xy 140.969055 88.652957) + (xy 141.082771 88.595016) + (xy 141.173016 88.504771) + (xy 141.230957 88.391055) + (xy 141.250922 88.265) + (xy 141.230957 88.138945) + (xy 141.173016 88.025229) + (xy 141.082771 87.934984) + (xy 140.969055 87.877043) + (xy 140.969057 87.877043) + (xy 140.843 87.857078) + (xy 140.716943 87.877043) + (xy 140.603228 87.934984) + (xy 140.512984 88.025228) + (xy 140.455043 88.138943) + (xy 140.435078 88.264999) + (xy 140.435078 88.265) + (xy 136.170922 88.265) + (xy 136.150957 88.138945) + (xy 136.093016 88.025229) + (xy 136.002771 87.934984) + (xy 135.889055 87.877043) + (xy 135.889057 87.877043) + (xy 135.763 87.857078) + (xy 135.636943 87.877043) + (xy 135.523228 87.934984) + (xy 135.432984 88.025228) + (xy 135.375043 88.138943) + (xy 135.355078 88.264999) + (xy 135.355078 88.265) + (xy 131.090922 88.265) + (xy 131.070957 88.138945) + (xy 131.013016 88.025229) + (xy 130.922771 87.934984) + (xy 130.809055 87.877043) + (xy 130.809057 87.877043) + (xy 130.683 87.857078) + (xy 130.556943 87.877043) + (xy 130.443228 87.934984) + (xy 130.352984 88.025228) + (xy 130.295043 88.138943) + (xy 130.275078 88.264999) + (xy 130.275078 88.265) + (xy 126.010922 88.265) + (xy 125.990957 88.138945) + (xy 125.933016 88.025229) + (xy 125.842771 87.934984) + (xy 125.729055 87.877043) + (xy 125.729057 87.877043) + (xy 125.603 87.857078) + (xy 125.476943 87.877043) + (xy 125.363228 87.934984) + (xy 125.272984 88.025228) + (xy 125.215043 88.138943) + (xy 125.195078 88.264999) + (xy 125.195078 88.265) + (xy 120.930922 88.265) + (xy 120.910957 88.138945) + (xy 120.853016 88.025229) + (xy 120.762771 87.934984) + (xy 120.649055 87.877043) + (xy 120.649057 87.877043) + (xy 120.523 87.857078) + (xy 120.396943 87.877043) + (xy 120.283228 87.934984) + (xy 120.192984 88.025228) + (xy 120.135043 88.138943) + (xy 120.115078 88.264999) + (xy 120.115078 88.265) + (xy 115.850922 88.265) + (xy 115.830957 88.138945) + (xy 115.773016 88.025229) + (xy 115.682771 87.934984) + (xy 115.569055 87.877043) + (xy 115.569057 87.877043) + (xy 115.443 87.857078) + (xy 115.316943 87.877043) + (xy 115.203228 87.934984) + (xy 115.112984 88.025228) + (xy 115.055043 88.138943) + (xy 115.035078 88.264999) + (xy 115.035078 88.265) + (xy 110.770922 88.265) + (xy 110.750957 88.138945) + (xy 110.693016 88.025229) + (xy 110.602771 87.934984) + (xy 110.489055 87.877043) + (xy 110.489057 87.877043) + (xy 110.363 87.857078) + (xy 110.236943 87.877043) + (xy 110.123228 87.934984) + (xy 110.032984 88.025228) + (xy 109.975043 88.138943) + (xy 109.955078 88.264999) + (xy 109.955078 88.265) + (xy 105.690922 88.265) + (xy 105.670957 88.138945) + (xy 105.613016 88.025229) + (xy 105.522771 87.934984) + (xy 105.409055 87.877043) + (xy 105.409057 87.877043) + (xy 105.283 87.857078) + (xy 105.156943 87.877043) + (xy 105.043228 87.934984) + (xy 104.952984 88.025228) + (xy 104.895043 88.138943) + (xy 104.875078 88.264999) + (xy 104.875078 88.265) + (xy 100.610922 88.265) + (xy 100.590957 88.138945) + (xy 100.533016 88.025229) + (xy 100.442771 87.934984) + (xy 100.329055 87.877043) + (xy 100.329057 87.877043) + (xy 100.203 87.857078) + (xy 100.076943 87.877043) + (xy 99.963228 87.934984) + (xy 99.872984 88.025228) + (xy 99.815043 88.138943) + (xy 99.795078 88.264999) + (xy 99.795078 88.265) + (xy 95.530922 88.265) + (xy 95.510957 88.138945) + (xy 95.453016 88.025229) + (xy 95.362771 87.934984) + (xy 95.249055 87.877043) + (xy 95.249057 87.877043) + (xy 95.123 87.857078) + (xy 94.996943 87.877043) + (xy 94.883228 87.934984) + (xy 94.792984 88.025228) + (xy 94.735043 88.138943) + (xy 94.715078 88.264999) + (xy 94.715078 88.265) + (xy 85.350476 88.265) + (xy 85.293016 88.152229) + (xy 85.202771 88.061984) + (xy 85.089055 88.004043) + (xy 85.089057 88.004043) + (xy 84.963 87.984078) + (xy 84.836943 88.004043) + (xy 84.723228 88.061984) + (xy 84.632984 88.152228) + (xy 84.575043 88.265943) + (xy 84.555078 88.391999) + (xy 84.555078 88.392) + (xy 80.270476 88.392) + (xy 80.270957 88.391055) + (xy 80.290922 88.265) + (xy 80.270957 88.138945) + (xy 80.213016 88.025229) + (xy 80.122771 87.934984) + (xy 80.009055 87.877043) + (xy 80.009057 87.877043) + (xy 79.883 87.857078) + (xy 79.756943 87.877043) + (xy 79.643228 87.934984) + (xy 79.552984 88.025228) + (xy 79.495043 88.138943) + (xy 79.475078 88.264999) + (xy 79.475078 88.265) + (xy 75.210922 88.265) + (xy 75.190957 88.138945) + (xy 75.133016 88.025229) + (xy 75.042771 87.934984) + (xy 74.929055 87.877043) + (xy 74.929057 87.877043) + (xy 74.803 87.857078) + (xy 74.676943 87.877043) + (xy 74.563228 87.934984) + (xy 74.472984 88.025228) + (xy 74.415043 88.138943) + (xy 74.395078 88.264999) + (xy 74.395078 88.265) + (xy 70.130922 88.265) + (xy 70.110957 88.138945) + (xy 70.053016 88.025229) + (xy 69.962771 87.934984) + (xy 69.849055 87.877043) + (xy 69.849057 87.877043) + (xy 69.723 87.857078) + (xy 69.596943 87.877043) + (xy 69.483228 87.934984) + (xy 69.392984 88.025228) + (xy 69.335043 88.138943) + (xy 69.315078 88.264999) + (xy 69.315078 88.265) + (xy 65.050922 88.265) + (xy 65.030957 88.138945) + (xy 64.973016 88.025229) + (xy 64.882771 87.934984) + (xy 64.769055 87.877043) + (xy 64.769057 87.877043) + (xy 64.643 87.857078) + (xy 64.516943 87.877043) + (xy 64.403228 87.934984) + (xy 64.312984 88.025228) + (xy 64.255043 88.138943) + (xy 64.235078 88.264999) + (xy 64.235078 88.265) + (xy 59.970922 88.265) + (xy 59.950957 88.138945) + (xy 59.893016 88.025229) + (xy 59.802771 87.934984) + (xy 59.689055 87.877043) + (xy 59.689057 87.877043) + (xy 59.563 87.857078) + (xy 59.436943 87.877043) + (xy 59.323228 87.934984) + (xy 59.232984 88.025228) + (xy 59.175043 88.138943) + (xy 59.155078 88.264999) + (xy 59.155078 88.265) + (xy 51.842922 88.265) + (xy 51.822957 88.138945) + (xy 51.765016 88.025229) + (xy 51.674771 87.934984) + (xy 51.561055 87.877043) + (xy 51.561057 87.877043) + (xy 51.435 87.857078) + (xy 51.308943 87.877043) + (xy 51.22638 87.919111) + (xy 51.175323 87.92538) + (xy 51.132182 87.897363) + (xy 51.117143 87.848171) + (xy 51.137243 87.80082) + (xy 51.139037 87.798962) + (xy 52.006499 86.9315) + (xy 87.095078 86.9315) + (xy 87.115043 87.057556) + (xy 87.172984 87.171271) + (xy 87.263229 87.261516) + (xy 87.376943 87.319456) + (xy 87.376945 87.319457) + (xy 87.503 87.339422) + (xy 87.629055 87.319457) + (xy 87.742771 87.261516) + (xy 87.833016 87.171271) + (xy 87.890957 87.057555) + (xy 87.910922 86.9315) + (xy 92.175078 86.9315) + (xy 92.195043 87.057556) + (xy 92.252984 87.171271) + (xy 92.343229 87.261516) + (xy 92.456943 87.319456) + (xy 92.456945 87.319457) + (xy 92.583 87.339422) + (xy 92.709055 87.319457) + (xy 92.822771 87.261516) + (xy 92.913016 87.171271) + (xy 92.970957 87.057555) + (xy 92.990922 86.9315) + (xy 97.255078 86.9315) + (xy 97.275043 87.057556) + (xy 97.332984 87.171271) + (xy 97.423229 87.261516) + (xy 97.536943 87.319456) + (xy 97.536945 87.319457) + (xy 97.663 87.339422) + (xy 97.789055 87.319457) + (xy 97.902771 87.261516) + (xy 97.993016 87.171271) + (xy 98.050957 87.057555) + (xy 98.070922 86.9315) + (xy 107.415078 86.9315) + (xy 107.435043 87.057556) + (xy 107.492984 87.171271) + (xy 107.583229 87.261516) + (xy 107.696943 87.319456) + (xy 107.696945 87.319457) + (xy 107.823 87.339422) + (xy 107.949055 87.319457) + (xy 108.062771 87.261516) + (xy 108.153016 87.171271) + (xy 108.210957 87.057555) + (xy 108.230922 86.9315) + (xy 112.495078 86.9315) + (xy 112.515043 87.057556) + (xy 112.572984 87.171271) + (xy 112.663229 87.261516) + (xy 112.776943 87.319456) + (xy 112.776945 87.319457) + (xy 112.903 87.339422) + (xy 113.029055 87.319457) + (xy 113.142771 87.261516) + (xy 113.233016 87.171271) + (xy 113.290957 87.057555) + (xy 113.310922 86.9315) + (xy 117.575078 86.9315) + (xy 117.595043 87.057556) + (xy 117.652984 87.171271) + (xy 117.743229 87.261516) + (xy 117.856943 87.319456) + (xy 117.856945 87.319457) + (xy 117.983 87.339422) + (xy 118.109055 87.319457) + (xy 118.222771 87.261516) + (xy 118.313016 87.171271) + (xy 118.370957 87.057555) + (xy 118.390922 86.9315) + (xy 118.370957 86.805445) + (xy 118.313016 86.691729) + (xy 118.222771 86.601484) + (xy 118.109055 86.543543) + (xy 118.109057 86.543543) + (xy 117.983 86.523578) + (xy 117.856943 86.543543) + (xy 117.743228 86.601484) + (xy 117.652984 86.691728) + (xy 117.595043 86.805443) + (xy 117.575078 86.931499) + (xy 117.575078 86.9315) + (xy 113.310922 86.9315) + (xy 113.290957 86.805445) + (xy 113.233016 86.691729) + (xy 113.142771 86.601484) + (xy 113.029055 86.543543) + (xy 113.029057 86.543543) + (xy 112.903 86.523578) + (xy 112.776943 86.543543) + (xy 112.663228 86.601484) + (xy 112.572984 86.691728) + (xy 112.515043 86.805443) + (xy 112.495078 86.931499) + (xy 112.495078 86.9315) + (xy 108.230922 86.9315) + (xy 108.210957 86.805445) + (xy 108.153016 86.691729) + (xy 108.062771 86.601484) + (xy 107.949055 86.543543) + (xy 107.949057 86.543543) + (xy 107.823 86.523578) + (xy 107.696943 86.543543) + (xy 107.583228 86.601484) + (xy 107.492984 86.691728) + (xy 107.435043 86.805443) + (xy 107.415078 86.931499) + (xy 107.415078 86.9315) + (xy 98.070922 86.9315) + (xy 98.050957 86.805445) + (xy 97.993016 86.691729) + (xy 97.902771 86.601484) + (xy 97.789055 86.543543) + (xy 97.789057 86.543543) + (xy 97.663 86.523578) + (xy 97.536943 86.543543) + (xy 97.423228 86.601484) + (xy 97.332984 86.691728) + (xy 97.275043 86.805443) + (xy 97.255078 86.931499) + (xy 97.255078 86.9315) + (xy 92.990922 86.9315) + (xy 92.970957 86.805445) + (xy 92.913016 86.691729) + (xy 92.822771 86.601484) + (xy 92.709055 86.543543) + (xy 92.709057 86.543543) + (xy 92.583 86.523578) + (xy 92.456943 86.543543) + (xy 92.343228 86.601484) + (xy 92.252984 86.691728) + (xy 92.195043 86.805443) + (xy 92.175078 86.931499) + (xy 92.175078 86.9315) + (xy 87.910922 86.9315) + (xy 87.890957 86.805445) + (xy 87.833016 86.691729) + (xy 87.742771 86.601484) + (xy 87.629055 86.543543) + (xy 87.629057 86.543543) + (xy 87.503 86.523578) + (xy 87.376943 86.543543) + (xy 87.263228 86.601484) + (xy 87.172984 86.691728) + (xy 87.115043 86.805443) + (xy 87.095078 86.931499) + (xy 87.095078 86.9315) + (xy 52.006499 86.9315) + (xy 52.577999 86.36) + (xy 57.504078 86.36) + (xy 57.524043 86.486056) + (xy 57.543162 86.523578) + (xy 57.581984 86.599771) + (xy 57.672229 86.690016) + (xy 57.785943 86.747956) + (xy 57.785945 86.747957) + (xy 57.912 86.767922) + (xy 58.038055 86.747957) + (xy 58.151771 86.690016) + (xy 58.242016 86.599771) + (xy 58.299957 86.486055) + (xy 58.319922 86.36) + (xy 58.299957 86.233945) + (xy 58.242016 86.120229) + (xy 58.151771 86.029984) + (xy 58.038055 85.972043) + (xy 58.038057 85.972043) + (xy 57.912 85.952078) + (xy 57.785943 85.972043) + (xy 57.672228 86.029984) + (xy 57.581984 86.120228) + (xy 57.524043 86.233943) + (xy 57.504078 86.359999) + (xy 57.504078 86.36) + (xy 52.577999 86.36) + (xy 53.508934 85.429065) + (xy 53.555553 85.407326) + (xy 53.60524 85.42064) + (xy 53.634745 85.462777) + (xy 53.630261 85.514021) + (xy 53.629111 85.51638) + (xy 53.587043 85.598943) + (xy 53.567078 85.724999) + (xy 53.567078 85.725) + (xy 53.587043 85.851056) + (xy 53.638517 85.952078) + (xy 53.644984 85.964771) + (xy 53.735229 86.055016) + (xy 53.848943 86.112956) + (xy 53.848945 86.112957) + (xy 53.975 86.132922) + (xy 54.101055 86.112957) + (xy 54.214771 86.055016) + (xy 54.305016 85.964771) + (xy 54.362957 85.851055) + (xy 54.382922 85.725) + (xy 61.695078 85.725) + (xy 61.715043 85.851056) + (xy 61.766517 85.952078) + (xy 61.772984 85.964771) + (xy 61.863229 86.055016) + (xy 61.976943 86.112956) + (xy 61.976945 86.112957) + (xy 62.103 86.132922) + (xy 62.229055 86.112957) + (xy 62.342771 86.055016) + (xy 62.433016 85.964771) + (xy 62.490957 85.851055) + (xy 62.510922 85.725) + (xy 66.775078 85.725) + (xy 66.795043 85.851056) + (xy 66.846517 85.952078) + (xy 66.852984 85.964771) + (xy 66.943229 86.055016) + (xy 67.056943 86.112956) + (xy 67.056945 86.112957) + (xy 67.183 86.132922) + (xy 67.309055 86.112957) + (xy 67.422771 86.055016) + (xy 67.513016 85.964771) + (xy 67.570957 85.851055) + (xy 67.590922 85.725) + (xy 71.855078 85.725) + (xy 71.875043 85.851056) + (xy 71.926517 85.952078) + (xy 71.932984 85.964771) + (xy 72.023229 86.055016) + (xy 72.136943 86.112956) + (xy 72.136945 86.112957) + (xy 72.263 86.132922) + (xy 72.389055 86.112957) + (xy 72.502771 86.055016) + (xy 72.593016 85.964771) + (xy 72.650957 85.851055) + (xy 72.670922 85.725) + (xy 76.935078 85.725) + (xy 76.955043 85.851056) + (xy 77.006517 85.952078) + (xy 77.012984 85.964771) + (xy 77.103229 86.055016) + (xy 77.216943 86.112956) + (xy 77.216945 86.112957) + (xy 77.343 86.132922) + (xy 77.469055 86.112957) + (xy 77.582771 86.055016) + (xy 77.673016 85.964771) + (xy 77.730957 85.851055) + (xy 77.750922 85.725) + (xy 82.015078 85.725) + (xy 82.035043 85.851056) + (xy 82.086517 85.952078) + (xy 82.092984 85.964771) + (xy 82.183229 86.055016) + (xy 82.296943 86.112956) + (xy 82.296945 86.112957) + (xy 82.423 86.132922) + (xy 82.549055 86.112957) + (xy 82.662771 86.055016) + (xy 82.753016 85.964771) + (xy 82.810957 85.851055) + (xy 82.830922 85.725) + (xy 102.335078 85.725) + (xy 102.355043 85.851056) + (xy 102.406517 85.952078) + (xy 102.412984 85.964771) + (xy 102.503229 86.055016) + (xy 102.616943 86.112956) + (xy 102.616945 86.112957) + (xy 102.743 86.132922) + (xy 102.869055 86.112957) + (xy 102.982771 86.055016) + (xy 103.073016 85.964771) + (xy 103.130957 85.851055) + (xy 103.150922 85.725) + (xy 122.655078 85.725) + (xy 122.675043 85.851056) + (xy 122.726517 85.952078) + (xy 122.732984 85.964771) + (xy 122.823229 86.055016) + (xy 122.936943 86.112956) + (xy 122.936945 86.112957) + (xy 123.063 86.132922) + (xy 123.189055 86.112957) + (xy 123.302771 86.055016) + (xy 123.393016 85.964771) + (xy 123.450957 85.851055) + (xy 123.470922 85.725) + (xy 127.735078 85.725) + (xy 127.755043 85.851056) + (xy 127.806517 85.952078) + (xy 127.812984 85.964771) + (xy 127.903229 86.055016) + (xy 128.016943 86.112956) + (xy 128.016945 86.112957) + (xy 128.143 86.132922) + (xy 128.269055 86.112957) + (xy 128.382771 86.055016) + (xy 128.473016 85.964771) + (xy 128.530957 85.851055) + (xy 128.550922 85.725) + (xy 132.815078 85.725) + (xy 132.835043 85.851056) + (xy 132.886517 85.952078) + (xy 132.892984 85.964771) + (xy 132.983229 86.055016) + (xy 133.096943 86.112956) + (xy 133.096945 86.112957) + (xy 133.223 86.132922) + (xy 133.349055 86.112957) + (xy 133.462771 86.055016) + (xy 133.553016 85.964771) + (xy 133.610957 85.851055) + (xy 133.630922 85.725) + (xy 137.895078 85.725) + (xy 137.915043 85.851056) + (xy 137.966517 85.952078) + (xy 137.972984 85.964771) + (xy 138.063229 86.055016) + (xy 138.176943 86.112956) + (xy 138.176945 86.112957) + (xy 138.303 86.132922) + (xy 138.429055 86.112957) + (xy 138.542771 86.055016) + (xy 138.633016 85.964771) + (xy 138.690957 85.851055) + (xy 138.710922 85.725) + (xy 142.975078 85.725) + (xy 142.995043 85.851056) + (xy 143.046517 85.952078) + (xy 143.052984 85.964771) + (xy 143.143229 86.055016) + (xy 143.256943 86.112956) + (xy 143.256945 86.112957) + (xy 143.383 86.132922) + (xy 143.509055 86.112957) + (xy 143.622771 86.055016) + (xy 143.713016 85.964771) + (xy 143.770957 85.851055) + (xy 143.790922 85.725) + (xy 143.770957 85.598945) + (xy 143.713016 85.485229) + (xy 143.622771 85.394984) + (xy 143.509055 85.337043) + (xy 143.509057 85.337043) + (xy 143.383 85.317078) + (xy 143.256943 85.337043) + (xy 143.143228 85.394984) + (xy 143.052984 85.485228) + (xy 142.995043 85.598943) + (xy 142.975078 85.724999) + (xy 142.975078 85.725) + (xy 138.710922 85.725) + (xy 138.690957 85.598945) + (xy 138.633016 85.485229) + (xy 138.542771 85.394984) + (xy 138.429055 85.337043) + (xy 138.429057 85.337043) + (xy 138.303 85.317078) + (xy 138.176943 85.337043) + (xy 138.063228 85.394984) + (xy 137.972984 85.485228) + (xy 137.915043 85.598943) + (xy 137.895078 85.724999) + (xy 137.895078 85.725) + (xy 133.630922 85.725) + (xy 133.610957 85.598945) + (xy 133.553016 85.485229) + (xy 133.462771 85.394984) + (xy 133.349055 85.337043) + (xy 133.349057 85.337043) + (xy 133.223 85.317078) + (xy 133.096943 85.337043) + (xy 132.983228 85.394984) + (xy 132.892984 85.485228) + (xy 132.835043 85.598943) + (xy 132.815078 85.724999) + (xy 132.815078 85.725) + (xy 128.550922 85.725) + (xy 128.530957 85.598945) + (xy 128.473016 85.485229) + (xy 128.382771 85.394984) + (xy 128.269055 85.337043) + (xy 128.269057 85.337043) + (xy 128.143 85.317078) + (xy 128.016943 85.337043) + (xy 127.903228 85.394984) + (xy 127.812984 85.485228) + (xy 127.755043 85.598943) + (xy 127.735078 85.724999) + (xy 127.735078 85.725) + (xy 123.470922 85.725) + (xy 123.450957 85.598945) + (xy 123.393016 85.485229) + (xy 123.302771 85.394984) + (xy 123.189055 85.337043) + (xy 123.189057 85.337043) + (xy 123.063 85.317078) + (xy 122.936943 85.337043) + (xy 122.823228 85.394984) + (xy 122.732984 85.485228) + (xy 122.675043 85.598943) + (xy 122.655078 85.724999) + (xy 122.655078 85.725) + (xy 103.150922 85.725) + (xy 103.130957 85.598945) + (xy 103.073016 85.485229) + (xy 102.982771 85.394984) + (xy 102.869055 85.337043) + (xy 102.869057 85.337043) + (xy 102.743 85.317078) + (xy 102.616943 85.337043) + (xy 102.503228 85.394984) + (xy 102.412984 85.485228) + (xy 102.355043 85.598943) + (xy 102.335078 85.724999) + (xy 102.335078 85.725) + (xy 82.830922 85.725) + (xy 82.810957 85.598945) + (xy 82.753016 85.485229) + (xy 82.662771 85.394984) + (xy 82.549055 85.337043) + (xy 82.549057 85.337043) + (xy 82.423 85.317078) + (xy 82.296943 85.337043) + (xy 82.183228 85.394984) + (xy 82.092984 85.485228) + (xy 82.035043 85.598943) + (xy 82.015078 85.724999) + (xy 82.015078 85.725) + (xy 77.750922 85.725) + (xy 77.730957 85.598945) + (xy 77.673016 85.485229) + (xy 77.582771 85.394984) + (xy 77.469055 85.337043) + (xy 77.469057 85.337043) + (xy 77.343 85.317078) + (xy 77.216943 85.337043) + (xy 77.103228 85.394984) + (xy 77.012984 85.485228) + (xy 76.955043 85.598943) + (xy 76.935078 85.724999) + (xy 76.935078 85.725) + (xy 72.670922 85.725) + (xy 72.650957 85.598945) + (xy 72.593016 85.485229) + (xy 72.502771 85.394984) + (xy 72.389055 85.337043) + (xy 72.389057 85.337043) + (xy 72.263 85.317078) + (xy 72.136943 85.337043) + (xy 72.023228 85.394984) + (xy 71.932984 85.485228) + (xy 71.875043 85.598943) + (xy 71.855078 85.724999) + (xy 71.855078 85.725) + (xy 67.590922 85.725) + (xy 67.570957 85.598945) + (xy 67.513016 85.485229) + (xy 67.422771 85.394984) + (xy 67.309055 85.337043) + (xy 67.309057 85.337043) + (xy 67.183 85.317078) + (xy 67.056943 85.337043) + (xy 66.943228 85.394984) + (xy 66.852984 85.485228) + (xy 66.795043 85.598943) + (xy 66.775078 85.724999) + (xy 66.775078 85.725) + (xy 62.510922 85.725) + (xy 62.490957 85.598945) + (xy 62.433016 85.485229) + (xy 62.342771 85.394984) + (xy 62.229055 85.337043) + (xy 62.229057 85.337043) + (xy 62.103 85.317078) + (xy 61.976943 85.337043) + (xy 61.863228 85.394984) + (xy 61.772984 85.485228) + (xy 61.715043 85.598943) + (xy 61.695078 85.724999) + (xy 61.695078 85.725) + (xy 54.382922 85.725) + (xy 54.362957 85.598945) + (xy 54.305016 85.485229) + (xy 54.214771 85.394984) + (xy 54.101055 85.337043) + (xy 54.101057 85.337043) + (xy 53.975 85.317078) + (xy 53.848943 85.337043) + (xy 53.76638 85.379111) + (xy 53.715323 85.38538) + (xy 53.672182 85.357363) + (xy 53.657143 85.308171) + (xy 53.677243 85.26082) + (xy 53.679037 85.258962) + (xy 54.274014 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.593423 85.42064) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.756478 84.328) + (xy 59.536078 84.328) + (xy 59.556043 84.454056) + (xy 59.572615 84.48658) + (xy 59.613984 84.567771) + (xy 59.704229 84.658016) + (xy 59.817943 84.715956) + (xy 59.817945 84.715957) + (xy 59.944 84.735922) + (xy 60.070055 84.715957) + (xy 60.183771 84.658016) + (xy 60.274016 84.567771) + (xy 60.331957 84.454055) + (xy 60.351922 84.328) + (xy 60.350588 84.31958) + (xy 60.348164 84.304276) + (xy 60.331957 84.201945) + (xy 60.274016 84.088229) + (xy 60.183771 83.997984) + (xy 60.070055 83.940043) + (xy 60.070057 83.940043) + (xy 59.944 83.920078) + (xy 59.817943 83.940043) + (xy 59.704228 83.997984) + (xy 59.613984 84.088228) + (xy 59.556043 84.201943) + (xy 59.536078 84.327999) + (xy 59.536078 84.328) + (xy 57.756478 84.328) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274014 84.663985) + (xy 56.048934 82.889065) + (xy 56.095553 82.867326) + (xy 56.14524 82.88064) + (xy 56.174745 82.922777) + (xy 56.170261 82.974021) + (xy 56.169111 82.97638) + (xy 56.127043 83.058943) + (xy 56.107078 83.184999) + (xy 56.107078 83.185) + (xy 56.127043 83.311056) + (xy 56.184984 83.424771) + (xy 56.275229 83.515016) + (xy 56.388943 83.572956) + (xy 56.388945 83.572957) + (xy 56.515 83.592922) + (xy 56.641055 83.572957) + (xy 56.754771 83.515016) + (xy 56.845016 83.424771) + (xy 56.902957 83.311055) + (xy 56.922922 83.185) + (xy 64.235078 83.185) + (xy 64.255043 83.311056) + (xy 64.312984 83.424771) + (xy 64.403229 83.515016) + (xy 64.516943 83.572956) + (xy 64.516945 83.572957) + (xy 64.643 83.592922) + (xy 64.769055 83.572957) + (xy 64.882771 83.515016) + (xy 64.973016 83.424771) + (xy 65.030957 83.311055) + (xy 65.050922 83.185) + (xy 69.315078 83.185) + (xy 69.335043 83.311056) + (xy 69.392984 83.424771) + (xy 69.483229 83.515016) + (xy 69.596943 83.572956) + (xy 69.596945 83.572957) + (xy 69.723 83.592922) + (xy 69.849055 83.572957) + (xy 69.962771 83.515016) + (xy 70.053016 83.424771) + (xy 70.110957 83.311055) + (xy 70.130922 83.185) + (xy 74.395078 83.185) + (xy 74.415043 83.311056) + (xy 74.472984 83.424771) + (xy 74.563229 83.515016) + (xy 74.676943 83.572956) + (xy 74.676945 83.572957) + (xy 74.803 83.592922) + (xy 74.929055 83.572957) + (xy 75.042771 83.515016) + (xy 75.133016 83.424771) + (xy 75.190957 83.311055) + (xy 75.210922 83.185) + (xy 79.475078 83.185) + (xy 79.495043 83.311056) + (xy 79.552984 83.424771) + (xy 79.643229 83.515016) + (xy 79.756943 83.572956) + (xy 79.756945 83.572957) + (xy 79.883 83.592922) + (xy 80.009055 83.572957) + (xy 80.122771 83.515016) + (xy 80.213016 83.424771) + (xy 80.270957 83.311055) + (xy 80.290922 83.185) + (xy 99.795078 83.185) + (xy 99.815043 83.311056) + (xy 99.872984 83.424771) + (xy 99.963229 83.515016) + (xy 100.076943 83.572956) + (xy 100.076945 83.572957) + (xy 100.203 83.592922) + (xy 100.329055 83.572957) + (xy 100.442771 83.515016) + (xy 100.533016 83.424771) + (xy 100.590957 83.311055) + (xy 100.610922 83.185) + (xy 104.875078 83.185) + (xy 104.895043 83.311056) + (xy 104.952984 83.424771) + (xy 105.043229 83.515016) + (xy 105.156943 83.572956) + (xy 105.156945 83.572957) + (xy 105.283 83.592922) + (xy 105.409055 83.572957) + (xy 105.522771 83.515016) + (xy 105.613016 83.424771) + (xy 105.670957 83.311055) + (xy 105.690922 83.185) + (xy 120.115078 83.185) + (xy 120.135043 83.311056) + (xy 120.192984 83.424771) + (xy 120.283229 83.515016) + (xy 120.396943 83.572956) + (xy 120.396945 83.572957) + (xy 120.523 83.592922) + (xy 120.649055 83.572957) + (xy 120.762771 83.515016) + (xy 120.853016 83.424771) + (xy 120.910957 83.311055) + (xy 120.930922 83.185) + (xy 125.195078 83.185) + (xy 125.215043 83.311056) + (xy 125.272984 83.424771) + (xy 125.363229 83.515016) + (xy 125.476943 83.572956) + (xy 125.476945 83.572957) + (xy 125.603 83.592922) + (xy 125.729055 83.572957) + (xy 125.842771 83.515016) + (xy 125.933016 83.424771) + (xy 125.990957 83.311055) + (xy 126.010922 83.185) + (xy 130.275078 83.185) + (xy 130.295043 83.311056) + (xy 130.352984 83.424771) + (xy 130.443229 83.515016) + (xy 130.556943 83.572956) + (xy 130.556945 83.572957) + (xy 130.683 83.592922) + (xy 130.809055 83.572957) + (xy 130.922771 83.515016) + (xy 131.013016 83.424771) + (xy 131.070957 83.311055) + (xy 131.090922 83.185) + (xy 135.355078 83.185) + (xy 135.375043 83.311056) + (xy 135.432984 83.424771) + (xy 135.523229 83.515016) + (xy 135.636943 83.572956) + (xy 135.636945 83.572957) + (xy 135.763 83.592922) + (xy 135.889055 83.572957) + (xy 136.002771 83.515016) + (xy 136.093016 83.424771) + (xy 136.150957 83.311055) + (xy 136.170922 83.185) + (xy 136.150957 83.058945) + (xy 136.093016 82.945229) + (xy 136.002771 82.854984) + (xy 135.889055 82.797043) + (xy 135.889057 82.797043) + (xy 135.763 82.777078) + (xy 135.636943 82.797043) + (xy 135.523228 82.854984) + (xy 135.432984 82.945228) + (xy 135.375043 83.058943) + (xy 135.355078 83.184999) + (xy 135.355078 83.185) + (xy 131.090922 83.185) + (xy 131.070957 83.058945) + (xy 131.013016 82.945229) + (xy 130.922771 82.854984) + (xy 130.809055 82.797043) + (xy 130.809057 82.797043) + (xy 130.683 82.777078) + (xy 130.556943 82.797043) + (xy 130.443228 82.854984) + (xy 130.352984 82.945228) + (xy 130.295043 83.058943) + (xy 130.275078 83.184999) + (xy 130.275078 83.185) + (xy 126.010922 83.185) + (xy 125.990957 83.058945) + (xy 125.933016 82.945229) + (xy 125.842771 82.854984) + (xy 125.729055 82.797043) + (xy 125.729057 82.797043) + (xy 125.603 82.777078) + (xy 125.476943 82.797043) + (xy 125.363228 82.854984) + (xy 125.272984 82.945228) + (xy 125.215043 83.058943) + (xy 125.195078 83.184999) + (xy 125.195078 83.185) + (xy 120.930922 83.185) + (xy 120.910957 83.058945) + (xy 120.853016 82.945229) + (xy 120.762771 82.854984) + (xy 120.649055 82.797043) + (xy 120.649057 82.797043) + (xy 120.523 82.777078) + (xy 120.396943 82.797043) + (xy 120.283228 82.854984) + (xy 120.192984 82.945228) + (xy 120.135043 83.058943) + (xy 120.115078 83.184999) + (xy 120.115078 83.185) + (xy 105.690922 83.185) + (xy 105.670957 83.058945) + (xy 105.613016 82.945229) + (xy 105.522771 82.854984) + (xy 105.409055 82.797043) + (xy 105.409057 82.797043) + (xy 105.283 82.777078) + (xy 105.156943 82.797043) + (xy 105.043228 82.854984) + (xy 104.952984 82.945228) + (xy 104.895043 83.058943) + (xy 104.875078 83.184999) + (xy 104.875078 83.185) + (xy 100.610922 83.185) + (xy 100.590957 83.058945) + (xy 100.533016 82.945229) + (xy 100.442771 82.854984) + (xy 100.329055 82.797043) + (xy 100.329057 82.797043) + (xy 100.203 82.777078) + (xy 100.076943 82.797043) + (xy 99.963228 82.854984) + (xy 99.872984 82.945228) + (xy 99.815043 83.058943) + (xy 99.795078 83.184999) + (xy 99.795078 83.185) + (xy 80.290922 83.185) + (xy 80.270957 83.058945) + (xy 80.213016 82.945229) + (xy 80.122771 82.854984) + (xy 80.009055 82.797043) + (xy 80.009057 82.797043) + (xy 79.883 82.777078) + (xy 79.756943 82.797043) + (xy 79.643228 82.854984) + (xy 79.552984 82.945228) + (xy 79.495043 83.058943) + (xy 79.475078 83.184999) + (xy 79.475078 83.185) + (xy 75.210922 83.185) + (xy 75.190957 83.058945) + (xy 75.133016 82.945229) + (xy 75.042771 82.854984) + (xy 74.929055 82.797043) + (xy 74.929057 82.797043) + (xy 74.803 82.777078) + (xy 74.676943 82.797043) + (xy 74.563228 82.854984) + (xy 74.472984 82.945228) + (xy 74.415043 83.058943) + (xy 74.395078 83.184999) + (xy 74.395078 83.185) + (xy 70.130922 83.185) + (xy 70.110957 83.058945) + (xy 70.053016 82.945229) + (xy 69.962771 82.854984) + (xy 69.849055 82.797043) + (xy 69.849057 82.797043) + (xy 69.723 82.777078) + (xy 69.596943 82.797043) + (xy 69.483228 82.854984) + (xy 69.392984 82.945228) + (xy 69.335043 83.058943) + (xy 69.315078 83.184999) + (xy 69.315078 83.185) + (xy 65.050922 83.185) + (xy 65.030957 83.058945) + (xy 64.973016 82.945229) + (xy 64.882771 82.854984) + (xy 64.769055 82.797043) + (xy 64.769057 82.797043) + (xy 64.643 82.777078) + (xy 64.516943 82.797043) + (xy 64.403228 82.854984) + (xy 64.312984 82.945228) + (xy 64.255043 83.058943) + (xy 64.235078 83.184999) + (xy 64.235078 83.185) + (xy 56.922922 83.185) + (xy 56.902957 83.058945) + (xy 56.845016 82.945229) + (xy 56.754771 82.854984) + (xy 56.641055 82.797043) + (xy 56.641057 82.797043) + (xy 56.515 82.777078) + (xy 56.388943 82.797043) + (xy 56.30638 82.839111) + (xy 56.255323 82.84538) + (xy 56.212182 82.817363) + (xy 56.197143 82.768171) + (xy 56.217243 82.72082) + (xy 56.219037 82.718962) + (xy 56.560009 82.37799) + (xy 139.631845 82.37799) + (xy 139.636726 82.46801) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.033379 83.135367) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 56.560009 82.37799) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.683865 80.516026) + (xy 58.692202 80.514359) + (xy 58.74305 80.522128) + (xy 58.77701 80.560765) + (xy 58.781227 80.599862) + (xy 58.774078 80.644999) + (xy 58.774078 80.645) + (xy 58.794043 80.771056) + (xy 58.851984 80.884771) + (xy 58.942229 80.975016) + (xy 59.055943 81.032956) + (xy 59.055945 81.032957) + (xy 59.182 81.052922) + (xy 59.308055 81.032957) + (xy 59.421771 80.975016) + (xy 59.512016 80.884771) + (xy 59.569957 80.771055) + (xy 59.589922 80.645) + (xy 59.569957 80.518945) + (xy 59.569956 80.518943) + (xy 59.569956 80.518942) + (xy 59.560478 80.500341) + (xy 59.554208 80.449285) + (xy 59.582223 80.406143) + (xy 59.627481 80.391) + (xy 61.657519 80.391) + ) + ) + ) +) diff --git a/Hardware/LCMXO2/GR8RAM.kicad_pro b/Hardware/LCMXO2/GR8RAM.kicad_pro new file mode 100644 index 0000000..9875438 --- /dev/null +++ b/Hardware/LCMXO2/GR8RAM.kicad_pro @@ -0,0 +1,538 @@ +{ + "board": { + "3dviewports": [], + "design_settings": { + "defaults": { + "board_outline_line_width": 0.15, + "copper_line_width": 0.15239999999999998, + "copper_text_italic": false, + "copper_text_size_h": 1.5, + "copper_text_size_v": 1.5, + "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, + "other_line_width": 0.09999999999999999, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.0, + "height": 0.4, + "width": 0.65 + }, + "silk_line_width": 0.15, + "silk_text_italic": false, + "silk_text_size_h": 1.0, + "silk_text_size_v": 1.0, + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.15 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [], + "meta": { + "filename": "board_design_settings.json", + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "connection_width": "warning", + "copper_edge_clearance": "error", + "copper_sliver": "error", + "courtyards_overlap": "warning", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "error", + "extra_footprint": "error", + "footprint": "error", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "isolated_copper": "warning", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "lib_footprint_issues": "ignore", + "lib_footprint_mismatch": "warning", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "error", + "net_conflict": "error", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_edge_clearance": "warning", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "solder_mask_bridge": "ignore", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zones_intersect": "error" + }, + "rule_severitieslegacy_courtyards_overlap": true, + "rule_severitieslegacy_no_courtyard_defined": false, + "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.15, + "min_connection": 0.12, + "min_copper_edge_clearance": 0.4064, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.254, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, + "min_silk_clearance": 0.0, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.15, + "min_via_annular_width": 0.09999999999999999, + "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, + "use_height_for_length_calcs": true + }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], + "track_widths": [ + 0.0, + 0.15, + 0.2, + 0.25, + 0.3, + 0.35, + 0.4, + 0.45, + 0.5, + 0.6, + 0.8, + 1.0, + 1.27, + 1.524 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + }, + { + "diameter": 0.5, + "drill": 0.3 + }, + { + "diameter": 0.6, + "drill": 0.3 + }, + { + "diameter": 0.8, + "drill": 0.4 + }, + { + "diameter": 1.0, + "drill": 0.5 + }, + { + "diameter": 1.524, + "drill": 0.762 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true + }, + "layer_presets": [], + "viewports": [] + }, + "boards": [], + "cvpcb": { + "equivalence_files": [] + }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "simulation_model_issue": "ignore", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, + "libraries": { + "pinned_footprint_libs": [], + "pinned_symbol_libs": [] + }, + "meta": { + "filename": "GR8RAM.kicad_pro", + "version": 1 + }, + "net_settings": { + "classes": [ + { + "bus_width": 12, + "clearance": 0.15, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "Default", + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.15, + "via_diameter": 0.5, + "via_drill": 0.3, + "wire_width": 6 + } + ], + "meta": { + "version": 3 + }, + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] + }, + "pcbnew": { + "last_paths": { + "gencad": "", + "idf": "", + "netlist": "GR8RAM.net", + "specctra_dsn": "", + "step": "", + "vrml": "" + }, + "page_layout_descr_file": "" + }, + "schematic": { + "annotate_start_num": 0, + "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.25, + "pin_symbol_size": 0.0, + "text_offset_ratio": 0.08 + }, + "legacy_lib_dir": "", + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "Pcbnew", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_current_sheet_as_root": false, + "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, + "subpart_first_id": 65, + "subpart_id_separator": 0 + }, + "sheets": [ + [ + "a29f8df0-3fae-4edf-8d9c-bd5a875b13e3", + "" + ] + ], + "text_variables": {} +} diff --git a/Hardware/LCMXO2/GR8RAM.kicad_sch b/Hardware/LCMXO2/GR8RAM.kicad_sch new file mode 100644 index 0000000..40985cd --- /dev/null +++ b/Hardware/LCMXO2/GR8RAM.kicad_sch @@ -0,0 +1,10176 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid a29f8df0-3fae-4edf-8d9c-bd5a875b13e3) + + (paper "USLetter") + + (title_block + (title "GR8RAM") + (date "2021-04-20") + (rev "1.9") + (company "Garrett's Workshop") + ) + + (lib_symbols + (symbol "Connector:USB_B_Micro" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (at -5.08 11.43 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USB_B_Micro" (at -5.08 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector USB micro" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB Micro Type B connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "USB*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USB_B_Micro_0_1" + (rectangle (start -5.08 -7.62) (end 5.08 7.62) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (circle (center -3.81 2.159) (radius 0.635) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (circle (center -0.635 3.429) (radius 0.381) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (rectangle (start -0.127 -7.62) (end 0.127 -6.858) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 2.159) + (xy 0.635 2.159) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.175 2.159) + (xy -2.54 2.159) + (xy -1.27 3.429) + (xy -0.635 3.429) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.159) + (xy -1.905 2.159) + (xy -1.27 0.889) + (xy 0 0.889) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 2.794) + (xy 0.635 1.524) + (xy 1.905 2.159) + (xy 0.635 2.794) + ) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -4.318 5.588) + (xy -1.778 5.588) + (xy -2.032 4.826) + (xy -4.064 4.826) + (xy -4.318 5.588) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -4.699 5.842) + (xy -4.699 5.588) + (xy -4.445 4.826) + (xy -4.445 4.572) + (xy -1.651 4.572) + (xy -1.651 4.826) + (xy -1.397 5.588) + (xy -1.397 5.842) + (xy -4.699 5.842) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0.254 1.27) (end -0.508 0.508) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (rectangle (start 5.08 -5.207) (end 4.318 -4.953) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 -2.667) (end 4.318 -2.413) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 -0.127) (end 4.318 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 4.953) (end 4.318 5.207) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line (at 7.62 5.08 180) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 -2.54 180) (length 2.54) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 0 180) (length 2.54) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 2.54) + (name "ID" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -10.16 90) (length 2.54) + (name "Shield" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x05_Odd_Even_1_1" + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 3.81 -6.35) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_02x25_Counter_Clockwise" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 1.27 33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_02x25_Counter_Clockwise" (at 1.27 -33.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, double row, 02x25, counter clockwise pin numbering scheme (similar to DIP packge numbering), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_02x25_Counter_Clockwise_1_1" + (rectangle (start -1.27 -30.353) (end 0 -30.607) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -27.813) (end 0 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -25.273) (end 0 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -22.733) (end 0 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -20.193) (end 0 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 17.907) (end 0 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 20.447) (end 0 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 22.987) (end 0 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 25.527) (end 0 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 28.067) (end 0 27.813) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 30.607) (end 0 30.353) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start -1.27 31.75) (end 3.81 -31.75) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (rectangle (start 3.81 -30.353) (end 2.54 -30.607) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -27.813) (end 2.54 -28.067) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -25.273) (end 2.54 -25.527) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -22.733) (end 2.54 -22.987) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -20.193) (end 2.54 -20.447) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -17.653) (end 2.54 -17.907) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -15.113) (end 2.54 -15.367) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -12.573) (end 2.54 -12.827) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -10.033) (end 2.54 -10.287) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -7.493) (end 2.54 -7.747) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -4.953) (end 2.54 -5.207) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 -2.413) (end 2.54 -2.667) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 0.127) (end 2.54 -0.127) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 2.667) (end 2.54 2.413) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 5.207) (end 2.54 4.953) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 7.747) (end 2.54 7.493) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 10.287) (end 2.54 10.033) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 12.827) (end 2.54 12.573) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 15.367) (end 2.54 15.113) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 17.907) (end 2.54 17.653) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 20.447) (end 2.54 20.193) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 22.987) (end 2.54 22.733) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 25.527) (end 2.54 25.273) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 28.067) (end 2.54 27.813) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (rectangle (start 3.81 30.607) (end 2.54 30.353) + (stroke (width 0.1524) (type default)) + (fill (type none)) + ) + (pin passive line (at -5.08 30.48 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_15" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_16" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_17" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_18" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_19" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 27.94 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_20" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -20.32 0) (length 3.81) + (name "Pin_21" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -22.86 0) (length 3.81) + (name "Pin_22" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -25.4 0) (length 3.81) + (name "Pin_23" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -27.94 0) (length 3.81) + (name "Pin_24" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -30.48 0) (length 3.81) + (name "Pin_25" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -30.48 180) (length 3.81) + (name "Pin_26" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -27.94 180) (length 3.81) + (name "Pin_27" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -25.4 180) (length 3.81) + (name "Pin_28" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -22.86 180) (length 3.81) + (name "Pin_29" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 25.4 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -20.32 180) (length 3.81) + (name "Pin_30" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -17.78 180) (length 3.81) + (name "Pin_31" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -15.24 180) (length 3.81) + (name "Pin_32" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -12.7 180) (length 3.81) + (name "Pin_33" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -10.16 180) (length 3.81) + (name "Pin_34" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -7.62 180) (length 3.81) + (name "Pin_35" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 3.81) + (name "Pin_36" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -2.54 180) (length 3.81) + (name "Pin_37" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 3.81) + (name "Pin_38" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 3.81) + (name "Pin_39" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 22.86 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 5.08 180) (length 3.81) + (name "Pin_40" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 7.62 180) (length 3.81) + (name "Pin_41" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 10.16 180) (length 3.81) + (name "Pin_42" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 12.7 180) (length 3.81) + (name "Pin_43" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 15.24 180) (length 3.81) + (name "Pin_44" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 17.78 180) (length 3.81) + (name "Pin_45" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 20.32 180) (length 3.81) + (name "Pin_46" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 22.86 180) (length 3.81) + (name "Pin_47" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 25.4 180) (length 3.81) + (name "Pin_48" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 27.94 180) (length 3.81) + (name "Pin_49" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 20.32 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 30.48 180) (length 3.81) + (name "Pin_50" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 17.78 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:Crystal_GND24_Small" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Y" (at 1.27 4.445 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Crystal_GND24_Small" (at 1.27 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Four pin crystal, GND on pins 2 and 4, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Crystal*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Crystal_GND24_Small_0_1" + (rectangle (start -0.762 -1.524) (end 0.762 1.524) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -0.762) + (xy -1.27 0.762) + ) + (stroke (width 0.381) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 -0.762) + (xy 1.27 0.762) + ) + (stroke (width 0.381) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 -1.27) + (xy -1.27 -1.905) + (xy 1.27 -1.905) + (xy 1.27 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 1.905) + (xy 1.27 1.905) + (xy 1.27 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "Crystal_GND24_Small_1_1" + (pin passive line (at -2.54 0 0) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 0.762 0.762)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.635) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 0.762 0.762)))) + ) + (pin passive line (at 2.54 0 180) (length 1.27) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 0.762 0.762)))) + ) + (pin passive line (at 0 2.54 270) (length 0.635) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 0.762 0.762)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G125GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G125GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G125GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "741G125GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74245" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:Oscillator_4P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Oscillator_4P" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Oscillator_4P_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -2.54) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "Oscillator_4P_1_1" + (pin input line (at -8.89 2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 0 0) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 8.89 0 180) (length 2.54) + (name "Output" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 2.54 180) (length 2.54) + (name "Vdd" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_PLD:LCMXO2-640-TG100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LCMXO2-640-TG100" (at 0 0 0) + (effects (font (size 1.016 1.016)) (justify top)) + ) + (property "Footprint" "stdpads:TQFP-100" (at 0 -5.08 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "LCMXO2-640-TG100_1_1" + (rectangle (start -19.05 55.88) (end 19.05 -55.88) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at -24.13 50.8 0) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 33.02 0) (length 5.08) + (name "PL3D" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "100" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 30.48 0) (length 5.08) + (name "PL5A/PCLKT3_1" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 27.94 0) (length 5.08) + (name "PL5B/PCLKC3_1" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 25.4 0) (length 5.08) + (name "PL5C" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 22.86 0) (length 5.08) + (name "PL5D" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 20.32 0) (length 5.08) + (name "PL6A" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 17.78 0) (length 5.08) + (name "PL6B" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 15.24 0) (length 5.08) + (name "PL6C" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 12.7 0) (length 5.08) + (name "PL6D" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 48.26 0) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 10.16 0) (length 5.08) + (name "PL7A/PCLKT3_0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 7.62 0) (length 5.08) + (name "PL7B/PCLKC3_0" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -7.62 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 5.08 0) (length 5.08) + (name "PL7C" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 2.54 0) (length 5.08) + (name "PL7D" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -2.54 0) (length 5.08) + (name "PB4A/CSSPIN" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -5.08 0) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -7.62 0) (length 5.08) + (name "PB4C" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 45.72 0) (length 5.08) + (name "PL2C/PCLKT3_2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -10.16 0) (length 5.08) + (name "PB4D" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -12.7 0) (length 5.08) + (name "PB6A/MCLK/CCLK" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -15.24 0) (length 5.08) + (name "PB6B/SO/SPISO" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -17.78 0) (length 5.08) + (name "PB6C/PCLKT2_0" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -20.32 0) (length 5.08) + (name "PB6D/PCLKC_0" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -22.86 0) (length 5.08) + (name "PB10A" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -25.4 0) (length 5.08) + (name "PB10B" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -27.94 0) (length 5.08) + (name "PB10C/PCLKT2_1" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -30.48 0) (length 5.08) + (name "PB10D/PCLKC2_1" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 43.18 0) (length 5.08) + (name "PL2D/PCLKC3_2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -33.02 0) (length 5.08) + (name "PB12A" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -35.56 0) (length 5.08) + (name "PB12B" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -38.1 0) (length 5.08) + (name "PB12C" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -40.64 0) (length 5.08) + (name "PB12D" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -43.18 0) (length 5.08) + (name "PB14A" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -2.54 60.96 270) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -45.72 0) (length 5.08) + (name "PB14" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -48.26 0) (length 5.08) + (name "PB14C/SN" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 -50.8 0) (length 5.08) + (name "PB14D/SI/SISPI" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 60.96 270) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 60.96 270) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -50.8 180) (length 5.08) + (name "PR7D" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -48.26 180) (length 5.08) + (name "PR7C" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -45.72 180) (length 5.08) + (name "PR7B" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -43.18 180) (length 5.08) + (name "PR7A" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -40.64 180) (length 5.08) + (name "PR6D" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -38.1 180) (length 5.08) + (name "PR6C" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -35.56 180) (length 5.08) + (name "PR6B" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -5.08 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -33.02 180) (length 5.08) + (name "PR6A" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -30.48 180) (length 5.08) + (name "PCLKC1_0/PR5D" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -27.94 180) (length 5.08) + (name "PCLKT1_0/PR5C" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -25.4 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -22.86 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -20.32 180) (length 5.08) + (name "PR3D" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -17.78 180) (length 5.08) + (name "PR3C" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -15.24 180) (length 5.08) + (name "PR3B" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -12.7 180) (length 5.08) + (name "PR3A" (effects (font (size 1.27 1.27)))) + (number "69" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 40.64 0) (length 5.08) + (name "PL3A" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -10.16 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "70" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -7.62 180) (length 5.08) + (name "PR2C" (effects (font (size 1.27 1.27)))) + (number "71" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 -60.96 90) (length 5.08) + (name "GNDIO1" (effects (font (size 1.27 1.27)))) + (number "72" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 2.54 60.96 270) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "73" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -5.08 180) (length 5.08) + (name "PR2B" (effects (font (size 1.27 1.27)))) + (number "74" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 -2.54 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "75" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 2.54 180) (length 5.08) + (name "DONE/PT11D" (effects (font (size 1.27 1.27)))) + (number "76" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 5.08 180) (length 5.08) + (name "~{INIT}/PT11C" (effects (font (size 1.27 1.27)))) + (number "77" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 7.62 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "78" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "79" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 38.1 0) (length 5.08) + (name "PL3B" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 5.08 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "80" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 10.16 180) (length 5.08) + (name "~{PROGRAM}/PT10D" (effects (font (size 1.27 1.27)))) + (number "81" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 12.7 180) (length 5.08) + (name "~{JTAGEN}/PT10C" (effects (font (size 1.27 1.27)))) + (number "82" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 15.24 180) (length 5.08) + (name "PT10B" (effects (font (size 1.27 1.27)))) + (number "83" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 17.78 180) (length 5.08) + (name "PT10A" (effects (font (size 1.27 1.27)))) + (number "84" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 20.32 180) (length 5.08) + (name "SDA/PCLKC0_0/PT9D" (effects (font (size 1.27 1.27)))) + (number "85" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 22.86 180) (length 5.08) + (name "SCL/PCLKT0_0/PT9C" (effects (font (size 1.27 1.27)))) + (number "86" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 25.4 180) (length 5.08) + (name "PT9B/PCLKC0_1" (effects (font (size 1.27 1.27)))) + (number "87" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 27.94 180) (length 5.08) + (name "PT9A/PCLKT0_1" (effects (font (size 1.27 1.27)))) + (number "88" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -24.13 35.56 0) (length 5.08) + (name "PL3C" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 30.48 180) (length 5.08) + (name "TMS/PT7D" (effects (font (size 1.27 1.27)))) + (number "90" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 33.02 180) (length 5.08) + (name "TCK/PT7C" (effects (font (size 1.27 1.27)))) + (number "91" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 0.762 0.762)))) + (number "92" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 7.62 60.96 270) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "93" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 35.56 180) (length 5.08) + (name "TDI/PT7B" (effects (font (size 1.27 1.27)))) + (number "94" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 38.1 180) (length 5.08) + (name "TDO/PT7A" (effects (font (size 1.27 1.27)))) + (number "95" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 40.64 180) (length 5.08) + (name "PT6D" (effects (font (size 1.27 1.27)))) + (number "96" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 43.18 180) (length 5.08) + (name "PT6C" (effects (font (size 1.27 1.27)))) + (number "97" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 45.72 180) (length 5.08) + (name "PT6B" (effects (font (size 1.27 1.27)))) + (number "98" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 24.13 48.26 180) (length 5.08) + (name "PT6A" (effects (font (size 1.27 1.27)))) + (number "99" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Power:AP2125" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP2125" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "AP2125_0_1" + (rectangle (start -6.35 5.08) (end 6.35 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP2125_1_1" + (pin power_in line (at -11.43 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 11.43 2.54 180) (length 5.08) + (name "Vout" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 2.54 0) (length 5.08) + (name "Vin" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SDRAM-16Mx16-TSOP2-54" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -35.56) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_1_1" + (pin power_in line (at -12.7 25.4 0) (length 5.08) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -15.24 180) (length 5.08) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -27.94 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -30.48 180) (length 5.08) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -33.02 180) (length 5.08) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -25.4 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 5.08) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -17.78 180) (length 5.08) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_RAM:SPIFlash-SO-8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 8.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SPIFlash-SO-8" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:stdpads:SOIC-8_5.3mm" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (symbol "SPIFlash-SO-8_0_1" + (rectangle (start -8.89 7.62) (end 8.89 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "SPIFlash-SO-8_1_1" + (pin input line (at -13.97 5.08 0) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 2.54 0) (length 5.08) + (name "DO/IO1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -13.97 0 0) (length 5.08) + (name "~{WP}/IO2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 -2.54 180) (length 5.08) + (name "DI/IO0" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 13.97 0 180) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 13.97 2.54 180) (length 5.08) + (name "~{HLD}/IO3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Interface_USB:CH340G" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 13.97 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "CH340G" (at 1.27 13.97 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" (at 1.27 -13.97 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "http://www.datasheet5.com/pdf-local-2195953" (at -8.89 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "USB UART Serial Converter Interface" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB serial converter, UART, SOIC-16" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOIC*3.9x9.9mm*P1.27mm*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "CH340G_0_1" + (rectangle (start -7.62 12.7) (end 7.62 -12.7) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "CH340G_1_1" + (pin power_in line (at 0 -15.24 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 0 180) (length 2.54) + (name "~{DSR}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "~{RI}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 2.54) + (name "~{DCD}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -7.62 180) (length 2.54) + (name "~{DTR}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -10.16 180) (length 2.54) + (name "~{RTS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "R232" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 15.24 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 10.16 180) (length 2.54) + (name "TXD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 7.62 180) (length 2.54) + (name "RXD" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 15.24 270) (length 2.54) + (name "V3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 2.54 0) (length 2.54) + (name "UD+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 0 0) (length 2.54) + (name "UD-" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "XI" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -10.16 -10.16 0) (length 2.54) + (name "XO" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 2.54) + (name "~{CTS}" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Mechanical:Fiducial" (in_bom yes) (on_board yes) + (property "Reference" "FID" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Fiducial" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "fiducial marker" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Fiducial Marker" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Fiducial*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Fiducial_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 0.508) (type default)) + (fill (type background)) + ) + ) + ) + (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole" (at 0 3.175 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole without connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_0_1" + (circle (center 0 0) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:AP2127K-1.2" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "AP2127K-1.2" (at 0 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (at 0 8.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.2V fixed positive output, SOT-23-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP2127K-1.2_0_1" + (rectangle (start -5.08 4.445) (end 5.08 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP2127K-1.2_1_1" + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Switch:SW_DIP_x02" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "SW_DIP_x02" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "dip switch" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "2x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SW?DIP?x2*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SW_DIP_x02_0_0" + (circle (center -2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center -2.032 2.54) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.127) + (xy 2.3622 1.1684) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 2.667) + (xy 2.3622 3.7084) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (circle (center 2.032 2.54) (radius 0.508) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "SW_DIP_x02_0_1" + (rectangle (start -3.81 5.08) (end 3.81 -2.54) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "SW_DIP_x02_1_1" + (pin passive line (at -7.62 2.54 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 0 0) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 0 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 2.54 180) (length 5.08) + (name "~" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+12V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+12V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V2" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V2\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V2_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V2_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:-12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-12V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-12V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-5V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-5V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 214.63 27.94) (diameter 0) (color 0 0 0 0) + (uuid 022502e0-e724-4b75-bc35-3c5984dbeb76) + ) + (junction (at 237.49 38.1) (diameter 0) (color 0 0 0 0) + (uuid 02906903-531f-4284-99a4-4f72393687a1) + ) + (junction (at 224.79 161.29) (diameter 0) (color 0 0 0 0) + (uuid 0437abb6-6365-4357-8114-e2d537d2d6da) + ) + (junction (at 214.63 17.78) (diameter 0) (color 0 0 0 0) + (uuid 065b9982-55f2-4822-977e-07e8a06e7b35) + ) + (junction (at 191.77 43.18) (diameter 0) (color 0 0 0 0) + (uuid 09bbea88-8bd7-48ec-baae-1b4a9a11a40e) + ) + (junction (at 207.01 151.13) (diameter 0) (color 0 0 0 0) + (uuid 0a70b943-2fa3-44f1-a9b3-135884e77bbe) + ) + (junction (at 55.88 173.99) (diameter 0) (color 0 0 0 0) + (uuid 0c1c4bf2-ad38-424d-b397-78a2a50712de) + ) + (junction (at 191.77 38.1) (diameter 0) (color 0 0 0 0) + (uuid 0fb27e11-fde6-4a25-adbb-e9684771b369) + ) + (junction (at 184.15 33.02) (diameter 0) (color 0 0 0 0) + (uuid 10804897-8051-4a12-9b89-db1ec1525e87) + ) + (junction (at 229.87 38.1) (diameter 0) (color 0 0 0 0) + (uuid 10b20c6b-8045-46d1-a965-0d7dd9a1b5fa) + ) + (junction (at 191.77 33.02) (diameter 0) (color 0 0 0 0) + (uuid 10dc8b81-0e79-4f5d-bb6c-434f63082b78) + ) + (junction (at 176.53 27.94) (diameter 0) (color 0 0 0 0) + (uuid 13153c59-a7e3-4093-88d8-f3fd0a68cdb2) + ) + (junction (at 55.88 52.07) (diameter 0) (color 0 0 0 0) + (uuid 1765d6b9-ca0e-49c2-8c3c-8ab35eb3909b) + ) + (junction (at 252.73 17.78) (diameter 0) (color 0 0 0 0) + (uuid 19bf9e2e-868b-4293-8c05-08ea270a2506) + ) + (junction (at 237.49 22.86) (diameter 0) (color 0 0 0 0) + (uuid 1bdf1324-ef7d-4f4d-9a41-28e9c858651e) + ) + (junction (at 245.11 33.02) (diameter 0) (color 0 0 0 0) + (uuid 1e44b557-46a8-49a6-bd8b-2970d111d2e3) + ) + (junction (at 134.62 163.83) (diameter 0) (color 0 0 0 0) + (uuid 20e5277b-a5e8-4eb4-a1be-51eb38a17baa) + ) + (junction (at 121.92 163.83) (diameter 0) (color 0 0 0 0) + (uuid 211de15d-a6d0-475b-b5c8-53f696fdcc1e) + ) + (junction (at 245.11 123.19) (diameter 0) (color 0 0 0 0) + (uuid 22204a20-8000-43a4-8a59-0c8fb5a490a1) + ) + (junction (at 214.63 38.1) (diameter 0) (color 0 0 0 0) + (uuid 2a441f61-9bf6-402b-9f49-cde78512d59b) + ) + (junction (at 127 41.91) (diameter 0) (color 0 0 0 0) + (uuid 2bcf999d-cd8a-498d-8d5e-19519789ac8d) + ) + (junction (at 21.59 146.05) (diameter 0) (color 0 0 0 0) + (uuid 2dc66f7e-d85d-4081-ae71-fd8851d6aeda) + ) + (junction (at 157.48 21.59) (diameter 0) (color 0 0 0 0) + (uuid 308e3432-1c57-47be-87dd-da0d0866399c) + ) + (junction (at 245.11 27.94) (diameter 0) (color 0 0 0 0) + (uuid 34ce7009-187e-4541-a14e-708b3a2903d9) + ) + (junction (at 176.53 38.1) (diameter 0) (color 0 0 0 0) + (uuid 35d28611-846d-4914-a4f9-4570b5046574) + ) + (junction (at 245.11 38.1) (diameter 0) (color 0 0 0 0) + (uuid 3656bb3f-f8a4-4f3a-8e9a-ec6203c87a56) + ) + (junction (at 184.15 27.94) (diameter 0) (color 0 0 0 0) + (uuid 3f33461e-6a88-45fa-a39f-cc641394734b) + ) + (junction (at 237.49 27.94) (diameter 0) (color 0 0 0 0) + (uuid 3fa05934-8ad1-40a9-af5c-98ad298eb412) + ) + (junction (at 207.01 22.86) (diameter 0) (color 0 0 0 0) + (uuid 4fdee335-b6df-4cd6-990a-be6774a4f35f) + ) + (junction (at 149.86 21.59) (diameter 0) (color 0 0 0 0) + (uuid 50313af7-29fc-4aa3-b727-6efa33193871) + ) + (junction (at 35.56 123.19) (diameter 0) (color 0 0 0 0) + (uuid 54995369-ee78-42ef-b356-18a8920616da) + ) + (junction (at 76.2 156.21) (diameter 0) (color 0 0 0 0) + (uuid 55954775-3de4-4fba-84fe-40ec8f574a59) + ) + (junction (at 184.15 38.1) (diameter 0) (color 0 0 0 0) + (uuid 5770763d-6825-49a2-8bcf-06540091cf7a) + ) + (junction (at 119.38 41.91) (diameter 0) (color 0 0 0 0) + (uuid 6264f1c1-98f2-4022-bb4e-2eb48e53f778) + ) + (junction (at 207.01 33.02) (diameter 0) (color 0 0 0 0) + (uuid 66ca01b3-51ff-4294-9b77-4492e98f6aec) + ) + (junction (at 127 163.83) (diameter 0) (color 0 0 0 0) + (uuid 6865c684-cff7-4cd8-9885-f3b7458ab569) + ) + (junction (at 184.15 17.78) (diameter 0) (color 0 0 0 0) + (uuid 696af939-867e-4af3-b6ca-2e5e06602532) + ) + (junction (at 26.67 173.99) (diameter 0) (color 0 0 0 0) + (uuid 6a61ffb9-4481-40f5-a4be-b3d72cc466e2) + ) + (junction (at 252.73 43.18) (diameter 0) (color 0 0 0 0) + (uuid 6c183445-4d30-455d-a1f5-539b79d4b5cb) + ) + (junction (at 134.62 41.91) (diameter 0) (color 0 0 0 0) + (uuid 6d36ff47-85d2-4bbd-aa61-88d3f31df400) + ) + (junction (at 199.39 27.94) (diameter 0) (color 0 0 0 0) + (uuid 7274c82d-0cb9-47de-b093-7d848f491410) + ) + (junction (at 233.68 123.19) (diameter 0) (color 0 0 0 0) + (uuid 7a1644f4-da52-40f4-a904-03a98db62f09) + ) + (junction (at 252.73 33.02) (diameter 0) (color 0 0 0 0) + (uuid 7b4762e7-77bb-481d-ba04-f793e0a6abf3) + ) + (junction (at 252.73 113.03) (diameter 0) (color 0 0 0 0) + (uuid 7d204f5b-eb71-4af6-ba55-6e1706ad30fe) + ) + (junction (at 229.87 22.86) (diameter 0) (color 0 0 0 0) + (uuid 80c4f615-fe06-4055-b547-a67fd424538a) + ) + (junction (at 204.47 161.29) (diameter 0) (color 0 0 0 0) + (uuid 866cc9f6-1cc4-4653-a8be-a297f477827a) + ) + (junction (at 237.49 33.02) (diameter 0) (color 0 0 0 0) + (uuid 87ba184f-bff5-4989-8217-6af375cc3dd8) + ) + (junction (at 121.92 41.91) (diameter 0) (color 0 0 0 0) + (uuid 87eb8d1f-fcc7-4edf-99c5-8cb37abd6407) + ) + (junction (at 55.88 49.53) (diameter 0) (color 0 0 0 0) + (uuid 8ade7975-64a0-440a-8545-11958836bf48) + ) + (junction (at 214.63 33.02) (diameter 0) (color 0 0 0 0) + (uuid 8b3ba7fc-20b6-43c4-a020-80151e1caecc) + ) + (junction (at 199.39 43.18) (diameter 0) (color 0 0 0 0) + (uuid 8b963561-586b-4575-b721-87e7914602c6) + ) + (junction (at 245.11 113.03) (diameter 0) (color 0 0 0 0) + (uuid 8c0f88b5-303f-40ca-95f8-f7522d83656d) + ) + (junction (at 191.77 161.29) (diameter 0) (color 0 0 0 0) + (uuid 8f2d4a89-4dd2-451a-bd91-d067e91384e0) + ) + (junction (at 172.72 52.07) (diameter 0) (color 0 0 0 0) + (uuid 8fc062a7-114d-48eb-a8f8-71128838f380) + ) + (junction (at 207.01 38.1) (diameter 0) (color 0 0 0 0) + (uuid 90dcd4ff-861c-4f35-aa5f-4ec5fa685df6) + ) + (junction (at 222.25 113.03) (diameter 0) (color 0 0 0 0) + (uuid 93a559c8-97da-47c1-8d23-6dd7282fc30c) + ) + (junction (at 132.08 163.83) (diameter 0) (color 0 0 0 0) + (uuid 9559df4d-9461-4aa3-a3ab-5490816fb124) + ) + (junction (at 191.77 130.81) (diameter 0) (color 0 0 0 0) + (uuid 9596e4bc-977c-4247-b1d9-05bbfb34ae02) + ) + (junction (at 129.54 41.91) (diameter 0) (color 0 0 0 0) + (uuid 989202af-2a47-4f31-8922-d0a9db7ba97f) + ) + (junction (at 229.87 33.02) (diameter 0) (color 0 0 0 0) + (uuid 9e2492fd-e074-42db-8129-fe39460dc1e0) + ) + (junction (at 237.49 43.18) (diameter 0) (color 0 0 0 0) + (uuid a2eefd7b-6d7e-4369-929c-af4c7c384981) + ) + (junction (at 176.53 17.78) (diameter 0) (color 0 0 0 0) + (uuid a7beee52-fd4d-4eab-9fc6-0639d2a0bcf0) + ) + (junction (at 83.82 156.21) (diameter 0) (color 0 0 0 0) + (uuid acd0a65b-8e48-4a7f-a8fc-bf421d2465bf) + ) + (junction (at 229.87 27.94) (diameter 0) (color 0 0 0 0) + (uuid ad123c82-8eb5-42a4-a6e6-a5a116411fdd) + ) + (junction (at 222.25 33.02) (diameter 0) (color 0 0 0 0) + (uuid af758230-288a-4391-86a3-c580acfdea4c) + ) + (junction (at 191.77 22.86) (diameter 0) (color 0 0 0 0) + (uuid afa97180-1a7d-40b6-9538-c57db367999a) + ) + (junction (at 207.01 156.21) (diameter 0) (color 0 0 0 0) + (uuid b5ad0d15-22b6-477a-ac91-25ad942c21db) + ) + (junction (at 199.39 22.86) (diameter 0) (color 0 0 0 0) + (uuid b66b83a0-313f-4b03-b851-c6e9577a6eb7) + ) + (junction (at 55.88 156.21) (diameter 0) (color 0 0 0 0) + (uuid b794d099-f823-4d35-9755-ca1c45247ee9) + ) + (junction (at 199.39 38.1) (diameter 0) (color 0 0 0 0) + (uuid b8c8c7a1-d546-4878-9de9-463ec76dff98) + ) + (junction (at 260.35 33.02) (diameter 0) (color 0 0 0 0) + (uuid bc54703c-70bd-44e9-bb6b-e4f5157540fc) + ) + (junction (at 252.73 27.94) (diameter 0) (color 0 0 0 0) + (uuid c593491c-ec91-4b5b-beac-1de90725bd7b) + ) + (junction (at 229.87 17.78) (diameter 0) (color 0 0 0 0) + (uuid c693a515-8093-4d5b-b9bf-334dff5f7b83) + ) + (junction (at 184.15 43.18) (diameter 0) (color 0 0 0 0) + (uuid c8c216aa-7768-49e6-bff2-36ed2c91e3f6) + ) + (junction (at 222.25 27.94) (diameter 0) (color 0 0 0 0) + (uuid cb02d036-232b-4d6d-b0b4-961f78cb5e70) + ) + (junction (at 114.3 41.91) (diameter 0) (color 0 0 0 0) + (uuid cba3fca6-48f0-4345-a5f0-9bfa029d8fc8) + ) + (junction (at 252.73 38.1) (diameter 0) (color 0 0 0 0) + (uuid ccf2d526-7f49-4fa6-8853-ec3252fef3bf) + ) + (junction (at 214.63 22.86) (diameter 0) (color 0 0 0 0) + (uuid cd17f08e-5cba-4621-8cc4-973929772807) + ) + (junction (at 214.63 43.18) (diameter 0) (color 0 0 0 0) + (uuid ce7b5210-765d-4855-bbb3-0ef10b97e821) + ) + (junction (at 172.72 110.49) (diameter 0) (color 0 0 0 0) + (uuid d0cd3439-276c-41ba-b38d-f84f6da38415) + ) + (junction (at 260.35 22.86) (diameter 0) (color 0 0 0 0) + (uuid d33675b9-acfc-4edc-92d2-0b105a57b19c) + ) + (junction (at 222.25 22.86) (diameter 0) (color 0 0 0 0) + (uuid d3798a45-8d5c-4a00-80c5-1899f3fffe6b) + ) + (junction (at 207.01 27.94) (diameter 0) (color 0 0 0 0) + (uuid d655bb0a-cbf9-4908-ad60-7024ff468fbd) + ) + (junction (at 184.15 22.86) (diameter 0) (color 0 0 0 0) + (uuid d744d648-126d-42eb-80ee-983fef87d74c) + ) + (junction (at 175.26 146.05) (diameter 0) (color 0 0 0 0) + (uuid d9127ed4-2057-4a37-99d9-9564ed19e399) + ) + (junction (at 252.73 22.86) (diameter 0) (color 0 0 0 0) + (uuid d92ed510-2589-4d95-9734-272280536f73) + ) + (junction (at 237.49 161.29) (diameter 0) (color 0 0 0 0) + (uuid dbe1786c-b436-42a5-bdc9-1895b4d52a4e) + ) + (junction (at 191.77 27.94) (diameter 0) (color 0 0 0 0) + (uuid dbfc7e42-552a-4494-a451-c4ef6ddc5ed3) + ) + (junction (at 245.11 22.86) (diameter 0) (color 0 0 0 0) + (uuid dcfaa9a0-4311-418d-9bec-92db07477d76) + ) + (junction (at 234.95 161.29) (diameter 0) (color 0 0 0 0) + (uuid dda9c0b0-306f-4179-9160-4e642a3320f3) + ) + (junction (at 199.39 33.02) (diameter 0) (color 0 0 0 0) + (uuid de552ae9-cde6-4643-8cc7-9de2579dadae) + ) + (junction (at 124.46 163.83) (diameter 0) (color 0 0 0 0) + (uuid e580c1d1-f2af-469d-80f9-7abdf4efbba9) + ) + (junction (at 260.35 43.18) (diameter 0) (color 0 0 0 0) + (uuid e778072e-d6a9-4b06-b15a-c71e5351616b) + ) + (junction (at 129.54 163.83) (diameter 0) (color 0 0 0 0) + (uuid e8c43b12-b522-4a2e-a649-33387367b86a) + ) + (junction (at 245.11 43.18) (diameter 0) (color 0 0 0 0) + (uuid eb6a726e-fed9-4891-95fa-b4d4a5f77b35) + ) + (junction (at 207.01 43.18) (diameter 0) (color 0 0 0 0) + (uuid ec8da9e3-49a5-4279-9d3a-5934986ee18c) + ) + (junction (at 191.77 17.78) (diameter 0) (color 0 0 0 0) + (uuid eccc3abe-9722-44b0-82d1-fe2f045a438f) + ) + (junction (at 226.06 113.03) (diameter 0) (color 0 0 0 0) + (uuid f3d50286-4863-4fab-abb9-554b94f7e8b5) + ) + (junction (at 222.25 38.1) (diameter 0) (color 0 0 0 0) + (uuid f503ea07-bcf1-4924-930a-6f7e9cd312f8) + ) + (junction (at 229.87 43.18) (diameter 0) (color 0 0 0 0) + (uuid f6a3288e-9575-42bb-af05-a920d59aded8) + ) + (junction (at 116.84 41.91) (diameter 0) (color 0 0 0 0) + (uuid f8be3ae0-f203-407b-88fc-3e8cd7f35e13) + ) + (junction (at 124.46 41.91) (diameter 0) (color 0 0 0 0) + (uuid fc7f7ed1-d3cc-4297-9d8c-9f9b979b195e) + ) + (junction (at 252.73 123.19) (diameter 0) (color 0 0 0 0) + (uuid fd8eb6bb-387a-460f-b161-b4dab6d472d0) + ) + (junction (at 222.25 43.18) (diameter 0) (color 0 0 0 0) + (uuid fe6d9604-2924-4f38-950b-a31e8a281973) + ) + (junction (at 137.16 163.83) (diameter 0) (color 0 0 0 0) + (uuid ffab4730-6c32-4f0d-811a-1bdfadf8a9ae) + ) + + (no_connect (at 22.86 165.1) (uuid 015f5586-ba76-4a98-9114-f5cd2c67134d)) + (no_connect (at 227.33 148.59) (uuid 0aec6fd3-b203-40c6-abfd-574b16ddc913)) + (no_connect (at 22.86 133.35) (uuid 1cb22080-0f59-4c18-a6e6-8685ef44ec53)) + (no_connect (at 76.2 52.07) (uuid 341dde39-440e-4d05-8def-6a5cecefd88c)) + (no_connect (at 35.56 165.1) (uuid 541721d1-074b-496e-a833-813044b3e8ca)) + (no_connect (at 35.56 130.81) (uuid 8bdea5f6-7a53-427a-92b8-fd15994c2e8c)) + (no_connect (at 35.56 133.35) (uuid a599509f-fbb9-4db4-9adf-9e96bab1138d)) + (no_connect (at 76.2 49.53) (uuid e7893166-2c2c-41b4-bd84-76ebc2e06551)) + + (bus_entry (at 83.82 77.47) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 008da5b9-6f95-4113-b7d0-d93ac62efd33) + ) + (bus_entry (at 45.72 80.01) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 009b5465-0a65-4237-93e7-eb65321eeb18) + ) + (bus_entry (at 45.72 82.55) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 00f3ea8b-8a54-4e56-84ff-d98f6c00496c) + ) + (bus_entry (at 15.24 97.79) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14) + ) + (bus_entry (at 81.28 100.33) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 04cf2f2c-74bf-400d-b4f6-201720df00ed) + ) + (bus_entry (at 48.26 110.49) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 0a1a4d88-972a-46ce-b25e-6cb796bd41f7) + ) + (bus_entry (at 81.28 140.97) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 0ceb97d6-1b0f-4b71-921e-b0955c30c998) + ) + (bus_entry (at 83.82 64.77) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0fafc6b9-fd35-4a55-9270-7a8e7ce3cb13) + ) + (bus_entry (at 45.72 97.79) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1199146e-a60b-416a-b503-e77d6d2892f9) + ) + (bus_entry (at 81.28 143.51) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 1241b7f2-e266-4f5c-8a97-9f0f9d0eef37) + ) + (bus_entry (at 81.28 128.27) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 18c61c95-8af1-4986-b67e-c7af9c15ab6b) + ) + (bus_entry (at 45.72 123.19) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 18d11f32-e1a6-4f29-8e3c-0bfeb07299bd) + ) + (bus_entry (at 81.28 97.79) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 1bdd5841-68b7-42e2-9447-cbdb608d8a08) + ) + (bus_entry (at 45.72 77.47) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 221bef83-3ea7-4d3f-adeb-53a8a07c6273) + ) + (bus_entry (at 83.82 67.31) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 27b2eb82-662b-42d8-90e6-830fec4bb8d2) + ) + (bus_entry (at 81.28 105.41) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 2878a73c-5447-4cd9-8194-14f52ab9459c) + ) + (bus_entry (at 45.72 72.39) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 29bb7297-26fb-4776-9266-2355d022bab0) + ) + (bus_entry (at 48.26 95.25) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 30c33e3e-fb78-498d-bffe-76273d527004) + ) + (bus_entry (at 81.28 133.35) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 35ef9c4a-35f6-467b-a704-b1d9354880cf) + ) + (bus_entry (at 45.72 77.47) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 36d783e7-096f-4c97-9672-7e08c083b87b) + ) + (bus_entry (at 15.24 107.95) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b) + ) + (bus_entry (at 81.28 107.95) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 44646447-0a8e-4aec-a74e-22bf765d0f33) + ) + (bus_entry (at 45.72 107.95) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 477892a1-722e-4cda-bb6c-fcdb8ba5f93e) + ) + (bus_entry (at 45.72 102.87) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 479331ff-c540-41f4-84e6-b48d65171e59) + ) + (bus_entry (at 45.72 72.39) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4ba06b66-7669-4c70-b585-f5d4c9c33527) + ) + (bus_entry (at 45.72 64.77) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4c843bdb-6c9e-40dd-85e2-0567846e18ba) + ) + (bus_entry (at 45.72 85.09) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4d586a18-26c5-441e-a9ff-8125ee516126) + ) + (bus_entry (at 81.28 128.27) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4e27930e-1827-4788-aa6b-487321d46602) + ) + (bus_entry (at 48.26 102.87) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 57276367-9ce4-4738-88d7-6e8cb94c966c) + ) + (bus_entry (at 48.26 97.79) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 5b0a5a46-7b51-4262-a80e-d33dd1806615) + ) + (bus_entry (at 83.82 74.93) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5d3d7893-1d11-4f1d-9052-85cf0e07d281) + ) + (bus_entry (at 45.72 59.69) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 60aa0ce8-9d0e-48ca-bbf9-866403979e9b) + ) + (bus_entry (at 45.72 95.25) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 60ff6322-62e2-4602-9bc0-7a0f0a5ecfbf) + ) + (bus_entry (at 81.28 125.73) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6241e6d3-a754-45b6-9f7c-e43019b93226) + ) + (bus_entry (at 45.72 120.65) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6325c32f-c82a-4357-b022-f9c7e76f412e) + ) + (bus_entry (at 15.24 102.87) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 633292d3-80c5-4986-be82-ce926e9f09f4) + ) + (bus_entry (at 83.82 92.71) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 63c56ea4-91a3-4172-b9de-a4388cc8f894) + ) + (bus_entry (at 83.82 62.23) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 66218487-e316-4467-9eba-79d4626ab24e) + ) + (bus_entry (at 45.72 130.81) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6afc19cf-38b4-47a3-bc2b-445b18724310) + ) + (bus_entry (at 45.72 62.23) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6ffdf05e-e119-49f9-85e9-13e4901df42a) + ) + (bus_entry (at 45.72 67.31) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 72b36951-3ec7-4569-9c88-cf9b4afe1cae) + ) + (bus_entry (at 15.24 100.33) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 7744b6ee-910d-401d-b730-65c35d3d8092) + ) + (bus_entry (at 83.82 72.39) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 79476267-290e-445f-995b-0afd0e11a4b5) + ) + (bus_entry (at 15.24 113.03) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f) + ) + (bus_entry (at 45.72 128.27) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 84d296ba-3d39-4264-ad19-947f90c54396) + ) + (bus_entry (at 83.82 69.85) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8b290a17-6328-4178-9131-29524d345539) + ) + (bus_entry (at 45.72 87.63) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9186fd02-f30d-4e17-aa38-378ab73e3908) + ) + (bus_entry (at 81.28 102.87) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 955cc99e-a129-42cf-abc7-aa99813fdb5f) + ) + (bus_entry (at 15.24 95.25) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5) + ) + (bus_entry (at 81.28 138.43) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid a7f25f41-0b4c-4430-b6cd-b2160b2db099) + ) + (bus_entry (at 45.72 125.73) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a90361cd-254c-4d27-ae1f-9a6c85bafe28) + ) + (bus_entry (at 45.72 90.17) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa130053-a451-4f12-97f7-3d4d891a5f83) + ) + (bus_entry (at 83.82 80.01) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid aeb03be9-98f0-43f6-9432-1bb35aa04bab) + ) + (bus_entry (at 45.72 105.41) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b09666f9-12f1-4ee9-8877-2292c94258ca) + ) + (bus_entry (at 45.72 74.93) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b52d6ff3-fef1-496e-8dd5-ebb89b6bce6a) + ) + (bus_entry (at 81.28 135.89) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b8b961e9-8a60-45fc-999a-a7a3baff4e0d) + ) + (bus_entry (at 45.72 69.85) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid bc0dbc57-3ae8-4ce5-a05c-2d6003bba475) + ) + (bus_entry (at 48.26 105.41) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid bdf40d30-88ff-4479-bad1-69529464b61b) + ) + (bus_entry (at 81.28 113.03) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c25449d6-d734-4953-b762-98f82a830248) + ) + (bus_entry (at 48.26 92.71) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c3b3d7f4-943f-4cff-b180-87ef3e1bcbff) + ) + (bus_entry (at 81.28 130.81) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c8a44971-63c1-4a19-879d-b6647b2dc08d) + ) + (bus_entry (at 45.72 138.43) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c8a7af6e-c432-4fa3-91ee-c8bf0c5a9ebe) + ) + (bus_entry (at 48.26 107.95) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c9b9e62d-dede-4d1a-9a05-275614f8bdb2) + ) + (bus_entry (at 45.72 74.93) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid cb6062da-8dcd-4826-92fd-4071e9e97213) + ) + (bus_entry (at 45.72 100.33) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid cc15f583-a41b-43af-ba94-a75455506a96) + ) + (bus_entry (at 15.24 110.49) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd) + ) + (bus_entry (at 45.72 135.89) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d01102e9-b170-4eb1-a0a4-9a31feb850b7) + ) + (bus_entry (at 81.28 110.49) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid d7e4abd8-69f5-4706-b12e-898194e5bf56) + ) + (bus_entry (at 15.24 105.41) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid dda1e6ca-91ec-4136-b90b-3c54d79454b9) + ) + (bus_entry (at 48.26 100.33) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid e5217a0c-7f55-4c30-adda-7f8d95709d1b) + ) + (bus_entry (at 45.72 92.71) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e7369115-d491-4ef3-be3d-f5298992c3e8) + ) + (bus_entry (at 45.72 69.85) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb8d02e9-145c-465d-b6a8-bae84d47a94b) + ) + (bus_entry (at 81.28 125.73) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid f357ddb5-3f44-43b0-b00d-d64f5c62ba4a) + ) + (bus_entry (at 45.72 133.35) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fe14c012-3d58-4e5e-9a37-4b9765a7f764) + ) + + (wire (pts (xy 245.11 116.84) (xy 245.11 113.03)) + (stroke (width 0) (type default)) + (uuid 0008c943-3dd6-4a01-96aa-0394a71c6a7b) + ) + (wire (pts (xy 172.72 110.49) (xy 172.72 107.95)) + (stroke (width 0) (type default)) + (uuid 00e38d63-5436-49db-81f5-697421f168fc) + ) + (wire (pts (xy 48.26 64.77) (xy 55.88 64.77)) + (stroke (width 0) (type default)) + (uuid 011ee658-718d-416a-85fd-961729cd1ee5) + ) + (wire (pts (xy 234.95 161.29) (xy 224.79 161.29)) + (stroke (width 0) (type default)) + (uuid 012317a0-a7f6-48c1-9cec-ba02741e5149) + ) + (wire (pts (xy 175.26 151.13) (xy 181.61 151.13)) + (stroke (width 0) (type default)) + (uuid 03c28709-452b-41bb-8209-ee7ff5f7e12d) + ) + (wire (pts (xy 76.2 162.56) (xy 77.47 162.56)) + (stroke (width 0) (type default)) + (uuid 03e9e204-442f-4203-b98f-8e5fddc0772f) + ) + (wire (pts (xy 214.63 17.78) (xy 222.25 17.78)) + (stroke (width 0) (type default)) + (uuid 046ca2d8-3ca1-4c64-8090-c45e9adcf30e) + ) + (wire (pts (xy 35.56 102.87) (xy 43.18 102.87)) + (stroke (width 0) (type default)) + (uuid 0520f61d-4522-4301-a3fa-8ed0bf060f69) + ) + (wire (pts (xy 43.18 172.72) (xy 39.37 172.72)) + (stroke (width 0) (type default)) + (uuid 0554bea0-89b2-4e25-9ea3-4c73921c94cb) + ) + (bus (pts (xy 45.72 102.87) (xy 45.72 105.41)) + (stroke (width 0) (type default)) + (uuid 06aa4d63-54fd-4ea9-bc14-d46ce29463e8) + ) + + (wire (pts (xy 13.97 181.61) (xy 19.05 181.61)) + (stroke (width 0) (type default)) + (uuid 074cd8c5-45d2-48a0-908b-0c1a4ca96a27) + ) + (wire (pts (xy 222.25 43.18) (xy 229.87 43.18)) + (stroke (width 0) (type default)) + (uuid 082aed28-f9e8-49e7-96ee-b5aa9f0319c7) + ) + (bus (pts (xy 83.82 80.01) (xy 83.82 92.71)) + (stroke (width 0) (type default)) + (uuid 09021c9d-fb5f-49e1-ba7c-c21435e561a6) + ) + + (wire (pts (xy 237.49 153.67) (xy 237.49 161.29)) + (stroke (width 0) (type default)) + (uuid 0beb6602-b993-47d2-88a4-0194904b3286) + ) + (wire (pts (xy 121.92 41.91) (xy 124.46 41.91)) + (stroke (width 0) (type default)) + (uuid 0c61f4d7-6c32-4738-bdcf-607d90c6cd78) + ) + (wire (pts (xy 17.78 95.25) (xy 22.86 95.25)) + (stroke (width 0) (type default)) + (uuid 0cbeb329-a88d-4a47-a5c2-a1d693de2f8c) + ) + (bus (pts (xy 45.72 95.25) (xy 45.72 97.79)) + (stroke (width 0) (type default)) + (uuid 0db3a4ae-3ea3-4c7e-8e92-2deac5406ee4) + ) + (bus (pts (xy 83.82 69.85) (xy 83.82 72.39)) + (stroke (width 0) (type default)) + (uuid 0e1dff80-5beb-4f26-bd8b-beb7d6138194) + ) + (bus (pts (xy 45.72 100.33) (xy 45.72 102.87)) + (stroke (width 0) (type default)) + (uuid 0e827e9d-19d0-4830-adee-e829669aa4c6) + ) + (bus (pts (xy 15.24 113.03) (xy 15.24 118.11)) + (stroke (width 0) (type default)) + (uuid 0fa50f25-2088-41a0-bcf9-363465cb9c86) + ) + (bus (pts (xy 83.82 49.53) (xy 83.82 62.23)) + (stroke (width 0) (type default)) + (uuid 10fa1a8c-62cb-4b8f-b916-b18d737ff71b) + ) + + (wire (pts (xy 82.55 162.56) (xy 83.82 162.56)) + (stroke (width 0) (type default)) + (uuid 12641ca3-ee99-4f92-a812-0c80421eedb1) + ) + (wire (pts (xy 76.2 143.51) (xy 81.28 143.51)) + (stroke (width 0) (type default)) + (uuid 12a24e86-2c38-4685-bba9-fff8dddb4cb0) + ) + (wire (pts (xy 35.56 120.65) (xy 38.1 120.65)) + (stroke (width 0) (type default)) + (uuid 12b8e931-eeee-431e-bf72-a18cb8cf2e90) + ) + (wire (pts (xy 260.35 27.94) (xy 252.73 27.94)) + (stroke (width 0) (type default)) + (uuid 13d8cd78-bf5c-4899-a105-46ef76a1b75b) + ) + (wire (pts (xy 35.56 87.63) (xy 43.18 87.63)) + (stroke (width 0) (type default)) + (uuid 143ed874-a01f-4ced-ba4e-bbb66ddd1f70) + ) + (wire (pts (xy 83.82 156.21) (xy 88.9 156.21)) + (stroke (width 0) (type default)) + (uuid 15521fac-245b-41ae-8ce3-8d37b5e09f06) + ) + (wire (pts (xy 184.15 38.1) (xy 191.77 38.1)) + (stroke (width 0) (type default)) + (uuid 162e5bdd-61a8-46a3-8485-826b5d58e1a1) + ) + (wire (pts (xy 229.87 43.18) (xy 237.49 43.18)) + (stroke (width 0) (type default)) + (uuid 165f4d8d-26a9-4cf2-a8d6-9936cd983be4) + ) + (wire (pts (xy 132.08 163.83) (xy 134.62 163.83)) + (stroke (width 0) (type default)) + (uuid 16e03cb5-73d2-4813-8478-4a100c3a1430) + ) + (wire (pts (xy 171.45 135.89) (xy 181.61 135.89)) + (stroke (width 0) (type default)) + (uuid 18634be7-8167-4641-91db-43ff5d89f2f4) + ) + (wire (pts (xy 129.54 163.83) (xy 132.08 163.83)) + (stroke (width 0) (type default)) + (uuid 199429ca-7463-4f63-9f3e-12e3d2e34333) + ) + (wire (pts (xy 82.55 156.21) (xy 83.82 156.21)) + (stroke (width 0) (type default)) + (uuid 1afaeac9-b64b-4274-ab11-765b4c0723ed) + ) + (wire (pts (xy 245.11 123.19) (xy 233.68 123.19)) + (stroke (width 0) (type default)) + (uuid 1c0de80e-c764-4d0d-8291-57e8ed1d0e6b) + ) + (wire (pts (xy 48.26 100.33) (xy 55.88 100.33)) + (stroke (width 0) (type default)) + (uuid 1f9ae101-c652-4998-a503-17aedf3d5746) + ) + (bus (pts (xy 83.82 72.39) (xy 83.82 74.93)) + (stroke (width 0) (type default)) + (uuid 2003b036-8b3c-4aca-b184-4e1151380b3d) + ) + + (wire (pts (xy 76.2 107.95) (xy 81.28 107.95)) + (stroke (width 0) (type default)) + (uuid 2035ea48-3ef5-4d7f-8c3c-50981b30c89a) + ) + (wire (pts (xy 237.49 43.18) (xy 245.11 43.18)) + (stroke (width 0) (type default)) + (uuid 20a2261f-62e5-4ad7-b439-fce5f4c7db89) + ) + (wire (pts (xy 35.56 181.61) (xy 40.64 181.61)) + (stroke (width 0) (type default)) + (uuid 22962957-1efd-404d-83db-5b233b6c15b0) + ) + (wire (pts (xy 48.26 135.89) (xy 55.88 135.89)) + (stroke (width 0) (type default)) + (uuid 22bb6c80-05a9-4d89-98b0-f4c23fe6c1ce) + ) + (wire (pts (xy 219.71 138.43) (xy 227.33 138.43)) + (stroke (width 0) (type default)) + (uuid 24d15e40-2b80-460d-b70e-9d3f18ac5e2e) + ) + (wire (pts (xy 214.63 43.18) (xy 222.25 43.18)) + (stroke (width 0) (type default)) + (uuid 25802047-e86c-4d26-a27e-a145ce4b9ee5) + ) + (wire (pts (xy 222.25 27.94) (xy 229.87 27.94)) + (stroke (width 0) (type default)) + (uuid 25eb34a0-4bff-4078-9cef-552fc209a767) + ) + (wire (pts (xy 157.48 31.75) (xy 157.48 26.67)) + (stroke (width 0) (type default)) + (uuid 26a03d9b-7c75-4a1d-a669-ae18975d7f45) + ) + (wire (pts (xy 245.11 38.1) (xy 252.73 38.1)) + (stroke (width 0) (type default)) + (uuid 272c2a78-b5f5-4b61-aed3-ec69e0e92729) + ) + (bus (pts (xy 45.72 82.55) (xy 45.72 85.09)) + (stroke (width 0) (type default)) + (uuid 27d14751-8ad8-40f8-9089-3e1fa7b928f0) + ) + + (wire (pts (xy 35.56 95.25) (xy 43.18 95.25)) + (stroke (width 0) (type default)) + (uuid 2891767f-251c-48c4-91c0-deb1b368f45c) + ) + (wire (pts (xy 204.47 153.67) (xy 204.47 161.29)) + (stroke (width 0) (type default)) + (uuid 290a5711-eb7f-4a16-a2a9-a2847382ca3c) + ) + (wire (pts (xy 245.11 33.02) (xy 252.73 33.02)) + (stroke (width 0) (type default)) + (uuid 291935ec-f8ff-41f0-8717-e68b8af7b8c1) + ) + (bus (pts (xy 83.82 92.71) (xy 83.82 95.25)) + (stroke (width 0) (type default)) + (uuid 2b838b29-91e5-4403-94ec-fc802981d71e) + ) + + (wire (pts (xy 222.25 113.03) (xy 226.06 113.03)) + (stroke (width 0) (type default)) + (uuid 2c3f40c2-33cd-4aa2-bb90-27c62a746458) + ) + (wire (pts (xy 13.97 173.99) (xy 19.05 173.99)) + (stroke (width 0) (type default)) + (uuid 2d824d93-d60f-49a8-952f-4b0c03b72d38) + ) + (wire (pts (xy 48.26 130.81) (xy 55.88 130.81)) + (stroke (width 0) (type default)) + (uuid 2db910a0-b943-40b4-b81f-068ba5265f56) + ) + (wire (pts (xy 81.28 26.67) (xy 78.74 26.67)) + (stroke (width 0) (type default)) + (uuid 2e0a9f64-1b78-4597-8d50-d12d2268a95a) + ) + (wire (pts (xy 222.25 123.19) (xy 222.25 121.92)) + (stroke (width 0) (type default)) + (uuid 2e6f96c4-3623-4d60-af53-82e0429ae251) + ) + (wire (pts (xy 76.2 113.03) (xy 81.28 113.03)) + (stroke (width 0) (type default)) + (uuid 2e90e294-82e1-45da-9bf1-b91dfe0dc8f6) + ) + (wire (pts (xy 214.63 27.94) (xy 222.25 27.94)) + (stroke (width 0) (type default)) + (uuid 2eea20e6-112c-411a-b615-885ae773135a) + ) + (bus (pts (xy 83.82 130.81) (xy 83.82 133.35)) + (stroke (width 0) (type default)) + (uuid 3146f40a-80f4-4c3b-9be4-8dd47844df7c) + ) + + (wire (pts (xy 184.15 33.02) (xy 191.77 33.02)) + (stroke (width 0) (type default)) + (uuid 319c683d-aed6-4e7d-aee2-ff9871746d52) + ) + (wire (pts (xy 191.77 22.86) (xy 199.39 22.86)) + (stroke (width 0) (type default)) + (uuid 31bfc3e7-147b-4531-a0c5-e3a305c1647d) + ) + (wire (pts (xy 55.88 171.45) (xy 55.88 173.99)) + (stroke (width 0) (type default)) + (uuid 33c5518b-5621-4201-a6e5-b388b2601a4a) + ) + (wire (pts (xy 78.74 118.11) (xy 81.28 118.11)) + (stroke (width 0) (type default)) + (uuid 348dc703-3cab-4547-b664-e8b335a6083c) + ) + (wire (pts (xy 127 41.91) (xy 129.54 41.91)) + (stroke (width 0) (type default)) + (uuid 34a60faf-cae1-41d8-84da-4e65d70ad420) + ) + (bus (pts (xy 45.72 69.85) (xy 45.72 72.39)) + (stroke (width 0) (type default)) + (uuid 3594873d-d94b-4e9e-b5b1-5b1442595696) + ) + + (wire (pts (xy 199.39 27.94) (xy 207.01 27.94)) + (stroke (width 0) (type default)) + (uuid 363189af-2faa-46a4-b025-5a779d801f2e) + ) + (wire (pts (xy 199.39 33.02) (xy 207.01 33.02)) + (stroke (width 0) (type default)) + (uuid 37657eee-b379-4145-b65d-79c82b53e49e) + ) + (bus (pts (xy 83.82 77.47) (xy 83.82 80.01)) + (stroke (width 0) (type default)) + (uuid 38245fc1-692f-48ac-9b95-5dcdd53bb2cc) + ) + + (wire (pts (xy 214.63 33.02) (xy 207.01 33.02)) + (stroke (width 0) (type default)) + (uuid 385bcea2-3877-4c62-8561-9c5ac5e1ff27) + ) + (wire (pts (xy 191.77 27.94) (xy 199.39 27.94)) + (stroke (width 0) (type default)) + (uuid 386faf3f-2adf-472a-84bf-bd511edf2429) + ) + (wire (pts (xy 76.2 74.93) (xy 81.28 74.93)) + (stroke (width 0) (type default)) + (uuid 3b686d17-1000-4762-ba31-589d599a3edf) + ) + (bus (pts (xy 83.82 64.77) (xy 83.82 67.31)) + (stroke (width 0) (type default)) + (uuid 3b853872-c4b2-4105-bd4b-c660ed233e20) + ) + + (wire (pts (xy 175.26 148.59) (xy 175.26 146.05)) + (stroke (width 0) (type default)) + (uuid 3b85adc2-3eff-49b5-a80c-ed71d9e788a0) + ) + (bus (pts (xy 83.82 95.25) (xy 83.82 97.79)) + (stroke (width 0) (type default)) + (uuid 3c59d496-929c-4866-a736-85d5ef79b78e) + ) + + (wire (pts (xy 48.26 57.15) (xy 35.56 57.15)) + (stroke (width 0) (type default)) + (uuid 3c66e6e2-f12d-4b23-910e-e478d272dfd5) + ) + (wire (pts (xy 76.2 138.43) (xy 81.28 138.43)) + (stroke (width 0) (type default)) + (uuid 3e0392c0-affc-4114-9de5-1f1cfe79418a) + ) + (wire (pts (xy 245.11 43.18) (xy 252.73 43.18)) + (stroke (width 0) (type default)) + (uuid 3f2a6679-91d7-4b6c-bf5c-c4d5abb2bc44) + ) + (wire (pts (xy 48.26 125.73) (xy 55.88 125.73)) + (stroke (width 0) (type default)) + (uuid 3f8a5430-68a9-4732-9b89-4e00dd8ae219) + ) + (wire (pts (xy 35.56 105.41) (xy 43.18 105.41)) + (stroke (width 0) (type default)) + (uuid 411d4270-c66c-4318-b7fb-1470d34862b8) + ) + (wire (pts (xy 48.26 140.97) (xy 55.88 140.97)) + (stroke (width 0) (type default)) + (uuid 42ff012d-5eb7-42b9-bb45-415cf26799c6) + ) + (bus (pts (xy 83.82 123.19) (xy 83.82 125.73)) + (stroke (width 0) (type default)) + (uuid 43f341b3-06e9-4e7a-a26e-5365b89d76bf) + ) + + (wire (pts (xy 88.9 191.77) (xy 90.17 191.77)) + (stroke (width 0) (type default)) + (uuid 441d85bf-e47c-4042-9bb0-488afcaade02) + ) + (bus (pts (xy 83.82 105.41) (xy 83.82 107.95)) + (stroke (width 0) (type default)) + (uuid 45790863-58e3-47e1-82a9-3311e184debd) + ) + + (wire (pts (xy 35.56 120.65) (xy 35.56 123.19)) + (stroke (width 0) (type default)) + (uuid 464c4270-a214-4a05-9795-4ea075a0e079) + ) + (wire (pts (xy 134.62 41.91) (xy 137.16 41.91)) + (stroke (width 0) (type default)) + (uuid 469f89fd-f629-46b7-b106-a0088168c9ec) + ) + (wire (pts (xy 20.32 162.56) (xy 22.86 162.56)) + (stroke (width 0) (type default)) + (uuid 46cbe85d-ff47-428e-b187-4ebd50a66e0c) + ) + (bus (pts (xy 83.82 107.95) (xy 83.82 110.49)) + (stroke (width 0) (type default)) + (uuid 4a43c2ae-511e-4d31-8344-6a916e9b4647) + ) + + (wire (pts (xy 35.56 128.27) (xy 38.1 128.27)) + (stroke (width 0) (type default)) + (uuid 4a52129a-3777-417e-ad76-46e3fe80777f) + ) + (wire (pts (xy 50.8 29.21) (xy 55.88 29.21)) + (stroke (width 0) (type default)) + (uuid 4a54c707-7b6f-4a3d-a74d-5e3526114aba) + ) + (wire (pts (xy 201.93 138.43) (xy 204.47 138.43)) + (stroke (width 0) (type default)) + (uuid 4b58868e-1fa2-4007-b0e5-7dde35454ff5) + ) + (bus (pts (xy 15.24 95.25) (xy 15.24 97.79)) + (stroke (width 0) (type default)) + (uuid 4d51bc15-1f84-46be-8e16-e836b10f854e) + ) + (bus (pts (xy 15.24 97.79) (xy 15.24 100.33)) + (stroke (width 0) (type default)) + (uuid 4d5b5acb-5815-4167-8685-dd6668c837fe) + ) + + (wire (pts (xy 149.86 21.59) (xy 157.48 21.59)) + (stroke (width 0) (type default)) + (uuid 4f7760b5-21ad-417c-88f9-d1582eb6bb0c) + ) + (bus (pts (xy 45.72 92.71) (xy 45.72 95.25)) + (stroke (width 0) (type default)) + (uuid 518dedf8-1fa8-4d20-96f6-9f614a19ef78) + ) + + (wire (pts (xy 224.79 161.29) (xy 214.63 161.29)) + (stroke (width 0) (type default)) + (uuid 53fcaef6-333e-40fc-b719-4f905cf289d6) + ) + (wire (pts (xy 38.1 128.27) (xy 38.1 130.81)) + (stroke (width 0) (type default)) + (uuid 5470b177-bbf9-4aaa-870e-3765e6f29c2c) + ) + (bus (pts (xy 45.72 128.27) (xy 45.72 130.81)) + (stroke (width 0) (type default)) + (uuid 54987869-31c3-4a07-9dfa-baffc5294665) + ) + + (wire (pts (xy 76.2 64.77) (xy 81.28 64.77)) + (stroke (width 0) (type default)) + (uuid 5701b80f-f006-4814-81c9-0c7f006088a9) + ) + (wire (pts (xy 176.53 27.94) (xy 184.15 27.94)) + (stroke (width 0) (type default)) + (uuid 58be62d7-5a0b-44b0-83f3-366f1744c0b5) + ) + (wire (pts (xy 237.49 22.86) (xy 245.11 22.86)) + (stroke (width 0) (type default)) + (uuid 58cc1c23-75c9-4a1e-b1d5-adc44466f9da) + ) + (wire (pts (xy 48.26 62.23) (xy 55.88 62.23)) + (stroke (width 0) (type default)) + (uuid 593b8647-0095-46cc-ba23-3cf2a86edb5e) + ) + (bus (pts (xy 83.82 74.93) (xy 83.82 77.47)) + (stroke (width 0) (type default)) + (uuid 5b34b011-d722-453c-956e-3018c966e116) + ) + + (wire (pts (xy 181.61 148.59) (xy 175.26 148.59)) + (stroke (width 0) (type default)) + (uuid 5bb9c42c-e8ea-41ab-af5b-38bf31d4d162) + ) + (wire (pts (xy 48.26 102.87) (xy 55.88 102.87)) + (stroke (width 0) (type default)) + (uuid 5c30b9b4-3014-4f50-9329-27a539b67e01) + ) + (wire (pts (xy 201.93 156.21) (xy 207.01 156.21)) + (stroke (width 0) (type default)) + (uuid 5eb3443a-165f-4b54-91d2-b3d3e2861550) + ) + (wire (pts (xy 38.1 130.81) (xy 40.64 130.81)) + (stroke (width 0) (type default)) + (uuid 62763e95-8216-46d2-a4a4-6cf40cd600cf) + ) + (wire (pts (xy 252.73 113.03) (xy 245.11 113.03)) + (stroke (width 0) (type default)) + (uuid 62a3d9f5-e54b-4de5-9a8d-8be6eae79fac) + ) + (bus (pts (xy 45.72 125.73) (xy 45.72 128.27)) + (stroke (width 0) (type default)) + (uuid 630631f2-80a4-431a-8668-641e61361415) + ) + (bus (pts (xy 15.24 102.87) (xy 15.24 105.41)) + (stroke (width 0) (type default)) + (uuid 636f1d33-6937-48b5-a3b2-7c6eee4be461) + ) + + (wire (pts (xy 76.2 140.97) (xy 81.28 140.97)) + (stroke (width 0) (type default)) + (uuid 6513181c-0a6a-4560-9a18-17450c36ae2a) + ) + (wire (pts (xy 179.07 138.43) (xy 181.61 138.43)) + (stroke (width 0) (type default)) + (uuid 653fb42f-ea54-44b0-9c48-2ed7bee7d094) + ) + (wire (pts (xy 76.2 69.85) (xy 81.28 69.85)) + (stroke (width 0) (type default)) + (uuid 66bc2bca-dab7-4947-a0ff-403cdaf9fb89) + ) + (wire (pts (xy 149.86 29.21) (xy 149.86 26.67)) + (stroke (width 0) (type default)) + (uuid 66da045b-ce17-46c9-8730-f4c6d1ce5f31) + ) + (wire (pts (xy 176.53 43.18) (xy 184.15 43.18)) + (stroke (width 0) (type default)) + (uuid 66fc8678-f7a9-47b8-98ec-b29f5614f19c) + ) + (wire (pts (xy 35.56 85.09) (xy 43.18 85.09)) + (stroke (width 0) (type default)) + (uuid 699feae1-8cdd-4d2b-947f-f24849c73cdb) + ) + (wire (pts (xy 78.74 92.71) (xy 76.2 92.71)) + (stroke (width 0) (type default)) + (uuid 6a2bcc72-047b-4846-8583-1109e3552669) + ) + (wire (pts (xy 252.73 17.78) (xy 260.35 17.78)) + (stroke (width 0) (type default)) + (uuid 6a9c519a-d67b-450f-ac23-fdf24b1e529e) + ) + (wire (pts (xy 17.78 107.95) (xy 22.86 107.95)) + (stroke (width 0) (type default)) + (uuid 6d0c9e39-9878-44c8-8283-9a59e45006fa) + ) + (wire (pts (xy 245.11 33.02) (xy 237.49 33.02)) + (stroke (width 0) (type default)) + (uuid 6df977fb-39ba-4944-9254-4f340c906dcd) + ) + (wire (pts (xy 121.92 163.83) (xy 124.46 163.83)) + (stroke (width 0) (type default)) + (uuid 6e88425e-bdef-4159-b9fe-cd556cf20f97) + ) + (bus (pts (xy 45.72 64.77) (xy 45.72 67.31)) + (stroke (width 0) (type default)) + (uuid 6f087b71-3534-4c93-b174-cedaa211facf) + ) + + (wire (pts (xy 209.55 153.67) (xy 209.55 161.29)) + (stroke (width 0) (type default)) + (uuid 6f99b04e-ee07-425c-9b22-77b5b4281f5d) + ) + (wire (pts (xy 35.56 90.17) (xy 43.18 90.17)) + (stroke (width 0) (type default)) + (uuid 71f92193-19b0-44ed-bc7f-77535083d769) + ) + (wire (pts (xy 48.26 80.01) (xy 55.88 80.01)) + (stroke (width 0) (type default)) + (uuid 72508b1f-1505-46cb-9d37-2081c5a12aca) + ) + (wire (pts (xy 116.84 41.91) (xy 119.38 41.91)) + (stroke (width 0) (type default)) + (uuid 74355da0-d831-4238-ace7-d2de759d9237) + ) + (wire (pts (xy 207.01 22.86) (xy 214.63 22.86)) + (stroke (width 0) (type default)) + (uuid 744cf5e9-74e6-44bc-a105-cc4ae9462e96) + ) + (wire (pts (xy 229.87 38.1) (xy 237.49 38.1)) + (stroke (width 0) (type default)) + (uuid 74855e0d-40e4-4940-a544-edae9207b2ea) + ) + (wire (pts (xy 184.15 17.78) (xy 191.77 17.78)) + (stroke (width 0) (type default)) + (uuid 751a6535-5fff-4ac9-b598-d3799d7067d1) + ) + (wire (pts (xy 191.77 17.78) (xy 199.39 17.78)) + (stroke (width 0) (type default)) + (uuid 7668b629-abd6-4e14-be84-df90ae487fc6) + ) + (wire (pts (xy 229.87 22.86) (xy 237.49 22.86)) + (stroke (width 0) (type default)) + (uuid 76ed1b37-3a47-42be-b469-ebea0250725b) + ) + (wire (pts (xy 78.74 87.63) (xy 78.74 92.71)) + (stroke (width 0) (type default)) + (uuid 775e8983-a723-43c5-bf00-61681f0840f3) + ) + (wire (pts (xy 35.56 110.49) (xy 43.18 110.49)) + (stroke (width 0) (type default)) + (uuid 795e68e2-c9ba-45cf-9bff-89b8fae05b5a) + ) + (wire (pts (xy 76.2 105.41) (xy 81.28 105.41)) + (stroke (width 0) (type default)) + (uuid 7a2f50f6-0c99-4e8d-9c2a-8f2f961d2e6d) + ) + (wire (pts (xy 48.26 72.39) (xy 55.88 72.39)) + (stroke (width 0) (type default)) + (uuid 7a74c4b1-6243-4a12-85a2-bc41d346e7aa) + ) + (wire (pts (xy 214.63 38.1) (xy 222.25 38.1)) + (stroke (width 0) (type default)) + (uuid 7c313cef-9e6e-4672-ac22-1cf429705a4a) + ) + (wire (pts (xy 191.77 161.29) (xy 204.47 161.29)) + (stroke (width 0) (type default)) + (uuid 7c3538f6-5faf-4376-8d23-a07aca07d7f2) + ) + (wire (pts (xy 17.78 105.41) (xy 22.86 105.41)) + (stroke (width 0) (type default)) + (uuid 7c411b3e-aca2-424f-b644-2d21c9d80fa7) + ) + (wire (pts (xy 76.2 130.81) (xy 81.28 130.81)) + (stroke (width 0) (type default)) + (uuid 7d0dab95-9e7a-486e-a1d7-fc48860fd57d) + ) + (wire (pts (xy 48.26 67.31) (xy 55.88 67.31)) + (stroke (width 0) (type default)) + (uuid 7d76d925-f900-42af-a03f-bb32d2381b09) + ) + (wire (pts (xy 114.3 41.91) (xy 116.84 41.91)) + (stroke (width 0) (type default)) + (uuid 7da24f53-d924-409b-be69-827fd75bc725) + ) + (bus (pts (xy 45.72 87.63) (xy 45.72 90.17)) + (stroke (width 0) (type default)) + (uuid 7dab1c48-2db3-41ff-9544-ed253612fab8) + ) + + (wire (pts (xy 245.11 113.03) (xy 241.3 113.03)) + (stroke (width 0) (type default)) + (uuid 7ec0e0f8-9266-4c8c-8dc7-f57e1ca65c9b) + ) + (wire (pts (xy 76.2 156.21) (xy 76.2 162.56)) + (stroke (width 0) (type default)) + (uuid 7f36ce1e-b6fe-4234-8439-01dc9303acf9) + ) + (wire (pts (xy 78.74 57.15) (xy 78.74 62.23)) + (stroke (width 0) (type default)) + (uuid 7f9683c1-2203-43df-8fa1-719a0dc360df) + ) + (wire (pts (xy 48.26 123.19) (xy 55.88 123.19)) + (stroke (width 0) (type default)) + (uuid 802c2dc3-ca9f-491e-9d66-7893e89ac34c) + ) + (wire (pts (xy 17.78 100.33) (xy 22.86 100.33)) + (stroke (width 0) (type default)) + (uuid 810ed4ff-ffe2-4032-9af6-fb5ada3bae5b) + ) + (bus (pts (xy 45.72 133.35) (xy 45.72 135.89)) + (stroke (width 0) (type default)) + (uuid 814d0842-0441-4379-b585-a39b2a5f7729) + ) + + (wire (pts (xy 191.77 43.18) (xy 199.39 43.18)) + (stroke (width 0) (type default)) + (uuid 82204892-ec79-4d38-a593-52fb9a9b4b87) + ) + (wire (pts (xy 48.26 95.25) (xy 55.88 95.25)) + (stroke (width 0) (type default)) + (uuid 88cb65f4-7e9e-44eb-8692-3b6e2e788a94) + ) + (wire (pts (xy 234.95 153.67) (xy 234.95 161.29)) + (stroke (width 0) (type default)) + (uuid 88e75a14-dc19-42fe-bf86-ed923b57e215) + ) + (bus (pts (xy 45.72 130.81) (xy 45.72 133.35)) + (stroke (width 0) (type default)) + (uuid 8905f389-265b-40f7-9e5b-31ba212922eb) + ) + (bus (pts (xy 45.72 135.89) (xy 45.72 138.43)) + (stroke (width 0) (type default)) + (uuid 893885bd-ce08-4a08-a285-c01011b15d68) + ) + (bus (pts (xy 45.72 67.31) (xy 45.72 69.85)) + (stroke (width 0) (type default)) + (uuid 8960d3d5-822a-47fd-9f7a-9679e160a330) + ) + (bus (pts (xy 45.72 72.39) (xy 45.72 74.93)) + (stroke (width 0) (type default)) + (uuid 8a01f664-a9db-40d1-8745-89f74b069e18) + ) + + (wire (pts (xy 82.55 173.99) (xy 88.9 173.99)) + (stroke (width 0) (type default)) + (uuid 8c6467e5-4ae6-41bd-8c40-e66523d09aad) + ) + (wire (pts (xy 76.2 128.27) (xy 81.28 128.27)) + (stroke (width 0) (type default)) + (uuid 8cd050d6-228c-4da0-9533-b4f8d14cfb34) + ) + (bus (pts (xy 45.72 97.79) (xy 45.72 100.33)) + (stroke (width 0) (type default)) + (uuid 8e8dd6e2-0760-450a-b3b5-baaab08ea631) + ) + + (wire (pts (xy 35.56 181.61) (xy 35.56 179.07)) + (stroke (width 0) (type default)) + (uuid 8eb98c56-17e4-4de6-a3e3-06dcfa392040) + ) + (wire (pts (xy 176.53 17.78) (xy 184.15 17.78)) + (stroke (width 0) (type default)) + (uuid 8f48cd72-a7a1-4697-9c3a-4552e08e1979) + ) + (wire (pts (xy 35.56 107.95) (xy 43.18 107.95)) + (stroke (width 0) (type default)) + (uuid 8fcec304-c6b1-4655-8326-beacd0476953) + ) + (wire (pts (xy 165.1 34.29) (xy 139.7 34.29)) + (stroke (width 0) (type default)) + (uuid 90f06490-d73c-4f6b-998d-c6795a7ba584) + ) + (wire (pts (xy 172.72 52.07) (xy 172.72 54.61)) + (stroke (width 0) (type default)) + (uuid 917920ab-0c6e-4927-974d-ef342cdd4f63) + ) + (wire (pts (xy 76.2 72.39) (xy 81.28 72.39)) + (stroke (width 0) (type default)) + (uuid 9286cf02-1563-41d2-9931-c192c33bab31) + ) + (wire (pts (xy 252.73 123.19) (xy 252.73 121.92)) + (stroke (width 0) (type default)) + (uuid 936a521f-c1f2-402d-bf6b-577eaff52e3d) + ) + (bus (pts (xy 83.82 128.27) (xy 83.82 130.81)) + (stroke (width 0) (type default)) + (uuid 936e9709-ea0d-41e8-980c-96f1c0577c46) + ) + + (wire (pts (xy 76.2 95.25) (xy 81.28 95.25)) + (stroke (width 0) (type default)) + (uuid 94a10cae-6ef2-4b64-9d98-fb22aa3306cc) + ) + (wire (pts (xy 76.2 100.33) (xy 81.28 100.33)) + (stroke (width 0) (type default)) + (uuid 9565d2ee-a4f1-4d08-b2c9-0264233a0d2b) + ) + (wire (pts (xy 48.26 128.27) (xy 55.88 128.27)) + (stroke (width 0) (type default)) + (uuid 96de0051-7945-413a-9219-1ab367546962) + ) + (bus (pts (xy 83.82 102.87) (xy 83.82 105.41)) + (stroke (width 0) (type default)) + (uuid 9886ad43-0f0e-44df-9436-442bccd1eec1) + ) + (bus (pts (xy 83.82 138.43) (xy 83.82 140.97)) + (stroke (width 0) (type default)) + (uuid 9890580c-c40f-4751-8e32-f937403c3be1) + ) + (bus (pts (xy 45.72 123.19) (xy 45.72 125.73)) + (stroke (width 0) (type default)) + (uuid 996fba41-cee2-4fc9-9baa-3b07cc03b389) + ) + + (wire (pts (xy 199.39 33.02) (xy 191.77 33.02)) + (stroke (width 0) (type default)) + (uuid 99eec81d-c3b1-4d29-ac1a-7ad5b2879896) + ) + (wire (pts (xy 48.26 105.41) (xy 55.88 105.41)) + (stroke (width 0) (type default)) + (uuid 9a2d648d-863a-4b7b-80f9-d537185c212b) + ) + (wire (pts (xy 78.74 26.67) (xy 78.74 31.75)) + (stroke (width 0) (type default)) + (uuid 9aaeec6e-84fe-4644-b0bc-5de24626ff48) + ) + (wire (pts (xy 76.2 67.31) (xy 81.28 67.31)) + (stroke (width 0) (type default)) + (uuid 9b6bb172-1ac4-440a-ac75-c1917d9d59c7) + ) + (wire (pts (xy 35.56 97.79) (xy 43.18 97.79)) + (stroke (width 0) (type default)) + (uuid 9bac9ad3-a7b9-47f0-87c7-d8630653df68) + ) + (wire (pts (xy 17.78 110.49) (xy 22.86 110.49)) + (stroke (width 0) (type default)) + (uuid 9c607e49-ee5c-4e85-a7da-6fede9912412) + ) + (wire (pts (xy 35.56 54.61) (xy 48.26 54.61)) + (stroke (width 0) (type default)) + (uuid 9c8eae28-a7c3-4e6a-bd81-98cf70031070) + ) + (bus (pts (xy 45.72 59.69) (xy 45.72 62.23)) + (stroke (width 0) (type default)) + (uuid 9e18f8b3-9e1a-4022-9224-10c12ca8a28d) + ) + + (wire (pts (xy 83.82 162.56) (xy 83.82 156.21)) + (stroke (width 0) (type default)) + (uuid 9f1c5b88-71fc-48a3-81f5-cfd2b9d2335b) + ) + (wire (pts (xy 199.39 38.1) (xy 207.01 38.1)) + (stroke (width 0) (type default)) + (uuid 9f4abbc0-6ac3-48f0-b823-2c1c19349540) + ) + (wire (pts (xy 227.33 143.51) (xy 201.93 143.51)) + (stroke (width 0) (type default)) + (uuid 9f94eb9f-73f8-4e7c-a047-6b72ee67bf7a) + ) + (wire (pts (xy 207.01 27.94) (xy 214.63 27.94)) + (stroke (width 0) (type default)) + (uuid 9f969b13-1795-4747-8326-93bdc304ed56) + ) + (wire (pts (xy 81.28 87.63) (xy 78.74 87.63)) + (stroke (width 0) (type default)) + (uuid a0e7a81b-2259-4f8d-8368-ba75f2004714) + ) + (wire (pts (xy 214.63 22.86) (xy 222.25 22.86)) + (stroke (width 0) (type default)) + (uuid a12b751e-ae7a-468c-af3d-31ed4d501b01) + ) + (wire (pts (xy 252.73 116.84) (xy 252.73 113.03)) + (stroke (width 0) (type default)) + (uuid a1a1b42d-741c-4baf-8639-7e7a16104c95) + ) + (wire (pts (xy 24.13 181.61) (xy 26.67 181.61)) + (stroke (width 0) (type default)) + (uuid a1d114db-6e1e-47b5-8bd9-10dc8b3f37be) + ) + (bus (pts (xy 45.72 120.65) (xy 45.72 123.19)) + (stroke (width 0) (type default)) + (uuid a2557724-90fa-40be-b27d-876976c66739) + ) + (bus (pts (xy 83.82 67.31) (xy 83.82 69.85)) + (stroke (width 0) (type default)) + (uuid a2c5b768-9c13-43b5-a00f-122b7f97e9a1) + ) + + (wire (pts (xy 224.79 151.13) (xy 224.79 156.21)) + (stroke (width 0) (type default)) + (uuid a30ec26b-2b57-4e79-8fdf-e45ee8a6bf3a) + ) + (wire (pts (xy 245.11 123.19) (xy 252.73 123.19)) + (stroke (width 0) (type default)) + (uuid a3ae3468-b936-44fa-b850-1fcacce81e72) + ) + (wire (pts (xy 207.01 43.18) (xy 214.63 43.18)) + (stroke (width 0) (type default)) + (uuid a4010f49-c1b2-4f2b-90cb-3e495b5a05d4) + ) + (wire (pts (xy 120.65 180.34) (xy 113.03 180.34)) + (stroke (width 0) (type default)) + (uuid a40eb630-dd2b-4b26-986e-90ba01694424) + ) + (wire (pts (xy 237.49 27.94) (xy 245.11 27.94)) + (stroke (width 0) (type default)) + (uuid a48f5fff-52e4-4ae8-8faa-7084c7ae8a28) + ) + (wire (pts (xy 207.01 156.21) (xy 214.63 156.21)) + (stroke (width 0) (type default)) + (uuid a4d6540b-ae41-45b3-b760-9bd3fb89e093) + ) + (wire (pts (xy 76.2 125.73) (xy 81.28 125.73)) + (stroke (width 0) (type default)) + (uuid a5be2cb8-c68d-4180-8412-69a6b4c5b1d4) + ) + (wire (pts (xy 50.8 59.69) (xy 55.88 59.69)) + (stroke (width 0) (type default)) + (uuid a67dbe3b-ec7d-4ea5-b0e5-715c5263d8da) + ) + (wire (pts (xy 176.53 33.02) (xy 184.15 33.02)) + (stroke (width 0) (type default)) + (uuid a7928c44-0ce3-425c-b570-939cb9d78f78) + ) + (wire (pts (xy 76.2 97.79) (xy 81.28 97.79)) + (stroke (width 0) (type default)) + (uuid a7fc0812-140f-4d96-9cd8-ead8c1c610b1) + ) + (wire (pts (xy 77.47 156.21) (xy 76.2 156.21)) + (stroke (width 0) (type default)) + (uuid a84a5735-2605-4b36-a7c3-3faa4c9e8362) + ) + (bus (pts (xy 83.82 62.23) (xy 83.82 64.77)) + (stroke (width 0) (type default)) + (uuid ada81143-ea66-42a6-b1ba-11b9aa4a2a66) + ) + + (wire (pts (xy 76.2 102.87) (xy 81.28 102.87)) + (stroke (width 0) (type default)) + (uuid ae0e6b31-27d7-4383-a4fc-7557b0a19382) + ) + (wire (pts (xy 252.73 43.18) (xy 260.35 43.18)) + (stroke (width 0) (type default)) + (uuid ae425812-2cdd-4d85-b9c3-b549ecee20cc) + ) + (wire (pts (xy 214.63 33.02) (xy 222.25 33.02)) + (stroke (width 0) (type default)) + (uuid ae8bb5ae-95ee-4e2d-8a0c-ae5b6149b4e3) + ) + (wire (pts (xy 35.56 77.47) (xy 43.18 77.47)) + (stroke (width 0) (type default)) + (uuid af347946-e3da-4427-87ab-77b747929f50) + ) + (wire (pts (xy 209.55 161.29) (xy 204.47 161.29)) + (stroke (width 0) (type default)) + (uuid afd488fb-b4bd-417c-bf90-a93beaf81915) + ) + (wire (pts (xy 78.74 62.23) (xy 76.2 62.23)) + (stroke (width 0) (type default)) + (uuid b0054ce1-b60e-41de-a6a2-bf712784dd39) + ) + (bus (pts (xy 83.82 133.35) (xy 83.82 135.89)) + (stroke (width 0) (type default)) + (uuid b2552c89-d6e2-4329-a750-575614595c94) + ) + + (wire (pts (xy 76.2 82.55) (xy 81.28 82.55)) + (stroke (width 0) (type default)) + (uuid b287f145-851e-45cc-b200-e62677b551d5) + ) + (wire (pts (xy 95.25 191.77) (xy 102.87 191.77)) + (stroke (width 0) (type default)) + (uuid b3210e06-affb-4ec4-8b9e-72d16753feb9) + ) + (bus (pts (xy 15.24 105.41) (xy 15.24 107.95)) + (stroke (width 0) (type default)) + (uuid b554d09c-e37d-48d9-a2d6-1bb26fba0299) + ) + + (wire (pts (xy 175.26 146.05) (xy 181.61 146.05)) + (stroke (width 0) (type default)) + (uuid b6564e1e-1fe5-47af-849d-80cfe3ead5c2) + ) + (wire (pts (xy 35.56 80.01) (xy 43.18 80.01)) + (stroke (width 0) (type default)) + (uuid b6cd701f-4223-4e72-a305-466869ccb250) + ) + (wire (pts (xy 125.73 180.34) (xy 130.81 180.34)) + (stroke (width 0) (type default)) + (uuid b6f27ec8-efee-4108-8980-c1232d20f559) + ) + (wire (pts (xy 245.11 17.78) (xy 240.03 17.78)) + (stroke (width 0) (type default)) + (uuid b7f9f741-3bda-44dc-961e-673aa2ff6e97) + ) + (bus (pts (xy 45.72 77.47) (xy 45.72 80.01)) + (stroke (width 0) (type default)) + (uuid b869203c-fdc4-444a-aea1-443e8e3fc45e) + ) + + (wire (pts (xy 77.47 173.99) (xy 76.2 173.99)) + (stroke (width 0) (type default)) + (uuid b879c476-f766-4d91-9c12-38b759042ebb) + ) + (wire (pts (xy 252.73 22.86) (xy 260.35 22.86)) + (stroke (width 0) (type default)) + (uuid b883f113-4a25-4773-9b3b-9ffc1a4ec877) + ) + (wire (pts (xy 76.2 110.49) (xy 81.28 110.49)) + (stroke (width 0) (type default)) + (uuid ba6fc20e-7eff-4d5f-81e4-d1fad93be155) + ) + (bus (pts (xy 45.72 80.01) (xy 45.72 82.55)) + (stroke (width 0) (type default)) + (uuid ba92c38f-30a9-42e1-92e4-52962b9ac58d) + ) + + (wire (pts (xy 119.38 163.83) (xy 121.92 163.83)) + (stroke (width 0) (type default)) + (uuid bbeb228c-1021-4f96-82ce-6ca0a77d6237) + ) + (wire (pts (xy 260.35 113.03) (xy 257.81 113.03)) + (stroke (width 0) (type default)) + (uuid bd71425f-17e2-4601-a4af-bb9ed7fb9388) + ) + (wire (pts (xy 201.93 146.05) (xy 227.33 146.05)) + (stroke (width 0) (type default)) + (uuid bdbc458b-4b4c-4240-906f-19b3b5c2bb32) + ) + (wire (pts (xy 53.34 143.51) (xy 55.88 143.51)) + (stroke (width 0) (type default)) + (uuid bde95c06-433a-4c03-bc48-e3abcdb4e054) + ) + (wire (pts (xy 229.87 27.94) (xy 237.49 27.94)) + (stroke (width 0) (type default)) + (uuid be1dee37-181a-43f0-bddb-01bd291d148a) + ) + (wire (pts (xy 245.11 27.94) (xy 252.73 27.94)) + (stroke (width 0) (type default)) + (uuid c10ace36-a93c-4c08-ac75-059ef9e1f71c) + ) + (wire (pts (xy 222.25 123.19) (xy 233.68 123.19)) + (stroke (width 0) (type default)) + (uuid c1cdf61b-195a-447c-98a6-037f69afde43) + ) + (wire (pts (xy 176.53 22.86) (xy 184.15 22.86)) + (stroke (width 0) (type default)) + (uuid c376b7f4-3081-4859-b1d4-80b356aff922) + ) + (wire (pts (xy 201.93 151.13) (xy 207.01 151.13)) + (stroke (width 0) (type default)) + (uuid c3b8b0f9-510c-4224-99d1-69820fc49ad7) + ) + (wire (pts (xy 48.26 92.71) (xy 55.88 92.71)) + (stroke (width 0) (type default)) + (uuid c4cab9c5-d6e5-4660-b910-603a51b56783) + ) + (bus (pts (xy 45.72 105.41) (xy 45.72 107.95)) + (stroke (width 0) (type default)) + (uuid c6ed29f5-fb74-49e9-88df-44db5f547df2) + ) + + (wire (pts (xy 40.64 160.02) (xy 35.56 160.02)) + (stroke (width 0) (type default)) + (uuid c7f7bd58-1ebd-40fd-a39d-a95530a751b6) + ) + (wire (pts (xy 35.56 100.33) (xy 43.18 100.33)) + (stroke (width 0) (type default)) + (uuid c8b92953-cd23-44e6-85ce-083fb8c3f20f) + ) + (wire (pts (xy 165.1 34.29) (xy 165.1 26.67)) + (stroke (width 0) (type default)) + (uuid ca2b5f84-e7fe-4665-8726-082754413682) + ) + (wire (pts (xy 24.13 173.99) (xy 26.67 173.99)) + (stroke (width 0) (type default)) + (uuid ca7ba58e-c67a-45cc-8430-1b96d66b87a9) + ) + (wire (pts (xy 184.15 43.18) (xy 191.77 43.18)) + (stroke (width 0) (type default)) + (uuid cb1a49ef-0a06-4f40-9008-61d1d1c36198) + ) + (wire (pts (xy 43.18 172.72) (xy 43.18 173.99)) + (stroke (width 0) (type default)) + (uuid cd1cff81-9d8a-4511-96d6-4ddb79484001) + ) + (bus (pts (xy 45.72 118.11) (xy 45.72 120.65)) + (stroke (width 0) (type default)) + (uuid cd48b13f-c989-4ac1-a7f0-053afcd77527) + ) + + (wire (pts (xy 76.2 77.47) (xy 81.28 77.47)) + (stroke (width 0) (type default)) + (uuid cebb9021-66d3-4116-98d4-5e6f3c1552be) + ) + (bus (pts (xy 15.24 100.33) (xy 15.24 102.87)) + (stroke (width 0) (type default)) + (uuid cf6f2c16-658c-413a-a205-7b0072d954ea) + ) + + (wire (pts (xy 76.2 135.89) (xy 81.28 135.89)) + (stroke (width 0) (type default)) + (uuid cf815d51-c956-4c5a-adde-c373cb025b07) + ) + (wire (pts (xy 119.38 41.91) (xy 121.92 41.91)) + (stroke (width 0) (type default)) + (uuid cf9723f3-b476-4dc3-8172-95c5acfe13df) + ) + (wire (pts (xy 139.7 36.83) (xy 165.1 36.83)) + (stroke (width 0) (type default)) + (uuid d1376997-5b69-4e7f-b046-ec1538bcf755) + ) + (bus (pts (xy 83.82 135.89) (xy 83.82 138.43)) + (stroke (width 0) (type default)) + (uuid d14fc59c-4df7-453d-bea4-bbdefdbfac05) + ) + + (wire (pts (xy 76.2 80.01) (xy 81.28 80.01)) + (stroke (width 0) (type default)) + (uuid d1eca865-05c5-48a4-96cf-ed5f8a640e25) + ) + (wire (pts (xy 55.88 49.53) (xy 55.88 52.07)) + (stroke (width 0) (type default)) + (uuid d396ce56-1974-47b7-a41b-ae2b20ef835c) + ) + (bus (pts (xy 83.82 125.73) (xy 83.82 128.27)) + (stroke (width 0) (type default)) + (uuid d3ae4f16-f2c4-4f96-870b-6cacc0daffd8) + ) + (bus (pts (xy 83.82 97.79) (xy 83.82 100.33)) + (stroke (width 0) (type default)) + (uuid d3c3a789-4328-45f3-af1e-7b7f37747c06) + ) + + (wire (pts (xy 78.74 31.75) (xy 76.2 31.75)) + (stroke (width 0) (type default)) + (uuid d3e133b7-2c84-4206-a2b1-e693cb57fe56) + ) + (wire (pts (xy 48.26 107.95) (xy 55.88 107.95)) + (stroke (width 0) (type default)) + (uuid d4db7f11-8cfe-40d2-b021-b36f05241701) + ) + (wire (pts (xy 21.59 143.51) (xy 21.59 146.05)) + (stroke (width 0) (type default)) + (uuid d5a7688c-7438-4b6d-999f-4f2a3cb18fd6) + ) + (wire (pts (xy 199.39 43.18) (xy 207.01 43.18)) + (stroke (width 0) (type default)) + (uuid d5f4d798-57d3-493b-b57c-3b6e89508879) + ) + (wire (pts (xy 78.74 123.19) (xy 78.74 118.11)) + (stroke (width 0) (type default)) + (uuid d6040293-95f0-436a-938c-ad69875a4be8) + ) + (wire (pts (xy 157.48 31.75) (xy 139.7 31.75)) + (stroke (width 0) (type default)) + (uuid d6a8fe22-a173-47cc-b484-5b6265121929) + ) + (wire (pts (xy 55.88 153.67) (xy 55.88 156.21)) + (stroke (width 0) (type default)) + (uuid d72c89a6-7578-4468-964e-2a845431195f) + ) + (wire (pts (xy 35.56 82.55) (xy 43.18 82.55)) + (stroke (width 0) (type default)) + (uuid d88958ac-68cd-4955-a63f-0eaa329dec86) + ) + (bus (pts (xy 83.82 100.33) (xy 83.82 102.87)) + (stroke (width 0) (type default)) + (uuid d8ff5903-8c73-494a-b71b-a4849b9a5726) + ) + + (wire (pts (xy 207.01 151.13) (xy 224.79 151.13)) + (stroke (width 0) (type default)) + (uuid db651498-1f10-4f12-ad37-15a97e754f34) + ) + (wire (pts (xy 81.28 57.15) (xy 78.74 57.15)) + (stroke (width 0) (type default)) + (uuid dc1d84c8-33da-4489-be8e-2a1de3001779) + ) + (wire (pts (xy 226.06 113.03) (xy 226.06 115.57)) + (stroke (width 0) (type default)) + (uuid dc39c960-1fff-4260-9f52-f5607558d365) + ) + (wire (pts (xy 76.2 133.35) (xy 81.28 133.35)) + (stroke (width 0) (type default)) + (uuid dca1d7db-c913-4d73-a2cc-fdc9651eda69) + ) + (wire (pts (xy 127 163.83) (xy 129.54 163.83)) + (stroke (width 0) (type default)) + (uuid dd34bcff-20ec-49d5-b5cc-8e36ffb438e0) + ) + (wire (pts (xy 35.56 123.19) (xy 35.56 125.73)) + (stroke (width 0) (type default)) + (uuid dd3fdf54-057b-4a19-8c64-b6f2ee39efab) + ) + (bus (pts (xy 45.72 90.17) (xy 45.72 92.71)) + (stroke (width 0) (type default)) + (uuid de1f8893-19b4-44f6-a2d6-60129abca588) + ) + + (wire (pts (xy 191.77 38.1) (xy 199.39 38.1)) + (stroke (width 0) (type default)) + (uuid dec284d9-246c-4619-8dcc-8f4886f9349e) + ) + (wire (pts (xy 50.8 90.17) (xy 55.88 90.17)) + (stroke (width 0) (type default)) + (uuid e0b36e60-bb2b-489c-a764-1b81e551ce62) + ) + (wire (pts (xy 222.25 33.02) (xy 229.87 33.02)) + (stroke (width 0) (type default)) + (uuid e4248567-1fb3-4f3f-bc1a-e7b04deddc3f) + ) + (wire (pts (xy 149.86 29.21) (xy 139.7 29.21)) + (stroke (width 0) (type default)) + (uuid e484f861-8876-4205-9ce9-9886c5115e1d) + ) + (wire (pts (xy 35.56 72.39) (xy 43.18 72.39)) + (stroke (width 0) (type default)) + (uuid e5864fe6-2a71-47f0-90ce-38c3f8901580) + ) + (wire (pts (xy 48.26 97.79) (xy 55.88 97.79)) + (stroke (width 0) (type default)) + (uuid e5b328f6-dc69-4905-ae98-2dc3200a51d6) + ) + (wire (pts (xy 17.78 92.71) (xy 22.86 92.71)) + (stroke (width 0) (type default)) + (uuid e5e5220d-5b7e-47da-a902-b997ec8d4d58) + ) + (bus (pts (xy 15.24 107.95) (xy 15.24 110.49)) + (stroke (width 0) (type default)) + (uuid e735969f-8792-46e2-ba57-f570ed602196) + ) + + (wire (pts (xy 35.56 74.93) (xy 43.18 74.93)) + (stroke (width 0) (type default)) + (uuid e7e08b48-3d04-49da-8349-6de530a20c67) + ) + (wire (pts (xy 76.2 123.19) (xy 78.74 123.19)) + (stroke (width 0) (type default)) + (uuid ea28e946-b74f-4ba8-ac7b-b1884c5e7296) + ) + (wire (pts (xy 260.35 33.02) (xy 252.73 33.02)) + (stroke (width 0) (type default)) + (uuid ea366f01-bb1b-4632-9ad8-228e6afd6f05) + ) + (bus (pts (xy 45.72 118.11) (xy 15.24 118.11)) + (stroke (width 0) (type default)) + (uuid eac8d865-0226-4958-b547-6b5592f39713) + ) + + (wire (pts (xy 194.31 130.81) (xy 191.77 130.81)) + (stroke (width 0) (type default)) + (uuid ed45d89e-c9bd-4a75-930c-86f01de69e24) + ) + (wire (pts (xy 48.26 74.93) (xy 55.88 74.93)) + (stroke (width 0) (type default)) + (uuid ed8a7f02-cf05-41d0-97b4-4388ef205e73) + ) + (wire (pts (xy 113.03 193.04) (xy 120.65 193.04)) + (stroke (width 0) (type default)) + (uuid ee810a40-b683-4f3a-9ed7-4bbaa3700ba8) + ) + (wire (pts (xy 48.26 77.47) (xy 55.88 77.47)) + (stroke (width 0) (type default)) + (uuid eed466bf-cd88-4860-9abf-41a594ca08bd) + ) + (wire (pts (xy 157.48 21.59) (xy 165.1 21.59)) + (stroke (width 0) (type default)) + (uuid eef03bf5-aaea-43fd-86c1-8b8e520f58ae) + ) + (bus (pts (xy 45.72 62.23) (xy 45.72 64.77)) + (stroke (width 0) (type default)) + (uuid f007aeaa-a00c-4946-8634-e7655039efd7) + ) + (bus (pts (xy 45.72 85.09) (xy 45.72 87.63)) + (stroke (width 0) (type default)) + (uuid f0c0ad3a-f62e-41d4-92aa-8ad7562c7c87) + ) + + (wire (pts (xy 245.11 22.86) (xy 252.73 22.86)) + (stroke (width 0) (type default)) + (uuid f197822b-3fbb-40c9-8fe8-3633033922e6) + ) + (wire (pts (xy 176.53 38.1) (xy 184.15 38.1)) + (stroke (width 0) (type default)) + (uuid f1bab6e9-4132-40b7-a9fa-095487965ecc) + ) + (wire (pts (xy 48.26 69.85) (xy 55.88 69.85)) + (stroke (width 0) (type default)) + (uuid f1e619ac-5067-41df-8384-776ec70a6093) + ) + (wire (pts (xy 222.25 113.03) (xy 222.25 116.84)) + (stroke (width 0) (type default)) + (uuid f1e82498-0fef-4655-9c31-e163f2c3776d) + ) + (wire (pts (xy 129.54 41.91) (xy 132.08 41.91)) + (stroke (width 0) (type default)) + (uuid f22f0d2d-4622-427a-9064-748dbb3feab5) + ) + (wire (pts (xy 17.78 102.87) (xy 22.86 102.87)) + (stroke (width 0) (type default)) + (uuid f2480d0c-9b08-4037-9175-b2369af04d4c) + ) + (bus (pts (xy 83.82 110.49) (xy 83.82 115.57)) + (stroke (width 0) (type default)) + (uuid f2ad4f56-a8d6-42ab-908a-f7491bcc26f4) + ) + + (wire (pts (xy 134.62 163.83) (xy 137.16 163.83)) + (stroke (width 0) (type default)) + (uuid f2cbe016-f78a-4bc3-86fa-288ee35ffa25) + ) + (wire (pts (xy 17.78 97.79) (xy 22.86 97.79)) + (stroke (width 0) (type default)) + (uuid f345e52a-8e0a-425a-b438-90809dd3b799) + ) + (wire (pts (xy 245.11 123.19) (xy 245.11 121.92)) + (stroke (width 0) (type default)) + (uuid f37e0a3e-b05c-4ad3-915c-779af4008935) + ) + (wire (pts (xy 207.01 38.1) (xy 214.63 38.1)) + (stroke (width 0) (type default)) + (uuid f43d8a4b-896b-4c72-bd7f-7f8e613a7806) + ) + (wire (pts (xy 55.88 46.99) (xy 55.88 49.53)) + (stroke (width 0) (type default)) + (uuid f47374c3-cb2a-4769-880f-830c9b19222e) + ) + (bus (pts (xy 45.72 74.93) (xy 45.72 77.47)) + (stroke (width 0) (type default)) + (uuid f4a761ee-9250-47cd-a9f5-0a22091937ac) + ) + + (wire (pts (xy 222.25 22.86) (xy 229.87 22.86)) + (stroke (width 0) (type default)) + (uuid f4ae6d1b-837b-4f28-bda6-3ef311da88f0) + ) + (wire (pts (xy 48.26 138.43) (xy 55.88 138.43)) + (stroke (width 0) (type default)) + (uuid f64497d1-1d62-44a4-8e5e-6fba4ebc969a) + ) + (wire (pts (xy 124.46 163.83) (xy 127 163.83)) + (stroke (width 0) (type default)) + (uuid f663d8eb-eafa-4b94-b7d3-d26f03013b9d) + ) + (wire (pts (xy 222.25 38.1) (xy 229.87 38.1)) + (stroke (width 0) (type default)) + (uuid f67bbef3-6f59-49ba-8890-d1f9dc9f9ad6) + ) + (wire (pts (xy 26.67 181.61) (xy 26.67 173.99)) + (stroke (width 0) (type default)) + (uuid f7f3d4d7-f75d-4218-b239-d8f5901746d2) + ) + (wire (pts (xy 252.73 38.1) (xy 260.35 38.1)) + (stroke (width 0) (type default)) + (uuid f829c45b-ef1c-40fa-8e80-a8d9843cfb4d) + ) + (wire (pts (xy 237.49 38.1) (xy 245.11 38.1)) + (stroke (width 0) (type default)) + (uuid f8339699-65c9-4a69-af3f-654a335743f3) + ) + (wire (pts (xy 184.15 22.86) (xy 191.77 22.86)) + (stroke (width 0) (type default)) + (uuid f8866787-a725-4d91-9f80-9269f81da2f3) + ) + (wire (pts (xy 124.46 41.91) (xy 127 41.91)) + (stroke (width 0) (type default)) + (uuid f897add1-89a9-4512-ab2b-62248b37d946) + ) + (wire (pts (xy 48.26 133.35) (xy 55.88 133.35)) + (stroke (width 0) (type default)) + (uuid f8bd6470-fafd-47f2-8ed5-9449988187ce) + ) + (wire (pts (xy 179.07 138.43) (xy 179.07 133.35)) + (stroke (width 0) (type default)) + (uuid f931dd95-5977-451e-81c5-d4be749275b2) + ) + (wire (pts (xy 48.26 110.49) (xy 55.88 110.49)) + (stroke (width 0) (type default)) + (uuid faa1812c-fdf3-47ae-9cf4-ae06a263bfbd) + ) + (wire (pts (xy 207.01 22.86) (xy 199.39 22.86)) + (stroke (width 0) (type default)) + (uuid fb0d0462-6a2d-4d6d-ab19-413d81dabb38) + ) + (wire (pts (xy 229.87 17.78) (xy 237.49 17.78)) + (stroke (width 0) (type default)) + (uuid fb4fcac6-8eb5-4a60-8129-af98f353b13c) + ) + (bus (pts (xy 15.24 110.49) (xy 15.24 113.03)) + (stroke (width 0) (type default)) + (uuid fc067f8c-6d12-4f38-a53f-43911ef10aa7) + ) + + (wire (pts (xy 35.56 92.71) (xy 43.18 92.71)) + (stroke (width 0) (type default)) + (uuid fd3499d5-6fd2-49a4-bdb0-109cee899fde) + ) + (wire (pts (xy 237.49 33.02) (xy 229.87 33.02)) + (stroke (width 0) (type default)) + (uuid fef2cd25-7e28-4cea-b9fa-f3be51d6aa20) + ) + (wire (pts (xy 237.49 161.29) (xy 234.95 161.29)) + (stroke (width 0) (type default)) + (uuid ff23e675-3865-4a2f-bdde-be6bb233aab8) + ) + (wire (pts (xy 184.15 27.94) (xy 191.77 27.94)) + (stroke (width 0) (type default)) + (uuid ffa442c7-cbef-461f-8613-c211201cec06) + ) + + (label "SD5" (at 100.33 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 003974b6-cb8f-491b-a226-fc7891eb9a62) + ) + (label "SA3" (at 148.59 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 004b7456-c25a-480f-88f6-723c1bcd9939) + ) + (label "RA7" (at 76.2 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 01f82238-6335-48fe-8b0a-6853e227345a) + ) + (label "MOSI" (at 242.57 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 02538207-54a8-4266-8d51-23871852b2ff) + ) + (label "A4" (at 35.56 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 026ac84e-b8b2-4dd2-b675-8323c24fd778) + ) + (label "RD7" (at 76.2 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 03f57fb4-32a3-4bc6-85b9-fd8ece4a9592) + ) + (label "RA4" (at 100.33 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 052254c6-d787-4f8a-9526-fd269d684dbe) + ) + (label "SD1" (at 198.12 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 076046ab-4b56-4060-b8d9-0d80806d0277) + ) + (label "USB5V" (at 219.71 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 093faf23-ceb1-437e-884d-f725e6fb1b1c) + ) + (label "RA1" (at 148.59 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 09c6ca89-863f-42d4-867e-9a769c316610) + ) + (label "A5" (at 35.56 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0bcafe80-ffba-4f1e-ae51-95a595b006db) + ) + (label "FW1" (at 148.59 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0c9bbc06-f1c0-4359-8448-9c515b32a886) + ) + (label "PHI1" (at 22.86 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0cc45b5b-96b3-4284-9cae-a3a9e324a916) + ) + (label "FCK" (at 242.57 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0d993e48-cea3-4104-9c5a-d8f97b64a3ac) + ) + (label "~{PROG}" (at 148.59 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0e12b312-a3fe-4253-a879-e848f2919d55) + ) + (label "RA6" (at 76.2 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0e249018-17e7-42b3-ae5d-5ebf3ae299ae) + ) + (label "RD3" (at 148.59 118.11 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0e592cd4-1950-44ef-9727-8e526f4c4e12) + ) + (label "~{IRQ}" (at 22.86 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0f324b67-75ef-407f-8dbc-3c1fc5c2abba) + ) + (label "SCKE" (at 172.72 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0fd35a3e-b394-4aae-875a-fac843f9cbb7) + ) + (label "D6" (at 22.86 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 109caac1-5036-4f23-9a66-f569d871501b) + ) + (label "RCLK" (at 130.81 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 10d8ad0e-6a08-4053-92aa-23a15910fd21) + ) + (label "SD0" (at 198.12 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1171ce37-6ad7-4662-bb68-5592c945ebf3) + ) + (label "F~{CS}" (at 148.59 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 11c7c8d4-4c4b-4330-bb59-1eec2e98b255) + ) + (label "SD7" (at 100.33 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 122b5574-57fe-4d2d-80bf-3cabd28e7128) + ) + (label "A2" (at 55.88 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 13bbfffc-affb-4b43-9eb1-f2ed90a8a919) + ) + (label "Ddir" (at 113.03 193.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1589ef3b-4034-4095-a3b5-5f7176adb66c) + ) + (label "SD5" (at 198.12 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 16121028-bdf5-49c0-aae7-e28fe5bfa771) + ) + (label "F~{CS}" (at 214.63 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 17ed3508-fa2e-4593-a799-bfd39a6cc14d) + ) + (label "D1" (at 55.88 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 18ca5aef-6a2c-41ac-9e7f-bf7acb716e53) + ) + (label "SD3" (at 198.12 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 196a8dd5-5fd6-4c7f-ae4a-0104bd82e61b) + ) + (label "D5" (at 22.86 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 19b0959e-a79b-43b2-a5ad-525ced7e9131) + ) + (label "A5" (at 55.88 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1ab71a3c-340b-469a-ada5-4f87f0b7b2fa) + ) + (label "~{NMI}" (at 22.86 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1c68b844-c861-46b7-b734-0242168a4220) + ) + (label "SD1" (at 198.12 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1fbb0219-551e-409b-a61b-76e8cebdfb9d) + ) + (label "A14" (at 55.88 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20caf6d2-76a7-497e-ac56-f6d31eb9027b) + ) + (label "RA11" (at 100.33 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 21573090-1953-4b11-9042-108ae79fe9c5) + ) + (label "~{IOSTRB}" (at 35.56 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 224768bc-6009-43ba-aa4a-70cbaa15b5a3) + ) + (label "RD6" (at 148.59 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2295a793-dfca-4b86-a3e5-abf1834e2790) + ) + (label "FW1" (at 36.83 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 22ab392d-1989-4185-9178-8083812ea067) + ) + (label "RD3" (at 76.2 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 24b72b0d-63b8-4e06-89d0-e94dcf39a600) + ) + (label "ACLK" (at 100.33 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2522909e-6f5c-4f36-9c3a-869dca14e50f) + ) + (label "~{RDY}" (at 35.56 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 26801cfb-b53b-4a6a-a2f4-5f4986565765) + ) + (label "RA13" (at 76.2 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 269f19c3-6824-45a8-be29-fa58d70cbb42) + ) + (label "~{RES}" (at 88.9 156.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 282c8e53-3acc-42f0-a92a-6aa976b97a93) + ) + (label "R~{IOSEL}" (at 100.33 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 28b01cd2-da3a-46ec-8825-b0f31a0b8987) + ) + (label "Ddir" (at 55.88 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2b5a9ad3-7ec4-447d-916c-47adf5f9674f) + ) + (label "ACLK" (at 102.87 191.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2b64d2cb-d62a-4762-97ea-f1b0d4293c4f) + ) + (label "TDO" (at 148.59 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2c488362-c230-4f6d-82f9-a229b1171a23) + ) + (label "RA13" (at 100.33 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2cd3975a-2259-4fa9-8133-e1586b9b9618) + ) + (label "SA11" (at 100.33 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2d617fad-47fe-4db9-836a-4bceb9c31c3b) + ) + (label "SA10" (at 100.33 148.59 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2e36ce87-4661-4b8f-956a-16dc559e1b50) + ) + (label "A13" (at 55.88 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2f291a4b-4ecb-4692-9ad2-324f9784c0d4) + ) + (label "RD1" (at 148.59 123.19 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 300aa512-2f66-4c26-a530-50c091b3a099) + ) + (label "D7" (at 22.86 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 31540a7e-dc9e-4e4d-96b1-dab15efa5f4b) + ) + (label "A9" (at 55.88 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 319639ae-c2c5-486d-93b1-d03bb1b64252) + ) + (label "~{INIT}" (at 139.7 31.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 32788308-8c98-470a-8577-1472d3eeb9f0) + ) + (label "IRQ~{OE}" (at 55.88 168.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 32bfc5fd-822c-4275-9e3a-d7e4ff65f119) + ) + (label "SA10" (at 172.72 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3326423d-8df7-4a7e-a354-349430b8fbd7) + ) + (label "A2" (at 35.56 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34cdc1c9-c9e2-44c4-9677-c1c7d7efd83d) + ) + (label "A10" (at 35.56 85.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34d03349-6d78-4165-a683-2d8b76f2bae8) + ) + (label "UTCK" (at 171.45 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34fd4a6b-5978-482f-a187-edd968ddfe0b) + ) + (label "A8" (at 35.56 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 37b6c6d6-3e12-4736-912a-ea6e2bf06721) + ) + (label "RA12" (at 76.2 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 38cfe839-c630-43d3-a9ec-6a89ba9e318a) + ) + (label "SD0" (at 100.33 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3a45fb3b-7899-44f2-a78a-f676359df67b) + ) + (label "A10" (at 55.88 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3a70978e-dcc2-4620-a99c-514362812927) + ) + (label "RA8" (at 148.59 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3b6dda98-f455-4961-854e-3c4cceecffcc) + ) + (label "TDI" (at 22.86 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3c9169cc-3a77-4ae0-8afc-cbfc472a28c5) + ) + (label "TDO" (at 22.86 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3e57b728-64e6-4470-8f27-a43c0dd85050) + ) + (label "~{PROG}" (at 139.7 29.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3f6b17dd-6851-42b4-9439-f17431b7630f) + ) + (label "SA1" (at 172.72 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4185c36c-c66e-4dbd-be5d-841e551f4885) + ) + (label "RA9" (at 100.33 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 42f10020-b50a-4739-a546-6b63e441c980) + ) + (label "SD5" (at 198.12 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 43707e99-bdd7-4b02-9974-540ed6c2b0aa) + ) + (label "RD4" (at 76.2 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4431c0f6-83ea-4eee-95a8-991da2f03ccd) + ) + (label "MISO" (at 148.59 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 46491a9d-8b3d-4c74-b09a-70c876f162e5) + ) + (label "SBA1" (at 100.33 151.13 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4688ff87-8262-46f4-ad96-b5f4e529cfa9) + ) + (label "RA8" (at 76.2 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 49575217-40b0-4890-8acf-12982cca52b5) + ) + (label "DONE" (at 148.59 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4a10bf3a-3db3-4b79-9885-0d98aefdb5e3) + ) + (label "7M" (at 22.86 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4a850cb6-bb24-4274-a902-e49f34f0a0e3) + ) + (label "INTin" (at 22.86 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4b03e854-02fe-44cc-bece-f8268b7cae54) + ) + (label "RPHI0" (at 76.2 39.37 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4b1fce17-dec7-457e-ba3b-a77604e77dc9) + ) + (label "RA9" (at 76.2 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46) + ) + (label "FD2" (at 13.97 181.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4cfd9a02-97ef-4af4-a6b8-db9be1a8fda5) + ) + (label "SA9" (at 100.33 153.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4d3a1f72-d521-46ae-8fe1-3f8221038335) + ) + (label "SA9" (at 172.72 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4d4fecdd-be4a-47e9-9085-2268d5852d8f) + ) + (label "SA11" (at 172.72 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4ec618ae-096f-4256-9328-005ee04f13d6) + ) + (label "SDQMH" (at 100.33 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4f4bd227-fa4c-47f4-ad05-ee16ad4c58c2) + ) + (label "D6" (at 55.88 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 501880c3-8633-456f-9add-0e8fa1932ba6) + ) + (label "D3" (at 55.88 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 528fd7da-c9a6-40ae-9f1a-60f6a7f4d534) + ) + (label "R~{W}" (at 55.88 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 52a8f1be-73ca-41a8-bc24-2320706b0ec1) + ) + (label "RA12" (at 100.33 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 53719fc4-141e-4c58-98cd-ab3bf9a4e1c0) + ) + (label "RA11" (at 76.2 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5889287d-b845-4684-b23e-663811b25d27) + ) + (label "SCKE" (at 100.33 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5b70b09b-6762-4725-9d48-805300c0bdc8) + ) + (label "RD2" (at 148.59 120.65 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5bbde4f9-fcdb-4d27-a2d6-3847fcdd87ba) + ) + (label "SBA1" (at 172.72 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5d9921f1-08b3-4cc9-8cf7-e9a72ca2fdb7) + ) + (label "A11" (at 55.88 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 62a1f3d4-027d-4ecf-a37a-6fcf4263e9d2) + ) + (label "SA8" (at 148.59 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6316acb7-63a1-40e7-8695-2822d4a240b5) + ) + (label "RA5" (at 76.2 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988) + ) + (label "FD2" (at 22.86 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 63caf46e-0228-40de-b819-c6bd29dd1711) + ) + (label "RA0" (at 148.59 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 64d1d0fe-4fd6-4a55-8314-56a651e1ccab) + ) + (label "TCK" (at 166.37 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 67d58d9f-c5b3-4583-914e-a8d1cf297c98) + ) + (label "RR~{W}in" (at 100.33 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 68039801-1b0f-480a-861d-d55f24af0c17) + ) + (label "Q3" (at 22.86 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6b7c1048-12b6-46b2-b762-fa3ad30472dd) + ) + (label "SD7" (at 198.12 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6bd115d6-07e0-45db-8f2e-3cbb0429104f) + ) + (label "~{JTAGEN}" (at 139.7 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6c9fed16-a15c-4d97-8b7e-a27a365191a3) + ) + (label "SBA0" (at 100.33 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6ce41a48-c5e2-4d5f-8548-1c7b5c309a8a) + ) + (label "SA2" (at 148.59 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6e9883d7-9642-4425-a248-b92a09f0624c) + ) + (label "FW0" (at 36.83 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6fd21292-6577-40e1-bbda-18906b5e9f6f) + ) + (label "Ddir" (at 100.33 52.07 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 70abf340-8b3e-403e-a5e2-d8f35caa2f87) + ) + (label "R~{RES}" (at 100.33 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 70cda344-73be-4466-a097-1fd56f3b19e2) + ) + (label "SA4" (at 172.72 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 71c6e723-673c-45a9-a0e4-9742220c52a3) + ) + (label "A1" (at 55.88 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 71f8d568-0f23-4ff2-8e60-1600ce517a48) + ) + (label "RA10" (at 100.33 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 725579dd-9ec6-473d-8843-6a11e99f108c) + ) + (label "FD2" (at 214.63 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 73fbe87f-3928-49c2-bf87-839d907c6aef) + ) + (label "INTout" (at 35.56 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 752417ee-7d0b-4ac8-a22c-26669881a2ab) + ) + (label "A15" (at 55.88 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 759788bd-3cb9-4d38-b58c-5cb10b7dca6b) + ) + (label "TCK" (at 17.78 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 75b944f9-bf25-4dc7-8104-e9f80b4f359b) + ) + (label "SD3" (at 198.12 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 79770cd5-32d7-429a-8248-0d9e6212231a) + ) + (label "D4" (at 55.88 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7a879184-fad8-4feb-afb5-86fe8d34f1f7) + ) + (label "SD0" (at 198.12 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7bfba61b-6752-4a45-9ee6-5984dcb15041) + ) + (label "A0" (at 55.88 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e) + ) + (label "D3" (at 22.86 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7c04618d-9115-4179-b234-a8faf854ea92) + ) + (label "SD4" (at 100.33 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7c0866b5-b180-4be6-9e62-43f5b191d6d4) + ) + (label "PHI0" (at 55.88 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7c2008c8-0626-4a09-a873-065e83502a0e) + ) + (label "RA2" (at 76.2 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7db990e4-92e1-4f99-b4d2-435bbec1ba83) + ) + (label "RD0" (at 148.59 125.73 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7de6564c-7ad6-4d57-a54c-8d2835ff5cdc) + ) + (label "RD0" (at 76.2 125.73 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7e1217ba-8a3d-4079-8d7b-b45f90cfbf53) + ) + (label "DONE" (at 139.7 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7e25ed96-522e-45c4-8456-3dbfb8a21025) + ) + (label "SA5" (at 148.59 151.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 832b5a8c-7fe2-47ff-beee-cebf840750bb) + ) + (label "RES~{OE}" (at 55.88 151.13 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 83c5181e-f5ee-453c-ae5c-d7256ba8837d) + ) + (label "S~{CS}" (at 100.33 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 843b53af-dd34-4db8-aa6b-5035b25affc7) + ) + (label "SA8" (at 172.72 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8458d41c-5d62-455d-b6e1-9f718c0faac9) + ) + (label "RA6" (at 148.59 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8615dae0-65cf-4932-8e6f-9a0f32429a5e) + ) + (label "R~{IOSEL}" (at 76.2 34.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 869d6302-ae22-478f-9723-3feacbb12eef) + ) + (label "A7" (at 35.56 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 86dc7a78-7d51-4111-9eea-8a8f7977eb16) + ) + (label "S~{CAS}" (at 100.33 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8765371a-21c2-4fe3-a3af-88f5eb1f02a0) + ) + (label "TCK" (at 39.37 172.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 88606262-3ac5-44a1-aacc-18b26cf4d396) + ) + (label "A13" (at 35.56 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 88d2c4b8-79f2-4e8b-9f70-b7e0ed9c70f8) + ) + (label "A15" (at 35.56 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 89c0bc4d-eee5-4a77-ac35-d30b35db5cbe) + ) + (label "TDI" (at 148.59 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 89df70f4-3579-42b9-861e-6beb04a3b25e) + ) + (label "MOSI" (at 35.56 162.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8aeae536-fd36-430e-be47-1a856eced2fc) + ) + (label "MISO" (at 22.86 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8bd46048-cab7-4adf-af9a-bc2710c1894c) + ) + (label "~{DEVSEL}" (at 22.86 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8c1605f9-6c91-4701-96bf-e753661d5e23) + ) + (label "TCK" (at 148.59 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8cb5a828-8cef-4784-b78d-175b49646952) + ) + (label "SA7" (at 172.72 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8de2d84c-ff45-4d4f-bc49-c166f6ae6b91) + ) + (label "RA1" (at 76.2 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8efee08b-b92e-4ba6-8722-c058e18114fe) + ) + (label "~{JTAGEN}" (at 148.59 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 901f6c75-ce5e-43ee-9fd0-5a98fb8f8713) + ) + (label "RD5" (at 76.2 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 90e761f6-1432-4f73-ad28-fa8869b7ec31) + ) + (label "RA5" (at 148.59 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 91c82043-0b26-427f-b23c-6094224ddfc2) + ) + (label "D7" (at 55.88 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 91fe070a-a49b-4bc5-805a-42f23e10d114) + ) + (label "SA12" (at 172.72 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 92035a88-6c95-4a61-bd8a-cb8dd9e5018a) + ) + (label "SA12" (at 100.33 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 92bd1111-b941-4c03-b7ec-a08a9359bc50) + ) + (label "SA6" (at 172.72 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 935057d5-6882-4c15-9a35-54677912ba12) + ) + (label "A3" (at 55.88 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 97581b9a-3f6b-4e88-8768-6fdb60e6aca6) + ) + (label "RA3" (at 148.59 85.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 97e5f992-979e-4291-bd9a-a77c3fd4b1b5) + ) + (label "F~{CS}" (at 22.86 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 992a2b00-5e28-4edd-88b5-994891512d8d) + ) + (label "SD2" (at 198.12 85.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 99332785-d9f1-4363-9377-26ddc18e6d2c) + ) + (label "RCLK" (at 172.72 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 997c2f12-73ba-4c01-9ee0-42e37cbab790) + ) + (label "D0" (at 22.86 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 998b7fa5-31a5-472e-9572-49d5226d6098) + ) + (label "TMS" (at 181.61 156.21 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9a98fc39-ab1d-4c5d-8188-a8b68b2f3dc0) + ) + (label "SDQMH" (at 198.12 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9dcdc92b-2219-4a4a-8954-45f02cc3ab25) + ) + (label "RR~{W}in" (at 76.2 46.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9f782c92-a5e8-49db-bfda-752b35522ce4) + ) + (label "~{DMA}" (at 35.56 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9f80220c-1612-4589-b9ca-a5579617bdb8) + ) + (label "RD4" (at 148.59 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a150f0c9-1a23-4200-b489-18791f6d5ce5) + ) + (label "SD1" (at 100.33 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a323243c-4cab-4689-aa04-1e663cf86177) + ) + (label "R~{DEVSEL}" (at 100.33 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a49e8613-3cd2-48ed-8977-6bb5023f7722) + ) + (label "A7" (at 55.88 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a5c8e189-1ddc-4a66-984b-e0fd1529d346) + ) + (label "TMS" (at 148.59 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a5e6f7cb-0a81-4357-a11f-231d23300342) + ) + (label "RD2" (at 76.2 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a6738794-75ae-48a6-8949-ed8717400d71) + ) + (label "A12" (at 35.56 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a7531a95-7ca1-4f34-955e-18120cec99e6) + ) + (label "SA0" (at 172.72 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a8b4bc7e-da32-4fb8-b71a-d7b47c6f741f) + ) + (label "TDI" (at 181.61 153.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a9332946-d6d6-496e-814f-f39d18b13e92) + ) + (label "~{IOSEL}" (at 35.56 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aa79024d-ca7e-4c24-b127-7df08bbd0c75) + ) + (label "FD3" (at 13.97 173.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aadc3df5-0e2d-4f3d-b72e-6f184da74c89) + ) + (label "SDQML" (at 100.33 118.11 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid acb0068c-c0e7-44cf-a209-296716acb6a2) + ) + (label "RPHI0" (at 100.33 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af6ac8e6-193c-4bd2-ac0b-7f515b538a8b) + ) + (label "USB5V" (at 240.03 17.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b025ad26-a316-4d95-b951-022a75c38c06) + ) + (label "SD2" (at 198.12 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b0271cdd-de22-4bf4-8f55-fc137cfbd4ec) + ) + (label "TDO" (at 181.61 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b412bf03-dc68-4565-b299-400a62c04063) + ) + (label "SA3" (at 172.72 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b4833916-7a3e-4498-86fb-ec6d13262ffe) + ) + (label "DMAin" (at 22.86 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b5071759-a4d7-4769-be02-251f23cd4454) + ) + (label "RA7" (at 148.59 77.47 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b547dd70-2ea7-4cfd-a1ee-911561975d81) + ) + (label "SA7" (at 148.59 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b55dabdc-b790-4740-9349-75159cff975a) + ) + (label "FW0" (at 148.59 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b606e532-e4c7-444d-b9ff-879f52cfde92) + ) + (label "SA6" (at 148.59 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b66731e7-61d5-4447-bf6a-e91a62b82298) + ) + (label "RD6" (at 76.2 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b78cb2c1-ae4b-4d9b-acd8-d7fe342342f2) + ) + (label "SA4" (at 148.59 153.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8b15b51-8345-4a1d-8ecf-04fc15b9e450) + ) + (label "~{INIT}" (at 148.59 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bab34c9d-36b9-43f7-bec0-bd8dd768effc) + ) + (label "TMS" (at 22.86 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bac7c5b3-99df-445a-ade9-1e608bbbe27e) + ) + (label "A9" (at 35.56 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bb4b1afc-c46e-451d-8dad-36b7dec82f26) + ) + (label "FD3" (at 35.56 157.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bc3b3f93-69e0-44a5-b919-319b81d13095) + ) + (label "RA10" (at 76.2 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be4b72db-0e02-4d9b-844a-aff689b4e648) + ) + (label "RES~{OE}" (at 100.33 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid be5bbcc0-5b09-43de-a42f-297f80f602a5) + ) + (label "S~{CS}" (at 198.12 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c088f712-1abe-4cac-9a8b-d564931395aa) + ) + (label "RA2" (at 148.59 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c2a9d834-7cb1-4ec5-b0ba-ae56215ff9fc) + ) + (label "D5" (at 55.88 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c454102f-dc92-4550-9492-797fc8e6b49c) + ) + (label "A1" (at 35.56 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c49d23ab-146d-4089-864f-2d22b5b414b9) + ) + (label "RA15" (at 100.33 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c5565d96-c729-4597-a74f-7f75befcc39d) + ) + (label "SA0" (at 148.59 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c56bbebe-0c9a-418d-911e-b8ba7c53125d) + ) + (label "~{IRQ}" (at 88.9 173.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c6663560-4ef0-4981-ab35-88550cb7b51d) + ) + (label "TMS" (at 40.64 181.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c66a19ed-90c0-4502-ae75-6a4c4ab9f297) + ) + (label "A6" (at 55.88 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c71f56c1-5b7c-4373-9716-fffac482104c) + ) + (label "A0" (at 35.56 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c7af8405-da2e-4a34-b9b8-518f342f8995) + ) + (label "SD2" (at 100.33 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c81031ca-cd56-4ea3-b0db-833cbbdd7b2e) + ) + (label "RCLKout" (at 113.03 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c837b409-e4bd-4bd5-934b-a137027530fb) + ) + (label "SBA0" (at 172.72 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c8b6b273-3d20-4a46-8069-f6d608563604) + ) + (label "DMAout" (at 35.56 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cada57e2-1fa7-4b9d-a2a0-2218773d5c50) + ) + (label "SA2" (at 172.72 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cc48dd41-7768-48d3-b096-2c4cc2126c9d) + ) + (label "~{IOSTRB}" (at 55.88 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ccc4cc25-ac17-45ef-825c-e079951ffb21) + ) + (label "RA3" (at 76.2 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cd5e758d-cb66-484a-ae8b-21f53ceee49e) + ) + (label "MOSI" (at 148.59 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cdfb661b-489b-4b76-99f4-62b92bb1ab18) + ) + (label "SD6" (at 198.12 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d0a0deb1-4f0f-4ede-b730-2c6d67cb9618) + ) + (label "~{RES}" (at 55.88 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d102186a-5b58-41d0-9985-3dbb3593f397) + ) + (label "SD3" (at 100.33 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d1817a81-d444-4cd9-95f6-174ec9e2a60e) + ) + (label "R~{W}" (at 35.56 69.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d21cc5e4-177a-4e1d-a8d5-060ed33e5b8e) + ) + (label "~{RES}" (at 22.86 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d2d7bea6-0c22-495f-8666-323b30e03150) + ) + (label "S~{RAS}" (at 198.12 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3d57924-54a6-421d-a3a0-a044fc909e88) + ) + (label "SD4" (at 198.12 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d4c9471f-7503-4339-928c-d1abae1eede6) + ) + (label "RD1" (at 76.2 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d692b5e6-71b2-4fa6-bc83-618add8d8fef) + ) + (label "DMAin" (at 48.26 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d8370835-89ad-4b62-9f40-d0c10470788a) + ) + (label "A3" (at 35.56 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da25bf79-0abb-4fac-a221-ca5c574dfc29) + ) + (label "S~{RAS}" (at 100.33 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid da337fe1-c322-4637-ad26-2622b82ac8ee) + ) + (label "RA14" (at 76.2 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da481376-0e49-44d3-91b8-aaa39b869dd1) + ) + (label "R~{IOSTRB}" (at 76.2 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da6f4122-0ecc-496f-b0fd-e4abef534976) + ) + (label "SDQML" (at 198.12 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dae72997-44fc-4275-b36f-cd70bf46cfba) + ) + (label "A4" (at 55.88 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dbe92a0d-89cb-4d3f-9497-c2c1d93a3018) + ) + (label "FD3" (at 242.57 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dd334895-c8ff-4719-bac4-c0b289bb5899) + ) + (label "RD7" (at 148.59 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dff67d5c-d976-4516-ae67-dbbdb70f8ddd) + ) + (label "SA5" (at 172.72 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e091e263-c616-48ef-a460-465c70218987) + ) + (label "SD6" (at 198.12 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e17e6c0e-7e5b-43f0-ad48-0a2760b45b04) + ) + (label "R~{DEVSEL}" (at 76.2 36.83 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e1b88aa4-d887-4eea-83ff-5c009f4390c4) + ) + (label "A14" (at 35.56 74.93 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e1c30a32-820e-4b17-aec9-5cb8b76f0ccc) + ) + (label "RA0" (at 76.2 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e300709f-6c72-488d-a598-efcbd6d3af54) + ) + (label "A6" (at 35.56 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e32ee344-1030-4498-9cac-bfbf7540faf4) + ) + (label "~{IOSEL}" (at 55.88 31.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e36988d2-ecb2-461b-a443-7006f447e828) + ) + (label "D2" (at 55.88 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e413cfad-d7bd-41ab-b8dd-4b67484671a6) + ) + (label "SD6" (at 100.33 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e42fd0d4-9927-4308-81d9-4cca814c8ea9) + ) + (label "D1" (at 22.86 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e4d2f565-25a0-48c6-be59-f4bf31ad2558) + ) + (label "SD7" (at 198.12 72.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e4e20505-1208-4100-a4aa-676f50844c06) + ) + (label "D2" (at 22.86 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e502d1d5-04b0-4d4b-b5c3-8c52d09668e7) + ) + (label "COLORREF" (at 22.86 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e5203297-b913-4288-a576-12a92185cb52) + ) + (label "FCK" (at 45.72 160.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e65bab67-68b7-4b22-a939-6f2c05164d2a) + ) + (label "D4" (at 22.86 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e67b9f8c-019b-4145-98a4-96545f6bb128) + ) + (label "RA4" (at 76.2 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e6d68f56-4a40-4849-b8d1-13d5ca292900) + ) + (label "RD5" (at 148.59 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e77c17df-b20e-4e7d-b937-f281c75a0014) + ) + (label "~{INH}" (at 22.86 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e7bb7815-0d52-4bb8-b29a-8cf960bd2905) + ) + (label "FCK" (at 148.59 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e80b0e91-f15f-4e36-9a9c-b2cfd5a01d2a) + ) + (label "SD4" (at 198.12 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e97b5984-9f0f-43a4-9b8a-838eef4cceb2) + ) + (label "S~{WE}" (at 198.12 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ea6fde00-59dc-4a79-a647-7e38199fae0e) + ) + (label "SA1" (at 148.59 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid eafb53d1-7486-4935-b154-2efbffbed6ca) + ) + (label "INTin" (at 48.26 57.15 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eb1b2aa2-a3cc-4a96-87ec-70fcae365f0f) + ) + (label "S~{WE}" (at 100.33 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ed952427-2217-4500-9bbc-0c2746b198ad) + ) + (label "PHI0" (at 22.86 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f1447ad6-651c-45be-a2d6-33bddf672c2c) + ) + (label "R~{RES}" (at 76.2 44.45 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f1782535-55f4-4299-bd4f-6f51b0b7259c) + ) + (label "MISO" (at 214.63 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f33ec0db-ef0f-4576-8054-2833161a8f30) + ) + (label "A12" (at 55.88 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f447e585-df78-4239-b8cb-4653b3837bb1) + ) + (label "~{DEVSEL}" (at 55.88 34.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f4a8afbe-ed68-4253-959f-6be4d2cbf8c5) + ) + (label "USER1" (at 22.86 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f6c644f4-3036-41a6-9e14-2c08c079c6cd) + ) + (label "R~{IOSTRB}" (at 100.33 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f6dcb5b4-0971-448a-b9ab-6db37a750704) + ) + (label "S~{CAS}" (at 198.12 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f73b5500-6337-4860-a114-6e307f65ec9f) + ) + (label "A11" (at 35.56 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f8fc38ec-0b98-40bc-ae2f-e5cc29973bca) + ) + (label "RA15" (at 76.2 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f988d6ea-11c5-4837-b1d1-5c292ded50c6) + ) + (label "D0" (at 55.88 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f9b1563b-384a-447c-9f47-736504e995c8) + ) + (label "RCLKout" (at 100.33 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid faf5376e-62f3-4887-8713-60a3fb5e64f1) + ) + (label "A8" (at 55.88 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fc4ad874-c922-4070-89f9-7262080469d8) + ) + (label "RA14" (at 100.33 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fe4869dc-e96e-4bb4-a38d-2ca990635f2d) + ) + (label "IRQ~{OE}" (at 100.33 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fe78f832-677b-43e4-ba5c-df579afffa2d) + ) + (label "VIDSYNC" (at 35.56 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fef37e8b-0ff0-4da2-8a57-acaf19551d1a) + ) + + (symbol (lib_id "Device:C_Small") (at 237.49 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cc13929) + (property "Reference" "C11" (at 238.76 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 238.76 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 237.49 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 237.49 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 237.49 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a0695738-7af6-419e-8116-01442839aacb)) + (pin "2" (uuid dc2ae10b-7309-4ed2-baee-9111e5e1cbc9)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x25_Counter_Clockwise") (at 30.48 82.55 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cfc517d) + (property "Reference" "J1" (at 29.21 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AppleIIBus" (at 29.21 115.57 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:AppleIIBus_Edge" (at 30.48 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 30.48 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9ff5177b-4bfb-4aae-a415-94e6368c412a)) + (pin "10" (uuid b7e119a2-ad93-47d3-819a-a67bb40df091)) + (pin "11" (uuid 444f3f09-60a6-483a-aa87-1b462ef9232f)) + (pin "12" (uuid 611ec67d-8761-4d78-87b0-b34fcca38a4e)) + (pin "13" (uuid ba62e86b-1647-49c3-8dda-80e71f6632de)) + (pin "14" (uuid 000193ae-88c8-4515-a3a9-4e9c5b9342a9)) + (pin "15" (uuid 0686b1ce-ab28-48e1-aad4-c5e64f557582)) + (pin "16" (uuid 74947056-68ef-4bd4-9974-79f93ba23356)) + (pin "17" (uuid 682dd71c-0625-4e7f-8168-3584b0cefaaa)) + (pin "18" (uuid 82e6a5d7-45c6-434f-bdbf-0fffaead7ca0)) + (pin "19" (uuid 61e5a202-a13e-4bb5-8e35-ddf8016a78f6)) + (pin "2" (uuid e62bbaf5-3d83-408f-924a-11d0ff8233cf)) + (pin "20" (uuid b9c9b069-fc15-4b4b-97c4-dce63c1ac3fc)) + (pin "21" (uuid 66bc2973-6e82-4c7f-a035-a2bf5ff9c6d4)) + (pin "22" (uuid a26fe3d3-5c71-471c-a084-65e29faf2925)) + (pin "23" (uuid 7d1c6c5d-a6b7-457d-b898-da4c6ff1ee3b)) + (pin "24" (uuid cc7706d2-d0f2-4471-bfc3-c2269134e19b)) + (pin "25" (uuid f237d9fc-f580-4ea3-9608-ea1fa5e8032f)) + (pin "26" (uuid fa948cb7-f816-4068-a84f-bc166e26758c)) + (pin "27" (uuid b11c515b-624c-4b88-b48d-5b63def05648)) + (pin "28" (uuid 414ced61-351c-4509-8c48-62fdbb80dfb2)) + (pin "29" (uuid 05e65b06-4a6d-49f9-a6e8-c5bc53ef3e2a)) + (pin "3" (uuid bed53e4e-1d66-4231-aa03-48383d000db6)) + (pin "30" (uuid 340a3c97-4720-46c0-af55-cba214657808)) + (pin "31" (uuid 48db5cc7-6a04-41b6-8cd9-2f0e9a53c028)) + (pin "32" (uuid cf5aade5-95a9-4391-a214-46323ac86f00)) + (pin "33" (uuid 16b539e2-6442-4fdd-94ea-e25262a3b780)) + (pin "34" (uuid ab3d7b3a-bd6a-44ad-8cdf-4873210aa41a)) + (pin "35" (uuid b17aea1f-56dd-4513-bc82-c4a6c326f278)) + (pin "36" (uuid 710aa302-c08b-49a6-ae64-eb8d40cfc0b4)) + (pin "37" (uuid 1192a5e1-afd6-41f2-84dc-b2ce7a7ddf4e)) + (pin "38" (uuid 29b46b10-4680-4031-8ebf-b247e083a871)) + (pin "39" (uuid bfadb7d7-c745-4ec8-852a-2d5bc4a10414)) + (pin "4" (uuid ff2cca04-7dcf-44e6-b570-048a1f9b5bb5)) + (pin "40" (uuid d7f4784a-38a5-47f1-bce1-82f15295dd02)) + (pin "41" (uuid b6245759-5959-413b-bba6-48e382636e72)) + (pin "42" (uuid bea3d93c-d179-4fe1-829f-51f109827be1)) + (pin "43" (uuid 52632697-1ea5-4741-8c22-5a4aacd71df2)) + (pin "44" (uuid 34f54799-d007-4803-95be-90acb9c9fde1)) + (pin "45" (uuid d6a8d6d1-aca0-4ad1-bf65-d90600eaf4be)) + (pin "46" (uuid 79048715-9f92-434f-b411-a3af8b441479)) + (pin "47" (uuid 16753c81-1a3b-47e2-8a5b-29fa84e3a60a)) + (pin "48" (uuid 6d62c5f8-5b9c-4fae-97e5-05b4d9f0a22d)) + (pin "49" (uuid f6248e18-9694-4940-9f52-e9013acaf5de)) + (pin "5" (uuid 7086e12c-1a71-4c57-90ac-c49606d1553f)) + (pin "50" (uuid 59dcb24e-d4ab-49d8-94a8-9510788c3cb1)) + (pin "6" (uuid eb0627ea-4705-4e2d-904f-1e06af050957)) + (pin "7" (uuid 22092cce-d385-4bb7-a697-ead1917d943f)) + (pin "8" (uuid 5685e99e-ba7e-4c8d-a3d8-8fe2d18b6a06)) + (pin "9" (uuid b82eac96-548e-4330-8147-4c032a9779e8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-12V") (at 22.86 69.85 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cfeec44) + (property "Reference" "#PWR0102" (at 20.32 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 20.32 69.85 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 22.86 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 22.86 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d9f2cfc8-d883-4942-b0f7-7f5925afaaa3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-5V") (at 22.86 72.39 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005cfefece) + (property "Reference" "#PWR0101" (at 20.32 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 20.32 72.39 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 22.86 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 22.86 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 914358ab-9943-4c36-80c6-af02d9fa347e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0101") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 22.86 52.07 270) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005d11fea8) + (property "Reference" "#PWR0108" (at 16.51 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 17.78 52.07 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 22.86 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 22.86 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bdea12a2-2bc1-4239-be1f-49df65c20ec0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0108") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 45.72 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005d12ab6d) + (property "Reference" "C3" (at 246.38 44.45 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 246.38 46.99 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 245.11 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 245.11 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 889b7988-1077-42f7-83aa-edd157867819)) + (pin "2" (uuid e79f0671-f01d-45ed-880e-82be7512c2d1)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-5V") (at 245.11 48.26 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005d12d2df) + (property "Reference" "#PWR0112" (at 245.11 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 245.11 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 245.11 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43d45407-1872-4d43-a661-973a38a988da)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0112") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 16.51 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005d321d2b) + (property "Reference" "FID2" (at 18.669 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 18.669 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 16.51 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 31.75 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005d321da8) + (property "Reference" "FID3" (at 33.909 188.0616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 33.909 190.373 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 31.75 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 31.75 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 27.94 128.27 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e4199b1) + (property "Reference" "J2" (at 29.21 120.65 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "JTAG" (at 29.21 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 27.94 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 27.94 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f981648a-9051-4db0-ae04-701549d3961c)) + (pin "10" (uuid 221290f4-0522-4f3d-864c-1a98b112eecc)) + (pin "2" (uuid 575fdc89-9805-4266-a2d9-595fa263b11a)) + (pin "3" (uuid 0ad96c7a-dc28-4121-8e73-05b2064bbec3)) + (pin "4" (uuid 88888024-6d70-4fa8-9829-8295a00fc2c9)) + (pin "5" (uuid 13b942f4-70b4-4c16-8221-c0d89d92d9f4)) + (pin "6" (uuid eccc4eee-4290-4334-8514-2f5669abdefa)) + (pin "7" (uuid 5162987c-ba0e-48a9-b339-be2441c4705f)) + (pin "8" (uuid 7897f435-ca2e-4185-ae83-837e505bab70)) + (pin "9" (uuid 5eb4aecd-e0df-42c4-9716-e679c869f63c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 191.77 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e680811) + (property "Reference" "C12" (at 193.04 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 193.04 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 191.77 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 191.77 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 191.77 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2d97daf5-8313-4876-b8d6-0e364fe2f148)) + (pin "2" (uuid 9a744607-d61d-4f17-b3bc-8c366b63de19)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 207.01 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e8640a9) + (property "Reference" "C4" (at 208.28 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 208.28 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 207.01 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 207.01 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 207.01 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5bc4085b-1275-4275-91d1-1be3e047a6ab)) + (pin "2" (uuid 66a471df-9e27-4c02-97f6-035bd21a62a3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 252.73 45.72 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e8640ba) + (property "Reference" "C2" (at 254 44.45 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 254 46.99 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 252.73 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 252.73 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 913eb625-8010-4705-9dba-28581a25b3e0)) + (pin "2" (uuid d1c434bf-b7f0-418c-819d-86a4a535331b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-12V") (at 252.73 48.26 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e86fe3d) + (property "Reference" "#PWR0127" (at 252.73 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 252.73 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 252.73 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e0b72a8b-67fb-4e7a-aded-f100d8af0ab6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0127") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at 207.01 17.78 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005e875a47) + (property "Reference" "#PWR0128" (at 207.01 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 207.01 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 207.01 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 207.01 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f9956e6f-c3ae-49ff-9b0d-066510b0ba40)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0128") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 66.04 71.12 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3a6cfe) + (property "Reference" "U9" (at 66.04 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 71.12 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 87.63 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 68.58 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C5516" (at 66.04 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d62acfcd-78e9-48e2-8cfb-4057b64de403)) + (pin "10" (uuid 7b48a524-2ba6-4975-a1d9-6b9e727bbab1)) + (pin "11" (uuid 613f5c37-6166-4d0d-8387-9370b92bf8af)) + (pin "12" (uuid 6cb757f3-d367-4e42-b4ff-e793de5436d2)) + (pin "13" (uuid bd2a7dda-c141-4b7e-97c4-ea82c9252861)) + (pin "14" (uuid 11445971-2583-4989-aa60-3e2b824bc46a)) + (pin "15" (uuid 93ba369a-49a8-4d19-be6c-4b13378d5a59)) + (pin "16" (uuid c003efba-12d7-497c-b176-7e1cae7c7c43)) + (pin "17" (uuid ed9da27c-3c96-4beb-8589-c4637c5d4563)) + (pin "18" (uuid e4bf9cd1-67ca-4347-9ebb-c28f3861a56d)) + (pin "19" (uuid 2f375a34-8f19-4e0e-9faa-8667ac532147)) + (pin "2" (uuid fe7a5a10-5c4d-469c-9a98-6ec80008f4da)) + (pin "20" (uuid 7552c34f-abdc-47b8-80f8-cbb3b75bea92)) + (pin "3" (uuid a15842ea-9532-464d-b8f5-26b47baa934a)) + (pin "4" (uuid 6f49c89f-fa64-4f2f-8bcb-5053c0f22c14)) + (pin "5" (uuid 4a4c2fef-30f6-4fcf-aec2-2533b3d18ca7)) + (pin "6" (uuid 5c8ced3e-af16-492a-b4c1-54592b3edb5b)) + (pin "7" (uuid bf5bff82-2993-4c62-8b41-21e2a1a34eab)) + (pin "8" (uuid 2108f818-6fd7-4088-b15a-befe58ba058e)) + (pin "9" (uuid e8e183ef-e20b-4007-8bc1-334764b225d3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 66.04 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3ca754) + (property "Reference" "U4" (at 66.04 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 101.6 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 118.11 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 99.06 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C5516" (at 66.04 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e16ced8b-d26f-4435-8a0f-f0bef66c43ad)) + (pin "10" (uuid 7dc93a63-5d3f-470f-b8af-1c0b7435de97)) + (pin "11" (uuid 0952f70b-9a46-4df4-b908-9da98d2239e2)) + (pin "12" (uuid b04253ec-2e78-4dad-96e6-b24e0b3db85d)) + (pin "13" (uuid 8bd3d5e3-3f2e-4475-b713-d0fd6846ac45)) + (pin "14" (uuid 86939526-6aac-4307-8324-878e6ec374bf)) + (pin "15" (uuid 4e854bd9-3efd-4a6d-a627-29fba1dc4509)) + (pin "16" (uuid 1f62391d-edad-497c-b927-6f2bee6a0668)) + (pin "17" (uuid 0c029347-f6a0-49a4-96c0-0365a0ed1131)) + (pin "18" (uuid 07bb4810-d4e8-4e11-aede-2cf469f26b8a)) + (pin "19" (uuid 4548ad24-3854-4916-8586-10e817dd1efb)) + (pin "2" (uuid e1317347-9d56-410c-919e-b3874fc7384d)) + (pin "20" (uuid 762693c7-928e-4f73-93d7-9a0e8179b8ca)) + (pin "3" (uuid ba972fd4-a0e9-422d-b4b8-a983b2ed4a1b)) + (pin "4" (uuid c4ec2259-b1f1-4b5a-8fe9-70f14cafe0d8)) + (pin "5" (uuid 41c7907d-e45f-4953-accd-543a86eb1cdc)) + (pin "6" (uuid 256d0f41-abcb-432e-a4cc-bcef1aa15bc3)) + (pin "7" (uuid 5427ddc0-d96f-43bd-a5d9-b8b11c7e0a97)) + (pin "8" (uuid 171a73d0-178b-4eb4-abc7-99b18df5c0d6)) + (pin "9" (uuid 4e47e4af-bbb8-4836-acf7-ed8caa23763c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 185.42 77.47 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3e609f) + (property "Reference" "U2" (at 185.42 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9825" (at 185.42 77.47 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (at 185.42 119.38 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 185.42 83.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C62246" (at 185.42 77.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f4d1b110-55bd-41b0-a39c-5dabf4472eb2)) + (pin "10" (uuid 44105186-101d-4b5c-b911-2b008155ec0a)) + (pin "11" (uuid 01313a5e-7e20-4f63-acc5-b2bd8779e634)) + (pin "12" (uuid 9bcf2f5a-dcdd-4cb9-9016-05080097ffef)) + (pin "13" (uuid 075a33e9-28d6-416f-946c-7d0db63b563d)) + (pin "14" (uuid 3d1c775d-ed73-4c85-8a9b-21201101af2e)) + (pin "15" (uuid 5db0e8f7-853d-4bfd-b058-31a29010fd44)) + (pin "16" (uuid 82916c6f-d03a-434a-9a9d-482533ae132e)) + (pin "17" (uuid 45cff702-858f-4958-bbb7-801917e8fe4b)) + (pin "18" (uuid 283581a1-f471-4116-ab97-30668023e6da)) + (pin "19" (uuid 75d3542e-a1c5-45f6-9db6-74f1ab28661a)) + (pin "2" (uuid 77107900-8d99-4f0d-b62a-0b3ea5be1118)) + (pin "20" (uuid b7abf33e-e51f-435d-92a9-82865d2f9e7f)) + (pin "21" (uuid 07bbfff7-99f4-459c-bb77-18a421617bb2)) + (pin "22" (uuid e532328d-13c5-48c8-97f2-c71bc34adc3f)) + (pin "23" (uuid 5f89a2b1-7f27-4b10-80e4-ace4b437d04b)) + (pin "24" (uuid 9d7fcd45-455f-4fd8-bf85-82863fd70975)) + (pin "25" (uuid da285362-0966-45a7-b270-8494f2359e56)) + (pin "26" (uuid e088e136-162b-4e55-9397-52a31b91d4c3)) + (pin "27" (uuid fbb41880-c385-43eb-a8b7-bab884dd9161)) + (pin "28" (uuid 5fa32456-4ec9-4806-84d5-128fb6825d2e)) + (pin "29" (uuid 38a89dcc-e0df-44b2-86bb-b9431bdc48d9)) + (pin "3" (uuid 8e27167b-bfd1-4762-bf73-25e300f92208)) + (pin "30" (uuid 721bf5ed-d208-4c96-9ac4-79f167b8d3d5)) + (pin "31" (uuid 5727536a-8c6a-4bb0-af83-c22206ca8d67)) + (pin "32" (uuid ed6a7995-c5b4-46cf-b3b3-ce6f24c5c605)) + (pin "33" (uuid ba05f146-ce56-491f-b141-b40dae51b132)) + (pin "34" (uuid 42dcf4c2-601f-4248-9d68-3b40103215d8)) + (pin "35" (uuid 8391c159-3c0f-41d2-b069-b583500f9bae)) + (pin "36" (uuid d9428ba8-61ed-446b-8b4b-fa1c8f46fc2e)) + (pin "37" (uuid 2db22596-7756-409b-877c-a2ae72fcb010)) + (pin "38" (uuid 4ffc0e0c-9347-4d35-9453-dabe6b98a910)) + (pin "39" (uuid cfd9f318-341d-4688-a5f7-9f02b76cbe65)) + (pin "4" (uuid e5732a90-7446-4a2b-afb4-0f17831210f3)) + (pin "41" (uuid 6be724f3-84e2-4f15-86cb-5e411a200e25)) + (pin "42" (uuid 4cb60a9e-dc2d-41fb-bbf5-8affa4ccdb46)) + (pin "43" (uuid 9b712c8b-80c9-40c1-b2c0-b449d4092371)) + (pin "44" (uuid df422a13-79b7-4c3f-8840-775e7ac0c613)) + (pin "45" (uuid c8dabb23-f18a-46f5-a87c-c4207f24d549)) + (pin "46" (uuid 1d0244f0-9ffc-4549-9daa-1c724ae78e8f)) + (pin "47" (uuid 83867fb3-6ba0-43af-96e8-c8471ca3061a)) + (pin "48" (uuid 4442bbd3-d8c0-4204-a455-7ee1bc0d1e7f)) + (pin "49" (uuid 3f8c4ada-3193-43a5-9868-069b43dbca60)) + (pin "5" (uuid 8db05113-bb5d-40cb-916f-5b0147562482)) + (pin "50" (uuid 37299e3d-49ce-49aa-8376-30d30c6526f0)) + (pin "51" (uuid caab02da-e67e-41b8-abc1-6f3bd467a493)) + (pin "52" (uuid 8dd3d482-04ac-42de-bfa4-5bbdc8350196)) + (pin "53" (uuid 53293114-f068-4c13-81bb-2b7566cccbce)) + (pin "54" (uuid a66411bb-7d69-4ecd-b16d-584b5878d88e)) + (pin "6" (uuid 59b7583e-cb82-4825-a5f4-a44aa4d09aca)) + (pin "7" (uuid 86b22f09-59ca-4a8d-81ce-f44eea393466)) + (pin "8" (uuid d926ac38-d11c-4d9e-978c-95fd4122b466)) + (pin "9" (uuid 47d0e121-9040-4b4c-9cb2-92cb3ddca634)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 172.72 52.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3e79b8) + (property "Reference" "#PWR0151" (at 172.72 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 172.72 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 172.72 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a234104b-29ee-46dd-b1c7-a7162f374fcf)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0151") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3fdcf2) + (property "Reference" "#PWR0117" (at 76.2 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1b9e0624-2feb-4d8b-9181-d73925756ba3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0117") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3fe31a) + (property "Reference" "#PWR0116" (at 76.2 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e82faff1-b691-4551-94bb-116aeaab8477)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0116") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 55.88 82.55 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3fe8f9) + (property "Reference" "#PWR0114" (at 55.88 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 55.88 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 55.88 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f1af136d-fc23-40d6-9ece-115c567a709f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0114") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 55.88 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f3fed6f) + (property "Reference" "#PWR0106" (at 55.88 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 55.88 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 55.88 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 85efdcf2-b2af-4074-a8ec-46a9b78ab437)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0106") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 172.72 110.49 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f400f2c) + (property "Reference" "#PWR0152" (at 172.72 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 172.72 114.3 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 172.72 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5946d17a-6d30-42c7-bf95-061ef09ccfda)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0152") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 229.87 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d0f9) + (property "Reference" "C10" (at 231.14 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 231.14 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 229.87 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 229.87 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 229.87 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 93e058e0-43e0-4bf0-be09-e8187d75986d)) + (pin "2" (uuid ba248b1c-93a1-452e-a951-92d8b621f7ad)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 229.87 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d0fd) + (property "Reference" "H4" (at 232.41 46.99 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 232.41 48.006 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 229.87 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 229.87 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 237.49 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d0fe) + (property "Reference" "H5" (at 240.03 46.99 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 240.03 48.006 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 237.49 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 237.49 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at 22.86 113.03 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d102) + (property "Reference" "#PWR0103" (at 26.67 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 24.13 115.57 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 22.86 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 22.86 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 193d007f-8099-4c51-b9f0-e58141240683)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0103") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 214.63 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d107) + (property "Reference" "C1" (at 215.9 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 215.9 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 214.63 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 214.63 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 214.63 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d61d35e9-ae5d-4248-aadd-6607f8e44d93)) + (pin "2" (uuid bae4f346-51ed-4f38-b503-905634a12292)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 222.25 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d109) + (property "Reference" "C7" (at 223.52 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 223.52 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 222.25 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 222.25 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 222.25 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1e8a0701-c17d-4728-b9b2-72d709ece4bf)) + (pin "2" (uuid 11407f72-296b-486c-8b76-b290a026e092)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 16.51 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d10c) + (property "Reference" "FID1" (at 18.669 188.0616 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 18.669 190.373 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 16.51 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 16.51 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 31.75 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d10f) + (property "Reference" "FID4" (at 33.909 193.1416 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 33.909 195.453 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 31.75 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 31.75 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:Fiducial") (at 16.51 199.39 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d110) + (property "Reference" "FID5" (at 18.669 198.2216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Fiducial" (at 18.669 200.533 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:Fiducial" (at 16.51 199.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 16.51 199.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 199.39 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d114) + (property "Reference" "C13" (at 200.66 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 200.66 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 199.39 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 199.39 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 199.39 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 720bbb24-9924-4a02-9dbf-a7fbaed0aefc)) + (pin "2" (uuid 8a5ff09f-7252-4a5d-bcb9-7621274b5a31)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d117) + (property "Reference" "#PWR0130" (at 38.1 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 38.1 124.46 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ecd17538-5422-4aa3-9951-3654d3d4054e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0130") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 207.01 45.72 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d121) + (property "Reference" "H1" (at 209.55 47.0154 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 209.55 44.704 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (at 207.01 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 207.01 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 960654b4-29a3-4176-bd1f-b4393dae5e89)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 214.63 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d122) + (property "Reference" "H2" (at 217.17 46.99 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 217.17 48.006 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 214.63 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 214.63 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Mechanical:MountingHole") (at 222.25 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f45d123) + (property "Reference" "H3" (at 224.79 46.99 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" " " (at 224.79 48.006 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 222.25 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 222.25 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 66.04 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f5039e1) + (property "Reference" "U5" (at 66.04 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 40.64 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 57.15 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 38.1 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C5516" (at 66.04 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9be2511d-ade2-4226-92b7-3aaaf18f4666)) + (pin "10" (uuid 8dc98b11-1919-421a-8564-71d82f74ac4b)) + (pin "11" (uuid d1900729-d56b-4976-aa55-9cc260dc60e7)) + (pin "12" (uuid c297b840-adfe-4d79-b351-a7564512dd90)) + (pin "13" (uuid 17937fdf-a0d0-4057-8fdc-2bc222c27c0e)) + (pin "14" (uuid 0b41b90f-841a-4c73-8d53-09d129e37f82)) + (pin "15" (uuid 3a7b0d96-131e-4483-8a2e-554f23364816)) + (pin "16" (uuid e485771e-94a9-4883-83cf-3bb66b7c263c)) + (pin "17" (uuid 33a4f64c-08f7-427f-a216-67593d49afd9)) + (pin "18" (uuid e9bcb93b-c826-4e57-9556-d0b274eabb4d)) + (pin "19" (uuid 6f5820b5-edec-4f34-8c29-6d97fb86e53d)) + (pin "2" (uuid 90210df1-c3d3-4a5b-b0e7-5428563ab8a0)) + (pin "20" (uuid 6d8c26be-6a42-402b-acee-7b1a9d70afbd)) + (pin "3" (uuid b71144cf-2d30-4142-b405-459ae007e043)) + (pin "4" (uuid c625f4a3-b306-48d4-837d-1f1af0935084)) + (pin "5" (uuid 468e69d9-a6c3-44d2-84a1-085e4dfcaa51)) + (pin "6" (uuid 381ca88e-c25f-4661-bc09-16c51b36a2d9)) + (pin "7" (uuid 178bb273-5a55-4b3d-8fce-d62581bd2b81)) + (pin "8" (uuid b6b5d245-df61-4abd-8a5e-4327b4e28372)) + (pin "9" (uuid bf3377ce-92eb-435f-aeed-d28c36d72737)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 35.56 52.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f518eba) + (property "Reference" "#PWR0105" (at 35.56 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 35.56 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 35.56 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 914c5b3a-21b5-462d-8f55-ca0b3cc81d2e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0105") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:Oscillator_4P") (at 80.01 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f710408) + (property "Reference" "U13" (at 80.01 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "25M" (at 80.01 195.58 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (at 80.01 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 80.01 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C669088" (at 80.01 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8990791b-000f-4111-b559-4220d588528a)) + (pin "2" (uuid 1dfd57e6-2750-423f-b47b-e3e12643c855)) + (pin "3" (uuid 4b5c7ac3-16d7-4416-8e1b-c06ef9cd09b5)) + (pin "4" (uuid cc258a86-5c4a-4cd1-bc12-24c79bcb6bfd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 71.12 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f71138f) + (property "Reference" "#PWR0104" (at 71.12 198.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 71.12 195.58 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 71.12 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 71.12 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 272f63a1-f713-42f0-8f58-4fac6dd018cc)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0104") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 88.9 189.23 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f711604) + (property "Reference" "#PWR0125" (at 88.9 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 88.9 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 88.9 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 88.9 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ead84776-ed6d-4174-bd4e-7ec24866ecbd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0125") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 71.12 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f712209) + (property "Reference" "#PWR0129" (at 71.12 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 71.12 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 71.12 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 71.12 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5363aa10-d5af-4a6f-b8d7-b6072faeb087)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0129") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 29.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f7523bc) + (property "Reference" "#PWR0118" (at 76.2 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 27efb570-bb39-4d73-adb9-f0d14b77f4de)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0118") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 81.28 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f7669d3) + (property "Reference" "#PWR0119" (at 81.28 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 81.28 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 81.28 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cad2873e-719f-4144-9660-5fc414d59799)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0119") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 50.8 29.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f7c78ed) + (property "Reference" "#PWR0121" (at 50.8 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 50.8 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 50.8 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 50.8 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d475b65c-8aff-4afb-97e1-c54e2ac8b8df)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0121") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 55.88 52.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005f83aa58) + (property "Reference" "#PWR0122" (at 55.88 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 55.88 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 55.88 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dd287ecc-48c7-4569-84ef-5639ce72358e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0122") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 66.04 132.08 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005fd6dfdd) + (property "Reference" "U6" (at 66.04 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 132.08 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 148.59 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 129.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C5516" (at 66.04 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6d6ba75b-1862-4e3b-9547-9c8624ed35d7)) + (pin "10" (uuid 0789c018-baf5-4a9a-94f8-ebb91f545f31)) + (pin "11" (uuid 4e7277b8-8a25-4181-95e8-e09bd3dcd4ba)) + (pin "12" (uuid 727ed564-b946-4643-aabc-6b285113d570)) + (pin "13" (uuid 76b3b381-9e8c-4391-85c8-6b575e5f1cc1)) + (pin "14" (uuid 80b441e8-0b61-48f2-8a9d-b384578bce55)) + (pin "15" (uuid 28ff35d4-b595-4c27-96b7-77321d66fbdc)) + (pin "16" (uuid 6bdff9f8-eef2-4db4-978d-72a64f477b5f)) + (pin "17" (uuid bbedb6ae-4128-4f70-9f36-4ae1fd9d08b2)) + (pin "18" (uuid 952e9d28-22f9-43e0-bee5-7380cf9b61ad)) + (pin "19" (uuid 5c5d66db-08b4-465f-a9c9-0f27ad715a14)) + (pin "2" (uuid a15fe531-4104-4c88-83f3-063ee1aea9de)) + (pin "20" (uuid 7c95d97a-efb2-4f3b-8b5e-1ad95c61ed6b)) + (pin "3" (uuid 9e2c1bd7-b369-4b68-9bb7-4464892a386f)) + (pin "4" (uuid 1ea8f070-35e3-4a9f-954a-e82b8d891446)) + (pin "5" (uuid 3e0a4a68-bcd8-4e4f-a3f7-42a0d1a4eebe)) + (pin "6" (uuid 62b3ddf7-722d-4fe0-a4e7-13a31b6b0462)) + (pin "7" (uuid 07b460de-371b-40e0-90f0-93226a458746)) + (pin "8" (uuid 9b222da4-70a1-43e3-bfee-4b3715b4e51c)) + (pin "9" (uuid 8f84dd96-de49-4917-98a1-dcaa5ccefa9d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005fd6f855) + (property "Reference" "#PWR0123" (at 76.2 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c7e381d8-ee41-45c6-90eb-5d8a70d113f4)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0123") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 53.34 143.51 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005fd721d9) + (property "Reference" "#PWR0126" (at 53.34 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 53.34 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 53.34 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 53.34 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fa579a4b-0a1e-45bd-8ebd-0fe5f24110b3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0126") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 81.28 57.15 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005fe804c8) + (property "Reference" "#PWR0107" (at 81.28 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 81.28 60.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 81.28 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fc2e6937-75ad-4c5e-862d-3bdf44129aa7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0107") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 81.28 87.63 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00005fea8027) + (property "Reference" "#PWR0113" (at 81.28 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 81.28 91.44 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 81.28 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 327dfcfa-f52d-4951-82e8-820abf17d1b1)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0113") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 228.6 63.5 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006009ebad) + (property "Reference" "U3" (at 228.6 54.61 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q128JVSIQ" (at 228.6 69.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8_5.3mm" (at 228.6 71.12 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 228.6 63.5 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "LCSC Part" "C97521" (at 228.6 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fcd7587b-250b-43ce-aec7-cf368d21a790)) + (pin "2" (uuid 4c305212-0a8d-47af-a993-c682fdfd43dc)) + (pin "3" (uuid 0542db7c-c6c7-4c1c-927b-967200d43085)) + (pin "4" (uuid 8a805afb-d6cc-42d1-9b35-f0c3b3b0ba05)) + (pin "5" (uuid 02794ea8-3e51-4938-8937-a85becc5a8ef)) + (pin "6" (uuid 1b4ddb93-8b89-4cee-8edf-377cf41d0c0a)) + (pin "7" (uuid 06223826-442d-48ae-af5b-7e727ec15539)) + (pin "8" (uuid 00d7bdb8-e5cc-4c48-a1a8-da005ded2e3f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 242.57 58.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000600a01e5) + (property "Reference" "#PWR0142" (at 242.57 62.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 242.57 54.61 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 242.57 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 242.57 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2d984774-10c5-4a20-8f63-2c34b15b3e99)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0142") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 214.63 66.04 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000600a3591) + (property "Reference" "#PWR0143" (at 214.63 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 214.63 69.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 214.63 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 214.63 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 320e4724-6d2c-4fdb-8f38-e333191a5637)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0143") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G125GW") (at 66.04 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000602499a6) + (property "Reference" "U14" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G125GW" (at 66.04 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 66.04 161.29 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 158.75 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C12519" (at 66.04 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 06b2597a-7b06-4c2d-b3aa-db28e4d2d6bb)) + (pin "2" (uuid 61d13cee-4f2e-474a-83cd-b170ceb0626e)) + (pin "3" (uuid 483dd64e-74ad-4e0b-8c97-c0bda14b5bdc)) + (pin "4" (uuid f28220b6-cf8e-4547-99ed-20754e5edd04)) + (pin "5" (uuid c2aff66a-62a8-4aa1-b4d9-790546e6099d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 151.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000602499b3) + (property "Reference" "#PWR0182" (at 76.2 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6a2a9055-b7a0-4475-ae90-6830aeae6af2)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0182") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 55.88 156.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000602499b9) + (property "Reference" "#PWR0181" (at 55.88 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 55.88 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 55.88 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e9fa7908-c2ef-44b7-9c54-c9ac90fe023a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0181") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 81.28 118.11 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006033a776) + (property "Reference" "#PWR0162" (at 81.28 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 81.28 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 81.28 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b0cf295d-b814-41ea-ba36-4580ee138ec6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0162") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 50.8 90.17 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006070cf46) + (property "Reference" "#PWR0134" (at 50.8 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 50.8 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 50.8 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 50.8 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5e0e1251-015c-4df1-8b19-0718597c2462)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0134") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 35.56 154.94 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060783041) + (property "Reference" "#PWR0140" (at 35.56 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 35.56 151.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 35.56 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b20cab7f-487c-496f-a37f-94ed3e2e9e68)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0140") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 20.32 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006078304a) + (property "Reference" "#PWR0183" (at 20.32 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 20.32 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 20.32 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 20.32 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c7439e90-c6b8-47b2-9d17-a06c395fe26e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0183") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 35.56 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607ad4e1) + (property "Reference" "#PWR0133" (at 35.56 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 35.56 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 35.56 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 120f23c7-4d4a-4621-8913-08ec35a41e2c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0133") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 43.18 179.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607adade) + (property "Reference" "#PWR0161" (at 43.18 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 43.18 182.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 43.18 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 43.18 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 97526e5b-f3c1-4be4-bbaf-223c60948cda)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0161") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 27.94 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607c0f47) + (property "Reference" "J5" (at 29.21 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Flash" (at 29.21 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 27.94 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 27.94 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 54b4b40d-a786-41e6-9f87-4dcc19fce6d5)) + (pin "10" (uuid 3dde5d45-24b8-448a-b21e-f9c253fd0ff1)) + (pin "2" (uuid f2ffbaa8-eec3-4e43-bf4f-06bf2c7b52eb)) + (pin "3" (uuid 653fdf05-7ad5-427f-9ad5-b898b68fc587)) + (pin "4" (uuid d29c636b-b3c5-457a-9201-57cf8aa780a0)) + (pin "5" (uuid 28612e9d-7a66-4f6c-8213-95ea6c2f7e8b)) + (pin "6" (uuid 523ab249-e8a0-459f-a478-e73ecd442c13)) + (pin "7" (uuid e109b596-defe-4fa5-8030-057b98f07ef8)) + (pin "8" (uuid 039b5e6d-01aa-4a6d-ae69-1ff629b7ab9d)) + (pin "9" (uuid 5ffe0a63-1ea9-40af-83d8-b3d2717da57e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 214.63 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000607fa428) + (property "Reference" "#PWR0120" (at 214.63 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 214.63 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 214.63 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 214.63 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 45d0be27-92b3-4bdb-8caf-0545fa02b1bd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0120") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 252.73 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060865cde) + (property "Reference" "C5" (at 254 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 254 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 252.73 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 252.73 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 252.73 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6aa6a254-6dca-4eff-802c-f8352985b98b)) + (pin "2" (uuid e8158edc-20a3-40c7-babc-1f71692cea6b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 260.35 33.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000608796ed) + (property "Reference" "#PWR0135" (at 260.35 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 260.35 36.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 260.35 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1545871b-4439-4ca7-937c-38293a364c16)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0135") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 224.79 93.98 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000609b96f7) + (property "Reference" "#PWR0144" (at 224.79 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 224.79 97.79 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 224.79 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 224.79 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 71f84c36-6a99-4d10-95c3-11e6a7154235)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0144") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 224.79 88.9 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060ab8bee) + (property "Reference" "#PWR0110" (at 224.79 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 224.79 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 224.79 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 224.79 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4e7cb327-d3ed-4082-8343-f97db8234673)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0110") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 50.8 59.69 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060aef493) + (property "Reference" "#PWR0131" (at 50.8 63.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 50.8 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 50.8 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 50.8 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c856dd84-8993-42b6-a987-d0482188ef78)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0131") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Power:AP2125") (at 236.22 91.44 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060cd7812) + (property "Reference" "U8" (at 236.22 91.44 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "XC6206P332MR" (at 236.22 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23" (at 236.22 99.06 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 236.22 93.98 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C5446" (at 236.22 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid be08acd7-a864-4f45-92a0-9002e4167f37)) + (pin "2" (uuid 76926de7-4e2d-46c2-94e3-06e636e68fe8)) + (pin "3" (uuid 687376ad-5699-4b83-a0f3-7beeae7f0dfc)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 114.3 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000611d4157) + (property "Reference" "#PWR0138" (at 114.3 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 114.3 38.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 114.3 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 114.3 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5d9c22fb-42c3-4167-a359-ed95935ae3c8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0138") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 137.16 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000612a2608) + (property "Reference" "#PWR0139" (at 137.16 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 137.16 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 137.16 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cb009da4-648f-43fe-9e7c-121350173aa3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0139") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 207.01 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000612ba8a4) + (property "Reference" "C32" (at 208.28 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 208.28 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 207.01 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 207.01 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 207.01 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b830ae61-5cf3-45d7-b837-1eb84500b62b)) + (pin "2" (uuid a4adf70d-579e-48be-98b3-0c3dd0c56bb8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C32") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 252.73 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000612ba8af) + (property "Reference" "C33" (at 254 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 254 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 252.73 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 252.73 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 252.73 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1343725e-9684-4f2f-a5e1-c30ef0b6ffdb)) + (pin "2" (uuid 0f3c1f1f-bc5c-40a5-8d11-b338ec9f5c1a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C33") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 237.49 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000612ba8bd) + (property "Reference" "C34" (at 238.76 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 238.76 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 237.49 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 237.49 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 237.49 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ebe4b78-5e04-419b-9eb4-1964a098f8c7)) + (pin "2" (uuid d5106b60-b56c-4f88-b55e-53548875bdb0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C34") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 229.87 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000615786c9) + (property "Reference" "#PWR0132" (at 229.87 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 229.87 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 229.87 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 229.87 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af0b7ede-9db5-4358-b16c-eb69570a85f6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0132") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000615a02a1) + (property "Reference" "C35" (at 246.38 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 246.38 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 245.11 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 245.11 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 245.11 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 578b99d6-2038-49e2-ba3f-2fe7246eede8)) + (pin "2" (uuid e74ed292-90c2-433d-a1fa-f8bad9630c30)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C35") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 247.65 88.9 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000621959b2) + (property "Reference" "#PWR0147" (at 247.65 92.71 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 247.65 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 247.65 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 247.65 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f74d16ce-07bc-40a1-9379-7e7fa809b111)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0147") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 184.15 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000621b7313) + (property "Reference" "#PWR0111" (at 184.15 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 184.15 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 184.15 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 184.15 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 359328a1-2e45-4637-9116-e4956dfd9f84)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0111") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 191.77 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006232c221) + (property "Reference" "C14" (at 193.04 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 193.04 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 191.77 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 191.77 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 191.77 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 782d0516-a833-459e-a87d-9ace26f3c757)) + (pin "2" (uuid 4b76b72a-cd52-4c96-bcd7-b7eb37e45a71)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 199.39 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006232c22f) + (property "Reference" "C15" (at 200.66 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 200.66 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 199.39 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 199.39 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 199.39 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 479c40f2-7703-48f4-8386-001f52989ce5)) + (pin "2" (uuid ce235a51-cd72-49ff-8b9b-9bd3774fb51f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 260.35 43.18 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000623a6fcc) + (property "Reference" "#PWR0124" (at 260.35 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 260.35 46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 260.35 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 007f8120-3a7a-4eff-b5ad-02945f6ed8e9)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0124") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 207.01 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bbf3) + (property "Reference" "C16" (at 208.28 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 208.28 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 207.01 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 207.01 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 207.01 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1bac41cd-1f61-46e1-8657-b5b2484c5645)) + (pin "2" (uuid b2849f68-fe47-4de0-b285-7371cb755c79)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C16") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 252.73 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bc03) + (property "Reference" "C19" (at 254 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 254 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 252.73 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 252.73 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 252.73 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a8b6928f-6637-43e5-ade6-ae9793370a2c)) + (pin "2" (uuid 0481ae54-85dc-4c8f-ace8-8b00b4191c14)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C19") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 260.35 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bc0c) + (property "Reference" "C20" (at 261.62 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 261.62 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 260.35 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 260.35 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 260.35 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 958d1a77-c57a-4740-a2b1-92069d0be992)) + (pin "2" (uuid d803dee4-2f70-4c55-be7c-684086c372ca)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 191.77 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bc14) + (property "Reference" "C21" (at 193.04 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 193.04 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 191.77 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 191.77 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 191.77 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c8ebcf56-ab51-4286-a3b5-56505a1e9990)) + (pin "2" (uuid 75b9c91b-0604-4669-a4db-6d5324694214)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C21") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 199.39 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bc26) + (property "Reference" "C22" (at 200.66 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 200.66 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 199.39 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 199.39 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 199.39 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac5e020e-ce9e-470e-9c13-077e1d3a37a1)) + (pin "2" (uuid 6d4e8352-b3b3-4e7f-9252-867f20e93f0a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C22") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 214.63 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bc34) + (property "Reference" "C23" (at 215.9 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 215.9 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 214.63 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 214.63 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 214.63 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 69d06453-87b1-47cc-a62c-a599f560277b)) + (pin "2" (uuid c3aa655f-9a6d-45a1-bed4-a713137df7ca)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C23") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 214.63 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006288bc40) + (property "Reference" "C18" (at 215.9 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 215.9 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 214.63 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 214.63 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 214.63 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 68a99320-95a1-446a-a935-f7e0ec32cfc3)) + (pin "2" (uuid 5215e55b-0b9f-4775-bad9-a5a13a7b1b56)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C18") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 222.25 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb40) + (property "Reference" "C24" (at 223.52 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 223.52 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 222.25 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 222.25 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 222.25 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9dc37e5b-0117-4b30-bbb6-13710814b0cf)) + (pin "2" (uuid 31a6abab-2a1c-4858-a076-141d460f469b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C24") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 222.25 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb46) + (property "Reference" "C25" (at 223.52 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 223.52 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 222.25 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 222.25 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 222.25 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0aa11e74-55a0-43d9-8aee-547d1e70b18e)) + (pin "2" (uuid 9370a49a-7963-4b2a-af2c-c2cd9c12366b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C25") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb59) + (property "Reference" "C28" (at 246.38 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 246.38 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 245.11 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 245.11 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 245.11 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 035bdc84-2249-4a94-8451-5aeed9b42bb8)) + (pin "2" (uuid 2fb1fa3f-67b4-4443-bb42-00e0b50000f4)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C28") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 184.15 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb61) + (property "Reference" "C29" (at 185.42 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 185.42 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 184.15 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 184.15 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 184.15 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 54d447ed-ecb0-4641-8563-09c9efa7df49)) + (pin "2" (uuid 70232c01-7fc0-4126-82cc-0623442915e7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C29") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 184.15 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb73) + (property "Reference" "C30" (at 185.42 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 185.42 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 184.15 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 184.15 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 184.15 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 848b9538-2d58-4033-a17d-c5449fb2184a)) + (pin "2" (uuid 8ebbd1d9-0c96-49ea-aefd-e5785f478386)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C30") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 229.87 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb81) + (property "Reference" "C31" (at 231.14 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 231.14 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 229.87 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 229.87 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 229.87 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 44671e4b-c3f2-40ce-9f5f-ae56c7ceee2e)) + (pin "2" (uuid d9ffaf3d-3fc5-4a41-a15b-35f838708be6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C31") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 229.87 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000628afb8d) + (property "Reference" "C26" (at 231.14 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 231.14 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 229.87 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 229.87 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 229.87 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 977da54a-ce5b-4036-bf2b-f5258df507a9)) + (pin "2" (uuid 3573852b-1da4-4c92-9c09-5e2b6ebaa16f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C26") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Switch:SW_DIP_x02") (at 29.21 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062d6aa13) + (property "Reference" "SW1" (at 29.21 139.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FW" (at 29.21 149.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" (at 29.21 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 29.21 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C319052" (at 29.21 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 32d101e9-aea6-4b56-91b3-4beaad4a7921)) + (pin "2" (uuid 63a86c0b-142c-4744-8de1-6e3cde1583de)) + (pin "3" (uuid 703150a7-37b9-4b76-9d37-11ae64256376)) + (pin "4" (uuid 828b8d03-83cc-420b-b714-b293db456120)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "SW1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 21.59 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062d6c729) + (property "Reference" "#PWR0146" (at 21.59 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 149.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 21.59 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 21.59 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ab73428-d0c8-4ba7-be53-e4ec8278507c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0146") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 175.26 146.05 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 01130334-8129-4ea5-82d1-3625e3df05a6) + (property "Reference" "#PWR03" (at 175.26 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 175.26 142.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 175.26 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 175.26 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c9c7eacf-05bb-4660-9d85-8f05c4ed943e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR03") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 168.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 050df0b5-d218-444d-8ebc-12c01a62dcd6) + (property "Reference" "#PWR020" (at 76.2 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dbf598e5-a058-4ebd-9d6c-f346257f8967)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR020") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 21.59 181.61 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0543afe9-a146-46d0-a0b5-b6d98e2c947e) + (property "Reference" "R4" (at 21.59 177.8 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 21.59 180.34 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:R_0603" (at 21.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 21.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 21.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9700dbc0-6476-41ae-8a6b-f18db380661b)) + (pin "2" (uuid f443731b-aae6-4991-9e4c-7b92cc2a5bff)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 165.1 24.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 070a709f-c7d5-49db-8046-8a120cd3218c) + (property "Reference" "R19" (at 163.83 22.86 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 163.83 25.4 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 165.1 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 165.1 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 165.1 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a3a28931-45a4-4ee8-bbcc-4e28226af1e1)) + (pin "2" (uuid 3f434a40-6d74-4745-b657-13ff329af2db)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R19") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 176.53 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 099d6d7f-a8e0-48f3-913b-78018c1dd773) + (property "Reference" "C42" (at 177.8 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 177.8 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 176.53 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 176.53 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 176.53 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 11f94edf-4b49-4c78-bd72-5e79fa37cfe8)) + (pin "2" (uuid 14078c8f-4afd-4e50-b01d-17e070644a9a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C42") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 119.38 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0d2c9175-4f46-4599-953c-235c9655beab) + (property "Reference" "C37" (at 243.84 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 243.84 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ca23bb1-7e46-48ad-8d68-a0d5eff53b95)) + (pin "2" (uuid 05ef0366-ee10-46a8-9baf-c47ffca9a538)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C37") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 224.79 158.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 107189a5-7228-421d-a045-83b47ccfcee2) + (property "Reference" "C9" (at 227.33 157.48 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22p" (at 227.33 160.02 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 224.79 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 224.79 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1653" (at 224.79 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d693add1-72ae-429a-8f70-062ede48ee4d)) + (pin "2" (uuid 6143b2f6-a53b-4c3c-b2b7-f27b5cecab1d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 43.18 176.53 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 16097157-cf39-4e60-b9c2-b01dba5f05da) + (property "Reference" "R8" (at 44.45 175.26 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 44.45 177.8 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 43.18 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 43.18 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 43.18 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d4c9f4ef-38a7-476f-b4a6-712907fced0e)) + (pin "2" (uuid 8f6e0c67-dd97-4743-81fd-51736628a7c7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Interface_USB:CH340G") (at 191.77 146.05 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 17749572-f7ca-40dc-9dcf-fe6e4c69f426) + (property "Reference" "U7" (at 196.85 162.56 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "CH340G" (at 196.85 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-16_3.9mm" (at 190.5 160.02 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "" (at 200.66 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C14267" (at 191.77 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d2e3c09d-3cf7-41ec-85c0-0dfe5ddb62fc)) + (pin "10" (uuid 1c665354-7cf1-4758-b134-fcfb28bc8267)) + (pin "11" (uuid 67280eff-4c9c-48a2-a3a7-8662ee16fc7e)) + (pin "12" (uuid 1cb43e1f-ea10-4742-9c84-9ca8e1b6e557)) + (pin "13" (uuid c668f754-430f-4761-9f0a-ed4fced22a2f)) + (pin "14" (uuid 1bcd078f-eb88-4d52-99bf-327c00d6e762)) + (pin "15" (uuid 8e74758c-f0bb-49bb-a6cc-0b2ef70a2f57)) + (pin "16" (uuid 91a5a572-4dd3-47ce-9891-a0a39c142565)) + (pin "2" (uuid 7205724a-c91a-468b-a312-597bb23df9fe)) + (pin "3" (uuid a58d181c-fadf-4882-82f5-7f3ff8375077)) + (pin "4" (uuid 2e08c833-6a6c-420e-a4c8-0cf8cd10c402)) + (pin "5" (uuid c9b0bd55-7bb9-472a-9a19-844b400c1b25)) + (pin "6" (uuid 40f5d3e3-29cf-44e2-8429-4dec2989dc6e)) + (pin "7" (uuid a68e0dbd-42fb-43ea-8f51-6b22257438a1)) + (pin "8" (uuid 87e4c798-adcc-442d-8b75-79e20ccecf43)) + (pin "9" (uuid d6de21b9-b2fd-4391-bbc9-fc1519dfdb09)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 35.56 176.53 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1aa525ce-b203-4d1d-9dda-67b9ac46b9de) + (property "Reference" "R7" (at 36.83 175.26 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 36.83 177.8 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 35.56 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 35.56 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 35.56 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a2720ef-ba8b-42b4-b0a7-e17a647e916a)) + (pin "2" (uuid 2b715d66-a850-45bc-9244-a35eeb997580)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:Crystal_GND24_Small") (at 207.01 153.67 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1ff27991-90b0-41b9-8444-a05c908e6330) + (property "Reference" "Y1" (at 210.82 154.94 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "12M" (at 210.82 152.4 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (at 207.01 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 207.01 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C9002" (at 207.01 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 251876f4-06fa-45b9-b3e1-5f2b2ba9edda)) + (pin "2" (uuid 7db94b84-f3f6-43e3-950d-0bb3496bb70e)) + (pin "3" (uuid e90c0ef9-5922-4507-9ba4-d64c5361c206)) + (pin "4" (uuid a161f5d7-e9c0-4876-a8ac-868ec6b92aa1)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "Y1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 166.37 138.43 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 2269598a-c4ed-4307-82fd-3b31a482058d) + (property "Reference" "C41" (at 163.83 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22p" (at 163.83 139.7 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 166.37 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 166.37 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1653" (at 166.37 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a9cdc55-13bc-4a0f-bfa9-f50af345343f)) + (pin "2" (uuid c688f92f-d847-48ae-a5ca-ba33603607c8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C41") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 179.07 133.35 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 259cab5f-ec22-4bd0-96a0-9e52ffb49f81) + (property "Reference" "#PWR04" (at 179.07 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 179.07 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 179.07 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 179.07 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 807696e2-6937-43e6-b055-a8a2413325bd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR04") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 157.48 24.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 309de67b-e5a1-43ff-806a-78c27575ac47) + (property "Reference" "R18" (at 156.21 22.86 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 156.21 25.4 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 157.48 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 157.48 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 157.48 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 970e986b-c468-46eb-a4e3-2a7b45e1517c)) + (pin "2" (uuid 3c05f018-333a-4793-b1ea-100637b9953b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R18") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G125GW") (at 66.04 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 34eb1b62-d430-4cf8-a467-c965eda7492d) + (property "Reference" "U11" (at 67.31 171.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G125GW" (at 66.04 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 66.04 179.07 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 176.53 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C12519" (at 66.04 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f842e77d-3f58-4416-8411-78aaa09f2494)) + (pin "2" (uuid 51e1fbf7-692c-43b2-a4b1-5fa2e87b9c27)) + (pin "3" (uuid 75b4c67b-0cef-40ec-a44d-452707ea7624)) + (pin "4" (uuid ccb769e6-1a8d-46ef-a72a-310fa403c5f1)) + (pin "5" (uuid 59afdfe6-1244-42b9-b6f8-a29e518e68d0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 237.49 161.29 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3f89a76d-8174-4c5c-bc9a-7a1c83390b85) + (property "Reference" "#PWR08" (at 237.49 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 237.49 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 237.49 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 237.49 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7441e0e9-6e4d-45a4-b56e-794009ef3cbc)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR08") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 149.86 21.59 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 40e48e65-3cf2-40dd-af78-20141f377311) + (property "Reference" "#PWR010" (at 149.86 25.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 149.86 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 149.86 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 149.86 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5f1d5763-03a5-47ec-96cd-2d14d437dd71)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR010") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 252.73 119.38 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 45d209ce-ab9e-4001-943d-62bcde7d4e77) + (property "Reference" "C38" (at 251.46 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 251.46 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a5093772-3dd8-4e3f-9a42-ec95bec7685a)) + (pin "2" (uuid ff38e3a4-95bd-4610-83a0-a44104c3d667)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C38") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:AP2127K-1.2") (at 233.68 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4878f9d5-72d8-4fbc-937f-0c70c5c8c93a) + (property "Reference" "U10" (at 233.68 115.57 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "AP2127K-1.2TRG1" (at 233.68 110.49 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-23-5" (at 233.68 107.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" (at 233.68 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C151375" (at 233.68 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 233.68 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1140a5ee-a437-435d-b7a1-48ef1bd010c2)) + (pin "2" (uuid 10f1f6cb-fdaa-4f27-8dee-e1a737a2e8cc)) + (pin "3" (uuid 08c5ac7a-0699-4b7e-b15e-a3cd3202f2b8)) + (pin "4" (uuid 998c58d4-8505-4df5-9524-02c0fa2532e7)) + (pin "5" (uuid 75cb9fed-a21c-414b-b617-df0be2b5d177)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 43.18 160.02 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4c965377-ef93-41aa-a4f7-6f336ae07d77) + (property "Reference" "R9" (at 43.18 156.21 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 43.18 158.75 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 43.18 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 43.18 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 43.18 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 35cf9a41-449d-4ea1-a797-f9d8b2243922)) + (pin "2" (uuid 7aea0866-ecae-4c19-9549-692c7ebb5555)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 237.49 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4d6c293b-090f-4765-9fa6-955b8579756f) + (property "Reference" "C27" (at 238.76 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 238.76 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 237.49 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 237.49 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 237.49 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e4841f11-606b-4701-919c-419b7d2ff490)) + (pin "2" (uuid 155d480e-b154-4d2e-871f-aa88dfca47a3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C27") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 191.77 161.29 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4f743f25-6e57-4ccb-9a0a-0b05e959d9d7) + (property "Reference" "#PWR06" (at 191.77 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 191.77 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 191.77 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 191.77 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e7e61a30-4bc5-4e4b-8362-d4a8368595ef)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR06") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 92.71 191.77 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 56cf74c7-8e27-41bd-94f2-0d0c9e3b7cfc) + (property "Reference" "R3" (at 92.71 187.96 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 92.71 190.5 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 92.71 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 92.71 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 92.71 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 451a47c6-2728-46d9-a365-d2600ea38ce7)) + (pin "2" (uuid b4455d0f-8b5c-4b6c-90fd-f92634ca8165)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5869edf6-a470-4200-8d87-6959f7d6512f) + (property "Reference" "C6" (at 246.38 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 246.38 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 245.11 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 245.11 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 245.11 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 79f3eb5e-3bfe-4648-a110-e9b4a765cd7c)) + (pin "2" (uuid d2d30e29-3b41-4f9c-a46d-3942c2342920)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 176.53 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 60a98ff6-b551-4d6d-a2e7-8d3bd96d55b7) + (property "Reference" "#PWR021" (at 176.53 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 176.53 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 176.53 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 176.53 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f83e5d14-12c9-4331-8042-378c1f179ac3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR021") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 80.01 156.21 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 676cc247-875b-4936-9ac3-4936c933ca37) + (property "Reference" "R10" (at 80.01 152.4 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "22" (at 80.01 154.94 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 80.01 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 80.01 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23345" (at 80.01 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6cdee9a5-e329-4fc0-af31-049cb9ab5eac)) + (pin "2" (uuid 5aaeb490-9d38-426e-b5b1-5998f0ce9348)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 149.86 24.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 68fde4a7-6352-4e2d-8a9e-faa81e27c5a3) + (property "Reference" "R17" (at 148.59 22.86 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 148.59 25.4 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 149.86 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 149.86 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 149.86 24.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eda56a49-19fb-44db-a960-09d2367f4034)) + (pin "2" (uuid 4f70f0d0-a7e1-4146-8000-910285d2ebf4)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 123.19 180.34 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6b220e06-24ae-4c76-ba7a-7970bc4633d4) + (property "Reference" "R2" (at 123.19 176.53 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 123.19 179.07 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 123.19 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 123.19 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 123.19 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 68fd61f6-ad03-4559-84d6-4a5faa0ea7b3)) + (pin "2" (uuid 70861692-2e06-4738-9733-cb3c0f2d93a8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 41.91 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6da22ea5-b38d-488f-93ea-def9db69b4f9) + (property "Reference" "#PWR023" (at 165.1 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 82afc78a-5efc-49f0-80dc-6bde97a27ffb)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR023") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 55.88 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 79093868-86ac-41bb-8f50-477321fc9040) + (property "Reference" "#PWR019" (at 55.88 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 55.88 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 55.88 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7187025b-bb93-4e81-8383-7fe3adee3865)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR019") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 120.65 190.5 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 79789dd3-0216-49f4-a141-1263f1baf603) + (property "Reference" "R15" (at 121.92 189.23 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2k2" (at 121.92 191.77 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 120.65 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 120.65 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 120.65 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0e34bba0-13d4-4dda-8bcf-f7a794092082)) + (pin "2" (uuid fadc7022-63be-42b8-b18e-020aabba7051)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 80.01 162.56 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7f02bd1d-3ceb-4b8d-a3ef-2a0349fbbc6b) + (property "Reference" "R11" (at 80.01 158.75 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DNP" (at 80.01 161.29 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 80.01 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 80.01 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 80.01 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf1c705e-8e10-4a33-ab40-e19a348379d1)) + (pin "2" (uuid e85e9a56-c213-4e1f-8c2e-3ce7c5cb8bdd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 204.47 138.43 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7fa4204d-7282-4bcc-b29a-6710d4a2aca3) + (property "Reference" "#PWR07" (at 204.47 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 204.47 142.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 204.47 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 204.47 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 18688b31-4297-46c0-8068-f0b3208b878f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR07") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 260.35 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 835c2a22-7ee3-4aaa-89b8-c845f8ab0f2e) + (property "Reference" "C40" (at 261.62 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 261.62 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 260.35 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 260.35 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 260.35 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 490fc4d8-ddd6-474f-a2f4-263ac11e5a03)) + (pin "2" (uuid aa465c7d-7d70-464f-83d7-e063ee182202)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C40") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 260.35 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 87ce543e-a5bd-4663-b123-82da87d6513f) + (property "Reference" "C39" (at 261.62 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 261.62 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 260.35 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 260.35 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 260.35 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 872b9dc4-ce05-41fd-bf51-5850bf656d49)) + (pin "2" (uuid 380af14e-bcb6-48d2-930f-bce5baa51993)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C39") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 175.26 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8ab126c4-1665-4b5e-9736-67bc5548b3c8) + (property "Reference" "#PWR017" (at 175.26 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 175.26 154.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 175.26 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 175.26 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b0fef3d-fa77-4f7e-9c55-9388237d4b41)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR017") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 252.73 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8dc10d79-f339-4da1-a9ce-c6683bce95a1) + (property "Reference" "#PWR013" (at 252.73 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 252.73 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 252.73 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 435d3275-abc9-4da1-85d0-b188427a2de7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR013") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 166.37 140.97 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8f939418-f6df-4fff-8034-69b1f5d6b1d9) + (property "Reference" "#PWR016" (at 166.37 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 166.37 144.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 166.37 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 166.37 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e441e181-1d99-42b5-92b3-2916ed44ce3a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR016") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LCMXO2-640-TG100") (at 124.46 102.87 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 930da6f0-1780-4ad2-b286-0a18e1319c6b) + (property "Reference" "U1" (at 139.0049 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "LCMXO2-640-TG100" (at 139.0049 163.83 0) + (effects (font (size 1.016 1.016)) (justify left)) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 124.46 107.95 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 124.46 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "70" (uuid 04d1aae0-9c5b-4303-8132-6502b3ad280d)) + (pin "71" (uuid 07f12350-3fbd-4c53-b76c-ddd6ce83646d)) + (pin "32" (uuid b80041a4-503d-43bc-8978-833aeb1bf562)) + (pin "74" (uuid 637b8158-965a-4382-8e6c-1358c09b26b8)) + (pin "75" (uuid a33ecc3e-c0dd-487f-a2ae-04a74503ab23)) + (pin "48" (uuid 375f4417-938a-403a-bb51-22e9dd1623d9)) + (pin "49" (uuid 5059e56b-0f97-4e2c-843f-b313d4954a4e)) + (pin "46" (uuid af308b73-051b-4df5-b438-2049d4db7be9)) + (pin "47" (uuid da8ce193-df89-4276-a0fb-10c1f36e68d3)) + (pin "87" (uuid 0d0013cc-51bd-4ef5-be2b-44379d8e9b02)) + (pin "88" (uuid d1b0e65d-536a-4fa6-a232-92627e2b2431)) + (pin "53" (uuid 7c165e77-23a4-4c91-94c2-b625498654a1)) + (pin "54" (uuid 1b3bc23c-703e-4429-9fbc-328ae5505ace)) + (pin "85" (uuid e4bc0c9e-6bba-4e6c-ab6e-944300915166)) + (pin "86" (uuid e37e1030-146c-4950-a419-063bf11ded74)) + (pin "83" (uuid 1dfb83b0-378d-4181-84a7-c243eb7a6b93)) + (pin "84" (uuid 611cdf36-580f-493d-9e25-7e8df5175a5c)) + (pin "51" (uuid 1532d8b2-e81b-47d7-85df-78df76686b55)) + (pin "52" (uuid 698791d0-b9ad-49df-b860-8617030099c0)) + (pin "8" (uuid 9678fe7a-b1a3-4acc-95ea-5b49c4f89727)) + (pin "80" (uuid ea44e40a-1705-437d-a689-8b5baee2a497)) + (pin "81" (uuid 8aabf5d9-8a81-470f-a42d-b4fda05607d8)) + (pin "82" (uuid b805098a-09e7-4e77-9654-36773ad3e4cd)) + (pin "97" (uuid 2b4fb22f-84d8-4f77-b433-0d424b999e90)) + (pin "98" (uuid 12c635c2-19fd-4a62-b301-e91ede995f3c)) + (pin "99" (uuid 765d3bf6-88c0-4a66-a38d-7ba22ff2aad0)) + (pin "78" (uuid 623068f0-c2ce-402d-81d8-d6f0927c4f33)) + (pin "79" (uuid d3939853-2edb-4f44-8e81-f5af9c650ef0)) + (pin "91" (uuid b2970190-7468-473f-90c6-611f4268cbbf)) + (pin "92" (uuid cb9e4436-23a8-4437-8284-ad1d840c7e64)) + (pin "93" (uuid c3f85ad6-eda5-437f-aead-0e123cdad41c)) + (pin "94" (uuid 1861aa90-e23c-4926-86ea-637df671814f)) + (pin "95" (uuid 9c250ebb-e383-418c-ad44-df8f33d34142)) + (pin "96" (uuid d54791ce-063d-4e57-84d4-fe3261c64019)) + (pin "76" (uuid 1191e89f-8731-4f72-831e-0a202cf460ed)) + (pin "77" (uuid 2c146636-fcf4-4c5d-8328-5a7917c09383)) + (pin "5" (uuid 708aab34-64a5-48e7-81d2-223026e07607)) + (pin "50" (uuid b22ccfc8-e296-4dda-82d6-81a0fa9f22ad)) + (pin "14" (uuid 37dee92b-d899-45cc-b643-cba8a608c025)) + (pin "10" (uuid de0680f4-1f0b-4d3d-aecf-ab560b262f60)) + (pin "22" (uuid 3f769269-7ccf-41b0-9463-f3d796207098)) + (pin "12" (uuid 21ad5480-10a3-4fa0-9ea5-c3dd84620d2f)) + (pin "100" (uuid fbfa6655-561c-423b-9de3-6ff34a24dfdb)) + (pin "23" (uuid d5e8659b-845b-4f71-a0dd-815498abfe83)) + (pin "13" (uuid a62c7e85-519a-4528-99bf-582c8cb0a132)) + (pin "25" (uuid 7222e895-4ce2-4b1a-95e3-fbb174b227e1)) + (pin "21" (uuid a240c24e-6dac-48bb-950a-32c7142db5eb)) + (pin "20" (uuid c7791060-11c4-49c6-8cd3-41e3f8dc423a)) + (pin "2" (uuid 9dd723c9-5f8a-436a-aee0-27605130d49a)) + (pin "36" (uuid 8ce3cac3-dd87-460c-92b1-149007ac14be)) + (pin "19" (uuid c6a6a2be-0f5b-4818-adff-571a33889498)) + (pin "16" (uuid 62e5af15-7a27-416a-8262-407ef5978d37)) + (pin "17" (uuid 076f52a3-fae7-4465-9ccd-c60bdd89b3b5)) + (pin "18" (uuid 48f457c5-45fe-4652-8e7b-e8ab4ae86514)) + (pin "15" (uuid 35d826c7-e11d-48f5-b8e5-46f857cc8df0)) + (pin "37" (uuid 57c977e0-df95-47a6-aa48-a4aa2ab07c05)) + (pin "24" (uuid d795d982-1528-417d-aee9-af0399bd8c8d)) + (pin "35" (uuid 1ad820dd-f489-4030-a560-52fa1f1ed9f2)) + (pin "26" (uuid bba7d3b0-d715-44a9-a66b-35c763555954)) + (pin "38" (uuid c7365091-30b3-47a2-a19d-50d604ef1634)) + (pin "34" (uuid 11105601-665d-4aaa-b9af-82c9b695a9b9)) + (pin "43" (uuid f703ccee-57fd-417c-a953-e0b632525459)) + (pin "42" (uuid 8c8d6e71-0e56-405f-a6de-3d844e53e315)) + (pin "3" (uuid 10a0d1f1-6099-4df8-8f25-f45bfa978da8)) + (pin "27" (uuid ebf32e4d-1c47-4663-aa17-8095b0da5392)) + (pin "31" (uuid 45d7d4fe-5937-49e2-834e-6954f14f32da)) + (pin "28" (uuid 2992dbe8-bd02-4a85-a0e0-89ca9e25f1c9)) + (pin "29" (uuid bb469317-bcbd-4b85-ba95-5fc60fba0f36)) + (pin "67" (uuid 40c12133-a665-40c8-b6ee-0a31b8c7fb93)) + (pin "68" (uuid 9e02d9ec-8209-4593-9e78-654373926ae6)) + (pin "65" (uuid 7626ea27-274a-4240-b5cb-a24a6b3bf4db)) + (pin "66" (uuid 294f68db-e52b-4c2e-b2ac-0ac3b916cab6)) + (pin "69" (uuid 0b29ce39-d9ea-4ac2-82e0-0a2e97090e97)) + (pin "7" (uuid 5b453e22-e7af-4562-bcd5-9829c39331ee)) + (pin "39" (uuid ab8ba8d0-32cd-4319-a506-da11e40df402)) + (pin "41" (uuid 9e05599d-b364-4764-b3d2-d67802d6b97c)) + (pin "44" (uuid 8a23a57f-dca1-4e41-b35f-9a269814fd95)) + (pin "45" (uuid 00aa216e-c540-4996-93cb-77063cc7f27f)) + (pin "30" (uuid 3767c97a-d6a4-479c-9306-7c65f4ca8764)) + (pin "72" (uuid c6e4e30d-ef5e-432c-8007-7ea29d517cc7)) + (pin "73" (uuid 96df2eac-fc08-4bfd-ae5a-0f786d433dd3)) + (pin "4" (uuid 59d6bbfd-2b9a-4b28-a682-7425630f2d61)) + (pin "9" (uuid 0275d623-e588-4b65-b204-aa818e1233b9)) + (pin "90" (uuid 99d05958-4500-4351-a9cd-5e21dda59edd)) + (pin "55" (uuid 34f28a66-982b-4e92-9cf9-8ae4ef5a2fb8)) + (pin "56" (uuid a0d6a6a3-dc17-40e3-96d4-4f3b6df09269)) + (pin "57" (uuid a3176eea-6d2c-4e24-bbac-9b89fec5abc5)) + (pin "58" (uuid 8dd034fa-d03b-44da-823a-6cfe231d7f12)) + (pin "63" (uuid 39213e9a-78ad-4fd0-9fb6-4a2cece9cebb)) + (pin "64" (uuid 6a6afa34-cff6-470f-bdff-5f5a961bdbef)) + (pin "33" (uuid a0fb905d-cf66-4f7e-a052-cbd7788c443d)) + (pin "60" (uuid b0603ccb-1fe8-4539-bc63-de30c756a585)) + (pin "62" (uuid 373c6120-0985-4ba9-a5e8-3d6d608f4348)) + (pin "40" (uuid 3344a888-181e-4e74-8bff-bf7486f65d3b)) + (pin "59" (uuid e66b75e3-89e3-4660-b5e5-c8ed2ca1b92a)) + (pin "6" (uuid 457460d8-bc5a-4950-b52b-68f19f35e901)) + (pin "1" (uuid 4fc1ed54-f268-4123-ad68-bb15e01b3220)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 176.53 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 95c3aa0a-b7cd-4a4e-a111-43c36d95276c) + (property "Reference" "#PWR025" (at 176.53 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 176.53 34.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 176.53 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 176.53 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9d1754dc-f29c-4020-b133-8269a90d0a04)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR025") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 191.77 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a165851c-5f45-4e02-a1c2-2c8c8e05af54) + (property "Reference" "#PWR05" (at 191.77 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 191.77 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 191.77 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 191.77 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 47387853-f076-4ac9-8ead-19aa9545c8ac)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR05") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 176.53 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a23843ce-f7b9-4536-8c0d-65586eff2030) + (property "Reference" "C44" (at 177.8 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 177.8 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 176.53 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 176.53 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 176.53 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4aa2f845-fa3c-47ef-b319-2168602b55b5)) + (pin "2" (uuid b5ee4b80-a59f-401e-8137-4fdf8a10557f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C44") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 26.67 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a275a360-467e-4deb-82a2-89a075db555e) + (property "Reference" "#PWR01" (at 26.67 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 26.67 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 931bf55c-494f-41b2-995f-c45b8b222d5d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR01") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 20.32 123.19 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a2e84b69-057c-42aa-8c36-49bd71c2b60f) + (property "Reference" "R12" (at 20.32 119.38 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 20.32 121.92 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 20.32 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 20.32 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 20.32 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65d3f06f-0074-4496-87a9-36ebd9f72c6c)) + (pin "2" (uuid b4f41185-d223-443d-9dc2-ac94e99f9ca0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 222.25 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ae400110-3009-40d6-a30f-0d7cab00d72f) + (property "Reference" "#PWR012" (at 222.25 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 222.25 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 222.25 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 222.25 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2561883d-a4ad-4101-93a1-ba1bbc1e118e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR012") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 165.1 39.37 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b0524bd9-2ba4-4448-a0a8-771659a8e1f4) + (property "Reference" "R20" (at 163.83 38.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2k2" (at 163.83 40.64 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 165.1 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 165.1 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 165.1 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 09501370-6f29-4d8f-806b-4447009c0409)) + (pin "2" (uuid cf3cd31b-bfcf-4ab1-8c44-9f84d09dcfee)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 80.01 173.99 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b1655eaa-2a10-4ed9-badb-1b7a4eeb6c42) + (property "Reference" "R13" (at 80.01 170.18 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 80.01 172.72 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 80.01 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 80.01 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23345" (at 80.01 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eafdfa22-3476-4d6a-b73f-7fd867132237)) + (pin "2" (uuid b16fffed-c6c2-4864-a0f2-1008577933a5)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 176.53 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b43c300f-68e8-4122-9ae8-597826063a42) + (property "Reference" "C43" (at 177.8 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 177.8 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 176.53 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 176.53 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 176.53 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3209f712-f948-4135-bc91-c494882de9c7)) + (pin "2" (uuid 0fe65f0d-43ba-4d04-b4dd-823f3a7ebc0c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C43") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 260.35 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b45a8868-874e-4849-87f9-a2930af0d7a0) + (property "Reference" "#PWR02" (at 260.35 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 260.35 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 260.35 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43cb8da3-5e32-442f-a5af-ae870786e945)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR02") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 214.63 158.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b8696251-28f1-4aee-b72c-e15a5fc265f4) + (property "Reference" "C8" (at 217.17 157.48 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22p" (at 217.17 160.02 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 214.63 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 214.63 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1653" (at 214.63 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 28132225-ca7c-42a6-adcd-47c555859193)) + (pin "2" (uuid e5b81b08-d4f6-41e2-b1aa-e4c3ef073245)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 21.59 173.99 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c3c97bc0-a381-4992-9201-866bebb05148) + (property "Reference" "R6" (at 21.59 170.18 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 21.59 172.72 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:R_0603" (at 21.59 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 21.59 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 21.59 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0dbd61c1-7fea-4985-a2ad-6b6667bd0312)) + (pin "2" (uuid 71f81a46-bd1e-41ca-a5ec-aa2764061893)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 252.73 123.19 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c77819bb-2c6d-4310-9d9c-24ea6363906c) + (property "Reference" "#PWR015" (at 252.73 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 252.73 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 252.73 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65d58691-4129-4619-8b14-57649442ac90)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR015") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 134.62 41.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ca9fb927-2a2a-4725-98c4-803d16c53245) + (property "Reference" "#PWR09" (at 134.62 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 134.62 38.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 134.62 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 134.62 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 68eb4026-0db9-4329-9762-0a56f045142c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR09") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 260.35 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid cc763959-8de2-499e-a9ed-d209ebf8d4c0) + (property "Reference" "#PWR014" (at 260.35 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 260.35 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 260.35 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6205f941-029d-4dd3-be02-19b265472ec8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR014") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 222.25 119.38 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d3daf6ee-cf5e-4dae-bf62-dcb0689daf50) + (property "Reference" "C36" (at 223.52 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 223.52 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f3007add-f775-4af7-9e2d-405d1d50e04b)) + (pin "2" (uuid 94b53aa5-b73d-4a22-8b67-b687d421b21b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C36") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 120.65 187.96 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d61a5fcb-af99-44eb-a9ae-202efe1c9100) + (property "Reference" "#PWR011" (at 120.65 191.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 120.65 184.15 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 120.65 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 120.65 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3a90fa8e-8687-4192-8241-8bf11aca4b70)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR011") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 176.53 27.94 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d9d5568b-2e75-4ad3-a7de-ebc9aa85496d) + (property "Reference" "#PWR024" (at 176.53 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 176.53 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 176.53 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 176.53 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 852199e4-91dd-418b-851f-544680d13f93)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR024") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 184.15 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid dcc93b90-4b44-4597-aa52-7b6bd50839c5) + (property "Reference" "C17" (at 185.42 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 185.42 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 184.15 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 184.15 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 184.15 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3504fe88-e7e5-45a4-9d6c-15057ccb8a41)) + (pin "2" (uuid 4e4d02fa-453d-4966-b116-cac36c7200e9)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V2") (at 245.11 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e883d8be-ce46-49f4-9587-12bc948e5c36) + (property "Reference" "#PWR022" (at 245.11 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V2" (at 245.11 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 245.11 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a860b9c1-ca35-42bf-836e-f2506176f8b7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR022") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 40.64 130.81 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ef9072d6-9d2a-4149-8b0e-23e20a8cc10d) + (property "Reference" "#PWR026" (at 40.64 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 40.64 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 40.64 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 40.64 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3d19a0b3-3c64-4861-b5cf-cbde350d8cfe)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR026") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:USB_B_Micro") (at 234.95 143.51 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ef9de558-c2d0-40a8-a30e-1e763a5812b8) + (property "Reference" "J3" (at 233.5022 131.6482 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "microUSB" (at 233.5022 133.9596 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:USB_Micro-B_Amphenol_10118192-0001" (at 231.14 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 231.14 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C132564" (at 234.95 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 86c3b2f5-07cf-4194-ab6b-d6073dce35a2)) + (pin "2" (uuid 7d486948-9da0-4b2c-b7b3-19d2793b4ae4)) + (pin "3" (uuid 57c3a425-b94d-4335-afa7-9bdf0465f1a6)) + (pin "4" (uuid 9d5d8c6e-b145-484e-9915-50b311bfa5c6)) + (pin "5" (uuid 77e06e21-c615-4962-a613-c97fa52be894)) + (pin "6" (uuid 1ef805fd-cfdf-428c-a904-e20ef3351d97)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 255.27 113.03 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fbae1f80-08fe-4aeb-aa42-3ad080c13081) + (property "Reference" "R1" (at 255.27 111.76 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "0" (at 255.27 114.3 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:R_0805" (at 255.27 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 255.27 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17477" (at 255.27 113.03 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 255.27 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e5b03d40-8a32-4074-9c28-b6d5cacf3b8f)) + (pin "2" (uuid 9e13a97b-cfd1-4c91-a97e-5e3c0f944e3e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 168.91 135.89 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fd9245fc-9285-47a5-bf4d-53aa8c9f0755) + (property "Reference" "R5" (at 168.91 132.08 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 168.91 134.62 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 168.91 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 168.91 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 168.91 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c6ddb451-b300-4a7e-b97f-4e1acf45a630)) + (pin "2" (uuid be2959e0-0102-4434-ac3d-b97b6d220d0e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (sheet_instances + (path "/" (page "1")) + ) +) diff --git a/Hardware/LCMXO2/fp-lib-table b/Hardware/LCMXO2/fp-lib-table new file mode 100644 index 0000000..ba5f00c --- /dev/null +++ b/Hardware/LCMXO2/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "stdpads")(type "KiCad")(uri "$(KIPRJMOD)/../../../stdpads.pretty")(options "")(descr "")) +) diff --git a/Hardware/LCMXO2/sym-lib-table b/Hardware/LCMXO2/sym-lib-table new file mode 100644 index 0000000..0be27b1 --- /dev/null +++ b/Hardware/LCMXO2/sym-lib-table @@ -0,0 +1,7 @@ +(sym_lib_table + (version 7) + (lib (name "GW_RAM")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_RAM.kicad_sym")(options "")(descr "")) + (lib (name "GW_PLD")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_PLD.kicad_sym")(options "")(descr "")) + (lib (name "GW_Logic")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_Logic.kicad_sym")(options "")(descr "")) + (lib (name "GW_Power")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_Power.kicad_sym")(options "")(descr "")) +) diff --git a/Hardware/LCMXO2/~GR8RAM.kicad_sch.lck b/Hardware/LCMXO2/~GR8RAM.kicad_sch.lck new file mode 100644 index 0000000..05c41e9 --- /dev/null +++ b/Hardware/LCMXO2/~GR8RAM.kicad_sch.lck @@ -0,0 +1 @@ +{"hostname":"ZaneMac","username":"zane"} \ No newline at end of file diff --git a/gerber/GR8RAM-BOM.csv b/Hardware/MAX/GR8RAM similarity index 100% rename from gerber/GR8RAM-BOM.csv rename to Hardware/MAX/GR8RAM diff --git a/Hardware/MAX/GR8RAM-cache.lib b/Hardware/MAX/GR8RAM-cache.lib new file mode 100644 index 0000000..d98d54b --- /dev/null +++ b/Hardware/MAX/GR8RAM-cache.lib @@ -0,0 +1,661 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# Connector_Generic_Conn_02x05_Odd_Even +# +DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 300 50 H V C CNN +F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 250 150 -250 1 1 10 f +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +X Pin_1 1 -200 200 150 R 50 50 1 1 P +X Pin_10 10 300 -200 150 L 50 50 1 1 P +X Pin_2 2 300 200 150 L 50 50 1 1 P +X Pin_3 3 -200 100 150 R 50 50 1 1 P +X Pin_4 4 300 100 150 L 50 50 1 1 P +X Pin_5 5 -200 0 150 R 50 50 1 1 P +X Pin_6 6 300 0 150 L 50 50 1 1 P +X Pin_7 7 -200 -100 150 R 50 50 1 1 P +X Pin_8 8 300 -100 150 L 50 50 1 1 P +X Pin_9 9 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_02x25_Counter_Clockwise +# +DEF Connector_Generic_Conn_02x25_Counter_Clockwise J 0 40 Y N 1 F N +F0 "J" 50 1300 50 H V C CNN +F1 "Connector_Generic_Conn_02x25_Counter_Clockwise" 50 -1300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -1195 0 -1205 1 1 6 N +S -50 -1095 0 -1105 1 1 6 N +S -50 -995 0 -1005 1 1 6 N +S -50 -895 0 -905 1 1 6 N +S -50 -795 0 -805 1 1 6 N +S -50 -695 0 -705 1 1 6 N +S -50 -595 0 -605 1 1 6 N +S -50 -495 0 -505 1 1 6 N +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 405 0 395 1 1 6 N +S -50 505 0 495 1 1 6 N +S -50 605 0 595 1 1 6 N +S -50 705 0 695 1 1 6 N +S -50 805 0 795 1 1 6 N +S -50 905 0 895 1 1 6 N +S -50 1005 0 995 1 1 6 N +S -50 1105 0 1095 1 1 6 N +S -50 1205 0 1195 1 1 6 N +S -50 1250 150 -1250 1 1 10 f +S 150 -1195 100 -1205 1 1 6 N +S 150 -1095 100 -1105 1 1 6 N +S 150 -995 100 -1005 1 1 6 N +S 150 -895 100 -905 1 1 6 N +S 150 -795 100 -805 1 1 6 N +S 150 -695 100 -705 1 1 6 N +S 150 -595 100 -605 1 1 6 N +S 150 -495 100 -505 1 1 6 N +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +S 150 405 100 395 1 1 6 N +S 150 505 100 495 1 1 6 N +S 150 605 100 595 1 1 6 N +S 150 705 100 695 1 1 6 N +S 150 805 100 795 1 1 6 N +S 150 905 100 895 1 1 6 N +S 150 1005 100 995 1 1 6 N +S 150 1105 100 1095 1 1 6 N +S 150 1205 100 1195 1 1 6 N +X Pin_1 1 -200 1200 150 R 50 50 1 1 P +X Pin_10 10 -200 300 150 R 50 50 1 1 P +X Pin_11 11 -200 200 150 R 50 50 1 1 P +X Pin_12 12 -200 100 150 R 50 50 1 1 P +X Pin_13 13 -200 0 150 R 50 50 1 1 P +X Pin_14 14 -200 -100 150 R 50 50 1 1 P +X Pin_15 15 -200 -200 150 R 50 50 1 1 P +X Pin_16 16 -200 -300 150 R 50 50 1 1 P +X Pin_17 17 -200 -400 150 R 50 50 1 1 P +X Pin_18 18 -200 -500 150 R 50 50 1 1 P +X Pin_19 19 -200 -600 150 R 50 50 1 1 P +X Pin_2 2 -200 1100 150 R 50 50 1 1 P +X Pin_20 20 -200 -700 150 R 50 50 1 1 P +X Pin_21 21 -200 -800 150 R 50 50 1 1 P +X Pin_22 22 -200 -900 150 R 50 50 1 1 P +X Pin_23 23 -200 -1000 150 R 50 50 1 1 P +X Pin_24 24 -200 -1100 150 R 50 50 1 1 P +X Pin_25 25 -200 -1200 150 R 50 50 1 1 P +X Pin_26 26 300 -1200 150 L 50 50 1 1 P +X Pin_27 27 300 -1100 150 L 50 50 1 1 P +X Pin_28 28 300 -1000 150 L 50 50 1 1 P +X Pin_29 29 300 -900 150 L 50 50 1 1 P +X Pin_3 3 -200 1000 150 R 50 50 1 1 P +X Pin_30 30 300 -800 150 L 50 50 1 1 P +X Pin_31 31 300 -700 150 L 50 50 1 1 P +X Pin_32 32 300 -600 150 L 50 50 1 1 P +X Pin_33 33 300 -500 150 L 50 50 1 1 P +X Pin_34 34 300 -400 150 L 50 50 1 1 P +X Pin_35 35 300 -300 150 L 50 50 1 1 P +X Pin_36 36 300 -200 150 L 50 50 1 1 P +X Pin_37 37 300 -100 150 L 50 50 1 1 P +X Pin_38 38 300 0 150 L 50 50 1 1 P +X Pin_39 39 300 100 150 L 50 50 1 1 P +X Pin_4 4 -200 900 150 R 50 50 1 1 P +X Pin_40 40 300 200 150 L 50 50 1 1 P +X Pin_41 41 300 300 150 L 50 50 1 1 P +X Pin_42 42 300 400 150 L 50 50 1 1 P +X Pin_43 43 300 500 150 L 50 50 1 1 P +X Pin_44 44 300 600 150 L 50 50 1 1 P +X Pin_45 45 300 700 150 L 50 50 1 1 P +X Pin_46 46 300 800 150 L 50 50 1 1 P +X Pin_47 47 300 900 150 L 50 50 1 1 P +X Pin_48 48 300 1000 150 L 50 50 1 1 P +X Pin_49 49 300 1100 150 L 50 50 1 1 P +X Pin_5 5 -200 800 150 R 50 50 1 1 P +X Pin_50 50 300 1200 150 L 50 50 1 1 P +X Pin_6 6 -200 700 150 R 50 50 1 1 P +X Pin_7 7 -200 600 150 R 50 50 1 1 P +X Pin_8 8 -200 500 150 R 50 50 1 1 P +X Pin_9 9 -200 400 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C_Small +# +DEF Device_C_Small C 0 10 N N 1 F N +F0 "C" 10 70 50 H V L CNN +F1 "Device_C_Small" 10 -80 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 13 -60 -20 60 -20 N +P 2 0 1 12 -60 20 60 20 N +X ~ 1 0 100 80 D 50 50 1 1 P +X ~ 2 0 -100 80 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R_Pack04 +# +DEF Device_R_Pack04 RN 0 0 Y N 1 F N +F0 "RN" -300 0 50 V V C CNN +F1 "Device_R_Pack04" 200 0 50 V V C CNN +F2 "" 275 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + DIP* + SOIC* +$ENDFPLIST +DRAW +S -250 -95 150 95 0 1 10 f +S -225 75 -175 -75 0 1 10 N +S -125 75 -75 -75 0 1 10 N +S -25 75 25 -75 0 1 10 N +S 75 75 125 -75 0 1 10 N +P 2 0 1 0 -200 -100 -200 -75 N +P 2 0 1 0 -200 75 -200 100 N +P 2 0 1 0 -100 -100 -100 -75 N +P 2 0 1 0 -100 75 -100 100 N +P 2 0 1 0 0 -100 0 -75 N +P 2 0 1 0 0 75 0 100 N +P 2 0 1 0 100 -100 100 -75 N +P 2 0 1 0 100 75 100 100 N +X R1.1 1 -200 -200 100 U 50 50 1 1 P +X R2.1 2 -100 -200 100 U 50 50 1 1 P +X R3.1 3 0 -200 100 U 50 50 1 1 P +X R4.1 4 100 -200 100 U 50 50 1 1 P +X R4.2 5 100 200 100 D 50 50 1 1 P +X R3.2 6 0 200 100 D 50 50 1 1 P +X R2.2 7 -100 200 100 D 50 50 1 1 P +X R1.2 8 -200 200 100 D 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R_Small +# +DEF Device_R_Small R 0 10 N N 1 F N +F0 "R" 30 20 50 H V L CNN +F1 "Device_R_Small" 30 -40 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -30 70 30 -70 0 1 8 N +X ~ 1 0 100 30 D 50 50 1 1 P +X ~ 2 0 -100 30 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GW_Logic_741G125GW +# +DEF GW_Logic_741G125GW U 0 40 Y Y 1 F N +F0 "U" 0 250 50 H V C CNN +F1 "GW_Logic_741G125GW" 0 -250 50 H V C CNN +F2 "stdpads:SOT-353" 0 -300 50 H I C TNN +F3 "" 0 -200 60 H I C CNN +DRAW +S 200 -200 -200 200 0 1 10 f +X ~OE~ 1 -400 100 200 R 50 50 1 1 I +X A 2 -400 0 200 R 50 50 1 1 I +X GND 3 -400 -100 200 R 50 50 1 1 W +X Y 4 400 -100 200 L 50 50 1 1 O +X Vcc 5 400 100 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# GW_Logic_74245 +# +DEF GW_Logic_74245 U 0 40 Y Y 1 F N +F0 "U" 0 600 50 H V C CNN +F1 "GW_Logic_74245" 0 -600 50 H V C CNN +F2 "" 0 -650 50 H I C TNN +F3 "" 0 100 60 H I C CNN +DRAW +S -200 550 200 -550 0 1 10 f +X AtoB 1 -400 450 200 R 50 50 1 1 I +X GND 10 -400 -450 200 R 50 50 1 1 W +X B7 11 400 -450 200 L 50 50 1 1 B +X B6 12 400 -350 200 L 50 50 1 1 B +X B5 13 400 -250 200 L 50 50 1 1 B +X B4 14 400 -150 200 L 50 50 1 1 B +X B3 15 400 -50 200 L 50 50 1 1 B +X B2 16 400 50 200 L 50 50 1 1 B +X B1 17 400 150 200 L 50 50 1 1 B +X B0 18 400 250 200 L 50 50 1 1 B +X ~OE~ 19 400 350 200 L 50 50 1 1 I +X A0 2 -400 350 200 R 50 50 1 1 B +X Vcc 20 400 450 200 L 50 50 1 1 W +X A1 3 -400 250 200 R 50 50 1 1 B +X A2 4 -400 150 200 R 50 50 1 1 B +X A3 5 -400 50 200 R 50 50 1 1 B +X A4 6 -400 -50 200 R 50 50 1 1 B +X A5 7 -400 -150 200 R 50 50 1 1 B +X A6 8 -400 -250 200 R 50 50 1 1 B +X A7 9 -400 -350 200 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# GW_Logic_Oscillator_4P +# +DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N +F0 "U" 0 250 50 H V C CNN +F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -250 200 250 -100 0 1 10 f +X EN 1 -350 100 100 R 50 50 1 1 I +X GND 2 -350 0 100 R 50 50 1 1 W +X Output 3 350 0 100 L 50 50 1 1 O +X Vdd 4 350 100 100 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# GW_PLD_EPM240T100 +# +DEF GW_PLD_EPM240T100 U 0 40 Y Y 1 F N +F0 "U" 0 50 50 H V C CNN +F1 "GW_PLD_EPM240T100" 0 -50 50 H V C CNN +F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + *QFP*P0.5mm* +$ENDFPLIST +DRAW +S -800 2200 800 -2200 1 1 10 f +X IO2_1 1 1000 2100 200 L 50 50 1 1 B +X GNDIO 10 -200 -2400 200 U 50 50 1 1 W +X IO2_100 100 1000 -2000 200 L 50 50 1 1 B +X GNDINT 11 -400 -2400 200 U 50 50 1 1 W +X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C +X VCCINT 13 -400 2400 200 D 50 50 1 1 W +X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C +X IO1_15 15 -1000 1200 200 R 50 50 1 1 B +X IO1_16 16 -1000 1100 200 R 50 50 1 1 B +X IO1_17 17 -1000 1000 200 R 50 50 1 1 B +X IO1_18 18 -1000 900 200 R 50 50 1 1 B +X IO1_19 19 -1000 800 200 R 50 50 1 1 B +X IO1_2 2 -1000 2100 200 R 50 50 1 1 B +X IO1_20 20 -1000 700 200 R 50 50 1 1 B +X IO1_21 21 -1000 600 200 R 50 50 1 1 B +X TMS 22 -1000 -1700 200 R 50 50 1 1 I +X TDI 23 -1000 -1800 200 R 50 50 1 1 I +X TCK 24 -1000 -1900 200 R 50 50 1 1 I C +X TDO 25 -1000 -2000 200 R 50 50 1 1 O +X IO1_26 26 -1000 500 200 R 50 50 1 1 B +X IO1_27 27 -1000 400 200 R 50 50 1 1 B +X IO1_28 28 -1000 300 200 R 50 50 1 1 B +X IO1_29 29 -1000 200 200 R 50 50 1 1 B +X IO1_3 3 -1000 2000 200 R 50 50 1 1 B +X IO1_30 30 -1000 100 200 R 50 50 1 1 B +X VCCIO1 31 -100 2400 200 D 50 50 1 1 W +X GNDIO 32 -100 -2400 200 U 50 50 1 1 W +X IO1_33 33 -1000 0 200 R 50 50 1 1 B +X IO1_34 34 -1000 -100 200 R 50 50 1 1 B +X IO1_35 35 -1000 -200 200 R 50 50 1 1 B +X IO1_36 36 -1000 -300 200 R 50 50 1 1 B +X IO1_37 37 -1000 -400 200 R 50 50 1 1 B +X IO1_38 38 -1000 -500 200 R 50 50 1 1 B +X IO1_39 39 -1000 -600 200 R 50 50 1 1 B +X IO1_4 4 -1000 1900 200 R 50 50 1 1 B +X IO1_40 40 -1000 -700 200 R 50 50 1 1 B +X IO1_41 41 -1000 -800 200 R 50 50 1 1 B +X IO1_42 42 -1000 -900 200 R 50 50 1 1 B +X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B +X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B +X VCCIO1 45 0 2400 200 D 50 50 1 1 W +X GNDIO 46 0 -2400 200 U 50 50 1 1 W +X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B +X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B +X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B +X IO1_5 5 -1000 1800 200 R 50 50 1 1 B +X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B +X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B +X IO2_52 52 1000 2000 200 L 50 50 1 1 B +X IO2_53 53 1000 1900 200 L 50 50 1 1 B +X IO2_54 54 1000 1800 200 L 50 50 1 1 B +X IO2_55 55 1000 1700 200 L 50 50 1 1 B +X IO2_56 56 1000 1600 200 L 50 50 1 1 B +X IO2_57 57 1000 1500 200 L 50 50 1 1 B +X IO2_58 58 1000 1400 200 L 50 50 1 1 B +X VCCIO2 59 100 2400 200 D 50 50 1 1 W +X IO1_6 6 -1000 1700 200 R 50 50 1 1 B +X GNDIO 60 100 -2400 200 U 50 50 1 1 W +X IO2_61 61 1000 1300 200 L 50 50 1 1 B +X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C +X VCCINT 63 -300 2400 200 D 50 50 1 1 W +X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C +X GNDINT 65 -300 -2400 200 U 50 50 1 1 W +X IO2_66 66 1000 1000 200 L 50 50 1 1 B +X IO2_67 67 1000 900 200 L 50 50 1 1 B +X IO2_68 68 1000 800 200 L 50 50 1 1 B +X IO2_69 69 1000 700 200 L 50 50 1 1 B +X IO1_7 7 -1000 1600 200 R 50 50 1 1 B +X IO2_70 70 1000 600 200 L 50 50 1 1 B +X IO2_71 71 1000 500 200 L 50 50 1 1 B +X IO2_72 72 1000 400 200 L 50 50 1 1 B +X IO2_73 73 1000 300 200 L 50 50 1 1 B +X IO2_74 74 1000 200 200 L 50 50 1 1 B +X IO2_75 75 1000 100 200 L 50 50 1 1 B +X IO2_76 76 1000 0 200 L 50 50 1 1 B +X IO2_77 77 1000 -100 200 L 50 50 1 1 B +X IO2_78 78 1000 -200 200 L 50 50 1 1 B +X GNDIO 79 200 -2400 200 U 50 50 1 1 W +X IO1_8 8 -1000 1500 200 R 50 50 1 1 B +X VCCIO2 80 200 2400 200 D 50 50 1 1 W +X IO2_81 81 1000 -300 200 L 50 50 1 1 B +X IO2_82 82 1000 -400 200 L 50 50 1 1 B +X IO2_83 83 1000 -500 200 L 50 50 1 1 B +X IO2_84 84 1000 -600 200 L 50 50 1 1 B +X IO2_85 85 1000 -700 200 L 50 50 1 1 B +X IO2_86 86 1000 -800 200 L 50 50 1 1 B +X IO2_87 87 1000 -900 200 L 50 50 1 1 B +X IO2_88 88 1000 -1000 200 L 50 50 1 1 B +X IO2_89 89 1000 -1100 200 L 50 50 1 1 B +X VCCIO1 9 -200 2400 200 D 50 50 1 1 W +X IO2_90 90 1000 -1200 200 L 50 50 1 1 B +X IO2_91 91 1000 -1300 200 L 50 50 1 1 B +X IO2_92 92 1000 -1400 200 L 50 50 1 1 B +X GNDIO 93 300 -2400 200 U 50 50 1 1 W +X VCCIO2 94 300 2400 200 D 50 50 1 1 W +X IO2_95 95 1000 -1500 200 L 50 50 1 1 B +X IO2_96 96 1000 -1600 200 L 50 50 1 1 B +X IO2_97 97 1000 -1700 200 L 50 50 1 1 B +X IO2_98 98 1000 -1800 200 L 50 50 1 1 B +X IO2_99 99 1000 -1900 200 L 50 50 1 1 B +ENDDRAW +ENDDEF +# +# GW_Power_AP2125 +# +DEF GW_Power_AP2125 U 0 40 Y Y 1 F N +F0 "U" 0 250 50 H V C CNN +F1 "GW_Power_AP2125" 0 -250 50 H V C CNN +F2 "stdpads:SOT-23" 0 -300 50 H I C TNN +F3 "" 0 -100 60 H I C CNN +DRAW +S -250 200 250 -200 0 1 10 f +X GND 1 -450 -100 200 R 50 50 1 1 W +X Vout 2 450 100 200 L 50 50 1 1 w +X Vin 3 -450 100 200 R 50 50 1 1 W +ENDDRAW +ENDDEF +# +# GW_RAM_SDRAM-16Mx16-TSOP2-54 +# +DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N +F0 "U" 0 1150 50 H V C CNN +F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN +F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN +F3 "" 0 -250 50 H I C CNN +DRAW +S -300 1100 300 -1400 0 1 10 f +X VDD 1 -500 1000 200 R 50 50 1 1 W +X DQ5 10 500 500 200 L 50 50 1 1 B +X DQ6 11 500 400 200 L 50 50 1 1 B +X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N +X DQ7 13 500 300 200 L 50 50 1 1 B +X VDD 14 -500 1000 200 R 50 50 1 1 W N +X DQML 15 500 -600 200 L 50 50 1 1 I +X ~WE~ 16 500 -1100 200 L 50 50 1 1 I +X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I +X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I +X ~CS~ 19 500 -1000 200 L 50 50 1 1 I +X DQ0 2 500 1000 200 L 50 50 1 1 B +X BA0 20 -500 -600 200 R 50 50 1 1 I +X BA1 21 -500 -700 200 R 50 50 1 1 I +X A10 22 -500 -300 200 R 50 50 1 1 I +X A0 23 -500 700 200 R 50 50 1 1 I +X A1 24 -500 600 200 R 50 50 1 1 I +X A2 25 -500 500 200 R 50 50 1 1 I +X A3 26 -500 400 200 R 50 50 1 1 I +X VDD 27 -500 1000 200 R 50 50 1 1 W N +X VSS 28 -500 -1200 200 R 50 50 1 1 W +X A4 29 -500 300 200 R 50 50 1 1 I +X VDDQ 3 -500 900 200 R 50 50 1 1 W +X A5 30 -500 200 200 R 50 50 1 1 I +X A6 31 -500 100 200 R 50 50 1 1 I +X A7 32 -500 0 200 R 50 50 1 1 I +X A8 33 -500 -100 200 R 50 50 1 1 I +X A9 34 -500 -200 200 R 50 50 1 1 I +X A11 35 -500 -400 200 R 50 50 1 1 I +X A12 36 -500 -500 200 R 50 50 1 1 I +X CKE 37 -500 -900 200 R 50 50 1 1 I +X CLK 38 -500 -1000 200 R 50 50 1 1 I +X DQMH 39 500 -700 200 L 50 50 1 1 I +X DQ1 4 500 900 200 L 50 50 1 1 B +X VSS 41 -500 -1200 200 R 50 50 1 1 W N +X DQ8 42 500 200 200 L 50 50 1 1 B +X VDDQ 43 -500 900 200 R 50 50 1 1 W N +X DQ9 44 500 100 200 L 50 50 1 1 B +X DQ10 45 500 0 200 L 50 50 1 1 B +X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N +X DQ11 47 500 -100 200 L 50 50 1 1 B +X DQ12 48 500 -200 200 L 50 50 1 1 B +X VDDQ 49 -500 900 200 R 50 50 1 1 W N +X DQ2 5 500 800 200 L 50 50 1 1 B +X DQ13 50 500 -300 200 L 50 50 1 1 B +X DQ14 51 500 -400 200 L 50 50 1 1 B +X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N +X DQ15 53 500 -500 200 L 50 50 1 1 B +X VSS 54 -500 -1200 200 R 50 50 1 1 W N +X VSSQ 6 -500 -1300 200 R 50 50 1 1 W +X DQ3 7 500 700 200 L 50 50 1 1 B +X DQ4 8 500 600 200 L 50 50 1 1 B +X VDDQ 9 -500 900 200 R 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# GW_RAM_SPIFlash-SO-8 +# +DEF GW_RAM_SPIFlash-SO-8 U 0 40 Y Y 1 F N +F0 "U" 0 350 50 H V C CNN +F1 "GW_RAM_SPIFlash-SO-8" 0 -250 50 H V C CNN +F2 "stdpads:Hybrid_SPIFlash_SOIC-8_SOIC-16" 0 -300 50 H I C TNN +F3 "" 0 0 50 H I C TNN +DRAW +S -350 300 350 -200 0 1 10 f +X ~CS~ 1 -550 200 200 R 50 50 1 1 I +X DO/IO1 2 -550 100 200 R 50 50 1 1 B +X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B +X GND 4 -550 -100 200 R 50 50 1 1 W +X DI/IO0 5 550 -100 200 L 50 50 1 1 B +X CLK 6 550 0 200 L 50 50 1 1 I +X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B +X Vcc 8 550 200 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# Mechanical_Fiducial +# +DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N +F0 "FID" 0 200 50 H V C CNN +F1 "Mechanical_Fiducial" 0 125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Fiducial* +$ENDFPLIST +DRAW +C 0 0 50 0 1 20 f +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole +# +DEF Mechanical_MountingHole H 0 40 Y Y 1 F N +F0 "H" 0 200 50 H V C CNN +F1 "Mechanical_MountingHole" 0 125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole* +$ENDFPLIST +DRAW +C 0 0 50 0 1 50 N +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole_Pad +# +DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N +F0 "H" 0 250 50 H V C CNN +F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole*Pad* +$ENDFPLIST +DRAW +C 0 50 50 0 1 50 N +X 1 1 0 -100 100 U 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Switch_SW_DIP_x02 +# +DEF Switch_SW_DIP_x02 SW 0 0 Y N 1 F N +F0 "SW" 0 250 50 H V C CNN +F1 "Switch_SW_DIP_x02" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + SW?DIP?x2* +$ENDFPLIST +DRAW +C -80 0 20 0 0 0 N +C -80 100 20 0 0 0 N +C 80 0 20 0 0 0 N +C 80 100 20 0 0 0 N +S -150 200 150 -100 0 1 10 f +P 2 0 0 0 -60 5 93 46 N +P 2 0 0 0 -60 105 93 146 N +X ~ 1 -300 100 200 R 50 50 1 1 P +X ~ 2 -300 0 200 R 50 50 1 1 P +X ~ 3 300 0 200 L 50 50 1 1 P +X ~ 4 300 100 200 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# power_+12V +# +DEF power_+12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+12V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +12V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+3V3 +# +DEF power_+3V3 #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+3V3" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS +3.3V +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +3V3 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_-12V +# +DEF power_-12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 100 50 H I C CNN +F1 "power_-12V" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F +X -12V 1 0 0 0 U 50 50 0 0 W N +ENDDRAW +ENDDEF +# +# power_-5V +# +DEF power_-5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 100 50 H I C CNN +F1 "power_-5V" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F +X -5V 1 0 0 0 U 50 50 0 0 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Hardware/MAX/GR8RAM.kicad_pcb b/Hardware/MAX/GR8RAM.kicad_pcb new file mode 100644 index 0000000..c6a7da5 --- /dev/null +++ b/Hardware/MAX/GR8RAM.kicad_pcb @@ -0,0 +1,95936 @@ +(kicad_pcb (version 20221018) (generator pcbnew) + + (general + (thickness 1.6108) + ) + + (paper "A4") + (title_block + (title "GR8RAM (GW4205A)") + (date "2021-04-20") + (rev "1.0") + (company "Garrett's Workshop") + ) + + (layers + (0 "F.Cu" signal) + (1 "In1.Cu" power) + (2 "In2.Cu" power) + (31 "B.Cu" signal) + (32 "B.Adhes" user "B.Adhesive") + (33 "F.Adhes" user "F.Adhesive") + (34 "B.Paste" user) + (35 "F.Paste" user) + (36 "B.SilkS" user "B.Silkscreen") + (37 "F.SilkS" user "F.Silkscreen") + (38 "B.Mask" user) + (39 "F.Mask" user) + (40 "Dwgs.User" user "User.Drawings") + (41 "Cmts.User" user "User.Comments") + (42 "Eco1.User" user "User.Eco1") + (43 "Eco2.User" user "User.Eco2") + (44 "Edge.Cuts" user) + (45 "Margin" user) + (46 "B.CrtYd" user "B.Courtyard") + (47 "F.CrtYd" user "F.Courtyard") + (48 "B.Fab" user) + (49 "F.Fab" user) + ) + + (setup + (stackup + (layer "F.SilkS" (type "Top Silk Screen")) + (layer "F.Paste" (type "Top Solder Paste")) + (layer "F.Mask" (type "Top Solder Mask") (thickness 0.01)) + (layer "F.Cu" (type "copper") (thickness 0.035)) + (layer "dielectric 1" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "In1.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 2" (type "prepreg") (thickness 1.065) (material "FR4") (epsilon_r 4.5) (loss_tangent 0.02)) + (layer "In2.Cu" (type "copper") (thickness 0.0175)) + (layer "dielectric 3" (type "core") (thickness 0.2104) (material "FR4") (epsilon_r 4.6) (loss_tangent 0.02)) + (layer "B.Cu" (type "copper") (thickness 0.035)) + (layer "B.Mask" (type "Bottom Solder Mask") (thickness 0.01)) + (layer "B.Paste" (type "Bottom Solder Paste")) + (layer "B.SilkS" (type "Bottom Silk Screen")) + (copper_finish "None") + (dielectric_constraints no) + ) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) + (pad_to_paste_clearance -0.0381) + (pcbplotparams + (layerselection 0x00010f8_ffffffff) + (plot_on_all_layers_selection 0x0000000_00000000) + (disableapertmacros false) + (usegerberextensions true) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (dashed_line_dash_ratio 12.000000) + (dashed_line_gap_ratio 3.000000) + (svgprecision 6) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (dxfpolygonmode true) + (dxfimperialunits true) + (dxfusepcbnewfont true) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (sketchpadsonfab false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber/") + ) + ) + + (net 0 "") + (net 1 "+5V") + (net 2 "GND") + (net 3 "/A4") + (net 4 "/D7") + (net 5 "/D6") + (net 6 "/A8") + (net 7 "/A7") + (net 8 "/A6") + (net 9 "/A5") + (net 10 "/A3") + (net 11 "/A2") + (net 12 "/A1") + (net 13 "/A0") + (net 14 "/A9") + (net 15 "/D1") + (net 16 "/D5") + (net 17 "/D0") + (net 18 "/D2") + (net 19 "/D3") + (net 20 "/D4") + (net 21 "/A10") + (net 22 "+12V") + (net 23 "-12V") + (net 24 "-5V") + (net 25 "/~{IOSEL}") + (net 26 "/A11") + (net 27 "/A12") + (net 28 "/A13") + (net 29 "/A14") + (net 30 "/A15") + (net 31 "/R~{W}") + (net 32 "/~{IOSTRB}") + (net 33 "/~{NMI}") + (net 34 "/~{IRQ}") + (net 35 "/~{RES}") + (net 36 "/~{INH}") + (net 37 "/COLORREF") + (net 38 "/7M") + (net 39 "/Q3") + (net 40 "/PHI1") + (net 41 "/USER1") + (net 42 "/PHI0") + (net 43 "/~{DEVSEL}") + (net 44 "/INTin") + (net 45 "/DMAin") + (net 46 "/TCK") + (net 47 "/TDO") + (net 48 "/TMS") + (net 49 "/TDI") + (net 50 "/RA0") + (net 51 "/RA1") + (net 52 "/RA2") + (net 53 "/RA3") + (net 54 "/RA4") + (net 55 "/RA5") + (net 56 "/RA6") + (net 57 "/RA7") + (net 58 "/RA8") + (net 59 "/RA9") + (net 60 "/RA10") + (net 61 "/RD0") + (net 62 "/RD1") + (net 63 "/RD2") + (net 64 "/RD3") + (net 65 "/RD4") + (net 66 "/RD5") + (net 67 "/RD6") + (net 68 "/RD7") + (net 69 "/VIDSYNC") + (net 70 "+3V3") + (net 71 "/~{DMA}") + (net 72 "/R~{RES}") + (net 73 "/R~{IOSTRB}") + (net 74 "/R~{DEVSEL}") + (net 75 "/R~{IOSEL}") + (net 76 "/RA11") + (net 77 "/RA12") + (net 78 "/RA13") + (net 79 "/RA14") + (net 80 "/RA15") + (net 81 "/Ddir") + (net 82 "/USB5V") + (net 83 "/ACLK") + (net 84 "/RCLK") + (net 85 "/SD0") + (net 86 "/SD1") + (net 87 "/SD3") + (net 88 "/SD2") + (net 89 "/SD6") + (net 90 "/SD7") + (net 91 "/SD5") + (net 92 "/SD4") + (net 93 "/SDQML") + (net 94 "/S~{WE}") + (net 95 "/S~{CAS}") + (net 96 "/S~{RAS}") + (net 97 "/S~{CS}") + (net 98 "/SA0") + (net 99 "/SA3") + (net 100 "/SA4") + (net 101 "/SA6") + (net 102 "/SCKE") + (net 103 "/SDQMH") + (net 104 "/RR~{W}in") + (net 105 "/~{RDY}") + (net 106 "Net-(U7-XO)") + (net 107 "/SA12") + (net 108 "/SBA0") + (net 109 "/SA11") + (net 110 "/SBA1") + (net 111 "/SA9") + (net 112 "/SA10") + (net 113 "/SA8") + (net 114 "/SA7") + (net 115 "/SA1") + (net 116 "/SA2") + (net 117 "/SA5") + (net 118 "/MISO") + (net 119 "/MOSI") + (net 120 "/F~{CS}") + (net 121 "/FCK") + (net 122 "/RES~{OE}") + (net 123 "/FD2") + (net 124 "/FD3") + (net 125 "/RPHI0") + (net 126 "Net-(U7-XI)") + (net 127 "unconnected-(J2-Pin_6-Pad6)") + (net 128 "unconnected-(J2-Pin_7-Pad7)") + (net 129 "unconnected-(J2-Pin_8-Pad8)") + (net 130 "unconnected-(J3-ID-Pad4)") + (net 131 "Net-(J3-D+)") + (net 132 "Net-(J3-D-)") + (net 133 "Net-(J5-Pin_6)") + (net 134 "unconnected-(J5-Pin_9-Pad9)") + (net 135 "unconnected-(J5-Pin_10-Pad10)") + (net 136 "Net-(U16-Y)") + (net 137 "+1V8") + (net 138 "/FW1") + (net 139 "/FW0") + (net 140 "unconnected-(U1-IO1_18-Pad18)") + (net 141 "unconnected-(U1-IO1_19-Pad19)") + (net 142 "unconnected-(U1-IO1_20-Pad20)") + (net 143 "unconnected-(U1-IO1_21-Pad21)") + (net 144 "unconnected-(U1-IO1_26-Pad26)") + (net 145 "unconnected-(U1-IO1_29-Pad29)") + (net 146 "unconnected-(U1-IO1_48-Pad48)") + (net 147 "unconnected-(U1-IO1_49-Pad49)") + (net 148 "unconnected-(U5-B7-Pad11)") + (net 149 "unconnected-(U5-B6-Pad12)") + (net 150 "/UTCK") + (net 151 "Net-(U13-Output)") + (net 152 "unconnected-(U10-NC-Pad4)") + (net 153 "Net-(U14-Y)") + (net 154 "Net-(J2-Pin_1)") + (net 155 "Net-(U11-Y)") + (net 156 "/IRQ~{OE}") + (net 157 "unconnected-(U1-IO1_27-Pad27)") + + (footprint "stdpads:USB_Micro-B_Amphenol_10118192-0001" (layer "F.Cu") + (tstamp 03209ad2-8aa4-4794-bc77-d63690365bf9) + (at 47 99.8 -90) + (descr "Micro USB B receptable with flange, bottom-mount, SMD, right-angle (http://www.molex.com/pdm_docs/sd/473460001_sd.pdf)") + (tags "Micro B USB SMD") + (property "LCSC Part" "C132564") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "USB Micro Type B connector") + (property "ki_keywords" "connector USB micro") + (path "/ef9de558-c2d0-40a8-a30e-1e763a5812b8") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "J3" (at 0 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 6ef443fa-9b31-460b-8fa7-1a8c3580e3cc) + ) + (fp_text value "microUSB" (at 0 3.302 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 59f0c885-1bc4-4fc7-8120-8746482ae192) + ) + (fp_text user "PCB Edge" (at 0 1.47 90) (layer "Dwgs.User") + (effects (font (size 0.4 0.4) (thickness 0.04))) + (tstamp bd42f151-4b91-4228-971a-f74aafca6fb4) + ) + (fp_line (start -5 -3.9) (end 5 -3.9) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95f2ced0-0ea9-431b-9158-d0b0ef9c0046)) + (fp_line (start -5 2.7) (end -5 -3.9) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99a927ed-6c9d-4ec7-9574-085f43957a3b)) + (fp_line (start 5 -3.9) (end 5 2.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58f2eb34-2014-447e-ae6e-62bd8d14fd83)) + (fp_line (start 5 2.7) (end -5 2.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e5e60abc-d99a-44c5-b796-2b3a8a85fc18)) + (fp_line (start -3.95 -3.35) (end 3.95 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75277977-de18-45d8-ba1f-0b7e32694f32)) + (fp_line (start -3.95 2.2) (end -3.95 -3.35) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b966fd3-10b6-4315-adb4-7a631ef00068)) + (fp_line (start -3.25 1.45) (end 3.25 1.45) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecf8b82d-f519-4a06-84a1-7b3ec95c3d47)) + (fp_line (start 3.95 -3.35) (end 3.95 2.2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 26e693b4-87e3-48c4-b075-cfbb816a98b0)) + (fp_line (start 3.95 2.2) (end -3.95 2.2) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 538873d4-510c-4fd8-982c-2f0d4c55b82c)) + (pad "1" smd roundrect (at -1.3 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/USB5V") (pinfunction "VBUS") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp ecdb978a-f04e-4956-b955-7c426e38fe7b)) + (pad "2" smd roundrect (at -0.65 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 132 "Net-(J3-D-)") (pinfunction "D-") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp fa1ab2c9-4ecf-4846-8175-49c9adcd3840)) + (pad "3" smd roundrect (at 0 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "Net-(J3-D+)") (pinfunction "D+") (pintype "bidirectional") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp f3b48f31-7644-42df-9b3f-e73cfbfe5a85)) + (pad "4" smd roundrect (at 0.65 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 130 "unconnected-(J3-ID-Pad4)") (pinfunction "ID") (pintype "passive+no_connect") (solder_mask_margin 0.04) (solder_paste_margin -0.04) (tstamp b8b2b43c-039b-4ea5-89d9-56fa1f25c239)) + (pad "5" smd roundrect (at 1.3 -2.675 270) (size 0.4 1.35) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_out") (solder_mask_margin 0.04) (solder_paste_margin -0.05) (tstamp d11e9428-8cf1-4e5b-9085-b0c615fc7574)) + (pad "6" smd roundrect (at -3.8 0 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 14526b64-0870-47cc-96d6-42ed4d2b8ff1)) + (pad "6" smd roundrect (at -3.1 -2.55 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp c64fadf0-158d-4736-b41d-e5c111e9145e)) + (pad "6" smd roundrect (at -1.2 0 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 50137dd9-e976-4f1b-9e29-25be54410d06)) + (pad "6" smd roundrect (at 1.2 0 270) (size 1.9 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 72215ac7-a5b9-4dbd-a47c-45065aa20c8d)) + (pad "6" smd roundrect (at 3.1 -2.55 270) (size 2.1 1.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 270f16ef-06fc-4371-8694-19ea03d86ee5)) + (pad "6" smd roundrect (at 3.8 0 270) (size 1.8 1.9) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Shield") (pintype "passive") (tstamp 3ddbbb7f-b602-4183-8475-829b8c8d89cf)) + (model "${KICAD6_3DMODEL_DIR}/Connector_USB.3dshapes/USB_Micro-B_Molex_47346-0001.wrl" + (offset (xyz 0 1.5 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 09ea478f-1461-4320-9e42-3029f49b1f15) + (at 104.2 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bbf3") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C16" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9499ddca-7522-4d8a-8490-1715cc53eb08) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 478f8061-c5ff-488d-be8f-2f2aa82f0e43) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 006d0da0-0688-4a35-bc9b-11bc3f1980d7) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 694af833-31dc-4f98-a6da-d454970a06f8)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp db37c6dc-c362-45ca-969f-7bf4f866a7f6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30b5dbf9-d387-4b20-89d4-d5d3bea51119)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 77480ae1-466c-49da-977e-9c7b471b800e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65e27de4-69f1-4954-b305-319ea0079ce3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32d243c0-8598-4fb4-9077-d63dacd423d1)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4507a402-08d2-4e51-82cb-58519bc5a274)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1210431-d022-413f-b89c-11245693c944)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 91a07b7d-7aa0-4c17-ab39-48be075d309e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7487795f-b234-4c6a-8461-e0966ed79684)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 28984c1b-97b0-423f-9055-3d673e4d9d2e)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp fd630fff-8f2f-49de-ae2c-b27524280e13)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" (layer "F.Cu") + (tstamp 0b3b6b2b-d317-4de5-962b-061a2a1178e8) + (at 135.763 95.885) + (descr "SMD 8x-dip-switch SPST KingTek_DSHP08TS, Slide, row spacing 7.62 mm (300 mils), body size (see http://www.kingtek.net.cn/pic/201601201417455112.pdf)") + (tags "SMD DIP Switch SPST Slide 7.62mm 300mil") + (property "LCSC Part" "C319052") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "2x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol") + (property "ki_keywords" "dip switch") + (path "/00000000-0000-0000-0000-000062d6aa13") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "SW1" (at 0 -1.905 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 4dc0fe54-526c-418c-a0d7-c966b523edb7) + ) + (fp_text value "FW" (at 0 1.905 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 5938d12c-e809-4dad-9d9a-79d905b5ff2f) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a0b2a915-3cac-4694-b126-2552eeb1394d) + ) + (fp_text user "on" (at -1.5525 0.055 90) (layer "F.Fab") + (effects (font (size 0.8 0.8) (thickness 0.12))) + (tstamp 8c19cfd6-ea56-4aa9-ad00-e92767fe4b9d) + ) + (fp_line (start -2.131 -2.76) (end -0.696 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 62c4f749-deac-44d7-bd32-86f6342369b7)) + (fp_line (start -2.131 2.76) (end -2.131 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 866288e6-3b77-42a5-b5e1-772060d3b5af)) + (fp_line (start -2.131 2.76) (end -1.315 2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ac8655b-88c7-45d4-bc7a-7d82d2025614)) + (fp_line (start -1.315 4.446) (end -1.315 2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2a977bef-bdab-4e69-b7c4-14ab98f29841)) + (fp_line (start 0.695 -2.76) (end 2.13 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 636157a8-d33f-4909-8dd8-641f7f78e4a1)) + (fp_line (start 0.695 2.76) (end 2.13 2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73c0310e-f399-41fc-9181-1c8dbf7fb7b6)) + (fp_line (start 2.13 2.76) (end 2.13 -2.76) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c13506c5-9338-4528-b916-c3782e5dba2f)) + (fp_line (start -2.413 -4.826) (end -2.413 4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccb2c310-28ad-40bc-95ce-c5f09f7618e6)) + (fp_line (start -2.413 4.826) (end 2.413 4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e41fe6d7-cdf0-406b-9855-5bdb50d56b24)) + (fp_line (start 2.413 -4.826) (end -2.413 -4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp beb48caf-e49e-482b-973d-6336bdb186ab)) + (fp_line (start 2.413 4.826) (end 2.413 -4.826) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e91e6503-e76f-47c3-a258-77dd107da93c)) + (fp_line (start -2.07 -2.7) (end 2.07 -2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 32a4be33-5741-4074-a151-5613f78a4a8d)) + (fp_line (start -2.07 1.7) (end -2.07 -2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 310dcc6b-dd6a-4775-99da-fea1f75bfdcb)) + (fp_line (start -1.07 2.7) (end -2.07 1.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66e6daff-9b20-46f3-94f6-d6862ee78b94)) + (fp_line (start -1.035 -1) (end -1.035 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9d275b10-1e39-4602-9bdc-7a777e2c6722)) + (fp_line (start -1.035 0.333333) (end -0.235 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eec94be8-07b7-4aa3-85d1-be861b4e0ca0)) + (fp_line (start -1.035 1) (end -0.235 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9ce103c-b0c5-41cb-80fb-b0b1694f34cd)) + (fp_line (start -0.935 1) (end -0.935 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25ba891a-8f72-4c2b-ab83-17213b91f4a5)) + (fp_line (start -0.835 1) (end -0.835 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 887bf607-b3a2-40cb-b7f6-9d5c5d2a3378)) + (fp_line (start -0.735 1) (end -0.735 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 180b9506-0792-4adb-8e2f-45b7d44af71a)) + (fp_line (start -0.635 1) (end -0.635 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 891607ca-3260-439b-a3f9-2d8f3f8cfb7f)) + (fp_line (start -0.535 1) (end -0.535 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0f60aae6-0667-4848-8205-0e3c442d7a7d)) + (fp_line (start -0.435 1) (end -0.435 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ef226752-77dd-4126-b355-0979e08d448f)) + (fp_line (start -0.335 1) (end -0.335 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd56fa77-14cc-437a-ac8d-37d5adbb3a10)) + (fp_line (start -0.235 -1) (end -1.035 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86e475b4-a6fa-4b5c-9450-98b44d755622)) + (fp_line (start -0.235 1) (end -0.235 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2417c2c4-ae2a-4587-8366-1537fd18c787)) + (fp_line (start 0.235 -1) (end 0.235 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0415d35-5d65-4567-ad5c-0c349924bce7)) + (fp_line (start 0.235 0.333333) (end 1.035 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 690d7b41-c9c6-4cc7-bbda-10dbf9f8ede9)) + (fp_line (start 0.235 1) (end 1.035 1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0f5fdd6-b755-4a65-8a46-46bdcd1876bd)) + (fp_line (start 0.335 1) (end 0.335 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8095f766-1937-45c4-973f-81124fcf5250)) + (fp_line (start 0.435 1) (end 0.435 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29cf56ab-4a39-437e-8904-474d42b47e3f)) + (fp_line (start 0.535 1) (end 0.535 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp add730f2-d311-4e71-ae42-7080c64acf46)) + (fp_line (start 0.635 1) (end 0.635 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70edaa1d-b35e-4876-95c4-fa35fff927de)) + (fp_line (start 0.735 1) (end 0.735 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 66c30c85-c0d0-4353-81c2-b4d9d864c938)) + (fp_line (start 0.835 1) (end 0.835 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 90978366-a1a4-4bcb-ada2-4d5bfa04683f)) + (fp_line (start 0.935 1) (end 0.935 0.333333) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 22aa9dcc-f347-4b05-a53d-1d050a18ffa2)) + (fp_line (start 1.035 -1) (end 0.235 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 680edfe6-e4c7-4fcd-884f-03576029f427)) + (fp_line (start 1.035 1) (end 1.035 -1) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 636b4312-aa1c-44fa-be07-bbd01757fd6a)) + (fp_line (start 2.07 -2.7) (end 2.07 2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d39c056-9d2b-4288-8106-657ae67c102c)) + (fp_line (start 2.07 2.7) (end -1.07 2.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1f56d39f-c39a-47de-8057-639ae8f992e3)) + (pad "1" smd roundrect (at -0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 44ab168a-fe2c-4965-969b-deda3112fd54)) + (pad "2" smd roundrect (at 0.635 3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4c2deca5-93c0-4801-9ad7-6add9a7d955a)) + (pad "3" smd roundrect (at 0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 139 "/FW0") (pintype "passive") (tstamp 5321c768-6a9c-4b5e-8eb1-ea618eff8a1a)) + (pad "4" smd roundrect (at -0.635 -3.81 90) (size 1.524 0.76) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 138 "/FW1") (pintype "passive") (tstamp 338c1d32-5dd0-4b53-9be7-8826017b59ca)) + (model "${KICAD6_3DMODEL_DIR}/Button_Switch_SMD.3dshapes/SW_DIP_SPSTx02_Slide_KingTek_DSHP02TS_W7.62mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 0ef9e79a-9ec2-4a36-a948-cae244adbc38) + (at 75.35 128.27 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005e8640a9") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C4" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 95305e32-b019-436c-9127-a4bc11705b10) + ) + (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ff152426-6c42-40d3-94c4-58185bcfa9c4) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a45d8f16-5163-45fb-ab4d-12e3a18ea243) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp bdf87b53-7ce7-49b6-99b1-b9845606c996)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ccd157c7-e640-42b6-9a9a-7d11042ea510)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f15ee096-32ab-48bf-9160-a1ddea359812)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7073366-484c-4e93-a359-44898e9ce527)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b4982aae-628e-4d33-acb2-02b64105d1f5)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c93ac587-e357-4eac-92ee-5ceafd9cdcb1)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp eb0bcc03-0d20-4d23-8499-72daa002b919)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6920bf80-bf13-4b78-9782-dceadf003d4d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 902afef8-6533-45b7-817f-30ca3e02f19d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 79004c35-2fe5-4af3-a85f-3e711adde8f6)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 22 "+12V") (pintype "passive") (tstamp d1137e79-6a78-4948-89b4-48d454bd5609)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 48a76eab-012e-4002-8edb-240439f98962)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 0f823730-a118-4b6d-90e3-3bf3e6205c27) + (at 143.002 82.423 -90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005f45d10c") + (attr smd) + (fp_text reference "FID1" (at 0 0.05 -90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp ec56d223-a3ec-4b0b-b241-581394763067) + ) + (fp_text value "Fiducial" (at 0 1.651 -90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp b27471e0-3daf-431b-849a-52809cd139cb) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 96c4ed3a-63e5-4893-9084-b2cf5f34b296)) + (pad "~" smd circle (at 0 0 270) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 6e59fff5-17a5-4e87-a2be-c17d3f7f7f12)) + ) + + (footprint "stdpads:R_0805" (layer "F.Cu") + (tstamp 112dead9-5930-43b0-ad8f-bb0aab184e88) + (at 127.85 119.55 -90) + (tags "resistor") + (property "LCSC Part" "C17477") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/fbae1f80-08fe-4aeb-aa42-3ad080c13081") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "R1" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 941ff2ad-f0cb-4ae0-8e67-a73af2b802c3) + ) + (fp_text value "0" (at 0 0.35 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3521bbbe-58e8-4ea1-924e-adbc1e72e7f0) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8b163080-0e31-4047-973d-29250885a538) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 89b08fec-5375-48f8-aab4-96face3a03a8)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 1ab59b1f-6150-435a-8303-dd1512270a86)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9e4e299-6ace-4c6e-89b9-a17efd1dcc11)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98ed4147-7bef-4b8c-8589-babb63350a31)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82a0565b-a915-42ee-a3e9-4c9ee109c426)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4d93c37-0977-4bd2-a836-6abd6a480fbe)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 116038c6-1dad-4b58-9a6f-4593e9cd80f2)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3c635d54-9224-4e6b-b9f0-a543de95dfb7)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e2685d5a-b0ce-4207-bfc4-b10aefc8dad3)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16b9859c-0ee7-48b5-bfa9-9978e81cecf9)) + (pad "1" smd roundrect (at -0.95 0 270) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 1321a1a6-8be9-4db3-8163-d1aa1d9ffe86)) + (pad "2" smd roundrect (at 0.95 0 270) (size 0.85 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pintype "passive") (tstamp 2e284e86-aabc-4249-ac20-0a3aee240b4a)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 151c1c5a-2838-4589-ade6-f94bcba4e743) + (at 126.45 107.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb73") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C30" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 062cbe93-d301-4a14-8f7b-f1dc952d6df4) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1023a1bf-4d9a-4f42-a7d1-f781bc28f50c) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0fbbea12-227e-4041-bf71-6acaa0c90b35) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2344302e-6eb5-4535-8808-027e4c89b1a7)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 81401db3-af18-4a0f-8bf3-2590973efc7a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6d2798de-4b59-4d7f-9f39-bdd4cdc1306f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c17dd203-3752-4bca-ba59-b1d766b3049e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f01ab520-c414-4f64-ba8c-acd8fa2245ed)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 310337ec-670b-45fb-b38b-5631d11864ca)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8e7b4b1-2203-4d2a-a07e-d26b18c62883)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp db7d7108-8a65-418c-ab1c-28c3725aaa62)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb9b8a73-b6f4-4173-86a6-01f94b191e0e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b9a3090-bd85-4d2b-9556-fc59a4577f8e)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 35419445-ef4f-485d-b7a8-8c3104ed5677)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 53e16bc1-1592-4014-876a-55da6ee32492)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 1a66d109-d3f3-4001-a6b5-0608977cb32e) + (at 110.8 104.05 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000612ba8af") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C33" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4c11b829-9343-411b-8798-72b0d7d86005) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1e3a2f34-6c63-44f5-89bd-9cd07425be10) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 957d2f41-491e-4931-b539-b34cd859d403) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 16e9efbd-01db-4971-8455-8ca4bc3879e1)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 581ac52a-7cf1-476a-8ae8-9ee520e7a284)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bbc7fd0-5cf4-4279-9746-56dd2d633c82)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6722dc15-a638-426d-9514-5b3bb92a035b)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1c9e4a71-9a5f-4a95-8b66-4a6f4396d9c2)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0320eb54-7988-46d4-a323-8f4a1c3f9835)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e1be4f23-2e3f-4ae5-aef8-aeada26f9506)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e35566c-7d68-47f1-87e5-f4fe209e025a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e13be7e-7a65-4778-b699-e2d4ff6a3918)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0086f84c-1abf-4c72-982c-880ec9aeedb1)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 493a12bb-2ce3-4234-8633-2ad4f3dc406b)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp dd734bfe-d202-4e6d-844f-7b5ab1fe6545)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 21d8b126-53ac-4bbb-853f-dd8b61775bf1) + (at 143.002 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005f45d10f") + (attr smd) + (fp_text reference "FID4" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp f45e5588-e580-4337-a645-6c03cf9686b5) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 1417ec2d-1226-420c-98ba-b171787f554a) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 3dec3c4e-df0e-45ec-8f98-60d3682499cb)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 4210ce32-b5a6-417c-8648-77045845f24a)) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 231880a2-1284-48bf-b2d5-6725520f9038) + (at 99.425 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f3a6cfe") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U9" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 6d41b1a3-b885-47d0-a8fb-b8f8b718a452) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 46a87344-7e97-4549-a85a-6bd5950e28fa) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 7002404e-3735-4f4d-bba2-c98299c6cefd) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 48d40025-c942-44a1-ab86-a4c2e23927ae)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 8f0f1011-5318-4375-8c95-779374e2ec90)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff159bf3-eb96-462d-972b-ce6b55dd2093)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7ea6857-40ec-4fb8-bad7-78816e0b5aed)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c0660deb-52f9-49b3-833f-59746cd4774e)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de53f920-425f-4a35-86d2-ade91f4e13c9)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0a3a5ee1-f12e-4c7e-940a-87860e2707fb)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 967eca25-1e66-4537-868e-4d8232a9f8a4)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d13d2ca6-102b-4397-9ce8-cb98e02c4d6f)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a419c913-cc24-4dac-9163-fd70b94f700a)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e7a4327-5f18-4d58-acf1-8f25553583f4)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp d980737d-a466-4444-9e28-58d135db5291)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/A8") (pinfunction "A0") (pintype "bidirectional") (tstamp 8c16e606-384e-41bf-8f66-515d2a33e7b3)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/A9") (pinfunction "A1") (pintype "bidirectional") (tstamp 5929423f-d2fa-4ec3-abd4-5a737d739d8c)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/A10") (pinfunction "A2") (pintype "bidirectional") (tstamp 5a706f83-19bd-4d2f-bb52-ced6006a6449)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/A11") (pinfunction "A3") (pintype "bidirectional") (tstamp d424a014-75ef-4a87-882e-224cd9840aca)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/A12") (pinfunction "A4") (pintype "bidirectional") (tstamp daf3a0c8-9abd-4659-afa5-876be57ac27f)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/A13") (pinfunction "A5") (pintype "bidirectional") (tstamp 684be037-4b4b-4b66-991d-0227b399493c)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/A14") (pinfunction "A6") (pintype "bidirectional") (tstamp b8785b5a-af34-46c1-a7ec-35cea2203a10)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/A15") (pinfunction "A7") (pintype "bidirectional") (tstamp c1b6b9e0-9808-40d4-8f08-49418d4c3e21)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 8c9997d2-6cd1-4dad-8e31-e9384fbe7fee)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA15") (pinfunction "B7") (pintype "bidirectional") (tstamp 9395e787-1881-4dd0-b6f0-9e8186ed0a52)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RA14") (pinfunction "B6") (pintype "bidirectional") (tstamp 16062a1a-d9b6-45bf-a173-78b3477a7c6e)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RA13") (pinfunction "B5") (pintype "bidirectional") (tstamp dd903ea8-5745-4ea8-98a9-8ff9804aa706)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RA12") (pinfunction "B4") (pintype "bidirectional") (tstamp b12baf3c-c49f-48a8-b0e6-29e9f2287e51)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RA11") (pinfunction "B3") (pintype "bidirectional") (tstamp 53988e2f-aa09-48dd-9f89-53f530f820ee)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RA10") (pinfunction "B2") (pintype "bidirectional") (tstamp cfbf976c-123a-4d85-a2d9-6696134c7bc0)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/RA9") (pinfunction "B1") (pintype "bidirectional") (tstamp da299fa3-e08c-4fa8-a241-25d23ef2150f)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/RA8") (pinfunction "B0") (pintype "bidirectional") (tstamp d12a8cdb-d115-49ea-921f-9dea25bd9bd4)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 27d17cc0-086e-4948-882b-1e7473639039)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 420238d4-584b-491e-960c-5583a9d99679)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 264ba45a-2203-4936-b0c5-b62e27681161) + (at 119.976 128.27 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005e8640ba") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6a716727-bb85-4fce-b468-1480bac8dc6b) + ) + (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 71f3e373-ac36-4253-b7f4-cc01ff7cb0fa) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e4073251-d837-4a24-85c5-d1422f732222) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 0a86e2bf-9cda-4b36-b766-8e0f25431409)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 00a2a9e9-df98-4ee7-8a26-d5ee385960ae)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d1822756-754c-41e5-b05f-5719898c4cc0)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ad71701-da94-4b77-8cc0-f521d75c4db0)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1634fc9b-6363-4793-a6a1-5c0449cbe295)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7534f9f8-abc0-43f0-bd8d-480942773a7e)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5372be39-dbb5-4e1d-b9c2-5350dd7a5c01)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 59d3cfd4-4484-48ab-83b6-0c1db8f4f163)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0257f799-052b-4a66-bf52-977da17c730a)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 277f9908-a89d-4a12-8f57-beb5f613506f)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4f5ae2f0-0dac-4ec0-825d-366036df7a12)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 23 "-12V") (pintype "passive") (tstamp 4ea6b4f2-1a57-4560-a3ce-4125fa9e1f58)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 2c01d8f1-93ad-46bb-a908-0e7616419874) + (at 108.85 95.2 -90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/6b220e06-24ae-4c76-ba7a-7970bc4633d4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R2" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b029e8fb-f5ad-4dad-88f3-15f819698d92) + ) + (fp_text value "47" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 8a30da57-ddb0-4088-96f6-64a068e679f4) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp df53973d-2831-4a78-a30d-81d36806a168) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cd544484-4051-4c7b-b922-331afdc74772)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e635d8bc-73bb-4675-9050-8a9db07fa2e1)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp df0e14dc-1801-4edc-af81-d0a6b456cba0)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e8c5a001-bba5-49f0-a863-82bb889341d3)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a109febd-c65c-4b92-a4ee-00e2b76075a4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1aa5a83b-8601-45cf-906a-ae4a45966404)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5b4650d-81f7-4473-9806-67d15a5c2025)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0285f7eb-88b2-4631-95e2-a9acc95de87a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 24c68a1d-ca4e-491f-827e-83c7be14d606)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 08a52f46-2f45-4975-9b44-00461fe1d2aa)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RCLK") (pintype "passive") (tstamp fff47708-f503-4afc-8907-7fa13132679b)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(U16-Y)") (pintype "passive") (tstamp 1c5460ab-cee9-4476-b1a2-022c96b388d4)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 2ca98d54-acb2-48c5-b482-0785a24448bf) + (at 121.2 121.6 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/099d6d7f-a8e0-48f3-913b-78018c1dd773") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C42" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ac795a1c-1e35-4d9c-a1da-955335463827) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 929b50b6-4d6a-4a5f-9e08-1a705d5f2c6b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1c627dab-299b-4d79-86c9-819df1cfaded) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 39baa228-6b5c-4f4f-b2f1-9593bad7b189)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b1280a9-7c04-42f1-85ba-d9028ef99058)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1ce64e51-0964-4660-8830-172bae2bfaaa)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c37c964-79e6-42c5-887b-480efc2d4dac)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 650ade0c-0b37-4d00-ba9d-95e71faa7736)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 114d5c01-8199-4034-8079-804f6604ce23)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e1c92b1-1dad-4967-8b51-93b43bdda7dd)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 574c9556-bd1c-4619-9b8e-7a46719f32d7)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4bb9c70-2181-4f2d-8acf-4d6a56e5ef83)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 976ec7b7-ef63-43f3-9a68-224a2de72e2a)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp ce5d3b89-5be4-4334-8a7b-456a8f3291dd)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp b7e336e4-47fb-485c-b7fe-e343fce94233)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 2ea367ad-cdf8-45f3-808d-735e55a1876d) + (at 119 121.35 180) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C12519") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/34eb1b62-d430-4cf8-a467-c965eda7492d") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U11" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp baa8cca5-6f59-443a-8bde-525cc8c9715a) + ) + (fp_text value "74LVC1G125GW" (at 0 -0.35) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp ccb6cb0e-c55f-44ae-9fc9-ee37a58034d4) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp eba6ba8b-eb9c-4624-a631-6e9f0862a622)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 285e5a37-ea43-4a73-a3bc-be22e9e7f5fd)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bde4d2c-1425-4555-bda4-9fa8c0c749cb)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5040caea-1957-40be-adbb-5540ddc96ac7)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75fd6452-b9bd-45df-967f-356c0c3c2dff)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95f0b449-7f4c-4935-9242-1a9557bc2299)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6705f59d-e929-4083-9fdc-55f62581835d)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f4cc620-c53c-45b0-8be7-07f956b2a94e)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 244dcc6f-e4d6-4345-ae99-5ab7399c8323)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d0a21978-3d35-41d5-a4e4-7993cfff5abb)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecb352af-bc9c-4091-928e-d15caee5c1a4)) + (pad "1" smd roundrect (at -0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 156 "/IRQ~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 9a23f38f-8bba-47ed-a052-eb4da631bb1e)) + (pad "2" smd roundrect (at 0 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp ac1cbe4b-e175-4487-9451-567b00a6515f)) + (pad "3" smd roundrect (at 0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 30d99b75-7b6b-4942-86a1-c1783acd3e47)) + (pad "4" smd roundrect (at 0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 155 "Net-(U11-Y)") (pinfunction "Y") (pintype "output") (tstamp 24a79b14-3013-46d0-b435-37720cd8d651)) + (pad "5" smd roundrect (at -0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 757c4dec-77d9-43a9-8f0a-8a4683dfec38)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp 2f3ed830-a191-45d9-8182-f2b715843f4a) + (at 48.133 93.599 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005d321d2b") + (attr smd) + (fp_text reference "FID2" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp b13f91a7-f4d0-4209-9859-88e0acf9df85) + ) + (fp_text value "Fiducial" (at 0 1.651 90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp eb36971a-7c00-4b8a-9eca-cd148d16326e) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp ccce2011-1332-4294-a34a-2d701321aa57)) + (pad "~" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 267a4fab-472f-4cef-b887-923fb8db0a6e)) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 31979121-b8c4-4213-b24e-ed4410ffedb1) + (at 132.75 124.2 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d0f9") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C10" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 58864591-4e6b-4355-be89-1d174e4c41f7) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c3008987-3653-499d-8d5c-51391e633d6d) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bc3a258e-f3f0-49e4-add0-852238fb37e3) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp dbeeaf16-1296-4fc0-83cc-79efc3addd66)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ea9a7076-95cb-4df0-b6ce-c4d93d7b041c)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 46ab3ed2-5d96-4226-b233-ab56d47e06fb)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e638187b-97c4-4d9d-b188-367134e47779)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c434749f-3a11-427f-9b0a-321b122208f0)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f49dd781-f8aa-48a4-9722-c079210fec97)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2e29b951-89de-4f0b-b1c8-eb92667cdaf9)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a9bc5ebc-2186-47b8-a380-998a02ede4ba)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp afbeb4b0-15d8-47ae-a961-b0d926ffa555)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 96660877-5238-47ab-9daf-a0f79f5bd810)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp da7166aa-c638-4d21-ae41-1dc9ef2af3c8)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp affd6046-4553-4bfa-9c10-c9ed3776733c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 3377c82b-4a0d-4fec-ac4e-fac574f8943c) + (at 63.4365 124.3585 90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/0543afe9-a146-46d0-a0b5-b6d98e2c947e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R4" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 84aa8d0a-4ea7-47b9-97b1-1af6e6da0d17) + ) + (fp_text value "10k" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 3214ad2e-9e3f-45ee-8896-a8562bbb2e84) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8f9dc3ff-8c07-4be7-9157-9107d875deee) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 652382c8-3823-4c55-9061-428d6df2be75)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 37b4cb1d-5acd-49bb-bdb8-da803ab0d3b6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3de318ba-4599-48a7-bf3c-de2142ec2fcc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6e2f5a96-8f7b-42e0-a989-aae023f425d8)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f2f48514-22b2-4933-885e-7a85fc76d54c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d3930bb9-1b9a-41b1-bc1c-1ff288bed5af)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 47bdbe55-4689-4810-9f1b-cde7982304e3)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d37e1c35-6ef9-4712-9a5f-cf674f912c44)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 213606c0-0734-470d-815c-d11ac97315bf)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0323800f-d434-4a35-8ba1-80122fc6a2ec)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "/FD2") (pintype "passive") (tstamp 45c3d24b-f51d-4822-838e-d8155e215d9c)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp c45185c1-f91d-45b6-a6f4-fb5bf8756065)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 34380518-34bb-43ee-bd4d-2e9775434ce5) + (at 90.225 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f3ca754") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U4" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 54f82fd6-d63b-4736-bc8e-3a17c1a53622) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp e12ca57c-8839-424c-997f-1fe0f3e7d87e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 07fe4f52-9f5d-4c31-bc4b-6f8da8c4c261) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 3d1e6afa-b0ac-45f6-8990-a5dd50fb1bf4)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp fdffc4db-8d7e-4be6-8b10-7856fbf78034)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdc374f0-4ebb-4d40-a951-64a3ce1b2dc5)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5060a85d-0898-4ac0-98fe-ef18cbf2039d)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c0b020e-eca1-4262-aa44-6c33aa5463d0)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 42ed712c-6086-4370-8b6a-478ee3edf91a)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4729f6cb-95ca-42df-a148-c4f7bf223871)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f104128-d4df-4d4d-afbf-fafa3fe7a03a)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c222fe3d-67dd-4af0-afef-e271b7977748)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 98f11c37-f6e9-4133-8a50-cd14ad62d1b9)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp f8c19205-a96d-4dd5-929a-8d0d92fe5ec2)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 27836fa6-1e67-4118-a4b3-6b8289fce697)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/A0") (pinfunction "A0") (pintype "bidirectional") (tstamp 709a4b99-60d1-44d9-aedf-4f876d42dc81)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 12 "/A1") (pinfunction "A1") (pintype "bidirectional") (tstamp 2995c6f8-c3b1-4d9b-8b1e-21d4c3f5a8f5)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/A2") (pinfunction "A2") (pintype "bidirectional") (tstamp e5be64f3-01b0-4fed-9e95-3d64054323ba)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/A3") (pinfunction "A3") (pintype "bidirectional") (tstamp abadc26d-94f3-484f-9efd-e8d94fed35b6)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A4") (pinfunction "A4") (pintype "bidirectional") (tstamp 20df6529-ef11-46a9-8427-13afdd335a5f)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/A5") (pinfunction "A5") (pintype "bidirectional") (tstamp d53fcf99-bcc4-430c-a2f3-5dc3c1f08755)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/A6") (pinfunction "A6") (pintype "bidirectional") (tstamp 0db17e8d-a48f-4209-afec-2835ee68ada9)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/A7") (pinfunction "A7") (pintype "bidirectional") (tstamp 0b2b723e-b2db-4d39-ae55-1900f3a645d5)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 5e12c161-8d3f-48e1-a6a1-00907de5c5c0)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/RA7") (pinfunction "B7") (pintype "bidirectional") (tstamp 66610467-0f85-4dc6-a06d-a07d0731e2a3)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/RA6") (pinfunction "B6") (pintype "bidirectional") (tstamp 9827f637-7df2-4869-a04f-df72bcae1cd2)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/RA5") (pinfunction "B5") (pintype "bidirectional") (tstamp 93c0e9d6-ec93-49ee-8436-0ef8967ba959)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/RA4") (pinfunction "B4") (pintype "bidirectional") (tstamp 6c867704-86b1-459a-ab25-b2d7dd3e8f8a)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/RA3") (pinfunction "B3") (pintype "bidirectional") (tstamp cef3875f-c2c2-49fb-a2b8-4ca399df5bf8)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/RA2") (pinfunction "B2") (pintype "bidirectional") (tstamp 9fbd560d-f9c5-450c-993f-3857c37318d6)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/RA1") (pinfunction "B1") (pintype "bidirectional") (tstamp de76ef40-08ea-46e2-9dcd-a94fd4821dd2)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/RA0") (pinfunction "B0") (pintype "bidirectional") (tstamp df605bb1-04da-4777-951b-d36954ce21d3)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 180d3584-8cc1-4520-aa41-141df52cef01)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 2c70f31d-bd8c-4726-ad2b-328ffe1ee7c5)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 36fc393e-9e88-4647-9ebd-3d80a80f7d0a) + (at 84.35 98 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C24" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2750f48e-1d38-4e16-b1ec-d81029b16139) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 86c02172-066a-4c79-abdd-4d4468625cb6) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5def9d58-a37b-4232-8aad-34c4e9ccb7b8) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9677c398-4a1b-4ad1-b982-b960405d453d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c2841b8-5da6-405b-96ba-02d9954c64ee)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0d5fb022-f9e0-4b59-ac51-917345fe4057)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e5f8212-258f-4730-b2f1-0aea0f1bd6bf)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd76ef61-b555-4f81-8408-38c9252ac63c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 50a3b07f-00b5-48fe-b3bb-e62ca95c519f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 914408e2-d77d-451e-910e-20879ebc01c8)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7ba96e28-6f40-429a-add5-3ec12a26dd87)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 81a3129e-b7cc-4047-be8b-b08a9c4390e1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9b149f26-6462-4d65-9d79-fa42b2415d1e)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 68906b12-b2be-4ce5-af87-f64520c9a1f0)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c788c18d-fd77-4c7e-a88b-d76a6e132d75)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 379af485-5a74-4bdc-a6c6-d3211d905d1d) + (at 105.95 98.75 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb50") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C27" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp aa9e9265-d541-411e-b33f-a7b44789b7f7) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 809db178-11bf-49d4-b140-470cf27953a9) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b16a939a-c623-4212-8984-7f6e556e4005) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp a7930788-ea7d-4c15-95ad-5ce840bd5639)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b77962e5-8c56-410f-87b3-84c83e0fb2ce)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32cefe13-9fda-441a-857d-edb2915a0514)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8ea4c7d7-471d-4620-94ab-b748c1f0ef4b)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a337370b-ae36-482a-9956-cf08567e1b4a)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4aeaf5f9-3eab-455b-aca0-ed1ca09f9def)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d82d3f6e-67fc-49e4-aa71-75bf57e53af1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4f7da554-0cb3-4552-b273-1a4578487dc0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a2717887-a984-449f-8679-2423b12cb118)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2af498ef-7b8d-473a-b773-93dacdaedea1)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 7bd9922b-26e0-4395-8d4a-e49be16d3a0a)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp eee4d79e-7f09-40ca-9a4e-f6d8440a349f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-23-5" (layer "F.Cu") + (tstamp 3cebc909-415c-4030-bf9a-a476d81c320b) + (at 136.25 119.45 90) + (tags "SOT-23-5") + (property "LCSC Part" "C151375") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.8V fixed positive output, SOT-23-5") + (property "ki_keywords" "linear regulator ldo fixed positive") + (path "/4878f9d5-72d8-4fbc-937f-0c70c5c8c93a") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U10" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 2eb78b7d-5a36-4991-aa97-93547a6008bf) + ) + (fp_text value "AP2127K-1.8TRG1" (at 0 -0.4 270) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 74b13676-ab9c-4359-a2f8-f4ed29132bee) + ) + (fp_line (start -1.58 -0.76) (end -1.58 1.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1efe8647-cdd3-48ff-801a-108d4a221f38)) + (fp_line (start 1.58 -0.76) (end 1.58 0.7) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fbec9e6f-bbfd-4da3-a5f1-533a12fca26e)) + (fp_line (start -1.8 -2) (end 1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fd8df5f9-8fcc-4494-ad91-7ed944ac9edc)) + (fp_line (start -1.8 2) (end -1.8 -2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24da94f5-7114-4852-9e66-4cdbdbf47032)) + (fp_line (start 1.8 -2) (end 1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9c5a8739-9d5e-44e6-b75e-9a4571c185de)) + (fp_line (start 1.8 2) (end -1.8 2) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9a1ee78b-9017-43d3-9c4c-ea2585391a5e)) + (fp_line (start -1.52 -0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0204f1ba-058b-4277-a14e-16ec4899dd21)) + (fp_line (start -1.52 0.15) (end -1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a9328114-a362-461b-b04a-5f5c9d28beb3)) + (fp_line (start -0.95 0.7) (end -1.52 0.15) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fcfc1eda-25ac-4a65-8462-1ab1efc3ea6a)) + (fp_line (start -0.95 0.7) (end 1.5 0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bbc9f43-e391-462b-9fcf-c0b2fb2ada7d)) + (fp_line (start 1.52 0.7) (end 1.52 -0.7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67ebbfdb-9e92-42cd-8389-a980f8dacb8c)) + (pad "1" smd roundrect (at -0.95 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VIN") (pintype "power_in") (tstamp 980095b6-e155-4007-9888-1792ddac7e24)) + (pad "2" smd roundrect (at 0 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp d0cf2ef3-c3a8-4600-a48b-24551abb1f02)) + (pad "3" smd roundrect (at 0.95 1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 5370074c-1175-4093-854e-0e94dcd48e62)) + (pad "4" smd roundrect (at 0.95 -1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 152 "unconnected-(U10-NC-Pad4)") (pinfunction "NC") (pintype "no_connect") (tstamp 3031b0ed-4322-4680-9014-ea44662a1c11)) + (pad "5" smd roundrect (at -0.95 -1.05 180) (size 1.35 0.65) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pinfunction "VOUT") (pintype "power_out") (tstamp 55456f72-8501-45dd-86b1-3d97812b4836)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:TQFP-100_14x14mm_P0.5mm" (layer "F.Cu") + (tstamp 3db9f170-b657-47bf-a69d-4978e46da3ed) + (at 94.05 101.4 -90) + (descr "TQFP, 100 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "TQFP QFP") + (property "LCSC Part" "C10041") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Altera MAX2 CPLD with 240 LE") + (property "ki_keywords" "MAX2 TQFP") + (path "/00000000-0000-0000-0000-0000619f5fd5") + (solder_mask_margin 0.024) + (solder_paste_margin -0.035) + (attr smd) + (fp_text reference "U1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp cfe87978-f9d6-41c8-9403-5028e0a8871f) + ) + (fp_text value "EPM240T100C5N" (at 1.05 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 25bbec3e-9bea-4ee9-ad83-5e7033894ad8) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 59628d58-6d41-43b8-9325-b3429c9f4a8b) + ) + (fp_line (start -7.11 -7.11) (end -6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d224088-a9d1-40c7-9ad7-e3c5c7f98417)) + (fp_line (start -7.11 -6.41) (end -7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2641c6fa-2cc1-4256-a236-66c37b984352)) + (fp_line (start -7.11 6.41) (end -7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 86b902d9-f168-4fec-a5e3-04594f8014c7)) + (fp_line (start -7.11 7.11) (end -6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f630b1cc-89ac-4c4d-af3a-9bd271934a7e)) + (fp_line (start -6.41 7.11) (end -6.41 8.4) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d7318573-49ea-4e29-ab2e-acaea7eff4b7)) + (fp_line (start 7.11 -7.11) (end 6.41 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ec12cc52-5d30-4a06-8c59-2ba51577fe52)) + (fp_line (start 7.11 -6.41) (end 7.11 -7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bfa293ac-86ea-4135-b775-7156f5af4fa4)) + (fp_line (start 7.11 6.41) (end 7.11 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ac012dea-7820-4a5a-a188-c5a841326aa3)) + (fp_line (start 7.11 7.11) (end 6.41 7.11) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e3392f24-a92d-4ca2-a09b-9b831d6470a2)) + (fp_line (start -8.65 -6.4) (end -7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36dacc65-cd20-45d8-8b5e-3254828efe4f)) + (fp_line (start -8.65 0) (end -8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 870a40df-2db4-417a-88cc-b2f5cb6f6127)) + (fp_line (start -8.65 0) (end -8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c3e45ffc-03ed-4585-8b1c-d68ec5817bba)) + (fp_line (start -8.65 6.4) (end -7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 41f863fb-15dc-4233-b619-1bcd45cc61c5)) + (fp_line (start -7.25 -7.25) (end -6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1898914a-1988-4212-9b6b-5425d15d8cc1)) + (fp_line (start -7.25 -6.4) (end -7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 649e7806-9f73-44b9-935f-3dad81bf1ed7)) + (fp_line (start -7.25 6.4) (end -7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 693328b6-f44e-4c27-9f0c-3cb9dd58277e)) + (fp_line (start -7.25 7.25) (end -6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6119472-0448-4bbc-b9c8-5bf1727e2803)) + (fp_line (start -6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp eb480dca-d209-4ebf-8399-5e90ee1db700)) + (fp_line (start -6.4 -7.25) (end -6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 68f4c458-550a-4552-8eb2-d27e00d17871)) + (fp_line (start -6.4 7.25) (end -6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 19d18479-8070-41fe-9a3c-77b2d34ef7a9)) + (fp_line (start -6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e25d60ae-3c81-4085-874e-9fb33630403e)) + (fp_line (start 6.4 -8.65) (end 0 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ac9a72e-2719-4d7a-9225-cb34dfb681d6)) + (fp_line (start 6.4 -7.25) (end 6.4 -8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ef4b6a8a-a963-45ab-a045-9546dd8211b7)) + (fp_line (start 6.4 7.25) (end 6.4 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7549e680-b47d-42e6-b0bb-23d4b7d91838)) + (fp_line (start 6.4 8.65) (end 0 8.65) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9bafc495-6708-4f07-be0f-91f021025214)) + (fp_line (start 7.25 -7.25) (end 6.4 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 007b8d22-80dd-4398-86d2-e4892a35152c)) + (fp_line (start 7.25 -6.4) (end 7.25 -7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 744df383-26bb-4927-8b88-5d3bdb10d0b7)) + (fp_line (start 7.25 6.4) (end 7.25 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6fff5b1b-4955-48f8-a3e8-b86dc6569133)) + (fp_line (start 7.25 7.25) (end 6.4 7.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6538f4b-ee41-4d68-a442-1a8955620c7d)) + (fp_line (start 8.65 -6.4) (end 7.25 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0dd2fa7b-cfd1-4fb3-a4fa-33eb5e21589b)) + (fp_line (start 8.65 0) (end 8.65 -6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 70fb39ff-960a-49c6-986e-c8d5258c7293)) + (fp_line (start 8.65 0) (end 8.65 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4a27cf97-d78e-4129-ae05-cfa95b89042f)) + (fp_line (start 8.65 6.4) (end 7.25 6.4) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfe9cf5b-4b07-47e7-923a-6dbaea4eaf57)) + (fp_line (start -7 -7) (end 7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 70dcbd65-582e-48d8-9e5d-5810f2d3e383)) + (fp_line (start -7 6) (end -7 -7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c0bf5d0-6c90-4dc2-bc95-49630c1f4633)) + (fp_line (start -6 7) (end -7 6) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cde1f047-8154-407b-b37a-442b868dcaa4)) + (fp_line (start 7 -7) (end 7 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 79ebd55f-6927-40ed-8f4c-b4f91750cf5c)) + (fp_line (start 7 7) (end -6 7) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 14d2cd03-911a-411d-9656-d91b28603bbd)) + (pad "1" smd roundrect (at -6 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 95ef460e-7615-4a04-87a9-60fbdee354ec)) + (pad "2" smd roundrect (at -5.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 55 "/RA5") (pinfunction "IO1_2") (pintype "bidirectional") (tstamp faead076-bd8f-4931-bbc6-ce06fe643b5e)) + (pad "3" smd roundrect (at -5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 56 "/RA6") (pinfunction "IO1_3") (pintype "bidirectional") (tstamp 6ffba5e3-0b98-4568-9049-954390b7fb16)) + (pad "4" smd roundrect (at -4.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 53 "/RA3") (pinfunction "IO1_4") (pintype "bidirectional") (tstamp 5f2f3662-aeaa-4445-81a0-60ce30ef67a4)) + (pad "5" smd roundrect (at -4 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "/F~{CS}") (pinfunction "IO1_5") (pintype "bidirectional") (tstamp c07f9900-0232-45d2-a0f8-50e52fa32ddc)) + (pad "6" smd roundrect (at -3.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 57 "/RA7") (pinfunction "IO1_6") (pintype "bidirectional") (tstamp e397e71d-6a4d-453a-a29a-9ac96ed1d274)) + (pad "7" smd roundrect (at -3 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 58 "/RA8") (pinfunction "IO1_7") (pintype "bidirectional") (tstamp b5e5bf9e-de08-42c4-a36e-7af8eccee46b)) + (pad "8" smd roundrect (at -2.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 59 "/RA9") (pinfunction "IO1_8") (pintype "bidirectional") (tstamp 20f06d34-3622-4c6b-bb76-e40c1d5a5a83)) + (pad "9" smd roundrect (at -2 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp d4f14d73-f3ab-4117-aa0d-a7f74230e20e)) + (pad "10" smd roundrect (at -1.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 69f2546a-d3b1-415d-9391-fdcc701cbe47)) + (pad "11" smd roundrect (at -1 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDINT") (pintype "power_in") (tstamp 00045ad9-6d7a-407c-8ec7-f7f45bbd00d9)) + (pad "12" smd roundrect (at -0.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "/FCK") (pinfunction "IO1_12/GCLK0") (pintype "bidirectional") (tstamp 50f2e41f-d0ce-4cb7-83a5-8c1df1ae00b8)) + (pad "13" smd roundrect (at 0 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pinfunction "VCCINT") (pintype "power_in") (tstamp 1af9c91c-3710-4055-90ee-362d5655a1ff)) + (pad "14" smd roundrect (at 0.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 60 "/RA10") (pinfunction "IO1_14/GCLK1") (pintype "bidirectional") (tstamp 5a26ad0f-6eb9-4e3a-b664-e0c3545d097a)) + (pad "15" smd roundrect (at 1 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "/MOSI") (pinfunction "IO1_15") (pintype "bidirectional") (tstamp e99c4116-3c3c-45e0-9907-b9ccc2e13bc4)) + (pad "16" smd roundrect (at 1.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/MISO") (pinfunction "IO1_16") (pintype "bidirectional") (tstamp 4dd15bba-eda5-4473-99f1-2a9d12bdc5c7)) + (pad "17" smd roundrect (at 2 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/Ddir") (pinfunction "IO1_17") (pintype "bidirectional") (tstamp a65ae731-019e-4505-84fe-b5176c322d13)) + (pad "18" smd roundrect (at 2.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 140 "unconnected-(U1-IO1_18-Pad18)") (pinfunction "IO1_18") (pintype "bidirectional") (tstamp 7df92143-d654-4996-891a-7d4a007e8b38)) + (pad "19" smd roundrect (at 3 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 141 "unconnected-(U1-IO1_19-Pad19)") (pinfunction "IO1_19") (pintype "bidirectional") (tstamp 95246afb-6fc2-4b41-ac8e-9a2c89e55db7)) + (pad "20" smd roundrect (at 3.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 142 "unconnected-(U1-IO1_20-Pad20)") (pinfunction "IO1_20") (pintype "bidirectional") (tstamp bdcbe712-94f4-4a5f-ba8f-c67d0593e444)) + (pad "21" smd roundrect (at 4 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 143 "unconnected-(U1-IO1_21-Pad21)") (pinfunction "IO1_21") (pintype "bidirectional") (tstamp 009b14b5-d2c2-447b-b4df-3614f7eca62c)) + (pad "22" smd roundrect (at 4.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/TMS") (pinfunction "TMS") (pintype "input") (tstamp 1dbe0379-126e-4264-94f0-c1ea23b4f328)) + (pad "23" smd roundrect (at 5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/TDI") (pinfunction "TDI") (pintype "input") (tstamp a086e660-6d17-458b-a17e-6185fd157299)) + (pad "24" smd roundrect (at 5.5 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pinfunction "TCK") (pintype "input") (tstamp 9d2d8951-3703-4e5a-ab94-fec95c332746)) + (pad "25" smd roundrect (at 6 7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/TDO") (pinfunction "TDO") (pintype "output") (tstamp 2f6e6ed9-5817-4a0c-b583-718c5bb85473)) + (pad "26" smd roundrect (at 7.6625 6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 144 "unconnected-(U1-IO1_26-Pad26)") (pinfunction "IO1_26") (pintype "bidirectional") (tstamp 5c02568b-0b27-4a92-8ee7-6c4bf39a8bfb)) + (pad "27" smd roundrect (at 7.6625 5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 157 "unconnected-(U1-IO1_27-Pad27)") (pinfunction "IO1_27") (pintype "bidirectional") (tstamp 31b74879-9cf3-40c0-9772-ebd6a8d56aab)) + (pad "28" smd roundrect (at 7.6625 5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 156 "/IRQ~{OE}") (pinfunction "IO1_28") (pintype "bidirectional") (tstamp 865f9312-8eeb-4439-b681-80584cf05798)) + (pad "29" smd roundrect (at 7.6625 4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 145 "unconnected-(U1-IO1_29-Pad29)") (pinfunction "IO1_29") (pintype "bidirectional") (tstamp 4b34bd5e-1fae-4901-ba19-6cb5e5846912)) + (pad "30" smd roundrect (at 7.6625 4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "/RES~{OE}") (pinfunction "IO1_30") (pintype "bidirectional") (tstamp f0955cb7-a845-4ccb-b4d6-a6220fb9044a)) + (pad "31" smd roundrect (at 7.6625 3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp 2660d7d3-37f3-4bbd-ac74-d05065df4d66)) + (pad "32" smd roundrect (at 7.6625 3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp e8329720-b09f-4c1b-baff-c20b1cff2ad7)) + (pad "33" smd roundrect (at 7.6625 2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 54 "/RA4") (pinfunction "IO1_33") (pintype "bidirectional") (tstamp 231f6e70-68e3-4493-83da-b2bca53dfe80)) + (pad "34" smd roundrect (at 7.6625 2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 76 "/RA11") (pinfunction "IO1_34") (pintype "bidirectional") (tstamp f54b0c65-5224-4b86-ac03-aa8df3207434)) + (pad "35" smd roundrect (at 7.6625 1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 77 "/RA12") (pinfunction "IO1_35") (pintype "bidirectional") (tstamp 33bbae11-4a9f-4d71-82ff-c86ba858f7b8)) + (pad "36" smd roundrect (at 7.6625 1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 78 "/RA13") (pinfunction "IO1_36") (pintype "bidirectional") (tstamp 1d455517-8ce6-40d8-90a1-4591a5b49691)) + (pad "37" smd roundrect (at 7.6625 0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 79 "/RA14") (pinfunction "IO1_37") (pintype "bidirectional") (tstamp 319a7e44-23cc-4ce8-9422-d005d754de87)) + (pad "38" smd roundrect (at 7.6625 0) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 80 "/RA15") (pinfunction "IO1_38") (pintype "bidirectional") (tstamp 77c0d94a-f607-4302-b839-d2b33f49d267)) + (pad "39" smd roundrect (at 7.6625 -0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/R~{IOSEL}") (pinfunction "IO1_39") (pintype "bidirectional") (tstamp bb1685c6-7762-437b-9cd1-34551a256cfc)) + (pad "40" smd roundrect (at 7.6625 -1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/R~{DEVSEL}") (pinfunction "IO1_40") (pintype "bidirectional") (tstamp 12fd93c1-050b-4908-9078-b8f7aa22f0b1)) + (pad "41" smd roundrect (at 7.6625 -1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "/RPHI0") (pinfunction "IO1_41") (pintype "bidirectional") (tstamp 5fa93e14-e2cd-46fd-9bac-57247a7e364e)) + (pad "42" smd roundrect (at 7.6625 -2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/R~{IOSTRB}") (pinfunction "IO1_42") (pintype "bidirectional") (tstamp f24630c1-1d63-48b0-b038-f232ae19314c)) + (pad "43" smd roundrect (at 7.6625 -2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/RR~{W}in") (pinfunction "IO1_43/DEV_OE") (pintype "bidirectional") (tstamp 9312fb5c-b165-42a8-9e61-ebc354125f99)) + (pad "44" smd roundrect (at 7.6625 -3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/R~{RES}") (pinfunction "IO1_44/DEV_CLRn") (pintype "bidirectional") (tstamp 00af58b9-c8a2-491e-9278-7013e6d57293)) + (pad "45" smd roundrect (at 7.6625 -3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCCIO1") (pintype "power_in") (tstamp c2734de4-d908-4e75-8c11-569244ee8e45)) + (pad "46" smd roundrect (at 7.6625 -4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 1515598e-1dad-489a-ac94-f64f2adc6eee)) + (pad "47" smd roundrect (at 7.6625 -4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/SD1") (pinfunction "IO1_47") (pintype "bidirectional") (tstamp 1677a7b6-2193-498c-a393-296af7a7a952)) + (pad "48" smd roundrect (at 7.6625 -5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 146 "unconnected-(U1-IO1_48-Pad48)") (pinfunction "IO1_48") (pintype "bidirectional") (tstamp ab34296f-06dd-4a81-93a7-4c786e293a04)) + (pad "49" smd roundrect (at 7.6625 -5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 147 "unconnected-(U1-IO1_49-Pad49)") (pinfunction "IO1_49") (pintype "bidirectional") (tstamp f3658d42-974f-4129-9304-76136e6fb2cd)) + (pad "50" smd roundrect (at 7.6625 -6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/SD0") (pinfunction "IO1_50") (pintype "bidirectional") (tstamp b04914d5-d23e-4d31-884c-f1d590634ce5)) + (pad "51" smd roundrect (at 6 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/SD4") (pinfunction "IO1_51") (pintype "bidirectional") (tstamp 4345b5c1-485b-4bb3-a5d4-278b0041b054)) + (pad "52" smd roundrect (at 5.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/SD5") (pinfunction "IO2_52") (pintype "bidirectional") (tstamp 31cc4656-b62f-46ee-a0e6-facb271bbb34)) + (pad "53" smd roundrect (at 5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/SD6") (pinfunction "IO2_53") (pintype "bidirectional") (tstamp 0bbf1fe0-37be-4f9f-a44e-56a871403694)) + (pad "54" smd roundrect (at 4.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/SD7") (pinfunction "IO2_54") (pintype "bidirectional") (tstamp bbffd9c4-c3d4-46da-84e8-c678ca158f0e)) + (pad "55" smd roundrect (at 4 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/SD3") (pinfunction "IO2_55") (pintype "bidirectional") (tstamp 758cd4b1-5604-4f9c-806f-388653ed70d3)) + (pad "56" smd roundrect (at 3.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/SD2") (pinfunction "IO2_56") (pintype "bidirectional") (tstamp 84257a17-e19e-4966-8c65-4fee68b86bbb)) + (pad "57" smd roundrect (at 3 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/SDQMH") (pinfunction "IO2_57") (pintype "bidirectional") (tstamp 396c8a01-6952-4dbc-8efa-c67c55d4fdc7)) + (pad "58" smd roundrect (at 2.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/S~{WE}") (pinfunction "IO2_58") (pintype "bidirectional") (tstamp 84af23da-8733-40d1-aa3a-3ab59948ef93)) + (pad "59" smd roundrect (at 2 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp fedbfbef-8d2f-4c57-b945-1d4330b5d3fb)) + (pad "60" smd roundrect (at 1.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 1f994985-aef1-4991-b5e0-02aa12443b50)) + (pad "61" smd roundrect (at 1 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/S~{CAS}") (pinfunction "IO2_61") (pintype "bidirectional") (tstamp 0fd00de8-9350-4846-a6c0-63fe7f117b4c)) + (pad "62" smd roundrect (at 0.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/S~{RAS}") (pinfunction "IO2_62/GCLK2") (pintype "bidirectional") (tstamp 01f6b66c-5d31-4c00-a886-added4629e10)) + (pad "63" smd roundrect (at 0 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pinfunction "VCCINT") (pintype "power_in") (tstamp c7199b60-2dbb-4ef7-9014-0a7558331fd9)) + (pad "64" smd roundrect (at -0.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/ACLK") (pinfunction "IO2_64/GCLK3") (pintype "bidirectional") (tstamp dde5a10c-8b25-41cb-b6f9-12d34ff8ca67)) + (pad "65" smd roundrect (at -1 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDINT") (pintype "power_in") (tstamp 5b226f0e-3d94-46d5-b294-5b54ff630bd2)) + (pad "66" smd roundrect (at -1.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/SCKE") (pinfunction "IO2_66") (pintype "bidirectional") (tstamp 5d62da64-74b2-4d20-ae2c-27b4a7705490)) + (pad "67" smd roundrect (at -2 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/S~{CS}") (pinfunction "IO2_67") (pintype "bidirectional") (tstamp bd808115-bdff-4d9c-814f-3982ad0920f6)) + (pad "68" smd roundrect (at -2.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "/SA12") (pinfunction "IO2_68") (pintype "bidirectional") (tstamp de3a8b37-f221-4507-b59b-45b37a95a677)) + (pad "69" smd roundrect (at -3 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "/SBA0") (pinfunction "IO2_69") (pintype "bidirectional") (tstamp bc278496-09d0-47f1-836f-310ae57ef719)) + (pad "70" smd roundrect (at -3.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/SA11") (pinfunction "IO2_70") (pintype "bidirectional") (tstamp 61720a68-f1f1-4bf4-a31c-66057078183d)) + (pad "71" smd roundrect (at -4 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/SBA1") (pinfunction "IO2_71") (pintype "bidirectional") (tstamp ab6ecb5e-88fa-49a6-abd2-c9ad947ebcf7)) + (pad "72" smd roundrect (at -4.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "/SA9") (pinfunction "IO2_72") (pintype "bidirectional") (tstamp 3079f78c-0009-40f0-8b88-0aeea74cf0b2)) + (pad "73" smd roundrect (at -5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/SA10") (pinfunction "IO2_73") (pintype "bidirectional") (tstamp 29b43bbe-277d-4930-a057-4552c3ef0c12)) + (pad "74" smd roundrect (at -5.5 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "/SA8") (pinfunction "IO2_74") (pintype "bidirectional") (tstamp 374db011-2c87-4a0c-ac1c-7bd86214cef3)) + (pad "75" smd roundrect (at -6 -7.6625) (size 1.475 0.3) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/SA0") (pinfunction "IO2_75") (pintype "bidirectional") (tstamp 93d88f4e-a2f2-42ed-80b9-130331a826d6)) + (pad "76" smd roundrect (at -7.6625 -6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/SA4") (pinfunction "IO2_76") (pintype "bidirectional") (tstamp e931e838-3141-48d8-9b11-2c24812c3c4e)) + (pad "77" smd roundrect (at -7.6625 -5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/SA6") (pinfunction "IO2_77") (pintype "bidirectional") (tstamp 4bd39f93-c4e6-41c7-9481-8d5b3f87fa13)) + (pad "78" smd roundrect (at -7.6625 -5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "/SA7") (pinfunction "IO2_78") (pintype "bidirectional") (tstamp 7ec6e7d3-c00f-4fb2-8db9-06f04b6efc2c)) + (pad "79" smd roundrect (at -7.6625 -4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp ffeec707-0ca2-449d-8c95-a236b91fd157)) + (pad "80" smd roundrect (at -7.6625 -4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp 8968b349-669d-4e89-9e4e-c722ab7f8780)) + (pad "81" smd roundrect (at -7.6625 -3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "/SA1") (pinfunction "IO2_81") (pintype "bidirectional") (tstamp 35c9c988-457e-4ac1-9351-993a3642b08f)) + (pad "82" smd roundrect (at -7.6625 -3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/SA2") (pinfunction "IO2_82") (pintype "bidirectional") (tstamp 7e6d062a-8ffd-4290-b4d8-c76bd55a2827)) + (pad "83" smd roundrect (at -7.6625 -2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/SA5") (pinfunction "IO2_83") (pintype "bidirectional") (tstamp 4675178b-d75f-4ffc-8c2c-d88abd82a984)) + (pad "84" smd roundrect (at -7.6625 -2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/SA3") (pinfunction "IO2_84") (pintype "bidirectional") (tstamp a274bc0c-e2b5-487d-b9e5-b636e06f5d6c)) + (pad "85" smd roundrect (at -7.6625 -1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/SDQML") (pinfunction "IO2_85") (pintype "bidirectional") (tstamp 6a2ff0cd-6171-41a0-82f8-b45f8530acb4)) + (pad "86" smd roundrect (at -7.6625 -1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD0") (pinfunction "IO2_86") (pintype "bidirectional") (tstamp 14f05c8c-b733-41a7-9358-4d3208997132)) + (pad "87" smd roundrect (at -7.6625 -0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "IO2_87") (pintype "bidirectional") (tstamp 35cea846-240f-44a8-946f-f6327a39b8cd)) + (pad "88" smd roundrect (at -7.6625 0) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD2") (pinfunction "IO2_88") (pintype "bidirectional") (tstamp ac6a85d8-de59-49e6-9216-dac135fa942f)) + (pad "89" smd roundrect (at -7.6625 0.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD3") (pinfunction "IO2_89") (pintype "bidirectional") (tstamp bbb71c85-935c-418c-8d1c-792781f51d21)) + (pad "90" smd roundrect (at -7.6625 1) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD4") (pinfunction "IO2_90") (pintype "bidirectional") (tstamp b10964aa-bdd4-4cf7-b30c-aa0ae15da1fb)) + (pad "91" smd roundrect (at -7.6625 1.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD5") (pinfunction "IO2_91") (pintype "bidirectional") (tstamp b35f210a-edef-40a3-a7d9-cea1a77bcbaf)) + (pad "92" smd roundrect (at -7.6625 2) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD6") (pinfunction "IO2_92") (pintype "bidirectional") (tstamp 31151411-52ae-4089-a8bc-cc1d3ed89868)) + (pad "93" smd roundrect (at -7.6625 2.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GNDIO") (pintype "power_in") (tstamp 0895f4f9-5bd2-478e-9355-5cc6a6c1f2ec)) + (pad "94" smd roundrect (at -7.6625 3) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCCIO2") (pintype "power_in") (tstamp d3452b2d-7d0d-4751-8a42-b9742e7583bd)) + (pad "95" smd roundrect (at -7.6625 3.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 138 "/FW1") (pinfunction "IO2_95") (pintype "bidirectional") (tstamp 846c1d25-163d-46fd-9a33-a499360218d7)) + (pad "96" smd roundrect (at -7.6625 4) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 139 "/FW0") (pinfunction "IO2_96") (pintype "bidirectional") (tstamp 55c1f536-6f30-4700-85b1-b967fbaf8cc3)) + (pad "97" smd roundrect (at -7.6625 4.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 52 "/RA2") (pinfunction "IO2_97") (pintype "bidirectional") (tstamp d3351db3-fd24-4e6d-80a3-77202e7a856e)) + (pad "98" smd roundrect (at -7.6625 5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 51 "/RA1") (pinfunction "IO2_98") (pintype "bidirectional") (tstamp aac4f385-2ef7-487b-9663-44e8bcd9a9e6)) + (pad "99" smd roundrect (at -7.6625 5.5) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD7") (pinfunction "IO2_99") (pintype "bidirectional") (tstamp a33f6ffd-af1b-4b9a-bca4-e78e4f603cf8)) + (pad "100" smd roundrect (at -7.6625 6) (size 0.3 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 50 "/RA0") (pinfunction "IO2_100") (pintype "bidirectional") (tstamp a7147acf-b900-4442-bdad-0063bbdd1399)) + (model "${KICAD6_3DMODEL_DIR}/Package_QFP.3dshapes/TQFP-100_14x14mm_P0.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 460d9252-1f05-4dd4-baf7-10fbfcac1d47) + (at 114.8 125.8 180) + (tags "resistor") + (property "LCSC Part" "C23345") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/676cc247-875b-4936-9ac3-4936c933ca37") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R10" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp bb35938d-9a97-474f-a8c6-0bb36f10aa62) + ) + (fp_text value "22" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1c42b046-54e5-4ad3-9637-d5ee0e55c6cb) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 96dc595f-b341-4d9f-bb8b-bcdeebd783b6) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6bb6463b-8c32-4150-a12b-43f00b58beb3)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 037c81b8-de5e-4938-a6b1-a397dbb84b63)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78703356-9535-41d4-96e8-88f20d4019f0)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25b183d3-fa32-490e-99df-81dbb5964ddd)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bc268366-c7d1-4c55-a3a1-cbcaa89b6bf3)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3709e5d-8b8c-4bca-9ec3-2914252eac5a)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b95ffdd8-2830-4f93-ae03-54c83b22b99e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6c1c9dbb-db17-4678-ab87-80c6f21bc4e3)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 77231549-a802-4514-9f81-cd59c279d8ce)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fbce984d-6950-4b4c-b1cc-89689984d1ba)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pintype "passive") (tstamp f07bffdc-a8ab-4d22-8c3a-cfb5ac8385e8)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 153 "Net-(U14-Y)") (pintype "passive") (tstamp 38f66f7e-94c4-4c02-ade9-f9a1189360bc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 46211e2f-ec7a-4887-9725-342aaf6d1f59) + (at 110.85 108.7 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000612ba8bd") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C34" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e0b2ab27-5435-485d-9984-986ee9d19711) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp ca21a5ff-d20a-45a8-b465-9e773c4ba5fc) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8c9ed190-08f6-4c82-92ac-1a0d1adbb672) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3a52df8-8240-4f60-8e04-a50907e14671)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7e92b381-e563-453c-a33f-58a0cd1bff1a)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ebe4dd5-d140-4884-863b-e242c6acf6aa)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 78633d55-c7ed-434d-aa0c-addbca0cbb49)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9227bf12-093b-4a6a-bad6-b2490cef1ef9)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ec6d984-7a85-4be7-a4c5-ad1a543c79bd)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3ab4912-9437-4698-badd-df06c5db787e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp da4015fa-68d8-4e49-867f-0a0dd7a8a15f)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ac4c0811-70ac-420f-8ca4-c5bec8f980fe)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e84b8fd-7528-41bc-b888-216bdfaac082)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 5c408d5b-a15c-4807-93c5-405c6f0b5673)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp cba7fb93-89d3-42b7-9254-bc362ff2c3d0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 46ac43e2-b506-4595-a668-4697d13694e5) + (at 140.1 119.55 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/d3daf6ee-cf5e-4dae-bf62-dcb0689daf50") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C36" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8dedccac-d32d-485c-9ead-e0f926a49991) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2318f567-22d2-4b2f-96c7-7dbe40eba6e2) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e154b848-4c72-4b46-b465-6f1bc9a51629) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 945153f4-f885-45d9-b999-86aa7a3a2ccb)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp fd339ead-131b-4156-a711-77eb65d480fd)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c37c2151-d8cd-4663-9cf3-6b6975f4afc8)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 759cbb74-fc32-45bb-9e49-921359b75503)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 95d05dcf-f14a-4abc-9542-ab63adc77bf7)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fb21e546-6675-438b-bfc4-4e03afbd2ad4)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ba03cebd-37d5-4f5f-bff6-3682330aee54)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp cc481e14-8440-4c7e-b177-74aa9f88ba5c)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2adb6a10-9837-4234-811d-e27ec51a6729)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6a8edb8b-15e2-408f-ba10-37a519f70bcb)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 87157085-5592-4886-88f8-befa171deb99)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a94c36bb-db84-4a89-8e9f-2ea199bf447f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 47668655-7efe-4f27-9e31-6682a7d0fcf8) + (at 126.45 103.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb81") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C31" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c8cdd61a-8429-428c-963f-0a29508d06d4) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4f6c12f6-82a4-4b10-944c-4c267fdc770f) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 950c0ee3-f255-45e6-ab27-8dca5e3131c6) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2b9e1605-3326-4d9b-9a42-1d43dd1371ba)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp dc1a0817-d4f8-4d19-ae30-ad60d9074bef)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65b91286-5770-4ec6-95ce-d515bf3b58f1)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 865b6096-3062-4905-bbff-6d60d823820e)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c53e0361-76d3-470e-bec2-972ad75a7841)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f90db81a-d15f-4e38-8b2e-e92692adb2f2)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d0442a9-b4c8-423a-8474-f7e2f6f6812c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c897eb9f-6b29-4a37-8c64-c0b8267874a2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp eb8c08d7-78d4-45e4-b96e-5ea4d66708f1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 17436f34-4790-4080-a507-4710bc463407)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 548eafc9-1713-4e03-9dc9-9baa18d9e0f3)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp eb0259ca-d4e4-4650-872e-d032aa0b2319)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp 4cb60aa9-e7d2-44ff-b20f-4f7b3d93d5bf) + (at 130.35 119.55 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/45d209ce-ab9e-4001-943d-62bcde7d4e77") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C38" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 96fb96b2-8a87-48ee-a2dc-99a5ee9f5a6c) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8812d004-73c6-4e56-b20a-97058e863a16) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8d7ffc09-c042-4a0a-8b57-6546988cbf9a) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 3c4f4e03-5cad-4ee5-bffc-8594bbb017fe)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f5fa6037-5d09-442e-8ddb-d5aa37f866ba)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ef2fe8c-1e2b-45a6-b2b5-bfaa6c534fa7)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5033e94f-55b3-4a84-a489-edb69ba79374)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 124d4b60-226b-4a14-a62e-4cb05fe5c77a)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aff80676-7ba3-4f16-9928-fa79be0f6ebc)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 617622c4-c277-4c59-9722-3a7f6f140809)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7f3fcb9d-2a5f-41fd-92be-80cde07da66d)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca4a67fd-fcb1-4643-ac38-ef44ca3f7916)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 18d9a0e2-7576-46b0-85fc-029a73ecf4bc)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pintype "passive") (tstamp 2d8ba4b3-1a9a-49a1-ab15-f575282b03f9)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 05a9d37c-0c14-4482-9efb-be063104792c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 4cdd9dd6-f67b-4245-a895-f8aaa6e14a72) + (at 123.65 115.35 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb59") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C28" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 05587923-cb2a-4187-a9d9-92d0ddb63301) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b8d5297c-1b7c-4ab0-a05c-53f37e94c986) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1a645144-3692-43e2-9ca3-df641811cd7a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 01646a9b-9cc2-4fb1-9786-121ff5524c82)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bac256aa-8b12-443e-a34d-b641e9ac7115)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b59f428f-0f7f-4fdd-8f98-6a52e680b6cb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 34942a58-ecda-4148-98eb-47abd6de62ca)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 826b5235-9217-40b1-be46-a9b9e32c84c7)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b74ded3a-4722-45c3-bcbe-a199e884e39d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bd0f46da-7672-4e9f-96e8-94674fd889ae)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 305d5c33-e7b4-4c0f-8794-c314898cca40)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 89576896-3c27-4681-b8f9-9dfa57cb69ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc87c63b-bf6d-4725-a95b-41357e9fdab5)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 2f67a41c-83c0-443a-9d3e-a28aab747543)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c4fa7e30-5f6c-4f24-9bf9-c4a3cb6166ec)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:AppleIIBus_Edge" (layer "F.Cu") + (tstamp 4d669cf4-7813-49e6-b00f-e781f3d5dc07) + (at 106.68 135.382) + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x25, counter clockwise pin numbering scheme (similar to DIP packge numbering), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005cfc517d") + (attr exclude_from_pos_files allow_soldermask_bridges) + (fp_text reference "J1" (at 0 4.953) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp a2f3e1ea-f007-4849-95e5-eb6843bb496e) + ) + (fp_text value "AppleIIBus" (at 0 6.096) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 2f0a21f6-4f3f-42f8-a9af-06e1b887ed04) + ) + (fp_line (start -32.512 4.318) (end -32.512 -3.81) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 8478b50e-d53c-4016-9c36-865216f09103)) + (fp_line (start 32.512 -3.81) (end 32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp 47b303e0-7f99-4771-bead-19649ac4cc53)) + (fp_line (start 32.512 4.318) (end -32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "B.Fab") (tstamp ace99e6c-c637-4922-937e-6345212991da)) + (fp_line (start -32.512 4.318) (end -32.512 -3.81) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 4a5422ce-0c94-42a2-ab67-48d3bd387884)) + (fp_line (start 32.512 -3.81) (end 32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp be280d93-ae17-4666-b654-713d5b9121d4)) + (fp_line (start 32.512 4.318) (end -32.512 4.318) + (stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp ddcc810d-5a94-405c-9273-08473fedc392)) + (pad "1" smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/~{IOSEL}") (pinfunction "Pin_1") (pintype "passive") (tstamp 7d878f56-e2cc-42aa-b738-55903331a0b3)) + (pad "2" smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 13 "/A0") (pinfunction "Pin_2") (pintype "passive") (tstamp c7970825-a8f5-4726-afa5-0204e1594324)) + (pad "3" smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 12 "/A1") (pinfunction "Pin_3") (pintype "passive") (tstamp ebce6e6c-0720-4a10-ab78-ffde47d5737e)) + (pad "4" smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 11 "/A2") (pinfunction "Pin_4") (pintype "passive") (tstamp 13d7398e-81e2-4b6c-bb9e-a972a020ee02)) + (pad "5" smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 10 "/A3") (pinfunction "Pin_5") (pintype "passive") (tstamp 837a36be-b9f9-4607-94de-1e163d568f97)) + (pad "6" smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 3 "/A4") (pinfunction "Pin_6") (pintype "passive") (tstamp cf2eef5b-ebd3-4afd-b26c-74a0b2e1ca33)) + (pad "7" smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 9 "/A5") (pinfunction "Pin_7") (pintype "passive") (tstamp 4ed22138-69fc-4257-8c33-8b72a73abb1c)) + (pad "8" smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 8 "/A6") (pinfunction "Pin_8") (pintype "passive") (tstamp 08f60e53-6dee-4f1e-946b-4386558be39f)) + (pad "9" smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 7 "/A7") (pinfunction "Pin_9") (pintype "passive") (tstamp 208a6730-4b36-4512-be29-3a27e46d28e9)) + (pad "10" smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 6 "/A8") (pinfunction "Pin_10") (pintype "passive") (tstamp 9075e5b9-877f-4f96-8eb2-2a11924e8649)) + (pad "11" smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 14 "/A9") (pinfunction "Pin_11") (pintype "passive") (tstamp a7261044-b26d-4a81-97af-8ff5a6b7e6ed)) + (pad "12" smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 21 "/A10") (pinfunction "Pin_12") (pintype "passive") (tstamp dc29b328-24c4-4b9d-acdd-96f62084b1cb)) + (pad "13" smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 26 "/A11") (pinfunction "Pin_13") (pintype "passive") (tstamp 43d9d900-91a1-4640-9d40-68bce52cd73f)) + (pad "14" smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 27 "/A12") (pinfunction "Pin_14") (pintype "passive") (tstamp 66d98159-7602-4ba3-87e8-fcc5207b6cca)) + (pad "15" smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 28 "/A13") (pinfunction "Pin_15") (pintype "passive") (tstamp 806ced78-5eb2-4980-bd08-b31eeb90bc42)) + (pad "16" smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 29 "/A14") (pinfunction "Pin_16") (pintype "passive") (tstamp fb3cbaad-a12d-4731-81ed-fb790bf58068)) + (pad "17" smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 30 "/A15") (pinfunction "Pin_17") (pintype "passive") (tstamp 6bf88395-969d-49cc-950c-c941a849ed69)) + (pad "18" smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/R~{W}") (pinfunction "Pin_18") (pintype "passive") (tstamp 455ad1f7-4044-490e-b253-cf1097690243)) + (pad "19" smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 69 "/VIDSYNC") (pinfunction "Pin_19") (pintype "passive") (tstamp b66b8d99-52de-40a3-b667-de853a1f5db4)) + (pad "20" smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/~{IOSTRB}") (pinfunction "Pin_20") (pintype "passive") (tstamp cf1d8c81-e098-41ae-8d03-c37829090552)) + (pad "21" smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 105 "/~{RDY}") (pinfunction "Pin_21") (pintype "passive") (tstamp c59c285f-0082-44c0-afd4-0d62e81629bc)) + (pad "22" smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 71 "/~{DMA}") (pinfunction "Pin_22") (pintype "passive") (tstamp 7b652e2d-92b0-4ac3-bec5-caeb638caf2c)) + (pad "23" smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 44 "/INTin") (pinfunction "Pin_23") (pintype "passive") (tstamp 44c7af1e-03f3-412b-a332-c07b261e5030)) + (pad "24" smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 45 "/DMAin") (pinfunction "Pin_24") (pintype "passive") (tstamp fdaf4d39-0789-4f83-af19-ceb5c60d7830)) + (pad "25" smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers "F.Cu" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pinfunction "Pin_25") (pintype "passive") (tstamp 1891b845-984b-4964-84dc-d44d9d9c00a2)) + (pad "26" smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "Pin_26") (pintype "passive") (tstamp 90866300-5c10-45f2-84c6-8d6b4721b01c)) + (pad "27" smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 45 "/DMAin") (pinfunction "Pin_27") (pintype "passive") (tstamp a1eb7915-2ceb-4d51-a9d0-5ee803ba8ccc)) + (pad "28" smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 44 "/INTin") (pinfunction "Pin_28") (pintype "passive") (tstamp ccf5a8e5-c977-467c-8541-5999e382cb0e)) + (pad "29" smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 33 "/~{NMI}") (pinfunction "Pin_29") (pintype "passive") (tstamp ac9ac313-98f0-4b42-ad5f-d07bebea7724)) + (pad "30" smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 34 "/~{IRQ}") (pinfunction "Pin_30") (pintype "passive") (tstamp 89d61d47-ad4e-41a6-907a-219e5656dd1d)) + (pad "31" smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pinfunction "Pin_31") (pintype "passive") (tstamp 1110e785-54bc-454a-9d12-6eb896a74bf1)) + (pad "32" smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 36 "/~{INH}") (pinfunction "Pin_32") (pintype "passive") (tstamp 30796e41-bb09-4f62-8023-d8b33fb3c796)) + (pad "33" smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 23 "-12V") (pinfunction "Pin_33") (pintype "passive") (tstamp cc9c01e0-7e91-41e4-b317-3e7f4221da21)) + (pad "34" smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 24 "-5V") (pinfunction "Pin_34") (pintype "passive") (tstamp 8c835693-3fcd-4cba-8a89-c16ab047dd36)) + (pad "35" smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 37 "/COLORREF") (pinfunction "Pin_35") (pintype "passive") (tstamp 7c4a10fe-5fda-490a-b836-9511800fe002)) + (pad "36" smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 38 "/7M") (pinfunction "Pin_36") (pintype "passive") (tstamp bf2e4aa0-17ee-4266-8376-9399afaf5283)) + (pad "37" smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 39 "/Q3") (pinfunction "Pin_37") (pintype "passive") (tstamp 5a7428b3-9f79-47e6-a37c-df646e66cd81)) + (pad "38" smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 40 "/PHI1") (pinfunction "Pin_38") (pintype "passive") (tstamp eeb530a0-65ad-4831-80e8-2a3d29a7d8a1)) + (pad "39" smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 41 "/USER1") (pinfunction "Pin_39") (pintype "passive") (tstamp 3b78cb3d-3701-4b6b-8d6d-53ce83e2b809)) + (pad "40" smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 42 "/PHI0") (pinfunction "Pin_40") (pintype "passive") (tstamp 6c966c5c-eae0-43bd-8055-77b1c6b69a9d)) + (pad "41" smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 43 "/~{DEVSEL}") (pinfunction "Pin_41") (pintype "passive") (tstamp 333f10d2-f8b3-4f59-ac7e-c1a0d7bdd5ba)) + (pad "42" smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 4 "/D7") (pinfunction "Pin_42") (pintype "passive") (tstamp 9e5cce66-5114-4a6c-ad3c-e94e6f0b5bda)) + (pad "43" smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 5 "/D6") (pinfunction "Pin_43") (pintype "passive") (tstamp 9bbb001c-490c-4c70-807c-7cf43deb2e4c)) + (pad "44" smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 16 "/D5") (pinfunction "Pin_44") (pintype "passive") (tstamp 6874ea8f-fdd6-4977-a18e-458b48d86730)) + (pad "45" smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 20 "/D4") (pinfunction "Pin_45") (pintype "passive") (tstamp d3e9f4da-0976-4122-b10f-ba8e16b1ed4d)) + (pad "46" smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 19 "/D3") (pinfunction "Pin_46") (pintype "passive") (tstamp 313552e0-a8db-4fe3-9971-ba970f569475)) + (pad "47" smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 18 "/D2") (pinfunction "Pin_47") (pintype "passive") (tstamp d646c368-3c49-4be9-84bd-55351daaa278)) + (pad "48" smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 15 "/D1") (pinfunction "Pin_48") (pintype "passive") (tstamp 53b68d8a-228b-47e6-95fd-bad9c0cc0c52)) + (pad "49" smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 17 "/D0") (pinfunction "Pin_49") (pintype "passive") (tstamp ed8590a9-bc7a-4dfc-8e54-20e68abcfaa9)) + (pad "50" smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers "B.Cu" "B.Mask") (roundrect_rratio 0.25) + (net 22 "+12V") (pinfunction "Pin_50") (pintype "passive") (tstamp 8e0e378d-bd61-4c86-8f1e-51a29f1c1d86)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 4e8a03ad-c0a4-4b8c-8dbb-1c957821aa58) + (at 113.65 115.35 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000615a02a1") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C35" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1f95ab83-2ec8-427d-81c0-a991a65e90bf) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp f902670f-318e-4e5f-b503-3d520b4152e0) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 455727af-9408-476d-b0fb-f1cf3aa10f40) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 03bbae41-22da-4f55-b3bb-6fa64a2493e6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b83e2dad-bd6c-4628-be94-9e95b8302003)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4ea82b7e-08b5-4a31-8f12-5bbcbc3ee13e)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 44d5f8e6-3cd5-4075-8328-c192697e85f4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69887cda-6b2c-4730-bdb5-cc111dd5d859)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 547504a9-c978-4ce8-a11d-ad78576ef00f)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73c3dd34-0174-4d1d-96ad-4b00245febf5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4996c05f-9b00-474f-add9-99d04cc48772)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ce4fbb9-6ecf-4ac3-9559-843f51ced345)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ae9224e0-0923-42f5-8c37-902bf8f371eb)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 4d707a91-5cb6-4499-a313-b39c463d39aa)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 8c60e75b-2f6f-44cf-8910-67daf69befc0)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 500cf45f-640e-4ba3-9d51-ab94406bbb3d) + (at 103.75 100.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc03") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C19" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a5501e06-6364-4cb9-97c4-4c1996ea741e) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp c9f6cde5-2d6f-49d8-99be-ab375bb08989) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 633fd268-8428-48c7-ae53-628d2ac3dc2a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0c623464-a5a4-4d48-bbfd-10d8665294d4)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp bc83de79-29d4-4de2-bfb3-b0211db90021)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8191c2c-4866-43b7-b176-ec8f9efe8fbb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8786b050-3d00-4f11-8ba1-5aa38f381a03)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 494e701a-ecf7-488a-a2cc-c58f0aebfa12)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97d71941-5fd3-4996-84cc-4ee3c975ecc5)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 726adf9d-fc3f-4892-8d6f-267cfe1ca5c6)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f812df50-7c78-4851-aae5-d1e050c72c86)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7ff76d9-dd89-46b2-a8d5-a79488781fb8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a50a5fca-7842-428c-80ad-0686a60c5500)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pintype "passive") (tstamp 3347cf56-a6eb-4a3c-b5bf-9ef4015dcc7e)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp fdb3226b-2d46-4c72-9862-d327daa147f6)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.1mm_PTH" (layer "F.Cu") + (tstamp 52ad9f5c-df98-44b2-8936-0aefafe6de83) + (at 140.462 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole with connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d121") + (zone_connect 2) + (attr exclude_from_pos_files) + (fp_text reference "H1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 2900fd22-6a09-4882-bce8-69ee952fd73e) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp bc87c52e-7bc2-4783-bcb0-d18240259f0e) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 357e0192-e676-4a0b-a30e-f815418612f1)) + (pad "1" thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers "*.Cu" "*.Mask") + (net 2 "GND") (pinfunction "1") (pintype "input") (zone_connect 2) (tstamp d0b3193d-1322-46d5-a65e-56cf68b6d219)) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 5385ff54-3250-4f20-872e-94494fa3df8e) + (at 63.4365 121.2595 -90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/c3c97bc0-a381-4992-9201-866bebb05148") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R6" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 55521514-8000-49b0-a0ed-c9c9b5e2a3df) + ) + (fp_text value "10k" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6808ee36-544d-4ea1-afc6-2ddfec8c9c7c) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 33563307-455b-4a67-8fd2-fa514307f844) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6d13b23a-877c-4e3a-a9c8-5549f3491e73)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8c382865-bf90-4e10-a74d-6f9474638956)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 54bc607f-c759-4f23-9bc8-a0f1c186ac03)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f106adf9-7d31-4adc-b2b9-67e76c1054ce)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d21eccad-9a3c-4b8d-9dce-16e2a4467b14)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 00ce6353-08ee-48bd-9f9d-db2d2c1d2cf3)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb014597-2969-43b2-b96d-5b4aa0ff30ba)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b2b7822b-b5a4-4133-8d2b-ef1065d011ca)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4798346-a930-4384-b0db-7235cd8b0ebd)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b28873a-f72d-43c7-bfcf-083dbba24469)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "/FD3") (pintype "passive") (tstamp 72f94ee1-d8d1-4842-8047-0774bf9967f8)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp eebf78b6-dc2d-4332-8c73-5e0566d11cb5)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 55970b8d-f69d-4888-aeca-e80516ce38b8) + (at 58.293 93.484 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/dcc93b90-4b44-4597-aa52-7b6bd50839c5") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C17" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 30cbbe2e-d4ff-4cad-be3a-c93ebe75b89a) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp fe998c81-4c7b-4d30-83e3-6fcef9aad026) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 544345db-dbb1-4b72-a94e-afe3bcd6a7d1) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9ff54d40-3b44-4d71-a37f-969e3d7155b0)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 97e0f7b7-eebe-4774-9048-dfb47461e679)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 79afbe3c-c6d2-43e9-9c1f-ef9b726dddd9)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c1c1dd24-1a5e-4a44-854f-fd90f81b503a)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cfdbfd1b-386a-47f1-bd30-8fbab3f0f25e)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 99296397-476b-4247-9d11-3a077c6c5237)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c9740f02-bd36-4f20-a9b8-15e9bd807e5e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a69057e0-d016-402b-b952-8c1640cd80c5)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3a0005e7-c5d1-493c-a615-a92f9ec81b27)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58f40442-7364-4243-ae06-195059fb4920)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 198242dc-5aa9-4945-afb9-371793191c28)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp f82b8ad1-2241-442a-bf2f-bec8e89e368d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp 5987bd35-c166-49de-999b-e044dee4749b) + (at 140.462 82.423 -90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d123") + (attr exclude_from_pos_files) + (fp_text reference "H3" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 55b13c04-5ad1-4221-98c9-77ceaef6c4ba) + ) + (fp_text value " " (at 0 2 -90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp e21d6a80-77c7-4dad-aa42-bd1468bda282) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp e5b95756-231d-4e4f-9061-60517e526f9a)) + (pad "" np_thru_hole circle (at 0 0 270) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 9d11e3c1-3582-4192-bf0d-4c8ff2250236)) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp 5db7604f-e6d4-4661-8813-41a8a60dd35c) + (at 81.025 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005fd6dfdd") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U6" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 2a7ed6d7-effc-44b2-be3f-9d09cd555f5b) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 94bef3f0-2165-4b65-8fff-9dc93c38095b) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 668a983f-3f0b-4393-8b11-69086f711ab9) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 77fe812f-7566-4efb-865b-fc709ed3765e)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6077db20-d110-4099-be5f-755152996584)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2f4d7763-a398-4f0b-9c1b-11f82ff6ad07)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04448137-d76b-4dbe-8148-54ccfa2a5913)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bd405811-ec66-40a7-867c-4935fc21eacf)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 098dfef2-a47a-437b-a06e-fcd4cc35538e)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6d98dd87-9433-455a-ad0e-5a9f5269a90e)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2585a6cf-4df7-490c-9296-10dbcdc0dfe7)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp d2372293-64eb-4983-a7d6-91248e91bbd9)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5a43b33d-a659-4fb6-8f9c-058bd79bada8)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c9b09c16-02ed-4fde-80d6-a70ad6002856)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 81 "/Ddir") (pinfunction "AtoB") (pintype "input") (tstamp dc3c204e-a5d3-4865-8060-5e9211394e00)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 17 "/D0") (pinfunction "A0") (pintype "bidirectional") (tstamp 8b10d34c-be51-4f0a-923a-7e1eadfc17af)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 15 "/D1") (pinfunction "A1") (pintype "bidirectional") (tstamp 814eaa17-dd8d-4841-83d2-6cc39972a67e)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 18 "/D2") (pinfunction "A2") (pintype "bidirectional") (tstamp 6b794124-c5bb-41de-be65-360d01a32137)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 19 "/D3") (pinfunction "A3") (pintype "bidirectional") (tstamp d7f32903-6e6f-4bc4-89b9-d9e9a4de64c5)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 20 "/D4") (pinfunction "A4") (pintype "bidirectional") (tstamp 3f86b015-fb12-41c5-be6c-ff1cbe684229)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 16 "/D5") (pinfunction "A5") (pintype "bidirectional") (tstamp 52b4ea47-977d-40a3-9627-a006fbd0b0ec)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 5 "/D6") (pinfunction "A6") (pintype "bidirectional") (tstamp e854ef5f-60b2-4e08-954c-96ff91f04191)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 4 "/D7") (pinfunction "A7") (pintype "bidirectional") (tstamp 9b1e02c3-7aad-4c5d-ba96-588675ee0dbf)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp adffc114-8987-43d1-b84c-4ce2faf1d191)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 68 "/RD7") (pinfunction "B7") (pintype "bidirectional") (tstamp 001c9e00-963a-4b9b-8901-65770f91269b)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 67 "/RD6") (pinfunction "B6") (pintype "bidirectional") (tstamp 3afd3ed3-1ff3-4e68-8405-83481ec7eda2)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 66 "/RD5") (pinfunction "B5") (pintype "bidirectional") (tstamp 62206fcd-a897-402b-9f0a-fa23a7f2913d)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 65 "/RD4") (pinfunction "B4") (pintype "bidirectional") (tstamp 0fb675c3-cc48-4214-9778-5e51376d1fa9)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 64 "/RD3") (pinfunction "B3") (pintype "bidirectional") (tstamp 8ae1dd51-b458-45ff-af24-da03338e58a1)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 63 "/RD2") (pinfunction "B2") (pintype "bidirectional") (tstamp 82752878-0337-4125-8399-91c9d6bd3318)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 62 "/RD1") (pinfunction "B1") (pintype "bidirectional") (tstamp 835162d6-59c1-47d4-b78a-1cf6f0ed1e44)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 61 "/RD0") (pinfunction "B0") (pintype "bidirectional") (tstamp 8812563c-48ca-47b3-aed5-303a517bf9f3)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 88518aa2-c664-4c73-8ac1-0310c7a1fcc1)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 7d1f7400-6f17-4ea1-b432-a98a7d4b6ba7)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 5e72fb68-86b7-4b3c-afd2-d23ee00653b3) + (at 116.5 121.6 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/87ce543e-a5bd-4663-b123-82da87d6513f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C39" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f1ebbeec-45cd-46d6-8da7-c98ff5dab5a5) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp bf3d7950-ec9a-447b-9d4f-d432b97aefda) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp acbafa56-42d2-4878-8b3b-fa72bd5f78dd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf9335bc-ea98-4635-9419-fec2522d5758)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 4943cb5a-6cb0-4fd4-bc78-a3a245947f1c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 622236af-9b09-474c-9e44-27dc301a20ba)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3de20e71-0c36-4077-b052-21a4b077521d)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be641d12-5fb3-4dfb-ac24-db79592b40a4)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d52716a-af3a-4bdb-a24e-6f57325f7d22)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 750ceb93-ac78-4269-b0fb-04c68d530202)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 633f44be-6607-458c-be23-ecd6000d6566)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03894061-8820-43e8-b227-7d0ab1e4aeac)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d3e01d3-9d8e-4097-a163-f65ea84f7989)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp e812fbe5-2727-4475-98ad-aae6a7d6118c)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 7d00fbad-4185-423a-8ef5-325243bbfdf2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 5e8fe880-8d67-483d-83b0-a9b04f147ce5) + (at 103.75 104.3 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc34") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C23" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 754de0fc-60d7-4b82-b1f1-9fd8c0ce39ce) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a8ad077a-2355-49d9-bfca-10b869cb0a6a) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 085da052-1d6a-4854-9813-4e035713facd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp c7c24e02-7452-4887-a278-68a55d449ff4)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d9f55843-c402-454c-81ee-855369a569da)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e609ee1d-1773-42b5-87cd-17d58aa25c03)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d9078a25-8bef-4166-84d1-d28f6918c8db)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp baa62e09-8106-447d-a4e4-0ef6375a92bd)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6b52a30a-bcf5-4d35-8d84-a32fea284496)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9c2b64c6-75d2-49eb-8182-d01bacf0d505)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 026edf83-9e25-4aeb-805e-086682401612)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f3ea24a-fa6c-4fdb-bb13-ca64e4abb422)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4073adcd-7e69-4624-9f37-74556cabde6e)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 2b8db82a-d119-4103-ba9a-ea70e6447f99)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 2e54223b-85b9-4608-a57c-835686d3e6b9)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 64ed9058-35db-4b5a-b851-f6bda82f2d03) + (at 95 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006232c22f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C15" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5c5d837e-47e6-450e-8722-644f104216f3) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 5f428c02-543f-4fd3-b189-5c444a3a73fb) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1d142b06-1bd3-4065-a91f-099166b2bd67) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 72fd1d17-f99c-4006-b5ad-693e49b9c61f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp cf93fab9-d180-47c6-8815-f6542dda7a04)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65a3e034-13f3-4054-b914-f9d624b3d5fe)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 815a2d86-8475-47d0-ae6e-dc83cb8f15da)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5f34ed0c-9dd8-4dd2-b3be-92e77c6405ed)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ac32253d-4553-4d3b-843a-686aa3a450d4)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 736ad883-8e95-4171-9cf4-77ce95e4ad5a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b131970-b4e5-4304-92fa-5250026646c1)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 03642fb9-4033-417b-8de6-a02be8a10725)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bfff9dd9-4258-4856-b4a1-8b75d6d567c1)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 0b1d30b5-cffe-4769-976d-18bb1362b464)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3cc0a2a8-631f-46bd-ad3c-2f2496cea520)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 65b248fc-7373-4a63-95f5-79ea8bbb1eb7) + (at 80.95 108.5 90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/4c965377-ef93-41aa-a4f7-6f336ae07d77") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R9" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 6d8addfd-ae20-4101-a135-ac305084b4f0) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d2418f27-ab76-41a7-a383-35e60e99eb5f) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7a92ab68-dca2-4c6f-b23b-8d1b1c08437b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f2a635be-d927-4469-9b7c-6f2a43756097)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1802ced7-12a7-4389-9027-39260f5c2b60)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c5a988a8-ee5f-4889-be9b-a28d5e25fa88)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7b41461-c9ad-446b-b69a-15c10cb806ab)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6218ed89-7688-4546-99dd-ce1290eb5365)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9750401c-dbe5-4863-bafc-2dc8b2dca542)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6f6aa8fb-c555-42c8-a80c-b56b7db378e4)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp df00e2b9-a72a-4549-8e00-3a9a2e91d62d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c3fcb133-2783-4bed-a42c-bd4b2162e40e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cd5dfc0b-e245-4b9a-a59c-ba3e00a0c0a5)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "/FCK") (pintype "passive") (tstamp 1093a23c-b55e-42b0-a4a0-8d167a8883ad)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 133 "Net-(J5-Pin_6)") (pintype "passive") (tstamp 5b9dc361-79df-4580-8e88-f356e1a41972)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 68aa42f0-8a16-4ece-9d3b-bc7305562c4f) + (at 90.8 111.1) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc14") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C21" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c7a88832-e9b5-4a5b-b33b-4152c10bbedb) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 20362cdc-22f1-4d2a-8c83-02230ca90599) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 810aa3d7-526c-423c-88b9-9ff64360564f) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 27b42aa9-ad47-4fd4-b9ac-3b004421a860)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 347f724f-72e0-4a2a-bf32-ffcc1bbf2a48)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9b2552a-b906-4fda-972c-66131b365a8b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd31478d-13dc-4388-a658-9eb052107c52)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp aac0c78b-400c-4dad-9a2b-0ef99a9e66c6)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d21ee4e6-f753-4aee-a8f5-2fa561d252b6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 73c125a3-37bb-42a9-9cf8-1b8c2fa7a87f)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5e920f4-21bb-41a1-8d7a-e3041a9591f8)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d9c006c2-aadc-4c3d-a2bb-c1c03496abe7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bc7a5dd1-8e53-4926-950f-77e96d2c1e51)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp f03a5406-5762-4ca5-8c15-bb4fb2677822)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 6b75fd52-e7fe-4f69-a391-11ec6d3eb7c2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 6913c0a0-0ef3-463a-acff-164d589c079c) + (at 51.65 97.75 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/5869edf6-a470-4200-8d87-6959f7d6512f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C6" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a5368874-8aec-40e7-b08a-23298c770ef6) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b9ceeb47-b3d6-46ae-a801-7eeee1962db7) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 57473cd8-c0ef-44a9-889c-14195257fe80) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e1c80765-bf23-4890-bf38-36e8b7252704)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 378c81aa-08e2-4e24-87e7-248fc9db7c22)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8d642641-6b21-4cae-9c9f-a351e42920dc)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 12af29a3-54da-45ed-a27f-f591c0a7b11f)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b7bdfaf8-d8ad-4542-9ca0-1331476c0a18)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 414a0f16-2132-4e1b-b0b8-5315d0f4012b)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7eb94d91-1cd8-41ee-b894-f0e1af0db91e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 12cb2b38-8585-4f3f-b8e7-3c9df0dbd0b4)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bb004d8-708c-4877-b411-7b37ec088d7c)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp be432129-626e-4e1e-bf45-1ef59bf41b87)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 82 "/USB5V") (pintype "passive") (tstamp c4c27e3c-5607-4f37-9e4f-02effb3d8f21)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 21d17415-0d32-4da6-b929-e13e1cc1f372)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 6a24d5a4-d113-41b7-b41c-62453135225f) + (at 108.2 98.85) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C12519") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000600066d4") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U16" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3d3233fd-791e-4da0-afbf-031121dba556) + ) + (fp_text value "74LVC1G125GW" (at 0 -0.35 180) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 4f52fe4a-8ad7-487a-be36-decc5c21216c) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 73334125-e552-4541-9533-d62e4d8c1117)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 653d1acb-67e8-4f90-9015-32f9bf688a53)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dba2c3cb-166d-4c50-8614-e3297936a752)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 35435781-f97e-46a4-9b13-e7b10a249342)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7811cfc4-2277-4912-a11d-5017a78a1e00)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d2c5db9c-41e1-47cf-bb10-55d853c3bbb8)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2504e74f-f3a4-4e09-8d15-a85e1548bbde)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 85b48441-a740-4134-8afb-2a293e9f7bd7)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e3fed575-c673-48d5-a759-7f89310be084)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 378321da-0097-4faf-8354-b06118f8bbdc)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb3adea0-af25-4f60-b0ac-30c0c7918e2e)) + (pad "1" smd roundrect (at -0.65 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 70ab6ff2-a4b3-4fea-94cc-14b89fe938c1)) + (pad "2" smd roundrect (at 0 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "Net-(U13-Output)") (pinfunction "A") (pintype "input") (tstamp 2ad110fd-8c9b-4339-8978-82802238981d)) + (pad "3" smd roundrect (at 0.65 0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 73c43804-2243-497f-a549-9d302da3b39a)) + (pad "4" smd roundrect (at 0.65 -0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(U16-Y)") (pinfunction "Y") (pintype "output") (tstamp ea7a74f7-1b73-4122-a838-66f6f47e9c00)) + (pad "5" smd roundrect (at -0.65 -0.85 90) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 0f991a83-84bd-436f-9e63-479ba149e043)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 6c032e53-bcf8-4fa4-b8dd-3099bfa8553e) + (at 84.35 100.9 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc0c") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C20" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 710999b6-9c15-4631-9cd6-e81eabd1a0b9) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 979b1b7e-b683-463b-b37f-5f1d5272d583) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 795b5913-1935-4453-a450-6b1e85e39720) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7ad4cb82-6787-4259-9f25-08d8735dc2cf)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 094f5437-39e8-4315-a4c9-36a450909a9b)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e4cdbfb7-f272-4f3b-828c-3916a6a50474)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6a30a926-6f1e-45b9-afe4-f17de05e9299)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 04259065-3559-4a13-bf10-a555782e985c)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 254f6007-97cb-404b-aa53-43a7d4f04d66)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 680d3fed-ee52-4d14-bc12-d94b391063b8)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 61020540-dbd8-4471-9d44-7b030cb14ec3)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4340c093-bb1e-4722-b3b7-5480a4dd6853)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c7421c43-9e59-4517-952a-48719e080662)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pintype "passive") (tstamp 38a15445-d25e-4058-a378-9471eee4b228)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3eed6b1b-ae55-4aad-8db1-3c41f7a53712)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 6d4df31d-4f51-4dab-8c3d-85cf454267f7) + (at 60.083 91.3765 180) + (tags "capacitor") + (property "LCSC Part" "C1653") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/2269598a-c4ed-4307-82fd-3b31a482058d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C41" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d439437c-b3a2-4490-a3ee-7ca726adae7c) + ) + (fp_text value "22p" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 49bec374-efbb-4cfd-90d3-61a11882e59e) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ae5c15b3-6574-4e07-a419-cdce1d764ee9) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3fa47f72-5f72-404b-9381-2557c794130d)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1e3b4d8c-2850-4b6f-bd86-25addfe42261)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d8f0734c-1cf4-4194-801d-e9647a85dd2f)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3d7177e1-2738-4ede-8b5e-dbb7e086edad)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a93f056a-0ebb-45b5-a0b1-32399106b09f)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a2fbb313-cd53-45a6-9af7-4390fce3ebbe)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp def39505-2bc7-43e8-b03a-b07fa7432d9e)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp bb7ad0b9-b160-4d43-89e1-63ec290ff1ee)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 36df4a80-501f-4cb6-9a18-064b3c972352)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6d815d62-1b5a-4290-bf5d-fd67a5b30ead)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp 2dfbf59e-af33-4fdc-b697-5d1186606637)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp e3119ee6-90fa-4748-942a-736692740e7f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOT-23" (layer "F.Cu") + (tstamp 6f2139c1-1fff-4999-8062-5da5f5394e4b) + (at 136.25 124.2 180) + (tags "SOT-23") + (property "LCSC Part" "C5446") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-000060cd7812") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 38d860c7-163f-490c-ad20-1128d84b37b4) + ) + (fp_text value "XC6206P332MR" (at 0.45 0 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d768453a-bbe7-473f-ae97-c6cc3d8514c9) + ) + (fp_line (start -0.76 -1.58) (end -0.76 -0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9d0e946f-fc1e-4912-845c-263a4cdef6cd)) + (fp_line (start -0.76 -1.58) (end 0.7 -1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ccc5ea58-e12e-46db-9c58-5431ae11da03)) + (fp_line (start -0.76 1.58) (end -0.76 0.65) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d0b87a1c-012b-4392-b5db-96d9ddb7924f)) + (fp_line (start -0.76 1.58) (end 1.4 1.58) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d13b4453-1a7e-4389-b791-be451e22a72e)) + (fp_line (start -2 -1.8) (end 2 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 84363bab-c1fc-42df-9e84-278c6c51fdd0)) + (fp_line (start -2 1.8) (end -2 -1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8e37943c-5eee-416b-8c6a-a7ec82fa2ea8)) + (fp_line (start 2 -1.8) (end 2 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e7074a7-4f80-4610-908a-f9863174b6c4)) + (fp_line (start 2 1.8) (end -2 1.8) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 745e720c-2db1-4650-b239-0e78c985fc38)) + (fp_line (start -0.7 1.52) (end -0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 798c8b7b-811d-49b1-802b-58c36f4bfc6b)) + (fp_line (start 0.15 1.52) (end -0.7 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1e8b88ab-ae55-468a-bb20-7da8711ddc00)) + (fp_line (start 0.7 -1.52) (end -0.7 -1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 40b7ca3a-53da-4795-885d-e338c60fefc5)) + (fp_line (start 0.7 0.95) (end 0.15 1.52) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 09ed0304-9604-4d87-ad01-4292d50fb830)) + (fp_line (start 0.7 0.95) (end 0.7 -1.5) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 19eb8901-3c1d-4ce4-9eef-9c9504b2a406)) + (pad "1" smd roundrect (at 1.05 0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp daff3d6e-5a73-42fc-b71c-723e223fc9c2)) + (pad "2" smd roundrect (at 1.05 -0.95) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vout") (pintype "power_out") (tstamp 2dfc8a51-4678-4f88-8517-b0652dd5610c)) + (pad "3" smd roundrect (at -1.05 0) (size 1.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pinfunction "Vin") (pintype "power_in") (tstamp d85f1659-d7e0-4a0a-8552-cffe37427632)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-23.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (footprint "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") + (tstamp 70127794-3ded-4727-b64d-1c86d8c10e61) + (at 54.2994 92.5006 180) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (property "LCSC Part" "C9002") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Four pin crystal, GND on pins 2 and 4, small symbol") + (property "ki_keywords" "quartz ceramic resonator oscillator") + (path "/1ff27991-90b0-41b9-8444-a05c908e6330") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "Y1" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 7527852a-b4e9-46ae-8d0e-035342e46b23) + ) + (fp_text value "12M" (at 0 0.75 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp d960b511-8332-4ea6-9ba4-60d18f5c5674) + ) + (fp_line (start -2.15 1.1) (end -2.15 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 74557c72-2948-4ea5-8283-b38321203f79)) + (fp_line (start -2.15 1.8) (end -1.45 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 58c94f4b-21e1-428f-8aab-5c000b5b80ca)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5d641d54-9cde-48a1-9efc-49c4942cf80a)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2ab5fe89-f9e3-4163-b58c-f8299fb0f0eb)) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 788c8546-790d-4801-98c3-1738c8aab3e7)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40307a10-6c15-4439-b511-04ee8c561a23)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7c00f49d-8572-4f68-a344-c49e4e426879)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b03bda97-64d5-4063-b094-f3eebbc51a2c)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f20847bf-4a4a-463c-a26a-a6a0d9d45f84)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9949e152-3d0d-4091-9d87-36a43c9b0b94)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f4596387-e471-4a13-8da8-2acd7526aeef)) + (pad "1" smd roundrect (at -1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "Net-(U7-XI)") (pinfunction "1") (pintype "passive") (tstamp de9718bc-559e-4f44-94b7-7d80f0b98ce3)) + (pad "2" smd roundrect (at 1.1 0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "2") (pintype "passive") (tstamp 0c36a9a1-b1e3-4b9e-a598-438b74203c57)) + (pad "3" smd roundrect (at 1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U7-XO)") (pinfunction "3") (pintype "passive") (tstamp 8e36523c-8063-458f-a04e-84af77a5d8f7)) + (pad "4" smd roundrect (at -1.1 -0.85 180) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "4") (pintype "passive") (tstamp bbaac9e8-f0d5-4753-9263-ecb74bf6e5c6)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 76e39b5e-dcb7-43d9-8c6b-dde29d519189) + (at 123.65 90.75 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005e680811") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C12" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 01b22334-3f8f-4d93-bab5-16511efda1f2) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4d23c370-4590-4cfc-b235-f9c2f99ba379) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0d32a2a9-d124-4f66-98cb-c9ba0d9b5dfa) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25fc01e0-9498-4501-9e2f-b91502505efb)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3054e7a0-16d2-47f7-b975-27308dd40517)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1cf15de6-12f5-4f3b-923b-84a533015812)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdbb2d8f-2253-4531-8cfb-785d39fc67ab)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a877ce7b-f98c-48b8-9f39-3cc7b56e3bec)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3c045b3e-0e50-445d-9f88-a13bcc2625bc)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 6071a0e2-11c4-449c-b54c-f3d45cd4823c)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5960d3c1-688a-4b78-9516-21ce038541c2)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5abbecce-7b73-4137-bc37-d2b18bb75ca3)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2fbd966c-fe66-47a9-bf36-9704e1ce8790)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 86eb80d3-abdd-4102-8c40-433f8bb6fbfa)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3058e5c8-1910-46af-9ce5-3c5768456b32)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOIC-16_3.9mm" (layer "F.Cu") + (tstamp 7823e24d-08bc-456a-99a3-628405a9cae2) + (at 57.658 98.933 180) + (descr "SOIC, 16 Pin (JEDEC MS-012AC, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (property "LCSC Part" "C14267") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "USB serial converter, UART, SOIC-16") + (property "ki_keywords" "USB UART Serial Converter Interface") + (path "/17749572-f7ca-40dc-9dcf-fe6e4c69f426") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "U7" (at 0 0) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp f39d12fe-4b02-45f3-b754-99b9fe4123f2) + ) + (fp_text value "CH340G" (at 0 1.016) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp a85eee9e-faca-4048-9635-c0da36acf031) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp c579ffbb-5ed1-40ea-8248-da1d4068d67a) + ) + (fp_line (start -5.06 0) (end -5.06 -1.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp e881712b-b9c2-4c81-9681-43d9fb99966d)) + (fp_line (start -5.06 0) (end -5.06 3.45) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 42d39507-7e5e-440b-9a20-1648f20c84ba)) + (fp_line (start 5.06 0) (end 5.06 -1.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 2fcdd62d-36ef-44c8-b516-1536cefb8be0)) + (fp_line (start 5.06 0) (end 5.06 1.95) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 3f8f441b-4136-4a91-82e2-65292bbcb17d)) + (fp_line (start -5.2 -3.7) (end -5.2 3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6bab17bc-201d-4a96-a9e2-19af826e1f34)) + (fp_line (start -5.2 3.7) (end 5.2 3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 65dd640f-61d3-4761-858b-1637b7dd9813)) + (fp_line (start 5.2 -3.7) (end -5.2 -3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 06dc0ef7-b12b-4f7d-a47d-48a8f3b4a47b)) + (fp_line (start 5.2 3.7) (end 5.2 -3.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8714ea6e-743e-462a-b83d-d8f46f307e73)) + (fp_line (start -4.95 -1.95) (end 4.95 -1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4d8c3067-1ef9-4c5a-b8de-7b06c97d0b49)) + (fp_line (start -4.95 0.975) (end -4.95 -1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dad1b2ae-2a74-4444-867f-57280f64562d)) + (fp_line (start -3.975 1.95) (end -4.95 0.975) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3b9309a8-d49e-4bf7-992d-070bb44f05cc)) + (fp_line (start 4.95 -1.95) (end 4.95 1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 20285bd8-5c74-4644-83f9-0ffe6ce36809)) + (fp_line (start 4.95 1.95) (end -3.975 1.95) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d6d66927-09e8-4ce5-8770-9af5d47ae029)) + (pad "1" smd roundrect (at -4.445 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 151ee3e3-be35-431b-9c07-e8447b9f23ba)) + (pad "2" smd roundrect (at -3.175 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 150 "/UTCK") (pinfunction "TXD") (pintype "output") (tstamp 9d22203d-d081-4236-973e-bbdc9d93e0d4)) + (pad "3" smd roundrect (at -1.905 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "RXD") (pintype "input") (tstamp b641c0c0-ecfe-43b1-bbcb-eb9056c405a1)) + (pad "4" smd roundrect (at -0.635 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "V3") (pintype "passive") (tstamp 9d10e22a-dec3-4fff-8f37-cc225a7e9039)) + (pad "5" smd roundrect (at 0.635 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 131 "Net-(J3-D+)") (pinfunction "UD+") (pintype "bidirectional") (tstamp 059b6c73-ac98-4cff-9cb2-c6fa729aa2ca)) + (pad "6" smd roundrect (at 1.905 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 132 "Net-(J3-D-)") (pinfunction "UD-") (pintype "bidirectional") (tstamp bfda147a-c927-40a0-8ba6-c7e5b330aaf5)) + (pad "7" smd roundrect (at 3.175 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "Net-(U7-XI)") (pinfunction "XI") (pintype "input") (tstamp ea0904ff-8b7c-49ab-b6dd-032720c933f4)) + (pad "8" smd roundrect (at 4.445 2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U7-XO)") (pinfunction "XO") (pintype "output") (tstamp dd8359f3-877e-4a9c-99aa-01507e0416d6)) + (pad "9" smd roundrect (at 4.445 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 47 "/TDO") (pinfunction "~{CTS}") (pintype "input") (tstamp 1ea47c24-fea7-4ac7-9b8f-ae8b5b9ad24e)) + (pad "10" smd roundrect (at 3.175 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "~{DSR}") (pintype "input") (tstamp b1b99277-ba21-4848-9c82-663a8ccbe763)) + (pad "11" smd roundrect (at 1.905 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "~{RI}") (pintype "input") (tstamp 2e6f8580-c920-4394-bcb3-fe6df1e3c7d3)) + (pad "12" smd roundrect (at 0.635 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{DCD}") (pintype "input") (tstamp e66ed94e-abe5-4404-9186-939c2e55e70f)) + (pad "13" smd roundrect (at -0.635 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 49 "/TDI") (pinfunction "~{DTR}") (pintype "output") (tstamp 571083e2-e5e2-4682-a95b-8fb6ef378a85)) + (pad "14" smd roundrect (at -1.905 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/TMS") (pinfunction "~{RTS}") (pintype "output") (tstamp c62108a3-264d-4462-a6de-3ea8d3adcf22)) + (pad "15" smd roundrect (at -3.175 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "R232") (pintype "input") (tstamp 7e4aa37c-0ca5-4b6c-806f-00d0f4ea506a)) + (pad "16" smd roundrect (at -4.445 -2.475 270) (size 1.95 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VCC") (pintype "power_in") (tstamp 054b8954-707f-4579-8642-e6f64f63fc77)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIC-16_3.9x9.9mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 78ab4045-c6f1-40c7-a5d5-7e01426c13cd) + (at 60.833 93.8275 90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/fd9245fc-9285-47a5-bf4d-53aa8c9f0755") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R5" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 0fdf9c17-d036-43d5-944e-3956ef516bc9) + ) + (fp_text value "47" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b6e74b06-64e7-4fc8-a3c8-cece32c7f4f3) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 4f679796-7d6a-43ff-abec-3a1c2c560b95) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1b93661d-c5ca-4702-86bd-7e11f97a8fbc)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d713de6c-8bd1-4ea4-b973-01a220abcacd)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3e231e64-a911-4c76-9a00-92188117a764)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b9604516-eb9a-40f3-9349-e30797bfafd7)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 356e5ed0-f7d3-4edc-884c-bbd15ab12f5a)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d7470318-fc1b-4c3e-8e38-afe3e2998be6)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4293495-5263-4b8f-9486-7d1586616076)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 855de8db-44d0-42af-a76e-e2abfdca49b9)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 553a4a42-5ccf-4187-8654-1f3b1b18c9c8)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 984453e4-ffd9-468b-af9e-338bb1c1159b)) + (pad "1" smd roundrect (at -0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 150 "/UTCK") (pintype "passive") (tstamp 912602fe-bd34-45c3-9c27-4742c57474d0)) + (pad "2" smd roundrect (at 0.8 0 90) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp fd4931c8-1eae-49ed-9ee0-4df833246092)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 7b0218a8-1357-494b-85aa-011b04a0a03e) + (at 63.8175 100.6595 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/835c2a22-7ee3-4aaa-89b8-c845f8ab0f2e") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C40" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3f163f81-de56-40ad-ba34-e2ab2a1ff52a) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 891cebca-bb34-4f0e-af4b-4ae57beec7cd) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 59149ae5-5c4f-4933-8b6a-5580f7e1503a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8f8e1077-d3d2-493c-a73f-d8dba6e19ab6)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 734c5b66-74b4-49e5-9da1-a532bcdd85fe)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2b763780-a67e-4471-a466-f0cdeb0531de)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 96a3bc30-35ae-4d7d-876f-bbf0bfdc25e2)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 787d683f-5166-44c8-adda-ac98ed82ae71)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 25874db5-26cd-4a9a-9181-8e1c89e10bde)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 80eae390-07ee-4e73-987c-694c581b3f61)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 48a67a1e-476b-4eeb-af81-a215d02d1977)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b569859a-e980-4094-aa5f-f577352a9664)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a62d40c6-1d91-4f21-b956-a576f6170189)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 7618a992-fc76-4f8e-bea0-c6371e901752)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 02433e66-be6b-4dd2-ac91-530893770685)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp 7e790ae8-1f46-4161-b52f-4a0866d844a9) + (at 62.9665 93.0275) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/16097157-cf39-4e60-b9c2-b01dba5f05da") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R8" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d0a73817-b34c-4572-b27e-bd00d9d41371) + ) + (fp_text value "10k" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp ad5eb21c-178b-418c-bec7-62b844d29dbf) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 087174af-ac1e-4111-ace6-0b889d363e62) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5285fae3-0eb3-4ff3-92f4-0afb70b91f5b)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp d3cf4af1-a36f-4408-ba94-7b1bb66eafda)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 385a7837-f6ad-4396-a2e4-724df4b0ffe7)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4afcf40-2493-4428-87ea-9d61e4fe78d4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a54a665d-030a-4b05-90a3-28913de34414)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d79dae60-2a5c-465b-b0b5-adb64edf5072)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e89e0e3-699f-4926-857b-7dec3d8eaf04)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dcdbf7bd-e1a8-4f11-aadf-2e6783188290)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 523a846e-1e54-4c7c-be08-00790c48bc91)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0d7aaef-8345-4fb5-8c9c-6d6ae791fa4b)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp a8cb0cf5-b114-42ee-b1e9-5023d08f9027)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp c1291c00-ea45-4cdc-8021-a2e0415b536e)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 7eff09cc-15fe-4212-bdbf-421a1967dcfa) + (at 51.1994 92.6006 90) + (tags "capacitor") + (property "LCSC Part" "C1653") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/b8696251-28f1-4aee-b72c-e15a5fc265f4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C8" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2af58554-fdac-4dd9-ba29-a12ae3182663) + ) + (fp_text value "22p" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp dafb10d1-05f4-4805-b1f2-c0f75b7334a4) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 10738419-1e8c-499b-a300-11193e842b7c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 05e84b95-fdd2-4031-a79d-1a556d2e15aa)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5c469119-630b-4a68-8136-7ca83c82dfdc)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 189be5a1-e9aa-455e-bcc5-ad65fd73901e)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e62bac40-d3b0-404d-a439-ab5c62e11166)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f3305660-28eb-4e25-85de-6b01cfe4fe8d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52d47443-124b-4c00-9bcc-18abbc837bee)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 84f5cd92-1e03-4f20-906a-29adec0974ea)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 86ee1c62-269d-4d51-9576-e6a21806e4db)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 57d046b7-b865-4d9a-8afc-f4a4aaeb32f1)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a94ec8a8-80ad-45d1-ac95-32f530140ee6)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 106 "Net-(U7-XO)") (pintype "passive") (tstamp e28b2639-6633-4d8a-a136-839fa11c4394)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 2e492605-bfac-4742-b38a-be0ff18fe067)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 864c7272-5792-4b54-8564-3ff9393f5655) + (at 85.8 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006232c221") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C14" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp a5873ac3-0c94-4b46-b326-74bddbefac49) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 55ec0288-cd55-4e4e-adca-16e36286ab77) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 2e829eeb-264b-4c37-a948-957e37e7db92) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 54150322-3820-48e5-a28e-706bdcc733da)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 1fb6c6e4-f710-4945-b0ce-7693eab5a5a0)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5e367f16-2b8d-49dc-a70b-9fe73280f44a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0e940b31-ce8d-4e6d-8921-e1dc698cced4)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8bb4c7b9-0470-4857-85e7-4c8e0be723b5)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 24e6d1a4-c6b4-42dd-8fb7-981b4267aa24)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c0b49e65-c37f-449e-a716-aebd529adab1)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d52c8d84-894e-4976-8064-299d9b312715)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 72e71f49-5805-468f-a8fa-26ba64f5fed7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9bd38549-3f82-4aff-bca7-5bb2f03547ad)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp b2699842-1763-4daf-8d73-f2463c81f2d9)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp bc845553-ffa8-466b-995f-50a80c4d2d5d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp 86b29e93-86a5-4005-818a-e1b2b05035bd) + (at 82.8 103.65 90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc40") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C18" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ba34dc4a-f7e7-4963-9319-46bb803273c9) + ) + (fp_text value "2u2" (at 0 0.25 90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a043c003-30cc-47d5-8029-88b6471b6194) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 290acd0b-d5f8-4559-81b7-7d47a3eb4421) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 8ebaf369-8070-4fb9-b100-10d48c7add82)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ff354488-c3b3-4753-94dd-2e709e6ebaa6)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 85df6aea-4147-4331-8a43-7d56f1164d6a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 622eb7f6-fbf5-46fa-a7cc-67480a4b5299)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a73cfc62-0975-4a12-ae2b-196bd93db9ee)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ccd233de-e4a0-48c5-9543-6145479acf52)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 50112e93-a752-435b-ad40-dfa26edce6cf)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7cf86ed-7eef-428d-9907-d26c6159dc9c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d25453d-a518-4040-a73c-6b886e8a21ee)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 67781ff1-ae73-4e99-83fa-9eb873ba7087)) + (pad "1" smd roundrect (at -0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 73895133-ffd5-4aa0-aa1f-58f38e25ff95)) + (pad "2" smd roundrect (at 0.75 0 90) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a6fae5e9-dbb2-4486-a09c-4b7a191e124c)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (layer "F.Cu") + (tstamp 8ed7e7a4-aa71-448e-ad98-dbc1f83dc2a8) + (at 118.65 103.05 90) + (descr "54-lead TSOP typ II package") + (tags "TSOPII TSOP2") + (property "LCSC Part" "C62246") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f3e609f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.03) + (attr smd) + (fp_text reference "U2" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 15058226-a3b8-45ac-a786-751022710a55) + ) + (fp_text value "W9825" (at 0.95 0 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 4aa6e4aa-2f6e-4c98-8499-e7f9cb07415e) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp cb339866-e039-4fea-98a5-ad1e28fbe7b2) + ) + (fp_line (start -11.3 -5.3) (end -10.9 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6cd58c81-66c8-461c-9d2c-1940269e909b)) + (fp_line (start -11.3 5.3) (end -11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 499034af-2292-4b0d-bb08-feeea9cbfea2)) + (fp_line (start -10.9 5.3) (end -11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7c4160a0-b536-4794-ae1f-cfa9664b1ca8)) + (fp_line (start -10.9 6.5) (end -10.9 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 140113ae-0f49-4516-a791-ad5abbf5a7a6)) + (fp_line (start 10.9 -5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 25f34940-2db4-4d1c-8d8d-9526aaf51d9b)) + (fp_line (start 10.9 5.3) (end 11.3 5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6e102334-9ed0-4aa2-8f2d-f7abcd31fff0)) + (fp_line (start 11.3 5.3) (end 11.3 -5.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b091bc46-300c-439c-92f8-549de61ff69f)) + (fp_line (start -11.36 -6.76) (end 11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp db4ecc3c-49ed-4be7-b5a4-ce5fa6ebd83f)) + (fp_line (start -11.36 6.76) (end -11.36 -6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9e3ad12c-67ce-434c-9427-3830b75044bb)) + (fp_line (start -11.36 6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 856c6921-9fe4-47ac-89b4-b858db359fce)) + (fp_line (start 11.36 -6.76) (end 11.36 6.76) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a8fa5587-286a-4ebb-bd0a-675dc085a4b1)) + (fp_line (start -11.11 -5.08) (end 11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9a65c459-a623-4fd0-8f9f-4331e00df2c8)) + (fp_line (start -11.11 4.08) (end -11.11 -5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ec199d8b-d0fe-4088-b9bc-d0a3354411f4)) + (fp_line (start -11.11 4.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b1be8301-5ad8-4d9a-b8cf-96e428937e6f)) + (fp_line (start 11.11 -5.08) (end 11.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5f6e8191-05a1-44ad-bcfe-f87aa3a793f2)) + (fp_line (start 11.11 5.08) (end -10.11 5.08) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c4b44a9b-2f4e-47a4-84c8-b64f0bee18cf)) + (pad "1" smd roundrect (at -10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 652aa0f7-a34d-42ea-8f1a-82fc363aa98c)) + (pad "2" smd roundrect (at -9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/SD0") (pinfunction "DQ0") (pintype "bidirectional") (tstamp b7d6750b-e836-47df-953e-2e03247cbce2)) + (pad "3" smd roundrect (at -8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 18f2effa-598c-4ff2-899f-df79f2c74aaa)) + (pad "4" smd roundrect (at -8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/SD1") (pinfunction "DQ1") (pintype "bidirectional") (tstamp f8738e6f-44c4-4d18-b532-ca103d2f6d70)) + (pad "5" smd roundrect (at -7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/SD2") (pinfunction "DQ2") (pintype "bidirectional") (tstamp ecbe6ddf-f466-4f1f-b87e-473f47f8cc1c)) + (pad "6" smd roundrect (at -6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 01e60eae-0352-4564-ac16-54bcfb077b5e)) + (pad "7" smd roundrect (at -5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/SD3") (pinfunction "DQ3") (pintype "bidirectional") (tstamp 76ba0f20-cd80-4e44-a446-23ee0bea92a6)) + (pad "8" smd roundrect (at -4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/SD4") (pinfunction "DQ4") (pintype "bidirectional") (tstamp 3015cd30-633b-4c4e-bdc0-e1c145bd9bd4)) + (pad "9" smd roundrect (at -4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 8930d32d-9883-4fac-b7d8-78d9135e2d5f)) + (pad "10" smd roundrect (at -3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/SD5") (pinfunction "DQ5") (pintype "bidirectional") (tstamp 134e45f8-4685-477a-977c-510c3f12160c)) + (pad "11" smd roundrect (at -2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/SD6") (pinfunction "DQ6") (pintype "bidirectional") (tstamp 873d160f-6cfc-4645-990c-775c80697704)) + (pad "12" smd roundrect (at -1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp 898481c8-cc8f-407a-adc3-38b863f0f842)) + (pad "13" smd roundrect (at -0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/SD7") (pinfunction "DQ7") (pintype "bidirectional") (tstamp 6de8af99-62e5-4f1d-a51d-3acda19f3d9c)) + (pad "14" smd roundrect (at 0 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp 86573358-e6e1-4ebe-be45-2ba79a6a5efa)) + (pad "15" smd roundrect (at 0.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 93 "/SDQML") (pinfunction "DQML") (pintype "input") (tstamp a1a5b978-3331-45c9-a5db-a74f39b180ff)) + (pad "16" smd roundrect (at 1.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 94 "/S~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 82b53990-c0d8-4685-8d77-6c9eb58c7275)) + (pad "17" smd roundrect (at 2.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 95 "/S~{CAS}") (pinfunction "~{CAS}") (pintype "input") (tstamp 76d17dc7-830c-4c8d-9650-1c8f4a83e6c7)) + (pad "18" smd roundrect (at 3.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 96 "/S~{RAS}") (pinfunction "~{RAS}") (pintype "input") (tstamp bbbcba62-e838-4109-a566-1a72198beca7)) + (pad "19" smd roundrect (at 4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 97 "/S~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp f949f55c-5db9-4244-a69b-db089342f069)) + (pad "20" smd roundrect (at 4.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 108 "/SBA0") (pinfunction "BA0") (pintype "input") (tstamp 7a2d38b3-eacd-46a1-840d-361f25bb34ea)) + (pad "21" smd roundrect (at 5.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 110 "/SBA1") (pinfunction "BA1") (pintype "input") (tstamp 9c0d39ae-6fe9-4844-a02b-464b513c2f20)) + (pad "22" smd roundrect (at 6.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 112 "/SA10") (pinfunction "A10") (pintype "input") (tstamp e173e8af-53bb-499d-b581-7ff6c90995f5)) + (pad "23" smd roundrect (at 7.2 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 98 "/SA0") (pinfunction "A0") (pintype "input") (tstamp 86690332-1896-4e75-bfdd-ce80d0caa734)) + (pad "24" smd roundrect (at 8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 115 "/SA1") (pinfunction "A1") (pintype "input") (tstamp dd963d47-7e79-460d-b662-433a53b30c93)) + (pad "25" smd roundrect (at 8.8 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 116 "/SA2") (pinfunction "A2") (pintype "input") (tstamp 3bd807f9-e57a-498b-af90-b991e0c18c35)) + (pad "26" smd roundrect (at 9.6 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 99 "/SA3") (pinfunction "A3") (pintype "input") (tstamp 60d97d33-b10d-4f18-8dcf-d0e5b715a38c)) + (pad "27" smd roundrect (at 10.4 5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDD") (pintype "power_in") (tstamp dfe9a983-ff63-4492-86de-2f73b47232cc)) + (pad "28" smd roundrect (at 10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp 668bfc47-b167-415a-a168-efa110dd87ae)) + (pad "29" smd roundrect (at 9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 100 "/SA4") (pinfunction "A4") (pintype "input") (tstamp d8826ba2-e92a-40e2-803a-aae513ff4578)) + (pad "30" smd roundrect (at 8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 117 "/SA5") (pinfunction "A5") (pintype "input") (tstamp bf2c99f1-0d5c-4cbd-8651-a2b07f7ec4b2)) + (pad "31" smd roundrect (at 8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 101 "/SA6") (pinfunction "A6") (pintype "input") (tstamp 329c8fd6-acb0-4256-936d-acf0abc37eb4)) + (pad "32" smd roundrect (at 7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 114 "/SA7") (pinfunction "A7") (pintype "input") (tstamp fd71331e-67a0-4ad8-9932-b63dbe16b471)) + (pad "33" smd roundrect (at 6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 113 "/SA8") (pinfunction "A8") (pintype "input") (tstamp eb196495-4fbe-4ea0-803a-203d06d363d0)) + (pad "34" smd roundrect (at 5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 111 "/SA9") (pinfunction "A9") (pintype "input") (tstamp c047d52b-aef7-4838-a4e0-652680e91e31)) + (pad "35" smd roundrect (at 4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 109 "/SA11") (pinfunction "A11") (pintype "input") (tstamp 7ed7579c-015c-4075-9cce-95cde8800efd)) + (pad "36" smd roundrect (at 4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 107 "/SA12") (pinfunction "A12") (pintype "input") (tstamp 4bb1e67f-a7ef-42ca-9b37-e47e9c268cc3)) + (pad "37" smd roundrect (at 3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 102 "/SCKE") (pinfunction "CKE") (pintype "input") (tstamp a524f131-417e-497c-984f-6069d91d43d7)) + (pad "38" smd roundrect (at 2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 84 "/RCLK") (pinfunction "CLK") (pintype "input") (tstamp bce0b523-d2db-401e-b502-ca6ec2a0c745)) + (pad "39" smd roundrect (at 1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 103 "/SDQMH") (pinfunction "DQMH") (pintype "input") (tstamp a95ce29d-d7ae-46f9-9df0-499cd8e429ea)) + (pad "40" smd roundrect (at 0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) (tstamp d18a8e4e-a960-48d5-a759-eec622a31052)) + (pad "41" smd roundrect (at 0 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp cd323879-f564-47b3-bce9-b3280140190e)) + (pad "42" smd roundrect (at -0.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 90 "/SD7") (pinfunction "DQ8") (pintype "bidirectional") (tstamp 9230cfeb-8c9d-487a-b1a4-695c049e8e03)) + (pad "43" smd roundrect (at -1.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 505b02fe-3f97-40e5-99fc-66d4ca6933a9)) + (pad "44" smd roundrect (at -2.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 89 "/SD6") (pinfunction "DQ9") (pintype "bidirectional") (tstamp 19e64116-7e27-4249-a060-453e5cd2e53b)) + (pad "45" smd roundrect (at -3.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 91 "/SD5") (pinfunction "DQ10") (pintype "bidirectional") (tstamp 71aa6672-be52-473e-ad0b-d7e51458865c)) + (pad "46" smd roundrect (at -4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp a7a3de98-65c0-4df5-8317-a857c9636ef2)) + (pad "47" smd roundrect (at -4.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 92 "/SD4") (pinfunction "DQ11") (pintype "bidirectional") (tstamp bfce08d4-e36b-48f0-8eb8-602ca4f3a1f8)) + (pad "48" smd roundrect (at -5.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 87 "/SD3") (pinfunction "DQ12") (pintype "bidirectional") (tstamp a01861a9-bf5f-4566-82d3-6427aeb7b715)) + (pad "49" smd roundrect (at -6.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "VDDQ") (pintype "power_in") (tstamp 49493cc1-2a6f-4c57-878f-5758ac2105ff)) + (pad "50" smd roundrect (at -7.2 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 88 "/SD2") (pinfunction "DQ13") (pintype "bidirectional") (tstamp a249fd81-83f6-40fe-9e03-bca954584709)) + (pad "51" smd roundrect (at -8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 86 "/SD1") (pinfunction "DQ14") (pintype "bidirectional") (tstamp f4295fbc-bd22-4989-a1aa-dcb506a577c3)) + (pad "52" smd roundrect (at -8.8 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSSQ") (pintype "power_in") (tstamp ec6c344d-4668-4902-9439-93025697e67b)) + (pad "53" smd roundrect (at -9.6 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 85 "/SD0") (pinfunction "DQ15") (pintype "bidirectional") (tstamp 2d306160-072e-4550-a6fb-09f44208cfda)) + (pad "54" smd roundrect (at -10.4 -5.75 180) (size 1.51 0.458) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "VSS") (pintype "power_in") (tstamp b2ca0bf9-07b4-429a-8175-97f28efb2c28)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSOP-II-54_22.2x10.16mm_P0.8mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:SOT-353" (layer "F.Cu") + (tstamp 9185038d-0fca-4955-be12-109ed79bc619) + (at 114.35 121.35 180) + (tags "SOT-353 SC-70-5") + (property "LCSC Part" "C12519") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-0000602499a6") + (solder_mask_margin 0.04) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U14" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e7b783ae-d808-49e3-a8ad-6e55080924f6) + ) + (fp_text value "74LVC1G125GW" (at 0 -0.35) (layer "F.Fab") + (effects (font (size 0.1905 0.1905) (thickness 0.047625))) + (tstamp 8f927b19-3b55-4f29-82db-eff86f1fd369) + ) + (fp_line (start -1.16 -0.73) (end -1.16 1.3) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 5d336753-0dad-407c-a3d0-5b88261456d0)) + (fp_line (start 1.16 0.68) (end 1.16 -0.73) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 92248281-b236-4de5-923e-239026ebc888)) + (fp_line (start -1.3 -1.6) (end 1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e89063ff-4c25-4a4d-a9dd-710140629a01)) + (fp_line (start -1.3 1.6) (end -1.3 -1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dcaa2036-62b8-4350-a5f9-1a3d44b210b5)) + (fp_line (start 1.3 -1.6) (end 1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9109170b-446b-4f72-9a70-1ba7cccc6eb5)) + (fp_line (start 1.3 1.6) (end -1.3 1.6) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ec6ac291-4fe3-4849-85f4-e4027d576ab1)) + (fp_line (start -1.1 -0.67) (end -1.1 0.18) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfdcb1f8-27f3-45da-b99a-0c0cab7f24a3)) + (fp_line (start -1.1 -0.67) (end 1.1 -0.67) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 16c6024c-a88d-4081-84a8-59dac4e88a2d)) + (fp_line (start -1.1 0.18) (end -0.6 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc8ad404-2956-4dc0-8859-4fb207d5f977)) + (fp_line (start -0.6 0.68) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 344fb0fa-c94b-4bd5-8fad-454101dc5bbe)) + (fp_line (start 1.1 -0.67) (end 1.1 0.68) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7f6da81-6cd8-49e0-a183-a4c1277bbbbb)) + (pad "1" smd roundrect (at -0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 122 "/RES~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp c665e6f6-ddfc-45f3-a96a-70d7e434c075)) + (pad "2" smd roundrect (at 0 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A") (pintype "input") (tstamp 7519597b-e87a-4721-802d-6ec3dc3d5959)) + (pad "3" smd roundrect (at 0.65 0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 89ae8613-7faa-423b-9b46-b64c14d6673a)) + (pad "4" smd roundrect (at 0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 153 "Net-(U14-Y)") (pinfunction "Y") (pintype "output") (tstamp 8fc54c85-2dab-4f83-989e-a8168142624c)) + (pad "5" smd roundrect (at -0.65 -0.85 270) (size 1 0.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp 7e7c546a-7a4c-43dc-b0ca-350914660b96)) + (model "${KICAD6_3DMODEL_DIR}/Package_TO_SOT_SMD.3dshapes/SOT-353_SC-70-5.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (layer "F.Cu") + (tstamp 951071b7-86ce-490c-b8cb-c7f4204390ba) + (at 107.1 102.5) + (descr "SMD Crystal SERIES SMD3225/4 http://www.txccrystal.com/images/pdf/7m-accuracy.pdf, 3.2x2.5mm^2 package") + (tags "SMD SMT crystal") + (property "LCSC Part" "C669088") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f710408") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "U13" (at 0 0) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp f91d4a3e-7e9d-40b3-b170-e89543e39242) + ) + (fp_text value "25M" (at 0 0.75) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 4a87ae59-8d62-4144-a2e1-43a153af0dae) + ) + (fp_line (start -2.15 1.1) (end -2.15 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 003593e6-aed0-46f4-93b6-3358d899f1a1)) + (fp_line (start -2.15 1.8) (end -1.45 1.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 996ff6a9-8874-4788-9ae2-18caa589148e)) + (fp_line (start -2.1 -1.7) (end -2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp de7b3654-1133-4535-81b3-b4cca4d9ea65)) + (fp_line (start -2.1 1.7) (end 2.1 1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0ef226d5-74ee-443b-99f4-a16d324a8dfd)) + (fp_line (start 2.1 -1.7) (end -2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 4164ab8b-6763-40a2-b5ce-59a0ca7127e3)) + (fp_line (start 2.1 1.7) (end 2.1 -1.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 454cc1fb-971b-4b79-b589-9649dda2d269)) + (fp_line (start -1.6 -1.25) (end -1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e9b40cb-100a-4749-8df2-a92d40f405ab)) + (fp_line (start -1.6 0.25) (end -0.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 02dfaa08-25ce-4586-b6a6-b4d45c3e4063)) + (fp_line (start -1.6 1.25) (end 1.6 1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cb454f67-6ec8-422e-805c-f3ac31610a79)) + (fp_line (start 1.6 -1.25) (end -1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9e4ef174-d9c2-4cd1-a9da-2f920b4c25d0)) + (fp_line (start 1.6 1.25) (end 1.6 -1.25) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0378f27f-344d-4d22-b8a8-258962e76002)) + (pad "1" smd roundrect (at -1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "EN") (pintype "input") (tstamp 25fdf426-fcf8-44f0-b147-5398ad0e6d8d)) + (pad "2" smd roundrect (at 1.1 0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 3aa18cb0-0e10-45a2-a95f-fdfc02de3c20)) + (pad "3" smd roundrect (at 1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 151 "Net-(U13-Output)") (pinfunction "Output") (pintype "output") (tstamp c9f940ee-6cb6-45db-b253-cf6d9d371421)) + (pad "4" smd roundrect (at -1.1 -0.85) (size 1.4 1.2) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vdd") (pintype "power_in") (tstamp 41dd4b63-50b0-4178-baac-8f1ae44f6b91)) + (model "${KICAD6_3DMODEL_DIR}/Crystal.3dshapes/Crystal_SMD_3225-4Pin_3.2x2.5mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp a59a71a2-dd0f-4220-9a50-224f45efc17c) + (at 113.65 90.75 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-000060865cde") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 239915fe-0a24-4e7e-a48d-35c77f6636a5) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp e785274f-7559-4054-994b-d38d1f7ae212) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ef001db4-3d86-4bad-bf46-87eecc3bd28b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fe9110e3-ea4c-4c68-9f06-bc2f2ca5eeca)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b1b0bd33-1af2-4a8c-ae24-10b5a3d836cb)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 75509961-fda5-48ff-9508-e5c59ee66441)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5cd689e8-8c95-4de3-be8d-09b6e62b9491)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47033c19-8138-4c29-99a3-efe3a48050f1)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0efcbed9-cc8c-48f1-a591-3b6f7eaa163d)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8d21b916-003a-4532-82d3-634e3d0a5c79)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b9e3150-5a4b-4060-8ff0-00f0a86a042a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cc7469fd-a147-40f4-835b-0c727b3a120e)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 503f6c0b-88b6-4c1f-ba49-65c086b544e0)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp f3d092e3-23c7-4be9-b0e8-6161605be370)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp b1c09a40-5910-49af-b489-4494f91edd90)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp a851e04c-a640-404d-9480-bd4787fc7889) + (at 136.31 128.27 180) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d107") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C1" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cad0791a-7fe1-4f6d-9cad-54688a3b9604) + ) + (fp_text value "10u" (at 0 0.35 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp cfc9ffc6-fd37-4f96-ae62-b762d8147df9) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e3ecd7f8-d52c-421e-aea3-0fd3c18ce214) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp cbfa043d-52b7-4915-9a49-3e5205fb3ac8)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 835bb042-97aa-4831-b86a-470162770cfe)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b3886326-4842-4a2f-ac4b-aa0d54e100a6)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6791c4cb-de9a-4e3a-a120-157cb8fb6841)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 52897b20-1f82-47c9-8aa9-ba9be0281f02)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1e4f6953-195d-4120-94e7-5c8bcde0aaf8)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp bc7bf5b0-dbda-4b44-941b-53e7bd8f78a6)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1bdf12e4-5856-4112-8333-b909163fac81)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 994e7323-b587-414b-ae77-c27990ca369d)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 53d2eda6-b70c-467d-a2b5-7dfb5e2402ba)) + (pad "1" smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pintype "passive") (tstamp 8ae3b857-74c5-4862-84ea-770427bc1dc4)) + (pad "2" smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 9f12e9ff-a3cc-4f73-a41a-25cdf47f112d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp a8b9aece-8d52-45cd-a388-05a9353be223) + (at 57.023 84.709 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d0fd") + (attr exclude_from_pos_files) + (fp_text reference "H4" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 374ce811-0e35-4661-9a48-3ad92ceac2c2) + ) + (fp_text value " " (at 0 2 90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 85bff722-c74b-4dcf-ae3e-f5f68772e151) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 46061da0-0c38-47dd-b284-d8284a461bef)) + (pad "" np_thru_hole circle (at 0 0 90) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 6162a26b-8f91-44a3-964e-1d5ccbf4cebe)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp ac61a1df-befd-4120-b95b-048776af9875) + (at 97.8 111.1) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00006288bc26") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C22" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3a791942-671e-421d-9cbb-c382681a2bb6) + ) + (fp_text value "2u2" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 4dbd976a-e71b-4dac-8d0c-41e17b61eaa2) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 8f02fcff-ccd5-43b7-b725-4ebcc4568ffa) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9f69c181-8ae0-429c-82eb-48442a080cf7)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b47f2757-887b-40b3-91ca-14f2d0191c1f)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0eba6618-d888-4746-ab1b-192d363ce59a)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1a0f3c0b-165e-4bdf-9103-a6a30297fdc6)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a1d9c4a2-f7f5-485a-a74b-a64ed780d428)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ce561ea0-b39b-4878-b63d-c564bad3df52)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3559e8cd-d9db-4a57-a042-65f2632218b5)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b51c4d7d-03b8-4f5f-85ee-ac3b64fb082d)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0d3fe6cd-d900-427f-a88e-cacea002cfb4)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b91f83a5-89e4-45b2-83e3-74f3bbb9902c)) + (pad "1" smd roundrect (at -0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 6217912e-1871-4f1b-b896-e01ffbefd795)) + (pad "2" smd roundrect (at 0.75 0) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp ddc8aa7b-d9d9-4e8a-be2b-83f8895397b4)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:SOIC-8_5.3mm" (layer "F.Cu") + (tstamp acd9040b-32d6-44f7-a0d0-244eeeb3c286) + (at 79.121 100.711 180) + (descr "8-Lead Plastic Small Outline (SM) - Medium, 5.28 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SOIC 1.27") + (property "LCSC Part" "C97521") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00006009ebad") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "U3" (at 0 0 90) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp b3ead1c5-e007-4e0f-a09f-99f880b6b9c3) + ) + (fp_text value "W25Q128JVSIQ" (at 1.27 0 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp f9c32814-74b3-478b-b608-be20fbd8701b) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 4b9e5c64-9ae2-42cc-88a3-7148c5f7fa23) + ) + (fp_line (start -2.755 -2.75) (end -2.455 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 5198c564-12ec-41a6-bee7-609417e8bc3e)) + (fp_line (start -2.755 2.75) (end -2.755 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 2e44dc9a-49e5-41ab-a088-59e68ae90ff7)) + (fp_line (start -2.755 2.75) (end -2.55 2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 7c0c4888-0050-44bf-a1de-258e50b897fd)) + (fp_line (start -2.55 2.75) (end -2.55 4.5) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 88755605-6b33-4c79-8782-c2a388f6a54b)) + (fp_line (start 2.755 -2.75) (end 2.455 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp cf168aa5-5f01-4526-befd-8b90fc7ef600)) + (fp_line (start 2.755 2.75) (end 2.455 2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp c0f17fac-fcaa-4171-a252-133821f26093)) + (fp_line (start 2.755 2.75) (end 2.755 -2.75) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 6f1f39b1-85cb-4629-acf8-29918fc07845)) + (fp_line (start -2.95 -4.75) (end 2.95 -4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 587aa892-526b-4cd2-8672-257026790a20)) + (fp_line (start -2.95 4.75) (end -2.95 -4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 37ad663c-14b6-4edb-8f28-21b5da0aa937)) + (fp_line (start -2.95 4.75) (end 2.95 4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ebb78524-0e10-41a7-9133-261dfe76c696)) + (fp_line (start 2.95 4.75) (end 2.95 -4.75) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b5f2e6c-f186-4a1f-8d22-35bde5d3941c)) + (fp_line (start -2.65 -2.65) (end 2.65 -2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ed18ce3a-7c29-49df-8b7f-4ad9ede81ae2)) + (fp_line (start -2.65 1.65) (end -2.65 -2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4b751e68-5e11-4971-880d-9754de05c75d)) + (fp_line (start -1.65 2.65) (end -2.65 1.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 3503bb18-4b83-4edc-9016-1ed4a1934e35)) + (fp_line (start 2.65 -2.65) (end 2.65 2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 35fd434c-03e4-4092-ba73-fb7f057f4bb5)) + (fp_line (start 2.65 2.65) (end -1.65 2.65) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 283bfa53-0e86-422b-9d56-0e8259eb176c)) + (pad "1" smd roundrect (at -1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 120 "/F~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 6eb459e9-c5c8-4928-9a96-f6b3b4a13fc3)) + (pad "2" smd roundrect (at -0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 118 "/MISO") (pinfunction "DO/IO1") (pintype "bidirectional") (tstamp 753624ab-68ba-4c36-8790-5dbffeb6698c)) + (pad "3" smd roundrect (at 0.635 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 123 "/FD2") (pinfunction "~{WP}/IO2") (pintype "bidirectional") (tstamp fc3ff1ba-7b84-41e0-93d9-626dacea4f99)) + (pad "4" smd roundrect (at 1.905 3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 721b21ba-2276-45d2-ab01-dbc85ac0cf16)) + (pad "5" smd roundrect (at 1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 119 "/MOSI") (pinfunction "DI/IO0") (pintype "bidirectional") (tstamp 9bd73e65-a33c-498e-8488-4f11e650c754)) + (pad "6" smd roundrect (at 0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 121 "/FCK") (pinfunction "CLK") (pintype "input") (tstamp fd02ca4b-47e0-4d18-98dc-e27f1671144d)) + (pad "7" smd roundrect (at -0.635 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 124 "/FD3") (pinfunction "~{HLD}/IO3") (pintype "bidirectional") (tstamp f9696dcb-9d8f-469b-8a83-64b20f0c0999)) + (pad "8" smd roundrect (at -1.905 -3.65 270) (size 1.7 0.7) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp cf6fff5c-fff6-465f-8b67-f9bdc896b8dc)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/SOIJ-8_5.3x5.3mm_P1.27mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp aed407e9-ad35-4f97-b64c-77250b9c41de) + (at 107.35 95.2 -90) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/56cf74c7-8e27-41bd-94f2-0d0c9e3b7cfc") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R3" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 674a5c79-e912-49f4-aec3-c1e81190d0de) + ) + (fp_text value "47" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 1f498271-9ec5-4138-af2d-f75bf1b785ee) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 50da90af-25e5-4482-a0e9-595d7ea5b95b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp aa6ca9cd-de3e-48cb-94b9-e146f5aba7d4)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 06d8e1f3-e00e-4c22-ae9c-9b92967a91eb)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f23c5c59-ae18-4408-bc35-c3a07f9c50cb)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a6ebf43b-74bb-45dc-9bff-e1e42cbd7e13)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f6dbad1d-5800-4eb0-8994-8a771f551ec9)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 97b5cc59-7dec-4b1d-9d0f-253359556e93)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c443036c-3de5-409c-866a-719dbad38555)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8941bab9-3d4b-4eb7-9962-6ed973a4e2aa)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 88ba171a-77e8-4b7c-8bd5-949800a56054)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7dcc18d2-42ba-4b62-93e0-9a9ff5036af2)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 83 "/ACLK") (pintype "passive") (tstamp b312e957-814d-42ff-9823-82f7bef3a15f)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 136 "Net-(U16-Y)") (pintype "passive") (tstamp 3383ebd2-e71a-4303-b68e-9d0313413926)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp afce92fa-41d0-4948-94c5-5cdb23c5bf83) + (at 143.002 127) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d0fe") + (attr exclude_from_pos_files) + (fp_text reference "H5" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 7ccb5cd7-ee49-404e-843f-97b8ee59dd6d) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 2857d83e-1ab1-4841-981d-a1af2178f0e6) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 68fc889d-ddb9-476e-b714-39efde6e1033)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp af5f4439-87ae-46d5-bfaf-0edfcbe359f8)) + ) + + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "F.Cu") + (tstamp b8d2ec15-2d5b-47a7-85fe-adf49c1d7d51) + (at 69.0245 120.904 -90) + (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") + (tags "tag connect programming header pogo pins") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-0000607c0f47") + (attr exclude_from_pos_files) + (fp_text reference "J5" (at 0 5 -90) (layer "F.SilkS") hide + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 020dbde7-04fc-49c4-aa94-651249362063) + ) + (fp_text value "Flash" (at 0 -4.8 -90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 9222b043-8542-4412-8215-52fb553b9470) + ) + (fp_text user "KEEPOUT" (at 0 0 -90) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp c7488a81-7cf7-4147-9e66-96d7c342cf20) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp f012ff63-3633-4a89-a82a-be71c3237818) + ) + (fp_line (start -3.175 1.27) (end -3.175 0.635) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp ba236bf1-c8a6-40ed-8750-9ef3b523fc9e)) + (fp_line (start -2.54 1.27) (end -3.175 1.27) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp fceb5fee-be10-41d9-951b-6d769420b498)) + (fp_line (start -5.5 -4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ae8d42e8-36a2-4f8f-88b3-14c3ccd1fb9d)) + (fp_line (start -5.5 4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bb61b5ea-caa6-4b15-b1ad-1c9d099267f5)) + (fp_line (start 4.75 -4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f9e6812e-a813-415d-a4d0-31c1adbf1f12)) + (fp_line (start 4.75 4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a7272d39-4280-44b6-8e46-10bc4e0da007)) + (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7af42f98-5bae-4544-893b-b525a5009575)) + (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 07353f25-9a07-49a2-b43b-72b595461c22)) + (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 0ab40a38-55a2-49c9-a970-03ebfdfde6a2)) + (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 7b4979f1-f917-4d84-a583-efd273c282e9)) + (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp b6c3c716-1806-452e-971c-4f344367f699)) + (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp aa43125c-3a58-47ba-b403-81358996c88e)) + (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 152c1d3d-6bfc-4b30-8aa0-cead4d9b43b0)) + (pad "1" connect circle (at -2.54 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 120 "/F~{CS}") (pinfunction "Pin_1") (pintype "passive") (tstamp 4794b95c-f069-4993-ad8a-7a6e17f97684)) + (pad "2" connect circle (at -1.27 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 70 "+3V3") (pinfunction "Pin_2") (pintype "passive") (tstamp f1eb1144-62aa-4b23-ba35-034545ecb0ef)) + (pad "3" connect circle (at 0 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 118 "/MISO") (pinfunction "Pin_3") (pintype "passive") (tstamp 61b36d9d-52ec-4553-9406-09e5c1bfccd5)) + (pad "4" connect circle (at 1.27 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 124 "/FD3") (pinfunction "Pin_4") (pintype "passive") (tstamp a87c2bcf-c808-47a3-803d-b81452b96e90)) + (pad "5" connect circle (at 2.54 0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 123 "/FD2") (pinfunction "Pin_5") (pintype "passive") (tstamp 1bcf71f4-d9c6-4813-895b-03c4b7696724)) + (pad "6" connect circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 133 "Net-(J5-Pin_6)") (pinfunction "Pin_6") (pintype "passive") (tstamp 2c97b216-176d-40bb-bdc7-51a4c6f57903)) + (pad "7" connect circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 2 "GND") (pinfunction "Pin_7") (pintype "passive") (tstamp 2b5bad71-4eee-4429-8980-5b8a6082a13c)) + (pad "8" connect circle (at 0 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 119 "/MOSI") (pinfunction "Pin_8") (pintype "passive") (tstamp d370a3cf-5e74-4206-b030-0fd697dd4798)) + (pad "9" connect circle (at -1.27 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 134 "unconnected-(J5-Pin_9-Pad9)") (pinfunction "Pin_9") (pintype "passive+no_connect") (tstamp fa8762af-85e6-4a4f-91cf-8bc8a4f06214)) + (pad "10" connect circle (at -2.54 -0.635 270) (size 0.7874 0.7874) (layers "F.Cu" "F.Mask") + (net 135 "unconnected-(J5-Pin_10-Pad10)") (pinfunction "Pin_10") (pintype "passive+no_connect") (tstamp 431478fe-29bc-4e99-846a-65cc1099585c)) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp 561ffb3a-8a50-4e29-8932-ace4bb101202) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 68.3895 118.364) + (xy 68.3895 123.444) + (xy 69.6595 123.444) + (xy 69.6595 118.364) + ) + ) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp b8d7b1c2-2b59-4aa6-b683-80343535d6fe) + (at 54.65 90.0006 180) + (tags "capacitor") + (property "LCSC Part" "C1653") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/107189a5-7228-421d-a045-83b47ccfcee2") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C9" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 558720fd-ed2f-4732-af06-375f1752bcb9) + ) + (fp_text value "22p" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 7fb214e5-fe0d-47c4-b84f-c88b3d769e93) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 31e025c9-f50b-4a72-b96c-19bd3846ac40) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 32fdbe6c-1e5b-4538-90f9-09b5a1966e50)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f1879ba3-7f72-47da-bbe4-f711c8481a32)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 30e7c49f-7f23-452f-b0dc-6e63c492f459)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b424ac29-b79b-4efb-8451-3c9432b61008)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82faeade-2a96-4b73-88fa-7670e16d952d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 72e64a59-3c12-4fe1-a931-a44736afda03)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1d43ceb4-733c-4958-b8a4-234a61cfde16)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 9ba12d58-da64-4c00-bd22-c24e617408a5)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f8f123bc-2cce-42ce-9a47-aa6f4c6e4511)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 93f4a6e7-ad1c-433a-96fd-f7dd576798fa)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 126 "Net-(U7-XI)") (pintype "passive") (tstamp 99f96d59-f552-4423-818f-74f24e3775b0)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 3290c096-cc61-4384-a247-ce03d537a90b)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp b97a049e-53e4-4c90-821e-b3bb69053afc) + (at 126.45 93.4 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000612ba8a4") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C32" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 5f426a7f-5676-44a0-93df-dfc090abdfe8) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d7bb52f0-671f-449a-933b-58f15f9d47f1) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 62f2b734-6789-4e30-a6ce-3a9d973e4a5b) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 656c9885-782e-4c76-8907-f7f0b60609a3)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 176dc78d-e508-44ae-b400-1ef1e52111f2)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c8e07d1b-2f90-48be-9f80-48e3cceee8ac)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp be3011a5-ecfc-4bd5-b7e7-ac7b26021f5c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 36c44e3f-4443-4fa5-91f9-55fd48d3159b)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6820bca6-af0a-4348-b0d9-e2f57a931652)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 683b0444-ab3e-46e7-812f-62daf952b347)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e0003ce1-635e-4690-8da7-7ac86afd3e6c)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c54615f4-5f80-4061-a135-d7ac2839bcde)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d1585a8c-4be1-4b69-8c1c-01775d2ad5fe)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 881e29a6-0ba9-4833-b887-f6ac735c20d0)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 12854305-cfaf-44e4-8fca-da40b1c6276f)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp badd1804-20e2-4457-bc4b-1690f4608b98) + (at 130.35 124.2 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005cc13929") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C11" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp e7428c3f-cc09-41aa-8b9f-b08b40cf375b) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b220d888-90e8-4a5b-93ad-b2e88d4b450c) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f61d74d0-bc4a-46b3-b371-27268567d178) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 943c4f2b-ee69-4fae-b3c6-c95534fb2b91)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 16c44211-5e22-4d95-a007-74a58379b1a8)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 407be8f5-f76c-4a7c-afbb-d26557e1389c)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40aecedf-ea8a-4c97-a1b2-9c8189651c2a)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bdac1b99-315f-47f8-9368-67ead63028a4)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp ff36283b-fcb2-4771-91d6-7c190c04d4aa)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 83a55212-eb83-4f7c-9a7f-732f8b10b5bb)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 7e074eed-d9e9-4552-bb5d-7e84b07729d6)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c4cd0586-9d4e-435b-a1dd-5f0ce7b792b0)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 61f6b6f9-65f4-44fa-8943-b96e0f7f7616)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 85dfa765-19b5-4f72-809e-fcd80081451a)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp ba36c849-33cd-4484-8a56-d1f7fb2354ca)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp bd3d789a-8aa0-4801-8c2f-98c8578f00b3) + (at 48.133 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005f45d110") + (attr smd) + (fp_text reference "FID5" (at 0 0.05) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp f83560ef-52c4-4fe6-9ad1-11e66106aa30) + ) + (fp_text value "Fiducial" (at 0 1.651) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 1cdbe1e8-52ba-4cdc-8c99-f66b66b941b3) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp df757911-308c-47bb-aa46-6d1c40c9d058)) + (pad "~" smd circle (at 0 0) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 0bf3d804-9582-4315-8967-b4baba41bca6)) + ) + + (footprint "stdpads:Fiducial" (layer "F.Cu") + (tstamp c1394d7c-7aa8-4452-a541-21d4372393d5) + (at 58.801 82.931 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Fiducial Marker") + (property "ki_keywords" "fiducial marker") + (path "/00000000-0000-0000-0000-00005d321da8") + (attr smd) + (fp_text reference "FID3" (at 0 0.05 90) (layer "F.Fab") + (effects (font (size 0.381 0.381) (thickness 0.09525))) + (tstamp 1c842cfb-b98c-4083-b116-750e9b0d9a18) + ) + (fp_text value "Fiducial" (at 0 1.651 90) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 05d0255d-ce6e-4de8-bd7e-41c7f208fa7a) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 5f4d995d-80cb-4584-97b1-97b1dadd4326)) + (pad "~" smd circle (at 0 0 90) (size 1 1) (layers "F.Cu" "F.Mask") + (solder_mask_margin 0.5) (clearance 0.575) (tstamp 44e1cce1-a67c-485b-a9af-4ec13c9010f1)) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp c47ffbbb-9423-4846-a7f2-6df9b2203093) + (at 63.8175 96.4565 -90) + (tags "resistor") + (property "LCSC Part" "C25804") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/1aa525ce-b203-4d1d-9dda-67b9ac46b9de") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R7" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 08ecc9a6-35fe-4f6b-af3f-367c466d80f1) + ) + (fp_text value "10k" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 6ba5b86c-dfb4-4a98-9a2e-5740a8e3295b) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f179590a-dea7-44b5-b39f-9283d50059a2) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0afd1da2-d8ce-437e-a2fa-b1c522e8c223)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7b07c613-9477-4d6b-b1b2-af945fb5ed15)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f29c9145-a6c2-463d-8914-3782eca69c94)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b5483473-6b7a-4831-87f6-944d557b5858)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58809b9b-3357-431a-aa4f-859dfc5a4914)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6222b43-7370-4ffe-999c-1e48eb065a97)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8be2d4b3-6c8f-48a4-b92f-71adf61eb3e2)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 1551e1b2-3e4a-4e3a-ab85-465acc2a4bb0)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5fae0ae6-9892-4569-b3ae-274d1c5222ee)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0cce5158-e3b6-434b-b513-b13592cec634)) + (pad "1" smd roundrect (at -0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp cfa9b39d-6968-40dc-bfd7-71ffbc3f7c38)) + (pad "2" smd roundrect (at 0.8 0 270) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 48 "/TMS") (pintype "passive") (tstamp 54368c9e-3a66-40ba-a087-d6298f1ab59c)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp cbb07c07-7189-4e3a-b0e7-770e7ac974cb) + (at 119.45 124.35 180) + (tags "resistor") + (property "LCSC Part" "C23345") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/b1655eaa-2a10-4ed9-badb-1b7a4eeb6c42") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R13" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 1ed94863-a5d8-4ffe-af2c-6cc0339050f8) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b4e916b1-e65e-4355-ae6b-b7e55207b266) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d0c9bf0f-f5a9-41c2-958b-a1bce9205a85) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 9c013c77-31ea-4504-886f-8300857eea2c)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 59d8c343-47db-4439-819d-8ecfba887cd0)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7bea51ea-60f5-4f33-93be-b7b88cfdf850)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 9ddf311d-2e97-41e8-9ae8-0f3c41aafc2c)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dd6a453c-8fd5-4f2d-9b18-664021bc0fcc)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp dee7f38e-b7e0-4c97-9ae4-54d38f36fbea)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 25c78f1f-7815-492b-982d-b6eb3fd83c7a)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d61bf0a0-8ec9-4c47-85eb-d6d4a666f940)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2b889d52-3f7e-43c4-b60b-52393ebc33ae)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 15f531a9-b1f8-4bbb-ab16-6dbdd98d19af)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 34 "/~{IRQ}") (pintype "passive") (tstamp 244f84ad-808f-42f2-b117-e2eecf4d0f52)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 155 "Net-(U11-Y)") (pintype "passive") (tstamp cff6196b-376c-4959-af9e-35e3bf8e0de1)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp d759f502-db8a-41fd-9b3b-963ba25714e5) + (at 114.8 124.35 180) + (tags "resistor") + (property "LCSC Part" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/7f02bd1d-3ceb-4b8d-a3ef-2a0349fbbc6b") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R11" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp ce3744f4-c845-497e-ac2f-9074cabb2dd5) + ) + (fp_text value "DNP" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 61a335c9-ab25-414f-b77d-0dad20190ff4) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7257663b-5296-4006-9d34-f8c48bd9d00c) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 18a4f06b-c0ea-4fff-b1df-6d6c9641a0a0)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 863de5c8-0e99-4e57-9639-66eb11bfa872)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1b2497ed-2a05-4dd7-b0ad-a1a94a711fad)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 93ebba4c-cd61-41eb-b8ec-d8ac7c2df3dc)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f81e9c63-a4d1-46b5-b3e0-ae3223adfa9d)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a4fe7e3a-e8b4-4a94-b9c5-96a26e9298cf)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 541b1fec-e62d-4dd9-985c-4d9b5efc9ee8)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a48216fa-be79-4505-95ee-e22b03b7a263)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2c45f020-fe35-46b2-a1e0-24ec0f3f73f7)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd896a5f-9b1e-4616-ace9-67ab5bd06fc8)) + (pad "1" smd roundrect (at -0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pintype "passive") (tstamp c0397573-d58d-4a18-be34-bcaeb6703130)) + (pad "2" smd roundrect (at 0.8 0 180) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 153 "Net-(U14-Y)") (pintype "passive") (tstamp d4059ea9-1b4d-4c0d-8f96-86be9de60dcc)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:PasteHole_1.152mm_NPTH" (layer "F.Cu") + (tstamp d8a59e07-abd8-4823-921b-c7b99403de3c) + (at 48.133 127) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags "marker") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Mounting Hole without connection") + (property "ki_keywords" "mounting hole") + (path "/00000000-0000-0000-0000-00005f45d122") + (attr exclude_from_pos_files) + (fp_text reference "H2" (at 0 0) (layer "F.Fab") + (effects (font (size 0.4 0.4) (thickness 0.1))) + (tstamp 697b04dd-120e-4e23-811b-b790c5af5ac1) + ) + (fp_text value " " (at 0 2) (layer "F.Fab") hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 005b4273-c07f-40aa-80c0-33edb611d714) + ) + (fp_circle (center 0 0) (end 1 0) + (stroke (width 0.1) (type solid)) (fill none) (layer "F.Fab") (tstamp 8f23f6e8-b35a-4f1b-bf14-4dd82268c7af)) + (pad "" np_thru_hole circle (at 0 0) (size 1.152 1.152) (drill 1.152) (layers "*.Cu" "*.Mask") + (solder_mask_margin 0.148) (tstamp 1b0aa41b-c870-4a9c-b14a-32798649e073)) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp e32239b6-84ec-429e-b06d-b41f3d783291) + (at 116.244 128.27) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005d12ab6d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C3" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp f6ef92ac-bc66-40b6-8434-540fcfd8d3e4) + ) + (fp_text value "10u" (at 0 0.35) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 7ea7280d-5eb7-490e-8f6a-56a3c7cee3f2) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp d0c904ae-cf29-4675-bf53-9de14df92a96) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f064d702-3282-41b4-ab0c-dd63c4869fba)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp f0636470-89df-483b-8c0c-f47bbca05a83)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 8718e308-36b1-420a-a234-f0cb66feb2b5)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 83c68b70-cdb2-44f2-b645-b71b8f7c2bfb)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 10f5eb45-8acd-46e5-a947-82644a6ec8e9)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 732ddf0c-c549-44c9-8e2a-597b4a9c5bcd)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 6f9c9bfe-269b-4bcd-804b-a952d612529e)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 4e8fed5d-1cee-4db9-92f0-6bbf06fa3183)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c69cad72-bf96-4b43-8658-035f6a8d57ef)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 127e62d6-afbd-4b5b-baf3-1da955514010)) + (pad "1" smd roundrect (at -0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 4c88d114-2e06-4acb-bb2b-b7cf549a6d3e)) + (pad "2" smd roundrect (at 0.85 0) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 24 "-5V") (pintype "passive") (tstamp 3d0027b1-e93c-4744-a4ce-48f6d106b238)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (layer "F.Cu") + (tstamp e49c8f44-7bc7-46c1-a047-9fea21bd1725) + (at 108.625 122) + (descr "20-Lead Plastic Thin Shrink Small Outline (ST)-4.4 mm Body [TSSOP] (see Microchip Packaging Specification 00000049BS.pdf)") + (tags "SSOP 0.65") + (property "LCSC Part" "C5516") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (path "/00000000-0000-0000-0000-00005f5039e1") + (solder_mask_margin 0.024) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "U5" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 570e09d8-fe4a-4170-b7b2-1ef0bcdd96ca) + ) + (fp_text value "74AHC245PW" (at 0 1.016 180) (layer "F.Fab") + (effects (font (size 0.508 0.508) (thickness 0.127))) + (tstamp 5db1368a-4f79-4ead-8b04-e3494129ebc5) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + (tstamp 85468525-2c50-466d-aea3-5b8726856f7d) + ) + (fp_line (start -3.45 3.75) (end -3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 4da5596b-9296-4c64-952e-4500783b1244)) + (fp_line (start 3.45 2.225) (end 3.45 -2.225) + (stroke (width 0.15) (type solid)) (layer "F.SilkS") (tstamp 9b9c2a4f-3425-4425-9220-cb26ad72ef2e)) + (fp_line (start -3.55 -3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2c1601cc-817f-46af-a284-37cb83e5355d)) + (fp_line (start -3.55 3.95) (end -3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 82119468-8be9-4df7-857e-ae077b872a3d)) + (fp_line (start -3.55 3.95) (end 3.55 3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp f0f80c1b-cd3f-476b-a977-9cfb0fcb5659)) + (fp_line (start 3.55 3.95) (end 3.55 -3.95) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp bde72f34-2280-4206-b2b5-407182fd90d7)) + (fp_line (start -3.25 -2.2) (end 3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c7e1dd7d-b83a-4e95-b64c-1216c86166c6)) + (fp_line (start -3.25 1.2) (end -3.25 -2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 52046fc8-1e7e-43f8-94f4-8a19f684ef5c)) + (fp_line (start -2.25 2.2) (end -3.25 1.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 1446bc0d-6061-474a-a499-32a8f9709dce)) + (fp_line (start 3.25 -2.2) (end 3.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp ca8086b8-806f-4cb6-a92b-8452ff54baf6)) + (fp_line (start 3.25 2.2) (end -2.25 2.2) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 0703579d-84c0-460c-a53c-03ed11ca847a)) + (pad "1" smd roundrect (at -2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "AtoB") (pintype "input") (tstamp 2ee9f82c-387a-46d2-9f7b-d2d93cf646f7)) + (pad "2" smd roundrect (at -2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 25 "/~{IOSEL}") (pinfunction "A0") (pintype "bidirectional") (tstamp 599bb419-6123-4444-b55e-7b9d375d33ed)) + (pad "3" smd roundrect (at -1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 43 "/~{DEVSEL}") (pinfunction "A1") (pintype "bidirectional") (tstamp 6c85f54b-12da-4503-9816-ddfd8d41f64d)) + (pad "4" smd roundrect (at -0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 42 "/PHI0") (pinfunction "A2") (pintype "bidirectional") (tstamp 9b139438-285e-4f3b-989a-23532d1b48a2)) + (pad "5" smd roundrect (at -0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 32 "/~{IOSTRB}") (pinfunction "A3") (pintype "bidirectional") (tstamp 64a35d0a-76cf-4347-b994-ed3f6757a4b7)) + (pad "6" smd roundrect (at 0.325 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 35 "/~{RES}") (pinfunction "A4") (pintype "bidirectional") (tstamp 58e275f2-3c30-4e24-9050-e104c9cee3ee)) + (pad "7" smd roundrect (at 0.975 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 31 "/R~{W}") (pinfunction "A5") (pintype "bidirectional") (tstamp 11437bf2-96ee-4795-9fd3-15cdbef54f93)) + (pad "8" smd roundrect (at 1.625 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A6") (pintype "bidirectional") (tstamp 8d3e234a-c409-4910-b979-53522de32b73)) + (pad "9" smd roundrect (at 2.275 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "A7") (pintype "bidirectional") (tstamp 7eb9fbb3-8da2-4708-adb2-b1b17ffac613)) + (pad "10" smd roundrect (at 2.925 2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "GND") (pintype "power_in") (tstamp 4a138505-1cca-4d42-9ac4-acf6fa08103c)) + (pad "11" smd roundrect (at 2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 148 "unconnected-(U5-B7-Pad11)") (pinfunction "B7") (pintype "bidirectional+no_connect") (tstamp 578ad0f1-6a32-44f0-b567-fc58c2b8c767)) + (pad "12" smd roundrect (at 2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 149 "unconnected-(U5-B6-Pad12)") (pinfunction "B6") (pintype "bidirectional+no_connect") (tstamp 2db592cd-caf5-4290-bbbd-b2658bc8c504)) + (pad "13" smd roundrect (at 1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 104 "/RR~{W}in") (pinfunction "B5") (pintype "bidirectional") (tstamp 3ec085b0-e5fd-4b55-b895-0f5c3b414f9f)) + (pad "14" smd roundrect (at 0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 72 "/R~{RES}") (pinfunction "B4") (pintype "bidirectional") (tstamp 6bbaf1fb-1663-458b-9742-c149319daeee)) + (pad "15" smd roundrect (at 0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 73 "/R~{IOSTRB}") (pinfunction "B3") (pintype "bidirectional") (tstamp 059cf10d-ce40-470b-b969-eeb30c92d7f4)) + (pad "16" smd roundrect (at -0.325 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 125 "/RPHI0") (pinfunction "B2") (pintype "bidirectional") (tstamp 78cb4d23-67f0-41ce-8bb0-a55935cc720a)) + (pad "17" smd roundrect (at -0.975 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 74 "/R~{DEVSEL}") (pinfunction "B1") (pintype "bidirectional") (tstamp aa793292-f534-4e06-abe9-9f621880f578)) + (pad "18" smd roundrect (at -1.625 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 75 "/R~{IOSEL}") (pinfunction "B0") (pintype "bidirectional") (tstamp c51f5c5e-0de0-4357-b4ee-1f7edc2bf9cb)) + (pad "19" smd roundrect (at -2.275 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pinfunction "~{OE}") (pintype "input") (tstamp 54e977f4-0fdc-482e-987c-44d55df9493f)) + (pad "20" smd roundrect (at -2.925 -2.95 90) (size 1.45 0.45) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pinfunction "Vcc") (pintype "power_in") (tstamp f5dcce9f-6470-4291-8a77-cc206b3c7fa2)) + (model "${KICAD6_3DMODEL_DIR}/Package_SO.3dshapes/TSSOP-20_4.4x6.5mm_P0.65mm.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp ea37164f-4981-4f25-b23f-8a074b831ce3) + (at 90.15 91.7 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb8d") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C26" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 95b6b437-a24a-4dfb-8e42-752dd2c03476) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 63fc61d0-cc6d-4fab-b9cf-732da334b3c3) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 09e08729-3f70-4991-b0fc-5b461c2f7e3d) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f7cfa204-cf65-4f32-ab59-8ea8dcbcb83f)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 6acd4460-0cd4-4740-8619-e80287c52a96)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98b9230f-35f4-4262-b5ad-1346b215c2e5)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3aef7c3b-e5f0-4034-bd86-ef01ff5c0514)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 6636ff51-0031-42f8-83dc-c2df8d498cbb)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e7bbafbe-b2a0-458f-b329-09c43539eaaa)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f3179d03-08cb-4e77-bb8f-8fa7953297b8)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp ecb50b6e-f18a-49e5-b707-5cb8e6ad9566)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a3c78b34-ff58-4cbd-931a-b05f96419fa5)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c57e9a4d-4251-4f77-bd5e-a63e16f5beec)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 2287a36a-c201-4611-a798-d48bcb1c4213)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 487af21d-7a0b-4fa4-86bd-ff4d5e51b244)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:R_0603" (layer "F.Cu") + (tstamp ece02d9e-c179-48f0-8f5b-c52e8e3071d4) + (at 69.15 114.65) + (tags "resistor") + (property "LCSC Part" "C23182") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Resistor, small symbol") + (property "ki_keywords" "R resistor") + (path "/a2e84b69-057c-42aa-8c36-49bd71c2b60f") + (solder_mask_margin 0.05) + (solder_paste_margin -0.05) + (attr smd) + (fp_text reference "R12" (at 0 0) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp c9b6249e-5106-41fe-9eee-d075d75d1b7e) + ) + (fp_text value "47" (at 0 0.25) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp a47b2472-edd7-457e-965c-9dbdbc95893e) + ) + (fp_text user "${REFERENCE}" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 44e61c56-fc8c-4d5a-823e-0a304cd7a8d4) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp acfb7482-6025-4bea-9459-8b40f06d3768)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f498ee64-486d-4de2-a2f6-44989f4f215c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 58300f0d-53d9-423e-b4b8-5e3308c7d7cf)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3f6e56e5-d03f-4681-961c-33c8cb09e246)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32b719d6-5d20-40a5-ad8b-2d013e8377d0)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 47b33066-19f9-4cad-b70b-27e73641cc20)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp dfc1e110-cc0a-40b8-90b8-e2d7c4e8fff6)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 21b181ac-e2c7-4032-84ee-cdc0cf4870b8)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4fc2aaa7-4ff2-4c86-9282-98c032543436)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 929d0086-4387-403d-87e9-0d2dd014dc8f)) + (pad "1" smd roundrect (at -0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 154 "Net-(J2-Pin_1)") (pintype "passive") (tstamp e1502880-a764-444a-9a18-340b878bdad3)) + (pad "2" smd roundrect (at 0.8 0) (size 0.7 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 46 "/TCK") (pintype "passive") (tstamp 087de6cd-0605-4604-a740-10136f7728bb)) + (model "${KICAD6_3DMODEL_DIR}/Resistor_SMD.3dshapes/R_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp edffa094-9b91-4e2f-8ae4-e9357a2fe9c4) + (at 97.15 91.7 180) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb46") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C25" (at 0 0 180) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 01390a6d-2731-401a-b418-adda01be5c05) + ) + (fp_text value "2u2" (at 0 0.25 180) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp 2939d9d8-24d7-46a8-90dc-c25eccda756d) + ) + (fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 16b30ef0-8960-4c55-9bc4-0af4b789d90f) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 76544742-aebd-416c-8a5a-01197949e0de)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp b29cd923-d7a3-47ac-9240-706b5715b387)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp e2e857e5-f028-4de8-b017-34cdb955f35b)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b358cd53-1943-4a2c-ba1c-c31fbc0fde74)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp d6ff141c-84f8-46e8-87c9-a295ba9b163b)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 1d665eb5-02c6-4bc3-9662-20eaa47e8f45)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp fd458ea8-7f02-4004-aae2-00eff6b7dd71)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d03e6402-67a7-4222-87be-09245560580b)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp cf310b55-58db-4a3f-b460-e84a697eb1bc)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 8f51da50-ff8d-4ebd-ad97-a64c265cd200)) + (pad "1" smd roundrect (at -0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp ac4ad673-6ff7-498c-b146-311d7d8c3118)) + (pad "2" smd roundrect (at 0.75 0 180) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp a1166bda-5140-43a1-84c4-df74363a6a69)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp f064bf89-9245-4baa-8590-f4f6868b0955) + (at 140.1 124.2 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d109") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C7" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 42a22975-6535-4681-b8e8-da9807c74341) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp b2ca5099-6bfd-4e26-949b-fe335a71f111) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp db80120d-8a9d-42c7-9ab0-22875683ccbd) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4db05ef0-f00d-4dfc-a7e2-9b13d13482cb)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 4221116c-eb4d-499c-a47b-511917d8f617)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0f522515-62c3-49b2-a774-6fff7c4dc0ea)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 5a7fe039-1254-4299-8641-11e264bae0f5)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 40bac4e2-d7ca-4602-846f-7eaa34599d40)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp da225667-2ce3-411a-ae03-b0504d044ebf)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp c0108fd9-cadf-4b8d-9bb0-aa4dc8c3a561)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 74ba2eb6-2d5d-41bc-8392-9cfdba34899c)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 5ebf5e88-55ec-4449-b631-942bbec049d6)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp e3b7dfd0-cc2c-4034-a49e-994b258655d5)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 1 "+5V") (pintype "passive") (tstamp da2e2de3-761d-4cde-b220-aec29b1a51d2)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 991ccbac-cf90-4c89-a778-86c272666060)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0805" (layer "F.Cu") + (tstamp fae82bf7-8907-46ab-9c98-dae7f6a7ac54) + (at 132.75 119.55 90) + (tags "capacitor") + (property "LCSC Part" "C15850") + (property "Notes" "") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/0d2c9175-4f46-4599-953c-235c9655beab") + (solder_mask_margin 0.05) + (solder_paste_margin -0.025) + (attr smd) + (fp_text reference "C37" (at 0 0 270) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 49c5e771-5a1f-4974-9355-3cdaec230d75) + ) + (fp_text value "10u" (at 0 0.35 90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 41051ead-b607-4c9c-871e-83c747c4d388) + ) + (fp_text user "${REFERENCE}" (at 0 0 270) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 9947868b-acef-4b03-b04e-2a00934532d2) + ) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp ed7ce568-524b-41bd-a8f5-747475e34e11)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) + (stroke (width 0.1524) (type solid)) (layer "F.SilkS") (tstamp 03988c88-394d-4177-8a92-5f8da6bd8cce)) + (fp_line (start -1.7 -1) (end 1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 59a25ef0-e273-456e-9034-5cc49f09ac32)) + (fp_line (start -1.7 1) (end -1.7 -1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 01cfaf8c-7921-40fb-ba9c-086079d9b635)) + (fp_line (start 1.7 -1) (end 1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 32f137b5-a1e6-443e-9060-431418d35441)) + (fp_line (start 1.7 1) (end -1.7 1) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp a3006712-aa35-4712-b745-2e42b852e1e5)) + (fp_line (start -1 -0.625) (end 1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 2351d9e7-8565-4787-bc17-92c5e081993e)) + (fp_line (start -1 0.625) (end -1 -0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 40e331c4-fa6a-42f9-b043-693a1ea6c3c2)) + (fp_line (start 1 -0.625) (end 1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp a92cf457-ee80-4a9c-8693-12b89dbbb732)) + (fp_line (start 1 0.625) (end -1 0.625) + (stroke (width 0.15) (type solid)) (layer "F.Fab") (tstamp 68ac8712-b084-401d-9770-f8aed66230ac)) + (pad "1" smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 137 "+1V8") (pintype "passive") (tstamp 921f4444-049e-4afa-84ec-c4658b258b2d)) + (pad "2" smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 68c56f22-fe40-43ac-92b7-b3c22808b09d)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp fb8b9730-b16d-40b3-b5d0-e0a5d5b24b23) + (at 76.6 119.8 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-00005f45d114") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C13" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 52fd44b4-6895-4ed6-8500-ff205e75ad60) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp b5efd421-abbf-45fb-90ee-8bb1f00f81ef) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 34d1602c-57ca-49bb-a35d-8596c198954a) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 7a850268-2e14-408e-98f9-3192a66ad8b9)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp f6448918-3779-431f-b502-c38d286d99d9)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 69b9806a-4e1f-47a7-a9aa-d481ad9a5e36)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp edd1d548-8121-4a80-a785-6e11603ddb38)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7c325bff-5db7-4727-ac96-d5e8dfafd005)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3967d5a3-1215-4b90-8fd1-4fbbb41f9793)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c62d07f4-0324-4823-a765-e45b7330b476)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f0eaf852-60ac-4df5-98c6-9b445e38b6cb)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 56237e75-9456-4ca1-91e1-bf9b3c53a3a0)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp c01d06a9-c75d-4bca-8723-b3fd5eb86897)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 5e9b812f-1965-48e1-9614-2be01e537065)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 38e1807f-db7a-4a31-9fc0-1e14c5a2afb2)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp fea4372a-7859-4815-84df-d1edb13fdb20) + (at 112.903 83.8835) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 189c985d-74ce-4f35-a768-20196e82c994) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 529d7aaf-7165-41c5-93c5-a2d0986945f3) + ) + (fp_poly + (pts + (xy 5.965299 1.602109) + (xy 5.965299 2.220552) + (xy 5.860602 2.220552) + (xy 5.755905 2.220552) + (xy 5.755905 1.602109) + (xy 5.755905 0.983666) + (xy 5.860602 0.983666) + (xy 5.965299 0.983666) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp d577a074-7a6a-4942-9ae5-715085f67f49)) + (fp_poly + (pts + (xy 7.66967 1.619153) + (xy 7.66967 2.220552) + (xy 7.555234 2.220552) + (xy 7.440797 2.220552) + (xy 7.440797 1.619153) + (xy 7.440797 1.017753) + (xy 7.555234 1.017753) + (xy 7.66967 1.017753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 78c599dc-b23c-45bd-ad19-64fa5f3c7e52)) + (fp_poly + (pts + (xy 8.190721 1.619153) + (xy 8.190721 2.220552) + (xy 8.076284 2.220552) + (xy 7.961848 2.220552) + (xy 7.961848 1.619153) + (xy 7.961848 1.017753) + (xy 8.076284 1.017753) + (xy 8.190721 1.017753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp a2a3db2f-511d-4809-a32d-abdc437fa795)) + (fp_poly + (pts + (xy 2.158532 1.353088) + (xy 2.191277 1.362824) + (xy 2.218253 1.378407) + (xy 2.218598 1.37868) + (xy 2.219619 1.384696) + (xy 2.218964 1.398392) + (xy 2.216904 1.417908) + (xy 2.213712 1.441381) + (xy 2.209661 1.466951) + (xy 2.205022 1.492755) + (xy 2.200068 1.516932) + (xy 2.19567 1.535365) + (xy 2.190857 1.548076) + (xy 2.183316 1.556109) + (xy 2.171493 1.559883) + (xy 2.153832 1.559814) + (xy 2.128778 1.556321) + (xy 2.122048 1.555121) + (xy 2.074885 1.550271) + (xy 2.032343 1.553955) + (xy 1.994118 1.566313) + (xy 1.959904 1.587486) + (xy 1.929396 1.617612) + (xy 1.902809 1.655947) + (xy 1.884547 1.687106) + (xy 1.884547 1.953829) + (xy 1.884547 2.220552) + (xy 1.77985 2.220552) + (xy 1.675153 2.220552) + (xy 1.675153 1.79446) + (xy 1.675153 1.368367) + (xy 1.747881 1.368367) + (xy 1.774549 1.368684) + (xy 1.798636 1.369556) + (xy 1.818038 1.370864) + (xy 1.830651 1.372489) + (xy 1.833335 1.373205) + (xy 1.84253 1.378941) + (xy 1.849882 1.389194) + (xy 1.85586 1.405253) + (xy 1.860939 1.428404) + (xy 1.865589 1.459936) + (xy 1.865838 1.461915) + (xy 1.872373 1.514071) + (xy 1.903154 1.472761) + (xy 1.928279 1.442199) + (xy 1.955666 1.414323) + (xy 1.983181 1.391103) + (xy 2.008694 1.374511) + (xy 2.010433 1.373606) + (xy 2.045969 1.359459) + (xy 2.08385 1.351356) + (xy 2.122047 1.349249) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp acac607a-ecd9-4c85-b929-b56f7007b51c)) + (fp_poly + (pts + (xy 0.542794 0.995544) + (xy 0.570854 0.998113) + (xy 0.592545 1.002096) + (xy 0.595312 1.002885) + (xy 0.613574 1.008472) + (xy 0.613088 1.060591) + (xy 0.61249 1.089654) + (xy 0.611076 1.110575) + (xy 0.608472 1.124943) + (xy 0.604308 1.134346) + (xy 0.598211 1.140373) + (xy 0.593815 1.142864) + (xy 0.584121 1.145651) + (xy 0.567285 1.148664) + (xy 0.545887 1.151496) + (xy 0.527818 1.153305) + (xy 0.496888 1.156692) + (xy 0.473344 1.161318) + (xy 0.454841 1.168015) + (xy 0.439033 1.17761) + (xy 0.424864 1.189702) + (xy 0.410112 1.207838) + (xy 0.399138 1.231238) + (xy 0.391563 1.261125) + (xy 0.387013 1.29872) + (xy 0.385964 1.316018) + (xy 0.383268 1.373236) + (xy 0.495986 1.373236) + (xy 0.608704 1.373236) + (xy 0.608704 1.446281) + (xy 0.608704 1.519325) + (xy 0.501604 1.519325) + (xy 0.394505 1.519325) + (xy 0.393255 1.868721) + (xy 0.392005 2.218118) + (xy 0.288526 2.219432) + (xy 0.185046 2.220746) + (xy 0.185046 1.875748) + (xy 0.185046 1.53075) + (xy 0.144892 1.524603) + (xy 0.11392 1.519243) + (xy 0.091348 1.513088) + (xy 0.075861 1.504671) + (xy 0.066144 1.492523) + (xy 0.060882 1.475179) + (xy 0.058759 1.45117) + (xy 0.058436 1.428154) + (xy 0.058436 1.373236) + (xy 0.121741 1.373236) + (xy 0.185046 1.373236) + (xy 0.185046 1.319465) + (xy 0.187291 1.267615) + (xy 0.194367 1.221998) + (xy 0.206786 1.18012) + (xy 0.219846 1.149804) + (xy 0.24606 1.106772) + (xy 0.279498 1.069994) + (xy 0.319586 1.039895) + (xy 0.365745 1.016899) + (xy 0.417401 1.001429) + (xy 0.423108 1.00026) + (xy 0.448523 0.996746) + (xy 0.478817 0.994817) + (xy 0.511178 0.994431) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 99cab870-24a3-4010-9266-c909ff199bca)) + (fp_poly + (pts + (xy 4.383327 1.352982) + (xy 4.416075 1.360788) + (xy 4.448868 1.373598) + (xy 4.454532 1.376247) + (xy 4.497734 1.40222) + (xy 4.536015 1.436409) + (xy 4.569159 1.478503) + (xy 4.596948 1.528189) + (xy 4.619167 1.585157) + (xy 4.63171 1.631016) + (xy 4.637747 1.665237) + (xy 4.642018 1.706071) + (xy 4.644444 1.750541) + (xy 4.644944 1.795669) + (xy 4.64344 1.838477) + (xy 4.639852 1.875988) + (xy 4.638475 1.885062) + (xy 4.6235 1.951812) + (xy 4.601934 2.011689) + (xy 4.573523 2.06522) + (xy 4.538011 2.112929) + (xy 4.516936 2.135334) + (xy 4.475159 2.171288) + (xy 4.431348 2.198365) + (xy 4.384277 2.217058) + (xy 4.332725 2.227864) + (xy 4.279893 2.231266) + (xy 4.227172 2.228493) + (xy 4.180586 2.219143) + (xy 4.138701 2.202771) + (xy 4.10008 2.178927) + (xy 4.091708 2.172498) + (xy 4.066143 2.152151) + (xy 4.066143 2.325136) + (xy 4.066143 2.498121) + (xy 3.961446 2.498121) + (xy 3.856748 2.498121) + (xy 3.856748 2.000179) + (xy 4.066143 2.000179) + (xy 4.08842 2.020714) + (xy 4.122149 2.045386) + (xy 4.16084 2.062611) + (xy 4.202645 2.0719) + (xy 4.245714 2.072767) + (xy 4.271645 2.068988) + (xy 4.310682 2.056288) + (xy 4.344289 2.035818) + (xy 4.372615 2.007414) + (xy 4.395808 1.970913) + (xy 4.414018 1.926152) + (xy 4.419791 1.906461) + (xy 4.423208 1.891702) + (xy 4.42568 1.875765) + (xy 4.427339 1.85679) + (xy 4.428316 1.832917) + (xy 4.428745 1.802288) + (xy 4.428794 1.779851) + (xy 4.428643 1.745973) + (xy 4.428137 1.719886) + (xy 4.427111 1.699643) + (xy 4.425401 1.683297) + (xy 4.422843 1.668901) + (xy 4.419274 1.654508) + (xy 4.41779 1.649232) + (xy 4.404516 1.60987) + (xy 4.389338 1.578878) + (xy 4.371334 1.554933) + (xy 4.34958 1.536716) + (xy 4.332422 1.527045) + (xy 4.295092 1.514306) + (xy 4.255587 1.510399) + (xy 4.215159 1.515041) + (xy 4.175059 1.527947) + (xy 4.136537 1.548833) + (xy 4.100846 1.577415) + (xy 4.097828 1.580342) + (xy 4.066143 1.611563) + (xy 4.066143 1.805871) + (xy 4.066143 2.000179) + (xy 3.856748 2.000179) + (xy 3.856748 1.930809) + (xy 3.856748 1.363497) + (xy 3.930035 1.363497) + (xy 3.964044 1.363689) + (xy 3.989724 1.364872) + (xy 4.008484 1.367957) + (xy 4.021735 1.373855) + (xy 4.030884 1.383475) + (xy 4.037343 1.397728) + (xy 4.04252 1.417525) + (xy 4.046885 1.438976) + (xy 4.050279 1.455069) + (xy 4.053275 1.467198) + (xy 4.054921 1.472048) + (xy 4.059184 1.470624) + (xy 4.068746 1.46364) + (xy 4.081965 1.452365) + (xy 4.090526 1.444488) + (xy 4.1337 1.40858) + (xy 4.177963 1.381772) + (xy 4.224762 1.363428) + (xy 4.275544 1.352917) + (xy 4.305742 1.350242) + (xy 4.347569 1.349645) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp c0998aa4-893c-4bdc-943e-4f9ef206ee2d)) + (fp_poly + (pts + (xy 5.323166 1.352982) + (xy 5.355913 1.360788) + (xy 5.388707 1.373598) + (xy 5.394371 1.376247) + (xy 5.437573 1.40222) + (xy 5.475854 1.436409) + (xy 5.508998 1.478503) + (xy 5.536787 1.528189) + (xy 5.559006 1.585157) + (xy 5.571549 1.631016) + (xy 5.577586 1.665237) + (xy 5.581857 1.706071) + (xy 5.584283 1.750541) + (xy 5.584783 1.795669) + (xy 5.583278 1.838477) + (xy 5.579691 1.875988) + (xy 5.578314 1.885062) + (xy 5.563339 1.951812) + (xy 5.541773 2.011689) + (xy 5.513362 2.06522) + (xy 5.47785 2.112929) + (xy 5.456775 2.135334) + (xy 5.414998 2.171288) + (xy 5.371187 2.198365) + (xy 5.324116 2.217058) + (xy 5.272564 2.227864) + (xy 5.219732 2.231266) + (xy 5.167011 2.228493) + (xy 5.120425 2.219143) + (xy 5.07854 2.202771) + (xy 5.039919 2.178927) + (xy 5.031547 2.172498) + (xy 5.005982 2.152151) + (xy 5.005982 2.325136) + (xy 5.005982 2.498121) + (xy 4.901284 2.498121) + (xy 4.796587 2.498121) + (xy 4.796587 2.000179) + (xy 5.005982 2.000179) + (xy 5.028259 2.020714) + (xy 5.061988 2.045386) + (xy 5.100679 2.062611) + (xy 5.142484 2.0719) + (xy 5.185553 2.072767) + (xy 5.211484 2.068988) + (xy 5.250521 2.056288) + (xy 5.284128 2.035818) + (xy 5.312454 2.007414) + (xy 5.335647 1.970913) + (xy 5.353857 1.926152) + (xy 5.359629 1.906461) + (xy 5.363047 1.891702) + (xy 5.365519 1.875765) + (xy 5.367178 1.85679) + (xy 5.368155 1.832917) + (xy 5.368584 1.802288) + (xy 5.368633 1.779851) + (xy 5.368482 1.745973) + (xy 5.367976 1.719886) + (xy 5.36695 1.699643) + (xy 5.36524 1.683297) + (xy 5.362682 1.668901) + (xy 5.359112 1.654508) + (xy 5.357628 1.649232) + (xy 5.344355 1.60987) + (xy 5.329177 1.578878) + (xy 5.311172 1.554933) + (xy 5.289419 1.536716) + (xy 5.272261 1.527045) + (xy 5.234931 1.514306) + (xy 5.195426 1.510399) + (xy 5.154998 1.515041) + (xy 5.114898 1.527947) + (xy 5.076376 1.548833) + (xy 5.040685 1.577415) + (xy 5.037667 1.580342) + (xy 5.005982 1.611563) + (xy 5.005982 1.805871) + (xy 5.005982 2.000179) + (xy 4.796587 2.000179) + (xy 4.796587 1.930809) + (xy 4.796587 1.363497) + (xy 4.869874 1.363497) + (xy 4.903883 1.363689) + (xy 4.929563 1.364872) + (xy 4.948323 1.367957) + (xy 4.961573 1.373855) + (xy 4.970723 1.383475) + (xy 4.977182 1.397728) + (xy 4.982359 1.417525) + (xy 4.986724 1.438976) + (xy 4.990118 1.455069) + (xy 4.993114 1.467198) + (xy 4.99476 1.472048) + (xy 4.999022 1.470624) + (xy 5.008584 1.46364) + (xy 5.021804 1.452365) + (xy 5.030365 1.444488) + (xy 5.073539 1.40858) + (xy 5.117802 1.381772) + (xy 5.164601 1.363428) + (xy 5.215383 1.352917) + (xy 5.245581 1.350242) + (xy 5.287408 1.349645) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f523650a-e4c5-401e-b721-70f903d59bce)) + (fp_poly + (pts + (xy 1.142626 1.358754) + (xy 1.145166 1.358967) + (xy 1.185632 1.36365) + (xy 1.220823 1.370778) + (xy 1.254594 1.381419) + (xy 1.290799 1.396645) + (xy 1.304867 1.403307) + (xy 1.328076 1.414997) + (xy 1.346326 1.425641) + (xy 1.362459 1.4373) + (xy 1.379314 1.452035) + (xy 1.399733 1.471904) + (xy 1.400456 1.472627) + (xy 1.42058 1.493275) + (xy 1.435509 1.510295) + (xy 1.447317 1.526543) + (xy 1.458077 1.544873) + (xy 1.469863 1.568139) + (xy 1.4699 1.568216) + (xy 1.487709 1.60824) + (xy 1.500737 1.646324) + (xy 1.509689 1.685441) + (xy 1.515269 1.728568) + (xy 1.517892 1.770711) + (xy 1.518281 1.829753) + (xy 1.514151 1.882189) + (xy 1.505083 1.930247) + (xy 1.490655 1.976156) + (xy 1.470458 2.022124) + (xy 1.439203 2.074512) + (xy 1.400971 2.120224) + (xy 1.356185 2.158919) + (xy 1.305264 2.190256) + (xy 1.24863 2.213895) + (xy 1.224712 2.221054) + (xy 1.193327 2.227339) + (xy 1.155431 2.231608) + (xy 1.114057 2.233796) + (xy 1.072238 2.233838) + (xy 1.033004 2.23167) + (xy 0.999389 2.227226) + (xy 0.993405 2.226014) + (xy 0.931756 2.208147) + (xy 0.876266 2.182812) + (xy 0.827066 2.15011) + (xy 0.784285 2.110141) + (xy 0.748051 2.063002) + (xy 0.723023 2.018463) + (xy 0.698814 1.960314) + (xy 0.682774 1.902078) + (xy 0.674494 1.841616) + (xy 0.673851 1.796894) + (xy 0.88988 1.796894) + (xy 0.890108 1.830042) + (xy 0.890922 1.8557) + (xy 0.892512 1.876112) + (xy 0.89507 1.893521) + (xy 0.898788 1.910169) + (xy 0.899932 1.914516) + (xy 0.915311 1.960194) + (xy 0.934645 1.997351) + (xy 0.958272 2.026509) + (xy 0.986534 2.048191) + (xy 0.986944 2.048432) + (xy 1.007761 2.059491) + (xy 1.027436 2.066914) + (xy 1.048691 2.071267) + (xy 1.074246 2.073114) + (xy 1.105406 2.073054) + (xy 1.131282 2.072201) + (xy 1.150134 2.070697) + (xy 1.164678 2.068085) + (xy 1.177627 2.063908) + (xy 1.188911 2.059019) + (xy 1.222327 2.038214) + (xy 1.250186 2.009515) + (xy 1.272419 1.973072) + (xy 1.288963 1.929034) + (xy 1.299749 1.877551) + (xy 1.304712 1.818772) + (xy 1.305061 1.796894) + (xy 1.302143 1.735919) + (xy 1.293453 1.68198) + (xy 1.279083 1.635292) + (xy 1.259129 1.596072) + (xy 1.233684 1.564533) + (xy 1.202842 1.540893) + (xy 1.190304 1.534294) + (xy 1.155962 1.52255) + (xy 1.116934 1.516604) + (xy 1.076653 1.516876) + (xy 1.0623 1.518609) + (xy 1.020958 1.52861) + (xy 0.985861 1.545173) + (xy 0.956509 1.568792) + (xy 0.932403 1.599961) + (xy 0.913046 1.639174) + (xy 0.899932 1.679273) + (xy 0.895891 1.696083) + (xy 0.893058 1.713088) + (xy 0.891242 1.732531) + (xy 0.890251 1.756654) + (xy 0.889893 1.7877) + (xy 0.88988 1.796894) + (xy 0.673851 1.796894) + (xy 0.673562 1.776787) + (xy 0.674181 1.763817) + (xy 0.682116 1.695017) + (xy 0.697708 1.631647) + (xy 0.720794 1.573984) + (xy 0.75121 1.522302) + (xy 0.788793 1.47688) + (xy 0.83338 1.437991) + (xy 0.884808 1.405913) + (xy 0.886271 1.405154) + (xy 0.944346 1.380611) + (xy 1.006732 1.364643) + (xy 1.072977 1.357331) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp e99a8a67-a1f6-4c79-bcc4-f3a08552b3d7)) + (fp_poly + (pts + (xy -2.067159 1.612322) + (xy -2.038565 1.685084) + (xy -2.010951 1.755392) + (xy -1.984528 1.82271) + (xy -1.959505 1.8865) + (xy -1.936094 1.946224) + (xy -1.914504 2.001344) + (xy -1.894946 2.051323) + (xy -1.87763 2.095623) + (xy -1.862767 2.133705) + (xy -1.850568 2.165034) + (xy -1.841242 2.18907) + (xy -1.834999 2.205276) + (xy -1.832051 2.213114) + (xy -1.831842 2.213748) + (xy -1.835765 2.216326) + (xy -1.847735 2.218362) + (xy -1.866074 2.219862) + (xy -1.889105 2.22083) + (xy -1.91515 2.221268) + (xy -1.942532 2.221182) + (xy -1.969574 2.220574) + (xy -1.994598 2.21945) + (xy -2.015927 2.217813) + (xy -2.031884 2.215668) + (xy -2.040366 2.213253) + (xy -2.048853 2.207982) + (xy -2.05671 2.200774) + (xy -2.064445 2.190624) + (xy -2.072567 2.176522) + (xy -2.081583 2.157461) + (xy -2.092003 2.132434) + (xy -2.104334 2.100432) + (xy -2.119084 2.060448) + (xy -2.123294 2.048854) + (xy -2.168732 1.923417) + (xy -2.417269 1.924678) + (xy -2.665806 1.92594) + (xy -2.712865 2.054055) + (xy -2.727886 2.094238) + (xy -2.741356 2.128857) + (xy -2.752938 2.157097) + (xy -2.762291 2.178146) + (xy -2.769076 2.19119) + (xy -2.771459 2.19452) + (xy -2.779849 2.202935) + (xy -2.788193 2.209315) + (xy -2.797948 2.213941) + (xy -2.810571 2.217092) + (xy -2.827519 2.219048) + (xy -2.850249 2.220089) + (xy -2.880217 2.220496) + (xy -2.906928 2.220552) + (xy -2.936568 2.220487) + (xy -2.962588 2.220306) + (xy -2.983531 2.220029) + (xy -2.997942 2.219676) + (xy -3.004365 2.219268) + (xy -3.004563 2.219181) + (xy -3.002815 2.214568) + (xy -2.997708 2.201421) + (xy -2.989452 2.18027) + (xy -2.978253 2.151645) + (xy -2.964318 2.116074) + (xy -2.947857 2.074086) + (xy -2.929076 2.026212) + (xy -2.908183 1.972981) + (xy -2.885386 1.914921) + (xy -2.860892 1.852563) + (xy -2.83491 1.786435) + (xy -2.823231 1.75672) + (xy -2.603982 1.75672) + (xy -2.602701 1.758324) + (xy -2.597028 1.759638) + (xy -2.58622 1.760687) + (xy -2.569537 1.761495) + (xy -2.546234 1.762086) + (xy -2.515572 1.762486) + (xy -2.476807 1.762717) + (xy -2.429197 1.762805) + (xy -2.418293 1.762807) + (xy -2.376582 1.762709) + (xy -2.338085 1.762431) + (xy -2.30385 1.761994) + (xy -2.274928 1.76142) + (xy -2.252366 1.760731) + (xy -2.237215 1.759949) + (xy -2.230524 1.759095) + (xy -2.230291 1.7589) + (xy -2.231943 1.75368) + (xy -2.236676 1.740253) + (xy -2.244155 1.71953) + (xy -2.254047 1.692426) + (xy -2.266016 1.659853) + (xy -2.279728 1.622725) + (xy -2.29485 1.581953) + (xy -2.310114 1.540949) + (xy -2.326881 1.495836) + (xy -2.343044 1.452054) + (xy -2.358188 1.410751) + (xy -2.371897 1.373075) + (xy -2.383753 1.340175) + (xy -2.393341 1.313198) + (xy -2.400244 1.293294) + (xy -2.403496 1.283417) + (xy -2.417055 1.239929) + (xy -2.437364 1.302931) + (xy -2.443084 1.319912) + (xy -2.451838 1.344884) + (xy -2.463216 1.376728) + (xy -2.476806 1.414324) + (xy -2.492198 1.456555) + (xy -2.508981 1.502301) + (xy -2.526744 1.550443) + (xy -2.545077 1.599863) + (xy -2.563568 1.649442) + (xy -2.581806 1.69806) + (xy -2.599382 1.744598) + (xy -2.603982 1.75672) + (xy -2.823231 1.75672) + (xy -2.807646 1.717068) + (xy -2.77931 1.64499) + (xy -2.769089 1.618998) + (xy -2.533616 1.020188) + (xy -2.417258 1.018944) + (xy -2.300901 1.0177) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5b064298-9cc3-4e73-86de-9523d229b912)) + (fp_poly + (pts + (xy 3.513439 1.612322) + (xy 3.542033 1.685084) + (xy 3.569647 1.755392) + (xy 3.596071 1.82271) + (xy 3.621093 1.8865) + (xy 3.644505 1.946224) + (xy 3.666094 2.001344) + (xy 3.685652 2.051323) + (xy 3.702968 2.095623) + (xy 3.717831 2.133705) + (xy 3.73003 2.165034) + (xy 3.739357 2.18907) + (xy 3.745599 2.205276) + (xy 3.748547 2.213114) + (xy 3.748756 2.213748) + (xy 3.744833 2.216326) + (xy 3.732863 2.218362) + (xy 3.714524 2.219862) + (xy 3.691493 2.22083) + (xy 3.665448 2.221268) + (xy 3.638066 2.221182) + (xy 3.611024 2.220574) + (xy 3.586 2.21945) + (xy 3.564671 2.217813) + (xy 3.548714 2.215668) + (xy 3.540232 2.213253) + (xy 3.531745 2.207982) + (xy 3.523888 2.200774) + (xy 3.516153 2.190624) + (xy 3.508031 2.176522) + (xy 3.499015 2.157461) + (xy 3.488595 2.132434) + (xy 3.476264 2.100432) + (xy 3.461514 2.060448) + (xy 3.457304 2.048854) + (xy 3.411866 1.923417) + (xy 3.163329 1.924678) + (xy 2.914792 1.92594) + (xy 2.867733 2.054055) + (xy 2.852713 2.094238) + (xy 2.839242 2.128857) + (xy 2.82766 2.157097) + (xy 2.818307 2.178146) + (xy 2.811522 2.19119) + (xy 2.809139 2.19452) + (xy 2.800749 2.202935) + (xy 2.792405 2.209315) + (xy 2.78265 2.213941) + (xy 2.770027 2.217092) + (xy 2.753079 2.219048) + (xy 2.730349 2.220089) + (xy 2.700381 2.220496) + (xy 2.67367 2.220552) + (xy 2.64403 2.220487) + (xy 2.61801 2.220306) + (xy 2.597067 2.220029) + (xy 2.582656 2.219676) + (xy 2.576233 2.219268) + (xy 2.576035 2.219181) + (xy 2.577783 2.214568) + (xy 2.58289 2.201421) + (xy 2.591146 2.18027) + (xy 2.602346 2.151645) + (xy 2.61628 2.116074) + (xy 2.632741 2.074086) + (xy 2.651522 2.026212) + (xy 2.672415 1.972981) + (xy 2.695212 1.914921) + (xy 2.719706 1.852563) + (xy 2.745688 1.786435) + (xy 2.757367 1.75672) + (xy 2.976616 1.75672) + (xy 2.977897 1.758324) + (xy 2.98357 1.759638) + (xy 2.994378 1.760687) + (xy 3.011062 1.761495) + (xy 3.034364 1.762086) + (xy 3.065026 1.762486) + (xy 3.103791 1.762717) + (xy 3.151401 1.762805) + (xy 3.162305 1.762807) + (xy 3.204016 1.762709) + (xy 3.242513 1.762431) + (xy 3.276748 1.761994) + (xy 3.30567 1.76142) + (xy 3.328232 1.760731) + (xy 3.343383 1.759949) + (xy 3.350074 1.759095) + (xy 3.350307 1.7589) + (xy 3.348655 1.75368) + (xy 3.343922 1.740253) + (xy 3.336443 1.71953) + (xy 3.326551 1.692426) + (xy 3.314582 1.659853) + (xy 3.30087 1.622725) + (xy 3.285749 1.581953) + (xy 3.270484 1.540949) + (xy 3.253717 1.495836) + (xy 3.237554 1.452054) + (xy 3.22241 1.410751) + (xy 3.208701 1.373075) + (xy 3.196845 1.340175) + (xy 3.187257 1.313198) + (xy 3.180354 1.293294) + (xy 3.177102 1.283417) + (xy 3.163543 1.239929) + (xy 3.143234 1.302931) + (xy 3.137514 1.319912) + (xy 3.12876 1.344884) + (xy 3.117382 1.376728) + (xy 3.103792 1.414324) + (xy 3.0884 1.456555) + (xy 3.071617 1.502301) + (xy 3.053854 1.550443) + (xy 3.035521 1.599863) + (xy 3.01703 1.649442) + (xy 2.998792 1.69806) + (xy 2.981216 1.744598) + (xy 2.976616 1.75672) + (xy 2.757367 1.75672) + (xy 2.772952 1.717068) + (xy 2.801289 1.64499) + (xy 2.811509 1.618998) + (xy 3.046982 1.020188) + (xy 3.16334 1.018944) + (xy 3.279697 1.0177) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp c3172e1c-a644-41aa-98c9-6619e8c70b00)) + (fp_poly + (pts + (xy 6.581243 1.361605) + (xy 6.612951 1.363488) + (xy 6.639997 1.367265) + (xy 6.664898 1.373466) + (xy 6.690175 1.382621) + (xy 6.718348 1.395259) + (xy 6.728567 1.400213) + (xy 6.775553 1.428521) + (xy 6.816167 1.463944) + (xy 6.850155 1.506019) + (xy 6.877263 1.554283) + (xy 6.897238 1.608274) + (xy 6.909825 1.667529) + (xy 6.914772 1.731585) + (xy 6.91484 1.739918) + (xy 6.913692 1.77137) + (xy 6.910025 1.794223) + (xy 6.903596 1.809349) + (xy 6.894157 1.81762) + (xy 6.892746 1.818218) + (xy 6.886526 1.81878) + (xy 6.871473 1.819308) + (xy 6.84849 1.819792) + (xy 6.818483 1.820224) + (xy 6.782356 1.820592) + (xy 6.741016 1.820887) + (xy 6.695366 1.821099) + (xy 6.646311 1.821219) + (xy 6.612105 1.821243) + (xy 6.339347 1.821243) + (xy 6.342578 1.846808) + (xy 6.352917 1.902265) + (xy 6.368964 1.949851) + (xy 6.390798 1.989641) + (xy 6.418494 2.021709) + (xy 6.452128 2.046129) + (xy 6.491778 2.062977) + (xy 6.53752 2.072327) + (xy 6.576438 2.074463) + (xy 6.608742 2.073318) + (xy 6.637868 2.069407) + (xy 6.666361 2.06202) + (xy 6.696768 2.050446) + (xy 6.731634 2.033973) + (xy 6.743841 2.027711) + (xy 6.771441 2.014126) + (xy 6.79267 2.005672) + (xy 6.809142 2.001905) + (xy 6.822472 2.002383) + (xy 6.829098 2.004312) + (xy 6.834987 2.009091) + (xy 6.845368 2.019923) + (xy 6.858751 2.035176) + (xy 6.872938 2.052337) + (xy 6.909238 2.097469) + (xy 6.884057 2.12181) + (xy 6.84507 2.154664) + (xy 6.801868 2.181439) + (xy 6.752784 2.20302) + (xy 6.700669 2.219135) + (xy 6.679156 2.224231) + (xy 6.658418 2.227867) + (xy 6.635791 2.230339) + (xy 6.608608 2.231943) + (xy 6.576438 2.232925) + (xy 6.547642 2.233252) + (xy 6.520229 2.232987) + (xy 6.496596 2.232195) + (xy 6.479143 2.230939) + (xy 6.473653 2.230188) + (xy 6.411861 2.214597) + (xy 6.35549 2.190999) + (xy 6.304113 2.159172) + (xy 6.257304 2.118897) + (xy 6.257001 2.118595) + (xy 6.237887 2.098909) + (xy 6.223463 2.082179) + (xy 6.211589 2.065392) + (xy 6.200125 2.045535) + (xy 6.187532 2.020817) + (xy 6.171014 1.985599) + (xy 6.158576 1.954223) + (xy 6.149602 1.924078) + (xy 6.143478 1.892551) + (xy 6.13959 1.857032) + (xy 6.137322 1.814907) + (xy 6.137032 1.806211) + (xy 6.136231 1.771676) + (xy 6.136316 1.744498) + (xy 6.1374 1.722327) + (xy 6.139594 1.702813) + (xy 6.140178 1.699502) + (xy 6.344689 1.699502) + (xy 6.537821 1.699502) + (xy 6.730953 1.699502) + (xy 6.727543 1.672208) + (xy 6.718204 1.627087) + (xy 6.702286 1.589029) + (xy 6.679674 1.557812) + (xy 6.658063 1.538616) + (xy 6.636496 1.524753) + (xy 6.614698 1.515568) + (xy 6.589888 1.510292) + (xy 6.559286 1.508158) + (xy 6.54722 1.508012) + (xy 6.508135 1.510096) + (xy 6.475269 1.517003) + (xy 6.445988 1.529487) + (xy 6.42443 1.54323) + (xy 6.404179 1.562384) + (xy 6.384943 1.588778) + (xy 6.368102 1.61992) + (xy 6.355037 1.653317) + (xy 6.347997 1.681241) + (xy 6.344689 1.699502) + (xy 6.140178 1.699502) + (xy 6.142818 1.684547) + (xy 6.160027 1.622269) + (xy 6.185125 1.565254) + (xy 6.217675 1.513983) + (xy 6.257244 1.468936) + (xy 6.303394 1.430594) + (xy 6.355691 1.399437) + (xy 6.4137 1.375946) + (xy 6.425811 1.37225) + (xy 6.442451 1.367819) + (xy 6.458206 1.364714) + (xy 6.475403 1.362715) + (xy 6.496366 1.361598) + (xy 6.523419 1.36114) + (xy 6.54235 1.361088) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 62d7e6a0-e764-4674-9b98-09f59b8bb530)) + (fp_poly + (pts + (xy -5.073991 1.017817) + (xy -5.020632 1.018045) + (xy -4.975068 1.018496) + (xy -4.936327 1.019226) + (xy -4.903438 1.020292) + (xy -4.875428 1.021752) + (xy -4.851326 1.023661) + (xy -4.830159 1.026079) + (xy -4.810956 1.02906) + (xy -4.792745 1.032664) + (xy -4.774555 1.036946) + (xy -4.764307 1.039584) + (xy -4.706161 1.058736) + (xy -4.656003 1.083442) + (xy -4.613843 1.11369) + (xy -4.579692 1.149468) + (xy -4.55356 1.190762) + (xy -4.535459 1.23756) + (xy -4.525398 1.289848) + (xy -4.523137 1.331551) + (xy -4.52519 1.375752) + (xy -4.532225 1.413416) + (xy -4.545198 1.446874) + (xy -4.565066 1.478458) + (xy -4.592785 1.510499) + (xy -4.599186 1.516998) + (xy -4.642335 1.553745) + (xy -4.689098 1.581452) + (xy -4.720803 1.594402) + (xy -4.722605 1.596853) + (xy -4.716967 1.600813) + (xy -4.703207 1.606636) + (xy -4.683761 1.613609) + (xy -4.647872 1.627111) + (xy -4.61874 1.641088) + (xy -4.593458 1.657233) + (xy -4.569119 1.677242) + (xy -4.559934 1.685808) + (xy -4.531487 1.717638) + (xy -4.510738 1.751924) + (xy -4.497112 1.790153) + (xy -4.490034 1.833811) + (xy -4.488671 1.869939) + (xy -4.492366 1.925201) + (xy -4.503348 1.974769) + (xy -4.522159 2.019974) + (xy -4.54934 2.062146) + (xy -4.582049 2.099252) + (xy -4.613398 2.12829) + (xy -4.644264 2.151171) + (xy -4.677789 2.16985) + (xy -4.717112 2.18628) + (xy -4.728413 2.190334) + (xy -4.74668 2.196543) + (xy -4.763825 2.201841) + (xy -4.780778 2.2063) + (xy -4.798471 2.209994) + (xy -4.817835 2.212992) + (xy -4.839801 2.215366) + (xy -4.8653 2.217189) + (xy -4.895264 2.218531) + (xy -4.930624 2.219465) + (xy -4.972311 2.220063) + (xy -5.021257 2.220394) + (xy -5.078392 2.220532) + (xy -5.121276 2.220552) + (xy -5.380943 2.220552) + (xy -5.380943 1.694632) + (xy -5.15694 1.694632) + (xy -5.15694 1.869939) + (xy -5.15694 2.045246) + (xy -5.029112 2.045076) + (xy -4.975258 2.044659) + (xy -4.930927 2.043567) + (xy -4.896175 2.041801) + (xy -4.871061 2.039364) + (xy -4.864517 2.038346) + (xy -4.820586 2.026771) + (xy -4.784162 2.009015) + (xy -4.755155 1.984992) + (xy -4.733477 1.954618) + (xy -4.719039 1.917807) + (xy -4.714052 1.894151) + (xy -4.711698 1.852951) + (xy -4.717594 1.814678) + (xy -4.731386 1.780466) + (xy -4.75272 1.751452) + (xy -4.760974 1.743546) + (xy -4.776713 1.731161) + (xy -4.793872 1.720957) + (xy -4.813509 1.712744) + (xy -4.836682 1.706333) + (xy -4.864447 1.701533) + (xy -4.897862 1.698153) + (xy -4.937985 1.696005) + (xy -4.985873 1.694897) + (xy -5.033117 1.694632) + (xy -5.15694 1.694632) + (xy -5.380943 1.694632) + (xy -5.380943 1.619153) + (xy -5.380943 1.19306) + (xy -5.15694 1.19306) + (xy -5.15694 1.363497) + (xy -5.15694 1.533934) + (xy -5.036417 1.53385) + (xy -4.990375 1.533577) + (xy -4.953088 1.532801) + (xy -4.923579 1.531476) + (xy -4.900874 1.529558) + (xy -4.883999 1.527001) + (xy -4.882875 1.526769) + (xy -4.841959 1.513971) + (xy -4.807056 1.49441) + (xy -4.781371 1.471218) + (xy -4.765191 1.448579) + (xy -4.754679 1.422989) + (xy -4.749319 1.392548) + (xy -4.748596 1.355352) + (xy -4.748763 1.351093) + (xy -4.750285 1.327229) + (xy -4.752912 1.309598) + (xy -4.757428 1.294698) + (xy -4.764619 1.279028) + (xy -4.765139 1.278013) + (xy -4.782995 1.250633) + (xy -4.805556 1.230235) + (xy -4.834863 1.215081) + (xy -4.842196 1.212357) + (xy -4.861192 1.206485) + (xy -4.881863 1.201873) + (xy -4.905595 1.198392) + (xy -4.933775 1.195913) + (xy -4.967789 1.194308) + (xy -5.009021 1.193448) + (xy -5.048591 1.19321) + (xy -5.15694 1.19306) + (xy -5.380943 1.19306) + (xy -5.380943 1.017753) + (xy -5.136117 1.017753) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0777840b-ae09-4c3b-9d29-81e49ae6eaf8)) + (fp_poly + (pts + (xy -6.819489 1.018712) + (xy -6.709493 1.020188) + (xy -6.698797 1.032632) + (xy -6.694596 1.039139) + (xy -6.68627 1.053487) + (xy -6.674237 1.074897) + (xy -6.65891 1.102595) + (xy -6.640706 1.135803) + (xy -6.620039 1.173743) + (xy -6.597326 1.215641) + (xy -6.572981 1.260718) + (xy -6.547421 1.308199) + (xy -6.52106 1.357306) + (xy -6.494314 1.407263) + (xy -6.467599 1.457293) + (xy -6.441329 1.50662) + (xy -6.41592 1.554466) + (xy -6.391788 1.600055) + (xy -6.369348 1.642611) + (xy -6.349015 1.681356) + (xy -6.331205 1.715514) + (xy -6.316334 1.744309) + (xy -6.304816 1.766963) + (xy -6.297067 1.7827) + (xy -6.29394 1.78959) + (xy -6.286845 1.806525) + (xy -6.280863 1.819891) + (xy -6.277144 1.827132) + (xy -6.276856 1.827528) + (xy -6.273764 1.825046) + (xy -6.268063 1.815242) + (xy -6.260695 1.79986) + (xy -6.255821 1.788571) + (xy -6.250766 1.777631) + (xy -6.241495 1.758843) + (xy -6.228388 1.732945) + (xy -6.211832 1.700674) + (xy -6.192207 1.662765) + (xy -6.169898 1.619957) + (xy -6.145289 1.572985) + (xy -6.118762 1.522587) + (xy -6.090701 1.4695) + (xy -6.061488 1.414459) + (xy -6.048618 1.39028) + (xy -6.013019 1.323546) + (xy -5.981669 1.264978) + (xy -5.954308 1.214111) + (xy -5.930677 1.170479) + (xy -5.910515 1.133615) + (xy -5.893562 1.103055) + (xy -5.879557 1.078332) + (xy -5.868242 1.058981) + (xy -5.859354 1.044535) + (xy -5.852635 1.03453) + (xy -5.847824 1.028498) + (xy -5.845308 1.026301) + (xy -5.839499 1.023424) + (xy -5.831874 1.021267) + (xy -5.821058 1.019729) + (xy -5.805679 1.018712) + (xy -5.784363 1.018114) + (xy -5.755738 1.017837) + (xy -5.727905 1.017779) + (xy -5.624425 1.017753) + (xy -5.624425 1.619153) + (xy -5.624425 2.220552) + (xy -5.724517 2.220552) + (xy -5.824609 2.220552) + (xy -5.823127 1.787409) + (xy -5.822884 1.711829) + (xy -5.822711 1.645443) + (xy -5.822613 1.587707) + (xy -5.822599 1.538074) + (xy -5.822674 1.496) + (xy -5.822846 1.460941) + (xy -5.823121 1.43235) + (xy -5.823508 1.409684) + (xy -5.824011 1.392396) + (xy -5.824639 1.379943) + (xy -5.825398 1.371778) + (xy -5.826296 1.367358) + (xy -5.827338 1.366136) + (xy -5.828449 1.367403) + (xy -5.842576 1.394512) + (xy -5.859666 1.427025) + (xy -5.879299 1.464161) + (xy -5.901053 1.50514) + (xy -5.924509 1.549179) + (xy -5.949244 1.595498) + (xy -5.97484 1.643316) + (xy -6.000873 1.69185) + (xy -6.026925 1.74032) + (xy -6.052575 1.787944) + (xy -6.077401 1.833942) + (xy -6.100982 1.877531) + (xy -6.122899 1.917931) + (xy -6.14273 1.954361) + (xy -6.160055 1.986038) + (xy -6.174452 2.012183) + (xy -6.185502 2.032013) + (xy -6.192783 2.044747) + (xy -6.195793 2.049525) + (xy -6.211751 2.062444) + (xy -6.232446 2.070447) + (xy -6.259647 2.074094) + (xy -6.274521 2.074463) + (xy -6.305223 2.0726) + (xy -6.328635 2.066635) + (xy -6.34654 2.056003) + (xy -6.353294 2.049525) + (xy -6.357226 2.043492) + (xy -6.365384 2.029546) + (xy -6.377396 2.008364) + (xy -6.392891 1.98062) + (xy -6.411497 1.946988) + (xy -6.432843 1.908142) + (xy -6.456557 1.864758) + (xy -6.482269 1.81751) + (xy -6.509606 1.767072) + (xy -6.538197 1.714119) + (xy -6.547382 1.697067) + (xy -6.576053 1.64381) + (xy -6.603367 1.593084) + (xy -6.628976 1.545538) + (xy -6.652531 1.501815) + (xy -6.673685 1.462563) + (xy -6.692088 1.428427) + (xy -6.707394 1.400053) + (xy -6.719253 1.378088) + (xy -6.727317 1.363176) + (xy -6.731239 1.355965) + (xy -6.731569 1.355379) + (xy -6.731656 1.360011) + (xy -6.731709 1.373692) + (xy -6.731728 1.395734) + (xy -6.731715 1.425446) + (xy -6.731671 1.462138) + (xy -6.731597 1.505122) + (xy -6.731494 1.553706) + (xy -6.731364 1.607202) + (xy -6.731207 1.664921) + (xy -6.731025 1.726171) + (xy -6.730828 1.787559) + (xy -6.729391 2.220552) + (xy -6.829438 2.220552) + (xy -6.929486 2.220552) + (xy -6.929486 1.618894) + (xy -6.929486 1.017235) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 57189baa-25c4-4ce4-949a-c5a48b15f910)) + (fp_poly + (pts + (xy -1.599244 1.018712) + (xy -1.489247 1.020188) + (xy -1.478552 1.032632) + (xy -1.47435 1.039139) + (xy -1.466025 1.053487) + (xy -1.453991 1.074897) + (xy -1.438664 1.102595) + (xy -1.42046 1.135803) + (xy -1.399794 1.173743) + (xy -1.377081 1.215641) + (xy -1.352736 1.260718) + (xy -1.327176 1.308199) + (xy -1.300815 1.357306) + (xy -1.274069 1.407263) + (xy -1.247353 1.457293) + (xy -1.221083 1.50662) + (xy -1.195675 1.554466) + (xy -1.171543 1.600055) + (xy -1.149102 1.642611) + (xy -1.12877 1.681356) + (xy -1.11096 1.715514) + (xy -1.096088 1.744309) + (xy -1.08457 1.766963) + (xy -1.076821 1.7827) + (xy -1.073695 1.78959) + (xy -1.0666 1.806525) + (xy -1.060617 1.819891) + (xy -1.056898 1.827132) + (xy -1.056611 1.827528) + (xy -1.053519 1.825046) + (xy -1.047818 1.815242) + (xy -1.04045 1.79986) + (xy -1.035576 1.788571) + (xy -1.030521 1.777631) + (xy -1.021249 1.758843) + (xy -1.008143 1.732945) + (xy -0.991586 1.700674) + (xy -0.971962 1.662765) + (xy -0.949653 1.619957) + (xy -0.925044 1.572985) + (xy -0.898517 1.522587) + (xy -0.870455 1.4695) + (xy -0.841243 1.414459) + (xy -0.828373 1.39028) + (xy -0.792773 1.323546) + (xy -0.761423 1.264978) + (xy -0.734063 1.214111) + (xy -0.710432 1.170479) + (xy -0.690269 1.133615) + (xy -0.673316 1.103055) + (xy -0.659312 1.078332) + (xy -0.647996 1.058981) + (xy -0.639109 1.044535) + (xy -0.632389 1.03453) + (xy -0.627578 1.028498) + (xy -0.625063 1.026301) + (xy -0.619254 1.023424) + (xy -0.611628 1.021267) + (xy -0.600813 1.019729) + (xy -0.585433 1.018712) + (xy -0.564118 1.018114) + (xy -0.535492 1.017837) + (xy -0.507659 1.017779) + (xy -0.404179 1.017753) + (xy -0.404179 1.619153) + (xy -0.404179 2.220552) + (xy -0.504272 2.220552) + (xy -0.604364 2.220552) + (xy -0.602882 1.787409) + (xy -0.602639 1.711829) + (xy -0.602465 1.645443) + (xy -0.602368 1.587707) + (xy -0.602353 1.538074) + (xy -0.602428 1.496) + (xy -0.6026 1.460941) + (xy -0.602876 1.43235) + (xy -0.603262 1.409684) + (xy -0.603766 1.392396) + (xy -0.604394 1.379943) + (xy -0.605153 1.371778) + (xy -0.60605 1.367358) + (xy -0.607093 1.366136) + (xy -0.608204 1.367403) + (xy -0.622331 1.394512) + (xy -0.639421 1.427025) + (xy -0.659053 1.464161) + (xy -0.680808 1.50514) + (xy -0.704263 1.549179) + (xy -0.728999 1.595498) + (xy -0.754594 1.643316) + (xy -0.780628 1.69185) + (xy -0.80668 1.74032) + (xy -0.832329 1.787944) + (xy -0.857155 1.833942) + (xy -0.880737 1.877531) + (xy -0.902654 1.917931) + (xy -0.922485 1.954361) + (xy -0.939809 1.986038) + (xy -0.954207 2.012183) + (xy -0.965257 2.032013) + (xy -0.972538 2.044747) + (xy -0.975548 2.049525) + (xy -0.991505 2.062444) + (xy -1.0122 2.070447) + (xy -1.039401 2.074094) + (xy -1.054275 2.074463) + (xy -1.084978 2.0726) + (xy -1.10839 2.066635) + (xy -1.126294 2.056003) + (xy -1.133048 2.049525) + (xy -1.13698 2.043492) + (xy -1.145138 2.029546) + (xy -1.15715 2.008364) + (xy -1.172645 1.98062) + (xy -1.191251 1.946988) + (xy -1.212597 1.908142) + (xy -1.236312 1.864758) + (xy -1.262024 1.81751) + (xy -1.289361 1.767072) + (xy -1.317952 1.714119) + (xy -1.327136 1.697067) + (xy -1.355808 1.64381) + (xy -1.383122 1.593084) + (xy -1.40873 1.545538) + (xy -1.432286 1.501815) + (xy -1.453439 1.462563) + (xy -1.471843 1.428427) + (xy -1.487148 1.400053) + (xy -1.499007 1.378088) + (xy -1.507072 1.363176) + (xy -1.510993 1.355965) + (xy -1.511323 1.355379) + (xy -1.511411 1.360011) + (xy -1.511463 1.373692) + (xy -1.511483 1.395734) + (xy -1.51147 1.425446) + (xy -1.511425 1.462138) + (xy -1.511351 1.505122) + (xy -1.511249 1.553706) + (xy -1.511118 1.607202) + (xy -1.510962 1.664921) + (xy -1.51078 1.726171) + (xy -1.510583 1.787559) + (xy -1.509145 2.220552) + (xy -1.609193 2.220552) + (xy -1.709241 2.220552) + (xy -1.709241 1.618894) + (xy -1.709241 1.017235) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 790423bd-58ef-4f2b-a683-e8a966692d45)) + (fp_poly + (pts + (xy -3.726486 1.014276) + (xy -3.668589 1.014813) + (xy -3.619556 1.01538) + (xy -3.57851 1.016008) + (xy -3.544576 1.016727) + (xy -3.516878 1.017569) + (xy -3.49454 1.018566) + (xy -3.476686 1.019747) + (xy -3.46244 1.021145) + (xy -3.450926 1.02279) + (xy -3.442969 1.024332) + (xy -3.378576 1.041731) + (xy -3.32233 1.064051) + (xy -3.273878 1.091459) + (xy -3.234668 1.122434) + (xy -3.204291 1.154626) + (xy -3.180563 1.189357) + (xy -3.161503 1.229677) + (xy -3.15667 1.24256) + (xy -3.151776 1.257) + (xy -3.148304 1.26996) + (xy -3.146003 1.283608) + (xy -3.144626 1.300114) + (xy -3.143923 1.321647) + (xy -3.143645 1.350377) + (xy -3.143622 1.356193) + (xy -3.143664 1.386855) + (xy -3.14418 1.409937) + (xy -3.145382 1.427594) + (xy -3.147483 1.441983) + (xy -3.150696 1.455258) + (xy -3.154626 1.467774) + (xy -3.177622 1.523134) + (xy -3.207342 1.57154) + (xy -3.244158 1.613425) + (xy -3.288443 1.649216) + (xy -3.335698 1.676931) + (xy -3.355105 1.686411) + (xy -3.372375 1.694339) + (xy -3.384889 1.699534) + (xy -3.388458 1.700715) + (xy -3.393339 1.702561) + (xy -3.394401 1.705634) + (xy -3.390801 1.711321) + (xy -3.381696 1.721008) + (xy -3.367925 1.734458) + (xy -3.35897 1.743832) + (xy -3.348093 1.756645) + (xy -3.334867 1.773491) + (xy -3.318863 1.794966) + (xy -3.299652 1.821665) + (xy -3.276805 1.854183) + (xy -3.249895 1.893115) + (xy -3.218491 1.939057) + (xy -3.184902 1.98856) + (xy -3.156706 2.030309) + (xy -3.130221 2.069687) + (xy -3.105939 2.105948) + (xy -3.084354 2.138349) + (xy -3.06596 2.166144) + (xy -3.051249 2.18859) + (xy -3.040716 2.204941) + (xy -3.034854 2.214453) + (xy -3.033781 2.216602) + (xy -3.038547 2.218116) + (xy -3.052453 2.219211) + (xy -3.074905 2.219869) + (xy -3.105312 2.220076) + (xy -3.143081 2.219814) + (xy -3.150244 2.219721) + (xy -3.266708 2.218118) + (xy -3.287384 2.203509) + (xy -3.29322 2.198573) + (xy -3.300535 2.190754) + (xy -3.309775 2.179423) + (xy -3.321387 2.163948) + (xy -3.335815 2.143699) + (xy -3.353504 2.118045) + (xy -3.374901 2.086355) + (xy -3.400451 2.048) + (xy -3.430599 2.002347) + (xy -3.44562 1.979506) + (xy -3.479006 1.928845) + (xy -3.50754 1.885914) + (xy -3.531574 1.850211) + (xy -3.551461 1.821233) + (xy -3.567554 1.798478) + (xy -3.580205 1.781444) + (xy -3.589767 1.769629) + (xy -3.596591 1.76253) + (xy -3.59944 1.760372) + (xy -3.60789 1.756193) + (xy -3.618241 1.753238) + (xy -3.632455 1.751228) + (xy -3.652492 1.749887) + (xy -3.680177 1.748939) + (xy -3.744653 1.747245) + (xy -3.745917 1.982681) + (xy -3.747182 2.218118) + (xy -3.857065 2.219424) + (xy -3.888845 2.219658) + (xy -3.917315 2.219595) + (xy -3.941048 2.219259) + (xy -3.958616 2.218677) + (xy -3.96859 2.217873) + (xy -3.970284 2.217395) + (xy -3.970704 2.212197) + (xy -3.971109 2.197852) + (xy -3.971495 2.174949) + (xy -3.97186 2.144081) + (xy -3.972199 2.105838) + (xy -3.97251 2.060813) + (xy -3.97279 2.009595) + (xy -3.973034 1.952777) + (xy -3.97324 1.890949) + (xy -3.973405 1.824703) + (xy -3.973526 1.75463) + (xy -3.973598 1.681322) + (xy -3.97362 1.613117) + (xy -3.97362 1.187012) + (xy -3.744747 1.187012) + (xy -3.744747 1.387256) + (xy -3.744747 1.5875) + (xy -3.667185 1.5875) + (xy -3.636986 1.587151) + (xy -3.606769 1.586192) + (xy -3.579421 1.584755) + (xy -3.55783 1.582972) + (xy -3.551755 1.582233) + (xy -3.502424 1.571566) + (xy -3.460224 1.554271) + (xy -3.425136 1.530335) + (xy -3.397142 1.499748) + (xy -3.376226 1.462495) + (xy -3.373868 1.456763) + (xy -3.368455 1.440846) + (xy -3.365092 1.424384) + (xy -3.363346 1.404303) + (xy -3.362787 1.378106) + (xy -3.362945 1.353982) + (xy -3.363994 1.336566) + (xy -3.366445 1.322837) + (xy -3.37081 1.309772) + (xy -3.37658 1.296552) + (xy -3.397158 1.263037) + (xy -3.425222 1.235683) + (xy -3.460818 1.214457) + (xy -3.503992 1.199324) + (xy -3.50857 1.198183) + (xy -3.52074 1.196302) + (xy -3.540788 1.194413) + (xy -3.566856 1.192637) + (xy -3.597082 1.191096) + (xy -3.629608 1.189911) + (xy -3.638832 1.189661) + (xy -3.744747 1.187012) + (xy -3.97362 1.187012) + (xy -3.97362 1.012175) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 362ec12e-e5ce-4e5f-bc14-7f04b556d52f)) + (fp_poly + (pts + (xy -7.780294 1.007185) + (xy -7.720503 1.020276) + (xy -7.664445 1.040746) + (xy -7.637203 1.054371) + (xy -7.609205 1.072888) + (xy -7.580346 1.097173) + (xy -7.552958 1.12484) + (xy -7.529368 1.153505) + (xy -7.511907 1.180782) + (xy -7.509918 1.184695) + (xy -7.495847 1.215718) + (xy -7.486415 1.242907) + (xy -7.48056 1.270326) + (xy -7.477221 1.302039) + (xy -7.476586 1.312366) + (xy -7.477225 1.365415) + (xy -7.485476 1.413706) + (xy -7.50165 1.458928) + (xy -7.504881 1.465759) + (xy -7.520648 1.491488) + (xy -7.542628 1.518607) + (xy -7.568212 1.544448) + (xy -7.59479 1.566342) + (xy -7.61666 1.580087) + (xy -7.643999 1.59418) + (xy -7.595798 1.617827) + (xy -7.55197 1.642957) + (xy -7.51615 1.671941) + (xy -7.487133 1.706154) + (xy -7.463715 1.746972) + (xy -7.447562 1.787155) + (xy -7.443752 1.800137) + (xy -7.441144 1.814433) + (xy -7.439549 1.832134) + (xy -7.438783 1.855332) + (xy -7.438659 1.886116) + (xy -7.438662 1.886983) + (xy -7.438934 1.916353) + (xy -7.439656 1.938303) + (xy -7.441108 1.955152) + (xy -7.443566 1.969218) + (xy -7.44731 1.98282) + (xy -7.45171 1.995745) + (xy -7.475227 2.047735) + (xy -7.506445 2.093975) + (xy -7.545014 2.134196) + (xy -7.590584 2.168127) + (xy -7.642804 2.195499) + (xy -7.701323 2.216041) + (xy -7.752095 2.227317) + (xy -7.787225 2.231673) + (xy -7.827736 2.234042) + (xy -7.870204 2.234422) + (xy -7.911201 2.232811) + (xy -7.947303 2.229206) + (xy -7.958245 2.227455) + (xy -8.018954 2.212883) + (xy -8.072904 2.192089) + (xy -8.121287 2.164479) + (xy -8.165291 2.129461) + (xy -8.176666 2.118571) + (xy -8.197131 2.097489) + (xy -8.212205 2.079765) + (xy -8.223999 2.062551) + (xy -8.234626 2.042997) + (xy -8.238255 2.035506) + (xy -8.25159 2.005469) + (xy -8.260852 1.978972) + (xy -8.266687 1.952913) + (xy -8.269736 1.924193) + (xy -8.270645 1.889712) + (xy -8.270612 1.879678) + (xy -8.270215 1.858563) + (xy -8.058009 1.858563) + (xy -8.057895 1.896078) + (xy -8.050244 1.940212) + (xy -8.034712 1.978846) + (xy -8.011672 2.01154) + (xy -7.981499 2.037856) + (xy -7.944569 2.057353) + (xy -7.911929 2.067405) + (xy -7.881749 2.071668) + (xy -7.847578 2.072329) + (xy -7.813248 2.069591) + (xy -7.782593 2.06366) + (xy -7.770603 2.05985) + (xy -7.731652 2.041116) + (xy -7.700758 2.017038) + (xy -7.682648 1.99521) + (xy -7.666774 1.96745) + (xy -7.6566 1.938318) + (xy -7.651549 1.905377) + (xy -7.651047 1.866189) + (xy -7.651215 1.862053) + (xy -7.652651 1.83755) + (xy -7.654865 1.819541) + (xy -7.658562 1.804781) + (xy -7.664447 1.790023) + (xy -7.668905 1.780666) + (xy -7.690158 1.747099) + (xy -7.717541 1.720653) + (xy -7.751469 1.70105) + (xy -7.792352 1.68801) + (xy -7.810827 1.684557) + (xy -7.856125 1.681277) + (xy -7.900436 1.685128) + (xy -7.941767 1.695694) + (xy -7.978128 1.712557) + (xy -7.993084 1.722654) + (xy -8.01837 1.747847) + (xy -8.037982 1.779865) + (xy -8.051376 1.817255) + (xy -8.058009 1.858563) + (xy -8.270215 1.858563) + (xy -8.270079 1.851298) + (xy -8.268709 1.829635) + (xy -8.266111 1.811679) + (xy -8.26189 1.794418) + (xy -8.257747 1.781098) + (xy -8.242857 1.743589) + (xy -8.223935 1.711435) + (xy -8.19887 1.681369) + (xy -8.183268 1.666) + (xy -8.157027 1.644915) + (xy -8.125433 1.624781) + (xy -8.092702 1.608095) + (xy -8.071563 1.599864) + (xy -8.068033 1.597455) + (xy -8.07031 1.593563) + (xy -8.079422 1.587209) + (xy -8.093476 1.57905) + (xy -8.133166 1.551634) + (xy -8.16764 1.51746) + (xy -8.195603 1.478175) + (xy -8.215762 1.435426) + (xy -8.221927 1.415555) + (xy -8.231799 1.359746) + (xy -8.232131 1.326108) + (xy -8.027275 1.326108) + (xy -8.02722 1.336621) + (xy -8.025332 1.375048) + (xy -8.019839 1.406344) + (xy -8.010121 1.432649) + (xy -7.995556 1.456104) + (xy -7.990806 1.462112) + (xy -7.966207 1.484681) + (xy -7.935281 1.501742) + (xy -7.899964 1.512941) + (xy -7.862191 1.517925) + (xy -7.8239 1.51634) + (xy -7.787026 1.507834) + (xy -7.772211 1.502015) + (xy -7.739287 1.482486) + (xy -7.713641 1.456669) + (xy -7.695228 1.424473) + (xy -7.684002 1.385805) + (xy -7.679915 1.340575) + (xy -7.680321 1.319514) + (xy -7.686341 1.277704) + (xy -7.700117 1.241773) + (xy -7.721636 1.21174) + (xy -7.750887 1.187622) + (xy -7.765891 1.179049) + (xy -7.790708 1.169687) + (xy -7.820835 1.163246) + (xy -7.852106 1.160399) + (xy -7.876077 1.161261) + (xy -7.917853 1.169668) + (xy -7.952868 1.184921) + (xy -7.98156 1.207309) + (xy -8.004372 1.237122) + (xy -8.011557 1.25039) + (xy -8.018646 1.265349) + (xy -8.023246 1.27756) + (xy -8.025873 1.289863) + (xy -8.027044 1.305098) + (xy -8.027275 1.326108) + (xy -8.232131 1.326108) + (xy -8.232335 1.305396) + (xy -8.22391 1.253219) + (xy -8.206897 1.203927) + (xy -8.181672 1.158233) + (xy -8.14861 1.116851) + (xy -8.108084 1.080493) + (xy -8.06047 1.049873) + (xy -8.01982 1.030895) + (xy -7.963582 1.01333) + (xy -7.903682 1.003599) + (xy -7.84197 1.001589) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1d8a2d62-858a-426e-87d5-78dec3faf454)) + (fp_poly + (pts + (xy 3.079137 -2.024598) + (xy 3.08276 -2.009853) + (xy 3.088386 -1.986646) + (xy 3.095898 -1.95547) + (xy 3.10518 -1.916813) + (xy 3.116116 -1.871166) + (xy 3.128588 -1.81902) + (xy 3.14248 -1.760865) + (xy 3.157677 -1.697192) + (xy 3.174061 -1.62849) + (xy 3.191516 -1.555251) + (xy 3.209926 -1.477963) + (xy 3.229173 -1.397119) + (xy 3.249143 -1.313208) + (xy 3.269717 -1.226721) + (xy 3.29078 -1.138148) + (xy 3.312216 -1.047979) + (xy 3.333907 -0.956705) + (xy 3.355738 -0.864816) + (xy 3.377592 -0.772802) + (xy 3.399352 -0.681155) + (xy 3.420902 -0.590363) + (xy 3.442126 -0.500919) + (xy 3.462907 -0.413311) + (xy 3.483128 -0.328031) + (xy 3.502674 -0.245568) + (xy 3.521427 -0.166414) + (xy 3.539271 -0.091058) + (xy 3.556091 -0.019991) + (xy 3.571769 0.046297) + (xy 3.586188 0.107315) + (xy 3.599233 0.162573) + (xy 3.610787 0.211581) + (xy 3.620734 0.253848) + (xy 3.628956 0.288884) + (xy 3.635339 0.316198) + (xy 3.639764 0.335301) + (xy 3.642116 0.345701) + (xy 3.642485 0.347549) + (xy 3.641974 0.349705) + (xy 3.639841 0.351448) + (xy 3.635184 0.35281) + (xy 3.627103 0.353826) + (xy 3.614697 0.354529) + (xy 3.597063 0.354953) + (xy 3.573302 0.355132) + (xy 3.542512 0.355099) + (xy 3.503793 0.354889) + (xy 3.456242 0.354535) + (xy 3.455863 0.354532) + (xy 3.269241 0.353049) + (xy 3.24057 0.338379) + (xy 3.22984 0.332878) + (xy 3.220613 0.327701) + (xy 3.21262 0.322069) + (xy 3.20559 0.315202) + (xy 3.199253 0.306323) + (xy 3.19334 0.294653) + (xy 3.18758 0.279414) + (xy 3.181703 0.259827) + (xy 3.175439 0.235114) + (xy 3.168518 0.204496) + (xy 3.160669 0.167195) + (xy 3.151624 0.122432) + (xy 3.141111 0.069429) + (xy 3.132988 0.028288) + (xy 3.123349 -0.020473) + (xy 3.114218 -0.066577) + (xy 3.105781 -0.109099) + (xy 3.098222 -0.14711) + (xy 3.091726 -0.179685) + (xy 3.086477 -0.205897) + (xy 3.08266 -0.224817) + (xy 3.08046 -0.23552) + (xy 3.08005 -0.237394) + (xy 3.077422 -0.248351) + (xy 2.63071 -0.248351) + (xy 2.183998 -0.248351) + (xy 2.069577 0.01055) + (xy 2.043674 0.069102) + (xy 2.021277 0.119439) + (xy 2.001971 0.162262) + (xy 1.985341 0.198271) + (xy 1.970971 0.228165) + (xy 1.958445 0.252646) + (xy 1.947348 0.272412) + (xy 1.937265 0.288164) + (xy 1.927779 0.300602) + (xy 1.918476 0.310427) + (xy 1.90894 0.318338) + (xy 1.898755 0.325035) + (xy 1.887506 0.331219) + (xy 1.875762 0.337105) + (xy 1.84339 0.353049) + (xy 1.65701 0.354532) + (xy 1.608064 0.354866) + (xy 1.568059 0.354999) + (xy 1.536194 0.35491) + (xy 1.511673 0.35458) + (xy 1.493697 0.353986) + (xy 1.481468 0.353108) + (xy 1.474187 0.351925) + (xy 1.471057 0.350416) + (xy 1.470789 0.349662) + (xy 1.472893 0.344791) + (xy 1.479064 0.331509) + (xy 1.489129 0.310174) + (xy 1.502918 0.281143) + (xy 1.520258 0.244774) + (xy 1.540977 0.201424) + (xy 1.564903 0.151451) + (xy 1.591866 0.095213) + (xy 1.621692 0.033067) + (xy 1.65421 -0.034629) + (xy 1.689249 -0.107517) + (xy 1.726637 -0.18524) + (xy 1.766201 -0.267441) + (xy 1.80777 -0.353761) + (xy 1.851172 -0.443843) + (xy 1.896235 -0.537329) + (xy 1.908147 -0.562029) + (xy 2.321636 -0.562029) + (xy 2.32251 -0.56062) + (xy 2.325379 -0.559417) + (xy 2.330896 -0.558403) + (xy 2.339713 -0.557563) + (xy 2.352482 -0.55688) + (xy 2.369856 -0.556338) + (xy 2.392487 -0.555922) + (xy 2.421026 -0.555613) + (xy 2.456128 -0.555398) + (xy 2.498443 -0.555259) + (xy 2.548623 -0.55518) + (xy 2.607323 -0.555145) + (xy 2.665952 -0.555138) + (xy 2.737114 -0.55518) + (xy 2.79899 -0.555311) + (xy 2.852034 -0.555539) + (xy 2.896701 -0.555871) + (xy 2.933442 -0.556313) + (xy 2.962712 -0.556875) + (xy 2.984965 -0.557561) + (xy 3.000653 -0.558381) + (xy 3.010231 -0.559341) + (xy 3.014152 -0.560448) + (xy 3.014302 -0.560739) + (xy 3.013362 -0.566259) + (xy 3.010651 -0.580502) + (xy 3.006336 -0.602646) + (xy 3.00058 -0.631869) + (xy 2.993551 -0.667349) + (xy 2.985412 -0.708263) + (xy 2.97633 -0.753791) + (xy 2.966469 -0.803109) + (xy 2.955996 -0.855395) + (xy 2.945074 -0.909829) + (xy 2.93387 -0.965587) + (xy 2.92255 -1.021847) + (xy 2.911277 -1.077788) + (xy 2.900218 -1.132588) + (xy 2.889538 -1.185424) + (xy 2.879402 -1.235474) + (xy 2.869976 -1.281917) + (xy 2.861425 -1.32393) + (xy 2.853913 -1.360692) + (xy 2.847608 -1.39138) + (xy 2.842673 -1.415172) + (xy 2.839275 -1.431246) + (xy 2.838653 -1.434106) + (xy 2.833794 -1.457369) + (xy 2.828011 -1.486811) + (xy 2.821959 -1.519003) + (xy 2.816294 -1.550513) + (xy 2.815153 -1.557082) + (xy 2.802344 -1.631361) + (xy 2.773709 -1.561952) + (xy 2.760927 -1.531583) + (xy 2.746596 -1.498528) + (xy 2.732369 -1.466541) + (xy 2.719897 -1.439379) + (xy 2.718558 -1.436541) + (xy 2.712603 -1.423762) + (xy 2.702978 -1.402848) + (xy 2.690023 -1.374544) + (xy 2.674074 -1.339592) + (xy 2.655469 -1.298735) + (xy 2.634547 -1.252717) + (xy 2.611645 -1.20228) + (xy 2.587101 -1.148167) + (xy 2.561252 -1.091121) + (xy 2.534437 -1.031886) + (xy 2.509325 -0.976361) + (xy 2.482519 -0.917079) + (xy 2.456799 -0.860231) + (xy 2.432457 -0.806457) + (xy 2.409783 -0.756398) + (xy 2.389066 -0.710692) + (xy 2.370599 -0.669982) + (xy 2.35467 -0.634906) + (xy 2.341571 -0.606106) + (xy 2.331592 -0.58422) + (xy 2.325023 -0.56989) + (xy 2.322155 -0.563756) + (xy 2.322105 -0.56366) + (xy 2.321636 -0.562029) + (xy 1.908147 -0.562029) + (xy 1.942789 -0.633862) + (xy 1.990659 -0.733084) + (xy 2.039676 -0.834638) + (xy 2.049324 -0.85462) + (xy 2.627699 -2.05255) + (xy 2.849614 -2.053816) + (xy 3.071529 -2.055082) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1f3a7390-b996-4102-94af-55ce6bd9026b)) + (fp_poly + (pts + (xy -3.525644 -2.053674) + (xy -3.449845 -2.053344) + (xy -3.38305 -2.053023) + (xy -3.324523 -2.052676) + (xy -3.273528 -2.052271) + (xy -3.229331 -2.051772) + (xy -3.191195 -2.051148) + (xy -3.158386 -2.050363) + (xy -3.130168 -2.049385) + (xy -3.105806 -2.048181) + (xy -3.084563 -2.046715) + (xy -3.065704 -2.044956) + (xy -3.048495 -2.042868) + (xy -3.032199 -2.04042) + (xy -3.016082 -2.037577) + (xy -2.999407 -2.034305) + (xy -2.981439 -2.030571) + (xy -2.970909 -2.028344) + (xy -2.890791 -2.007511) + (xy -2.816894 -1.980378) + (xy -2.749574 -1.947186) + (xy -2.689187 -1.90818) + (xy -2.63609 -1.863603) + (xy -2.590637 -1.813697) + (xy -2.553185 -1.758706) + (xy -2.539645 -1.733589) + (xy -2.518029 -1.685769) + (xy -2.501853 -1.638886) + (xy -2.490499 -1.590344) + (xy -2.483349 -1.537545) + (xy -2.479938 -1.482526) + (xy -2.481182 -1.390626) + (xy -2.491455 -1.302309) + (xy -2.510814 -1.217367) + (xy -2.539318 -1.13559) + (xy -2.577024 -1.05677) + (xy -2.615529 -0.993175) + (xy -2.636963 -0.964156) + (xy -2.664461 -0.931722) + (xy -2.69601 -0.897908) + (xy -2.729601 -0.864747) + (xy -2.763224 -0.834273) + (xy -2.794868 -0.808519) + (xy -2.806406 -0.800076) + (xy -2.838891 -0.778904) + (xy -2.876805 -0.75692) + (xy -2.917098 -0.735707) + (xy -2.956719 -0.716848) + (xy -2.99262 -0.701927) + (xy -3.002128 -0.698482) + (xy -3.020113 -0.692134) + (xy -3.034257 -0.686938) + (xy -3.042279 -0.683741) + (xy -3.043226 -0.683244) + (xy -3.041282 -0.679659) + (xy -3.033611 -0.673426) + (xy -3.033487 -0.67334) + (xy -3.016044 -0.659478) + (xy -2.995773 -0.640607) + (xy -2.975525 -0.619635) + (xy -2.958145 -0.599468) + (xy -2.949101 -0.587218) + (xy -2.945546 -0.580666) + (xy -2.938133 -0.566047) + (xy -2.927207 -0.54408) + (xy -2.913115 -0.515482) + (xy -2.896203 -0.480971) + (xy -2.876818 -0.441265) + (xy -2.855307 -0.397083) + (xy -2.832015 -0.349141) + (xy -2.807289 -0.298159) + (xy -2.781476 -0.244853) + (xy -2.754922 -0.189943) + (xy -2.727974 -0.134145) + (xy -2.700978 -0.078177) + (xy -2.674281 -0.022759) + (xy -2.648228 0.031393) + (xy -2.623167 0.08356) + (xy -2.599444 0.133025) + (xy -2.577405 0.17907) + (xy -2.557398 0.220976) + (xy -2.539767 0.258026) + (xy -2.524861 0.289502) + (xy -2.513025 0.314685) + (xy -2.504605 0.332859) + (xy -2.499949 0.343305) + (xy -2.499451 0.344527) + (xy -2.503414 0.346229) + (xy -2.515955 0.34768) + (xy -2.535917 0.348885) + (xy -2.562142 0.349846) + (xy -2.593472 0.350567) + (xy -2.628751 0.35105) + (xy -2.66682 0.351298) + (xy -2.706521 0.351314) + (xy -2.746697 0.351102) + (xy -2.786191 0.350665) + (xy -2.823845 0.350006) + (xy -2.858501 0.349127) + (xy -2.889002 0.348033) + (xy -2.914189 0.346725) + (xy -2.932906 0.345207) + (xy -2.943995 0.343483) + (xy -2.944502 0.343341) + (xy -2.974656 0.329964) + (xy -3.001371 0.309684) + (xy -3.021882 0.284697) + (xy -3.024948 0.279416) + (xy -3.028889 0.271408) + (xy -3.036504 0.255217) + (xy -3.047474 0.231542) + (xy -3.061481 0.201084) + (xy -3.078205 0.164543) + (xy -3.097325 0.122617) + (xy -3.118525 0.076007) + (xy -3.141483 0.025412) + (xy -3.16588 -0.028467) + (xy -3.191398 -0.084932) + (xy -3.208249 -0.122276) + (xy -3.234319 -0.180056) + (xy -3.259467 -0.235721) + (xy -3.283372 -0.288569) + (xy -3.305717 -0.337899) + (xy -3.326181 -0.383008) + (xy -3.344447 -0.423195) + (xy -3.360196 -0.457758) + (xy -3.373108 -0.485995) + (xy -3.382864 -0.507204) + (xy -3.389147 -0.520684) + (xy -3.391199 -0.524934) + (xy -3.401874 -0.542679) + (xy -3.414794 -0.559742) + (xy -3.420978 -0.566412) + (xy -3.431382 -0.575485) + (xy -3.442579 -0.582566) + (xy -3.455892 -0.587892) + (xy -3.472645 -0.591702) + (xy -3.494161 -0.594232) + (xy -3.521764 -0.595719) + (xy -3.556778 -0.596403) + (xy -3.58886 -0.59653) + (xy -3.693498 -0.59653) + (xy -3.723421 -0.353048) + (xy -3.730646 -0.294238) + (xy -3.738645 -0.229109) + (xy -3.747107 -0.160186) + (xy -3.755722 -0.089996) + (xy -3.76418 -0.021064) + (xy -3.772172 0.044086) + (xy -3.779387 0.102928) + (xy -3.781543 0.120524) + (xy -3.809743 0.350614) + (xy -4.026205 0.350614) + (xy -4.242667 0.350614) + (xy -4.240141 0.337222) + (xy -4.239331 0.331244) + (xy -4.237393 0.316018) + (xy -4.234378 0.291961) + (xy -4.230338 0.259493) + (xy -4.225324 0.219033) + (xy -4.219388 0.170998) + (xy -4.212581 0.115808) + (xy -4.204954 0.053882) + (xy -4.19656 -0.014362) + (xy -4.18745 -0.088505) + (xy -4.177674 -0.168129) + (xy -4.167285 -0.252813) + (xy -4.156334 -0.342141) + (xy -4.144873 -0.435693) + (xy -4.132953 -0.53305) + (xy -4.120625 -0.633794) + (xy -4.107941 -0.737506) + (xy -4.094952 -0.843766) + (xy -4.092257 -0.865822) + (xy -4.087509 -0.90468) + (xy -3.655212 -0.90468) + (xy -3.484498 -0.907211) + (xy -3.433576 -0.908079) + (xy -3.3914 -0.909068) + (xy -3.356979 -0.910226) + (xy -3.329321 -0.911603) + (xy -3.307434 -0.913246) + (xy -3.290325 -0.915207) + (xy -3.277401 -0.917448) + (xy -3.208701 -0.936143) + (xy -3.146963 -0.961645) + (xy -3.092205 -0.993936) + (xy -3.044441 -1.033002) + (xy -3.003688 -1.078826) + (xy -2.969961 -1.131392) + (xy -2.943276 -1.190684) + (xy -2.92365 -1.256686) + (xy -2.918642 -1.280367) + (xy -2.91366 -1.315688) + (xy -2.911021 -1.355331) + (xy -2.910689 -1.396367) + (xy -2.91263 -1.435868) + (xy -2.916808 -1.470904) + (xy -2.92116 -1.491636) + (xy -2.939438 -1.542698) + (xy -2.965381 -1.587887) + (xy -2.998651 -1.626875) + (xy -3.038907 -1.659337) + (xy -3.08581 -1.684946) + (xy -3.139021 -1.703375) + (xy -3.142252 -1.704207) + (xy -3.167509 -1.709861) + (xy -3.194779 -1.714416) + (xy -3.225307 -1.717963) + (xy -3.260341 -1.720589) + (xy -3.301124 -1.722384) + (xy -3.348904 -1.723438) + (xy -3.404927 -1.72384) + (xy -3.416563 -1.72385) + (xy -3.456949 -1.723814) + (xy -3.488643 -1.723663) + (xy -3.512692 -1.723333) + (xy -3.530141 -1.72276) + (xy -3.542038 -1.721879) + (xy -3.549429 -1.720626) + (xy -3.553361 -1.718936) + (xy -3.55488 -1.716745) + (xy -3.555066 -1.715328) + (xy -3.555692 -1.709286) + (xy -3.557437 -1.69431) + (xy -3.560211 -1.671132) + (xy -3.563924 -1.64048) + (xy -3.568488 -1.603085) + (xy -3.573812 -1.559678) + (xy -3.579808 -1.510989) + (xy -3.586385 -1.457748) + (xy -3.593454 -1.400686) + (xy -3.600926 -1.340533) + (xy -3.605257 -1.305743) + (xy -3.655212 -0.90468) + (xy -4.087509 -0.90468) + (xy -3.946899 -2.055475) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 897a5bdf-471c-4436-af76-640e9fd81eed)) + (fp_poly + (pts + (xy 0.418757 -2.053674) + (xy 0.494557 -2.053344) + (xy 0.561352 -2.053023) + (xy 0.619879 -2.052676) + (xy 0.670874 -2.052271) + (xy 0.715071 -2.051772) + (xy 0.753206 -2.051148) + (xy 0.786015 -2.050363) + (xy 0.814234 -2.049385) + (xy 0.838596 -2.048181) + (xy 0.859839 -2.046715) + (xy 0.878697 -2.044956) + (xy 0.895907 -2.042868) + (xy 0.912202 -2.04042) + (xy 0.92832 -2.037577) + (xy 0.944995 -2.034305) + (xy 0.962963 -2.030571) + (xy 0.973493 -2.028344) + (xy 1.053611 -2.007511) + (xy 1.127508 -1.980378) + (xy 1.194828 -1.947186) + (xy 1.255215 -1.90818) + (xy 1.308312 -1.863603) + (xy 1.353765 -1.813697) + (xy 1.391217 -1.758706) + (xy 1.404757 -1.733589) + (xy 1.426373 -1.685769) + (xy 1.442549 -1.638886) + (xy 1.453903 -1.590344) + (xy 1.461053 -1.537545) + (xy 1.464464 -1.482526) + (xy 1.463219 -1.390626) + (xy 1.452947 -1.302309) + (xy 1.433587 -1.217367) + (xy 1.405084 -1.13559) + (xy 1.367378 -1.05677) + (xy 1.328873 -0.993175) + (xy 1.307438 -0.964156) + (xy 1.279941 -0.931722) + (xy 1.248392 -0.897908) + (xy 1.2148 -0.864747) + (xy 1.181178 -0.834273) + (xy 1.149534 -0.808519) + (xy 1.137996 -0.800076) + (xy 1.105511 -0.778904) + (xy 1.067597 -0.75692) + (xy 1.027304 -0.735707) + (xy 0.987683 -0.716848) + (xy 0.951782 -0.701927) + (xy 0.942274 -0.698482) + (xy 0.924289 -0.692134) + (xy 0.910145 -0.686938) + (xy 0.902123 -0.683741) + (xy 0.901176 -0.683244) + (xy 0.90312 -0.679659) + (xy 0.910791 -0.673426) + (xy 0.910915 -0.67334) + (xy 0.928358 -0.659478) + (xy 0.948628 -0.640607) + (xy 0.968877 -0.619635) + (xy 0.986257 -0.599468) + (xy 0.995301 -0.587218) + (xy 0.998855 -0.580666) + (xy 1.006269 -0.566047) + (xy 1.017195 -0.54408) + (xy 1.031287 -0.515482) + (xy 1.048199 -0.480971) + (xy 1.067584 -0.441265) + (xy 1.089095 -0.397083) + (xy 1.112387 -0.349141) + (xy 1.137113 -0.298159) + (xy 1.162926 -0.244853) + (xy 1.18948 -0.189943) + (xy 1.216428 -0.134145) + (xy 1.243424 -0.078177) + (xy 1.270121 -0.022759) + (xy 1.296174 0.031393) + (xy 1.321235 0.08356) + (xy 1.344958 0.133025) + (xy 1.366996 0.17907) + (xy 1.387004 0.220976) + (xy 1.404635 0.258026) + (xy 1.419541 0.289502) + (xy 1.431377 0.314685) + (xy 1.439797 0.332859) + (xy 1.444453 0.343305) + (xy 1.444951 0.344527) + (xy 1.440988 0.346229) + (xy 1.428447 0.34768) + (xy 1.408485 0.348885) + (xy 1.38226 0.349846) + (xy 1.350929 0.350567) + (xy 1.315651 0.35105) + (xy 1.277582 0.351298) + (xy 1.237881 0.351314) + (xy 1.197704 0.351102) + (xy 1.15821 0.350665) + (xy 1.120557 0.350006) + (xy 1.085901 0.349127) + (xy 1.0554 0.348033) + (xy 1.030213 0.346725) + (xy 1.011496 0.345207) + (xy 1.000407 0.343483) + (xy 0.9999 0.343341) + (xy 0.969746 0.329964) + (xy 0.94303 0.309684) + (xy 0.92252 0.284697) + (xy 0.919454 0.279416) + (xy 0.915513 0.271408) + (xy 0.907898 0.255217) + (xy 0.896927 0.231542) + (xy 0.882921 0.201084) + (xy 0.866197 0.164543) + (xy 0.847076 0.122617) + (xy 0.825877 0.076007) + (xy 0.802919 0.025412) + (xy 0.778522 -0.028467) + (xy 0.753004 -0.084932) + (xy 0.736153 -0.122276) + (xy 0.710083 -0.180056) + (xy 0.684935 -0.235721) + (xy 0.66103 -0.288569) + (xy 0.638685 -0.337899) + (xy 0.61822 -0.383008) + (xy 0.599954 -0.423195) + (xy 0.584206 -0.457758) + (xy 0.571294 -0.485995) + (xy 0.561537 -0.507204) + (xy 0.555255 -0.520684) + (xy 0.553203 -0.524934) + (xy 0.542528 -0.542679) + (xy 0.529608 -0.559742) + (xy 0.523424 -0.566412) + (xy 0.51302 -0.575485) + (xy 0.501823 -0.582566) + (xy 0.48851 -0.587892) + (xy 0.471757 -0.591702) + (xy 0.450241 -0.594232) + (xy 0.422637 -0.595719) + (xy 0.387624 -0.596403) + (xy 0.355542 -0.59653) + (xy 0.250904 -0.59653) + (xy 0.220981 -0.353048) + (xy 0.213756 -0.294238) + (xy 0.205757 -0.229109) + (xy 0.197295 -0.160186) + (xy 0.18868 -0.089996) + (xy 0.180222 -0.021064) + (xy 0.17223 0.044086) + (xy 0.165015 0.102928) + (xy 0.162859 0.120524) + (xy 0.134658 0.350614) + (xy -0.081803 0.350614) + (xy -0.298265 0.350614) + (xy -0.295739 0.337222) + (xy -0.294929 0.331244) + (xy -0.292991 0.316018) + (xy -0.289976 0.291961) + (xy -0.285936 0.259493) + (xy -0.280922 0.219033) + (xy -0.274986 0.170998) + (xy -0.268179 0.115808) + (xy -0.260552 0.053882) + (xy -0.252158 -0.014362) + (xy -0.243048 -0.088505) + (xy -0.233272 -0.168129) + (xy -0.222883 -0.252813) + (xy -0.211933 -0.342141) + (xy -0.200471 -0.435693) + (xy -0.188551 -0.53305) + (xy -0.176223 -0.633794) + (xy -0.163539 -0.737506) + (xy -0.15055 -0.843766) + (xy -0.147855 -0.865822) + (xy -0.143107 -0.90468) + (xy 0.28919 -0.90468) + (xy 0.459904 -0.907211) + (xy 0.510826 -0.908079) + (xy 0.553002 -0.909068) + (xy 0.587423 -0.910226) + (xy 0.615081 -0.911603) + (xy 0.636968 -0.913246) + (xy 0.654077 -0.915207) + (xy 0.667 -0.917448) + (xy 0.735701 -0.936143) + (xy 0.797439 -0.961645) + (xy 0.852197 -0.993936) + (xy 0.899961 -1.033002) + (xy 0.940714 -1.078826) + (xy 0.974441 -1.131392) + (xy 1.001126 -1.190684) + (xy 1.020752 -1.256686) + (xy 1.02576 -1.280367) + (xy 1.030742 -1.315688) + (xy 1.033381 -1.355331) + (xy 1.033713 -1.396367) + (xy 1.031772 -1.435868) + (xy 1.027594 -1.470904) + (xy 1.023242 -1.491636) + (xy 1.004964 -1.542698) + (xy 0.979021 -1.587887) + (xy 0.945751 -1.626875) + (xy 0.905495 -1.659337) + (xy 0.858592 -1.684946) + (xy 0.80538 -1.703375) + (xy 0.80215 -1.704207) + (xy 0.776893 -1.709861) + (xy 0.749623 -1.714416) + (xy 0.719095 -1.717963) + (xy 0.684061 -1.720589) + (xy 0.643278 -1.722384) + (xy 0.595498 -1.723438) + (xy 0.539475 -1.72384) + (xy 0.527839 -1.72385) + (xy 0.487453 -1.723814) + (xy 0.455758 -1.723663) + (xy 0.43171 -1.723333) + (xy 0.414261 -1.72276) + (xy 0.402364 -1.721879) + (xy 0.394973 -1.720626) + (xy 0.391041 -1.718936) + (xy 0.389521 -1.716745) + (xy 0.389335 -1.715328) + (xy 0.388709 -1.709286) + (xy 0.386965 -1.69431) + (xy 0.384191 -1.671132) + (xy 0.380478 -1.64048) + (xy 0.375914 -1.603085) + (xy 0.37059 -1.559678) + (xy 0.364594 -1.510989) + (xy 0.358017 -1.457748) + (xy 0.350948 -1.400686) + (xy 0.343475 -1.340533) + (xy 0.339145 -1.305743) + (xy 0.28919 -0.90468) + (xy -0.143107 -0.90468) + (xy -0.002497 -2.055475) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp f9002e03-adf2-4116-95ca-c62cd36f5304)) + (fp_poly + (pts + (xy -1.105112 -2.08007) + (xy -1.019506 -2.068187) + (xy -0.939595 -2.04844) + (xy -0.865324 -2.020807) + (xy -0.796642 -1.985269) + (xy -0.733498 -1.941806) + (xy -0.675838 -1.8904) + (xy -0.669411 -1.883827) + (xy -0.623734 -1.830624) + (xy -0.58636 -1.774028) + (xy -0.556657 -1.71284) + (xy -0.533993 -1.645857) + (xy -0.525014 -1.609413) + (xy -0.521507 -1.585795) + (xy -0.519362 -1.554919) + (xy -0.51853 -1.519165) + (xy -0.518962 -1.480912) + (xy -0.52061 -1.44254) + (xy -0.523425 -1.406428) + (xy -0.52736 -1.374956) + (xy -0.530101 -1.359924) + (xy -0.550846 -1.283698) + (xy -0.579496 -1.213156) + (xy -0.616023 -1.148331) + (xy -0.660403 -1.089254) + (xy -0.712608 -1.035956) + (xy -0.772614 -0.988468) + (xy -0.840393 -0.946823) + (xy -0.895798 -0.91967) + (xy -0.914811 -0.911067) + (xy -0.925994 -0.905343) + (xy -0.930494 -0.901602) + (xy -0.929459 -0.898948) + (xy -0.925015 -0.896852) + (xy -0.852668 -0.865187) + (xy -0.788604 -0.828401) + (xy -0.732809 -0.786474) + (xy -0.68527 -0.739385) + (xy -0.645971 -0.687112) + (xy -0.614899 -0.629634) + (xy -0.59204 -0.56693) + (xy -0.577378 -0.498978) + (xy -0.570901 -0.425758) + (xy -0.571282 -0.370092) + (xy -0.576322 -0.30348) + (xy -0.585574 -0.24336) + (xy -0.599436 -0.187261) + (xy -0.602151 -0.178357) + (xy -0.63146 -0.100545) + (xy -0.668947 -0.027725) + (xy -0.714292 0.039869) + (xy -0.767175 0.102002) + (xy -0.827276 0.158441) + (xy -0.894275 0.208951) + (xy -0.967853 0.253297) + (xy -1.047689 0.291247) + (xy -1.133464 0.322564) + (xy -1.224857 0.347016) + (xy -1.321548 0.364368) + (xy -1.348157 0.367763) + (xy -1.379042 0.370519) + (xy -1.416568 0.372524) + (xy -1.458194 0.373763) + (xy -1.50138 0.374218) + (xy -1.543583 0.373873) + (xy -1.582265 0.372711) + (xy -1.614883 0.370716) + (xy -1.626457 0.369592) + (xy -1.712626 0.355981) + (xy -1.794475 0.335158) + (xy -1.871335 0.307426) + (xy -1.942533 0.273086) + (xy -2.007399 0.232438) + (xy -2.065262 0.185784) + (xy -2.090345 0.161353) + (xy -2.136595 0.107943) + (xy -2.174256 0.052126) + (xy -2.204131 -0.007613) + (xy -2.227022 -0.072792) + (xy -2.238336 -0.117864) + (xy -2.242505 -0.144376) + (xy -2.245414 -0.178144) + (xy -2.247064 -0.216764) + (xy -2.247456 -0.257834) + (xy -2.246885 -0.284873) + (xy -1.831619 -0.284873) + (xy -1.829096 -0.229364) + (xy -1.821278 -0.180843) + (xy -1.807598 -0.137785) + (xy -1.787489 -0.098662) + (xy -1.760384 -0.06195) + (xy -1.740948 -0.04087) + (xy -1.703473 -0.007904) + (xy -1.662528 0.018138) + (xy -1.616694 0.03792) + (xy -1.564549 0.052102) + (xy -1.527755 0.058469) + (xy -1.501721 0.06066) + (xy -1.469095 0.061177) + (xy -1.432851 0.06016) + (xy -1.395965 0.057748) + (xy -1.361412 0.054083) + (xy -1.332167 0.049303) + (xy -1.331844 0.049236) + (xy -1.267752 0.031627) + (xy -1.208899 0.006725) + (xy -1.155933 -0.025065) + (xy -1.109501 -0.063339) + (xy -1.07025 -0.107694) + (xy -1.062136 -0.118959) + (xy -1.032686 -0.16746) + (xy -1.010485 -0.217872) + (xy -0.995108 -0.2717) + (xy -0.98613 -0.330453) + (xy -0.983127 -0.395637) + (xy -0.983126 -0.39931) + (xy -0.986164 -0.456843) + (xy -0.995304 -0.50759) + (xy -1.010949 -0.552662) + (xy -1.033502 -0.593171) + (xy -1.063364 -0.630227) + (xy -1.068871 -0.635933) + (xy -1.106157 -0.668605) + (xy -1.14723 -0.694538) + (xy -1.192998 -0.714044) + (xy -1.244367 -0.727439) + (xy -1.302242 -0.735037) + (xy -1.361062 -0.737178) + (xy -1.427661 -0.734505) + (xy -1.487795 -0.726272) + (xy -1.543284 -0.712041) + (xy -1.595945 -0.691378) + (xy -1.634088 -0.671731) + (xy -1.661692 -0.65353) + (xy -1.690848 -0.629937) + (xy -1.71914 -0.60327) + (xy -1.744149 -0.575848) + (xy -1.763456 -0.549987) + (xy -1.766862 -0.54446) + (xy -1.795401 -0.486586) + (xy -1.815653 -0.424193) + (xy -1.827698 -0.356958) + (xy -1.831619 -0.284873) + (xy -2.246885 -0.284873) + (xy -2.246588 -0.298952) + (xy -2.244463 -0.337716) + (xy -2.241079 -0.371723) + (xy -2.238311 -0.38957) + (xy -2.219155 -0.468599) + (xy -2.192134 -0.541896) + (xy -2.157291 -0.609413) + (xy -2.11467 -0.671101) + (xy -2.064315 -0.72691) + (xy -2.006269 -0.776792) + (xy -1.940578 -0.820697) + (xy -1.867284 -0.858578) + (xy -1.790529 -0.888981) + (xy -1.737903 -0.907127) + (xy -1.77771 -0.928397) + (xy -1.805118 -0.943758) + (xy -1.828281 -0.958686) + (xy -1.850087 -0.975322) + (xy -1.873424 -0.995809) + (xy -1.891064 -1.012475) + (xy -1.933502 -1.060001) + (xy -1.968349 -1.113319) + (xy -1.995437 -1.171912) + (xy -2.014594 -1.235262) + (xy -2.025652 -1.302853) + (xy -2.027681 -1.354778) + (xy -1.638992 -1.354778) + (xy -1.637475 -1.319713) + (xy -1.634368 -1.289028) + (xy -1.629955 -1.266456) + (xy -1.611343 -1.215711) + (xy -1.585339 -1.171141) + (xy -1.552373 -1.133117) + (xy -1.512872 -1.102009) + (xy -1.467267 -1.078186) + (xy -1.415985 -1.06202) + (xy -1.387084 -1.056743) + (xy -1.358964 -1.054399) + (xy -1.324666 -1.053984) + (xy -1.287523 -1.055329) + (xy -1.250869 -1.058265) + (xy -1.218035 -1.062622) + (xy -1.199811 -1.066257) + (xy -1.1422 -1.084702) + (xy -1.090271 -1.110816) + (xy -1.044326 -1.144151) + (xy -1.004667 -1.18426) + (xy -0.971595 -1.230694) + (xy -0.945411 -1.283004) + (xy -0.926418 -1.340743) + (xy -0.914916 -1.403463) + (xy -0.911208 -1.470715) + (xy -0.91177 -1.494388) + (xy -0.918196 -1.551628) + (xy -0.932254 -1.602861) + (xy -0.953881 -1.648006) + (xy -0.983015 -1.686982) + (xy -1.019593 -1.719707) + (xy -1.063553 -1.746101) + (xy -1.114832 -1.766083) + (xy -1.122621 -1.768372) + (xy -1.154899 -1.77496) + (xy -1.193537 -1.778811) + (xy -1.235549 -1.779922) + (xy -1.277948 -1.778291) + (xy -1.317746 -1.773914) + (xy -1.345037 -1.768598) + (xy -1.405692 -1.749312) + (xy -1.459826 -1.722802) + (xy -1.507343 -1.689166) + (xy -1.548143 -1.648501) + (xy -1.582129 -1.600905) + (xy -1.609203 -1.546476) + (xy -1.629267 -1.485312) + (xy -1.629306 -1.485157) + (xy -1.634074 -1.459414) + (xy -1.637283 -1.427314) + (xy -1.638925 -1.39154) + (xy -1.638992 -1.354778) + (xy -2.027681 -1.354778) + (xy -2.028439 -1.374167) + (xy -2.025759 -1.422015) + (xy -2.014071 -1.5022) + (xy -1.994068 -1.577731) + (xy -1.965529 -1.649058) + (xy -1.928234 -1.716633) + (xy -1.881962 -1.78091) + (xy -1.826492 -1.842338) + (xy -1.814608 -1.854001) + (xy -1.749823 -1.909689) + (xy -1.678946 -1.958014) + (xy -1.602463 -1.998795) + (xy -1.52086 -2.03185) + (xy -1.434621 -2.057) + (xy -1.344234 -2.074064) + (xy -1.250184 -2.082859) + (xy -1.196463 -2.084107) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp aae9027a-a80f-4301-b330-8fe376cd3c15)) + (fp_poly + (pts + (xy -5.124294 -2.081701) + (xy -5.083722 -2.081044) + (xy -5.046594 -2.079888) + (xy -5.014792 -2.078234) + (xy -4.990197 -2.07608) + (xy -4.984068 -2.075273) + (xy -4.882734 -2.056177) + (xy -4.787689 -2.029776) + (xy -4.698597 -1.995907) + (xy -4.615124 -1.954405) + (xy -4.536932 -1.905103) + (xy -4.463686 -1.847838) + (xy -4.401298 -1.788924) + (xy -4.368797 -1.755502) + (xy -4.436967 -1.667849) + (xy -4.466778 -1.629775) + (xy -4.491673 -1.598804) + (xy -4.512533 -1.574208) + (xy -4.530235 -1.555262) + (xy -4.545659 -1.541239) + (xy -4.559684 -1.531413) + (xy -4.573188 -1.525057) + (xy -4.58705 -1.521445) + (xy -4.602149 -1.51985) + (xy -4.616411 -1.519539) + (xy -4.632178 -1.520048) + (xy -4.646355 -1.52205) + (xy -4.660606 -1.52626) + (xy -4.676594 -1.533389) + (xy -4.695983 -1.544152) + (xy -4.720438 -1.559263) + (xy -4.743022 -1.573824) + (xy -4.781546 -1.598431) + (xy -4.814283 -1.618207) + (xy -4.843189 -1.634226) + (xy -4.87022 -1.647565) + (xy -4.897332 -1.659299) + (xy -4.901229 -1.660869) + (xy -4.967008 -1.682928) + (xy -5.038297 -1.699202) + (xy -5.112517 -1.709334) + (xy -5.187095 -1.712967) + (xy -5.256784 -1.709999) + (xy -5.318449 -1.702755) + (xy -5.373399 -1.692654) + (xy -5.42443 -1.678935) + (xy -5.474339 -1.660838) + (xy -5.525921 -1.637602) + (xy -5.529467 -1.635862) + (xy -5.603085 -1.594203) + (xy -5.67123 -1.544625) + (xy -5.733715 -1.487385) + (xy -5.790353 -1.422744) + (xy -5.840958 -1.350961) + (xy -5.885342 -1.272295) + (xy -5.92332 -1.187007) + (xy -5.954704 -1.095354) + (xy -5.975134 -1.01676) + (xy -5.985383 -0.965894) + (xy -5.993519 -0.912769) + (xy -5.999869 -0.854903) + (xy -6.004696 -0.790806) + (xy -6.007194 -0.694168) + (xy -6.002608 -0.602098) + (xy -5.991107 -0.514928) + (xy -5.972859 -0.432991) + (xy -5.948033 -0.35662) + (xy -5.916797 -0.286148) + (xy -5.879319 -0.221907) + (xy -5.835768 -0.16423) + (xy -5.786312 -0.113449) + (xy -5.73112 -0.069898) + (xy -5.67036 -0.033909) + (xy -5.607982 -0.007152) + (xy -5.543908 0.011593) + (xy -5.475947 0.02325) + (xy -5.403111 0.027924) + (xy -5.324414 0.025721) + (xy -5.310334 0.024666) + (xy -5.215301 0.012492) + (xy -5.123828 -0.008197) + (xy -5.03671 -0.037203) + (xy -4.998204 -0.053334) + (xy -4.963643 -0.068813) + (xy -4.936022 -0.293714) + (xy -4.930415 -0.339449) + (xy -4.925195 -0.382209) + (xy -4.920476 -0.421026) + (xy -4.916377 -0.454933) + (xy -4.913013 -0.482962) + (xy -4.910501 -0.504143) + (xy -4.908958 -0.51751) + (xy -4.908494 -0.522069) + (xy -4.913193 -0.522965) + (xy -4.926457 -0.523865) + (xy -4.947114 -0.524732) + (xy -4.97399 -0.525527) + (xy -5.005912 -0.526213) + (xy -5.041707 -0.52675) + (xy -5.0592 -0.526938) + (xy -5.101598 -0.527365) + (xy -5.135364 -0.527813) + (xy -5.161604 -0.528358) + (xy -5.181426 -0.529078) + (xy -5.195935 -0.53005) + (xy -5.20624 -0.531351) + (xy -5.213446 -0.533059) + (xy -5.218661 -0.535251) + (xy -5.222991 -0.538003) + (xy -5.22355 -0.538408) + (xy -5.235178 -0.549844) + (xy -5.245128 -0.564257) + (xy -5.245849 -0.565649) + (xy -5.248342 -0.571072) + (xy -5.250127 -0.576779) + (xy -5.251154 -0.583976) + (xy -5.251376 -0.593871) + (xy -5.250743 -0.607669) + (xy -5.249207 -0.626579) + (xy -5.246719 -0.651807) + (xy -5.24323 -0.68456) + (xy -5.239762 -0.716293) + (xy -5.235938 -0.751182) + (xy -5.232486 -0.782754) + (xy -5.229542 -0.80976) + (xy -5.227243 -0.830952) + (xy -5.225724 -0.845079) + (xy -5.22512 -0.850893) + (xy -5.225115 -0.850968) + (xy -5.220369 -0.851161) + (xy -5.206627 -0.851345) + (xy -5.184632 -0.851517) + (xy -5.155126 -0.851674) + (xy -5.118853 -0.851815) + (xy -5.076555 -0.851937) + (xy -5.028976 -0.852037) + (xy -4.976859 -0.852113) + (xy -4.920945 -0.852163) + (xy -4.86198 -0.852185) + (xy -4.850153 -0.852185) + (xy -4.785978 -0.85213) + (xy -4.726092 -0.851969) + (xy -4.671113 -0.851708) + (xy -4.621654 -0.851357) + (xy -4.578332 -0.85092) + (xy -4.541761 -0.850406) + (xy -4.512556 -0.849822) + (xy -4.491333 -0.849175) + (xy -4.478706 -0.848472) + (xy -4.475192 -0.84783) + (xy -4.475778 -0.842481) + (xy -4.477481 -0.82813) + (xy -4.48022 -0.805439) + (xy -4.483914 -0.77507) + (xy -4.488482 -0.737687) + (xy -4.493842 -0.693951) + (xy -4.499913 -0.644527) + (xy -4.506614 -0.590075) + (xy -4.513863 -0.531259) + (xy -4.52158 -0.468741) + (xy -4.529683 -0.403185) + (xy -4.533627 -0.371303) + (xy -4.54189 -0.30446) + (xy -4.549805 -0.240288) + (xy -4.557291 -0.179453) + (xy -4.564265 -0.122625) + (xy -4.570647 -0.070472) + (xy -4.576355 -0.023663) + (xy -4.581307 0.017135) + (xy -4.585423 0.051251) + (xy -4.58862 0.078019) + (xy -4.590818 0.096769) + (xy -4.591935 0.106833) + (xy -4.592063 0.108367) + (xy -4.596123 0.114331) + (xy -4.607505 0.12421) + (xy -4.625015 0.137198) + (xy -4.647458 0.152488) + (xy -4.673638 0.169272) + (xy -4.70236 0.186745) + (xy -4.732429 0.204098) + (xy -4.740587 0.208638) + (xy -4.834466 0.255182) + (xy -4.933919 0.294215) + (xy -5.03921 0.32582) + (xy -5.150602 0.350079) + (xy -5.234854 0.36306) + (xy -5.265285 0.366211) + (xy -5.302382 0.368808) + (xy -5.344297 0.370829) + (xy -5.389183 0.372254) + (xy -5.435191 0.37306) + (xy -5.480475 0.373226) + (xy -5.523185 0.372731) + (xy -5.561476 0.371554) + (xy -5.593499 0.369672) + (xy -5.614686 0.367464) + (xy -5.711175 0.349377) + (xy -5.80296 0.322889) + (xy -5.889792 0.288262) + (xy -5.971421 0.245755) + (xy -6.047598 0.195631) + (xy -6.118072 0.138149) + (xy -6.182594 0.073571) + (xy -6.240914 0.002157) + (xy -6.292783 -0.075832) + (xy -6.337951 -0.160135) + (xy -6.376167 -0.250491) + (xy -6.407184 -0.346641) + (xy -6.43075 -0.448322) + (xy -6.438398 -0.492822) + (xy -6.44186 -0.516653) + (xy -6.444519 -0.539018) + (xy -6.446478 -0.561785) + (xy -6.447837 -0.586825) + (xy -6.448699 -0.616008) + (xy -6.449165 -0.651203) + (xy -6.449337 -0.693922) + (xy -6.448542 -0.766107) + (xy -6.445775 -0.831077) + (xy -6.440754 -0.891209) + (xy -6.433195 -0.948881) + (xy -6.422816 -1.00647) + (xy -6.409335 -1.066353) + (xy -6.40129 -1.098102) + (xy -6.367311 -1.211128) + (xy -6.32611 -1.317763) + (xy -6.277492 -1.418361) + (xy -6.221263 -1.513274) + (xy -6.157227 -1.602856) + (xy -6.085189 -1.68746) + (xy -6.062875 -1.711009) + (xy -5.983672 -1.786021) + (xy -5.899595 -1.852718) + (xy -5.810521 -1.911163) + (xy -5.716328 -1.961418) + (xy -5.616893 -2.003542) + (xy -5.512092 -2.037599) + (xy -5.401802 -2.06365) + (xy -5.334682 -2.075203) + (xy -5.312958 -2.077528) + (xy -5.28339 -2.079356) + (xy -5.247857 -2.080688) + (xy -5.208243 -2.081523) + (xy -5.166428 -2.081861) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 1c5d2a37-5c45-420b-a1d3-31eb67b46a1d)) + (fp_poly + (pts + (xy 4.333858 -2.058834) + (xy 4.386134 -2.058459) + (xy 4.429586 -2.058039) + (xy 4.465129 -2.057437) + (xy 4.493679 -2.056517) + (xy 4.516151 -2.05514) + (xy 4.533459 -2.053169) + (xy 4.546519 -2.050468) + (xy 4.556247 -2.046899) + (xy 4.563556 -2.042325) + (xy 4.569363 -2.036608) + (xy 4.574582 -2.029611) + (xy 4.579617 -2.021979) + (xy 4.582621 -2.015359) + (xy 4.588725 -2.000096) + (xy 4.597737 -1.976714) + (xy 4.609462 -1.945735) + (xy 4.623709 -1.907682) + (xy 4.640285 -1.863079) + (xy 4.658996 -1.812448) + (xy 4.679651 -1.756313) + (xy 4.702055 -1.695196) + (xy 4.726017 -1.629621) + (xy 4.751344 -1.560111) + (xy 4.777842 -1.487188) + (xy 4.805319 -1.411376) + (xy 4.833583 -1.333198) + (xy 4.83583 -1.326974) + (xy 4.870648 -1.230526) + (xy 4.902321 -1.142786) + (xy 4.93101 -1.063302) + (xy 4.956872 -0.991621) + (xy 4.980068 -0.927289) + (xy 5.000758 -0.869853) + (xy 5.019101 -0.818861) + (xy 5.035257 -0.77386) + (xy 5.049384 -0.734397) + (xy 5.061644 -0.700018) + (xy 5.072196 -0.670271) + (xy 5.081198 -0.644702) + (xy 5.088811 -0.622859) + (xy 5.095194 -0.60429) + (xy 5.100508 -0.588539) + (xy 5.10491 -0.575156) + (xy 5.108562 -0.563686) + (xy 5.111623 -0.553678) + (xy 5.114251 -0.544677) + (xy 5.116608 -0.53623) + (xy 5.118852 -0.527886) + (xy 5.121144 -0.519191) + (xy 5.123214 -0.511311) + (xy 5.129218 -0.488708) + (xy 5.134498 -0.469157) + (xy 5.138463 -0.45482) + (xy 5.140474 -0.448006) + (xy 5.143423 -0.449492) + (xy 5.149995 -0.458757) + (xy 5.159583 -0.474808) + (xy 5.171583 -0.49665) + (xy 5.180524 -0.513746) + (xy 5.187614 -0.526793) + (xy 5.199237 -0.547228) + (xy 5.215079 -0.574534) + (xy 5.234825 -0.608193) + (xy 5.258162 -0.647686) + (xy 5.284775 -0.692495) + (xy 5.314351 -0.742102) + (xy 5.346575 -0.795989) + (xy 5.381132 -0.853638) + (xy 5.41771 -0.914529) + (xy 5.455993 -0.978146) + (xy 5.495668 -1.04397) + (xy 5.536421 -1.111482) + (xy 5.577937 -1.180165) + (xy 5.619902 -1.2495) + (xy 5.662003 -1.318969) + (xy 5.703925 -1.388055) + (xy 5.745353 -1.456237) + (xy 5.785974 -1.523) + (xy 5.825474 -1.587823) + (xy 5.863539 -1.65019) + (xy 5.899854 -1.709582) + (xy 5.934105 -1.76548) + (xy 5.965979 -1.817367) + (xy 5.995161 -1.864724) + (xy 6.021336 -1.907033) + (xy 6.044192 -1.943777) + (xy 6.063413 -1.974435) + (xy 6.078686 -1.998492) + (xy 6.089696 -2.015427) + (xy 6.09613 -2.024724) + (xy 6.097302 -2.026139) + (xy 6.105449 -2.034044) + (xy 6.113437 -2.040607) + (xy 6.122222 -2.045953) + (xy 6.13276 -2.050205) + (xy 6.146006 -2.05349) + (xy 6.162918 -2.055931) + (xy 6.184449 -2.057654) + (xy 6.211557 -2.058782) + (xy 6.245197 -2.059441) + (xy 6.286324 -2.059756) + (xy 6.335895 -2.05985) + (xy 6.355737 -2.059854) + (xy 6.404367 -2.059832) + (xy 6.444143 -2.059739) + (xy 6.475948 -2.059537) + (xy 6.500666 -2.059186) + (xy 6.519183 -2.058649) + (xy 6.532383 -2.057885) + (xy 6.541149 -2.056857) + (xy 6.546367 -2.055525) + (xy 6.54892 -2.053851) + (xy 6.549693 -2.051795) + (xy 6.549712 -2.051332) + (xy 6.549134 -2.045766) + (xy 6.547431 -2.03107) + (xy 6.544665 -2.007746) + (xy 6.540896 -1.976295) + (xy 6.536186 -1.937218) + (xy 6.530598 -1.891017) + (xy 6.524191 -1.838194) + (xy 6.517028 -1.77925) + (xy 6.50917 -1.714686) + (xy 6.500679 -1.645004) + (xy 6.491616 -1.570705) + (xy 6.482043 -1.49229) + (xy 6.472021 -1.410262) + (xy 6.461612 -1.325121) + (xy 6.450877 -1.237369) + (xy 6.439878 -1.147508) + (xy 6.428676 -1.056038) + (xy 6.417332 -0.963462) + (xy 6.405909 -0.870281) + (xy 6.394467 -0.776995) + (xy 6.383069 -0.684108) + (xy 6.371775 -0.592119) + (xy 6.360647 -0.501531) + (xy 6.349747 -0.412844) + (xy 6.339136 -0.326561) + (xy 6.328876 -0.243183) + (xy 6.319028 -0.163212) + (xy 6.309653 -0.087147) + (xy 6.300814 -0.015493) + (xy 6.292571 0.051252) + (xy 6.284986 0.112584) + (xy 6.278121 0.168003) + (xy 6.272037 0.217007) + (xy 6.266796 0.259095) + (xy 6.262459 0.293765) + (xy 6.259087 0.320515) + (xy 6.256742 0.338845) + (xy 6.255486 0.348253) + (xy 6.255287 0.349453) + (xy 6.250327 0.349704) + (xy 6.23668 0.349874) + (xy 6.215401 0.349964) + (xy 6.187543 0.349974) + (xy 6.154158 0.349903) + (xy 6.116299 0.349753) + (xy 6.075021 0.349523) + (xy 6.064423 0.349453) + (xy 5.874729 0.348179) + (xy 5.97312 -0.452876) + (xy 5.985323 -0.551961) + (xy 5.997118 -0.647192) + (xy 6.008447 -0.738124) + (xy 6.019252 -0.824309) + (xy 6.029475 -0.9053) + (xy 6.03906 -0.980651) + (xy 6.047948 -1.049914) + (xy 6.056081 -1.112644) + (xy 6.063403 -1.168393) + (xy 6.069855 -1.216715) + (xy 6.075379 -1.257162) + (xy 6.07992 -1.289288) + (xy 6.083417 -1.312647) + (xy 6.085815 -1.326791) + (xy 6.085851 -1.326974) + (xy 6.090749 -1.352282) + (xy 6.094809 -1.373948) + (xy 6.097729 -1.390302) + (xy 6.099205 -1.399671) + (xy 6.099297 -1.401237) + (xy 6.096732 -1.39727) + (xy 6.089413 -1.385391) + (xy 6.0776 -1.366033) + (xy 6.061557 -1.339632) + (xy 6.041546 -1.306621) + (xy 6.017829 -1.267434) + (xy 5.990668 -1.222507) + (xy 5.960327 -1.172274) + (xy 5.927066 -1.117168) + (xy 5.89115 -1.057625) + (xy 5.852839 -0.994079) + (xy 5.812397 -0.926964) + (xy 5.770086 -0.856714) + (xy 5.726169 -0.783765) + (xy 5.684889 -0.715169) + (xy 5.639462 -0.639722) + (xy 5.595216 -0.566348) + (xy 5.552428 -0.4955) + (xy 5.511374 -0.42763) + (xy 5.47233 -0.363192) + (xy 5.435572 -0.302638) + (xy 5.401376 -0.246423) + (xy 5.37002 -0.194999) + (xy 5.341779 -0.14882) + (xy 5.31693 -0.108339) + (xy 5.295748 -0.074008) + (xy 5.278511 -0.046282) + (xy 5.265494 -0.025614) + (xy 5.256974 -0.012455) + (xy 5.253461 -0.0075) + (xy 5.224998 0.017563) + (xy 5.18965 0.036038) + (xy 5.147819 0.047797) + (xy 5.099908 0.052713) + (xy 5.064726 0.052123) + (xy 5.02298 0.047358) + (xy 4.989068 0.038156) + (xy 4.961898 0.023978) + (xy 4.940379 0.004288) + (xy 4.926278 -0.016185) + (xy 4.923315 -0.023059) + (xy 4.917251 -0.038574) + (xy 4.908276 -0.062207) + (xy 4.896583 -0.093433) + (xy 4.882365 -0.131728) + (xy 4.865813 -0.176569) + (xy 4.84712 -0.227431) + (xy 4.826478 -0.283791) + (xy 4.804078 -0.345125) + (xy 4.780114 -0.410909) + (xy 4.754778 -0.480618) + (xy 4.728261 -0.553729) + (xy 4.700755 -0.629718) + (xy 4.672454 -0.70806) + (xy 4.66941 -0.716497) + (xy 4.641209 -0.794563) + (xy 4.613884 -0.870024) + (xy 4.58762 -0.942381) + (xy 4.562599 -1.011135) + (xy 4.539006 -1.075788) + (xy 4.517023 -1.135843) + (xy 4.496835 -1.1908) + (xy 4.478624 -1.240162) + (xy 4.462574 -1.283429) + (xy 4.448868 -1.320105) + (xy 4.437691 -1.349691) + (xy 4.429225 -1.371688) + (xy 4.423654 -1.385597) + (xy 4.421161 -1.390922) + (xy 4.421058 -1.390941) + (xy 4.419773 -1.38431) + (xy 4.418279 -1.36984) + (xy 4.416732 -1.349425) + (xy 4.415288 -1.324958) + (xy 4.41479 -1.3148) + (xy 4.413794 -1.301238) + (xy 4.411656 -1.27847) + (xy 4.408429 -1.246955) + (xy 4.404166 -1.207153) + (xy 4.398919 -1.159524) + (xy 4.392742 -1.104527) + (xy 4.385686 -1.042622) + (xy 4.377804 -0.974269) + (xy 4.36915 -0.899926) + (xy 4.359776 -0.820055) + (xy 4.349734 -0.735113) + (xy 4.339078 -0.645562) + (xy 4.32786 -0.55186) + (xy 4.316133 -0.454467) + (xy 4.315353 -0.448006) + (xy 4.219182 0.348179) + (xy 4.028226 0.349453) + (xy 3.986169 0.349642) + (xy 3.94731 0.349641) + (xy 3.912691 0.349463) + (xy 3.883354 0.349121) + (xy 3.860341 0.348629) + (xy 3.844696 0.347999) + (xy 3.83746 0.347245) + (xy 3.837083 0.347018) + (xy 3.837651 0.341919) + (xy 3.839355 0.327566) + (xy 3.842145 0.30437) + (xy 3.845971 0.272743) + (xy 3.850783 0.233098) + (xy 3.856529 0.185847) + (xy 3.86316 0.131401) + (xy 3.870626 0.070173) + (xy 3.878876 0.002575) + (xy 3.887859 -0.070981) + (xy 3.897526 -0.150084) + (xy 3.907826 -0.23432) + (xy 3.918709 -0.323278) + (xy 3.930125 -0.416547) + (xy 3.942022 -0.513713) + (xy 3.954352 -0.614364) + (xy 3.967063 -0.71809) + (xy 3.980106 -0.824477) + (xy 3.984274 -0.85847) + (xy 4.131654 -2.06025) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 82865054-0bb5-4f06-a3b0-7922b91b9728)) + ) + + (footprint "stdpads:C_0603" (layer "F.Cu") + (tstamp fecc82aa-174f-4c0c-8027-b539b9f288bf) + (at 126.45 112.6 -90) + (tags "capacitor") + (property "LCSC Part" "C23630") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Unpolarized capacitor, small symbol") + (property "ki_keywords" "capacitor cap") + (path "/00000000-0000-0000-0000-0000628afb61") + (solder_mask_margin 0.05) + (solder_paste_margin -0.04) + (attr smd) + (fp_text reference "C29" (at 0 0 -90) (layer "F.Fab") + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 334df495-381d-4cfb-9469-5b9b040d75c9) + ) + (fp_text value "2u2" (at 0 0.25 -90) (layer "F.Fab") + (effects (font (size 0.127 0.127) (thickness 0.03175))) + (tstamp d6f53d52-91cf-4a24-8aeb-800182af16a2) + ) + (fp_text user "${REFERENCE}" (at 0 0 -90) (layer "F.SilkS") hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + (tstamp 3691bd8d-110a-48eb-a9dc-caa9190c49fd) + ) + (fp_line (start -0.162779 -0.51) (end 0.162779 -0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 80ec472a-443a-4405-a6f1-7a160282120a)) + (fp_line (start -0.162779 0.51) (end 0.162779 0.51) + (stroke (width 0.12) (type solid)) (layer "F.SilkS") (tstamp 0d220369-092a-48ac-ab61-ff3d5b9bc34c)) + (fp_line (start -1.4 -0.7) (end 1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp fdf98dd9-3405-4778-9583-ae75f131d9a7)) + (fp_line (start -1.4 0.7) (end -1.4 -0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 98d39742-130f-4874-82ff-31a42e9dbe87)) + (fp_line (start 1.4 -0.7) (end 1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 3561524e-38e9-43f4-ae47-4b2029167bfd)) + (fp_line (start 1.4 0.7) (end -1.4 0.7) + (stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 0726041b-bb70-4364-8dd8-a2cecf1f3e19)) + (fp_line (start -0.8 -0.4) (end 0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b738f0cb-0456-461c-a774-9ca720b2a239)) + (fp_line (start -0.8 0.4) (end -0.8 -0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d5875217-2d3b-4d3e-9d81-10df71151a5a)) + (fp_line (start 0.8 -0.4) (end 0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp de8fdfd8-851a-49f9-82ac-ce250e1d2b68)) + (fp_line (start 0.8 0.4) (end -0.8 0.4) + (stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7432ccf1-5a70-41c3-9eea-f995fa41a041)) + (pad "1" smd roundrect (at -0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 70 "+3V3") (pintype "passive") (tstamp 4eb889f1-c2df-4df6-b136-d60f827cb15d)) + (pad "2" smd roundrect (at 0.75 0 270) (size 0.85 0.95) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25) + (net 2 "GND") (pintype "passive") (tstamp 6f246cfc-6b9f-413f-8011-94016ec07642)) + (model "${KICAD6_3DMODEL_DIR}/Capacitor_SMD.3dshapes/C_0603_1608Metric.wrl" + (offset (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (footprint "LOGO" (layer "F.Cu") + (tstamp ffc33725-65fc-4bd0-a584-63ce5d9f231d) + (at 91.2495 84.0105) + (attr board_only exclude_from_pos_files exclude_from_bom) + (fp_text reference "G***" (at 0 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp 27b53f84-5979-4616-a82b-f98ddfb76a46) + ) + (fp_text value "LOGO" (at 0.75 0) (layer "F.SilkS") hide + (effects (font (size 1.5 1.5) (thickness 0.3))) + (tstamp d719d206-390c-47e9-a609-2acfca2b6eae) + ) + (fp_poly + (pts + (xy -1.703758 -2.620626) + (xy -1.699009 -2.614625) + (xy -1.691507 -2.602069) + (xy -1.685222 -2.590243) + (xy -1.660484 -2.530434) + (xy -1.646329 -2.469944) + (xy -1.642722 -2.409334) + (xy -1.649631 -2.349165) + (xy -1.667022 -2.289996) + (xy -1.694861 -2.23239) + (xy -1.707752 -2.211661) + (xy -1.719136 -2.195994) + (xy -1.734401 -2.177124) + (xy -1.752007 -2.15671) + (xy -1.770418 -2.136411) + (xy -1.788094 -2.117885) + (xy -1.803497 -2.102791) + (xy -1.815089 -2.092787) + (xy -1.821063 -2.089504) + (xy -1.827202 -2.092124) + (xy -1.839833 -2.099042) + (xy -1.85641 -2.108852) + (xy -1.859195 -2.110557) + (xy -1.877054 -2.121946) + (xy -1.892028 -2.13222) + (xy -1.901094 -2.139298) + (xy -1.901502 -2.139691) + (xy -1.908867 -2.152521) + (xy -1.90804 -2.168821) + (xy -1.898799 -2.189469) + (xy -1.883169 -2.212413) + (xy -1.853778 -2.257705) + (xy -1.834939 -2.302559) + (xy -1.826575 -2.347667) + (xy -1.82861 -2.393722) + (xy -1.840968 -2.441418) + (xy -1.854413 -2.473446) + (xy -1.867113 -2.502329) + (xy -1.873804 -2.524017) + (xy -1.874693 -2.540011) + (xy -1.869984 -2.551812) + (xy -1.865747 -2.556473) + (xy -1.857356 -2.561643) + (xy -1.841716 -2.569231) + (xy -1.82091 -2.578414) + (xy -1.797021 -2.588365) + (xy -1.772133 -2.59826) + (xy -1.748329 -2.607274) + (xy -1.727692 -2.614582) + (xy -1.712305 -2.619359) + (xy -1.704251 -2.620779) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 09960e68-21f7-4e5c-96f8-494355d2d273)) + (fp_poly + (pts + (xy -5.218338 -2.175285) + (xy -5.183145 -2.164596) + (xy -5.154546 -2.148276) + (xy -5.153656 -2.147574) + (xy -5.151657 -2.142059) + (xy -5.151786 -2.12964) + (xy -5.154136 -2.109252) + (xy -5.158802 -2.079833) + (xy -5.160614 -2.069397) + (xy -5.165791 -2.04071) + (xy -5.170829 -2.014069) + (xy -5.175209 -1.992124) + (xy -5.178416 -1.977529) + (xy -5.178876 -1.975707) + (xy -5.184389 -1.961452) + (xy -5.193073 -1.952563) + (xy -5.20664 -1.948559) + (xy -5.226802 -1.948959) + (xy -5.255273 -1.953282) + (xy -5.25737 -1.953672) + (xy -5.308986 -1.959088) + (xy -5.35587 -1.954989) + (xy -5.398297 -1.941248) + (xy -5.436539 -1.91774) + (xy -5.470869 -1.88434) + (xy -5.501322 -1.84132) + (xy -5.520345 -1.809571) + (xy -5.520345 -1.516672) + (xy -5.520345 -1.223774) + (xy -5.635241 -1.223774) + (xy -5.750137 -1.223774) + (xy -5.750137 -1.691374) + (xy -5.750137 -2.158973) + (xy -5.66439 -2.158973) + (xy -5.63227 -2.158912) + (xy -5.609058 -2.158574) + (xy -5.59297 -2.157726) + (xy -5.582227 -2.156135) + (xy -5.575047 -2.153568) + (xy -5.569648 -2.149793) + (xy -5.565743 -2.146074) + (xy -5.559138 -2.138851) + (xy -5.554218 -2.131111) + (xy -5.550439 -2.12086) + (xy -5.547255 -2.106103) + (xy -5.544123 -2.084848) + (xy -5.540497 -2.0551) + (xy -5.540196 -2.052523) + (xy -5.533705 -1.99684) + (xy -5.514495 -2.025803) + (xy -5.478082 -2.073549) + (xy -5.437684 -2.113496) + (xy -5.394381 -2.144759) + (xy -5.349257 -2.166455) + (xy -5.337576 -2.170354) + (xy -5.298146 -2.178485) + (xy -5.257536 -2.180021) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp dc7ebed5-a6d6-4863-8835-eef09eb474ad)) + (fp_poly + (pts + (xy -4.475523 -2.175285) + (xy -4.44033 -2.164596) + (xy -4.411731 -2.148276) + (xy -4.410841 -2.147574) + (xy -4.408842 -2.142059) + (xy -4.408971 -2.12964) + (xy -4.411321 -2.109252) + (xy -4.415987 -2.079833) + (xy -4.417798 -2.069397) + (xy -4.422976 -2.04071) + (xy -4.428013 -2.014069) + (xy -4.432394 -1.992124) + (xy -4.435601 -1.977529) + (xy -4.436061 -1.975707) + (xy -4.441574 -1.961452) + (xy -4.450258 -1.952563) + (xy -4.463825 -1.948559) + (xy -4.483987 -1.948959) + (xy -4.512458 -1.953282) + (xy -4.514555 -1.953672) + (xy -4.566171 -1.959088) + (xy -4.613055 -1.954989) + (xy -4.655482 -1.941248) + (xy -4.693724 -1.91774) + (xy -4.728054 -1.88434) + (xy -4.758507 -1.84132) + (xy -4.77753 -1.809571) + (xy -4.77753 -1.516672) + (xy -4.77753 -1.223774) + (xy -4.892426 -1.223774) + (xy -5.007321 -1.223774) + (xy -5.007321 -1.691374) + (xy -5.007321 -2.158973) + (xy -4.921575 -2.158973) + (xy -4.889455 -2.158912) + (xy -4.866243 -2.158574) + (xy -4.850155 -2.157726) + (xy -4.839412 -2.156135) + (xy -4.832232 -2.153568) + (xy -4.826833 -2.149793) + (xy -4.822928 -2.146074) + (xy -4.816323 -2.138851) + (xy -4.811403 -2.131111) + (xy -4.807623 -2.12086) + (xy -4.80444 -2.106103) + (xy -4.801308 -2.084848) + (xy -4.797682 -2.0551) + (xy -4.797381 -2.052523) + (xy -4.79089 -1.99684) + (xy -4.77168 -2.025803) + (xy -4.735267 -2.073549) + (xy -4.694869 -2.113496) + (xy -4.651566 -2.144759) + (xy -4.606442 -2.166455) + (xy -4.594761 -2.170354) + (xy -4.555331 -2.178485) + (xy -4.514721 -2.180021) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp abe0a596-1043-429d-9ceb-bead55885dbc)) + (fp_poly + (pts + (xy 3.134323 -2.175285) + (xy 3.169517 -2.164596) + (xy 3.198115 -2.148276) + (xy 3.199005 -2.147574) + (xy 3.201004 -2.142059) + (xy 3.200876 -2.12964) + (xy 3.198526 -2.109252) + (xy 3.193859 -2.079833) + (xy 3.192048 -2.069397) + (xy 3.18687 -2.04071) + (xy 3.181833 -2.014069) + (xy 3.177452 -1.992124) + (xy 3.174246 -1.977529) + (xy 3.173786 -1.975707) + (xy 3.168272 -1.961452) + (xy 3.159588 -1.952563) + (xy 3.146022 -1.948559) + (xy 3.125859 -1.948959) + (xy 3.097389 -1.953282) + (xy 3.095292 -1.953672) + (xy 3.043676 -1.959088) + (xy 2.996791 -1.954989) + (xy 2.954364 -1.941248) + (xy 2.916122 -1.91774) + (xy 2.881792 -1.88434) + (xy 2.85134 -1.84132) + (xy 2.832317 -1.809571) + (xy 2.832317 -1.516672) + (xy 2.832317 -1.223774) + (xy 2.717421 -1.223774) + (xy 2.602525 -1.223774) + (xy 2.602525 -1.691374) + (xy 2.602525 -2.158973) + (xy 2.688272 -2.158973) + (xy 2.720391 -2.158912) + (xy 2.743604 -2.158574) + (xy 2.759691 -2.157726) + (xy 2.770434 -2.156135) + (xy 2.777615 -2.153568) + (xy 2.783013 -2.149793) + (xy 2.786918 -2.146074) + (xy 2.793523 -2.138851) + (xy 2.798443 -2.131111) + (xy 2.802223 -2.12086) + (xy 2.805407 -2.106103) + (xy 2.808539 -2.084848) + (xy 2.812164 -2.0551) + (xy 2.812465 -2.052523) + (xy 2.818957 -1.99684) + (xy 2.838166 -2.025803) + (xy 2.874579 -2.073549) + (xy 2.914978 -2.113496) + (xy 2.95828 -2.144759) + (xy 3.003404 -2.166455) + (xy 3.015086 -2.170354) + (xy 3.054516 -2.178485) + (xy 3.095125 -2.180021) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3fe23975-be81-4838-81d7-93a0f2233ad8)) + (fp_poly + (pts + (xy 5.381401 -2.324637) + (xy 5.381463 -2.273419) + (xy 5.381639 -2.225608) + (xy 5.381918 -2.182239) + (xy 5.382287 -2.144342) + (xy 5.382734 -2.112951) + (xy 5.383246 -2.089098) + (xy 5.383812 -2.073816) + (xy 5.38442 -2.068139) + (xy 5.384451 -2.068125) + (xy 5.389878 -2.071257) + (xy 5.400889 -2.079393) + (xy 5.412507 -2.088663) + (xy 5.460197 -2.123191) + (xy 5.50855 -2.148365) + (xy 5.559415 -2.164779) + (xy 5.614643 -2.173026) + (xy 5.676084 -2.173698) + (xy 5.677559 -2.173631) + (xy 5.736481 -2.166671) + (xy 5.788613 -2.151529) + (xy 5.834276 -2.128002) + (xy 5.873792 -2.095886) + (xy 5.907481 -2.054977) + (xy 5.93219 -2.012301) + (xy 5.939643 -1.997073) + (xy 5.946074 -1.98322) + (xy 5.951565 -1.969851) + (xy 5.956196 -1.956078) + (xy 5.960047 -1.941009) + (xy 5.9632 -1.923755) + (xy 5.965733 -1.903425) + (xy 5.967728 -1.879128) + (xy 5.969265 -1.849976) + (xy 5.970425 -1.815077) + (xy 5.971288 -1.773542) + (xy 5.971935 -1.724481) + (xy 5.972446 -1.667002) + (xy 5.972901 -1.600216) + (xy 5.973158 -1.55911) + (xy 5.975238 -1.223774) + (xy 5.860244 -1.223774) + (xy 5.745251 -1.223774) + (xy 5.743686 -1.553888) + (xy 5.742121 -1.884001) + (xy 5.724431 -1.919925) + (xy 5.705964 -1.95067) + (xy 5.684228 -1.972988) + (xy 5.657636 -1.987782) + (xy 5.624599 -1.995959) + (xy 5.586646 -1.998419) + (xy 5.538238 -1.993888) + (xy 5.491887 -1.979559) + (xy 5.446889 -1.955134) + (xy 5.403082 -1.920805) + (xy 5.381401 -1.901218) + (xy 5.381401 -1.562496) + (xy 5.381401 -1.223774) + (xy 5.266506 -1.223774) + (xy 5.15161 -1.223774) + (xy 5.15161 -1.902462) + (xy 5.15161 -2.581149) + (xy 5.266506 -2.581149) + (xy 5.381401 -2.581149) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp b2cea226-4cac-4edd-a49f-58db82140c0d)) + (fp_poly + (pts + (xy -2.99798 -2.297917) + (xy -2.99798 -2.148285) + (xy -2.875068 -2.148285) + (xy -2.752156 -2.148285) + (xy -2.752156 -2.068125) + (xy -2.752156 -1.987966) + (xy -2.875256 -1.987966) + (xy -2.998356 -1.987966) + (xy -2.996832 -1.71943) + (xy -2.996473 -1.658844) + (xy -2.996116 -1.608016) + (xy -2.995721 -1.566013) + (xy -2.995249 -1.531907) + (xy -2.99466 -1.504764) + (xy -2.993914 -1.483656) + (xy -2.992972 -1.467651) + (xy -2.991795 -1.455818) + (xy -2.990341 -1.447227) + (xy -2.988573 -1.440946) + (xy -2.986449 -1.436045) + (xy -2.98462 -1.432749) + (xy -2.965662 -1.40948) + (xy -2.942066 -1.395693) + (xy -2.914309 -1.391487) + (xy -2.882867 -1.396959) + (xy -2.858504 -1.406806) + (xy -2.840838 -1.415277) + (xy -2.827172 -1.420311) + (xy -2.816031 -1.42104) + (xy -2.80594 -1.416596) + (xy -2.795425 -1.40611) + (xy -2.783009 -1.388715) + (xy -2.767219 -1.36354) + (xy -2.757244 -1.347184) + (xy -2.723369 -1.29157) + (xy -2.740714 -1.27709) + (xy -2.76162 -1.262628) + (xy -2.789344 -1.247606) + (xy -2.82027 -1.233768) + (xy -2.850781 -1.22286) + (xy -2.856722 -1.221126) + (xy -2.885083 -1.215347) + (xy -2.91973 -1.211497) + (xy -2.957368 -1.209643) + (xy -2.994705 -1.209849) + (xy -3.028446 -1.212181) + (xy -3.055296 -1.216703) + (xy -3.057036 -1.217159) + (xy -3.100885 -1.234065) + (xy -3.139713 -1.259114) + (xy -3.171941 -1.291038) + (xy -3.19599 -1.328566) + (xy -3.196174 -1.328946) + (xy -3.201647 -1.340522) + (xy -3.206297 -1.351478) + (xy -3.210191 -1.362761) + (xy -3.213398 -1.375318) + (xy -3.215983 -1.390096) + (xy -3.218014 -1.408039) + (xy -3.219559 -1.430095) + (xy -3.220684 -1.457211) + (xy -3.221457 -1.490332) + (xy -3.221944 -1.530405) + (xy -3.222213 -1.578376) + (xy -3.222331 -1.635193) + (xy -3.222364 -1.698054) + (xy -3.222428 -1.987966) + (xy -3.280204 -1.987966) + (xy -3.306545 -1.9882) + (xy -3.324476 -1.989139) + (xy -3.336267 -1.99113) + (xy -3.344191 -1.994525) + (xy -3.34943 -1.998632) + (xy -3.358077 -2.010002) + (xy -3.363461 -2.025984) + (xy -3.365937 -2.048449) + (xy -3.365861 -2.079269) + (xy -3.365681 -2.08401) + (xy -3.364044 -2.123943) + (xy -3.323964 -2.130575) + (xy -3.297779 -2.134915) + (xy -3.269674 -2.139585) + (xy -3.248743 -2.143072) + (xy -3.213603 -2.148937) + (xy -3.190472 -2.275531) + (xy -3.183743 -2.311822) + (xy -3.177352 -2.345311) + (xy -3.17163 -2.374329) + (xy -3.166911 -2.397207) + (xy -3.163528 -2.412275) + (xy -3.162241 -2.416983) + (xy -3.156242 -2.428722) + (xy -3.146515 -2.437162) + (xy -3.131513 -2.442775) + (xy -3.109691 -2.446035) + (xy -3.079504 -2.447415) + (xy -3.062373 -2.447549) + (xy -2.99798 -2.447549) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp ee842f31-5e54-438f-b8e8-a500c1425234)) + (fp_poly + (pts + (xy -2.292573 -2.297917) + (xy -2.292573 -2.148285) + (xy -2.169661 -2.148285) + (xy -2.046749 -2.148285) + (xy -2.046749 -2.068125) + (xy -2.046749 -1.987966) + (xy -2.169849 -1.987966) + (xy -2.292949 -1.987966) + (xy -2.291425 -1.71943) + (xy -2.291066 -1.658844) + (xy -2.290709 -1.608016) + (xy -2.290314 -1.566013) + (xy -2.289842 -1.531907) + (xy -2.289253 -1.504764) + (xy -2.288507 -1.483656) + (xy -2.287565 -1.467651) + (xy -2.286387 -1.455818) + (xy -2.284934 -1.447227) + (xy -2.283165 -1.440946) + (xy -2.281042 -1.436045) + (xy -2.279213 -1.432749) + (xy -2.260255 -1.40948) + (xy -2.236659 -1.395693) + (xy -2.208902 -1.391487) + (xy -2.17746 -1.396959) + (xy -2.153096 -1.406806) + (xy -2.135431 -1.415277) + (xy -2.121765 -1.420311) + (xy -2.110624 -1.42104) + (xy -2.100533 -1.416596) + (xy -2.090017 -1.40611) + (xy -2.077602 -1.388715) + (xy -2.061812 -1.36354) + (xy -2.051837 -1.347184) + (xy -2.017962 -1.29157) + (xy -2.035307 -1.27709) + (xy -2.056212 -1.262628) + (xy -2.083937 -1.247606) + (xy -2.114863 -1.233768) + (xy -2.145374 -1.22286) + (xy -2.151315 -1.221126) + (xy -2.179676 -1.215347) + (xy -2.214322 -1.211497) + (xy -2.251961 -1.209643) + (xy -2.289298 -1.209849) + (xy -2.323039 -1.212181) + (xy -2.349889 -1.216703) + (xy -2.351629 -1.217159) + (xy -2.395478 -1.234065) + (xy -2.434306 -1.259114) + (xy -2.466534 -1.291038) + (xy -2.490583 -1.328566) + (xy -2.490767 -1.328946) + (xy -2.49624 -1.340522) + (xy -2.50089 -1.351478) + (xy -2.504784 -1.362761) + (xy -2.507991 -1.375318) + (xy -2.510576 -1.390096) + (xy -2.512607 -1.408039) + (xy -2.514152 -1.430095) + (xy -2.515277 -1.457211) + (xy -2.516049 -1.490332) + (xy -2.516537 -1.530405) + (xy -2.516806 -1.578376) + (xy -2.516924 -1.635193) + (xy -2.516957 -1.698054) + (xy -2.517021 -1.987966) + (xy -2.574797 -1.987966) + (xy -2.601138 -1.9882) + (xy -2.619069 -1.989139) + (xy -2.63086 -1.99113) + (xy -2.638784 -1.994525) + (xy -2.644023 -1.998632) + (xy -2.65267 -2.010002) + (xy -2.658054 -2.025984) + (xy -2.66053 -2.048449) + (xy -2.660454 -2.079269) + (xy -2.660274 -2.08401) + (xy -2.658636 -2.123943) + (xy -2.618556 -2.130575) + (xy -2.592372 -2.134915) + (xy -2.564267 -2.139585) + (xy -2.543336 -2.143072) + (xy -2.508196 -2.148937) + (xy -2.485065 -2.275531) + (xy -2.478336 -2.311822) + (xy -2.471945 -2.345311) + (xy -2.466223 -2.374329) + (xy -2.461504 -2.397207) + (xy -2.458121 -2.412275) + (xy -2.456833 -2.416983) + (xy -2.450835 -2.428722) + (xy -2.441107 -2.437162) + (xy -2.426105 -2.442775) + (xy -2.404284 -2.446035) + (xy -2.374097 -2.447415) + (xy -2.356966 -2.447549) + (xy -2.292573 -2.447549) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 2997d335-fd69-4407-9274-0cf03f64a0c8)) + (fp_poly + (pts + (xy 7.782429 -2.178408) + (xy 7.830365 -2.171641) + (xy 7.873209 -2.15774) + (xy 7.912947 -2.135971) + (xy 7.951563 -2.1056) + (xy 7.968349 -2.089681) + (xy 8.002537 -2.051473) + (xy 8.029745 -2.010883) + (xy 8.051766 -1.964914) + (xy 8.065039 -1.927977) + (xy 8.08178 -1.862682) + (xy 8.092216 -1.792261) + (xy 8.096335 -1.719352) + (xy 8.094125 -1.646589) + (xy 8.085574 -1.576611) + (xy 8.070671 -1.512053) + (xy 8.066921 -1.500005) + (xy 8.041321 -1.436582) + (xy 8.008315 -1.379581) + (xy 7.968548 -1.329652) + (xy 7.922669 -1.287443) + (xy 7.871323 -1.253605) + (xy 7.815156 -1.228785) + (xy 7.78887 -1.220833) + (xy 7.762713 -1.216132) + (xy 7.729654 -1.213309) + (xy 7.693154 -1.212362) + (xy 7.656677 -1.213289) + (xy 7.623683 -1.216089) + (xy 7.597635 -1.220757) + (xy 7.596922 -1.220947) + (xy 7.559242 -1.234337) + (xy 7.521309 -1.253484) + (xy 7.48805 -1.275818) + (xy 7.481835 -1.280917) + (xy 7.460215 -1.299423) + (xy 7.460215 -1.109295) + (xy 7.460215 -0.919167) + (xy 7.345319 -0.919167) + (xy 7.230423 -0.919167) + (xy 7.230423 -1.467766) + (xy 7.460215 -1.467766) + (xy 7.484221 -1.444764) + (xy 7.501467 -1.42995) + (xy 7.519981 -1.416601) + (xy 7.530386 -1.410457) + (xy 7.571392 -1.395047) + (xy 7.616692 -1.387518) + (xy 7.663196 -1.388023) + (xy 7.707812 -1.396719) + (xy 7.72033 -1.400934) + (xy 7.756038 -1.419889) + (xy 7.78742 -1.448002) + (xy 7.813429 -1.484257) + (xy 7.820983 -1.498506) + (xy 7.834196 -1.527792) + (xy 7.843974 -1.555496) + (xy 7.850887 -1.584315) + (xy 7.855505 -1.616947) + (xy 7.858397 -1.65609) + (xy 7.859435 -1.680686) + (xy 7.859295 -1.750064) + (xy 7.853706 -1.810597) + (xy 7.84258 -1.862684) + (xy 7.825832 -1.906723) + (xy 7.803374 -1.943115) + (xy 7.802251 -1.94455) + (xy 7.774819 -1.970865) + (xy 7.740837 -1.989504) + (xy 7.701623 -2.000027) + (xy 7.658492 -2.001993) + (xy 7.636978 -1.999798) + (xy 7.597833 -1.991146) + (xy 7.563246 -1.976781) + (xy 7.530367 -1.955219) + (xy 7.499793 -1.928345) + (xy 7.460215 -1.889967) + (xy 7.460215 -1.678867) + (xy 7.460215 -1.467766) + (xy 7.230423 -1.467766) + (xy 7.230423 -1.541742) + (xy 7.230423 -2.164317) + (xy 7.310848 -2.164317) + (xy 7.348056 -2.164124) + (xy 7.376136 -2.162874) + (xy 7.396649 -2.159562) + (xy 7.411156 -2.153182) + (xy 7.421217 -2.142728) + (xy 7.428394 -2.127195) + (xy 7.434247 -2.105576) + (xy 7.439383 -2.081485) + (xy 7.443068 -2.064344) + (xy 7.446 -2.052013) + (xy 7.447366 -2.04759) + (xy 7.451722 -2.05003) + (xy 7.462346 -2.058078) + (xy 7.477439 -2.070332) + (xy 7.488519 -2.079654) + (xy 7.532147 -2.114076) + (xy 7.573141 -2.14006) + (xy 7.613898 -2.158599) + (xy 7.656812 -2.170688) + (xy 7.704278 -2.177321) + (xy 7.727415 -2.178775) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 5488d1e0-7f99-41ea-a66d-a36715be6b0d)) + (fp_poly + (pts + (xy 2.012217 -2.170038) + (xy 2.020152 -2.169398) + (xy 2.091852 -2.158422) + (xy 2.157791 -2.138625) + (xy 2.217613 -2.110296) + (xy 2.270963 -2.073726) + (xy 2.317483 -2.029207) + (xy 2.356819 -1.977029) + (xy 2.388614 -1.917482) + (xy 2.412513 -1.850858) + (xy 2.413235 -1.848282) + (xy 2.421713 -1.808615) + (xy 2.42759 -1.761962) + (xy 2.430728 -1.711736) + (xy 2.430984 -1.661353) + (xy 2.428219 -1.614226) + (xy 2.424144 -1.583452) + (xy 2.407037 -1.512456) + (xy 2.381752 -1.447939) + (xy 2.348631 -1.390257) + (xy 2.30801 -1.339764) + (xy 2.260229 -1.296818) + (xy 2.205626 -1.261773) + (xy 2.144542 -1.234985) + (xy 2.077314 -1.21681) + (xy 2.074215 -1.216213) + (xy 2.033658 -1.210826) + (xy 1.98718 -1.208381) + (xy 1.938872 -1.208843) + (xy 1.892825 -1.212176) + (xy 1.854228 -1.21811) + (xy 1.787171 -1.23731) + (xy 1.726116 -1.265167) + (xy 1.671483 -1.301332) + (xy 1.623693 -1.345458) + (xy 1.583168 -1.397196) + (xy 1.550329 -1.456199) + (xy 1.541534 -1.476504) + (xy 1.526373 -1.518377) + (xy 1.515634 -1.559493) + (xy 1.508804 -1.602848) + (xy 1.50537 -1.651438) + (xy 1.504755 -1.688702) + (xy 1.740394 -1.688702) + (xy 1.743223 -1.62175) + (xy 1.751818 -1.563588) + (xy 1.766337 -1.513905) + (xy 1.786941 -1.472392) + (xy 1.813788 -1.438738) + (xy 1.84704 -1.412633) + (xy 1.886855 -1.393767) + (xy 1.893302 -1.391575) + (xy 1.914974 -1.387392) + (xy 1.947058 -1.385529) + (xy 1.977278 -1.385641) + (xy 2.005674 -1.386577) + (xy 2.026362 -1.388228) + (xy 2.042323 -1.391094) + (xy 2.056534 -1.395678) + (xy 2.068916 -1.401043) + (xy 2.103762 -1.422305) + (xy 2.133024 -1.451377) + (xy 2.157131 -1.488854) + (xy 2.176513 -1.535329) + (xy 2.184111 -1.560446) + (xy 2.188654 -1.584414) + (xy 2.191859 -1.616254) + (xy 2.193726 -1.653249) + (xy 2.194255 -1.692684) + (xy 2.193448 -1.731842) + (xy 2.191305 -1.768009) + (xy 2.187826 -1.798468) + (xy 2.184065 -1.816958) + (xy 2.167428 -1.866697) + (xy 2.147084 -1.907084) + (xy 2.122446 -1.938991) + (xy 2.092926 -1.963288) + (xy 2.080251 -1.970736) + (xy 2.039564 -1.987234) + (xy 1.995979 -1.995775) + (xy 1.951488 -1.996613) + (xy 1.908084 -1.990001) + (xy 1.86776 -1.976189) + (xy 1.832507 -1.955432) + (xy 1.81173 -1.936704) + (xy 1.786226 -1.903223) + (xy 1.766618 -1.86409) + (xy 1.752641 -1.818377) + (xy 1.744027 -1.765158) + (xy 1.74051 -1.703506) + (xy 1.740394 -1.688702) + (xy 1.504755 -1.688702) + (xy 1.504711 -1.691374) + (xy 1.506583 -1.751224) + (xy 1.512534 -1.803749) + (xy 1.523182 -1.851734) + (xy 1.539145 -1.897968) + (xy 1.56103 -1.945214) + (xy 1.595188 -2.000331) + (xy 1.636966 -2.048348) + (xy 1.685731 -2.088955) + (xy 1.740851 -2.121845) + (xy 1.801692 -2.146707) + (xy 1.867622 -2.163232) + (xy 1.938008 -2.171112) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4c2ca5d3-ddef-4cb4-9cd7-617a9ea88030)) + (fp_poly + (pts + (xy 6.640115 -2.170038) + (xy 6.64805 -2.169398) + (xy 6.71975 -2.158422) + (xy 6.78569 -2.138625) + (xy 6.845512 -2.110296) + (xy 6.898861 -2.073726) + (xy 6.945381 -2.029207) + (xy 6.984717 -1.977029) + (xy 7.016512 -1.917482) + (xy 7.040411 -1.850858) + (xy 7.041133 -1.848282) + (xy 7.049611 -1.808615) + (xy 7.055489 -1.761962) + (xy 7.058626 -1.711736) + (xy 7.058882 -1.661353) + (xy 7.056117 -1.614226) + (xy 7.052042 -1.583452) + (xy 7.034935 -1.512456) + (xy 7.009651 -1.447939) + (xy 6.976529 -1.390257) + (xy 6.935908 -1.339764) + (xy 6.888127 -1.296818) + (xy 6.833525 -1.261773) + (xy 6.77244 -1.234985) + (xy 6.705212 -1.21681) + (xy 6.702113 -1.216213) + (xy 6.661556 -1.210826) + (xy 6.615078 -1.208381) + (xy 6.56677 -1.208843) + (xy 6.520723 -1.212176) + (xy 6.482126 -1.21811) + (xy 6.415069 -1.23731) + (xy 6.354014 -1.265167) + (xy 6.299381 -1.301332) + (xy 6.251591 -1.345458) + (xy 6.211066 -1.397196) + (xy 6.178227 -1.456199) + (xy 6.169432 -1.476504) + (xy 6.154271 -1.518377) + (xy 6.143533 -1.559493) + (xy 6.136703 -1.602848) + (xy 6.133268 -1.651438) + (xy 6.132653 -1.688702) + (xy 6.368292 -1.688702) + (xy 6.371121 -1.62175) + (xy 6.379716 -1.563588) + (xy 6.394235 -1.513905) + (xy 6.414839 -1.472392) + (xy 6.441687 -1.438738) + (xy 6.474938 -1.412633) + (xy 6.514753 -1.393767) + (xy 6.521201 -1.391575) + (xy 6.542872 -1.387392) + (xy 6.574956 -1.385529) + (xy 6.605176 -1.385641) + (xy 6.633572 -1.386577) + (xy 6.654261 -1.388228) + (xy 6.670221 -1.391094) + (xy 6.684432 -1.395678) + (xy 6.696815 -1.401043) + (xy 6.73166 -1.422305) + (xy 6.760922 -1.451377) + (xy 6.785029 -1.488854) + (xy 6.804411 -1.535329) + (xy 6.812009 -1.560446) + (xy 6.816552 -1.584414) + (xy 6.819757 -1.616254) + (xy 6.821624 -1.653249) + (xy 6.822154 -1.692684) + (xy 6.821346 -1.731842) + (xy 6.819203 -1.768009) + (xy 6.815724 -1.798468) + (xy 6.811963 -1.816958) + (xy 6.795326 -1.866697) + (xy 6.774982 -1.907084) + (xy 6.750344 -1.938991) + (xy 6.720824 -1.963288) + (xy 6.708149 -1.970736) + (xy 6.667462 -1.987234) + (xy 6.623877 -1.995775) + (xy 6.579386 -1.996613) + (xy 6.535982 -1.990001) + (xy 6.495658 -1.976189) + (xy 6.460405 -1.955432) + (xy 6.439628 -1.936704) + (xy 6.414124 -1.903223) + (xy 6.394516 -1.86409) + (xy 6.380539 -1.818377) + (xy 6.371925 -1.765158) + (xy 6.368408 -1.703506) + (xy 6.368292 -1.688702) + (xy 6.132653 -1.688702) + (xy 6.132609 -1.691374) + (xy 6.134481 -1.751224) + (xy 6.140432 -1.803749) + (xy 6.15108 -1.851734) + (xy 6.167043 -1.897968) + (xy 6.188929 -1.945214) + (xy 6.223086 -2.000331) + (xy 6.264864 -2.048348) + (xy 6.313629 -2.088955) + (xy 6.368749 -2.121845) + (xy 6.42959 -2.146707) + (xy 6.49552 -2.163232) + (xy 6.565906 -2.171112) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp dc2c1417-02e6-4688-b155-126a49076539)) + (fp_poly + (pts + (xy 3.58582 -2.190306) + (xy 3.58582 -1.799462) + (xy 3.621242 -1.802306) + (xy 3.631557 -1.803135) + (xy 3.640476 -1.804301) + (xy 3.648749 -1.806537) + (xy 3.657127 -1.810571) + (xy 3.666359 -1.817137) + (xy 3.677196 -1.826964) + (xy 3.690386 -1.840784) + (xy 3.70668 -1.859328) + (xy 3.726828 -1.883328) + (xy 3.75158 -1.913513) + (xy 3.781685 -1.950616) + (xy 3.812743 -1.989001) + (xy 3.840968 -2.023596) + (xy 3.867813 -2.055954) + (xy 3.892367 -2.08502) + (xy 3.913718 -2.109734) + (xy 3.930954 -2.129039) + (xy 3.943165 -2.141878) + (xy 3.948666 -2.146757) + (xy 3.954939 -2.150707) + (xy 3.961463 -2.1537) + (xy 3.969745 -2.155867) + (xy 3.981289 -2.157341) + (xy 3.997602 -2.158256) + (xy 4.020191 -2.158745) + (xy 4.050561 -2.158939) + (xy 4.087102 -2.158973) + (xy 4.125851 -2.158933) + (xy 4.155114 -2.158744) + (xy 4.17609 -2.158308) + (xy 4.189982 -2.157523) + (xy 4.19799 -2.156291) + (xy 4.201316 -2.15451) + (xy 4.201159 -2.152081) + (xy 4.19935 -2.149621) + (xy 4.194305 -2.143625) + (xy 4.183173 -2.130314) + (xy 4.166703 -2.110588) + (xy 4.145646 -2.085346) + (xy 4.120752 -2.055488) + (xy 4.092771 -2.021913) + (xy 4.062454 -1.985521) + (xy 4.044461 -1.963918) + (xy 4.012532 -1.925736) + (xy 3.981916 -1.889429) + (xy 3.953457 -1.855976) + (xy 3.928 -1.826356) + (xy 3.906389 -1.801549) + (xy 3.88947 -1.782534) + (xy 3.878085 -1.770293) + (xy 3.874727 -1.767013) + (xy 3.851853 -1.746459) + (xy 3.870718 -1.726933) + (xy 3.877295 -1.718885) + (xy 3.889285 -1.70291) + (xy 3.906057 -1.679897) + (xy 3.92698 -1.650731) + (xy 3.95142 -1.616301) + (xy 3.978748 -1.577494) + (xy 4.008332 -1.535199) + (xy 4.03954 -1.490301) + (xy 4.055713 -1.466926) + (xy 4.221843 -1.226446) + (xy 4.106099 -1.225004) + (xy 4.067321 -1.224604) + (xy 4.037675 -1.22456) + (xy 4.015608 -1.224969) + (xy 3.999566 -1.225927) + (xy 3.987997 -1.22753) + (xy 3.979347 -1.229875) + (xy 3.972063 -1.233056) + (xy 3.971521 -1.233335) + (xy 3.965736 -1.237371) + (xy 3.958305 -1.24463) + (xy 3.948692 -1.255843) + (xy 3.93636 -1.271741) + (xy 3.920772 -1.293055) + (xy 3.901391 -1.320517) + (xy 3.877681 -1.354857) + (xy 3.849104 -1.396806) + (xy 3.821357 -1.43785) + (xy 3.788356 -1.486764) + (xy 3.760662 -1.527571) + (xy 3.73758 -1.561003) + (xy 3.718413 -1.587797) + (xy 3.702467 -1.608685) + (xy 3.689044 -1.624404) + (xy 3.67745 -1.635688) + (xy 3.666989 -1.643271) + (xy 3.656965 -1.647887) + (xy 3.646682 -1.650273) + (xy 3.635445 -1.651161) + (xy 3.622558 -1.651287) + (xy 3.61922 -1.651286) + (xy 3.58582 -1.651294) + (xy 3.58582 -1.437534) + (xy 3.58582 -1.223774) + (xy 3.470924 -1.223774) + (xy 3.356028 -1.223774) + (xy 3.356028 -1.902462) + (xy 3.356028 -2.581149) + (xy 3.470924 -2.581149) + (xy 3.58582 -2.581149) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 4a8c5420-3551-4516-9ef0-7f24edd15c95)) + (fp_poly + (pts + (xy -1.116894 0.315296) + (xy -1.116894 1.138271) + (xy -0.950992 1.138271) + (xy -0.785091 1.138271) + (xy -0.786665 1.287522) + (xy -0.787165 1.331516) + (xy -0.787698 1.366113) + (xy -0.788362 1.392604) + (xy -0.789258 1.412279) + (xy -0.790487 1.426428) + (xy -0.792148 1.436344) + (xy -0.794341 1.443315) + (xy -0.797168 1.448633) + (xy -0.799418 1.45185) + (xy -0.811747 1.465315) + (xy -0.826832 1.477952) + (xy -0.828264 1.47895) + (xy -0.834047 1.482638) + (xy -0.840139 1.485483) + (xy -0.847932 1.487594) + (xy -0.858818 1.489081) + (xy -0.874188 1.490053) + (xy -0.895434 1.490619) + (xy -0.923947 1.490888) + (xy -0.961119 1.490969) + (xy -0.981413 1.490974) + (xy -1.116894 1.490974) + (xy -1.116894 1.814286) + (xy -1.116894 2.137597) + (xy -1.314622 2.137597) + (xy -1.51235 2.137597) + (xy -1.51235 1.814286) + (xy -1.51235 1.490974) + (xy -2.084329 1.490974) + (xy -2.177395 1.490955) + (xy -2.26034 1.490892) + (xy -2.333729 1.490778) + (xy -2.398129 1.490607) + (xy -2.454106 1.490371) + (xy -2.502227 1.490063) + (xy -2.543058 1.489676) + (xy -2.577165 1.489203) + (xy -2.605114 1.488638) + (xy -2.627472 1.487972) + (xy -2.644804 1.4872) + (xy -2.657678 1.486313) + (xy -2.666659 1.485305) + (xy -2.672314 1.484168) + (xy -2.673893 1.483627) + (xy -2.69727 1.470021) + (xy -2.719398 1.450573) + (xy -2.736599 1.428786) + (xy -2.741895 1.41883) + (xy -2.745653 1.407433) + (xy -2.750768 1.388022) + (xy -2.756851 1.362489) + (xy -2.763512 1.332729) + (xy -2.770362 1.300635) + (xy -2.777012 1.268099) + (xy -2.783073 1.237016) + (xy -2.788154 1.209279) + (xy -2.791867 1.186782) + (xy -2.793823 1.171417) + (xy -2.793918 1.165794) + (xy -2.790593 1.16062) + (xy -2.781167 1.147311) + (xy -2.774625 1.138271) + (xy -2.313757 1.138271) + (xy -1.913053 1.138271) + (xy -1.51235 1.138271) + (xy -1.51235 0.656895) + (xy -1.512279 0.564365) + (xy -1.512071 0.479099) + (xy -1.511728 0.401501) + (xy -1.511256 0.331973) + (xy -1.510659 0.270918) + (xy -1.509941 0.218739) + (xy -1.509106 0.175838) + (xy -1.508159 0.142619) + (xy -1.507104 0.119485) + (xy -1.506541 0.111866) + (xy -1.504422 0.086709) + (xy -1.502961 0.065429) + (xy -1.50229 0.05029) + (xy -1.502533 0.043576) + (xy -1.505879 0.047128) + (xy -1.515117 0.058726) + (xy -1.529819 0.077797) + (xy -1.549555 0.103768) + (xy -1.573897 0.136067) + (xy -1.602416 0.174122) + (xy -1.634684 0.217358) + (xy -1.670271 0.265205) + (xy -1.70875 0.317088) + (xy -1.749691 0.372436) + (xy -1.792667 0.430675) + (xy -1.816957 0.463654) + (xy -1.863329 0.526649) + (xy -1.909579 0.589477) + (xy -1.955095 0.651306) + (xy -1.999268 0.711306) + (xy -2.041483 0.768645) + (xy -2.081131 0.822493) + (xy -2.117598 0.872018) + (xy -2.150274 0.916391) + (xy -2.178546 0.954781) + (xy -2.201803 0.986355) + (xy -2.219433 1.010285) + (xy -2.221669 1.013318) + (xy -2.313757 1.138271) + (xy -2.774625 1.138271) + (xy -2.765949 1.126283) + (xy -2.745249 1.097952) + (xy -2.719374 1.062735) + (xy -2.688635 1.02105) + (xy -2.65334 0.973312) + (xy -2.613798 0.919939) + (xy -2.570319 0.861347) + (xy -2.523211 0.797952) + (xy -2.472783 0.730172) + (xy -2.419345 0.658424) + (xy -2.363205 0.583123) + (xy -2.304672 0.504687) + (xy -2.244056 0.423532) + (xy -2.181665 0.340075) + (xy -2.169878 0.324316) + (xy -1.547519 -0.507679) + (xy -1.332207 -0.507679) + (xy -1.116894 -0.507679) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3dbba08c-01c6-41ef-a93f-08b38b56a9e8)) + (fp_poly + (pts + (xy -6.377597 1.790976) + (xy -6.208577 1.791025) + (xy -6.029359 1.791101) + (xy -5.839784 1.791205) + (xy -5.639695 1.791334) + (xy -5.621005 1.791347) + (xy -3.3997 1.79291) + (xy -3.376528 1.809179) + (xy -3.359669 1.824009) + (xy -3.344473 1.842214) + (xy -3.339996 1.849259) + (xy -3.335318 1.858105) + (xy -3.331839 1.866762) + (xy -3.329344 1.877002) + (xy -3.327618 1.890598) + (xy -3.326446 1.909324) + (xy -3.325613 1.934954) + (xy -3.324905 1.96926) + (xy -3.324808 1.974605) + (xy -3.32446 2.015686) + (xy -3.324943 2.051139) + (xy -3.326208 2.079441) + (xy -3.328206 2.099071) + (xy -3.328968 2.103164) + (xy -3.340791 2.133631) + (xy -3.360794 2.160103) + (xy -3.386697 2.179616) + (xy -3.388396 2.180504) + (xy -3.390202 2.181373) + (xy -3.392318 2.182193) + (xy -3.39505 2.182966) + (xy -3.398702 2.183691) + (xy -3.40358 2.184372) + (xy -3.40999 2.185009) + (xy -3.418235 2.185604) + (xy -3.428623 2.186158) + (xy -3.441457 2.186673) + (xy -3.457043 2.18715) + (xy -3.475687 2.18759) + (xy -3.497693 2.187995) + (xy -3.523367 2.188366) + (xy -3.553015 2.188705) + (xy -3.58694 2.189013) + (xy -3.625449 2.189291) + (xy -3.668847 2.189541) + (xy -3.717439 2.189765) + (xy -3.77153 2.189963) + (xy -3.831426 2.190137) + (xy -3.897431 2.190288) + (xy -3.969852 2.190419) + (xy -4.048993 2.190529) + (xy -4.135159 2.190622) + (xy -4.228656 2.190697) + (xy -4.329789 2.190757) + (xy -4.438864 2.190802) + (xy -4.556185 2.190835) + (xy -4.682057 2.190857) + (xy -4.816787 2.190869) + (xy -4.960679 2.190872) + (xy -5.114038 2.190868) + (xy -5.27717 2.190859) + (xy -5.45038 2.190845) + (xy -5.633692 2.190828) + (xy -5.821733 2.190806) + (xy -5.999364 2.190774) + (xy -6.166862 2.190733) + (xy -6.324506 2.190681) + (xy -6.472571 2.190617) + (xy -6.611337 2.190541) + (xy -6.741081 2.190451) + (xy -6.86208 2.190347) + (xy -6.974612 2.190227) + (xy -7.078954 2.190091) + (xy -7.175385 2.189938) + (xy -7.264182 2.189767) + (xy -7.345622 2.189577) + (xy -7.419984 2.189368) + (xy -7.487544 2.189137) + (xy -7.54858 2.188885) + (xy -7.603371 2.18861) + (xy -7.652193 2.188312) + (xy -7.695325 2.187989) + (xy -7.733043 2.187641) + (xy -7.765626 2.187267) + (xy -7.793351 2.186865) + (xy -7.816496 2.186436) + (xy -7.835339 2.185978) + (xy -7.850156 2.18549) + (xy -7.861226 2.184971) + (xy -7.868826 2.18442) + (xy -7.873234 2.183837) + (xy -7.874374 2.183514) + (xy -7.900501 2.166459) + (xy -7.921875 2.141545) + (xy -7.93062 2.125596) + (xy -7.934127 2.116832) + (xy -7.936747 2.107037) + (xy -7.938605 2.094566) + (xy -7.939828 2.077776) + (xy -7.94054 2.055022) + (xy -7.940868 2.024662) + (xy -7.940939 1.990637) + (xy -7.940831 1.952004) + (xy -7.940429 1.922442) + (xy -7.939616 1.900338) + (xy -7.938273 1.884077) + (xy -7.936284 1.872044) + (xy -7.93353 1.862625) + (xy -7.931207 1.856992) + (xy -7.915961 1.833347) + (xy -7.894507 1.812765) + (xy -7.870409 1.798428) + (xy -7.862438 1.795596) + (xy -7.85703 1.795073) + (xy -7.844438 1.794582) + (xy -7.824503 1.794124) + (xy -7.797069 1.793699) + (xy -7.761975 1.793305) + (xy -7.719063 1.792943) + (xy -7.668175 1.792612) + (xy -7.609151 1.792312) + (xy -7.541834 1.792043) + (xy -7.466064 1.791804) + (xy -7.381683 1.791596) + (xy -7.288532 1.791417) + (xy -7.186453 1.791268) + (xy -7.075287 1.791148) + (xy -6.954875 1.791057) + (xy -6.825059 1.790994) + (xy -6.685679 1.79096) + (xy -6.536578 1.790954) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 3b5e5d11-a948-4527-ac86-67ce6a190b0d)) + (fp_poly + (pts + (xy -1.08909 -2.170822) + (xy -1.023773 -2.158134) + (xy -0.963594 -2.136737) + (xy -0.917702 -2.11266) + (xy -0.895487 -2.098255) + (xy -0.875806 -2.083831) + (xy -0.860499 -2.070894) + (xy -0.851406 -2.060952) + (xy -0.849695 -2.056939) + (xy -0.852505 -2.050102) + (xy -0.8599 -2.036941) + (xy -0.87033 -2.019882) + (xy -0.882244 -2.001351) + (xy -0.89409 -1.983775) + (xy -0.904317 -1.969579) + (xy -0.910018 -1.962582) + (xy -0.92294 -1.953497) + (xy -0.940187 -1.950646) + (xy -0.9629 -1.954139) + (xy -0.992221 -1.964088) + (xy -1.011816 -1.972458) + (xy -1.061269 -1.99214) + (xy -1.105638 -2.003994) + (xy -1.146791 -2.008305) + (xy -1.186598 -2.005361) + (xy -1.203789 -2.001931) + (xy -1.238963 -1.989706) + (xy -1.265747 -1.971791) + (xy -1.283715 -1.948634) + (xy -1.29244 -1.920682) + (xy -1.293246 -1.908069) + (xy -1.291761 -1.889433) + (xy -1.286683 -1.873114) + (xy -1.277076 -1.858455) + (xy -1.262007 -1.844804) + (xy -1.240539 -1.831505) + (xy -1.21174 -1.817905) + (xy -1.174672 -1.803348) + (xy -1.128403 -1.787181) + (xy -1.113413 -1.782192) + (xy -1.060924 -1.764187) + (xy -1.017532 -1.747683) + (xy -0.98187 -1.732001) + (xy -0.95257 -1.716468) + (xy -0.928264 -1.700405) + (xy -0.907587 -1.683137) + (xy -0.901826 -1.67756) + (xy -0.876775 -1.649563) + (xy -0.859237 -1.622049) + (xy -0.848005 -1.592102) + (xy -0.841869 -1.556807) + (xy -0.839995 -1.528382) + (xy -0.840831 -1.478314) + (xy -0.847604 -1.434738) + (xy -0.860828 -1.394935) + (xy -0.868366 -1.378816) + (xy -0.896544 -1.334869) + (xy -0.933385 -1.296519) + (xy -0.978174 -1.264236) + (xy -1.030194 -1.238495) + (xy -1.088729 -1.219767) + (xy -1.119212 -1.213358) + (xy -1.141683 -1.210732) + (xy -1.171454 -1.209124) + (xy -1.205187 -1.208529) + (xy -1.239542 -1.208945) + (xy -1.271182 -1.210368) + (xy -1.296766 -1.212793) + (xy -1.301836 -1.213558) + (xy -1.328889 -1.21942) + (xy -1.361027 -1.228392) + (xy -1.394233 -1.23918) + (xy -1.42449 -1.250488) + (xy -1.44555 -1.259873) + (xy -1.462927 -1.269355) + (xy -1.482668 -1.281215) + (xy -1.502331 -1.293844) + (xy -1.519473 -1.305634) + (xy -1.531648 -1.314975) + (xy -1.536098 -1.319481) + (xy -1.534992 -1.326376) + (xy -1.528935 -1.339716) + (xy -1.51928 -1.357311) + (xy -1.507375 -1.376973) + (xy -1.494573 -1.396512) + (xy -1.482223 -1.41374) + (xy -1.471677 -1.426467) + (xy -1.46773 -1.43027) + (xy -1.449217 -1.440412) + (xy -1.42689 -1.442376) + (xy -1.39996 -1.436055) + (xy -1.367636 -1.42134) + (xy -1.35621 -1.414948) + (xy -1.319731 -1.395429) + (xy -1.287391 -1.382319) + (xy -1.255639 -1.374605) + (xy -1.220924 -1.371271) + (xy -1.202055 -1.370929) + (xy -1.17702 -1.371261) + (xy -1.158954 -1.372722) + (xy -1.144147 -1.376063) + (xy -1.128885 -1.382039) + (xy -1.115256 -1.388531) + (xy -1.093866 -1.400197) + (xy -1.079393 -1.411484) + (xy -1.068536 -1.425147) + (xy -1.06554 -1.430037) + (xy -1.057307 -1.446069) + (xy -1.053614 -1.460554) + (xy -1.053368 -1.478704) + (xy -1.05382 -1.485894) + (xy -1.056663 -1.505113) + (xy -1.062854 -1.521808) + (xy -1.073382 -1.536661) + (xy -1.089236 -1.550348) + (xy -1.111404 -1.563548) + (xy -1.140876 -1.576942) + (xy -1.178639 -1.591207) + (xy -1.225682 -1.607022) + (xy -1.234237 -1.609774) + (xy -1.287245 -1.627599) + (xy -1.331149 -1.644382) + (xy -1.36739 -1.660818) + (xy -1.397408 -1.677605) + (xy -1.422645 -1.69544) + (xy -1.437149 -1.707908) + (xy -1.468898 -1.744143) + (xy -1.491948 -1.785014) + (xy -1.506459 -1.82918) + (xy -1.512596 -1.875303) + (xy -1.510518 -1.922041) + (xy -1.500389 -1.968055) + (xy -1.482371 -2.012005) + (xy -1.456625 -2.052551) + (xy -1.423313 -2.088354) + (xy -1.387953 -2.11482) + (xy -1.341221 -2.140283) + (xy -1.293654 -2.158287) + (xy -1.242761 -2.169491) + (xy -1.186055 -2.174553) + (xy -1.160342 -2.175005) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 40d3b9ba-1e8b-4349-824b-c15685f06e80)) + (fp_poly + (pts + (xy 4.725175 -2.170822) + (xy 4.790491 -2.158134) + (xy 4.850671 -2.136737) + (xy 4.896563 -2.11266) + (xy 4.918778 -2.098255) + (xy 4.938458 -2.083831) + (xy 4.953765 -2.070894) + (xy 4.962859 -2.060952) + (xy 4.96457 -2.056939) + (xy 4.96176 -2.050102) + (xy 4.954365 -2.036941) + (xy 4.943934 -2.019882) + (xy 4.932021 -2.001351) + (xy 4.920175 -1.983775) + (xy 4.909948 -1.969579) + (xy 4.904246 -1.962582) + (xy 4.891325 -1.953497) + (xy 4.874078 -1.950646) + (xy 4.851365 -1.954139) + (xy 4.822043 -1.964088) + (xy 4.802448 -1.972458) + (xy 4.752995 -1.99214) + (xy 4.708627 -2.003994) + (xy 4.667474 -2.008305) + (xy 4.627667 -2.005361) + (xy 4.610476 -2.001931) + (xy 4.575302 -1.989706) + (xy 4.548517 -1.971791) + (xy 4.53055 -1.948634) + (xy 4.521825 -1.920682) + (xy 4.521019 -1.908069) + (xy 4.522504 -1.889433) + (xy 4.527582 -1.873114) + (xy 4.537189 -1.858455) + (xy 4.552258 -1.844804) + (xy 4.573725 -1.831505) + (xy 4.602525 -1.817905) + (xy 4.639592 -1.803348) + (xy 4.685862 -1.787181) + (xy 4.700852 -1.782192) + (xy 4.753341 -1.764187) + (xy 4.796732 -1.747683) + (xy 4.832395 -1.732001) + (xy 4.861695 -1.716468) + (xy 4.886 -1.700405) + (xy 4.906678 -1.683137) + (xy 4.912439 -1.67756) + (xy 4.93749 -1.649563) + (xy 4.955027 -1.622049) + (xy 4.96626 -1.592102) + (xy 4.972396 -1.556807) + (xy 4.97427 -1.528382) + (xy 4.973433 -1.478314) + (xy 4.966661 -1.434738) + (xy 4.953437 -1.394935) + (xy 4.945899 -1.378816) + (xy 4.917721 -1.334869) + (xy 4.880879 -1.296519) + (xy 4.836091 -1.264236) + (xy 4.78407 -1.238495) + (xy 4.725536 -1.219767) + (xy 4.695053 -1.213358) + (xy 4.672582 -1.210732) + (xy 4.642811 -1.209124) + (xy 4.609078 -1.208529) + (xy 4.574722 -1.208945) + (xy 4.543083 -1.210368) + (xy 4.517498 -1.212793) + (xy 4.512429 -1.213558) + (xy 4.485376 -1.21942) + (xy 4.453238 -1.228392) + (xy 4.420031 -1.23918) + (xy 4.389774 -1.250488) + (xy 4.368715 -1.259873) + (xy 4.351338 -1.269355) + (xy 4.331596 -1.281215) + (xy 4.311933 -1.293844) + (xy 4.294792 -1.305634) + (xy 4.282616 -1.314975) + (xy 4.278167 -1.319481) + (xy 4.279273 -1.326376) + (xy 4.285329 -1.339716) + (xy 4.294985 -1.357311) + (xy 4.306889 -1.376973) + (xy 4.319692 -1.396512) + (xy 4.332041 -1.41374) + (xy 4.342588 -1.426467) + (xy 4.346535 -1.43027) + (xy 4.365048 -1.440412) + (xy 4.387375 -1.442376) + (xy 4.414305 -1.436055) + (xy 4.446629 -1.42134) + (xy 4.458055 -1.414948) + (xy 4.494534 -1.395429) + (xy 4.526874 -1.382319) + (xy 4.558626 -1.374605) + (xy 4.59334 -1.371271) + (xy 4.612209 -1.370929) + (xy 4.637245 -1.371261) + (xy 4.65531 -1.372722) + (xy 4.670118 -1.376063) + (xy 4.685379 -1.382039) + (xy 4.699008 -1.388531) + (xy 4.720399 -1.400197) + (xy 4.734872 -1.411484) + (xy 4.745729 -1.425147) + (xy 4.748725 -1.430037) + (xy 4.756958 -1.446069) + (xy 4.76065 -1.460554) + (xy 4.760897 -1.478704) + (xy 4.760444 -1.485894) + (xy 4.757602 -1.505113) + (xy 4.751411 -1.521808) + (xy 4.740883 -1.536661) + (xy 4.725029 -1.550348) + (xy 4.70286 -1.563548) + (xy 4.673389 -1.576942) + (xy 4.635626 -1.591207) + (xy 4.588583 -1.607022) + (xy 4.580027 -1.609774) + (xy 4.527019 -1.627599) + (xy 4.483116 -1.644382) + (xy 4.446875 -1.660818) + (xy 4.416857 -1.677605) + (xy 4.39162 -1.69544) + (xy 4.377115 -1.707908) + (xy 4.345366 -1.744143) + (xy 4.322317 -1.785014) + (xy 4.307805 -1.82918) + (xy 4.301669 -1.875303) + (xy 4.303746 -1.922041) + (xy 4.313875 -1.968055) + (xy 4.331894 -2.012005) + (xy 4.35764 -2.052551) + (xy 4.390951 -2.088354) + (xy 4.426312 -2.11482) + (xy 4.473043 -2.140283) + (xy 4.520611 -2.158287) + (xy 4.571504 -2.169491) + (xy 4.62821 -2.174553) + (xy 4.653923 -2.175005) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0bd582e8-972d-46c7-9cb3-85a0446dcca6)) + (fp_poly + (pts + (xy -3.843495 -2.168405) + (xy -3.811498 -2.16671) + (xy -3.786496 -2.164216) + (xy -3.764795 -2.160308) + (xy -3.742703 -2.154373) + (xy -3.725053 -2.148692) + (xy -3.676243 -2.129603) + (xy -3.634911 -2.107034) + (xy -3.597968 -2.079107) + (xy -3.575131 -2.057481) + (xy -3.535008 -2.009356) + (xy -3.503809 -1.95578) + (xy -3.481452 -1.89653) + (xy -3.467853 -1.83138) + (xy -3.462929 -1.760106) + (xy -3.462907 -1.754766) + (xy -3.464011 -1.719975) + (xy -3.467524 -1.694555) + (xy -3.473745 -1.677399) + (xy -3.482975 -1.667401) + (xy -3.487195 -1.665302) + (xy -3.494021 -1.664684) + (xy -3.510542 -1.664104) + (xy -3.535762 -1.663572) + (xy -3.568688 -1.663099) + (xy -3.608326 -1.662695) + (xy -3.653681 -1.662371) + (xy -3.703761 -1.662138) + (xy -3.75757 -1.662007) + (xy -3.794672 -1.661982) + (xy -3.850676 -1.661901) + (xy -3.903142 -1.661669) + (xy -3.951137 -1.6613) + (xy -3.993724 -1.660808) + (xy -4.02997 -1.660208) + (xy -4.058938 -1.659515) + (xy -4.079693 -1.658743) + (xy -4.091302 -1.657907) + (xy -4.093499 -1.657338) + (xy -4.092214 -1.644126) + (xy -4.088815 -1.623792) + (xy -4.083984 -1.599526) + (xy -4.078405 -1.574521) + (xy -4.072759 -1.55197) + (xy -4.06773 -1.535065) + (xy -4.066799 -1.532485) + (xy -4.044879 -1.488729) + (xy -4.015242 -1.451236) + (xy -3.97905 -1.421122) + (xy -3.937462 -1.399506) + (xy -3.923597 -1.394687) + (xy -3.898389 -1.388559) + (xy -3.869843 -1.385166) + (xy -3.834415 -1.384095) + (xy -3.833358 -1.384094) + (xy -3.7977 -1.385499) + (xy -3.76495 -1.390224) + (xy -3.732439 -1.399034) + (xy -3.697496 -1.412695) + (xy -3.657451 -1.431973) + (xy -3.646504 -1.437668) + (xy -3.616094 -1.452508) + (xy -3.592449 -1.461134) + (xy -3.573923 -1.463923) + (xy -3.558869 -1.461255) + (xy -3.553858 -1.458965) + (xy -3.546659 -1.452764) + (xy -3.534751 -1.440026) + (xy -3.519898 -1.422718) + (xy -3.506557 -1.40624) + (xy -3.469139 -1.358805) + (xy -3.496751 -1.332114) + (xy -3.539482 -1.296104) + (xy -3.586842 -1.266743) + (xy -3.640661 -1.243058) + (xy -3.697982 -1.225309) + (xy -3.729779 -1.218869) + (xy -3.768293 -1.213933) + (xy -3.810643 -1.210608) + (xy -3.853946 -1.209003) + (xy -3.895322 -1.209228) + (xy -3.931889 -1.211391) + (xy -3.959899 -1.215414) + (xy -4.02244 -1.232371) + (xy -4.077537 -1.255489) + (xy -4.127112 -1.285773) + (xy -4.173083 -1.32423) + (xy -4.179531 -1.330511) + (xy -4.222195 -1.378339) + (xy -4.256506 -1.429345) + (xy -4.282798 -1.484494) + (xy -4.301409 -1.544752) + (xy -4.312675 -1.611084) + (xy -4.316933 -1.684456) + (xy -4.316558 -1.723438) + (xy -4.315282 -1.758715) + (xy -4.313576 -1.786022) + (xy -4.312138 -1.798818) + (xy -4.088155 -1.798818) + (xy -4.083 -1.798109) + (xy -4.068295 -1.797453) + (xy -4.045176 -1.796869) + (xy -4.014779 -1.796373) + (xy -3.978243 -1.795983) + (xy -3.936705 -1.795716) + (xy -3.8913 -1.79559) + (xy -3.876565 -1.795582) + (xy -3.664976 -1.795582) + (xy -3.668511 -1.823638) + (xy -3.67883 -1.873107) + (xy -3.696315 -1.914825) + (xy -3.71835 -1.945868) + (xy -3.750998 -1.974411) + (xy -3.789427 -1.994446) + (xy -3.832506 -2.005617) + (xy -3.879105 -2.00757) + (xy -3.905442 -2.004649) + (xy -3.95203 -1.992312) + (xy -3.99198 -1.971615) + (xy -4.025235 -1.942601) + (xy -4.051735 -1.90531) + (xy -4.056226 -1.896849) + (xy -4.063776 -1.879832) + (xy -4.071785 -1.858556) + (xy -4.079191 -1.836283) + (xy -4.08493 -1.816275) + (xy -4.087941 -1.801796) + (xy -4.088155 -1.798818) + (xy -4.312138 -1.798818) + (xy -4.311097 -1.808078) + (xy -4.307504 -1.827599) + (xy -4.302457 -1.847305) + (xy -4.299905 -1.856009) + (xy -4.275391 -1.92146) + (xy -4.243267 -1.979565) + (xy -4.203133 -2.030865) + (xy -4.154594 -2.075902) + (xy -4.119605 -2.101246) + (xy -4.066821 -2.130636) + (xy -4.009958 -2.151563) + (xy -3.948046 -2.164264) + (xy -3.880112 -2.168976) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 23ca6f98-16d6-4904-903c-e2a9d137d631)) + (fp_poly + (pts + (xy -6.290842 -2.172125) + (xy -6.265478 -2.171718) + (xy -6.246246 -2.170678) + (xy -6.230868 -2.168752) + (xy -6.217068 -2.165686) + (xy -6.202568 -2.161227) + (xy -6.19122 -2.157295) + (xy -6.136196 -2.132776) + (xy -6.088677 -2.100708) + (xy -6.048537 -2.060962) + (xy -6.015651 -2.013408) + (xy -5.989896 -1.957917) + (xy -5.984474 -1.942542) + (xy -5.981976 -1.934893) + (xy -5.979833 -1.927545) + (xy -5.978014 -1.919643) + (xy -5.976488 -1.910335) + (xy -5.975222 -1.898765) + (xy -5.974186 -1.884081) + (xy -5.973348 -1.865428) + (xy -5.972676 -1.841953) + (xy -5.972139 -1.812802) + (xy -5.971705 -1.77712) + (xy -5.971344 -1.734055) + (xy -5.971022 -1.682752) + (xy -5.970709 -1.622357) + (xy -5.97042 -1.561782) + (xy -5.968798 -1.21843) + (xy -6.018451 -1.218749) + (xy -6.058354 -1.219902) + (xy -6.088925 -1.22293) + (xy -6.111393 -1.228057) + (xy -6.126983 -1.235505) + (xy -6.131643 -1.239253) + (xy -6.14 -1.251106) + (xy -6.148892 -1.270286) + (xy -6.156106 -1.291157) + (xy -6.162278 -1.311088) + (xy -6.166782 -1.32238) + (xy -6.170703 -1.326723) + (xy -6.175125 -1.325806) + (xy -6.177365 -1.324279) + (xy -6.185971 -1.317783) + (xy -6.200267 -1.306923) + (xy -6.217452 -1.293828) + (xy -6.220931 -1.291172) + (xy -6.26115 -1.264438) + (xy -6.305853 -1.241456) + (xy -6.35112 -1.224054) + (xy -6.381838 -1.216013) + (xy -6.422857 -1.21027) + (xy -6.467809 -1.208153) + (xy -6.512722 -1.209608) + (xy -6.553622 -1.214578) + (xy -6.572578 -1.218687) + (xy -6.621744 -1.236347) + (xy -6.663281 -1.261409) + (xy -6.696953 -1.293555) + (xy -6.722525 -1.332468) + (xy -6.739759 -1.377831) + (xy -6.74842 -1.429327) + (xy -6.749463 -1.456391) + (xy -6.748948 -1.468556) + (xy -6.531762 -1.468556) + (xy -6.527077 -1.434909) + (xy -6.513962 -1.407511) + (xy -6.492822 -1.386598) + (xy -6.464065 -1.372406) + (xy -6.428096 -1.365172) + (xy -6.385323 -1.365133) + (xy -6.359352 -1.368216) + (xy -6.320224 -1.378428) + (xy -6.279634 -1.396277) + (xy -6.241175 -1.419984) + (xy -6.218929 -1.437774) + (xy -6.188057 -1.465365) + (xy -6.189537 -1.540961) + (xy -6.191016 -1.616558) + (xy -6.2498 -1.614887) + (xy -6.304191 -1.611278) + (xy -6.355644 -1.603884) + (xy -6.402532 -1.593129) + (xy -6.443226 -1.57944) + (xy -6.4761 -1.563242) + (xy -6.492919 -1.551218) + (xy -6.514182 -1.529425) + (xy -6.526536 -1.506785) + (xy -6.531497 -1.480078) + (xy -6.531762 -1.468556) + (xy -6.748948 -1.468556) + (xy -6.748407 -1.481326) + (xy -6.745627 -1.506168) + (xy -6.741708 -1.525807) + (xy -6.7414 -1.526874) + (xy -6.723521 -1.568567) + (xy -6.695976 -1.606808) + (xy -6.6593 -1.641338) + (xy -6.614026 -1.6719) + (xy -6.560689 -1.698234) + (xy -6.499823 -1.720083) + (xy -6.431962 -1.737187) + (xy -6.35764 -1.74929) + (xy -6.277392 -1.756132) + (xy -6.266407 -1.756627) + (xy -6.186823 -1.759855) + (xy -6.190134 -1.81379) + (xy -6.195163 -1.862565) + (xy -6.204074 -1.902146) + (xy -6.217493 -1.933522) + (xy -6.236047 -1.957678) + (xy -6.260362 -1.975603) + (xy -6.291064 -1.988283) + (xy -6.30296 -1.991548) + (xy -6.323392 -1.99595) + (xy -6.34108 -1.997761) + (xy -6.360464 -1.997132) + (xy -6.385274 -1.994304) + (xy -6.404544 -1.991331) + (xy -6.421623 -1.987455) + (xy -6.438953 -1.981789) + (xy -6.458979 -1.973447) + (xy -6.484143 -1.96154) + (xy -6.507483 -1.949926) + (xy -6.53699 -1.93527) + (xy -6.558864 -1.924999) + (xy -6.5749 -1.918461) + (xy -6.58689 -1.915002) + (xy -6.59663 -1.913971) + (xy -6.60476 -1.914554) + (xy -6.62498 -1.919723) + (xy -6.642639 -1.930294) + (xy -6.659409 -1.947743) + (xy -6.676963 -1.973541) + (xy -6.682669 -1.983194) + (xy -6.707598 -2.026413) + (xy -6.695131 -2.039755) + (xy -6.679826 -2.053349) + (xy -6.657376 -2.069782) + (xy -6.630354 -2.087441) + (xy -6.601332 -2.104714) + (xy -6.572881 -2.119988) + (xy -6.55039 -2.130482) + (xy -6.511905 -2.14566) + (xy -6.476772 -2.156822) + (xy -6.442057 -2.164509) + (xy -6.404827 -2.169263) + (xy -6.362151 -2.171625) + (xy -6.324616 -2.172153) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 6dbad34e-5d68-4b72-b32a-279a30f7526b)) + (fp_poly + (pts + (xy 2.555669 -0.5295) + (xy 2.6522 -0.514962) + (xy 2.744202 -0.490867) + (xy 2.831405 -0.457394) + (xy 2.91354 -0.414722) + (xy 2.990335 -0.36303) + (xy 3.061522 -0.302498) + (xy 3.12683 -0.233305) + (xy 3.185989 -0.15563) + (xy 3.23873 -0.069651) + (xy 3.279897 0.01336) + (xy 3.317101 0.104129) + (xy 3.348455 0.19716) + (xy 3.374165 0.293577) + (xy 3.394433 0.394501) + (xy 3.409464 0.501057) + (xy 3.419462 0.614366) + (xy 3.424631 0.735553) + (xy 3.4255 0.814959) + (xy 3.422894 0.947278) + (xy 3.414961 1.071133) + (xy 3.401529 1.187424) + (xy 3.382426 1.297051) + (xy 3.357478 1.400912) + (xy 3.326514 1.499908) + (xy 3.28936 1.594939) + (xy 3.266173 1.64595) + (xy 3.217752 1.736132) + (xy 3.162541 1.818371) + (xy 3.100829 1.89245) + (xy 3.032902 1.95815) + (xy 2.959049 2.015254) + (xy 2.879556 2.063545) + (xy 2.794713 2.102806) + (xy 2.704806 2.132817) + (xy 2.610123 2.153363) + (xy 2.591676 2.15617) + (xy 2.554628 2.16004) + (xy 2.510412 2.162452) + (xy 2.46233 2.163411) + (xy 2.413681 2.162918) + (xy 2.367764 2.160976) + (xy 2.327881 2.15759) + (xy 2.314958 2.155919) + (xy 2.220304 2.137054) + (xy 2.130741 2.108872) + (xy 2.046411 2.071529) + (xy 1.967453 2.02518) + (xy 1.894009 1.969982) + (xy 1.826221 1.906089) + (xy 1.764228 1.833659) + (xy 1.708172 1.752845) + (xy 1.658194 1.663804) + (xy 1.614435 1.566692) + (xy 1.577035 1.461664) + (xy 1.546137 1.348877) + (xy 1.533903 1.293246) + (xy 1.514389 1.179235) + (xy 1.500582 1.058442) + (xy 1.492483 0.933121) + (xy 1.490332 0.818348) + (xy 1.958363 0.818348) + (xy 1.959985 0.91785) + (xy 1.964645 1.015217) + (xy 1.972343 1.108388) + (xy 1.983079 1.195299) + (xy 1.995822 1.268857) + (xy 2.018026 1.363128) + (xy 2.044485 1.44767) + (xy 2.075269 1.522585) + (xy 2.110444 1.587979) + (xy 2.150079 1.643955) + (xy 2.194242 1.690617) + (xy 2.243001 1.728069) + (xy 2.296424 1.756414) + (xy 2.321965 1.766213) + (xy 2.382808 1.781594) + (xy 2.44671 1.787664) + (xy 2.511296 1.784318) + (xy 2.554429 1.776601) + (xy 2.575015 1.77049) + (xy 2.600756 1.760995) + (xy 2.627371 1.749751) + (xy 2.638651 1.744478) + (xy 2.690839 1.713226) + (xy 2.738489 1.672305) + (xy 2.781593 1.621726) + (xy 2.820144 1.561498) + (xy 2.854136 1.491633) + (xy 2.883562 1.41214) + (xy 2.90656 1.330654) + (xy 2.919398 1.275281) + (xy 2.930032 1.221677) + (xy 2.938626 1.168147) + (xy 2.945347 1.112997) + (xy 2.95036 1.05453) + (xy 2.953829 0.991053) + (xy 2.955921 0.920869) + (xy 2.9568 0.842283) + (xy 2.956852 0.814959) + (xy 2.956327 0.733313) + (xy 2.954644 0.660613) + (xy 2.951635 0.595164) + (xy 2.947137 0.535272) + (xy 2.940983 0.47924) + (xy 2.933009 0.425375) + (xy 2.923049 0.37198) + (xy 2.910937 0.31736) + (xy 2.90656 0.299264) + (xy 2.881331 0.210928) + (xy 2.851528 0.132212) + (xy 2.817159 0.063127) + (xy 2.778228 0.003681) + (xy 2.734743 -0.046115) + (xy 2.686709 -0.086252) + (xy 2.638651 -0.11457) + (xy 2.593903 -0.134072) + (xy 2.552199 -0.146961) + (xy 2.509313 -0.154212) + (xy 2.461019 -0.1568) + (xy 2.455565 -0.15684) + (xy 2.410293 -0.155274) + (xy 2.370146 -0.149595) + (xy 2.331244 -0.138903) + (xy 2.289706 -0.122298) + (xy 2.272932 -0.114495) + (xy 2.223301 -0.084817) + (xy 2.177602 -0.045293) + (xy 2.135904 0.003959) + (xy 2.098276 0.062818) + (xy 2.064789 0.131166) + (xy 2.035511 0.208883) + (xy 2.010513 0.29585) + (xy 1.995822 0.361061) + (xy 1.982254 0.440278) + (xy 1.971725 0.527679) + (xy 1.964233 0.6212) + (xy 1.959779 0.718777) + (xy 1.958363 0.818348) + (xy 1.490332 0.818348) + (xy 1.490092 0.805527) + (xy 1.493409 0.677915) + (xy 1.502435 0.55254) + (xy 1.517171 0.431655) + (xy 1.533722 0.336428) + (xy 1.561081 0.22049) + (xy 1.595116 0.112176) + (xy 1.635658 0.011647) + (xy 1.682536 -0.080936) + (xy 1.735579 -0.165412) + (xy 1.794617 -0.24162) + (xy 1.85948 -0.309398) + (xy 1.929997 -0.368585) + (xy 2.005997 -0.419021) + (xy 2.087311 -0.460544) + (xy 2.173767 -0.492994) + (xy 2.265195 -0.516207) + (xy 2.361425 -0.530025) + (xy 2.454879 -0.534301) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 06ab2c03-e931-424f-bbd8-43b68ada36df)) + (fp_poly + (pts + (xy -7.293686 -2.54933) + (xy -7.232124 -2.540345) + (xy -7.174252 -2.526008) + (xy -7.118028 -2.505939) + (xy -7.072775 -2.485461) + (xy -7.04752 -2.472231) + (xy -7.020809 -2.456878) + (xy -6.994269 -2.440499) + (xy -6.969526 -2.424191) + (xy -6.948207 -2.409049) + (xy -6.93194 -2.396171) + (xy -6.922352 -2.386653) + (xy -6.920471 -2.382804) + (xy -6.923342 -2.376115) + (xy -6.931074 -2.362704) + (xy -6.942346 -2.344564) + (xy -6.955837 -2.323693) + (xy -6.970224 -2.302084) + (xy -6.984187 -2.281734) + (xy -6.996403 -2.264638) + (xy -7.005552 -2.252791) + (xy -7.009127 -2.248937) + (xy -7.021454 -2.241816) + (xy -7.037411 -2.236693) + (xy -7.038337 -2.236513) + (xy -7.049583 -2.235554) + (xy -7.061267 -2.237714) + (xy -7.07625 -2.243883) + (xy -7.097121 -2.254801) + (xy -7.137309 -2.276373) + (xy -7.170789 -2.29317) + (xy -7.199852 -2.306186) + (xy -7.226786 -2.31642) + (xy -7.253881 -2.324865) + (xy -7.265204 -2.327947) + (xy -7.288068 -2.333605) + (xy -7.308224 -2.337533) + (xy -7.328623 -2.340035) + (xy -7.352219 -2.341419) + (xy -7.381965 -2.34199) + (xy -7.40143 -2.342068) + (xy -7.449058 -2.341123) + (xy -7.48913 -2.33776) + (xy -7.524629 -2.331346) + (xy -7.558536 -2.321248) + (xy -7.593834 -2.306834) + (xy -7.61519 -2.296704) + (xy -7.639543 -2.283677) + (xy -7.660741 -2.269579) + (xy -7.682044 -2.251977) + (xy -7.706715 -2.228436) + (xy -7.706775 -2.228376) + (xy -7.728556 -2.205994) + (xy -7.744743 -2.187141) + (xy -7.757827 -2.168358) + (xy -7.770302 -2.146185) + (xy -7.780098 -2.126606) + (xy -7.801467 -2.077633) + (xy -7.81666 -2.030026) + (xy -7.826554 -1.980197) + (xy -7.83203 -1.924558) + (xy -7.832698 -1.911864) + (xy -7.831943 -1.83025) + (xy -7.821936 -1.753966) + (xy -7.80268 -1.683022) + (xy -7.774176 -1.617429) + (xy -7.769375 -1.608542) + (xy -7.749843 -1.578843) + (xy -7.723786 -1.547056) + (xy -7.693937 -1.515993) + (xy -7.663024 -1.488467) + (xy -7.633781 -1.46729) + (xy -7.629946 -1.464974) + (xy -7.574563 -1.438449) + (xy -7.513471 -1.419978) + (xy -7.448062 -1.409629) + (xy -7.379727 -1.40747) + (xy -7.309858 -1.413567) + (xy -7.239847 -1.427987) + (xy -7.189601 -1.443753) + (xy -7.144919 -1.459921) + (xy -7.144919 -1.579655) + (xy -7.144919 -1.69939) + (xy -7.238682 -1.69939) + (xy -7.272433 -1.699435) + (xy -7.297181 -1.699709) + (xy -7.314608 -1.700419) + (xy -7.3264 -1.70177) + (xy -7.334239 -1.70397) + (xy -7.339809 -1.707226) + (xy -7.344795 -1.711743) + (xy -7.345561 -1.712507) + (xy -7.350677 -1.718065) + (xy -7.354253 -1.724055) + (xy -7.356566 -1.73238) + (xy -7.35789 -1.744946) + (xy -7.3585 -1.763653) + (xy -7.358671 -1.790407) + (xy -7.358678 -1.803355) + (xy -7.358678 -1.881086) + (xy -7.136903 -1.881086) + (xy -6.915127 -1.881086) + (xy -6.915127 -1.61661) + (xy -6.915127 -1.352134) + (xy -6.940511 -1.333415) + (xy -6.963993 -1.318096) + (xy -6.994559 -1.301029) + (xy -7.029111 -1.283721) + (xy -7.06455 -1.267676) + (xy -7.097781 -1.2544) + (xy -7.115527 -1.248342) + (xy -7.180171 -1.230858) + (xy -7.246288 -1.2186) + (xy -7.316423 -1.211232) + (xy -7.393122 -1.208416) + (xy -7.417462 -1.208406) + (xy -7.446638 -1.208703) + (xy -7.472385 -1.209142) + (xy -7.492643 -1.209673) + (xy -7.505353 -1.210249) + (xy -7.50831 -1.210553) + (xy -7.517265 -1.212129) + (xy -7.53348 -1.214837) + (xy -7.553663 -1.218127) + (xy -7.556406 -1.218569) + (xy -7.628824 -1.235314) + (xy -7.700505 -1.261682) + (xy -7.769516 -1.296674) + (xy -7.83392 -1.339293) + (xy -7.891784 -1.388539) + (xy -7.898277 -1.394927) + (xy -7.950163 -1.454228) + (xy -7.994752 -1.520673) + (xy -8.031437 -1.593067) + (xy -8.059608 -1.670219) + (xy -8.078086 -1.747695) + (xy -8.083232 -1.787037) + (xy -8.086266 -1.833403) + (xy -8.087189 -1.88334) + (xy -8.086007 -1.933395) + (xy -8.082722 -1.980116) + (xy -8.077816 -2.017357) + (xy -8.058837 -2.09837) + (xy -8.031045 -2.174811) + (xy -7.994918 -2.24593) + (xy -7.950928 -2.310978) + (xy -7.899553 -2.369206) + (xy -7.841267 -2.419863) + (xy -7.807574 -2.443492) + (xy -7.777766 -2.462397) + (xy -7.753178 -2.476752) + (xy -7.730374 -2.488273) + (xy -7.705914 -2.498673) + (xy -7.67636 -2.509668) + (xy -7.671302 -2.511465) + (xy -7.626303 -2.526117) + (xy -7.583687 -2.537001) + (xy -7.540238 -2.544682) + (xy -7.492741 -2.549723) + (xy -7.437979 -2.55269) + (xy -7.436056 -2.552757) + (xy -7.360982 -2.553342) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 558690dd-18ff-4e8a-83d0-c412b82b1428)) + (fp_poly + (pts + (xy -6.834305 -0.542845) + (xy -6.751435 -0.535896) + (xy -6.674544 -0.523807) + (xy -6.601745 -0.506187) + (xy -6.531148 -0.482647) + (xy -6.460865 -0.452796) + (xy -6.447528 -0.446445) + (xy -6.413605 -0.429107) + (xy -6.3791 -0.40982) + (xy -6.345221 -0.389428) + (xy -6.313177 -0.368777) + (xy -6.284176 -0.348712) + (xy -6.259427 -0.330078) + (xy -6.240139 -0.313721) + (xy -6.227521 -0.300486) + (xy -6.222782 -0.291217) + (xy -6.223024 -0.289466) + (xy -6.228676 -0.27822) + (xy -6.239141 -0.260498) + (xy -6.253232 -0.238061) + (xy -6.269757 -0.212672) + (xy -6.287527 -0.186093) + (xy -6.305353 -0.160084) + (xy -6.322043 -0.13641) + (xy -6.336409 -0.11683) + (xy -6.347259 -0.103107) + (xy -6.352682 -0.097475) + (xy -6.375777 -0.085844) + (xy -6.403271 -0.08106) + (xy -6.430874 -0.083748) + (xy -6.436254 -0.085311) + (xy -6.448293 -0.090461) + (xy -6.46698 -0.099836) + (xy -6.489816 -0.112131) + (xy -6.514304 -0.126039) + (xy -6.515712 -0.126862) + (xy -6.570399 -0.15711) + (xy -6.621032 -0.180991) + (xy -6.671033 -0.199781) + (xy -6.72382 -0.214754) + (xy -6.782814 -0.227185) + (xy -6.786871 -0.227922) + (xy -6.821625 -0.232601) + (xy -6.863184 -0.235693) + (xy -6.90858 -0.237196) + (xy -6.954846 -0.23711) + (xy -6.999013 -0.235431) + (xy -7.038115 -0.232159) + (xy -7.065261 -0.228101) + (xy -7.141043 -0.209174) + (xy -7.209389 -0.183404) + (xy -7.271552 -0.150168) + (xy -7.328787 -0.108845) + (xy -7.358211 -0.082832) + (xy -7.411238 -0.0258) + (xy -7.456027 0.03751) + (xy -7.492722 0.107382) + (xy -7.521469 0.184103) + (xy -7.54241 0.267957) + (xy -7.546344 0.289489) + (xy -7.550216 0.313618) + (xy -7.552998 0.335288) + (xy -7.554798 0.356838) + (xy -7.555727 0.380608) + (xy -7.555894 0.408937) + (xy -7.55541 0.444165) + (xy -7.554836 0.470271) + (xy -7.553456 0.516156) + (xy -7.551638 0.55327) + (xy -7.549226 0.583527) + (xy -7.546062 0.608843) + (xy -7.541992 0.631131) + (xy -7.54153 0.633263) + (xy -7.518715 0.716808) + (xy -7.48835 0.794966) + (xy -7.451021 0.8663) + (xy -7.43793 0.887103) + (xy -7.418304 0.913485) + (xy -7.392503 0.943229) + (xy -7.363172 0.973666) + (xy -7.332958 1.002124) + (xy -7.304508 1.025933) + (xy -7.289862 1.036556) + (xy -7.224028 1.074498) + (xy -7.152093 1.104395) + (xy -7.075351 1.125918) + (xy -6.995096 1.138737) + (xy -6.912622 1.142523) + (xy -6.877719 1.141346) + (xy -6.810801 1.135726) + (xy -6.749671 1.12647) + (xy -6.690438 1.112737) + (xy -6.629215 1.093684) + (xy -6.587807 1.078544) + (xy -6.546392 1.062678) + (xy -6.546392 0.886714) + (xy -6.546392 0.710751) + (xy -6.680503 0.710751) + (xy -6.729266 0.71079) + (xy -6.768404 0.710284) + (xy -6.798973 0.708303) + (xy -6.822029 0.703915) + (xy -6.838628 0.696189) + (xy -6.849825 0.684194) + (xy -6.856678 0.666997) + (xy -6.860241 0.643668) + (xy -6.86157 0.613276) + (xy -6.861723 0.574888) + (xy -6.861687 0.550943) + (xy -6.861687 0.438207) + (xy -6.541048 0.438207) + (xy -6.220408 0.438207) + (xy -6.220408 0.833148) + (xy -6.220408 1.228089) + (xy -6.264358 1.256282) + (xy -6.352986 1.307401) + (xy -6.445554 1.349413) + (xy -6.542935 1.382635) + (xy -6.646004 1.40738) + (xy -6.717399 1.419197) + (xy -6.750288 1.422799) + (xy -6.790598 1.425719) + (xy -6.836167 1.427933) + (xy -6.884827 1.429413) + (xy -6.934414 1.430136) + (xy -6.982764 1.430074) + (xy -7.02771 1.429203) + (xy -7.067087 1.427496) + (xy -7.098731 1.424927) + (xy -7.107511 1.42383) + (xy -7.207958 1.404505) + (xy -7.304203 1.375599) + (xy -7.395777 1.337344) + (xy -7.482212 1.28997) + (xy -7.563041 1.233706) + (xy -7.637795 1.168784) + (xy -7.649926 1.156842) + (xy -7.702991 1.099472) + (xy -7.748667 1.040549) + (xy -7.789441 0.976652) + (xy -7.814997 0.929855) + (xy -7.857029 0.836806) + (xy -7.889572 0.739286) + (xy -7.912491 0.638) + (xy -7.925647 0.533652) + (xy -7.928904 0.426948) + (xy -7.925884 0.359815) + (xy -7.913347 0.252444) + (xy -7.892056 0.150593) + (xy -7.86217 0.054514) + (xy -7.823847 -0.035545) + (xy -7.777242 -0.119333) + (xy -7.722515 -0.196599) + (xy -7.659823 -0.267092) + (xy -7.589322 -0.330564) + (xy -7.511171 -0.386763) + (xy -7.425528 -0.435438) + (xy -7.425478 -0.435463) + (xy -7.342067 -0.47287) + (xy -7.256613 -0.502149) + (xy -7.16772 -0.523608) + (xy -7.073992 -0.53755) + (xy -6.974032 -0.544283) + (xy -6.925045 -0.545043) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 51e7fe0d-0f2e-4101-9a27-d5f7f53ab37e)) + (fp_poly + (pts + (xy 5.321706 -0.380781) + (xy 5.321122 -0.340708) + (xy 5.32051 -0.309735) + (xy 5.319705 -0.286273) + (xy 5.31854 -0.268736) + (xy 5.316847 -0.255535) + (xy 5.31446 -0.245084) + (xy 5.311213 -0.235795) + (xy 5.306939 -0.226079) + (xy 5.305037 -0.222001) + (xy 5.283126 -0.183011) + (xy 5.257282 -0.152788) + (xy 5.2256 -0.129605) + (xy 5.186173 -0.111737) + (xy 5.181532 -0.110108) + (xy 5.175205 -0.108031) + (xy 5.168645 -0.106221) + (xy 5.161088 -0.104655) + (xy 5.151772 -0.103307) + (xy 5.139933 -0.102154) + (xy 5.124807 -0.101171) + (xy 5.10563 -0.100336) + (xy 5.08164 -0.099623) + (xy 5.052072 -0.099009) + (xy 5.016164 -0.09847) + (xy 4.973151 -0.097982) + (xy 4.92227 -0.09752) + (xy 4.862758 -0.097061) + (xy 4.793852 -0.096581) + (xy 4.740149 -0.096223) + (xy 4.331359 -0.09352) + (xy 4.284775 0.17878) + (xy 4.275835 0.231301) + (xy 4.267535 0.280566) + (xy 4.260036 0.325586) + (xy 4.2535 0.365369) + (xy 4.248087 0.398926) + (xy 4.243957 0.425268) + (xy 4.241272 0.443402) + (xy 4.240192 0.452341) + (xy 4.240223 0.453113) + (xy 4.245962 0.452943) + (xy 4.259893 0.450896) + (xy 4.279757 0.447338) + (xy 4.297469 0.44384) + (xy 4.373954 0.430825) + (xy 4.454554 0.421924) + (xy 4.535958 0.417311) + (xy 4.614855 0.417166) + (xy 4.687936 0.421663) + (xy 4.696245 0.422533) + (xy 4.791287 0.437669) + (xy 4.881217 0.461468) + (xy 4.965598 0.493637) + (xy 5.043991 0.53388) + (xy 5.115958 0.581905) + (xy 5.181062 0.637416) + (xy 5.238865 0.700121) + (xy 5.288929 0.769726) + (xy 5.330816 0.845935) + (xy 5.332641 0.849815) + (xy 5.365636 0.932713) + (xy 5.389813 1.020352) + (xy 5.405195 1.111471) + (xy 5.411808 1.204806) + (xy 5.409674 1.299094) + (xy 5.398817 1.393073) + (xy 5.379261 1.485479) + (xy 5.35103 1.575049) + (xy 5.317528 1.653632) + (xy 5.271174 1.737191) + (xy 5.216544 1.814287) + (xy 5.154101 1.884611) + (xy 5.084309 1.947854) + (xy 5.007631 2.003707) + (xy 4.924529 2.051859) + (xy 4.835467 2.092003) + (xy 4.740907 2.123827) + (xy 4.641314 2.147024) + (xy 4.561098 2.158855) + (xy 4.534919 2.160951) + (xy 4.501361 2.162411) + (xy 4.463076 2.163239) + (xy 4.42271 2.163439) + (xy 4.382913 2.163014) + (xy 4.346335 2.161968) + (xy 4.315623 2.160305) + (xy 4.296797 2.158498) + (xy 4.189488 2.139265) + (xy 4.084302 2.109751) + (xy 3.981955 2.070269) + (xy 3.883157 2.021132) + (xy 3.788624 1.962653) + (xy 3.701381 1.897057) + (xy 3.679419 1.878098) + (xy 3.664662 1.863457) + (xy 3.65776 1.853814) + (xy 3.657452 1.851099) + (xy 3.66155 1.84417) + (xy 3.670894 1.830174) + (xy 3.684385 1.810646) + (xy 3.700922 1.787117) + (xy 3.719405 1.761121) + (xy 3.738735 1.73419) + (xy 3.75781 1.707858) + (xy 3.77553 1.683658) + (xy 3.790796 1.663122) + (xy 3.802507 1.647784) + (xy 3.809512 1.639231) + (xy 3.83393 1.619525) + (xy 3.864368 1.605322) + (xy 3.896866 1.598306) + (xy 3.906837 1.597854) + (xy 3.926529 1.598766) + (xy 3.945112 1.602029) + (xy 3.964679 1.608436) + (xy 3.987325 1.61878) + (xy 4.015142 1.633855) + (xy 4.039671 1.648155) + (xy 4.113517 1.689818) + (xy 4.180877 1.723265) + (xy 4.241983 1.748599) + (xy 4.297062 1.76592) + (xy 4.317947 1.770727) + (xy 4.389053 1.780812) + (xy 4.462502 1.783137) + (xy 4.535215 1.77781) + (xy 4.604115 1.764935) + (xy 4.621176 1.760321) + (xy 4.686762 1.736174) + (xy 4.745629 1.703811) + (xy 4.797611 1.663461) + (xy 4.842541 1.615352) + (xy 4.880254 1.559713) + (xy 4.910582 1.496773) + (xy 4.933359 1.42676) + (xy 4.948418 1.349903) + (xy 4.953388 1.304334) + (xy 4.955625 1.225773) + (xy 4.949388 1.15266) + (xy 4.934831 1.085312) + (xy 4.912105 1.024048) + (xy 4.881366 0.969184) + (xy 4.842766 0.921038) + (xy 4.796458 0.879928) + (xy 4.742597 0.846172) + (xy 4.728775 0.8393) + (xy 4.666139 0.815066) + (xy 4.59673 0.798316) + (xy 4.521404 0.789056) + (xy 4.441022 0.787287) + (xy 4.356441 0.793013) + (xy 4.26852 0.806238) + (xy 4.178118 0.826965) + (xy 4.1427 0.836986) + (xy 4.077006 0.856555) + (xy 3.940285 0.817055) + (xy 3.903844 0.806342) + (xy 3.870897 0.796304) + (xy 3.842809 0.787384) + (xy 3.820945 0.780027) + (xy 3.80667 0.774677) + (xy 3.801361 0.771814) + (xy 3.801963 0.765912) + (xy 3.804268 0.750127) + (xy 3.808168 0.725107) + (xy 3.813556 0.691503) + (xy 3.820323 0.649964) + (xy 3.828362 0.60114) + (xy 3.837565 0.545679) + (xy 3.847825 0.484233) + (xy 3.859033 0.417451) + (xy 3.871083 0.345982) + (xy 3.883866 0.270476) + (xy 3.897275 0.191582) + (xy 3.907685 0.130532) + (xy 4.016212 -0.505007) + (xy 4.669839 -0.506365) + (xy 5.323466 -0.507722) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 7b702c9c-7b51-4880-b9e6-012624438f33)) + (fp_poly + (pts + (xy 6.454208 -0.500829) + (xy 6.547129 -0.500481) + (xy 6.630081 -0.50014) + (xy 6.703785 -0.499775) + (xy 6.768959 -0.499353) + (xy 6.826324 -0.498842) + (xy 6.876598 -0.498207) + (xy 6.920501 -0.497418) + (xy 6.958753 -0.49644) + (xy 6.992073 -0.495242) + (xy 7.021181 -0.493791) + (xy 7.046796 -0.492053) + (xy 7.069638 -0.489997) + (xy 7.090426 -0.48759) + (xy 7.10988 -0.484798) + (xy 7.12872 -0.48159) + (xy 7.147664 -0.477932) + (xy 7.167432 -0.473791) + (xy 7.188744 -0.469136) + (xy 7.198359 -0.467013) + (xy 7.294284 -0.441751) + (xy 7.381775 -0.410239) + (xy 7.460764 -0.372533) + (xy 7.531186 -0.328686) + (xy 7.592974 -0.278751) + (xy 7.646063 -0.222783) + (xy 7.690387 -0.160836) + (xy 7.725879 -0.092962) + (xy 7.752473 -0.019217) + (xy 7.753735 -0.014782) + (xy 7.766323 0.040276) + (xy 7.775113 0.100483) + (xy 7.779908 0.162571) + (xy 7.780512 0.223272) + (xy 7.776728 0.279315) + (xy 7.773011 0.305244) + (xy 7.754932 0.37835) + (xy 7.727172 0.447206) + (xy 7.689969 0.511547) + (xy 7.643558 0.571107) + (xy 7.588177 0.625622) + (xy 7.524062 0.674827) + (xy 7.451451 0.718456) + (xy 7.370579 0.756244) + (xy 7.370108 0.756437) + (xy 7.320082 0.776894) + (xy 7.365652 0.788991) + (xy 7.398921 0.7987) + (xy 7.436106 0.810981) + (xy 7.473841 0.824606) + (xy 7.508757 0.838347) + (xy 7.537485 0.850974) + (xy 7.543047 0.853683) + (xy 7.612463 0.893782) + (xy 7.673907 0.940531) + (xy 7.727071 0.993557) + (xy 7.771651 1.052484) + (xy 7.807339 1.116938) + (xy 7.833831 1.186545) + (xy 7.843058 1.221102) + (xy 7.847706 1.248862) + (xy 7.851002 1.284595) + (xy 7.852941 1.325673) + (xy 7.85352 1.369469) + (xy 7.852735 1.413354) + (xy 7.850584 1.454699) + (xy 7.847061 1.490875) + (xy 7.843459 1.513324) + (xy 7.820983 1.598954) + (xy 7.789372 1.679238) + (xy 7.748887 1.753923) + (xy 7.699789 1.822759) + (xy 7.64234 1.885494) + (xy 7.5768 1.941875) + (xy 7.503429 1.991652) + (xy 7.42249 2.034571) + (xy 7.334242 2.070382) + (xy 7.238947 2.098832) + (xy 7.235767 2.099623) + (xy 7.214567 2.104884) + (xy 7.19547 2.109582) + (xy 7.17778 2.113752) + (xy 7.160801 2.117427) + (xy 7.143839 2.120641) + (xy 7.126196 2.123427) + (xy 7.107178 2.125818) + (xy 7.08609 2.12785) + (xy 7.062234 2.129555) + (xy 7.034917 2.130966) + (xy 7.003441 2.132119) + (xy 6.967113 2.133046) + (xy 6.925235 2.133781) + (xy 6.877112 2.134357) + (xy 6.82205 2.134809) + (xy 6.759352 2.13517) + (xy 6.688322 2.135474) + (xy 6.608265 2.135755) + (xy 6.518485 2.136045) + (xy 6.480928 2.136167) + (xy 5.899769 2.138083) + (xy 5.899769 0.982387) + (xy 6.391416 0.982387) + (xy 6.391416 1.367609) + (xy 6.391416 1.75283) + (xy 6.655378 1.75283) + (xy 6.709969 1.752714) + (xy 6.763015 1.752383) + (xy 6.813202 1.75186) + (xy 6.859215 1.751167) + (xy 6.899738 1.750328) + (xy 6.933455 1.749366) + (xy 6.959053 1.748304) + (xy 6.974681 1.74722) + (xy 7.050772 1.735625) + (xy 7.118776 1.7171) + (xy 7.178666 1.691671) + (xy 7.230414 1.659364) + (xy 7.273993 1.620206) + (xy 7.309375 1.574221) + (xy 7.336533 1.521436) + (xy 7.355438 1.461876) + (xy 7.366063 1.395569) + (xy 7.367351 1.379078) + (xy 7.366979 1.319599) + (xy 7.358601 1.261956) + (xy 7.342732 1.207925) + (xy 7.31989 1.159278) + (xy 7.291727 1.119112) + (xy 7.255466 1.084278) + (xy 7.210135 1.05367) + (xy 7.15671 1.027868) + (xy 7.110183 1.011492) + (xy 7.093439 1.00661) + (xy 7.077329 1.002428) + (xy 7.060875 0.998881) + (xy 7.043101 0.995909) + (xy 7.023027 0.993448) + (xy 6.999676 0.991435) + (xy 6.972069 0.989808) + (xy 6.93923 0.988505) + (xy 6.90018 0.987463) + (xy 6.853941 0.98662) + (xy 6.799535 0.985913) + (xy 6.735984 0.985279) + (xy 6.694688 0.984921) + (xy 6.391416 0.982387) + (xy 5.899769 0.982387) + (xy 5.899769 0.8176) + (xy 5.899769 -0.123972) + (xy 6.391416 -0.123972) + (xy 6.391416 0.259204) + (xy 6.391416 0.642379) + (xy 6.646592 0.639699) + (xy 6.709707 0.638969) + (xy 6.76312 0.63819) + (xy 6.807816 0.637325) + (xy 6.84478 0.636337) + (xy 6.874998 0.635189) + (xy 6.899456 0.633844) + (xy 6.919138 0.632265) + (xy 6.935031 0.630416) + (xy 6.947191 0.628436) + (xy 7.012228 0.613812) + (xy 7.06818 0.596004) + (xy 7.116327 0.574433) + (xy 7.157951 0.548521) + (xy 7.194332 0.517688) + (xy 7.19613 0.515913) + (xy 7.22862 0.47865) + (xy 7.253268 0.438393) + (xy 7.271768 0.392173) + (xy 7.277093 0.37408) + (xy 7.281804 0.349154) + (xy 7.285002 0.316545) + (xy 7.286688 0.27915) + (xy 7.286865 0.239864) + (xy 7.285536 0.201586) + (xy 7.282702 0.167211) + (xy 7.278367 0.139636) + (xy 7.276903 0.1336) + (xy 7.259239 0.081253) + (xy 7.236023 0.036071) + (xy 7.206561 -0.002503) + (xy 7.170161 -0.035025) + (xy 7.126132 -0.062053) + (xy 7.07378 -0.084142) + (xy 7.012414 -0.10185) + (xy 6.973911 -0.110048) + (xy 6.9612 -0.112127) + (xy 6.945905 -0.113911) + (xy 6.927058 -0.115436) + (xy 6.903691 -0.116736) + (xy 6.874837 -0.117846) + (xy 6.839528 -0.118801) + (xy 6.796797 -0.119635) + (xy 6.745675 -0.120384) + (xy 6.685195 -0.121083) + (xy 6.659952 -0.121338) + (xy 6.391416 -0.123972) + (xy 5.899769 -0.123972) + (xy 5.899769 -0.502884) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 8c4f0640-59ce-42d3-8216-d4aa78021919)) + (fp_poly + (pts + (xy 0.481132 -0.524439) + (xy 0.576722 -0.511355) + (xy 0.666952 -0.489979) + (xy 0.751455 -0.460593) + (xy 0.829862 -0.423479) + (xy 0.901807 -0.378918) + (xy 0.966921 -0.327192) + (xy 1.024837 -0.268583) + (xy 1.075188 -0.203371) + (xy 1.117606 -0.131839) + (xy 1.151723 -0.054269) + (xy 1.177171 0.02906) + (xy 1.18965 0.090784) + (xy 1.193576 0.12357) + (xy 1.19623 0.163572) + (xy 1.197586 0.207542) + (xy 1.197616 0.252232) + (xy 1.196293 0.294394) + (xy 1.19359 0.33078) + (xy 1.191781 0.345326) + (xy 1.173863 0.433267) + (xy 1.145996 0.521235) + (xy 1.108009 0.609641) + (xy 1.059734 0.698897) + (xy 1.02012 0.761519) + (xy 1.00365 0.785741) + (xy 0.987039 0.809272) + (xy 0.969752 0.832706) + (xy 0.951256 0.856638) + (xy 0.931015 0.881662) + (xy 0.908497 0.908374) + (xy 0.883166 0.937367) + (xy 0.854488 0.969236) + (xy 0.82193 1.004575) + (xy 0.784958 1.04398) + (xy 0.743036 1.088045) + (xy 0.695632 1.137364) + (xy 0.64221 1.192532) + (xy 0.582237 1.254144) + (xy 0.523712 1.314068) + (xy 0.479763 1.359016) + (xy 0.43454 1.40527) + (xy 0.389237 1.451609) + (xy 0.345049 1.496811) + (xy 0.303169 1.539652) + (xy 0.264794 1.578912) + (xy 0.231117 1.613368) + (xy 0.203334 1.641798) + (xy 0.195842 1.649466) + (xy 0.167984 1.678072) + (xy 0.142864 1.704051) + (xy 0.121367 1.726471) + (xy 0.104383 1.744398) + (xy 0.092799 1.756899) + (xy 0.087502 1.763042) + (xy 0.087303 1.763518) + (xy 0.093311 1.762319) + (xy 0.107621 1.759047) + (xy 0.128155 1.754186) + (xy 0.152837 1.748222) + (xy 0.155463 1.747581) + (xy 0.179408 1.741803) + (xy 0.201359 1.736762) + (xy 0.22221 1.732407) + (xy 0.242854 1.728688) + (xy 0.264184 1.725555) + (xy 0.287094 1.722959) + (xy 0.312477 1.720848) + (xy 0.341225 1.719174) + (xy 0.374232 1.717885) + (xy 0.41239 1.716932) + (xy 0.456594 1.716265) + (xy 0.507736 1.715833) + (xy 0.566708 1.715587) + (xy 0.634406 1.715476) + (xy 0.71172 1.715451) + (xy 0.728303 1.715452) + (xy 0.810038 1.715535) + (xy 0.883504 1.715768) + (xy 0.948388 1.716147) + (xy 1.004378 1.716668) + (xy 1.051162 1.717327) + (xy 1.088426 1.71812) + (xy 1.115859 1.719042) + (xy 1.133147 1.720089) + (xy 1.139218 1.72094) + (xy 1.173022 1.735659) + (xy 1.202172 1.758914) + (xy 1.224364 1.788812) + (xy 1.226326 1.792512) + (xy 1.242479 1.824179) + (xy 1.244177 1.980888) + (xy 1.245875 2.137597) + (xy 0.337034 2.137597) + (xy -0.571807 2.137597) + (xy -0.571767 2.061445) + (xy -0.571121 2.015675) + (xy -0.569002 1.97835) + (xy -0.565055 1.947286) + (xy -0.558925 1.920298) + (xy -0.550257 1.895201) + (xy -0.541605 1.875742) + (xy -0.538478 1.869464) + (xy -0.534982 1.86315) + (xy -0.530699 1.856361) + (xy -0.525208 1.848656) + (xy -0.518092 1.839597) + (xy -0.50893 1.828743) + (xy -0.497303 1.815655) + (xy -0.482792 1.799894) + (xy -0.464977 1.781019) + (xy -0.44344 1.758592) + (xy -0.417761 1.732173) + (xy -0.387521 1.701322) + (xy -0.352301 1.665599) + (xy -0.311681 1.624566) + (xy -0.265242 1.577782) + (xy -0.212564 1.524808) + (xy -0.15323 1.465204) + (xy -0.086818 1.398531) + (xy -0.077759 1.389438) + (xy 0.00072 1.310626) + (xy 0.072037 1.238904) + (xy 0.136609 1.173824) + (xy 0.194852 1.114939) + (xy 0.247183 1.061803) + (xy 0.294019 1.013967) + (xy 0.335775 0.970985) + (xy 0.372868 0.932409) + (xy 0.405714 0.897793) + (xy 0.43473 0.866688) + (xy 0.460333 0.838648) + (xy 0.482939 0.813226) + (xy 0.502963 0.789974) + (xy 0.520824 0.768444) + (xy 0.536936 0.748191) + (xy 0.551718 0.728765) + (xy 0.565584 0.709722) + (xy 0.578951 0.690612) + (xy 0.592237 0.670989) + (xy 0.594817 0.667119) + (xy 0.616684 0.631851) + (xy 0.63947 0.590948) + (xy 0.661408 0.547894) + (xy 0.680729 0.50617) + (xy 0.695667 0.469259) + (xy 0.696777 0.466176) + (xy 0.714059 0.407599) + (xy 0.726167 0.345038) + (xy 0.732904 0.281182) + (xy 0.734073 0.218715) + (xy 0.729476 0.160324) + (xy 0.722163 0.120973) + (xy 0.703347 0.063274) + (xy 0.676555 0.011034) + (xy 0.642518 -0.034695) + (xy 0.601967 -0.072861) + (xy 0.574127 -0.092111) + (xy 0.523335 -0.11714) + (xy 0.466565 -0.134857) + (xy 0.405726 -0.145167) + (xy 0.342725 -0.147974) + (xy 0.279468 -0.143183) + (xy 0.217862 -0.130698) + (xy 0.164929 -0.112605) + (xy 0.112802 -0.085122) + (xy 0.065041 -0.048541) + (xy 0.022505 -0.00386) + (xy -0.013943 0.047922) + (xy -0.043445 0.105806) + (xy -0.058722 0.14696) + (xy -0.076658 0.192191) + (xy -0.098724 0.227792) + (xy -0.125458 0.254198) + (xy -0.157399 0.271845) + (xy -0.195084 0.281167) + (xy -0.226753 0.282966) + (xy -0.241182 0.281966) + (xy -0.264208 0.279304) + (xy -0.293915 0.27525) + (xy -0.328389 0.270074) + (xy -0.365716 0.264048) + (xy -0.390111 0.259887) + (xy -0.521039 0.237075) + (xy -0.519298 0.210721) + (xy -0.516672 0.190894) + (xy -0.511255 0.163562) + (xy -0.503725 0.131329) + (xy -0.494761 0.0968) + (xy -0.485042 0.062578) + (xy -0.475244 0.031268) + (xy -0.466047 0.005475) + (xy -0.465425 0.003896) + (xy -0.425979 -0.082021) + (xy -0.378604 -0.161755) + (xy -0.323824 -0.234726) + (xy -0.262164 -0.300353) + (xy -0.194151 -0.358058) + (xy -0.120308 -0.407261) + (xy -0.067505 -0.43534) + (xy 0.014929 -0.470194) + (xy 0.100489 -0.496733) + (xy 0.190355 -0.515204) + (xy 0.285707 -0.525852) + (xy 0.380549 -0.528951) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 28e778e7-64d9-4883-877a-f2a8170033d5)) + (fp_poly + (pts + (xy 1.408131 -2.544005) + (xy 1.433843 -2.543135) + (xy 1.454561 -2.54175) + (xy 1.468469 -2.539843) + (xy 1.47375 -2.537409) + (xy 1.473711 -2.537061) + (xy 1.471862 -2.531219) + (xy 1.467037 -2.515781) + (xy 1.459428 -2.491359) + (xy 1.449224 -2.458566) + (xy 1.436616 -2.418013) + (xy 1.421794 -2.370314) + (xy 1.404948 -2.316079) + (xy 1.386268 -2.255923) + (xy 1.365945 -2.190456) + (xy 1.344168 -2.120292) + (xy 1.321127 -2.046043) + (xy 1.297014 -1.968321) + (xy 1.272018 -1.887738) + (xy 1.268731 -1.87714) + (xy 1.066127 -1.223899) + (xy 0.952835 -1.223837) + (xy 0.839542 -1.223774) + (xy 0.820192 -1.283894) + (xy 0.815444 -1.298601) + (xy 0.807693 -1.322545) + (xy 0.797241 -1.354799) + (xy 0.784388 -1.394437) + (xy 0.769436 -1.440531) + (xy 0.752685 -1.492155) + (xy 0.734435 -1.54838) + (xy 0.714988 -1.60828) + (xy 0.694645 -1.670929) + (xy 0.673706 -1.735397) + (xy 0.670647 -1.744814) + (xy 0.650077 -1.808192) + (xy 0.63039 -1.868947) + (xy 0.61185 -1.926266) + (xy 0.594716 -1.979334) + (xy 0.579252 -2.027339) + (xy 0.565719 -2.069466) + (xy 0.554378 -2.104902) + (xy 0.545492 -2.132833) + (xy 0.539322 -2.152445) + (xy 0.536129 -2.162924) + (xy 0.535814 -2.16407) + (xy 0.533633 -2.171745) + (xy 0.531502 -2.174531) + (xy 0.52871 -2.171256) + (xy 0.524544 -2.160748) + (xy 0.518293 -2.141833) + (xy 0.513864 -2.127917) + (xy 0.509508 -2.11436) + (xy 0.502102 -2.091528) + (xy 0.491932 -2.060302) + (xy 0.479287 -2.021559) + (xy 0.464454 -1.97618) + (xy 0.447721 -1.925042) + (xy 0.429375 -1.869026) + (xy 0.409704 -1.809011) + (xy 0.388995 -1.745874) + (xy 0.367537 -1.680497) + (xy 0.357828 -1.650933) + (xy 0.336629 -1.586359) + (xy 0.316417 -1.524738) + (xy 0.297437 -1.466819) + (xy 0.279935 -1.413355) + (xy 0.264155 -1.365095) + (xy 0.250343 -1.32279) + (xy 0.238745 -1.287191) + (xy 0.229605 -1.259049) + (xy 0.223168 -1.239114) + (xy 0.21968 -1.228138) + (xy 0.219104 -1.226167) + (xy 0.214019 -1.22546) + (xy 0.199797 -1.224837) + (xy 0.177992 -1.224328) + (xy 0.150154 -1.223968) + (xy 0.117837 -1.223789) + (xy 0.105524 -1.223774) + (xy -0.008055 -1.223774) + (xy -0.211107 -1.876412) + (xy -0.236264 -1.957283) + (xy -0.26055 -2.035381) + (xy -0.283775 -2.110095) + (xy -0.305749 -2.180812) + (xy -0.326282 -2.246918) + (xy -0.345184 -2.3078) + (xy -0.362264 -2.362845) + (xy -0.377332 -2.411439) + (xy -0.390198 -2.452971) + (xy -0.400672 -2.486826) + (xy -0.408563 -2.512392) + (xy -0.413681 -2.529055) + (xy -0.415836 -2.536203) + (xy -0.415887 -2.536396) + (xy -0.414837 -2.538829) + (xy -0.409619 -2.540679) + (xy -0.399073 -2.542018) + (xy -0.382038 -2.542917) + (xy -0.357353 -2.54345) + (xy -0.323858 -2.543689) + (xy -0.298319 -2.543721) + (xy -0.259138 -2.543645) + (xy -0.22914 -2.543354) + (xy -0.206824 -2.542735) + (xy -0.190685 -2.541672) + (xy -0.17922 -2.540049) + (xy -0.170925 -2.537752) + (xy -0.164298 -2.534666) + (xy -0.161946 -2.533288) + (xy -0.148062 -2.522799) + (xy -0.137408 -2.511388) + (xy -0.136872 -2.510596) + (xy -0.134105 -2.503472) + (xy -0.128752 -2.486976) + (xy -0.121076 -2.462037) + (xy -0.111342 -2.429586) + (xy -0.099812 -2.390551) + (xy -0.086752 -2.345861) + (xy -0.072423 -2.296446) + (xy -0.057092 -2.243236) + (xy -0.04102 -2.187159) + (xy -0.024471 -2.129144) + (xy -0.007711 -2.070122) + (xy 0.008999 -2.011022) + (xy 0.025394 -1.952772) + (xy 0.04121 -1.896302) + (xy 0.056184 -1.842542) + (xy 0.070051 -1.79242) + (xy 0.082549 -1.746867) + (xy 0.093413 -1.70681) + (xy 0.10238 -1.673181) + (xy 0.109186 -1.646908) + (xy 0.113567 -1.628919) + (xy 0.11435 -1.625368) + (xy 0.126046 -1.570051) + (xy 0.13575 -1.612008) + (xy 0.13907 -1.624275) + (xy 0.14534 -1.645373) + (xy 0.15426 -1.67438) + (xy 0.165529 -1.710378) + (xy 0.178846 -1.752443) + (xy 0.193911 -1.799657) + (xy 0.210423 -1.851099) + (xy 0.228081 -1.905848) + (xy 0.246585 -1.962983) + (xy 0.265634 -2.021584) + (xy 0.284928 -2.08073) + (xy 0.304165 -2.139501) + (xy 0.323046 -2.196976) + (xy 0.341269 -2.252234) + (xy 0.358534 -2.304355) + (xy 0.374541 -2.352419) + (xy 0.388988 -2.395503) + (xy 0.401575 -2.432689) + (xy 0.412001 -2.463056) + (xy 0.419966 -2.485682) + (xy 0.42517 -2.499647) + (xy 0.426927 -2.503643) + (xy 0.437774 -2.517556) + (xy 0.45216 -2.530422) + (xy 0.453983 -2.531699) + (xy 0.4627 -2.536894) + (xy 0.472079 -2.540347) + (xy 0.484474 -2.542407) + (xy 0.502243 -2.543419) + (xy 0.527743 -2.543731) + (xy 0.535718 -2.543741) + (xy 0.57103 -2.542983) + (xy 0.597529 -2.54034) + (xy 0.617009 -2.53526) + (xy 0.631262 -2.527189) + (xy 0.64208 -2.515576) + (xy 0.645873 -2.509772) + (xy 0.648927 -2.502378) + (xy 0.654939 -2.485714) + (xy 0.66361 -2.460693) + (xy 0.674645 -2.428224) + (xy 0.687747 -2.389219) + (xy 0.702618 -2.34459) + (xy 0.718961 -2.295247) + (xy 0.736481 -2.242103) + (xy 0.75488 -2.186067) + (xy 0.773862 -2.128051) + (xy 0.793129 -2.068967) + (xy 0.812385 -2.009726) + (xy 0.831333 -1.951238) + (xy 0.849676 -1.894416) + (xy 0.867118 -1.84017) + (xy 0.883361 -1.789411) + (xy 0.898109 -1.743051) + (xy 0.911065 -1.702002) + (xy 0.921932 -1.667173) + (xy 0.930414 -1.639477) + (xy 0.936213 -1.619824) + (xy 0.938524 -1.611316) + (xy 0.947806 -1.57401) + (xy 0.959631 -1.630423) + (xy 0.96297 -1.644536) + (xy 0.968992 -1.668006) + (xy 0.977444 -1.699913) + (xy 0.988075 -1.739334) + (xy 1.000631 -1.785351) + (xy 1.014859 -1.837042) + (xy 1.030508 -1.893487) + (xy 1.047325 -1.953766) + (xy 1.065057 -2.016958) + (xy 1.083451 -2.082142) + (xy 1.087124 -2.095114) + (xy 1.108603 -2.170906) + (xy 1.127403 -2.237097) + (xy 1.143762 -2.294367) + (xy 1.157917 -2.343397) + (xy 1.170106 -2.38487) + (xy 1.180566 -2.419464) + (xy 1.189534 -2.447862) + (xy 1.197247 -2.470745) + (xy 1.203944 -2.488793) + (xy 1.209861 -2.502687) + (xy 1.215236 -2.513109) + (xy 1.220306 -2.52074) + (xy 1.225309 -2.52626) + (xy 1.230481 -2.53035) + (xy 1.236061 -2.533692) + (xy 1.240877 -2.536233) + (xy 1.250692 -2.538783) + (xy 1.268227 -2.540865) + (xy 1.291666 -2.542471) + (xy 1.319192 -2.543594) + (xy 1.348989 -2.544228) + (xy 1.37924 -2.544368) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 0f4bdc9f-4d0b-4e72-9f3e-844773f7d469)) + (fp_poly + (pts + (xy -3.305069 -0.509015) + (xy -3.306975 -0.502466) + (xy -3.311892 -0.486219) + (xy -3.319662 -0.460788) + (xy -3.330129 -0.426681) + (xy -3.343133 -0.384411) + (xy -3.358518 -0.334487) + (xy -3.376125 -0.277422) + (xy -3.395796 -0.213725) + (xy -3.417375 -0.143907) + (xy -3.440702 -0.06848) + (xy -3.46562 0.012046) + (xy -3.491972 0.097159) + (xy -3.519599 0.18635) + (xy -3.548344 0.279107) + (xy -3.578048 0.374919) + (xy -3.603478 0.456911) + (xy -3.898556 1.408142) + (xy -4.063489 1.408142) + (xy -4.228422 1.408142) + (xy -4.446479 0.735709) + (xy -4.473167 0.653369) + (xy -4.499018 0.57353) + (xy -4.523833 0.496814) + (xy -4.54741 0.423844) + (xy -4.56955 0.355243) + (xy -4.590051 0.291634) + (xy -4.608714 0.233639) + (xy -4.625339 0.181882) + (xy -4.639724 0.136985) + (xy -4.651669 0.099571) + (xy -4.660975 0.070262) + (xy -4.667441 0.049683) + (xy -4.670866 0.038455) + (xy -4.671275 0.036982) + (xy -4.675639 0.022044) + (xy -4.679449 0.012528) + (xy -4.681012 0.010708) + (xy -4.683659 0.015504) + (xy -4.688418 0.028427) + (xy -4.694533 0.047311) + (xy -4.699186 0.062812) + (xy -4.702745 0.074391) + (xy -4.709422 0.095455) + (xy -4.719 0.125336) + (xy -4.731262 0.163365) + (xy -4.74599 0.208874) + (xy -4.762966 0.261193) + (xy -4.781974 0.319654) + (xy -4.802796 0.383589) + (xy -4.825214 0.452328) + (xy -4.849012 0.525203) + (xy -4.873971 0.601545) + (xy -4.899875 0.680686) + (xy -4.926361 0.761519) + (xy -5.13836 1.408142) + (xy -5.30277 1.409556) + (xy -5.351154 1.40988) + (xy -5.389785 1.409914) + (xy -5.419596 1.409626) + (xy -5.441519 1.408987) + (xy -5.456486 1.407966) + (xy -5.465431 1.406535) + (xy -5.469284 1.404663) + (xy -5.469534 1.404212) + (xy -5.471671 1.397518) + (xy -5.476748 1.381315) + (xy -5.484576 1.356211) + (xy -5.494967 1.322812) + (xy -5.507734 1.281728) + (xy -5.522687 1.233567) + (xy -5.539639 1.178937) + (xy -5.5584 1.118446) + (xy -5.578784 1.052701) + (xy -5.600602 0.982312) + (xy -5.623665 0.907887) + (xy -5.647785 0.830033) + (xy -5.672775 0.749358) + (xy -5.698445 0.666472) + (xy -5.724607 0.581981) + (xy -5.751074 0.496495) + (xy -5.777657 0.410621) + (xy -5.804168 0.324967) + (xy -5.830418 0.240142) + (xy -5.856219 0.156753) + (xy -5.881383 0.075409) + (xy -5.905723 -0.003282) + (xy -5.929048 -0.078711) + (xy -5.951172 -0.150272) + (xy -5.971906 -0.217355) + (xy -5.991062 -0.279352) + (xy -6.008451 -0.335656) + (xy -6.023886 -0.385658) + (xy -6.037177 -0.428751) + (xy -6.048138 -0.464325) + (xy -6.056579 -0.491772) + (xy -6.062312 -0.510486) + (xy -6.065149 -0.519857) + (xy -6.065432 -0.520861) + (xy -6.0603 -0.521553) + (xy -6.045749 -0.522176) + (xy -6.02305 -0.522711) + (xy -5.993473 -0.523138) + (xy -5.958286 -0.523436) + (xy -5.91876 -0.523584) + (xy -5.89576 -0.523594) + (xy -5.844775 -0.523496) + (xy -5.803271 -0.523142) + (xy -5.770043 -0.522328) + (xy -5.743886 -0.520848) + (xy -5.723594 -0.518498) + (xy -5.70796 -0.515073) + (xy -5.695778 -0.510368) + (xy -5.685844 -0.504177) + (xy -5.676951 -0.496297) + (xy -5.667893 -0.486522) + (xy -5.666181 -0.484579) + (xy -5.663498 -0.481748) + (xy -5.661192 -0.479554) + (xy -5.659096 -0.477448) + (xy -5.657045 -0.47488) + (xy -5.654872 -0.4713) + (xy -5.652412 -0.466157) + (xy -5.649496 -0.458902) + (xy -5.645961 -0.448985) + (xy -5.641639 -0.435855) + (xy -5.636364 -0.418962) + (xy -5.62997 -0.397757) + (xy -5.622291 -0.371689) + (xy -5.61316 -0.340208) + (xy -5.602411 -0.302764) + (xy -5.589879 -0.258808) + (xy -5.575396 -0.207788) + (xy -5.558797 -0.149155) + (xy -5.539916 -0.08236) + (xy -5.518585 -0.006851) + (xy -5.49464 0.077921) + (xy -5.472113 0.157648) + (xy -5.445781 0.250838) + (xy -5.422197 0.334365) + (xy -5.401192 0.408851) + (xy -5.382597 0.474917) + (xy -5.366246 0.533182) + (xy -5.351969 0.584267) + (xy -5.339599 0.628794) + (xy -5.328968 0.667382) + (xy -5.319907 0.700653) + (xy -5.312249 0.729226) + (xy -5.305826 0.753723) + (xy -5.300469 0.774764) + (xy -5.296011 0.792971) + (xy -5.292283 0.808962) + (xy -5.289117 0.82336) + (xy -5.286346 0.836785) + (xy -5.283801 0.849857) + (xy -5.281832 0.860383) + (xy -5.27773 0.880628) + (xy -5.274124 0.894841) + (xy -5.271489 0.901344) + (xy -5.270485 0.900463) + (xy -5.26729 0.884801) + (xy -5.262851 0.865916) + (xy -5.257007 0.843293) + (xy -5.2496 0.816417) + (xy -5.240469 0.784774) + (xy -5.229457 0.747848) + (xy -5.216402 0.705125) + (xy -5.201146 0.65609) + (xy -5.183529 0.600227) + (xy -5.163392 0.537023) + (xy -5.140575 0.465962) + (xy -5.114918 0.386529) + (xy -5.086264 0.298209) + (xy -5.054451 0.200488) + (xy -5.039782 0.155516) + (xy -5.00899 0.061337) + (xy -4.980186 -0.026401) + (xy -4.95349 -0.107341) + (xy -4.92902 -0.18113) + (xy -4.906898 -0.247413) + (xy -4.887241 -0.305836) + (xy -4.870171 -0.356045) + (xy -4.855805 -0.397685) + (xy -4.844265 -0.430402) + (xy -4.835669 -0.453842) + (xy -4.830136 -0.46765) + (xy -4.828436 -0.471021) + (xy -4.815122 -0.487014) + (xy -4.798202 -0.502259) + (xy -4.792594 -0.506298) + (xy -4.784104 -0.511684) + (xy -4.77613 -0.515604) + (xy -4.766795 -0.518331) + (xy -4.754225 -0.520137) + (xy -4.736541 -0.521296) + (xy -4.711868 -0.522079) + (xy -4.679467 -0.522739) + (xy -4.639083 -0.523122) + (xy -4.607619 -0.522285) + (xy -4.583335 -0.519796) + (xy -4.56449 -0.515226) + (xy -4.549346 -0.508144) + (xy -4.536163 -0.498118) + (xy -4.523201 -0.484719) + (xy -4.522452 -0.483867) + (xy -4.519321 -0.480028) + (xy -4.516119 -0.475358) + (xy -4.512657 -0.46931) + (xy -4.508747 -0.461335) + (xy -4.504202 -0.450884) + (xy -4.498833 -0.43741) + (xy -4.492453 -0.420364) + (xy -4.484873 -0.399198) + (xy -4.475906 -0.373363) + (xy -4.465363 -0.342311) + (xy -4.453057 -0.305495) + (xy -4.4388 -0.262364) + (xy -4.422403 -0.212372) + (xy -4.403679 -0.15497) + (xy -4.38244 -0.08961) + (xy -4.358497 -0.015743) + (xy -4.331663 0.067179) + (xy -4.301751 0.159704) + (xy -4.300463 0.163688) + (xy -4.274654 0.243641) + (xy -4.249586 0.321487) + (xy -4.225476 0.39654) + (xy -4.202542 0.468115) + (xy -4.181002 0.535525) + (xy -4.161074 0.598087) + (xy -4.142975 0.655114) + (xy -4.126922 0.705921) + (xy -4.113134 0.749823) + (xy -4.101828 0.786134) + (xy -4.093221 0.814169) + (xy -4.087532 0.833242) + (xy -4.085298 0.841303) + (xy -4.078084 0.869182) + (xy -4.072853 0.88717) + (xy -4.069375 0.895836) + (xy -4.067417 0.895744) + (xy -4.066748 0.887462) + (xy -4.066744 0.886658) + (xy -4.06598 0.87721) + (xy -4.063654 0.862881) + (xy -4.059657 0.843264) + (xy -4.053883 0.817956) + (xy -4.046224 0.786549) + (xy -4.03657 0.748638) + (xy -4.024815 0.703818) + (xy -4.010851 0.651683) + (xy -3.994569 0.591827) + (xy -3.975863 0.523844) + (xy -3.954623 0.44733) + (xy -3.930742 0.361878) + (xy -3.904112 0.267082) + (xy -3.874626 0.162538) + (xy -3.866447 0.1336) + (xy -3.844728 0.056885) + (xy -3.823705 -0.017169) + (xy -3.803574 -0.087886) + (xy -3.784529 -0.154591) + (xy -3.766764 -0.216608) + (xy -3.750476 -0.273263) + (xy -3.735857 -0.32388) + (xy -3.723104 -0.367783) + (xy -3.71241 -0.404297) + (xy -3.70397 -0.432748) + (xy -3.69798 -0.452459) + (xy -3.694633 -0.462756) + (xy -3.69418 -0.463893) + (xy -3.684005 -0.479337) + (xy -3.670338 -0.493358) + (xy -3.668947 -0.494456) + (xy -3.65799 -0.502519) + (xy -3.647672 -0.508947) + (xy -3.636657 -0.513925) + (xy -3.623607 -0.517639) + (xy -3.607185 -0.520272) + (xy -3.586052 -0.52201) + (xy -3.558873 -0.523037) + (xy -3.524308 -0.523539) + (xy -3.481022 -0.523701) + (xy -3.45731 -0.523711) + (xy -3.301738 -0.523711) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.SilkS") (tstamp 40edaf38-3024-4006-a923-998eb24d34f5)) + ) + + (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (layer "B.Cu") + (tstamp aafbf60f-55c5-405f-b95d-cf9eec02ec3c) + (at 69.0245 120.904 -90) + (descr "Tag-Connect programming header; http://www.tag-connect.com/Materials/TC2050-IDC-430%20Datasheet.pdf") + (tags "tag connect programming header pogo pins") + (property "Sheetfile" "GR8RAM.kicad_sch") + (property "Sheetname" "") + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)") + (property "ki_keywords" "connector") + (path "/00000000-0000-0000-0000-00005e4199b1") + (attr exclude_from_pos_files) + (fp_text reference "J2" (at 0 -5 90) (layer "B.SilkS") hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 19db510e-f648-42f7-b4d4-1ddb5a837d42) + ) + (fp_text value "JTAG" (at 0 4.8 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp 68116745-0a1c-46d1-b64a-44616a010db7) + ) + (fp_text user "KEEPOUT" (at 0 0 90) (layer "Cmts.User") + (effects (font (size 0.4 0.4) (thickness 0.07))) + (tstamp ac0f5d96-be47-4b88-992d-76ae9f6d0b45) + ) + (fp_text user "${REFERENCE}" (at 0 0 90) (layer "B.Fab") + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + (tstamp dd471340-b994-4070-8066-88ab7b53111a) + ) + (fp_line (start -3.175 -1.27) (end -3.175 -0.635) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp b8508cbb-e2a7-4437-977d-043c62c0b75e)) + (fp_line (start -2.54 -1.27) (end -3.175 -1.27) + (stroke (width 0.12) (type solid)) (layer "B.SilkS") (tstamp a08d6ab8-ffa7-413c-a95f-0b556d3864f6)) + (fp_line (start -5.5 -4.25) (end -5.5 4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 67a6bbc8-82e6-48ec-9c7f-4f9c9559c080)) + (fp_line (start -5.5 4.25) (end 4.75 4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp c424dd56-b5ca-46a9-a1c1-43a68dcf3b69)) + (fp_line (start 4.75 -4.25) (end -5.5 -4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 609e4151-0fe8-4bc5-9a70-7b32885581d0)) + (fp_line (start 4.75 4.25) (end 4.75 -4.25) + (stroke (width 0.05) (type solid)) (layer "B.CrtYd") (tstamp 9ed4f18a-0a6a-42c2-b6ff-fd2941818e92)) + (pad "" np_thru_hole circle (at -3.81 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp e205c9a9-81d1-40b9-be92-df42984390f8)) + (pad "" np_thru_hole circle (at -3.81 0 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 7a31f67e-efe8-473c-9ca7-acb7a96a7995)) + (pad "" np_thru_hole circle (at -3.81 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp ceb81d8c-6fb5-4ca5-86c7-e78da490b37b)) + (pad "" np_thru_hole circle (at 1.905 -2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 715d5487-b5dd-4e68-8e86-e2e30e1c0b35)) + (pad "" np_thru_hole circle (at 1.905 2.54 270) (size 2.3749 2.3749) (drill 2.3749) (layers "*.Cu" "*.Mask") (tstamp 44fa0cb8-e0fb-40e1-b5b1-f29316fb1fa1)) + (pad "" np_thru_hole circle (at 3.81 -1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp f56ed743-4cb4-4209-a8a7-f6de61d060ce)) + (pad "" np_thru_hole circle (at 3.81 1.016 270) (size 0.9906 0.9906) (drill 0.9906) (layers "*.Cu" "*.Mask") (tstamp 77674813-9ba3-4e4a-9b5c-2ea087f421f2)) + (pad "1" connect circle (at -2.54 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 154 "Net-(J2-Pin_1)") (pinfunction "Pin_1") (pintype "passive") (tstamp 268ba742-d0da-485b-9f5a-9884773a89b4)) + (pad "2" connect circle (at -1.27 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 2 "GND") (pinfunction "Pin_2") (pintype "passive") (tstamp 21a904ff-4193-4cd8-8392-b2dffe97562a)) + (pad "3" connect circle (at 0 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 47 "/TDO") (pinfunction "Pin_3") (pintype "passive") (tstamp fb46eb02-6abf-4903-94b6-0b9bd59a2b11)) + (pad "4" connect circle (at 1.27 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 70 "+3V3") (pinfunction "Pin_4") (pintype "passive") (tstamp db41d605-6ed8-422d-9800-18ff96bda888)) + (pad "5" connect circle (at 2.54 -0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 48 "/TMS") (pinfunction "Pin_5") (pintype "passive") (tstamp b704ffcd-9188-4c43-94f2-4baafe5c966f)) + (pad "6" connect circle (at 2.54 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 127 "unconnected-(J2-Pin_6-Pad6)") (pinfunction "Pin_6") (pintype "passive+no_connect") (tstamp bbe0d39c-d63a-4a08-a7d5-1fc8dbc7d88b)) + (pad "7" connect circle (at 1.27 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 128 "unconnected-(J2-Pin_7-Pad7)") (pinfunction "Pin_7") (pintype "passive+no_connect") (tstamp 2d1f4477-f190-4413-8f6b-f7d14e6df755)) + (pad "8" connect circle (at 0 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 129 "unconnected-(J2-Pin_8-Pad8)") (pinfunction "Pin_8") (pintype "passive+no_connect") (tstamp 391bf667-7dbc-4ed8-8779-9baa6e685995)) + (pad "9" connect circle (at -1.27 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 49 "/TDI") (pinfunction "Pin_9") (pintype "passive") (tstamp 659e255c-92d7-4475-aeeb-5b687b9ebf06)) + (pad "10" connect circle (at -2.54 0.635 270) (size 0.7874 0.7874) (layers "B.Cu" "B.Mask") + (net 2 "GND") (pinfunction "Pin_10") (pintype "passive") (tstamp c9249315-cd6c-4628-8368-78b2e73922d9)) + (zone (net 0) (net_name "") (layer "B.Cu") (tstamp a030150f-81fc-4aa1-8a67-d760ad4804e4) (hatch full 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias not_allowed) (pads allowed) (copperpour not_allowed) (footprints not_allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 69.6595 118.364) + (xy 69.6595 123.444) + (xy 68.3895 123.444) + (xy 68.3895 118.364) + ) + ) + ) + ) + + (gr_line (start 129.032 110.49) (end 129.032 108.712) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 1dc77f56-39c6-4931-9914-62fb66184291)) + (gr_line (start 129.032 107.95) (end 129.032 106.172) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 34aec3a1-6b0e-4381-85f9-6af4a8fd37e7)) + (gr_line (start 130.23855 104.8385) (end 130.23865 104.8385) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp 38eab40c-ea27-43d7-b1a0-5fa0f337c330)) + (gr_line (start 130.81 105.41) (end 130.81 103.632) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 3acf5d2d-a416-40a2-b3c2-8217e6bce041)) + (gr_line (start 130.81 108.712) (end 129.032 108.712) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 61759c6d-eba3-4063-b2e3-15abd199a63e)) + (gr_line (start 130.23855 109.9185) (end 130.23865 109.9185) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp 649aa8ca-c478-4df6-b044-a6cc874ff055)) + (gr_line (start 129.6034 109.2835) (end 129.6035 109.2835) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp 7438480c-ba29-4e89-a0f2-263fee18c33d)) + (gr_line (start 130.81 107.95) (end 130.81 106.172) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 7c132e43-c278-4142-ae32-f09f610fc832)) + (gr_line (start 129.032 107.95) (end 130.81 107.95) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 8606c132-234b-41f8-ba2a-4f697da57d5f)) + (gr_line (start 129.032 105.41) (end 129.032 103.632) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 87c3266d-30b5-4786-9bbb-198813a408ae)) + (gr_line (start 130.81 103.632) (end 129.032 103.632) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp 970cf7cc-2e8b-44c7-81c4-c3d49ead943b)) + (gr_line (start 130.2384 106.7435) (end 130.2385 106.7435) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp a1f1ce40-05dd-4745-bbc3-6669ebcd3eb6)) + (gr_line (start 130.81 106.172) (end 129.032 106.172) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp ad501fd2-cf5c-4797-90aa-6060987320b5)) + (gr_line (start 129.032 110.49) (end 130.81 110.49) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp bdc48064-b034-4169-afe0-2cf30335eaa0)) + (gr_line (start 129.032 105.41) (end 130.81 105.41) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp c2932a44-b444-40d7-a586-8184751e4bb9)) + (gr_line (start 130.81 110.49) (end 130.81 108.712) + (stroke (width 0.2032) (type solid)) (layer "F.SilkS") (tstamp d9c3748c-5d96-4892-9850-754c1d839426)) + (gr_line (start 129.6034 104.8385) (end 129.6035 104.8385) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp e38b81a3-ecd8-4af6-b4dc-e016612f23b3)) + (gr_line (start 129.6034 107.3785) (end 129.6035 107.3785) + (stroke (width 0.35) (type solid)) (layer "F.SilkS") (tstamp e6a2d9ac-be88-4042-8626-10fda35934b6)) + (gr_poly + (pts + (xy 139.7 139.446) + (xy 139.192 139.954) + (xy 74.168 139.954) + (xy 73.66 139.446) + (xy 73.66 132.08) + (xy 139.7 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "B.Mask") (tstamp b69b45fb-22ee-47b2-a1a4-4a01383aaaee)) + (gr_poly + (pts + (xy 139.7 139.446) + (xy 139.192 139.954) + (xy 74.168 139.954) + (xy 73.66 139.446) + (xy 73.66 132.08) + (xy 139.7 132.08) + ) + + (stroke (width 0) (type solid)) (fill solid) (layer "F.Mask") (tstamp 47e16c94-ea56-4305-ad63-e01e9b0b3d82)) + (gr_arc (start 143.002 80.391) (mid 144.438841 80.986159) (end 145.034 82.423) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 06a47f2d-a80b-4428-b0be-00df64ca33c2)) + (gr_arc (start 46.101 93.599) (mid 46.205444 92.955919) (end 46.508041 92.378946) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp 278be10f-7c04-4424-9028-c19b4aee1144)) + (gr_arc (start 145.034 129.54) (mid 144.438841 130.976841) (end 143.002 131.572) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp ce8f5bd1-7109-49b4-a7dc-a7a6d4bfd2df)) + (gr_arc (start 57.684041 81.202946) (mid 58.40074 80.605285) (end 59.309 80.391) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp da2b575d-56b6-4320-b661-9bd34896f32d)) + (gr_arc (start 48.133 131.572) (mid 46.696159 130.976841) (end 46.101 129.54) + (stroke (width 0.01) (type solid)) (layer "Dwgs.User") (tstamp e428ca0a-bdf1-41b0-8bc4-d3564fde3592)) + (gr_line (start 57.785 80.391) (end 46.101001 92.074999) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 184745fc-574c-410c-905b-a1e31764250f)) + (gr_line (start 143.002 132.08) (end 139.446 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 4ff479b0-9dbd-4ed9-8e7b-8c8c463f1ac7)) + (gr_line (start 45.593 129.54) (end 45.593 93.599) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 5ab51f07-3f88-4883-9622-5f55dead79c1)) + (gr_arc (start 48.133 132.08) (mid 46.336949 131.336051) (end 45.593 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 63d4840e-e271-4c39-9b6d-1843470bbe93)) + (gr_line (start 138.938 139.7) (end 74.422 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 6523c061-de6a-4d61-858b-22a16283b227)) + (gr_arc (start 145.542 129.54) (mid 144.798051 131.336051) (end 143.002 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 73f4864e-32c9-4fc1-9e17-294c7cc70a2c)) + (gr_arc (start 139.446 139.192) (mid 139.29721 139.55121) (end 138.938 139.7) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 7a57356a-1c40-41a8-bf0c-df036dedf1fe)) + (gr_arc (start 57.785 80.391) (mid 58.505781 80.013344) (end 59.309 79.883) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 81fbfed6-6e4a-430c-8305-250bb527e698)) + (gr_arc (start 74.422 139.7) (mid 74.06279 139.55121) (end 73.914 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 8b842cb4-cb04-49f3-8182-5a91883a4b30)) + (gr_line (start 48.133 132.08) (end 73.914 132.08) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp 8de0cfa3-9998-42e4-95b4-06c91bd974d9)) + (gr_arc (start 143.002 79.883) (mid 144.798051 80.626949) (end 145.542 82.423) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp b058e857-8f8a-4bd1-b6c8-9ece001c5628)) + (gr_line (start 145.542 82.423) (end 145.542 129.54) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp b4119be0-0a1e-44f1-b709-9dd4b285c91e)) + (gr_line (start 139.446 132.08) (end 139.446 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp bd0596ca-ebc5-45e9-90ea-16fdb5d823a0)) + (gr_line (start 59.309 79.883) (end 143.002 79.883) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp be3e7e2f-78c6-4952-82f8-845aed91bb09)) + (gr_line (start 73.914 132.08) (end 73.914 139.192) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp d1644852-b4c5-4bb1-af22-97a63f1905b6)) + (gr_arc (start 45.593 93.599) (mid 45.723345 92.795781) (end 46.101001 92.074999) + (stroke (width 0.15) (type solid)) (layer "Edge.Cuts") (tstamp e7f3912a-92ea-40d8-b15d-b012d4c2b90a)) + (gr_text "ZK, GF" (at 132.461 129.921) (layer "B.SilkS") (tstamp 7a17f3a3-d393-4254-8e0d-4675b63e33a0) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "B10A" (at 71.755 129.921) (layer "B.SilkS") (tstamp f293dcdc-0078-4171-acc4-c0bec3e55ed2) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "Firmware Select:" (at 135.636 102.235) (layer "F.SilkS") (tstamp 288591eb-e0b4-4f0a-a2ab-ca51785dc45d) + (effects (font (size 1.016 1.016) (thickness 0.2032))) + ) + (gr_text "1 MB Slinky" (at 131.318 104.521) (layer "F.SilkS") (tstamp 5f8645f3-0da2-4b8f-9941-bc3e13c84bec) + (effects (font (size 0.9525 0.9525) (thickness 0.2032)) (justify left)) + ) + (gr_text "8 MB RAMFactor" (at 131.318 109.601) (layer "F.SilkS") (tstamp 858864ab-3393-45e6-8d98-b721775db8a6) + (effects (font (size 0.9525 0.9) (thickness 0.2032)) (justify left)) + ) + (gr_text "1 MB RAMFactor" (at 131.318 107.061) (layer "F.SilkS") (tstamp c3d55152-ba0a-438e-a96f-74f2b52e4fe4) + (effects (font (size 0.9 0.9525) (thickness 0.2032)) (justify left)) + ) + + (segment (start 137.3 126.378) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 06241d46-5e1c-4813-afa7-4eb2af315151)) + (segment (start 137.16 127) (end 137.75 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp 11a2f776-5332-456e-ab0c-d55470632cc6)) + (segment (start 137.3 124.2) (end 137.3 126.86) (width 0.8) (layer "F.Cu") (net 1) (tstamp 31feea27-63b9-4899-b34d-76f81b91c6cd)) + (segment (start 137.3 124.2) (end 138.25 124.2) (width 0.8) (layer "F.Cu") (net 1) (tstamp 411d2afc-4f44-4af0-aded-b0c12da4a073)) + (segment (start 137.16 135.382) (end 137.16 129.794) (width 1.524) (layer "F.Cu") (net 1) (tstamp 50d7ff85-ed28-4ca3-ac32-abe641d81044)) + (segment (start 137.16 128.27) (end 137.16 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp 604e7d9c-6d11-4f75-9ce6-64024173a23b)) + (segment (start 137.668 129.794) (end 138.303 129.159) (width 0.8) (layer "F.Cu") (net 1) (tstamp 66032601-1b35-4e5f-9915-554522951112)) + (segment (start 138.303 127.381) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6bed2c3e-1d2d-488b-a1b7-c4938bcb4aa7)) + (segment (start 137.16 130.937) (end 137.16 135.382) (width 0.8) (layer "F.Cu") (net 1) (tstamp 6c471c9e-a894-4697-b5f9-0b2b686f78e8)) + (segment (start 137.16 128.27) (end 137.16 127) (width 0.762) (layer "F.Cu") (net 1) (tstamp 6e5d014e-1560-4057-97af-60d23a39f2f2)) + (segment (start 137.922 127) (end 138.303 127.381) (width 0.8) (layer "F.Cu") (net 1) (tstamp 81367138-1f84-4d47-8da2-af30a1b1f8a5)) + (segment (start 137.3 124.2) (end 137.3 126.378) (width 0.8) (layer "F.Cu") (net 1) (tstamp 8e8b8d37-acd4-4221-99ac-8920c0b8635d)) + (segment (start 139.1 125.05) (end 140.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp a802a026-92eb-46da-b10d-2bc040baa4c4)) + (segment (start 138.303 129.159) (end 138.303 128.27) (width 0.8) (layer "F.Cu") (net 1) (tstamp df274c2a-7b37-419c-88e8-820b3c2b5da1)) + (segment (start 137.16 129.794) (end 137.668 129.794) (width 0.8) (layer "F.Cu") (net 1) (tstamp e04c1b1b-f9ba-4354-9b69-1ef01f812d66)) + (segment (start 138.25 124.2) (end 139.1 125.05) (width 0.8) (layer "F.Cu") (net 1) (tstamp eae122ae-0fa5-409a-8b02-09a75f5186d5)) + (segment (start 137.16 127) (end 137.922 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp f3fd1041-9ad6-4f2a-8f8e-9c86bffa562d)) + (segment (start 137.3 126.86) (end 137.16 127) (width 0.8) (layer "F.Cu") (net 1) (tstamp f61fb7ff-2a7a-4a44-a77c-39e0b2881851)) + (segment (start 137.16 128.27) (end 138.303 128.27) (width 0.762) (layer "F.Cu") (net 1) (tstamp ff9df2a5-e6e1-4efc-8868-dcf0c1f0b4d7)) + (via (at 137.16 129.794) (size 1.524) (drill 0.762) (layers "F.Cu" "B.Cu") (net 1) (tstamp 4ef2f292-b9bc-4f42-8df2-e1c7428cc1b3)) + (via (at 138.303 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 672bdffc-1eb0-4ead-a9e0-48b010b7526c)) + (via (at 137.16 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp b7264378-77e2-4902-a98f-19f8a2d36640)) + (segment (start 136.915002 127) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp 264198bd-9cc8-4806-a6e3-a255875c29c9)) + (segment (start 137.16 129.794) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp 5cab1af2-3f89-4e66-933f-56b6e298b385)) + (segment (start 138.6205 127.9525) (end 138.1125 127.9525) (width 0.8) (layer "B.Cu") (net 1) (tstamp 64291182-0650-4e50-870b-e7acbad24398)) + (segment (start 137.856 129.794) (end 137.16 129.794) (width 0.45) (layer "B.Cu") (net 1) (tstamp a5efb8ad-e7ab-4a1c-86d7-fccbb5c7ba1f)) + (segment (start 137.16 128.94) (end 137.16 129.794) (width 0.5) (layer "B.Cu") (net 1) (tstamp b0738cb0-38ce-4a11-92e4-3ea64dbd7ea3)) + (segment (start 137.16 127) (end 136.906 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp bac785c5-b656-46a9-aa74-53d37bc3e178)) + (segment (start 138.303 128.651) (end 137.16 129.794) (width 1.524) (layer "B.Cu") (net 1) (tstamp c0f92c7a-4588-4cc5-bd96-2e915f275b68)) + (segment (start 138.303 128.143) (end 137.16 127) (width 1.524) (layer "B.Cu") (net 1) (tstamp ccfe55fd-fdb9-4f2d-936a-65f6b9a341d6)) + (segment (start 138.1125 127.9525) (end 137.16 127) (width 0.8) (layer "B.Cu") (net 1) (tstamp d676b569-d681-4bf8-a85b-92d3c37b424d)) + (segment (start 138.303 128.27) (end 138.303 128.651) (width 1.524) (layer "B.Cu") (net 1) (tstamp dd2b280a-7d54-47ad-aead-8a140db2118b)) + (segment (start 138.303 128.27) (end 138.303 128.143) (width 1.524) (layer "B.Cu") (net 1) (tstamp e550eaf5-5d50-4046-aad6-ae9a2630e2db)) + (segment (start 138.53 128.27) (end 138.303 128.27) (width 0.5) (layer "B.Cu") (net 1) (tstamp f7b0bcc0-0c9e-4c92-b96d-319b4393de6b)) + (segment (start 137.16 127) (end 137.31 126.85) (width 0.5) (layer "B.Cu") (net 1) (tstamp f85da873-2ed1-4f97-811a-92278eef7eab)) + (segment (start 137.16 127) (end 137.16 127.96) (width 0.8) (layer "B.Cu") (net 1) (tstamp f9e39b9b-aea7-48da-ba27-e53c03da3624)) + (segment (start 87.5 100.05) (end 87.35 99.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 002fd493-3880-4fd6-a85c-82e00a4fc7f1)) + (segment (start 122.9 115.35) (end 122.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 00fe0601-70ec-47d4-a1c6-367bacada232)) + (segment (start 47 96) (end 47 94.65) (width 0.6) (layer "F.Cu") (net 2) (tstamp 02928e2b-a702-4c36-a56f-5c4798cab35f)) + (segment (start 111.55 124.95) (end 111.55 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 02af81d3-25a6-4ca2-b063-585dacd40361)) + (segment (start 101.7125 100.4) (end 100.55 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 03131e67-cbbf-4589-ac73-504d24f6ce91)) + (segment (start 103.45 120.55) (end 103.3 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 03756dee-6383-493a-a23d-56ec4d8fbe77)) + (segment (start 85.25 100.2) (end 85.25 100.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp 0376e7a4-f965-41a4-ba26-879d6aea257d)) + (segment (start 84.35 100.15) (end 83.65 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 04570ae9-3f7d-4d0f-8ab2-ae91e834d007)) + (segment (start 113.7 120.5) (end 113.1 120.5) (width 0.4) (layer "F.Cu") (net 2) (tstamp 0459f768-c43b-4956-a5a0-f09764aaa381)) + (segment (start 50.75 96.7) (end 50.75 97) (width 0.5) (layer "F.Cu") (net 2) (tstamp 04e2f28b-14fd-419f-87e7-78499ca8e067)) + (segment (start 105.95 100.25) (end 105.95 99.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 053618c6-e0c7-4f6d-9014-85220be02a7e)) + (segment (start 84.35 97.25) (end 83.65 97.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 05a8b670-1937-49cc-9219-02c17e42c7e3)) + (segment (start 76.575 120.55) (end 77.475 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 06067dc0-eeb5-4f58-bbb2-874995124a2a)) + (segment (start 140.1 118.7) (end 139.15 118.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0839ced6-7ac7-478e-931f-8dc307f57358)) + (segment (start 134 123.5) (end 133.85 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 09625d91-d325-4163-b84a-268733b82304)) + (segment (start 116.5 120.85) (end 117.2 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0ae49ead-7227-426e-8973-81523728911b)) + (segment (start 85.8 120.55) (end 85.05 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0bac275b-d37d-4f74-84bb-bd344754b325)) + (segment (start 114.15 119.6) (end 113.7 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 0bf49080-e4f4-4ccc-b6fd-0729f3ea4479)) + (segment (start 76.6 120.55) (end 76.6 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0d145b31-d07f-4a90-9bbf-ec0d7d614764)) + (segment (start 63.095 99.9095) (end 62.9285 100.076) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0e07c4dc-be7a-46ac-8e87-88fd01926f3c)) + (segment (start 97.15 118) (end 97.2 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 0e127247-5b97-4b5f-ad2f-97735586bbc6)) + (segment (start 103.75 100.15) (end 103.07 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 0eb25eb5-0218-4849-b974-c2b685a4da78)) + (segment (start 96.4 90.95) (end 96.55 90.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp 0fb0a9dc-b4a4-46f0-b7a8-641ca7cebb2a)) + (segment (start 110.25 124.95) (end 110.25 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 0fbc38c2-f363-45ba-8f5b-b45efd6e669a)) + (segment (start 49.675 101.1) (end 50.75 101.1) (width 0.4) (layer "F.Cu") (net 2) (tstamp 11940211-3169-446c-b5dd-dd7db77fda52)) + (segment (start 83.95 124.95) (end 83.95 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 128ff33f-e71c-4fd0-8eee-61f83107434e)) + (segment (start 115.8 120.85) (end 115.65 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 13600c01-576f-44e7-ad1c-437d912305be)) + (segment (start 125.65 104.55) (end 125.55 104.65) (width 0.508) (layer "F.Cu") (net 2) (tstamp 14630fa7-40de-4843-8a18-2440a408070a)) + (segment (start 49.55 96.7) (end 49.55 95.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 147dade6-9d6b-4538-a169-2a2429b9acad)) + (segment (start 122.9 116.1) (end 123.05 116.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1703e371-c2a9-41ae-b572-64b7a7e02d27)) + (segment (start 58.293 92.734) (end 57.5705 92.734) (width 0.5) (layer "F.Cu") (net 2) (tstamp 17cc2346-a598-4357-9171-9c469cbd8a02)) + (segment (start 85.45 100.4) (end 85.25 100.2) (width 0.3) (layer "F.Cu") (net 2) (tstamp 184e313f-5242-4490-82c2-df8ae059dd10)) + (segment (start 91.55 111.1) (end 92.4 111.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1868d69e-ca99-44ce-aafa-dd4321b9f788)) + (segment (start 51.1994 91.8506) (end 50.3506 91.8506) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1a3d1c10-2e87-4373-8f18-47d6a97caea0)) + (segment (start 120.5 120.85) (end 120.35 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 1ce67bc5-ce5e-4852-87c3-d3fcd496dc4a)) + (segment (start 106.35 118) (end 106.4 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 1d76b10c-8884-43b6-9763-705ecf97a42e)) + (segment (start 91.7 94.85) (end 91.55 94.7) (width 0.3) (layer "F.Cu") (net 2) (tstamp 1e078059-b66e-4049-89b0-374577a0cebc)) + (segment (start 91.55 111.1) (end 91.55 111.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp 1e252897-e5bc-4061-a600-d89031a66cfd)) + (segment (start 114.35 120.5) (end 114.35 119.8) (width 0.15) (layer "F.Cu") (net 2) (tstamp 1e97ad33-ea45-41ee-882e-c50537b1376f)) + (segment (start 124.4 109.45) (end 126.4 109.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 229093bb-c595-41d2-aa19-d56204566869)) + (segment (start 51.1994 91.8506) (end 51.9 91.8506) (width 0.5) (layer "F.Cu") (net 2) (tstamp 23f92f0f-cf14-4afd-b802-862670c14078)) + (segment (start 98.05 110.05) (end 98.2 110.2) (width 0.3) (layer "F.Cu") (net 2) (tstamp 2445f2d0-2566-4500-ac65-b98139f9ef74)) + (segment (start 98.05 109.0625) (end 98.05 110.05) (width 0.3) (layer "F.Cu") (net 2) (tstamp 24634109-c381-47eb-b507-7cd8808c06f8)) + (segment (start 96.4 91.7) (end 96.4 90.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp 2479e342-0df9-455b-9ee4-795aba96e03f)) + (segment (start 135.46 129.491) (end 135.509 129.54) (width 0.762) (layer "F.Cu") (net 2) (tstamp 24b669e6-80a2-4290-a8f8-171520509624)) + (segment (start 95 120.55) (end 94.25 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 252f93b0-63cb-4622-a9c6-417d8135e794)) + (segment (start 82.8 102.9) (end 81.951 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 271560b1-2caf-4916-b09d-6f64b4ec3ff2)) + (segment (start 62.8 96.458) (end 62.8015 96.4565) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2773a1b1-d0d2-4858-af3f-3f6b5de5b702)) + (segment (start 73.406 128.524) (end 73.66 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 29cbb029-9b89-4582-90dd-7aaa0e6f2ad2)) + (segment (start 122.9 90.75) (end 122.9 91.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 29d72f12-df65-4ba5-8e8a-b296983820a8)) + (segment (start 124.4 104.65) (end 125.55 104.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2ab0f7a1-c198-4d05-ba02-a4a7f252332e)) + (segment (start 103.75 100.15) (end 104.65 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2b716052-244f-464f-a65c-68753b62c6da)) + (segment (start 86.3875 99.9) (end 85.45 99.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 2be6a857-caa6-4733-8540-98542a2d63f0)) + (segment (start 117.2 120.85) (end 117.35 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2d09d458-56d3-4e42-a4a8-40a435ccf5e5)) + (segment (start 124.4 109.45) (end 123.25 109.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2d67e0e3-5869-4a24-9194-1fb5c5d86fe2)) + (segment (start 112.9 107.05) (end 114.05 107.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 2ec918e8-17e5-4a6c-81f3-41e1a1c4ef61)) + (segment (start 58.293 92.734) (end 58.293 91.8845) (width 0.5) (layer "F.Cu") (net 2) (tstamp 2f0cb0e3-f914-4d8c-8d75-b393247df1a7)) + (segment (start 57.5705 92.734) (end 57.404 92.9005) (width 0.5) (layer "F.Cu") (net 2) (tstamp 300cd4bc-4372-491a-95ce-60b23fb68cde)) + (segment (start 112.9 111.85) (end 111.75 111.85) (width 0.45) (layer "F.Cu") (net 2) (tstamp 306e73ac-c056-4e32-823c-b3342dfbccd5)) + (segment (start 49.55 102.9) (end 49.55 104.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 30a38740-94b0-4aab-99a9-55977439e362)) + (segment (start 77.216 97.061) (end 77.216 95.8215) (width 0.5) (layer "F.Cu") (net 2) (tstamp 30eba46c-9542-4204-9963-962ce5140b59)) + (segment (start 49.55 102.9) (end 50.75 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 314abb4d-050a-4993-b78f-ec53bc59ebb7)) + (segment (start 50.3506 91.8506) (end 50.35 91.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3154f754-071e-4731-83a1-9be4d31bc5fb)) + (segment (start 112.9 113.45) (end 114.05 113.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 31bb8dce-f91e-4944-a6e6-2872fb439df1)) + (segment (start 77.216 97.061) (end 77.216 98.298) (width 0.5) (layer "F.Cu") (net 2) (tstamp 32ce9ac6-0f84-4521-ac22-eef3d9c32feb)) + (segment (start 125.75 113.35) (end 125.55 113.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 330d44ae-b125-49e9-80c8-78acbec15bbb)) + (segment (start 100.65 102.9) (end 100.55 102.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 3323b705-a96b-48ea-9dae-83ea0f6c34e5)) + (segment (start 86.3875 95.4) (end 85.25 95.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 3617eff2-67da-473f-9c61-1ac1e8617a05)) + (segment (start 53.1994 91.6506) (end 53.1994 90.6512) (width 0.5) (layer "F.Cu") (net 2) (tstamp 364d20ad-7b15-4acf-9b4a-909ef0ddfa8a)) + (segment (start 83.95 124.95) (end 84.6 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 36ad1ea0-7d26-4b88-8dc5-9d57a65b9c5f)) + (segment (start 63.8175 99.9095) (end 64.54 99.9095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 37ec4567-4123-4fb8-a094-9b021f95a125)) + (segment (start 126.45 114.3) (end 126.45 113.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 38aaf7b6-268b-4a05-a47d-44e736935c76)) + (segment (start 111.75 92.5) (end 111.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3936eea2-b4c1-4083-a26b-764c25b997dc)) + (segment (start 91.55 111.85) (end 91.4 112) (width 0.6) (layer "F.Cu") (net 2) (tstamp 3ab0a562-44df-4b2e-a87d-6c6d4ace6467)) + (segment (start 140.1 118.7) (end 141.1 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 3c0139b5-4736-459b-8bff-2c4005642469)) + (segment (start 91.55 92.65) (end 91.55 93.7375) (width 0.3) (layer "F.Cu") (net 2) (tstamp 3c602c45-88a0-4830-a748-313ff5069554)) + (segment (start 113.7 120.5) (end 113.7 119.6) (width 0.4) (layer "F.Cu") (net 2) (tstamp 3c762360-8a95-4f24-be53-689448bcabe4)) + (segment (start 102.35 124.95) (end 103 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 3cbf9b0b-5162-43d1-beaa-2faf12bf4b4c)) + (segment (start 58.293 92.734) (end 59.0155 92.734) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3d7ddd67-cc3f-4f09-9f0a-43529dec642a)) + (segment (start 131.75 118.7) (end 131.55 118.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp 3dd7c143-6465-4c74-856f-cdc21fe86f2f)) + (segment (start 86.3875 100.4) (end 85.45 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 3dec073a-2ede-4e7b-9f19-999ddbaed237)) + (segment (start 82.8 102.9) (end 82.8 102.099) (width 0.5) (layer "F.Cu") (net 2) (tstamp 3f7e0bb9-a42d-4314-9ac8-b92355a0cbcd)) + (segment (start 112.9 107.05) (end 110.9 107.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 40555831-b88b-4422-8d9e-55d0f3cd8844)) + (segment (start 127.2 104.55) (end 127.45 104.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 418d6ad8-114e-459d-b336-23e8bdcf7864)) + (segment (start 103.75 105.05) (end 103.75 105.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 41db12b1-5e67-4e62-9fbb-32e8f0dab825)) + (segment (start 98.55 93.7375) (end 98.55 94.8) (width 0.3) (layer "F.Cu") (net 2) (tstamp 41fa55cd-1e9f-454f-9c72-75f2daf5add8)) + (segment (start 91.05 108) (end 91.15 107.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 4206fd3e-6714-4167-a50a-6555b6303ca4)) + (segment (start 85.8 120.55) (end 85.8 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 42bb3660-ab9d-4d79-8fae-abd39f7f6634)) + (segment (start 101.7125 100.4) (end 102.82 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp 4313ee27-7e72-4c7d-bdae-ad5153de9465)) + (segment (start 106.35 120.15) (end 106.35 119.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 43c3d155-8204-4751-a226-2ce62cef7ffe)) + (segment (start 83.649 102.9) (end 83.65 102.899) (width 0.5) (layer "F.Cu") (net 2) (tstamp 449ef39b-7924-47b1-b467-121d7fd6e029)) + (segment (start 120.826 129.491) (end 120.777 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 46769052-33a8-42eb-80c6-4f682714d9e3)) + (segment (start 98.55 93.7375) (end 98.55 92.75) (width 0.3) (layer "F.Cu") (net 2) (tstamp 4730b829-3b8c-4bf1-a31e-7a23e8ddb829)) + (segment (start 122.9 90) (end 123.05 89.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp 481f752f-2cd7-4d67-8ff9-5a06c4f22ba7)) + (segment (start 84.35 100.15) (end 85.25 100.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 49185c77-6d86-4674-b79d-d29f1fe8918d)) + (segment (start 74.5 128.27) (end 74.5 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp 4a9841b0-6745-4251-8709-f6bd07fd539d)) + (segment (start 127.2 94.15) (end 127.45 93.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp 4b8bd278-3bef-466f-a1dc-f587e9af8aa4)) + (segment (start 102.35 124.95) (end 102.35 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp 4bef67ac-70be-455e-8615-cc9679477ce3)) + (segment (start 86.35 95.3625) (end 86.35 94.85) (width 0.3) (layer "F.Cu") (net 2) (tstamp 4cc3b853-45d5-42ee-9a97-5da25d2bb4b1)) + (segment (start 109.95 107.85) (end 110.05 107.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4d10d485-3298-498f-a536-d437068c766f)) + (segment (start 64.54 99.9095) (end 64.7065 100.076) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4d12ec20-974c-4a91-9823-398154165bbb)) + (segment (start 112.9 103.05) (end 114.05 103.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp 4dc48723-ee38-4362-a9b4-5a78b96cc4fb)) + (segment (start 121.2 120.85) (end 121.9 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4e7c2f52-9c74-4048-b3e1-c9b69be2f8a3)) + (segment (start 126.45 104.55) (end 126.45 105.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 4e8ad975-4be9-4102-82f7-fab2388639c2)) + (segment (start 104.175 120.55) (end 105.05 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp 4e9e4e38-dcfc-42dc-a419-1bbefb37465a)) + (segment (start 62.103 96.458) (end 62.103 97.8535) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4ebe561a-da9e-4458-aa5b-34ecc8e270ef)) + (segment (start 126.45 94.15) (end 125.6 94.15) (width 0.5) (layer "F.Cu") (net 2) (tstamp 4fe3d476-56fa-44ad-985e-9e78b32912de)) + (segment (start 121.9 120.85) (end 122.05 121) (width 0.5) (layer "F.Cu") (net 2) (tstamp 510f9cb4-2ed7-47ad-812f-e71d45eec870)) + (segment (start 87.35 99.9) (end 86.3875 99.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 52905040-7f95-4b68-98bd-d30b9eb80208)) + (segment (start 96.4 91.7) (end 95.55 91.7) (width 0.6) (layer "F.Cu") (net 2) (tstamp 536e8533-4866-45d0-ab8b-ead366ae7eee)) + (segment (start 51.65 97) (end 50.75 97) (width 0.5) (layer "F.Cu") (net 2) (tstamp 53f4a3d5-5f07-4478-9f71-4af409d990af)) + (segment (start 82.8 102.9) (end 83.649 102.9) (width 0.5) (layer "F.Cu") (net 2) (tstamp 54649823-1f6e-4c3c-81b4-a601df9116f5)) + (segment (start 98.55 92.75) (end 98.7 92.6) (width 0.3) (layer "F.Cu") (net 2) (tstamp 549e4ba3-ec14-4b5b-a624-cb87acba5dc9)) + (segment (start 112.9 90.75) (end 112.05 90.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp 55812b9c-3a6d-4174-8f12-82b10fd2ede6)) + (segment (start 125.55 113.15) (end 125.55 113.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5708db58-5d56-43ff-a822-66892f5220f8)) + (segment (start 102.35 124.95) (end 102.35 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 57bd21d0-6eaa-4a7b-b9f3-848219116c53)) + (segment (start 116.5 120.85) (end 115.8 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5a313833-fe7d-468b-b059-90d410b18fd8)) + (segment (start 104.2 120.55) (end 103.45 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 5a7afbae-b0e4-40a3-a6c7-06b06f29d7b2)) + (segment (start 62.103 96.458) (end 62.103 95.0595) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5b1db825-4a80-4c41-b811-4cc4e708127c)) + (segment (start 110.05 107.95) (end 110.85 107.95) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5c9e2ad4-0fa9-44c3-91c0-b21890297ce0)) + (segment (start 83.65 97.25) (end 83.5 97.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp 5f2213a5-881b-4f07-87a3-b7c79c4afb1d)) + (segment (start 124.4 104.65) (end 123.25 104.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp 5f4b0f16-3132-4ccb-9fae-c90445e575df)) + (segment (start 119 120.5) (end 119 119.8) (width 0.15) (layer "F.Cu") (net 2) (tstamp 5f54f3d6-9965-4379-a518-c08cbaa7b466)) + (segment (start 102.975 105.05) (end 103.75 105.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6283b553-18d0-4be3-826a-4da02e63a07f)) + (segment (start 63.7665 93.0275) (end 63.754 93.015) (width 0.15) (layer "F.Cu") (net 2) (tstamp 655d6958-2278-4fdd-bdc4-11394e7055d3)) + (segment (start 130.35 123.35) (end 131.35 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6688b49a-a9ac-4c42-8a1f-c4688eb8a38a)) + (segment (start 102.7 102.9) (end 102.85 102.75) (width 0.3) (layer "F.Cu") (net 2) (tstamp 6735a4ed-91ce-4f44-8da7-f790b6c0fff3)) + (segment (start 136.398 99.695) (end 136.398 100.838) (width 0.5) (layer "F.Cu") (net 2) (tstamp 67a8ae67-e836-4128-8351-3d2b0389b40b)) + (segment (start 137.3 119.45) (end 136.2 119.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 68a418b3-be2c-41a3-bdf8-65054c3f25ac)) + (segment (start 122.9 90.75) (end 122.05 90.75) (width 0.6) (layer "F.Cu") (net 2) (tstamp 69149934-8c12-4997-8968-c58c80d67b07)) + (segment (start 74.5 129.491) (end 74.549 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 69853520-4fd8-46ec-8797-9c3006effffe)) + (segment (start 130.35 122.4) (end 130.35 123.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6a73efda-703d-46a8-9cb7-f7dc80039564)) + (segment (start 97.15 119.05) (end 97.15 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 6adb66a6-3128-4afc-bc4b-b789a2f2ae69)) + (segment (start 120.826 128.27) (end 121.8565 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6b1fa1f1-4d4b-4952-bb24-90dce5b31142)) + (segment (start 139.15 118.7) (end 139 118.55) (width 0.5) (layer "F.Cu") (net 2) (tstamp 6cefe76f-8736-4e2b-9637-753751a0bea1)) + (segment (start 115.394 128.27) (end 114.3 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 6fab1a96-b99c-4b8b-9ee4-0b04b3c9cf5f)) + (segment (start 91.05 109.0625) (end 91.05 110.05) (width 0.3) (layer "F.Cu") (net 2) (tstamp 7078e754-9ab7-468b-b474-624ba31f350e)) + (segment (start 98.05 108) (end 98.15 107.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 71295cc4-f509-4c91-ae84-5a2f8621cb8f)) + (segment (start 95 120.55) (end 95 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 714cc44a-5dc4-4030-9c78-aa48b0062818)) + (segment (start 55.3994 93.3506) (end 55.3994 94.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 716e5f42-8426-4aec-9e25-714029450d81)) + (segment (start 96.4 91.7) (end 96.4 92.49999) (width 0.5) (layer "F.Cu") (net 2) (tstamp 72dceb11-0837-4189-9784-9dcf93bbc8f5)) + (segment (start 110.85 107.95) (end 110.85 107) (width 0.6) (layer "F.Cu") (net 2) (tstamp 73773a53-b205-45a2-b20a-12722d7b943b)) + (segment (start 91.6 92.6) (end 91.55 92.65) (width 0.3) (layer "F.Cu") (net 2) (tstamp 74760b22-8add-4ab0-8f27-cec120edd0d6)) + (segment (start 105.95 99.5) (end 105.301054 99.5) (width 0.4) (layer "F.Cu") (net 2) (tstamp 7566aa8d-76a6-4a05-b64e-0f895fa3de70)) + (segment (start 115.394 129.491) (end 115.443 129.54) (width 0.8) (layer "F.Cu") (net 2) (tstamp 761e967e-aa34-4159-a477-f27289ce62a1)) + (segment (start 110.8 103.3) (end 110.8 102.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 767e41b6-8d81-489b-a0f7-0571fb7f48f9)) + (segment (start 89.4 92.45) (end 89.4 91.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp 76e9e976-28b0-4d4b-a24f-ab884db183a1)) + (segment (start 105.95 99.5) (end 106.85 99.5) (width 0.5) (layer "F.Cu") (net 2) (tstamp 77e4e71a-d7fa-4b56-aef0-ee62ccba2b1a)) + (segment (start 87.5 100.15) (end 87.5 100.05) (width 0.3) (layer "F.Cu") (net 2) (tstamp 7869445c-33ea-4e7c-8662-1d77604a837e)) + (segment (start 135.2 123.25) (end 135.2 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp 78b297c8-50b6-403d-8540-81169cfd5e0c)) + (segment (start 132.75 118.7) (end 131.75 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 79c0d77c-70a9-4f38-9ac1-3db9a0099089)) + (segment (start 132.75 118.7) (end 133.75 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 7a81c231-61f8-4626-80b3-1b5c93a45b8d)) + (segment (start 85.05 120.55) (end 84.9 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7a8ce466-db00-4fb1-904a-e6e33578b65c)) + (segment (start 63.754 93.015) (end 63.754 92.1385) (width 0.15) (layer "F.Cu") (net 2) (tstamp 7bc6ba1e-6b7c-41ea-9a2d-6609e70650e1)) + (segment (start 112.9 115.35) (end 112.9 116.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp 7bf7f849-fb34-4491-b211-df2921e68a5a)) + (segment (start 98.05 109.0625) (end 98.05 108) (width 0.3) (layer "F.Cu") (net 2) (tstamp 7c60c3f5-cae3-4318-a282-293a50a3122d)) + (segment (start 59.309 91.3525) (end 59.309 90.4875) (width 0.15) (layer "F.Cu") (net 2) (tstamp 7dfce283-6391-4182-aa9d-1a4fa53dbd5f)) + (segment (start 91.55 111.1) (end 91.55 110.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 7e69ed9b-628a-40f7-8916-3884b4b9a532)) + (segment (start 69.6595 122.174) (end 70.8025 121.031) (width 0.5) (layer "F.Cu") (net 2) (tstamp 807c94e2-858b-4ba4-a424-ea19b0fc76df)) + (segment (start 102.82 100.4) (end 102.85 100.37) (width 0.3) (layer "F.Cu") (net 2) (tstamp 80c850ba-3e95-40d7-afb6-252f53cd4024)) + (segment (start 141.1 118.7) (end 141.35 118.95) (width 0.8) (layer "F.Cu") (net 2) (tstamp 81290b1f-583e-4ff4-8bf1-909b04954852)) + (segment (start 112.9 114.7) (end 112.9 115.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 81cca4fd-86be-4598-aa19-2f17a54adfd9)) + (segment (start 109.5 103.3) (end 109.45 103.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 821663c7-bba5-49dd-bed4-80050e4ad371)) + (segment (start 135.2 123.25) (end 134.25 123.25) (width 0.8) (layer "F.Cu") (net 2) (tstamp 82a73ae1-ab7d-4424-bb85-82f8e7f9ea0b)) + (segment (start 76.6 120.55) (end 75.85 120.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 82eb126f-86e3-410e-8c52-8ee3785be1a0)) + (segment (start 116.5 120.85) (end 116.5 120.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 83ec0d43-643c-4b0e-ba73-094863c3e216)) + (segment (start 111.55 124.95) (end 112.2 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 85ddd0fa-1298-47b6-813f-6cabf93384a7)) + (segment (start 73.66 128.27) (end 74.5 128.27) (width 0.8) (layer "F.Cu") (net 2) (tstamp 868c0991-bf2e-46bc-a00c-51c53188b333)) + (segment (start 89.4 90.95) (end 89.55 90.8) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8710d9d9-8b65-4c61-91d4-342f19af8e13)) + (segment (start 126.45 108.55) (end 126.45 109.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp 87320667-b8a8-4755-9ac5-238e76ff7d22)) + (segment (start 122.9 115.35) (end 122.05 115.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8734610d-a62b-497c-9c2d-d7eb564ff21a)) + (segment (start 85.1 97.25) (end 84.35 97.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 87e80362-012a-4ba4-83e1-e9d1254ce527)) + (segment (start 57.023 100.0125) (end 57.023 101.408) (width 0.5) (layer "F.Cu") (net 2) (tstamp 88147c88-365c-461c-8ff5-38ed0810a6bf)) + (segment (start 135.46 127.049) (end 135.509 127) (width 0.762) (layer "F.Cu") (net 2) (tstamp 89462db0-a01c-499e-bcf7-c3e64845af7f)) + (segment (start 118.8 119.6) (end 118.35 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 89777e63-640d-465f-b698-8a6ace20c2c9)) + (segment (start 133.85 123.35) (end 132.75 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8a1ceb30-674d-4097-8959-a4bc656661ea)) + (segment (start 130.35 118.7) (end 131.35 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8a2f9fdb-6c17-4fb6-9dc3-108dfce77cba)) + (segment (start 112.9 90.75) (end 112.9 91.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8a30b896-752a-47e1-b204-62ae787407ed)) + (segment (start 127.2 113.35) (end 127.35 113.2) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8b9d6b7c-9e5f-4785-ab47-b77bd2cc7e87)) + (segment (start 91.4 110.2) (end 91.2 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 8c34a748-23f9-455b-906a-8fa0f70fff58)) + (segment (start 137.3 119.45) (end 138.4 119.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp 8c86dad8-e445-4536-969f-676f01613f26)) + (segment (start 101.7125 102.9) (end 100.65 102.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp 8c9c78ad-c22b-4f2d-9c28-95e443bd1ff5)) + (segment (start 59.333 91.3765) (end 59.309 91.3525) (width 0.15) (layer "F.Cu") (net 2) (tstamp 8d8f7d9e-6066-4b20-9d01-986ed9b19ea5)) + (segment (start 132.75 118.7) (end 132.75 117.65) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8e4343d3-792f-41ab-ba78-e582eb1b1c6c)) + (segment (start 85.2 97.15) (end 85.1 97.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp 8f5715d7-3bd3-4491-b5a1-980bcb7800e8)) + (segment (start 140.1 123.35) (end 139 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp 8f8814b3-42a9-47f9-88f6-adeda7ee6969)) + (segment (start 111.75 113.45) (end 112.9 113.45) (width 0.45) (layer "F.Cu") (net 2) (tstamp 90a45ee4-1491-44ea-aca8-d9bfd1c3bd9c)) + (segment (start 104.2 120.55) (end 104.2 121.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp 9123434b-6f76-4dae-97eb-5ef2712ea655)) + (segment (start 127.2 108.55) (end 127.45 108.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 917325aa-60b0-45f9-b563-d3e32b2c9342)) + (segment (start 98.55 111.1) (end 98.55 111.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp 91cbb59f-230d-43f7-820b-65a00bde7f8e)) + (segment (start 126.45 94.15) (end 126.45 95.1) (width 0.8) (layer "F.Cu") (net 2) (tstamp 91fa23d9-f637-461c-94fb-cad4178a760c)) + (segment (start 110.8 103.3) (end 109.5 103.3) (width 0.6) (layer "F.Cu") (net 2) (tstamp 9244935b-a252-48c1-a838-53ac7c91e4db)) + (segment (start 112.9 114.7) (end 112.9 114.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp 92caff0f-62c5-4b32-87be-c7b621b9bc9d)) + (segment (start 60.833 101.408) (end 60.833 100.0125) (width 0.5) (layer "F.Cu") (net 2) (tstamp 937b324e-6aff-477a-a66f-8f971b302590)) + (segment (start 126.45 104.55) (end 127.2 104.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp 93e62c23-6152-4f14-a273-91078c753ba3)) + (segment (start 112.9 92.65) (end 112.9 91.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 95089686-748a-4521-aae8-85d308169a12)) + (segment (start 112.9 90.75) (end 112.9 90) (width 0.6) (layer "F.Cu") (net 2) (tstamp 969614d2-f1ae-49c3-8837-c1497bf15baa)) + (segment (start 98.55 110.35) (end 98.4 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 96ad294d-ba4b-4dae-bd49-5657675efa97)) + (segment (start 98.55 111.1) (end 98.55 110.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp 97188560-e73c-44ca-8f06-d15668e87337)) + (segment (start 104.65 100.15) (end 104.7 100.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp 97a2ee44-870f-47e7-8f8c-72221c33f4a1)) + (segment (start 89.4 91.7) (end 89.4 90.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp 988f44c0-c48d-4d1f-8c13-1b89abb5eb00)) + (segment (start 122.9 91.5) (end 123.05 91.65) (width 0.6) (layer "F.Cu") (net 2) (tstamp 995eada6-69ca-4691-a517-ec77c4d7bcd1)) + (segment (start 78.75 119.05) (end 78.75 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp 995f45a2-a63f-463f-9242-ef5844d60823)) + (segment (start 63.8175 99.9095) (end 63.095 99.9095) (width 0.5) (layer "F.Cu") (net 2) (tstamp 999d1d17-29e1-4b65-844a-0056e21f685e)) + (segment (start 93.15 124.95) (end 93.15 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9c14bcd0-e0c2-4d7f-9f39-01360744ee12)) + (segment (start 77.216 97.061) (end 76.4845 97.061) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9c609453-6ebd-44d1-b3e7-39c03519d7f7)) + (segment (start 131.75 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 9c70f554-0115-48e2-b62c-47a0d1641ad5)) + (segment (start 107.55 99.7) (end 107.55 100.55) (width 0.4) (layer "F.Cu") (net 2) (tstamp 9cb8545a-d6d2-4454-baaf-6b3c25002282)) + (segment (start 53.1994 91.6506) (end 52.1 91.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9dca1ffc-a78d-4a3b-a685-d48d404030fa)) + (segment (start 114.35 119.8) (end 114.15 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp 9e2abbd1-f432-47be-9908-a19cd3f5a856)) + (segment (start 141.1 123.35) (end 141.3 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp 9e8aa458-61a3-4090-a83b-a21e42e22fd3)) + (segment (start 93.15 124.95) (end 93.8 124.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp 9edecd43-7abc-46ff-91f4-444a6f6d7171)) + (segment (start 135.128 99.695) (end 135.128 100.838) (width 0.5) (layer "F.Cu") (net 2) (tstamp 9f033158-f354-484d-83c4-11c37cd439f7)) + (segment (start 91.55 94.7) (end 91.55 93.7375) (width 0.3) (layer "F.Cu") (net 2) (tstamp a0b2112a-d75b-4c2f-9bef-94f7604afc8e)) + (segment (start 103.75 105.05) (end 104.65 105.05) (width 0.6) (layer "F.Cu") (net 2) (tstamp a43df08c-5f99-4112-b811-f6c7b6682204)) + (segment (start 85.775 120.55) (end 86.65 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp a47af011-b2c9-4282-9588-d2bf69e5347d)) + (segment (start 96.4 92.49999) (end 96.3 92.59999) (width 0.5) (layer "F.Cu") (net 2) (tstamp a4b53633-699c-495d-a47e-239a8dc2e3f5)) + (segment (start 122.9 114.6) (end 123.05 114.45) (width 0.6) (layer "F.Cu") (net 2) (tstamp a5518be1-02ae-4fe1-92bb-5ff0f5d6eadd)) + (segment (start 130.35 117.65) (end 130.35 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp a5f36455-f280-4860-b634-b1c3f112dde9)) + (segment (start 115.394 128.27) (end 115.394 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp a6bc68b5-0aa3-4bfc-ae5f-3f57d7f546c1)) + (segment (start 130.35 118.7) (end 129.15 118.7) (width 0.8) (layer "F.Cu") (net 2) (tstamp a7ba25a9-da9e-4a39-b8de-fde3ded4a74e)) + (segment (start 111.65 103.15) (end 111.75 103.05) (width 0.508) (layer "F.Cu") (net 2) (tstamp a8132f67-c06e-433d-a76f-6f7083a3bf80)) + (segment (start 47 103.6) (end 47 104.95) (width 0.6) (layer "F.Cu") (net 2) (tstamp a8ac7ef2-6ec4-475f-aaca-5c42ac8c5910)) + (segment (start 87.5 100.25) (end 87.35 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp a93cff23-1eab-4aa3-a337-70e047344aac)) + (segment (start 89.3 92.55) (end 89.4 92.45) (width 0.5) (layer "F.Cu") (net 2) (tstamp aa412c94-4405-4614-8952-a9f0e3c9a7bf)) + (segment (start 139 123.35) (end 138.9 123.45) (width 0.8) (layer "F.Cu") (net 2) (tstamp aab090e1-c1e8-427e-9add-fd6d03e5e2e3)) + (segment (start 93.15 124.95) (end 93.15 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp ab7542b9-57a6-47e9-bb4d-4d44140e8210)) + (segment (start 85.45 99.9) (end 85.25 100.1) (width 0.3) (layer "F.Cu") (net 2) (tstamp abf20261-46c1-4908-b47b-a378caf20cdf)) + (segment (start 60.833 101.408) (end 60.833 102.8065) (width 0.5) (layer "F.Cu") (net 2) (tstamp ac82a872-aa8f-4f62-b5c4-dac28391f208)) + (segment (start 55.3994 94.35) (end 55.4 94.3506) (width 0.5) (layer "F.Cu") (net 2) (tstamp acb081ec-068a-4984-a8b9-7330f3cd880d)) + (segment (start 91.05 110.05) (end 91.2 110.2) (width 0.3) (layer "F.Cu") (net 2) (tstamp ad6dfa92-9132-48ba-9515-9594be477123)) + (segment (start 51.65 97) (end 52.5 97) (width 0.5) (layer "F.Cu") (net 2) (tstamp ade79969-5d14-453e-916e-e579dfbe100b)) + (segment (start 120.826 128.27) (end 120.826 129.491) (width 0.8) (layer "F.Cu") (net 2) (tstamp aea8090b-e506-4e3a-a667-15cab84febc7)) + (segment (start 91.05 109.0625) (end 91.05 108) (width 0.3) (layer "F.Cu") (net 2) (tstamp b2e4366a-13ba-4763-81c2-139934616b8f)) + (segment (start 110.9 107.05) (end 110.85 107) (width 0.45) (layer "F.Cu") (net 2) (tstamp b31c048a-c078-4cd9-a538-14430faabe3c)) + (segment (start 51.65 97) (end 51.65 96.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp b321d78a-7ab1-49ea-84d7-0003c3750c1f)) + (segment (start 109.4 99.7) (end 108.85 99.7) (width 0.4) (layer "F.Cu") (net 2) (tstamp b3988ac2-ecb7-4a2e-b53b-8ca490700c4d)) + (segment (start 122.9 90.75) (end 122.9 90) (width 0.6) (layer "F.Cu") (net 2) (tstamp b3cfc4fb-166c-4fbb-ba47-100a4feae27f)) + (segment (start 132.75 123.35) (end 131.75 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp b477701b-47f6-416c-a1f0-05621e4fbdef)) + (segment (start 140.1 123.35) (end 141.1 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp b6249fac-1e62-448c-bc06-3fdf0fa46580)) + (segment (start 119 119.8) (end 118.8 119.6) (width 0.15) (layer "F.Cu") (net 2) (tstamp b6963130-23ce-4a8b-a72b-03453cf43266)) + (segment (start 108.85 99.7) (end 108.85 100.55) (width 0.4) (layer "F.Cu") (net 2) (tstamp b7dd7a53-0993-44b5-8a0c-e6ab7c885e32)) + (segment (start 78.75 118) (end 78.8 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp b7ee88a4-a991-48d6-a285-0087b91dfd7f)) + (segment (start 112.9 113.45) (end 112.9 114.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp b96b02cc-ec07-48e4-901e-1f3ef17bdf89)) + (segment (start 89.4 91.7) (end 88.55 91.7) (width 0.6) (layer "F.Cu") (net 2) (tstamp be131f73-3f06-44f6-b847-447fcbdca27b)) + (segment (start 112.9 90) (end 113.05 89.85) (width 0.6) (layer "F.Cu") (net 2) (tstamp bf808e17-d48b-4e91-a239-8dfa3a6da043)) + (segment (start 126.4 109.45) (end 126.45 109.5) (width 0.6) (layer "F.Cu") (net 2) (tstamp bf81ee85-e38c-4908-9269-38a19fdfe19b)) + (segment (start 135.46 128.27) (end 135.46 129.491) (width 0.762) (layer "F.Cu") (net 2) (tstamp c0fcf1c0-d0cd-436d-a804-cfc240e93d65)) + (segment (start 53.1994 90.6512) (end 53.2 90.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp c141f37f-804b-4d26-8fef-38a144237922)) + (segment (start 76.4845 97.061) (end 76.454 97.0915) (width 0.5) (layer "F.Cu") (net 2) (tstamp c23cbce0-3b30-4246-84a6-0cd3ef97e8de)) + (segment (start 112.9 116.1) (end 113.05 116.25) (width 0.6) (layer "F.Cu") (net 2) (tstamp c6132e3a-0e02-472d-9315-61726f193d5b)) + (segment (start 94.975 120.55) (end 95.875 120.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp c7045cc9-362d-4404-a073-f404665f520a)) + (segment (start 112.9 103.05) (end 111.75 103.05) (width 0.45) (layer "F.Cu") (net 2) (tstamp c8b62f32-a602-4675-83fb-2d4b5d65ed90)) + (segment (start 87.95 119.05) (end 87.95 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp c8e17c39-5bd5-4f17-bd0a-473a6581e941)) + (segment (start 63.8175 99.9095) (end 63.8175 99.06) (width 0.5) (layer "F.Cu") (net 2) (tstamp c99ab6e5-cc10-464e-8c7b-4035f1f6b107)) + (segment (start 108.2 103.35) (end 108.2 104.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp cbfeee94-fdea-4844-bf75-dec6264b8e7e)) + (segment (start 135.46 128.27) (end 135.46 127.049) (width 0.762) (layer "F.Cu") (net 2) (tstamp cc0b7712-38a7-4316-9246-cd76a9340880)) + (segment (start 126.45 94.15) (end 127.2 94.15) (width 0.8) (layer "F.Cu") (net 2) (tstamp ccdb65be-d817-4467-928d-03dfe69ceddf)) + (segment (start 121.2 120.85) (end 121.2 120.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp cf6acf9f-392e-4e5c-9ad2-388784d679e7)) + (segment (start 70.8025 121.031) (end 70.8025 120.7135) (width 0.5) (layer "F.Cu") (net 2) (tstamp d110a6c4-970e-4d39-9668-6edf93416cea)) + (segment (start 83.65 100.15) (end 83.5 100.3) (width 0.5) (layer "F.Cu") (net 2) (tstamp d1588b19-0d60-4f17-bd1a-493e6ac9d09a)) + (segment (start 130.35 123.35) (end 129.15 123.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp d1d25bd6-bdf0-4a39-a015-0840e3ff3e8d)) + (segment (start 86.3875 95.4) (end 86.35 95.3625) (width 0.3) (layer "F.Cu") (net 2) (tstamp d3e224ab-8844-4d29-94e5-7eb79a61e6ad)) + (segment (start 110.8 103.3) (end 111.6 103.3) (width 0.508) (layer "F.Cu") (net 2) (tstamp d41ac3ef-a5cd-4ff2-8161-d698c47c7f52)) + (segment (start 98.55 111.85) (end 98.4 112) (width 0.6) (layer "F.Cu") (net 2) (tstamp d4dccb2b-2f60-4360-b4dc-9f8b6d48c6c6)) + (segment (start 112.9 111.85) (end 114.05 111.85) (width 0.45) (layer "F.Cu") (net 2) (tstamp d4e38bf7-dc30-4acc-a469-239b7caf6eb1)) + (segment (start 134.25 123.25) (end 134 123.5) (width 0.8) (layer "F.Cu") (net 2) (tstamp d61bbaec-caa6-4010-b492-85bcec60fd21)) + (segment (start 126.45 108.55) (end 127.2 108.55) (width 0.6) (layer "F.Cu") (net 2) (tstamp d7339fba-c796-4494-aa7d-859b12a16091)) + (segment (start 112.9 115.35) (end 112.05 115.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp d809e3e3-1ed9-4cc3-986f-207d7b0312a2)) + (segment (start 75.85 120.55) (end 75.7 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp d834680a-e294-4d91-85d8-95bb7d916acf)) + (segment (start 126.45 113.35) (end 127.2 113.35) (width 0.6) (layer "F.Cu") (net 2) (tstamp dac292f7-861f-40a9-a2dd-82b3b9021a71)) + (segment (start 122.9 115.35) (end 122.9 114.6) (width 0.6) (layer "F.Cu") (net 2) (tstamp dae3028b-6d8f-4220-adea-d9b06a721b48)) + (segment (start 106.35 119.05) (end 106.35 118) (width 0.45) (layer "F.Cu") (net 2) (tstamp dbce7467-db21-43c6-ab59-b1bbf946d9c9)) + (segment (start 131.35 118.7) (end 131.55 118.9) (width 0.8) (layer "F.Cu") (net 2) (tstamp dc2efcef-b714-4ed2-a5bd-22ed263ce6d4)) + (segment (start 62.103 96.458) (end 62.8 96.458) (width 0.5) (layer "F.Cu") (net 2) (tstamp dd3dab9a-4b3c-472d-949a-af0c962284f1)) + (segment (start 87.35 100.4) (end 86.3875 100.4) (width 0.3) (layer "F.Cu") (net 2) (tstamp dfa8a20e-a3d1-44eb-87ef-8e7d27a926fc)) + (segment (start 133.75 118.7) (end 134 118.95) (width 0.8) (layer "F.Cu") (net 2) (tstamp e108e314-e6be-44f7-b0d5-98fd21dbc31e)) + (segment (start 59.0155 92.734) (end 59.182 92.9005) (width 0.5) (layer "F.Cu") (net 2) (tstamp e2643521-022a-4158-89b1-634f053e820c)) + (segment (start 91.55 110.35) (end 91.4 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp e2a146c1-a986-41ac-a0d6-ace4df7fd5c5)) + (segment (start 103.07 100.15) (end 102.85 100.37) (width 0.5) (layer "F.Cu") (net 2) (tstamp e35cfcc1-2b1c-40fe-a933-110505ea878c)) + (segment (start 111.9 92.65) (end 112.9 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp e8c52372-a660-4e6e-8014-e5e9be58df2d)) + (segment (start 51.9 91.8506) (end 52.1 91.6506) (width 0.5) (layer "F.Cu") (net 2) (tstamp e935c35f-82c3-4542-9eef-b83585a3fa71)) + (segment (start 121.2 120.85) (end 120.5 120.85) (width 0.5) (layer "F.Cu") (net 2) (tstamp ea0b3117-6870-49d0-92dd-84eea5b49df2)) + (segment (start 81.951 102.9) (end 81.95 102.899) (width 0.5) (layer "F.Cu") (net 2) (tstamp ea0cd1b9-22f8-4275-9994-666631bca6b2)) + (segment (start 131.35 123.35) (end 131.55 123.55) (width 0.8) (layer "F.Cu") (net 2) (tstamp ea18633a-b570-4654-ab02-12f34fda038f)) + (segment (start 98.4 110.2) (end 98.2 110.2) (width 0.5) (layer "F.Cu") (net 2) (tstamp ea66f67d-fa4c-480c-8bf1-b5c4c246e3a3)) + (segment (start 126.45 104.55) (end 125.65 104.55) (width 0.508) (layer "F.Cu") (net 2) (tstamp ec3455cd-f1d6-479d-9166-9639c7baffa6)) + (segment (start 101.7125 102.9) (end 102.7 102.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp ecf6548f-5d84-4468-8fc1-5274cbade481)) + (segment (start 108.2 104.2) (end 108.05 104.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp eea9d874-d8f3-4a82-89fa-7968bec0d06c)) + (segment (start 49.55 96.7) (end 50.75 96.7) (width 0.5) (layer "F.Cu") (net 2) (tstamp eec766e2-ef97-4d43-af0f-22e43936ee27)) + (segment (start 112.9 92.65) (end 114.05 92.65) (width 0.45) (layer "F.Cu") (net 2) (tstamp ef7fd28d-1dd2-4bc5-a34b-9dd314ac6504)) + (segment (start 85.25 100.1) (end 85.25 100.15) (width 0.3) (layer "F.Cu") (net 2) (tstamp f371157b-54fd-4b8e-a265-4d7ab7651e71)) + (segment (start 132.75 123.35) (end 132.75 122.4) (width 0.5) (layer "F.Cu") (net 2) (tstamp f6a6ce11-a7f5-425b-86e2-c9fee8a16e13)) + (segment (start 98.55 94.8) (end 98.65 94.9) (width 0.3) (layer "F.Cu") (net 2) (tstamp f7304159-6582-4e4e-94e2-0f7ca5445e5b)) + (segment (start 94.25 120.55) (end 94.1 120.4) (width 0.6) (layer "F.Cu") (net 2) (tstamp f7f23998-6601-4ed1-8da8-dafa6dc54a30)) + (segment (start 106 100.3) (end 105.95 100.25) (width 0.5) (layer "F.Cu") (net 2) (tstamp f8229ae6-5b9c-4337-b1f8-1e6fbe5ee486)) + (segment (start 111.55 124.95) (end 111.55 126.1) (width 0.45) (layer "F.Cu") (net 2) (tstamp f8712642-8b5a-45e9-8568-f73475759ddf)) + (segment (start 83.95 124.95) (end 83.95 123.8) (width 0.45) (layer "F.Cu") (net 2) (tstamp f9f13c27-f716-4de0-8287-93d5ae490751)) + (segment (start 102.875 105.15) (end 102.975 105.05) (width 0.5) (layer "F.Cu") (net 2) (tstamp fa1c3ae0-90c7-4654-a962-ebb2a9a777b5)) + (segment (start 53.9 90.0006) (end 53.9 89.1006) (width 0.5) (layer "F.Cu") (net 2) (tstamp fb02d4db-1e63-4bb8-ae44-55a6d3e069ae)) + (segment (start 118.35 120.5) (end 118.35 119.6) (width 0.4) (layer "F.Cu") (net 2) (tstamp fb287dae-f9f9-406a-930f-a9f7b4cbdd41)) + (segment (start 108.2 103.35) (end 109.45 103.35) (width 0.8) (layer "F.Cu") (net 2) (tstamp fb2bf23e-d810-41f3-9000-9f5178ed2624)) + (segment (start 126.45 113.35) (end 125.75 113.35) (width 0.5) (layer "F.Cu") (net 2) (tstamp fb5e7f7a-504c-48a2-8ee6-ac8b6e6ccc42)) + (segment (start 87.95 118) (end 88 117.95) (width 0.45) (layer "F.Cu") (net 2) (tstamp fe163222-b8ea-4fec-aba7-2d9129591794)) + (segment (start 87.5 100.15) (end 87.5 100.25) (width 0.3) (layer "F.Cu") (net 2) (tstamp fe29c056-7bc6-48b3-b1e2-e015294fd611)) + (segment (start 98.55 111.1) (end 99.4 111.1) (width 0.6) (layer "F.Cu") (net 2) (tstamp ff4df175-f409-4187-9af0-7c6f83ecac06)) + (segment (start 140.1 123.35) (end 140.1 122.3) (width 0.8) (layer "F.Cu") (net 2) (tstamp ffde2612-326c-47d8-b0db-782324f7fac6)) + (via (at 94.5 129.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0044d0e4-83a6-4810-9a7b-9b39945cba1a)) + (via (at 135.763 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0078c8ce-63a6-465a-8578-f195cfeb452f)) + (via (at 107.823 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0095197b-6b52-4e93-b806-aaea6cd09e70)) + (via (at 116.5 120.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00d37e50-8a4f-4f68-b338-bc86d4b1536c)) + (via (at 106.75 97) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 00d771b3-7822-45cd-976f-3d5697e4cbbe)) + (via (at 65.532 124.6505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0116bddf-f51f-40eb-aed5-5f9ee16cb1da)) + (via (at 85.15 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 016cdeff-c688-4f33-9541-1894923d4838)) + (via (at 143.383 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 01b0a17e-1907-4496-859f-baf9c902c595)) + (via (at 117.45 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0200f8ae-7ce6-4dbc-b025-b0d5a0bf627a)) + (via (at 76.35 104.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 028e8bb1-18f7-4202-83ef-29135cf2c6e4)) + (via (at 118.11 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0319a741-fe21-405a-9cbe-f9f1cca75db9)) + (via (at 107.9 110.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 037b3e91-3b6a-49ac-ada3-401d66071569)) + (via (at 127.45 104.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03895961-9d0f-4824-a811-6adcd460efa7)) + (via (at 121.2 120.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 03b109ad-1df0-47b8-b808-1c4ffb9e8a6e)) + (via (at 123.063 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 04850eff-ce6e-453f-9850-404367d73f57)) + (via (at 67.183 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 050b9a50-2253-4f25-a647-1981eb824332)) + (via (at 83.65 102.899) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 05635021-4f12-432a-8df3-76731c59bfed)) + (via (at 51.435 109.601) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0581cad7-567c-4884-8f42-3540dd178230)) + (via (at 120.777 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 058860a0-fc6b-4c92-8c9f-b718e7de943f)) + (via (at 64.262 115.697) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0590b907-da5d-48ee-a1db-b34561dd8822)) + (via (at 82.55 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 05b93cd6-3a01-4e5f-9532-1a866413aca7)) + (via (at 140.843 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 06507cd6-c74d-4979-9ea6-91101e05895e)) + (via (at 78.2 105.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0654ce7a-ece6-4482-8aec-723f88aa948f)) + (via (at 133.223 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 06fa5a66-5d7e-4d12-956e-9c6e787dc589)) + (via (at 92.71 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 07608b50-bb1a-4fbc-8f19-4da5a132bb57)) + (via (at 97.79 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 084d96b8-4c3c-45c3-8ed3-6494d913f734)) + (via (at 138.303 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 08cb56c0-7c0f-4008-a3aa-8fd91dd274f0)) + (via (at 64.643 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09a55995-3957-412c-a72f-3cc9a3220aa5)) + (via (at 93.15 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09cf0d9f-3ae9-4e41-9137-427ebc636305)) + (via (at 121.8565 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 09d5d79b-fd19-43bd-b48f-b1771be726b8)) + (via (at 77.475 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0a3f45f5-3be7-4103-b0d1-1028a4eaf915)) + (via (at 64.643 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0b0426ed-dc50-41f6-a733-4d180e012234)) + (via (at 56.515 89.916) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0c1b38eb-206b-4ead-9036-bac56e702b48)) + (via (at 97.663 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0d53cc0e-77cc-4dad-a083-791c2178d0d8)) + (via (at 138.303 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0dc3e2e9-4fbc-4293-a1a0-7711ae203461)) + (via (at 72.263 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 0f599c10-b5e8-4ea7-9079-bb2842bf9ff3)) + (via (at 136.2 119.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 106fead2-ab6f-4ed9-9276-55f95ea46ead)) + (via (at 102.85 102.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 107d38ab-62db-468d-b795-8db656e427de)) + (via (at 89.55 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 10a66d98-2421-455d-8be6-2ee62287aa5e)) + (via (at 95.55 91.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1198e065-846c-42ef-85a0-5347b6ab8e9e)) + (via (at 51.435 114.681) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 123ca99d-ea5b-4eef-a756-857c6e085bbc)) + (via (at 69.088 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 124c53c4-0efc-4ccb-8d95-24ea5e4fc63f)) + (via (at 64.643 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 136446e4-7509-468d-9306-28c5704151fe)) + (via (at 67.183 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 139ff8e4-b7ab-4bc8-8f98-08534ea30864)) + (via (at 138.303 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1467dfe7-151b-489d-8f98-31098cf99cb2)) + (via (at 133.35 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 14b9adc5-aff0-463a-a1c9-2259e8fb1fae)) + (via (at 107.95 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 15a3460b-5828-4dcb-b9ee-7fde59183ee3)) + (via (at 107.55 100.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 15ae8bb2-bb22-4f88-81b6-ff1ebc81b8ce)) + (via (at 62.103 97.8535) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 15b0f08c-1594-4909-80fb-c7a5cb665cb4)) + (via (at 138.303 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 166f012d-87dd-453f-a496-48d141fd564b)) + (via (at 77.343 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 18180af8-7570-48da-af49-267832d428ae)) + (via (at 102.875 105.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 195f0f25-2fbf-4b7d-8910-d55201391081)) + (via (at 115.443 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 19b08f0a-b25f-4895-aa21-55f7040285de)) + (via (at 49.55 104.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1a7009ff-4ba2-4332-a2e0-9b4ddef46758)) + (via (at 52.5 97) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1a92de24-244d-4b19-8697-bda3767fdcb8)) + (via (at 48.895 117.221) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1b30e592-a285-4e6f-997b-3315b7f6dc42)) + (via (at 89.4 129.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c157ab2-b679-4026-b813-1454709671e8)) + (via (at 129.15 118.7) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1c686292-b800-4c00-afc2-ff67d331616f)) + (via (at 105.05 98.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1cad0257-28e1-4af7-9b08-02126e94cc76)) + (via (at 138.43 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1e37f16f-56d6-4bfd-86db-f945e1e90575)) + (via (at 90.9 126.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 1f599b20-ce97-4762-bd77-c7b97cd97881)) + (via (at 113.05 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 218ae6e9-aa30-45b0-893e-e79f8753cbfc)) + (via (at 123.05 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 219be1e4-5ffd-4767-9a0b-f7614b3dba0f)) + (via (at 56.515 104.5845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 22458358-5cff-4441-8cf1-87a6ba612915)) + (via (at 99.4 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 22670e3b-7149-4e8d-932c-0aa41386a761)) + (via (at 135.763 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 22de5585-9d00-4fca-b976-9711a9e7b65a)) + (via (at 56.515 109.6645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 236e0f01-f5d8-472e-9f77-57d39c05acd4)) + (via (at 79.883 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 242a5ffd-c8ef-4d4e-b627-edfc9cbfe669)) + (via (at 104.65 105.05) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 249871c2-92c5-436d-8a33-8f831460f136)) + (via (at 100.55 102.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 252304ae-ae0e-4980-b725-c273ccb5fa4d)) + (via (at 103.75 105.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 25878fcb-b4b1-4700-99b3-9b950711de01)) + (via (at 74.803 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 26a4d5c5-a41e-45a3-8458-fdc723838289)) + (via (at 91.95 129.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 26a7e754-483b-41d4-b00c-0f6c68fa5c91)) + (via (at 123.05 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 26f3f629-1920-41e2-bda5-74e081b1a69f)) + (via (at 88.55 91.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 271dd4d5-fb70-497f-aa72-df13524fbb66)) + (via (at 117.983 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 28887e66-0a9c-4390-a33a-9af4c280b76b)) + (via (at 53.9 89.1006) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 28c24490-d6cb-4d39-a150-955c144274a8)) + (via (at 87.503 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 290d5333-5bcf-4a35-8c5d-4452155aa144)) + (via (at 59.055 112.2045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29167736-a0ff-415c-9429-0c3af1b730c0)) + (via (at 67.183 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29788080-6ea2-42d6-bb04-2976af719fea)) + (via (at 109.4 99.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29e79457-d28f-4e1b-8012-5bb66f1acd78)) + (via (at 52.1 91.6506) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 29ed3ce7-b052-4376-812c-eb8c88b17030)) + (via (at 103.15 129.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2a18a945-890f-4e9a-bc0d-0ebfc0db8795)) + (via (at 87.5 100.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2b1984d9-5d69-4974-94dc-4ca84f694bae)) + (via (at 89.45 104.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2b4e6d30-877b-4f76-9724-589e07605953)) + (via (at 128.143 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2b893fa9-0207-4174-98ca-c303b255cccb)) + (via (at 125.603 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2c5eb120-c0a4-4e9a-bcb6-50c8dead6627)) + (via (at 69.723 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2c78870d-d0f2-47d3-bd48-569504bc36d9)) + (via (at 109.75 95.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2cdda730-07db-4e33-bfb3-dd02a7411814)) + (via (at 66.675 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2d69138d-a7dd-414b-8e9d-5fe8ec3ede26)) + (via (at 62.9285 100.076) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2e3bca58-c086-417d-82fc-fe50f3d74ded)) + (via (at 68.1025 116.03) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2efe2da1-39d3-46e2-b0c9-312d6484a3a4)) + (via (at 108.05 104.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f22a340-942e-45e1-8471-6fcd4fd1b714)) + (via (at 46.355 129.54) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f73a73f-560f-4167-bf9d-57006155100e)) + (via (at 136.398 100.838) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 2f966f9b-a6ef-4788-9f3a-1ab03b5d73ab)) + (via (at 134 123.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 30a2c83d-67fe-4333-b2b5-1d06919e7485)) + (via (at 99.75 114.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 30d5aa28-d8f7-4cea-80e0-0b897d38e015)) + (via (at 96.55 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 311725e4-465d-43fd-a7a1-6707e28d000f)) + (via (at 53.975 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 31efb545-5b55-40ca-9e4b-429038a00b1d)) + (via (at 62.103 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 32a6d0a5-5702-4510-81da-4274255ed99b)) + (via (at 51.65 96.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34157247-e425-4b27-8109-2cdd3831f3c2)) + (via (at 63.754 92.1385) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 344b0d87-8e9f-401f-9c55-4ae4f3bb92fe)) + (via (at 88.05 129.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34afffd7-13be-4957-a40c-051c97de6389)) + (via (at 86.35 94.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34d99fc8-0873-4e35-9858-d10cc4f68e73)) + (via (at 84.9 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 34e75ec4-eb4d-468b-a14f-4f6038bb0d1d)) + (via (at 67.183 111.379) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 35be408e-16ff-4ee2-99aa-1d284ead9e91)) + (via (at 113.7 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3609a3be-d23a-45bd-b0d7-8ae9b2e8c7b3)) + (via (at 48.133 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 383e3db7-0f00-4c7d-bf28-fccd4d7c0a2c)) + (via (at 100.33 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3841a6ef-1cf7-4cde-bf96-128c2e03fca0)) + (via (at 114.3 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 387110d3-549c-4ec3-9782-ec10fb05cc69)) + (via (at 102.87 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 38fe6000-ffcc-48e3-b772-9f2c2d63833d)) + (via (at 143.383 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 395063e4-af68-4b84-af9c-70f5da51e419)) + (via (at 140.1 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 39aa1751-337b-4228-af70-55d8cf3b96c1)) + (via (at 103 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3a490b2d-5321-41de-84f5-6cac9ac5f4ab)) + (via (at 70.485 119.38) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3bc7f104-0bb6-4d69-97da-0981ef6398ad)) + (via (at 118.65 110.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3c7ce8b9-2a07-4701-bd09-6620b9adc5c2)) + (via (at 130.683 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3d687d80-3a1e-4d2a-9cbf-27222befeaa5)) + (via (at 64.262 118.491) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eb4090f-bc3f-4196-8580-2c6fedd43f67)) + (via (at 126.45 95.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ecac774-0cb7-4bfa-a1d2-2d2fe9b03d88)) + (via (at 51.435 104.5845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ed3f910-fbd6-4b34-8b36-cb50b892d5ee)) + (via (at 144.78 123.825) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3eddc33b-59ee-411e-982b-faf58894ff66)) + (via (at 105.05 107.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3ef055f2-7841-422b-9aed-a33ec8c957cc)) + (via (at 59.055 117.2845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 3fb58193-1cd2-461f-942f-da5d9db2dd47)) + (via (at 106.85 99.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4042b1e2-4f26-43ac-9bf7-e970732768fa)) + (via (at 77.85 127.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 40d114f6-aec7-4d7d-b4cf-28d60206fb2c)) + (via (at 85.25 100.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 40d99a40-28ee-428c-9649-bd30aab0bcc1)) + (via (at 92.583 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4119e10c-ccd7-400a-9df8-ed101e633f5a)) + (via (at 60.833 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 411f78e4-7d63-45be-838b-91bcaa994bcd)) + (via (at 118.65 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 41c3c707-6605-4548-8e1e-2c832e18d743)) + (via (at 103.3 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 42e0acc3-2a37-4f74-83dd-3558985a309e)) + (via (at 106.4 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 45b42348-df56-46cf-bb82-a6d44dd0f52e)) + (via (at 115.65 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 46d39809-ecb5-4cc6-866a-0b6afdf1853e)) + (via (at 53.975 117.2845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 489e5648-7c35-41c7-80ef-4366697cc74b)) + (via (at 140.843 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4a4f29b3-2e54-45e3-81e8-304fb657bfe1)) + (via (at 59.182 92.9005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ad77cbf-3d1c-4f54-b4d5-02e65a9ea42d)) + (via (at 82.423 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ae6dc50-9436-4da5-bca8-5610bebe770c)) + (via (at 83.5 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4bdd4b4b-f3b8-4061-b56b-616db1b241e2)) + (via (at 57.15 91.186) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4c575c80-fb32-4a04-aed2-2f0bafcae3b2)) + (via (at 133.223 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ce1a152-94c1-41b7-942a-87334d425baa)) + (via (at 81.788 129.3495) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ce3e795-8649-49ef-b154-4ecd12b325b6)) + (via (at 100.203 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4dc21ec6-5c01-4ebf-a377-98999954878c)) + (via (at 104 108.075) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 4ed59ef1-4bb7-434c-ba43-95892013a5a8)) + (via (at 108.85 100.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5096bf66-e744-46ba-b345-329ab6c3346a)) + (via (at 82.5 96.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 50b4230f-ce97-4897-9877-85752de9279f)) + (via (at 125.55 113.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 52558c9b-a8ba-41b2-9d51-4fadb03364d2)) + (via (at 143.383 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 529627aa-2e76-495b-b1a0-3448d4f764eb)) + (via (at 144.78 103.505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 52cfb07f-2987-4864-a32d-d27abdb63857)) + (via (at 85.8 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5328669b-4d58-453f-a82f-01a280a67ff9)) + (via (at 103.95 114.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 53765909-f2ae-4b46-b3f5-f10fa196d51d)) + (via (at 105.41 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5395b61f-7331-408e-95f5-3f29f3e82050)) + (via (at 83.95 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 53dc96f1-4372-485b-8a80-9a50ae67637a)) + (via (at 115.443 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 540e5b13-29c6-479e-acb2-6aba37a77201)) + (via (at 135.763 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5455224c-ad40-4644-8975-66726c5148e0)) + (via (at 102.5 117.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 546a5f9a-7e20-4986-82db-4ecdf906b5e5)) + (via (at 92.4 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 54ac70e0-70c7-4faf-b64f-d75c491ec05b)) + (via (at 83.95 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 54fdeca3-1cf2-40be-a27e-fa82f9060527)) + (via (at 56.515 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55171a64-4778-4d6e-9652-06019ce9f010)) + (via (at 133.223 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5555b660-e817-466b-b63b-4b3e2729e776)) + (via (at 122.05 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55a3f6bd-4d1a-4bc6-a9a5-8598faa5dd4f)) + (via (at 91.95 126.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55b5a7e2-e5c3-4b77-860f-e9dc958438ec)) + (via (at 128.143 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 55c18f96-9b13-483f-8aa1-a26f83e102d1)) + (via (at 111.55 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5654a2dd-6276-4ecc-8c55-c1076a312c83)) + (via (at 128.143 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 575fa6a1-4470-466b-9886-5b0e0452f65d)) + (via (at 143.383 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 57cf1ccb-146c-4df1-a0b7-df39250a3859)) + (via (at 130.35 122.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 581423a1-8b49-4e29-9da9-66720d52cac1)) + (via (at 64.7065 100.076) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 59f2ee1c-c395-4a2d-a1b5-64d5ffa6bc0c)) + (via (at 143.383 121.285) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a648d5e-a072-4d16-b643-c39f325026ef)) + (via (at 114.05 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5a723e84-20c3-4c2d-8d97-49b026f04e55)) + (via (at 87.4 111.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5af8bd07-06e0-4a9f-a4c9-decf3cfbc982)) + (via (at 97.45 126.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5b6f47b8-7508-480a-ad25-d29f509452df)) + (via (at 95 127.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5bf001ac-0787-4e85-83f1-20af43f31b30)) + (via (at 58.293 91.8845) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5c35a670-6ed5-461b-91f0-891f39f7758e)) + (via (at 123.25 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5c7be84d-4a68-4a08-b9e4-ff53f45a5a4f)) + (via (at 71.247 112.8395) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5dcc9faf-c76a-4b5f-b299-74236c05576e)) + (via (at 48.895 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5e9cc058-78f6-487a-8d2c-0600ee8e4f9a)) + (via (at 130.683 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5eb043ff-22ca-4527-8d0b-53ded6c41bd8)) + (via (at 122.05 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f3ae516-aa93-4227-91d5-480112211a4c)) + (via (at 51.435 124.841) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f3f8c5c-321d-4db5-aa7f-3e82d2dd3601)) + (via (at 110.363 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f5b945c-5c09-49e2-ab54-d00d39964eaa)) + (via (at 50.673 94.615) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f82992a-2c2b-4056-ae72-99951e3e177f)) + (via (at 110.8 102.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 5f94943e-991b-42ff-a74d-7ac566fc9683)) + (via (at 128.27 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 61354221-91e3-46b7-89b6-c60e1d9af3fe)) + (via (at 98.2 110.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 621c7bc6-bfbf-4d17-a38e-e52ee294aa0d)) + (via (at 102.743 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 63199b80-2aec-47ac-9ea3-0744add3c995)) + (via (at 57.023 100.0125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 635e30d0-0407-45e4-87e2-0f4715d94ad7)) + (via (at 135.763 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6422168b-8784-4f31-8d83-636e28ab19e0)) + (via (at 69.723 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 64da8356-2f28-4c8f-82ab-f890242e493d)) + (via (at 112.05 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 65150494-81fc-4acb-ad82-637244768c1a)) + (via (at 107.9 106.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6596e606-b7af-4008-8749-2df72e81ac37)) + (via (at 77.343 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 66593293-87c8-4c9b-9dbd-7612051f2af4)) + (via (at 93.15 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 66b861f2-eb37-4196-a22a-65a4628f8910)) + (via (at 105.283 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 66cb5934-e328-46a1-9c4e-84d0a6cb193a)) + (via (at 96.3 92.59999) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 670b4d58-78dc-4743-8ff1-bd14115774e7)) + (via (at 123.063 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 67b7e327-f18c-4851-a7ab-a510a819f663)) + (via (at 74.803 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 67fb33ae-c1e2-461f-8161-ea37157a07da)) + (via (at 70.8025 120.7135) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 68600f9c-f1a9-4dea-88af-ea105d28659b)) + (via (at 59.944 84.328) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 688afffc-68cf-41bd-b7be-5f6ff1b57ef9)) + (via (at 144.78 82.423) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 68e7a8b6-35b5-4dd4-90ee-0e90150f02aa)) + (via (at 133.223 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 695e96c2-c12c-4bcd-ba2c-c11397815856)) + (via (at 98.4 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 69622f29-b676-4b39-9dd7-8493ebc93b2a)) + (via (at 71.755 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6a08c8ca-a0f7-45d4-9fd2-3249b794daf3)) + (via (at 140.843 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6a2891d5-2d9c-411d-8d9c-545e09b7eff7)) + (via (at 91.15 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6b15ec5e-6092-401b-9d68-dda65c595704)) + (via (at 101.15 93) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6b54baa8-fa04-4331-a744-969d6b2d5132)) + (via (at 135.763 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6b91a781-531f-491c-beb5-e43af4bee5c3)) + (via (at 106.9 126.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6ba45f02-3c72-4c40-a6b1-d73843dc9905)) + (via (at 118.65 105.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6c002042-5f7d-40e1-866f-67f977c6f02a)) + (via (at 51.435 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6c8188f8-7c29-498f-a9fd-7a7a63a81439)) + (via (at 120.35 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6d5ee040-f3a5-4378-8d15-88b40727bc59)) + (via (at 82.423 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6e5afb31-2f67-4eb0-96c9-1094223107cb)) + (via (at 125.603 118.745) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6eae82f3-5fae-4032-b0e1-93a1c9ba2ed6)) + (via (at 61.5315 114.7445) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 6f1c65ee-d0ca-41a6-abc0-701fd327c001)) + (via (at 97.2 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 71584805-ce6a-48a0-bd38-0dd0837e9483)) + (via (at 50.75 96.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 718831e6-c47c-481e-8c5b-74032230f9e1)) + (via (at 111.55 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 71bfae2d-0637-4de5-8db1-a05e6e676945)) + (via (at 59.055 107.1245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 724748b2-0d40-4677-9f49-5a707ac03e2a)) + (via (at 117.983 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7286f930-da1e-427b-ad49-c0936e1cf2e4)) + (via (at 59.055 122.3645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7352f88a-4226-41e3-a2f1-3c1758afed75)) + (via (at 130.683 128.905) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 736aad82-483e-4d56-af0f-dbc1b0178579)) + (via (at 84.6 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 73835d00-ad2b-4268-a050-1fba28e73bcd)) + (via (at 56.515 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 74350283-aebe-4cce-b44c-546874b12c94)) + (via (at 125.603 123.825) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 74bff47e-3e06-4829-95ab-f7077517ac4d)) + (via (at 84.963 88.392) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 75911bb3-c9e2-43aa-83be-69848d3cd30f)) + (via (at 112.903 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 78c613b4-ede6-4722-8f4f-630daf29d00c)) + (via (at 111.75 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 796a46cf-fe9a-41fa-9912-7118ac7278dc)) + (via (at 128.143 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7b59b784-0727-4c86-b809-4d34b9ba7456)) + (via (at 118.65 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7b971473-fe8a-406c-8b4f-110bbe6df9f4)) + (via (at 47 104.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ba336bd-3931-43b4-9dcb-44141966d610)) + (via (at 63.5635 114.9985) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7c6cb22a-b4f9-4ef9-b430-0b22bbe587e8)) + (via (at 123.05 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ce7d437-eb25-4f72-bf93-85cc66fe3b10)) + (via (at 80.75 129.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7d47203f-30de-4ae0-a099-a51905dbdf97)) + (via (at 86.9 126.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ec81953-7823-40db-b38e-16243cb035f7)) + (via (at 53.975 122.3645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f13e0a2-201d-46a3-9292-004346b3d175)) + (via (at 119.85 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7f8420b1-a5ac-48ae-8bdf-7357211f876e)) + (via (at 127.45 93.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 7ff722a9-1401-451c-89ac-5bceca3d7f03)) + (via (at 76.6 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80620d25-18a4-4c76-8684-cd945a42cc56)) + (via (at 104.2 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80a9aa6a-a97b-4ffe-b237-fac7bab96a19)) + (via (at 110.1 128.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 80da4d0c-4172-4a8e-a66a-f9e68fae9ef7)) + (via (at 89.3 92.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8100ed7b-c9ba-4f26-ba1c-1ac79c663909)) + (via (at 120.523 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 81a16fc1-e73e-4f3a-861c-ecf2ba7f0e9a)) + (via (at 65.786 111.379) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 81e81f59-6c03-46ed-a18b-63742d97fd9c)) + (via (at 130.683 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 82df253b-0836-486a-b046-40aef4e73a87)) + (via (at 62.103 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8319ddbb-7ab8-4ebe-bdaa-e2370e36525f)) + (via (at 57.912 86.36) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 834b809f-9130-4dfa-8bae-309b14f24c9d)) + (via (at 86.85 129.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 835d161f-67dd-4091-b617-1596ded38ccc)) + (via (at 56.388 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83cd0bde-2b9b-4fb6-a2f9-8a1e94708378)) + (via (at 64.643 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 83ceb150-f400-4055-9e45-de20e066dd1c)) + (via (at 118.35 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 840131d4-042d-4506-8fa9-9cb1aad7bff3)) + (via (at 85.2 97.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 84236c28-d35d-4e16-9f02-bfa74558b5d1)) + (via (at 83.15 94.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8436d7ae-2fbc-4044-9438-f768438ce662)) + (via (at 96.15 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 84721ca2-d167-4c7e-9936-a2c4adddc806)) + (via (at 90.17 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 85142622-e47d-452d-87c5-ccb3601d12dc)) + (via (at 99.55 128.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 85415c61-60a5-4048-b1dd-264dc1be8d2f)) + (via (at 74.549 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 864cc345-abb0-4927-bfb2-281065490b40)) + (via (at 108.9 113.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 867c3bdc-5547-4c24-b65b-2be671518dac)) + (via (at 102.35 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8680100a-0ba0-4611-ae3b-6f258aaca8c8)) + (via (at 69.723 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 86e31da4-284a-493e-9204-e8f30e8fa1fb)) + (via (at 143.383 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8708132a-c19a-4927-ad64-6085e7a97428)) + (via (at 77.47 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 87874745-8daa-49e1-b85e-16339221012a)) + (via (at 135.509 127) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 87ec9f7a-fb19-4473-a3d5-5c4e64bf59c5)) + (via (at 128.143 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 88e545af-c014-481a-a2b1-7b77fd968095)) + (via (at 46.355 119.761) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 89a0ece3-211e-4163-9ed5-f0efb40ea8f3)) + (via (at 69.5325 111.8235) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 89ff2551-035b-44ad-8e37-7041e40cb0e6)) + (via (at 81.95 102.899) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8a84415f-595f-40ca-92f9-7788423147f6)) + (via (at 91.6 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8b3a2a08-b4db-4d92-b0bd-c9f1d7e16797)) + (via (at 144.78 118.745) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8c822451-4c6b-44b7-b23c-82b48eb409f9)) + (via (at 53.975 112.2045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8da99ef4-e171-4385-9d53-92b57eb4e1c7)) + (via (at 118.65 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8dd35f9f-6803-471c-8802-2bbe246424c0)) + (via (at 138.9 123.45) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8e2b9e15-4025-4fb7-9496-295a34c68685)) + (via (at 53.848 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f1b8cef-56be-44e6-8b3b-48b383b56003)) + (via (at 78 107.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f6191fa-7520-4b97-a83d-10206f5e8f79)) + (via (at 88 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 8f9e7ebf-12ae-443b-8356-92a9795a3dca)) + (via (at 52.0065 90.297) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 918d63e9-bf67-4c89-a3eb-aa9f527a8590)) + (via (at 105.05 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 91abdb1e-a7e0-4d77-8115-0ffea620a89e)) + (via (at 138.4 119.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 91c5e535-7611-44dc-a7d3-07b8280842ca)) + (via (at 55.4355 88.773) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 92301bab-19d3-4763-b920-ad4ca5dd4f65)) + (via (at 113.03 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 925332a4-9d94-4e72-af27-4268e53be5b1)) + (via (at 109.95 107.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 92581796-31c6-489f-b6a3-2891fea1ddb7)) + (via (at 82.8 102.099) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 93078ec1-da5d-4017-a239-85e35208493f)) + (via (at 59.182 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9343b0ee-ccb4-42e5-a578-50112509477b)) + (via (at 51.435 119.761) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 937452fb-2da2-4db3-b6e6-bb83ff000300)) + (via (at 132.75 122.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 93b7f08d-b265-4399-9cfb-0a1054c6d383)) + (via (at 112.903 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 93cb487a-0cff-485a-b30b-be42494e8104)) + (via (at 59.563 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 94c7868c-bdca-4f22-b93a-7628da71430e)) + (via (at 139 118.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 953b89a3-5a78-4e26-a84b-6a916f6cb24e)) + (via (at 48.895 107.061) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 957c0d31-993b-4405-a910-4b555f4328e8)) + (via (at 106.35 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 95a9a2f3-da5f-48bd-bad3-16d2cbdbc485)) + (via (at 114.05 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 95bbb77d-5030-4f79-955c-bafc9e4cd4ce)) + (via (at 132.75 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 96297358-a1ae-4f36-b37b-8ffe48fd349f)) + (via (at 112.05 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 962f3295-e54c-464c-aa97-8e4ab44a67c2)) + (via (at 75.7 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9641567c-e163-48d3-b742-93123075848a)) + (via (at 90.3 129.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9647741e-e18c-47ad-9505-7bcdb5ed78ae)) + (via (at 51.435 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9664f286-10e5-4555-a3d4-ad102aa77211)) + (via (at 98.7 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9701e8f3-9c23-43bc-af66-7b0b78174d0c)) + (via (at 144.78 98.425) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 974082ce-2e0c-46d0-b8e2-510358027b75)) + (via (at 84.65 93.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 98157e6b-fb00-4a26-9f1e-3550b56b68a0)) + (via (at 61.595 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 982334a4-ab11-45f5-80ff-1e3777a1af24)) + (via (at 61.5315 119.8245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 983ecc48-b10d-4364-8722-bb02b7752291)) + (via (at 85.45 108.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 998f33ee-e340-45fb-81af-30fc882b3d09)) + (via (at 87.15 92.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 99d713ed-c5a4-4332-bd49-ebcc3798ab12)) + (via (at 50.75 101.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9a6c083e-46f4-4ed3-b3db-fc423983ab9b)) + (via (at 143.383 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9bc85bf0-42dc-47c1-82fa-3da9c274bc26)) + (via (at 125.55 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9c0aec2d-4194-4308-96f7-d502b5aaf2bc)) + (via (at 109.5375 126.8095) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9c60a224-e85f-4132-9b6f-2e53e7cc80a7)) + (via (at 63.9445 119.5705) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9cb943d6-71b7-4504-9cc3-6925f4cb8104)) + (via (at 144.78 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9cd8a53c-bb48-4175-98cb-d6fa072d8390)) + (via (at 98.65 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9d4963da-cbe6-4c4e-aa95-874e81b8725f)) + (via (at 118.65 108.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp 9dce04f1-7b2f-42b4-8682-a2b0031eff7d)) + (via (at 135.509 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9ded6bb0-319e-4b4a-970c-60b87b0d5bb9)) + (via (at 118.65 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9e3ab369-d7aa-4611-9ea2-f39b5ae1a58a)) + (via (at 106 100.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9e7a58ff-d7a2-4d3c-b7ba-4ab4581e5ca7)) + (via (at 69.723 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9ebbac37-f842-44ad-806f-10d81025d3f4)) + (via (at 102.1 129.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9f7f52f8-3d99-4a3e-ad1f-1b453619c386)) + (via (at 131.55 118.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9fce2c4a-a323-4798-a101-e874bd24d535)) + (via (at 79.883 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp 9ff32be6-cc2a-4416-8b11-bc7cedec3fb7)) + (via (at 86.4 109.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a072609e-4c6f-498a-aaf2-c1dc0df72993)) + (via (at 112.2 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a0d95405-5094-4300-996a-2dc943abe85a)) + (via (at 135.2 122.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a21f5052-352c-418f-81a8-c8555f8e65ae)) + (via (at 141.3 123.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a22735fd-bfbb-493c-bba6-44c110c104e7)) + (via (at 126.45 109.5) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a26aed8d-4cc7-4a2d-ac29-bb21bd8b93ac)) + (via (at 134 118.95) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2e724a7-59c5-4d7f-8747-6b8e816b7273)) + (via (at 133.223 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a2ebd2aa-63f0-4925-a6c1-afe5c437ff84)) + (via (at 61.5315 109.6645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a546ee4f-ebae-40a5-adae-9dd8e91366c3)) + (via (at 110.9 99.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a661defa-edc2-4948-9b20-a6d984152fda)) + (via (at 105.25 110.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6887761-1201-4406-bdd9-d942d60445fd)) + (via (at 111.75 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6d5ed7b-2a62-48c5-8757-bdbf9801aba3)) + (via (at 117.983 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a6e4204d-91bc-4775-b44d-387894ada114)) + (via (at 60.071 104.4575) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a7288609-200e-4bae-ba0b-e39c45f7676b)) + (via (at 64.643 103.505) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a7e4de82-49af-4da8-b7e4-7df93448ba72)) + (via (at 112.9 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a7f70fee-69f9-4674-8999-14119feeae68)) + (via (at 144.78 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a804ed63-7693-4f58-a492-cac2f100f254)) + (via (at 46.355 109.601) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a81ce66b-3eea-4c60-ac50-4b98aed12af7)) + (via (at 53.848 95.123) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a86f94bb-74bb-41f8-afd9-ec0f16cf4099)) + (via (at 58.928 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a8857544-bf9f-4e0c-92b8-5b8f4e8ba77b)) + (via (at 131.55 123.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp a8e9facb-629e-47a2-9801-2768d1843021)) + (via (at 46.355 124.841) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a92a0e6a-5fcc-4936-b42c-c3609207fb6e)) + (via (at 57.404 92.9005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a9502034-0258-4128-90fc-8531fd4e5bd4)) + (via (at 53.2 90.6506) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a96281a7-dab3-4475-a62b-c1163fbd9fcb)) + (via (at 73.787 115.3795) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp a985e212-3429-4d1b-b4d3-2f9536e489e0)) + (via (at 129.15 123.35) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp aab3ff66-96fb-4f19-85b6-62bc13b84b0a)) + (via (at 91.7 94.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aac3a9e3-7a86-4a09-92f6-f1e96f8bf229)) + (via (at 138.303 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aaf12503-16e0-4b22-9b0e-a993ffeb7dba)) + (via (at 79.95 128.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ab3da04a-8fc7-4e44-9c89-f9cd3e4ac2a3)) + (via (at 143.002 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ab490fc5-d042-47c5-a9f1-c01427aa9e89)) + (via (at 133.223 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp abac1242-42a6-4539-8e11-0088d7117e06)) + (via (at 62.103 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ac630860-7778-4449-a5ec-37f7b74cca05)) + (via (at 77.216 98.298) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae4162b5-d0f0-4407-87be-9e2d6f28fcfb)) + (via (at 110.75 96.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (free) (net 2) (tstamp ae53a75a-6e6b-44a9-b7fa-d4e59150d08b)) + (via (at 114.05 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8bb30e-5dd5-4a4e-a738-3e3e5fd252b6)) + (via (at 76.454 97.0915) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ae8f191c-55aa-4eff-a354-be971ad8ffbc)) + (via (at 56.515 124.9045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp aed94884-d774-49ed-90fa-e6c5ba3e36e3)) + (via (at 98.55 128.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp afc3775c-42c5-484c-b31a-1a4f3df9bea4)) + (via (at 62.8015 96.4565) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b1295d7a-13a1-4a49-a538-f4b57bef1765)) + (via (at 50.35 91.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b195c7e8-a30d-43a8-909b-380cec682c6a)) + (via (at 138.303 111.125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b20285e5-da39-4fb7-bb8c-d89d16cf4aa7)) + (via (at 117.35 121) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b3471d80-78bd-405c-9aad-2a621f17160e)) + (via (at 85.25 95.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b37e6cf9-3844-431b-bde9-90dc54ef6294)) + (via (at 80.01 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b394de97-2e80-4c06-aaff-a2518615d4fd)) + (via (at 144.78 129.54) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b4642292-0004-4986-964f-39cba311e38d)) + (via (at 107.35 109.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b47490ee-a4ff-41b5-b8d8-d494b1885f3f)) + (via (at 118.65 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b52850d5-b7d7-48ad-98c8-13564e4603c2)) + (via (at 130.683 113.665) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b7fac1e5-30c6-48cc-80e8-9463c5d8852b)) + (via (at 112.9 91.95) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b873072f-75ae-4400-b5a8-f6662da19ad4)) + (via (at 143.002 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b8875d18-3d6f-4d8b-a3d1-c49affd94605)) + (via (at 135.128 100.838) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp b9caabe1-2940-4482-b662-775ea350c8fa)) + (via (at 94.1 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bb194516-f388-44ed-8922-89da64d02e3e)) + (via (at 95.123 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bb9cdb25-088c-4b22-b37d-dcac0a042a5d)) + (via (at 52.324 94.5515) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bdd0d8ee-6fd9-45d4-af02-fe5664342a77)) + (via (at 62.103 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bdfd1fcf-d42c-4baa-aba6-b37bd2d7df06)) + (via (at 59.055 103.8225) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp be19507a-cead-4353-9f55-41beb69fc26c)) + (via (at 100.203 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bec9881e-c22c-4e92-8515-5053104e6ccd)) + (via (at 114.05 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp bfaeab6e-a111-4c55-a5e1-951ce5e7288e)) + (via (at 102.35 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c0046814-c17e-4b4b-9912-0b9053c0e277)) + (via (at 46.355 114.681) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c1a17c84-5e0d-4e1f-a381-59becb6337e6)) + (via (at 98.15 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c1b0e197-f31a-47ac-8ebf-ef40616989b3)) + (via (at 125.6 94.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c2dfd6ce-6dea-42e7-89b6-3b418e666dc1)) + (via (at 60.833 100.0125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c4b0f3eb-105e-4199-af4a-5e2635aa9984)) + (via (at 138.303 95.885) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c4d946b5-836b-4d58-85a4-4d0e6deed15d)) + (via (at 110.85 107) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c580ce21-5c15-4822-a4b6-c4a3f9e760fa)) + (via (at 56.515 119.8245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c5d4425e-0c73-4929-9842-2f11bef663cf)) + (via (at 117.983 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c6efd8c7-c1b9-44c7-bd36-a7268584062e)) + (via (at 55.4 94.3506) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c7df71a0-a603-4751-8a09-6dbcb8b4dd9d)) + (via (at 144.78 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c868f48b-5c25-48af-9bbc-742e407898bc)) + (via (at 128.143 126.365) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp c9e27860-5984-4946-9482-269f4afef219)) + (via (at 127.35 113.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ca1c7ea1-0342-4285-8796-79b977f3a404)) + (via (at 102.743 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cb490516-d3b1-4155-9758-e16626469bd3)) + (via (at 143.383 106.045) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cc42b92e-82bc-4996-85af-a93a8c3fe991)) + (via (at 97.663 86.9315) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cc56370a-43b9-4fff-82bd-e97d2556e228)) + (via (at 100.55 100.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cd5be9b2-f91d-4909-b3ed-4d1285ddd285)) + (via (at 105.283 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cda55ff5-6603-4645-9aa6-ae692175b5aa)) + (via (at 47 94.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cdf8c384-46fe-4a02-9fbd-60979e7083dd)) + (via (at 49.9745 93.345) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce540e5c-5b4c-425b-b59a-d4253f0f08ab)) + (via (at 135.89 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ce61fceb-1cb6-4841-af1f-30897e9d9c0e)) + (via (at 87.8 114.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cf2355d2-7435-42de-b302-b22dd9ba156f)) + (via (at 83.5 100.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp cf8b64d9-731c-4c57-bff2-63adf1bff59e)) + (via (at 86.65 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d024a4e1-1ba5-48fa-aa42-7c645e145bbd)) + (via (at 109.45 103.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d090a1b7-3ffb-4fea-b1bc-dc447111e8c5)) + (via (at 95.25 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d0a8024c-4422-44a3-bb99-4a333c4bd752)) + (via (at 72.263 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d17852a8-e093-4198-aa57-ec3143a0e29b)) + (via (at 49.55 95.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d1eaba48-6b06-4e88-9467-97168a085192)) + (via (at 123.19 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d220f2eb-e561-49cd-9c34-90a503223c5e)) + (via (at 87.63 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d3dc4b7d-3dcc-454a-90ca-60a64f7ddb98)) + (via (at 59.309 90.4875) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d447cff0-2cea-466d-9677-28d009621ea1)) + (via (at 111.75 92.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d48a06e9-8a8f-4593-a8b1-025dc425ef4b)) + (via (at 130.81 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d5239d78-0924-409d-90bc-86df1f263ec3)) + (via (at 72.263 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d62f7c56-2b95-449b-9795-ea7b6cf81627)) + (via (at 50.75 102.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d67effba-ecce-4481-9d7b-bc9352f54e6a)) + (via (at 67.183 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d6deb891-73da-4dad-817c-b26d8fef97b0)) + (via (at 126.45 114.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d791d52d-d88f-4613-a557-f76cb392e505)) + (via (at 101 111.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d8ad5fbe-a7d4-46c6-8419-1a4963b98dc8)) + (via (at 120.523 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9104138-e5fa-4e29-ad3c-3f2cc939a949)) + (via (at 123.063 126.365) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp d9db4216-de03-4ccf-ae0f-b913b7cede57)) + (via (at 85.09 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp da49f4d3-0ddc-4f23-8d62-3b429a572dd4)) + (via (at 127.45 108.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dad69e48-46e0-4a84-b1fd-ac1854da706d)) + (via (at 53.975 107.1245) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp db8726d5-f5e9-4525-9f9b-a4a712250fc5)) + (via (at 92.55 127.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc03da78-20bd-48ad-b546-1a721eb948ba)) + (via (at 125.603 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp dc0d70ac-2166-401b-b7b9-a6950489fa0e)) + (via (at 113.1 120.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp df580ab1-92e1-42a8-9764-3b704fbc716b)) + (via (at 92.45 112.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e05fe230-b522-4a82-8e52-833b2fc3c3ee)) + (via (at 114.05 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e10ab79a-2f82-4e0d-a640-b694a2918d23)) + (via (at 63.8175 99.06) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e24c9585-65f5-4532-a5f6-0073ba4c764a)) + (via (at 107.2 128.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e2a70fd5-c1b9-4b5e-b488-f81074210ba5)) + (via (at 65.278 119.507) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e2fb452c-9d71-46ea-ad21-a12b6fec3bd1)) + (via (at 120.65 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e356a468-e7b9-4be6-91f4-6e7678ecf861)) + (via (at 115.57 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e38a0828-a7a5-4de4-a159-f02d79ab7058)) + (via (at 123.05 91.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e3f00a26-bc8f-4ec6-ba73-1a0c58e5e253)) + (via (at 133.223 100.965) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e3f48980-a716-4218-99e3-e4cc7fb4d2c2)) + (via (at 87.503 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e4440f5d-1585-4711-8557-473a284ea5f8)) + (via (at 128.143 116.205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e560cd95-7836-4983-b99f-b0d2d8d2a94f)) + (via (at 105.2 128.7) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e5fba362-b9dd-4ee5-8534-1886dc419d74)) + (via (at 62.5475 113.2205) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e675ddd9-2c02-4d53-9b94-678dace5e91d)) + (via (at 67.183 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e6e224ce-c3f9-46e9-b071-88e58b2cfb4e)) + (via (at 92.583 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e8247815-4300-45e4-9277-1511bda77e60)) + (via (at 122.05 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp e88fc0ad-1173-4f3f-927c-aa92391765c3)) + (via (at 73.406 128.524) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp e9520f5e-23f3-49cf-aa2f-b6cab388136b)) + (via (at 106.5 105.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ea16d25b-9a1d-443d-946f-32a7736c3c29)) + (via (at 95 121.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ea532091-d220-4333-889e-92c50903575a)) + (via (at 104.7 100.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp eab73d47-36b5-4cdc-b5e6-cf37e14a5a03)) + (via (at 102.85 100.37) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ead48618-dd83-4c92-bd51-186904f5d9b0)) + (via (at 93.8 124.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp eae7e4af-656e-4745-95e0-e42346fde563)) + (via (at 64.008 128.016) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp eb542962-c787-4f14-b178-b8d6db32c163)) + (via (at 140.843 88.265) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ebe479d6-50d2-43a5-aa6c-1cea73de951a)) + (via (at 77.216 95.8215) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ebe76b65-df48-41f1-8968-4c471ccae20e)) + (via (at 123.25 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ec340938-2ded-4b0a-b610-b80ed4014584)) + (via (at 108.2 97.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ec56422d-3fa8-4bf9-a0a4-3f9dca1b914d)) + (via (at 91.4 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ec8a4235-4a79-4c70-99f5-756bccb09d05)) + (via (at 130.683 83.185) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ed76559b-0758-437d-939c-1790e2f825f3)) + (via (at 91.2 110.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ed9c6f33-162d-4d6b-a9ff-1a8b5620ad91)) + (via (at 107.823 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp edc639b7-9fb3-454f-a78d-d7e4dc70b43c)) + (via (at 48.895 122.301) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ee74b72c-55ba-4cc7-8466-576169ae0a78)) + (via (at 126.45 105.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ef5bc6fe-8f86-4952-8c9d-1aa35007db2c)) + (via (at 48.895 112.141) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f00c1164-6432-4e46-9877-175957545cc7)) + (via (at 107.823 90.805) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0568c8b-dd13-4c88-9855-04acf9906c90)) + (via (at 102.743 80.645) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0dfe3b4-7694-490e-b996-48d8fce2b919)) + (via (at 130.35 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f0ef46a8-e8ca-4347-ae4b-f2641f18d72d)) + (via (at 88.05 106.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f14bddfe-0460-4810-ad5c-76c0b32ecc35)) + (via (at 113.05 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f23df499-b73f-43f7-8cf6-f696cc46bfa3)) + (via (at 144.78 108.585) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f3e89f4b-040d-43a4-85b7-a2037614a16c)) + (via (at 125.73 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f411b0b4-ac15-4a2f-b401-5afff914b623)) + (via (at 78.8 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5205a54-71e8-4c93-afa8-6734ba5b0c67)) + (via (at 141.35 118.95) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 2) (tstamp f55e78c9-9337-4d56-b0d4-f4a7b90e06b4)) + (via (at 88.4 128.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f5eddeb9-2468-4a33-9bc1-a1070a7979c7)) + (via (at 128.143 85.725) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f62a784b-6cc7-4062-8714-33779c63d905)) + (via (at 46.355 93.472) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f652096c-fce0-41de-9aae-5c9ba030ddb6)) + (via (at 84.5 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f69d13b7-9992-4def-87d3-27e2a2df3617)) + (via (at 99.5 97.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f745d537-3e2d-4e44-a986-2931e8a17764)) + (via (at 68.58 111.379) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp f948ab2d-c52d-4c2d-bd17-3cf7f4dac459)) + (via (at 62.865 114.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fac39835-1a21-4dff-a096-d8d47e00fb20)) + (via (at 111.75 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp faeab624-b596-4d41-b724-e06f8192e729)) + (via (at 110.49 131.318) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fafe1f96-8251-4451-ac29-ab6db26a11ef)) + (via (at 56.515 114.7445) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fc5aaf18-9b9d-4133-93d6-98728af93ab1)) + (via (at 125.603 128.905) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fcb546a8-765e-43fb-8a07-0cde76eb44df)) + (via (at 92.55 129.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fd515932-8b79-459e-9ec4-11e90cb8022e)) + (via (at 110.25 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp fdae2b0b-776e-42cf-bcf2-9e3f7d3a27ef)) + (via (at 95.875 120.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 2) (tstamp ffce670f-5b9c-456e-a101-e19ebd739e9c)) + (segment (start 136.906 131.318) (end 137.16 131.572) (width 0.15) (layer "B.Cu") (net 2) (tstamp 12a7466b-b7b1-410a-8b2f-cba6fb16c09c)) + (segment (start 137.414 131.318) (end 137.16 131.572) (width 0.15) (layer "B.Cu") (net 2) (tstamp 1bc90036-0786-4212-b297-f6b69498df2f)) + (segment (start 68.1025 116.03) (end 68.1025 118.077) (width 0.35) (layer "B.Cu") (net 2) (tstamp 2adb3235-584b-4c30-b7e1-765a37a92382)) + (segment (start 135.89 131.318) (end 136.906 131.318) (width 0.15) (layer "B.Cu") (net 2) (tstamp 392f16a3-2512-404b-ba84-9d0a3b36ff69)) + (segment (start 69.6595 119.634) (end 70.231 119.634) (width 0.5) (layer "B.Cu") (net 2) (tstamp 56bca3c0-0cd2-4afb-b8ce-801eba98f63d)) + (segment (start 68.1025 118.077) (end 68.3895 118.364) (width 0.35) (layer "B.Cu") (net 2) (tstamp af0c195b-a564-457c-bbd3-41b7d27778be)) + (segment (start 137.16 135.382) (end 137.16 131.572) (width 1.524) (layer "B.Cu") (net 2) (tstamp b4a99654-6906-4888-855c-3a00abc44cb1)) + (segment (start 138.43 131.318) (end 137.414 131.318) (width 0.15) (layer "B.Cu") (net 2) (tstamp e6fbd36c-181b-4c7a-be57-45381ea5423c)) + (segment (start 70.231 119.634) (end 70.485 119.38) (width 0.5) (layer "B.Cu") (net 2) (tstamp e7624b52-8840-46ef-8e6b-77bec8a0dc7e)) + (segment (start 90.55 124.95) (end 90.55 125.85) (width 0.15) (layer "F.Cu") (net 3) (tstamp 6c983236-1b57-4265-b4b4-be938ec39906)) + (segment (start 90.55 125.85) (end 88.9 127.5) (width 0.15) (layer "F.Cu") (net 3) (tstamp 7b1615ba-c4ff-4aba-9ec7-5f1ccab0a5ea)) + (segment (start 88.9 127.5) (end 88.9 135.382) (width 0.15) (layer "F.Cu") (net 3) (tstamp 7e6041f6-9b88-4655-8f8a-2ed7739de57d)) + (segment (start 83.3 124.95) (end 83.3 126.85) (width 0.15) (layer "F.Cu") (net 4) (tstamp f5aa6762-4d3c-49c6-ab1d-e4b63c54c65c)) + (via (at 83.3 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 4) (tstamp c69504f1-b5ca-4a5d-b1e1-c07d6b63c55d)) + (segment (start 93.879 128.55) (end 96.52 131.191) (width 0.15) (layer "B.Cu") (net 4) (tstamp 14a6219f-bd30-47f5-8e55-a6cc2542fc8a)) + (segment (start 96.52 131.191) (end 96.52 135.382) (width 0.15) (layer "B.Cu") (net 4) (tstamp 396184fc-86a5-4442-8a19-a9552a2807f9)) + (segment (start 85 128.55) (end 93.879 128.55) (width 0.15) (layer "B.Cu") (net 4) (tstamp bb1aa7f0-2d84-4da7-a005-b221992b9796)) + (segment (start 83.3 126.85) (end 85 128.55) (width 0.15) (layer "B.Cu") (net 4) (tstamp bbbe8b69-de7d-4eea-9e88-f65bc44b473f)) + (segment (start 82.65 124.95) (end 82.65 126.1) (width 0.15) (layer "F.Cu") (net 5) (tstamp 673019e6-0c83-42ce-929c-116a230d88e2)) + (via (at 82.65 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 5) (tstamp 5b17deb9-5c96-4b12-9e04-d28b350516d6)) + (segment (start 91.639 128.85) (end 93.98 131.191) (width 0.15) (layer "B.Cu") (net 5) (tstamp 31d6f042-219f-412f-94a9-c1ca7ea70d26)) + (segment (start 93.98 131.191) (end 93.98 135.382) (width 0.15) (layer "B.Cu") (net 5) (tstamp 4a9201b3-3aee-46ba-a6e2-c73e6afbbd53)) + (segment (start 84.527 128.85) (end 91.639 128.85) (width 0.15) (layer "B.Cu") (net 5) (tstamp 4aa2f851-78f5-495b-97dd-5c3867ae04e7)) + (segment (start 82.65 126.1) (end 82.65 126.973) (width 0.15) (layer "B.Cu") (net 5) (tstamp 949f5657-9446-4a07-b48d-684704fe8bcc)) + (segment (start 82.65 126.973) (end 84.527 128.85) (width 0.15) (layer "B.Cu") (net 5) (tstamp d21b5530-c84f-493b-8142-92789c11861f)) + (segment (start 97.15 125.9) (end 97.15 124.95) (width 0.15) (layer "F.Cu") (net 6) (tstamp 29972ae3-a360-4209-aefa-5ca4fa7457f7)) + (segment (start 99.06 127.81) (end 97.15 125.9) (width 0.15) (layer "F.Cu") (net 6) (tstamp 52b5ecf6-4add-4c55-9a7e-632b2f0c1009)) + (segment (start 99.06 135.382) (end 99.06 127.81) (width 0.15) (layer "F.Cu") (net 6) (tstamp eb48527f-63ec-415b-b5b6-2264c34be4d6)) + (segment (start 96.52 135.382) (end 96.52 130.17) (width 0.15) (layer "F.Cu") (net 7) (tstamp 24b302ab-4a12-40ed-a45f-6f8a8bcc731e)) + (segment (start 92.5 126.15) (end 92.5 124.95) (width 0.15) (layer "F.Cu") (net 7) (tstamp 5fa005fd-d424-448f-9bca-f70e259be106)) + (segment (start 96.52 130.17) (end 92.5 126.15) (width 0.15) (layer "F.Cu") (net 7) (tstamp e461c147-5e71-40d8-9bf4-2331f5e81d78)) + (segment (start 91.85 124.95) (end 91.85 125.95) (width 0.15) (layer "F.Cu") (net 8) (tstamp 38232b6c-701e-45d7-9671-243adf559e2c)) + (segment (start 93.98 128.08) (end 93.98 135.382) (width 0.15) (layer "F.Cu") (net 8) (tstamp 5fd419dc-20d0-483d-a8dc-f4c2ed748666)) + (segment (start 91.85 125.95) (end 93.98 128.08) (width 0.15) (layer "F.Cu") (net 8) (tstamp a51c5be5-dd0c-4b45-a7d9-5ef69460b3fc)) + (segment (start 91.2 125.85) (end 91.44 126.09) (width 0.15) (layer "F.Cu") (net 9) (tstamp 68f71b8f-894d-487b-8cf5-704654cc45ef)) + (segment (start 91.44 126.09) (end 91.44 135.382) (width 0.15) (layer "F.Cu") (net 9) (tstamp 964e58a4-8aca-47e4-b738-78461c6814ac)) + (segment (start 91.2 124.95) (end 91.2 125.85) (width 0.15) (layer "F.Cu") (net 9) (tstamp 9b49f93f-edd7-42be-9c34-ed96d18aa2d3)) + (segment (start 89.9 125.85) (end 86.36 129.39) (width 0.15) (layer "F.Cu") (net 10) (tstamp 6edf0d6f-ad05-4d37-bbad-31b358b0d1a8)) + (segment (start 86.36 129.39) (end 86.36 130.81) (width 0.15) (layer "F.Cu") (net 10) (tstamp a157a674-b4f0-4bfe-874f-0c2567c36dc6)) + (segment (start 86.36 130.81) (end 86.36 135.382) (width 0.15) (layer "F.Cu") (net 10) (tstamp ce18e055-cb41-42cb-ac04-0ba01f518990)) + (segment (start 89.9 124.95) (end 89.9 125.85) (width 0.15) (layer "F.Cu") (net 10) (tstamp e19877e9-2446-4b1a-8519-6bb4ce93c428)) + (segment (start 89.25 125.85) (end 89.25 124.95) (width 0.15) (layer "F.Cu") (net 11) (tstamp 4f87158e-806a-4eb7-8426-b0d644cfe7e1)) + (segment (start 83.82 135.382) (end 83.82 130.43) (width 0.15) (layer "F.Cu") (net 11) (tstamp 5ba14d31-76af-41f8-aafb-a784d6849522)) + (segment (start 85.8 129.3) (end 89.25 125.85) (width 0.15) (layer "F.Cu") (net 11) (tstamp 8459f366-fc86-479f-94ef-377271559e82)) + (segment (start 83.82 130.43) (end 84.95 129.3) (width 0.15) (layer "F.Cu") (net 11) (tstamp 8d58d45e-029e-46d4-9f50-bf7221ccae89)) + (segment (start 84.95 129.3) (end 85.8 129.3) (width 0.15) (layer "F.Cu") (net 11) (tstamp ae4e5308-b2f8-4400-9349-a00cec5d5abd)) + (segment (start 88.6 125.9) (end 85.5 129) (width 0.15) (layer "F.Cu") (net 12) (tstamp 064a6400-3600-4979-a381-4245e52cade7)) + (segment (start 83.344 129) (end 81.28 131.064) (width 0.15) (layer "F.Cu") (net 12) (tstamp 3fb9ce96-dc3b-4b85-9271-85fa2911d3b7)) + (segment (start 88.6 124.95) (end 88.6 125.9) (width 0.15) (layer "F.Cu") (net 12) (tstamp 54960242-3965-44ec-800b-6147593750aa)) + (segment (start 85.5 129) (end 83.344 129) (width 0.15) (layer "F.Cu") (net 12) (tstamp c4cdb949-533d-4783-b389-e84a34538cf5)) + (segment (start 81.28 131.064) (end 81.28 135.382) (width 0.15) (layer "F.Cu") (net 12) (tstamp e0ced5a5-ff3c-4e21-ae01-0469b15b9608)) + (segment (start 78.74 135.382) (end 78.74 131.191) (width 0.15) (layer "F.Cu") (net 13) (tstamp 1533000a-fb0d-4e64-a967-2bb97d5133a7)) + (segment (start 81.231 128.7) (end 85.1 128.7) (width 0.15) (layer "F.Cu") (net 13) (tstamp 593f2f58-af18-4422-989b-1c51263a148c)) + (segment (start 87.95 125.85) (end 87.95 124.95) (width 0.15) (layer "F.Cu") (net 13) (tstamp 6de1702c-4ef5-4312-88b0-d49bee9b7432)) + (segment (start 85.1 128.7) (end 87.95 125.85) (width 0.15) (layer "F.Cu") (net 13) (tstamp f9b04147-3548-4367-b4f9-3bf3a6272959)) + (segment (start 78.74 131.191) (end 81.231 128.7) (width 0.15) (layer "F.Cu") (net 13) (tstamp fc151773-8f28-40ca-ace8-67ad8ab8c034)) + (segment (start 97.8 125.9) (end 101.6 129.7) (width 0.15) (layer "F.Cu") (net 14) (tstamp 03dec0d5-0102-4e8b-b65e-d3db6ce0df44)) + (segment (start 97.8 124.95) (end 97.8 125.9) (width 0.15) (layer "F.Cu") (net 14) (tstamp 078712c2-93b3-44b2-a63b-6087270d0eb9)) + (segment (start 101.6 130.9) (end 101.6 135.382) (width 0.15) (layer "F.Cu") (net 14) (tstamp 7ef0216e-1063-4fe6-9b07-f9a568f1fd32)) + (segment (start 101.6 129.7) (end 101.6 130.9) (width 0.15) (layer "F.Cu") (net 14) (tstamp 8b5488f1-4b2d-4fb6-955e-1a4410f258e1)) + (segment (start 79.4 124.95) (end 79.4 126.85) (width 0.15) (layer "F.Cu") (net 15) (tstamp de2cf36e-bd42-484c-919b-724f75f6deaa)) + (via (at 79.4 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 15) (tstamp bbef0344-624d-49fa-b023-801fd9be0d81)) + (segment (start 81.28 135.382) (end 81.28 128.73) (width 0.15) (layer "B.Cu") (net 15) (tstamp 24f7952a-70ec-42ee-b913-b670e0783d63)) + (segment (start 81.28 128.73) (end 79.4 126.85) (width 0.15) (layer "B.Cu") (net 15) (tstamp e537b514-f69e-48bb-97af-ecd2c33b993c)) + (segment (start 82 124.95) (end 82 126.85) (width 0.15) (layer "F.Cu") (net 16) (tstamp 200472ed-2e82-4376-83f7-10913c3c1fa9)) + (via (at 82 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 16) (tstamp acd77547-87cb-4761-a68e-95a2a9fe35c4)) + (segment (start 84.3 129.15) (end 89.399 129.15) (width 0.15) (layer "B.Cu") (net 16) (tstamp 6f8fc661-f447-42b2-a200-8d8c37507173)) + (segment (start 89.399 129.15) (end 91.44 131.191) (width 0.15) (layer "B.Cu") (net 16) (tstamp 7a823e0a-0df3-4028-abc1-ba6cbadc93e9)) + (segment (start 91.44 131.191) (end 91.44 135.382) (width 0.15) (layer "B.Cu") (net 16) (tstamp 82ee37cd-7ed4-469c-bc2c-b209d3d15912)) + (segment (start 82 126.85) (end 84.3 129.15) (width 0.15) (layer "B.Cu") (net 16) (tstamp ba7d2fc4-9daa-4420-9054-150d880c719c)) + (segment (start 78.75 124.95) (end 78.75 126.1) (width 0.15) (layer "F.Cu") (net 17) (tstamp 881ea9b6-60e4-406e-b94d-79e45027ed3b)) + (via (at 78.75 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 17) (tstamp c2b480d1-1183-41fc-a429-9cb995df3f7e)) + (segment (start 78.35 127.15) (end 78.75 127.55) (width 0.15) (layer "B.Cu") (net 17) (tstamp 3d7220bb-05b6-43dc-8287-99a4cc5ac5f7)) + (segment (start 78.75 135.372) (end 78.74 135.382) (width 0.15) (layer "B.Cu") (net 17) (tstamp 4618a48e-e2cb-4d51-8ed8-75106f389262)) + (segment (start 78.35 127.15) (end 78.35 126.5) (width 0.15) (layer "B.Cu") (net 17) (tstamp 72ee2efb-92a5-400b-8ee7-91968818743e)) + (segment (start 78.35 126.5) (end 78.75 126.1) (width 0.15) (layer "B.Cu") (net 17) (tstamp b17099b7-8350-4ba0-9bd2-e99bb7a7786d)) + (segment (start 78.75 127.55) (end 78.75 135.372) (width 0.15) (layer "B.Cu") (net 17) (tstamp f565607c-552d-49b3-b475-5f3e157d06dd)) + (segment (start 80.05 124.95) (end 80.05 126.1) (width 0.15) (layer "F.Cu") (net 18) (tstamp 00ed1a21-63f3-4e71-9e38-4022396c7dc8)) + (via (at 80.05 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 18) (tstamp efd13bf1-5ad7-4e12-9348-75a338a6ed94)) + (segment (start 83.82 131.064) (end 83.82 135.382) (width 0.15) (layer "B.Cu") (net 18) (tstamp 19a77e08-7e9e-407c-a4b1-123da96cb694)) + (segment (start 80.05 126.913) (end 83.82 130.683) (width 0.15) (layer "B.Cu") (net 18) (tstamp 65f64209-4d16-4d25-9d4d-0f3b7e30594a)) + (segment (start 83.82 130.683) (end 83.82 131.064) (width 0.15) (layer "B.Cu") (net 18) (tstamp 77bd9c05-6ded-472c-b2e4-3976cbdb31ae)) + (segment (start 80.05 126.1) (end 80.05 126.913) (width 0.15) (layer "B.Cu") (net 18) (tstamp f89f6e38-c52a-4f53-b131-844668df27f9)) + (segment (start 80.7 124.95) (end 80.7 126.85) (width 0.15) (layer "F.Cu") (net 19) (tstamp 405b939f-ac5e-4f5b-9e85-121d881c7ca0)) + (via (at 80.7 126.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 19) (tstamp eaa9b55f-e5ac-43bf-b2fc-8e3b2a89f3e1)) + (segment (start 80.7 126.85) (end 83.6 129.75) (width 0.15) (layer "B.Cu") (net 19) (tstamp 268f837c-dcb8-416b-858f-6eaaef8ffc58)) + (segment (start 85.6175 129.75) (end 86.36 130.4925) (width 0.15) (layer "B.Cu") (net 19) (tstamp 5906115e-c47d-43cf-b1dc-9c35f99cc2e8)) + (segment (start 83.6 129.75) (end 85.6175 129.75) (width 0.15) (layer "B.Cu") (net 19) (tstamp d7d91b6c-7c61-4c36-9a82-02a61012e831)) + (segment (start 86.36 130.4925) (end 86.36 135.382) (width 0.15) (layer "B.Cu") (net 19) (tstamp f7a5bf78-f97e-475e-a474-70875c1b4d4b)) + (segment (start 81.35 124.95) (end 81.35 126.1) (width 0.15) (layer "F.Cu") (net 20) (tstamp be70b4b8-a29a-41d8-b8b3-b3b45bc2e246)) + (via (at 81.35 126.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 20) (tstamp 3cb612c8-f0ac-47ed-aa20-2bac7de97a1f)) + (segment (start 81.35 126.943) (end 83.857 129.45) (width 0.15) (layer "B.Cu") (net 20) (tstamp 0cf533e5-3795-4f66-aec0-c997ad75a762)) + (segment (start 83.857 129.45) (end 87.159 129.45) (width 0.15) (layer "B.Cu") (net 20) (tstamp 0f1ff4a8-a376-4d10-9a29-dd81c29329ba)) + (segment (start 88.9 131.191) (end 88.9 135.382) (width 0.15) (layer "B.Cu") (net 20) (tstamp 402108ee-6df8-4f23-b116-14820e0f2c7b)) + (segment (start 87.159 129.45) (end 88.9 131.191) (width 0.15) (layer "B.Cu") (net 20) (tstamp 82d34eef-46e8-41e9-812b-1619b52f1261)) + (segment (start 81.35 126.1) (end 81.35 126.943) (width 0.15) (layer "B.Cu") (net 20) (tstamp bddba29e-2932-43a6-a1d9-bffcc63e14ba)) + (segment (start 104.14 131.04) (end 104.14 135.382) (width 0.15) (layer "F.Cu") (net 21) (tstamp 299c18a4-fe42-4c5e-a362-9bee89e35ca8)) + (segment (start 98.45 125.9) (end 101.35 128.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp 4825fc45-7ccd-4576-9a99-d8a5cc9fd7d1)) + (segment (start 101.9 128.8) (end 104.14 131.04) (width 0.15) (layer "F.Cu") (net 21) (tstamp 54a6f861-cdf4-4965-818b-1c9b335169f4)) + (segment (start 98.45 124.95) (end 98.45 125.9) (width 0.15) (layer "F.Cu") (net 21) (tstamp 5a078aef-3d4a-455e-befc-d14a099e662a)) + (segment (start 101.35 128.8) (end 101.9 128.8) (width 0.15) (layer "F.Cu") (net 21) (tstamp eb4a88fb-a5d4-4039-b118-793568d051ec)) + (segment (start 76.2 128.27) (end 76.2 129.54) (width 0.8) (layer "F.Cu") (net 22) (tstamp 058033f1-3631-4a52-a578-61c71d46c5bc)) + (segment (start 76.2 128.27) (end 77.343 128.27) (width 0.8) (layer "F.Cu") (net 22) (tstamp c7b10c1f-12e4-4a76-8e87-2c967bad8396)) + (via (at 76.2 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp 86c4170e-ed11-4cb1-a1cf-bedef57baa90)) + (via (at 77.343 128.27) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 22) (tstamp c4963862-00ef-4f0d-af8e-68f4927a27ea)) + (segment (start 76.2 135.382) (end 76.2 129.54) (width 1.524) (layer "B.Cu") (net 22) (tstamp 0b52252f-7029-4dce-b45a-3c08b9a5410f)) + (segment (start 76.2 128.397) (end 76.2 129.54) (width 1.524) (layer "B.Cu") (net 22) (tstamp 0d2aa8e9-ae4d-4acc-bda5-68520ec794b5)) + (segment (start 76.2 129.54) (end 76.2 129.413) (width 1.524) (layer "B.Cu") (net 22) (tstamp 18c5e084-dda9-4dcd-9157-1794f3d764a9)) + (segment (start 76.2 129.413) (end 77.343 128.27) (width 1.524) (layer "B.Cu") (net 22) (tstamp 1904c0e2-999e-4bc2-af4f-319fb16fe580)) + (segment (start 76.327 128.27) (end 76.2 128.397) (width 1.524) (layer "B.Cu") (net 22) (tstamp c83a3ea6-28d7-4ad8-93fa-d40a4b8f3eec)) + (segment (start 77.343 128.27) (end 76.327 128.27) (width 1.524) (layer "B.Cu") (net 22) (tstamp d5b4928e-09b7-4ef3-b7e0-8bafe5f119d3)) + (segment (start 119.126 128.27) (end 119.126 129.54) (width 0.8) (layer "F.Cu") (net 23) (tstamp 8771e05a-491e-49df-826b-3183d524a1bc)) + (via (at 119.126 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 23) (tstamp f0c57dfe-d9d8-47ab-852a-cac2cf60e443)) + (segment (start 119.38 129.794) (end 119.126 129.54) (width 1.524) (layer "B.Cu") (net 23) (tstamp 0dff6559-3c3b-4991-948e-07a125457e85)) + (segment (start 119.38 135.382) (end 119.38 129.794) (width 1.524) (layer "B.Cu") (net 23) (tstamp 5ebda5c8-4c4b-4132-8f23-bf16b16d2d09)) + (segment (start 117.094 128.27) (end 117.094 129.54) (width 0.8) (layer "F.Cu") (net 24) (tstamp c0d92a02-7c50-40ef-b2a4-3b5f251e713e)) + (via (at 117.094 129.54) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 24) (tstamp faa74fe6-e53c-49e3-9a1f-7db1145fd987)) + (segment (start 116.84 135.382) (end 116.84 129.794) (width 1.524) (layer "B.Cu") (net 24) (tstamp a735c782-2a79-406a-87f9-d32481e7a27d)) + (segment (start 116.84 129.794) (end 117.094 129.54) (width 1.524) (layer "B.Cu") (net 24) (tstamp d5111f41-d988-4441-963e-fd99c707b9a6)) + (segment (start 79.4 127.85) (end 76.2 131.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 3a3d0be6-842d-4c29-98dc-528c8d0a5533)) + (segment (start 84.731 127.85) (end 79.4 127.85) (width 0.15) (layer "F.Cu") (net 25) (tstamp 93e9cc7a-06bb-434f-a988-143cdbe28f95)) + (segment (start 106.35 124.95) (end 106.35 123.05) (width 0.15) (layer "F.Cu") (net 25) (tstamp 972d444d-0cd4-4e5d-8ab2-2205b7fd4ca0)) + (segment (start 76.2 131.05) (end 76.2 135.382) (width 0.15) (layer "F.Cu") (net 25) (tstamp e86ced94-d586-48fc-9088-b78278c9ae28)) + (segment (start 85.2 127.381) (end 84.731 127.85) (width 0.15) (layer "F.Cu") (net 25) (tstamp e87ba53c-bc49-4eaa-8cc4-0406acbf1b9c)) + (via (at 85.2 127.381) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp 9b353909-003b-4349-afc2-52fe809d5047)) + (via (at 106.35 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 25) (tstamp a33b0e53-204f-438d-b256-b1a7c0bfcdfc)) + (segment (start 85.2 127.381) (end 103.669 127.381) (width 0.15) (layer "B.Cu") (net 25) (tstamp 3559b8dc-e4ac-4d85-b667-d4febf97ddb4)) + (segment (start 103.669 127.381) (end 106.5 124.55) (width 0.15) (layer "B.Cu") (net 25) (tstamp 4c33dbb5-eb30-4d7c-a8b6-fd91e789e1e3)) + (segment (start 106.5 123.2) (end 106.35 123.05) (width 0.15) (layer "B.Cu") (net 25) (tstamp 834b3d4a-3d48-47bb-86d4-52c8115691b6)) + (segment (start 106.5 124.55) (end 106.5 123.2) (width 0.15) (layer "B.Cu") (net 25) (tstamp e59805fe-4637-4811-ac39-ca6fb41d850d)) + (segment (start 99.1 124.95) (end 99.1 125.9) (width 0.15) (layer "F.Cu") (net 26) (tstamp 05f87d99-167e-4bea-845c-1468f6eafa97)) + (segment (start 104.3 128.5) (end 106.68 130.88) (width 0.15) (layer "F.Cu") (net 26) (tstamp 55498017-01f9-44e6-8c2c-2fc5374f940c)) + (segment (start 101.7 128.5) (end 104.3 128.5) (width 0.15) (layer "F.Cu") (net 26) (tstamp 5e09cc08-c916-4dd8-82be-6dbae60713b7)) + (segment (start 99.1 125.9) (end 101.7 128.5) (width 0.15) (layer "F.Cu") (net 26) (tstamp 6f097a78-ee6f-4d6e-b50f-3d85dbbc6f9b)) + (segment (start 106.68 130.88) (end 106.68 135.382) (width 0.15) (layer "F.Cu") (net 26) (tstamp 8c412ed7-4ce5-4c96-bbe1-dcc27a2cee7e)) + (segment (start 102.05 128.2) (end 106.25 128.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 02e80bb7-8077-4710-823e-c6c0918767cf)) + (segment (start 99.75 125.9) (end 102.05 128.2) (width 0.15) (layer "F.Cu") (net 27) (tstamp 459c8017-6141-4f6a-8db8-89428eff2406)) + (segment (start 99.75 124.95) (end 99.75 125.9) (width 0.15) (layer "F.Cu") (net 27) (tstamp 84f00498-dff9-4338-a29c-8353c197cad1)) + (segment (start 109.22 131.17) (end 109.22 135.382) (width 0.15) (layer "F.Cu") (net 27) (tstamp a165c43b-5f74-49d2-8642-8a2f2f8e3502)) + (segment (start 106.25 128.2) (end 109.22 131.17) (width 0.15) (layer "F.Cu") (net 27) (tstamp bb2380e2-8c99-4dad-b824-a12129dfec36)) + (segment (start 100.4 124.95) (end 100.4 125.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp 00d920f7-0398-442f-b6fe-def2b44ae569)) + (segment (start 111.76 130.81) (end 111.76 135.382) (width 0.15) (layer "F.Cu") (net 28) (tstamp 0c484963-0fe0-4600-ba8e-937ede5e6c59)) + (segment (start 108.85 127.9) (end 111.76 130.81) (width 0.15) (layer "F.Cu") (net 28) (tstamp 6c2bca91-efcd-48bc-bcf8-8eb94924638f)) + (segment (start 100.4 125.9) (end 102.4 127.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp a46a7a9e-62ab-4334-8a09-6a30a75282a6)) + (segment (start 102.4 127.9) (end 108.85 127.9) (width 0.15) (layer "F.Cu") (net 28) (tstamp eb6a65a7-e30a-48e7-be83-f9bc04734708)) + (segment (start 102.7 127.6) (end 110.709 127.6) (width 0.15) (layer "F.Cu") (net 29) (tstamp 2f593c9e-e5dc-4acd-a433-49a7a64d508a)) + (segment (start 101.05 124.95) (end 101.05 125.95) (width 0.15) (layer "F.Cu") (net 29) (tstamp 49e3ea7a-039a-45be-b5c5-66de634a4b43)) + (segment (start 110.709 127.6) (end 114.3 131.191) (width 0.15) (layer "F.Cu") (net 29) (tstamp 84c2b958-c8e4-4842-a5b4-ff079ae1a78f)) + (segment (start 101.05 125.95) (end 102.7 127.6) (width 0.15) (layer "F.Cu") (net 29) (tstamp aa85e1e6-c572-41a8-8969-2adccdef34cd)) + (segment (start 114.3 131.191) (end 114.3 135.382) (width 0.15) (layer "F.Cu") (net 29) (tstamp e4f13ea7-f05e-41e4-b340-d2856cc75064)) + (segment (start 116.84 131.19) (end 116.84 135.382) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4576ddf7-8b63-44ab-a30a-5eb88dcbff7f)) + (segment (start 110.85 127.3) (end 114.39 130.84) (width 0.15) (layer "F.Cu") (net 30) (tstamp 4efba1fb-0c7f-4a63-a5b7-dcc8595ec4e2)) + (segment (start 101.7 126.15) (end 102.85 127.3) (width 0.15) (layer "F.Cu") (net 30) (tstamp 69354676-5c72-489b-841b-1dbaf4232530)) + (segment (start 102.85 127.3) (end 110.85 127.3) (width 0.15) (layer "F.Cu") (net 30) (tstamp b8cafab8-acfd-4f63-a8bc-71862cfa86c0)) + (segment (start 114.39 130.84) (end 116.49 130.84) (width 0.15) (layer "F.Cu") (net 30) (tstamp bc50fadf-7a2d-4032-9976-ed0df6651903)) + (segment (start 116.49 130.84) (end 116.84 131.19) (width 0.15) (layer "F.Cu") (net 30) (tstamp d08b59a2-7704-4f6a-9a52-f54fb3686dde)) + (segment (start 101.7 124.95) (end 101.7 126.15) (width 0.15) (layer "F.Cu") (net 30) (tstamp d1922495-1559-4da2-b3c4-e229bf2e4a57)) + (segment (start 119.38 131.18) (end 119.38 135.382) (width 0.15) (layer "F.Cu") (net 31) (tstamp 0a3defae-77db-4c1a-9bf8-3b6156408267)) + (segment (start 109.6 124.95) (end 109.6 126.1735) (width 0.15) (layer "F.Cu") (net 31) (tstamp 26e91260-0192-4758-ba52-54ea77eebdf5)) + (segment (start 114.54 130.54) (end 118.74 130.54) (width 0.15) (layer "F.Cu") (net 31) (tstamp 3f214283-5ed1-46f8-9274-ed62f3eadc5a)) + (segment (start 109.6 126.1735) (end 110.0455 126.619) (width 0.15) (layer "F.Cu") (net 31) (tstamp 6a408218-1594-4978-864b-7943590526da)) + (segment (start 118.74 130.54) (end 119.38 131.18) (width 0.15) (layer "F.Cu") (net 31) (tstamp ced79143-019f-4c69-80c7-a14429479b6e)) + (segment (start 110.619 126.619) (end 114.54 130.54) (width 0.15) (layer "F.Cu") (net 31) (tstamp e80d104f-962e-48f5-8c56-526b0d0fe8d6)) + (segment (start 110.0455 126.619) (end 110.619 126.619) (width 0.15) (layer "F.Cu") (net 31) (tstamp f3a32bd3-3f3b-4c53-b08b-4fcfd4fd2e4e)) + (segment (start 124.46 129.21) (end 124.46 131.191) (width 0.15) (layer "F.Cu") (net 32) (tstamp 17ddac92-8138-4db7-95e9-0938409a7f26)) + (segment (start 122.15 126.9) (end 124.46 129.21) (width 0.15) (layer "F.Cu") (net 32) (tstamp 6beab5b1-310a-45cb-87d5-91fb3bca2a19)) + (segment (start 108.3 124.95) (end 108.3 123.8) (width 0.15) (layer "F.Cu") (net 32) (tstamp 85f9a91a-6794-4d3a-9452-ba46346b8414)) + (segment (start 114.2 126.9) (end 122.15 126.9) (width 0.15) (layer "F.Cu") (net 32) (tstamp a8faee17-ebc3-4010-bbd3-adbd6b978daf)) + (segment (start 124.46 131.191) (end 124.46 135.382) (width 0.15) (layer "F.Cu") (net 32) (tstamp ae018086-5284-419d-8a9d-ad3f7fb1745f)) + (segment (start 113.15 127.95) (end 114.2 126.9) (width 0.15) (layer "F.Cu") (net 32) (tstamp cf446123-9fde-48a8-a17c-4dd6ec881b7d)) + (via (at 113.15 127.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 32) (tstamp 01d96a7d-bff6-4eb1-a86d-d74b0f8276f6)) + (via (at 108.3 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 32) (tstamp f7f82ce2-161b-4b7a-a1bb-d2473e2ecc40)) + (segment (start 108.1 126.7) (end 108.1 124) (width 0.15) (layer "B.Cu") (net 32) (tstamp 7a65e465-e223-42e2-b27d-f66cf2987674)) + (segment (start 109.35 127.95) (end 108.1 126.7) (width 0.15) (layer "B.Cu") (net 32) (tstamp bf488eb5-7fef-486f-ac5c-11978fdf4c1b)) + (segment (start 113.15 127.95) (end 109.35 127.95) (width 0.15) (layer "B.Cu") (net 32) (tstamp e0a313ba-90ea-4dfc-8dc0-710d5dbdb867)) + (segment (start 108.1 124) (end 108.3 123.8) (width 0.15) (layer "B.Cu") (net 32) (tstamp f8c8f263-38af-484d-9b66-2f2cfa69b523)) + (segment (start 120.25 124.35) (end 121 124.35) (width 0.15) (layer "F.Cu") (net 34) (tstamp 83bace42-9047-4e1a-b97e-b5df2dd780b5)) + (via (at 121 124.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 34) (tstamp 2d52bb21-f178-4105-80dd-47d3f725c29d)) + (segment (start 121 124.35) (end 121.75 124.35) (width 0.15) (layer "B.Cu") (net 34) (tstamp 382bacc5-e72e-43f7-ab74-59d0227c77db)) + (segment (start 127 129.6) (end 127 135.282) (width 0.15) (layer "B.Cu") (net 34) (tstamp 93289ee2-cf47-4e7a-a360-780ff5d5518d)) + (segment (start 121.75 124.35) (end 127 129.6) (width 0.15) (layer "B.Cu") (net 34) (tstamp dfea9e86-c7ce-45bb-8df9-9fb158454e56)) + (segment (start 115.6 124.35) (end 115.6 125.8) (width 0.15) (layer "F.Cu") (net 35) (tstamp 54e63554-8d3d-4ee0-af0f-8820c4f3c882)) + (segment (start 108.95 123.05) (end 108.95 124.95) (width 0.15) (layer "F.Cu") (net 35) (tstamp b3e8fd8d-3e94-4e1c-a896-4a11ae5f51ca)) + (segment (start 115.6 125.8) (end 116.35 125.8) (width 0.15) (layer "F.Cu") (net 35) (tstamp ca4e7437-5138-4757-8b97-06373bccc7c5)) + (via (at 108.95 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp 6bdbd4df-b890-467f-8601-e5f1ca9a13d1)) + (via (at 116.35 125.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 35) (tstamp a52174ec-bd49-40b7-b946-9ff5c5d6db12)) + (segment (start 124.46 129.46) (end 124.46 135.382) (width 0.15) (layer "B.Cu") (net 35) (tstamp 0749d84c-4638-4094-9c79-f3fb6374cb1a)) + (segment (start 116.35 125.8) (end 112.338 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 25d4d26f-1c45-4771-bb5b-ae74a6e10cc2)) + (segment (start 112.338 125.8) (end 109.588 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 3d915ffd-e619-42f9-bdf2-fd21dddf698a)) + (segment (start 109.588 123.05) (end 108.95 123.05) (width 0.15) (layer "B.Cu") (net 35) (tstamp 5c3361b6-af09-41d0-b9a8-2e9ead42eb8b)) + (segment (start 116.35 125.8) (end 120.8 125.8) (width 0.15) (layer "B.Cu") (net 35) (tstamp 651e5d77-aaac-4411-a562-0b69273ed709)) + (segment (start 120.8 125.8) (end 124.46 129.46) (width 0.15) (layer "B.Cu") (net 35) (tstamp 71f3a3e7-4829-4061-a748-5e1700b1c121)) + (segment (start 107.65 124.95) (end 107.65 123.05) (width 0.15) (layer "F.Cu") (net 42) (tstamp 2b85ca24-d85e-4403-9ce4-dcf2ec53038d)) + (via (at 107.65 123.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 42) (tstamp e0670a15-7388-4213-afca-d99e7e58ef8d)) + (segment (start 104.394 130.302) (end 102.489 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp 5255e459-1895-434b-9c1d-35922335e207)) + (segment (start 107.8 126.896) (end 104.394 130.302) (width 0.15) (layer "B.Cu") (net 42) (tstamp 597579b8-1f4f-4372-8814-1789e3415352)) + (segment (start 107.8 123.2) (end 107.8 126.896) (width 0.15) (layer "B.Cu") (net 42) (tstamp 6c5f4220-86d0-43ff-bd5f-644ba00e7bac)) + (segment (start 107.65 123.05) (end 107.8 123.2) (width 0.15) (layer "B.Cu") (net 42) (tstamp 93f12e43-21e3-4bfe-a5e4-591ff26a9e40)) + (segment (start 101.6 131.191) (end 101.6 135.382) (width 0.15) (layer "B.Cu") (net 42) (tstamp bf076e8a-f2a1-46b3-8314-d7ac75484a8f)) + (segment (start 102.489 130.302) (end 101.6 131.191) (width 0.15) (layer "B.Cu") (net 42) (tstamp f3d14ba6-764a-4b66-9f00-05551bb481cb)) + (segment (start 107 124.95) (end 107 123.8) (width 0.15) (layer "F.Cu") (net 43) (tstamp afd9796d-b661-4b39-b640-18a5181acb9b)) + (via (at 107 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 43) (tstamp 1ac4a687-b9d8-4a69-94b8-172ccbb6e4e3)) + (segment (start 106.8 124) (end 106.8 124.721) (width 0.15) (layer "B.Cu") (net 43) (tstamp 6e9a58b4-0a43-4d0c-a1b3-557ee2ce72a3)) + (segment (start 101.051 129.2) (end 99.06 131.191) (width 0.15) (layer "B.Cu") (net 43) (tstamp 76c0053b-0caa-4073-99e6-4e3e6bc2e290)) + (segment (start 106.8 124.721) (end 102.321 129.2) (width 0.15) (layer "B.Cu") (net 43) (tstamp 819d32e6-9a07-4132-a713-b5439e70a5ef)) + (segment (start 99.06 131.191) (end 99.06 135.382) (width 0.15) (layer "B.Cu") (net 43) (tstamp 9e43271a-d0e4-4f73-9101-696ce9df852a)) + (segment (start 102.321 129.2) (end 101.051 129.2) (width 0.15) (layer "B.Cu") (net 43) (tstamp c3c2e51d-0671-4bfd-b2b0-2deff7d292cd)) + (segment (start 107 123.8) (end 106.8 124) (width 0.15) (layer "B.Cu") (net 43) (tstamp f44a2333-940a-4d5c-bfe9-92a679ca7d0d)) + (segment (start 132.08 135.382) (end 132.08 131.191) (width 0.15) (layer "F.Cu") (net 44) (tstamp 90aae99a-2880-4bca-97f6-c01d78d3be49)) + (via (at 132.08 131.191) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 44) (tstamp 99203b00-c2bc-4f84-9583-fd141c898bde)) + (segment (start 132.08 135.382) (end 132.08 131.191) (width 0.15) (layer "B.Cu") (net 44) (tstamp 9bba01fb-e9e6-40f8-a688-b95f1e66f973)) + (segment (start 134.62 135.382) (end 134.62 131.191) (width 0.15) (layer "F.Cu") (net 45) (tstamp 6ad61af2-4b29-4c49-96c5-d98aec870947)) + (via (at 134.62 131.191) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 45) (tstamp d3394ad3-7155-4ded-930b-ba1c33055cd2)) + (segment (start 134.62 135.382) (end 134.62 131.191) (width 0.15) (layer "B.Cu") (net 45) (tstamp cbbb6fbe-670e-451b-8036-6b706b3c2d97)) + (segment (start 62.1665 93.0275) (end 60.833 93.0275) (width 0.15) (layer "F.Cu") (net 46) (tstamp 0ad37492-5ebf-430a-8887-5ed622c88e21)) + (segment (start 60.833 91.3765) (end 60.833 92.2655) (width 0.15) (layer "F.Cu") (net 46) (tstamp 22812653-be23-4fcf-96af-9779a22bdcf9)) + (segment (start 60.833 93.0275) (end 60.833 92.2655) (width 0.15) (layer "F.Cu") (net 46) (tstamp 22fd0820-83e4-4636-9794-69e83895b360)) + (segment (start 86.3875 106.9) (end 85.25 106.9) (width 0.15) (layer "F.Cu") (net 46) (tstamp 6f96273f-2775-4659-8c26-4a75d9994bfc)) + (segment (start 63.4365 109.4105) (end 57.404 103.378) (width 0.15) (layer "F.Cu") (net 46) (tstamp 7ccfe2d5-6b71-431a-8db3-8f9111327027)) + (segment (start 63.4365 111.5695) (end 63.4365 109.4105) (width 0.15) (layer "F.Cu") (net 46) (tstamp 85479722-91e9-4190-a0fa-9bf59a101c62)) + (segment (start 69.95 114.65) (end 69.95 113.75) (width 0.15) (layer "F.Cu") (net 46) (tstamp caa0c506-3abc-4e6b-a9b5-a689b2a4e156)) + (via (at 60.833 92.2655) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 66945435-7f04-4f31-9fc6-e4bc44529181)) + (via (at 57.404 103.378) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp 86bda3a5-82ef-41a9-abd3-241acdf8031f)) + (via (at 69.95 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp d5abadd3-9cf8-43bb-933d-30cb4cb3ab19)) + (via (at 85.25 106.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp ed5fd524-3b01-48ba-abba-74131b137951)) + (via (at 63.4365 111.5695) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 46) (tstamp f9baa35a-b19f-4fd6-895f-b69c7dfdb465)) + (segment (start 71.247 112.1) (end 83.45 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 0e6f9a94-c511-4801-856b-10cf2cd75c3f)) + (segment (start 57.404 101.44125) (end 60.833 98.01225) (width 0.15) (layer "B.Cu") (net 46) (tstamp 1f96bca9-ff0e-4f6c-bff8-94c043830277)) + (segment (start 57.404 103.378) (end 57.404 101.44125) (width 0.15) (layer "B.Cu") (net 46) (tstamp 32075722-d3cb-47e5-a4c4-e455b28beb5b)) + (segment (start 63.4365 111.5695) (end 63.967 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 3cb8e66a-18b9-46f2-9c65-447912bd0fcf)) + (segment (start 85.95 109.6) (end 85.95 107.6) (width 0.15) (layer "B.Cu") (net 46) (tstamp 497aff71-bcd2-4177-95d7-57f832edc4d6)) + (segment (start 69.9425 113.7425) (end 69.9425 113.4045) (width 0.15) (layer "B.Cu") (net 46) (tstamp 51d2426c-6c9d-4075-adf0-c946af15e8cb)) + (segment (start 83.45 112.1) (end 85.95 109.6) (width 0.15) (layer "B.Cu") (net 46) (tstamp 644de84e-51b1-45d5-88c3-1facf3da2057)) + (segment (start 69.9425 113.4045) (end 71.247 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp 775c0c12-4964-4117-b510-fc9beba65fbc)) + (segment (start 63.967 112.1) (end 68.638 112.1) (width 0.15) (layer "B.Cu") (net 46) (tstamp b3188a5c-713e-4191-880c-77c469863752)) + (segment (start 85.95 107.6) (end 85.25 106.9) (width 0.15) (layer "B.Cu") (net 46) (tstamp b85e99c9-7835-4967-a448-8ccf1ae78129)) + (segment (start 68.638 112.1) (end 69.9425 113.4045) (width 0.15) (layer "B.Cu") (net 46) (tstamp de50b73f-9554-4c98-b663-57fa9c3e74af)) + (segment (start 60.833 98.01225) (end 60.833 92.2655) (width 0.15) (layer "B.Cu") (net 46) (tstamp e9431e4f-4196-4b35-a3fb-1921c89fe39c)) + (segment (start 69.95 113.75) (end 69.9425 113.7425) (width 0.15) (layer "B.Cu") (net 46) (tstamp f26d72a4-c46f-4b2c-9368-096438b9f150)) + (segment (start 62.5475 110.49) (end 60.8965 110.49) (width 0.15) (layer "F.Cu") (net 47) (tstamp 1705b8a3-646e-457c-bcda-1070158cca9e)) + (segment (start 53.213 102.8065) (end 53.213 101.408) (width 0.15) (layer "F.Cu") (net 47) (tstamp 1ad0c678-2f1f-4f72-b5b3-ff795859bd1b)) + (segment (start 86.3875 107.4) (end 84.35 107.4) (width 0.15) (layer "F.Cu") (net 47) (tstamp 553a13d5-4564-4c1a-a828-9d3de0289aaa)) + (segment (start 60.8965 110.49) (end 53.213 102.8065) (width 0.15) (layer "F.Cu") (net 47) (tstamp acddaf70-e863-4d0a-a257-98f9cc71832c)) + (via (at 62.5475 110.49) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp 7f286237-235b-4140-ac30-a07b6347fa0c)) + (via (at 53.213 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp f18daf5a-a8f1-4613-a71f-334a521c5528)) + (via (at 84.35 107.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 47) (tstamp faf99106-95df-40d4-b04d-583f79928e01)) + (segment (start 62.738 110.2995) (end 83.8005 110.2995) (width 0.15) (layer "B.Cu") (net 47) (tstamp 2ae22a8c-7caa-4c7b-96fa-a7e12cb6af94)) + (segment (start 62.103 126.873) (end 53.213 117.983) (width 0.15) (layer "B.Cu") (net 47) (tstamp 322d5943-67f8-4ead-a5fa-16b0920e90d5)) + (segment (start 73.9775 121.7295) (end 73.9775 124.6505) (width 0.15) (layer "B.Cu") (net 47) (tstamp 4c4161e9-d75c-4776-ade3-a65d00f59c88)) + (segment (start 84.65 109.45) (end 84.65 107.7) (width 0.15) (layer "B.Cu") (net 47) (tstamp 5f83386d-adb9-4f88-bff7-59c63a12f151)) + (segment (start 62.5475 110.49) (end 62.738 110.2995) (width 0.15) (layer "B.Cu") (net 47) (tstamp 667ca024-f168-428e-8da2-5488ed29d0de)) + (segment (start 83.8005 110.2995) (end 84.65 109.45) (width 0.15) (layer "B.Cu") (net 47) (tstamp 691c23db-574d-4a61-ae3d-4be210948c09)) + (segment (start 73.9775 124.6505) (end 71.755 126.873) (width 0.15) (layer "B.Cu") (net 47) (tstamp 9bfe636d-f66a-4a2b-835d-6a6474937530)) + (segment (start 69.6595 120.904) (end 70.739 119.8245) (width 0.15) (layer "B.Cu") (net 47) (tstamp a41ddacc-0da5-4c94-a096-e61f531199cd)) + (segment (start 70.739 119.8245) (end 72.0725 119.8245) (width 0.15) (layer "B.Cu") (net 47) (tstamp bbdc9152-dbab-4964-82a3-d4ab8346325b)) + (segment (start 53.213 117.983) (end 53.213 102.8065) (width 0.15) (layer "B.Cu") (net 47) (tstamp e0461b28-61fa-4cfd-a540-03557824c107)) + (segment (start 71.755 126.873) (end 62.103 126.873) (width 0.15) (layer "B.Cu") (net 47) (tstamp f342d5ed-44b7-47b2-9ed9-6c6c0d2f96ee)) + (segment (start 72.0725 119.8245) (end 73.9775 121.7295) (width 0.15) (layer "B.Cu") (net 47) (tstamp f84175c7-1fd3-4709-91f5-4e9bd0045371)) + (segment (start 84.65 107.7) (end 84.35 107.4) (width 0.15) (layer "B.Cu") (net 47) (tstamp ff295dbd-2055-4c4e-af78-9d80d424d919)) + (segment (start 59.563 101.408) (end 59.563 102.8065) (width 0.15) (layer "F.Cu") (net 48) (tstamp 40d9746b-c719-44e5-957b-002224935191)) + (segment (start 63.8175 97.2565) (end 63.8175 98.044) (width 0.15) (layer "F.Cu") (net 48) (tstamp 533d8ee7-b5a9-4b5d-b7c6-7f96d03869d9)) + (segment (start 65.3415 108.585) (end 59.563 102.8065) (width 0.15) (layer "F.Cu") (net 48) (tstamp 53f13312-61cc-49a2-a72e-3ed3b5525cca)) + (segment (start 60.3885 98.933) (end 59.563 99.7585) (width 0.15) (layer "F.Cu") (net 48) (tstamp 59bbda1e-6932-4f8c-9377-2bf85c11ea57)) + (segment (start 62.9285 98.933) (end 60.3885 98.933) (width 0.15) (layer "F.Cu") (net 48) (tstamp 663202bf-0a05-4b87-a6ba-5546dbe9bf49)) + (segment (start 59.563 99.7585) (end 59.563 101.408) (width 0.15) (layer "F.Cu") (net 48) (tstamp aa597e7c-09ee-44d3-ba49-9ecdb3688be5)) + (segment (start 86.3875 105.9) (end 85.25 105.9) (width 0.15) (layer "F.Cu") (net 48) (tstamp be0a7d08-25fe-4d8a-ba02-bbc504a3eca4)) + (segment (start 64.3255 110.8075) (end 65.3415 109.7915) (width 0.15) (layer "F.Cu") (net 48) (tstamp dbc59a62-2b90-4f5b-a540-8a6f08cf76a0)) + (segment (start 63.8175 98.044) (end 62.9285 98.933) (width 0.15) (layer "F.Cu") (net 48) (tstamp e72898b3-af7c-4d0f-9984-9ec1705642ca)) + (segment (start 65.3415 109.7915) (end 65.3415 108.585) (width 0.15) (layer "F.Cu") (net 48) (tstamp f3c44cc8-32b7-4795-8458-26456d4c4259)) + (via (at 64.3255 110.8075) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 2bf4f9ee-9147-43bc-b222-0bab43f340a1)) + (via (at 59.563 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp 313e637e-0381-4ef1-97cd-8b00b63e2f94)) + (via (at 85.25 105.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 48) (tstamp d966863e-0a41-46dc-8da6-5a0e5e7e3f28)) + (segment (start 70.993 125.2855) (end 70.231 126.0475) (width 0.15) (layer "B.Cu") (net 48) (tstamp 06593824-b2dc-4424-a524-ffb12d8703f4)) + (segment (start 64.3255 110.8075) (end 64.483 110.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp 0fcc0d19-69c2-4651-8c4d-f6a99252cc08)) + (segment (start 84.75 106.7) (end 85.25 106.2) (width 0.15) (layer "B.Cu") (net 48) (tstamp 392370c2-69d4-425f-b5f6-838026d833ff)) + (segment (start 59.563 120.269) (end 59.563 102.8065) (width 0.15) (layer "B.Cu") (net 48) (tstamp 61f3fae0-082e-4540-8e6b-099ccce17624)) + (segment (start 70.231 126.0475) (end 65.3415 126.0475) (width 0.15) (layer "B.Cu") (net 48) (tstamp 733639c5-6781-4261-aa39-423687d28b4e)) + (segment (start 84.95 107.3) (end 84.75 107.1) (width 0.15) (layer "B.Cu") (net 48) (tstamp 8c82e1c6-0cf4-4e51-aa4a-d681ab228e39)) + (segment (start 69.9965 123.444) (end 70.993 124.4405) (width 0.15) (layer "B.Cu") (net 48) (tstamp a01ed401-501c-42ec-8ce9-711cec347536)) + (segment (start 84.75 107.1) (end 84.75 106.7) (width 0.15) (layer "B.Cu") (net 48) (tstamp a60a148f-6915-4ace-bf1a-aff4afd27dfe)) + (segment (start 83.9 110.65) (end 84.95 109.6) (width 0.15) (layer "B.Cu") (net 48) (tstamp a93d1557-2e3d-4ace-9479-5d0b75b31849)) + (segment (start 64.483 110.65) (end 83.9 110.65) (width 0.15) (layer "B.Cu") (net 48) (tstamp ad3691e0-1390-4807-b072-66410c6a44f3)) + (segment (start 69.6595 123.444) (end 69.9965 123.444) (width 0.15) (layer "B.Cu") (net 48) (tstamp b4d22843-7c90-4d03-9747-95e05fc5c61d)) + (segment (start 70.993 124.4405) (end 70.993 125.2855) (width 0.15) (layer "B.Cu") (net 48) (tstamp c07a00c6-235e-4554-a1b7-9bd07f34b26a)) + (segment (start 85.25 106.2) (end 85.25 105.9) (width 0.15) (layer "B.Cu") (net 48) (tstamp c917ef35-e000-462f-af2f-4a0ffe26cdd5)) + (segment (start 65.3415 126.0475) (end 59.563 120.269) (width 0.15) (layer "B.Cu") (net 48) (tstamp ceebb07d-4b7a-4601-bd3d-39802f292872)) + (segment (start 84.95 109.6) (end 84.95 107.3) (width 0.15) (layer "B.Cu") (net 48) (tstamp d2295c5e-e6ba-46b7-8579-9d284dc1b812)) + (segment (start 84.55 106.9) (end 85.05 106.4) (width 0.15) (layer "F.Cu") (net 49) (tstamp 10114c76-92ad-4fcb-93d9-8c35afd38768)) + (segment (start 58.801 103.3145) (end 58.293 102.8065) (width 0.15) (layer "F.Cu") (net 49) (tstamp 10729dc5-f3c4-402b-b76d-3b1ac6ef2be7)) + (segment (start 84.15 108.4) (end 84.15 107.9) (width 0.15) (layer "F.Cu") (net 49) (tstamp 29c50ffe-e003-4bca-b7c6-33e96e4f7df3)) + (segment (start 83.85 107.2) (end 84.15 106.9) (width 0.15) (layer "F.Cu") (net 49) (tstamp 2a9a6fdf-1b41-44f7-a1f5-71df9c0a438f)) + (segment (start 83.85 107.6) (end 83.85 107.2) (width 0.15) (layer "F.Cu") (net 49) (tstamp 2b3d6f44-8501-4f48-9af7-62bc13e22d74)) + (segment (start 85.05 106.4) (end 86.3875 106.4) (width 0.15) (layer "F.Cu") (net 49) (tstamp 2c543737-d6de-4fc0-a4ab-a268626fa4ca)) + (segment (start 59.6265 103.3145) (end 58.801 103.3145) (width 0.15) (layer "F.Cu") (net 49) (tstamp 38e7f3a8-c022-453a-9f07-05b77a30f1cd)) + (segment (start 64.643 109.7915) (end 65.024 109.4105) (width 0.15) (layer "F.Cu") (net 49) (tstamp 3b0a8d3a-1305-4d0c-9e65-4f465fa10f41)) + (segment (start 84.15 107.9) (end 83.85 107.6) (width 0.15) (layer "F.Cu") (net 49) (tstamp 9df95f1c-7f92-41dc-8d8e-2cb9e478ee3b)) + (segment (start 65.024 109.4105) (end 65.024 108.712) (width 0.15) (layer "F.Cu") (net 49) (tstamp aaa8ba11-7acd-4031-a2e6-6fdc6c1759c7)) + (segment (start 84.15 106.9) (end 84.55 106.9) (width 0.15) (layer "F.Cu") (net 49) (tstamp cca38315-7c6a-443d-aaf5-1fd646392f2b)) + (segment (start 65.024 108.712) (end 59.6265 103.3145) (width 0.15) (layer "F.Cu") (net 49) (tstamp ceeb8a5e-ac86-4449-ba4a-31b8501a1132)) + (segment (start 58.293 102.8065) (end 58.293 101.408) (width 0.15) (layer "F.Cu") (net 49) (tstamp f204d4d4-d5cb-419f-9155-5ee40ede59ed)) + (via (at 84.15 108.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp e0a3568e-1b7f-4812-a741-009c54fab26d)) + (via (at 64.643 109.7915) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 49) (tstamp ee5efff5-babb-4f21-b0dc-f6a5b86c3209)) + (segment (start 64.4525 109.982) (end 62.357 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp 0084b7d2-1f0d-4d5e-af9d-aaa8a8946570)) + (segment (start 64.643 109.7915) (end 64.4525 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp 058aba2d-504d-4862-b4ef-0bf6d76c28b3)) + (segment (start 64.8335 109.982) (end 83.668 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp 100590b5-7190-49ba-b222-105ec2be8bb6)) + (segment (start 64.77 118.3005) (end 64.77 119.9515) (width 0.15) (layer "B.Cu") (net 49) (tstamp 1c076930-68d9-4fde-bfaa-28075a48b8fc)) + (segment (start 66.853 120.4595) (end 67.6785 119.634) (width 0.15) (layer "B.Cu") (net 49) (tstamp 34d3c7ac-4fa7-4945-a2d1-d597671ae1e8)) + (segment (start 84.35 108.6) (end 84.15 108.4) (width 0.15) (layer "B.Cu") (net 49) (tstamp 595bd73e-53c7-45f5-a491-5d9560d1802e)) + (segment (start 62.357 109.982) (end 62.0395 110.2995) (width 0.15) (layer "B.Cu") (net 49) (tstamp 74c21774-04cf-4688-ae20-9de7a76938d8)) + (segment (start 62.0395 115.57) (end 64.77 118.3005) (width 0.15) (layer "B.Cu") (net 49) (tstamp b7078bd6-7970-40b7-a442-d21230bddb19)) + (segment (start 67.6785 119.634) (end 68.3895 119.634) (width 0.15) (layer "B.Cu") (net 49) (tstamp bde24de8-1f02-4077-b8cd-cf38685b4569)) + (segment (start 62.0395 110.2995) (end 62.0395 115.57) (width 0.15) (layer "B.Cu") (net 49) (tstamp c9a41858-17fa-4baf-a0da-70d74eedac34)) + (segment (start 84.35 109.3) (end 84.35 108.6) (width 0.15) (layer "B.Cu") (net 49) (tstamp cf100469-138b-4a4d-9efc-9e29d4ee02a3)) + (segment (start 64.643 109.7915) (end 64.8335 109.982) (width 0.15) (layer "B.Cu") (net 49) (tstamp d39781e9-9f7d-4692-8010-d13e95876861)) + (segment (start 65.278 120.4595) (end 66.853 120.4595) (width 0.15) (layer "B.Cu") (net 49) (tstamp dad39224-8b45-4055-bf56-54723d3b849b)) + (segment (start 83.668 109.982) (end 84.35 109.3) (width 0.15) (layer "B.Cu") (net 49) (tstamp e999a936-07ca-4dc9-b2d7-2b860119c85f)) + (segment (start 64.77 119.9515) (end 65.278 120.4595) (width 0.15) (layer "B.Cu") (net 49) (tstamp ecf88184-8082-4145-a904-8b6563d5db49)) + (segment (start 73.3 96.2) (end 76.8 92.7) (width 0.15) (layer "F.Cu") (net 50) (tstamp 13872461-ec66-4a89-a9eb-3ad2e4734403)) + (segment (start 84.1 117.05) (end 73.3 106.25) (width 0.15) (layer "F.Cu") (net 50) (tstamp 44485325-cee9-440a-8bb9-0f8dd323b3aa)) + (segment (start 76.8 92.7) (end 86.25 92.7) (width 0.15) (layer "F.Cu") (net 50) (tstamp 74011bf3-cd9b-4563-941f-4abdfb01f2cd)) + (segment (start 86.25 92.7) (end 87.2875 93.7375) (width 0.15) (layer "F.Cu") (net 50) (tstamp b0e94865-2d50-45e0-893e-f04b2c96ab3a)) + (segment (start 87.2875 93.7375) (end 88.05 93.7375) (width 0.15) (layer "F.Cu") (net 50) (tstamp b80027f4-5a62-4191-a56c-48e19a35bedc)) + (segment (start 87.8 117.05) (end 84.1 117.05) (width 0.15) (layer "F.Cu") (net 50) (tstamp cce52499-c680-42d3-9d9d-cb7815dd6980)) + (segment (start 88.6 119.05) (end 88.6 117.85) (width 0.15) (layer "F.Cu") (net 50) (tstamp edafc9bd-817c-4d24-a361-dbc0b2b58cc4)) + (segment (start 88.6 117.85) (end 87.8 117.05) (width 0.15) (layer "F.Cu") (net 50) (tstamp f0dd6826-575e-43c0-b018-82461a016ac6)) + (segment (start 73.3 106.25) (end 73.3 96.2) (width 0.15) (layer "F.Cu") (net 50) (tstamp f531259c-8cda-434c-ac75-ffd89ba7f06b)) + (segment (start 89.05 94.65) (end 89.05 93.7375) (width 0.15) (layer "F.Cu") (net 51) (tstamp 280bb39e-1894-4a45-8844-7e43bb225cd2)) + (segment (start 87.95 116.75) (end 84.225 116.75) (width 0.15) (layer "F.Cu") (net 51) (tstamp 39cbe70a-1954-4250-825b-477a228d73f4)) + (segment (start 87.9 94.8) (end 88.9 94.8) (width 0.15) (layer "F.Cu") (net 51) (tstamp 3cd08487-ec56-4334-8913-abd38652ca64)) + (segment (start 84.225 116.75) (end 73.6 106.125) (width 0.15) (layer "F.Cu") (net 51) (tstamp 4e1f931c-7c75-4c59-aa28-bab3a5b4ecc2)) + (segment (start 89.25 118.05) (end 87.95 116.75) (width 0.15) (layer "F.Cu") (net 51) (tstamp 54592ad1-c0dd-48fe-818d-447e8df241a5)) + (segment (start 86.1 93) (end 87.9 94.8) (width 0.15) (layer "F.Cu") (net 51) (tstamp 5b6e3f9e-3c53-495e-9c61-9173a86be4a5)) + (segment (start 73.6 106.125) (end 73.6 96.35) (width 0.15) (layer "F.Cu") (net 51) (tstamp a2ca690b-4381-40b3-8116-6c8fbcb5a6dc)) + (segment (start 89.25 119.05) (end 89.25 118.05) (width 0.15) (layer "F.Cu") (net 51) (tstamp b6a847f4-9fe0-42f0-9113-2a0642d6ed7a)) + (segment (start 73.6 96.35) (end 76.95 93) (width 0.15) (layer "F.Cu") (net 51) (tstamp bcff64d3-7fe5-4347-aa87-6e4417f7d495)) + (segment (start 88.9 94.8) (end 89.05 94.65) (width 0.15) (layer "F.Cu") (net 51) (tstamp c53b1f61-7fdb-432a-b71d-e25e79ee2346)) + (segment (start 76.95 93) (end 86.1 93) (width 0.15) (layer "F.Cu") (net 51) (tstamp d94c69aa-70ab-447f-9b14-1bffcd639827)) + (segment (start 89.55 94.65) (end 89.55 93.7375) (width 0.15) (layer "F.Cu") (net 52) (tstamp 28687d2f-e75c-40ac-a958-fb053e8dab95)) + (segment (start 88.2 116.45) (end 84.35 116.45) (width 0.15) (layer "F.Cu") (net 52) (tstamp 336085b1-7859-49d2-81ce-4e5502027713)) + (segment (start 73.9 106) (end 73.9 96.5) (width 0.15) (layer "F.Cu") (net 52) (tstamp 3bf8575b-420e-449e-a48e-069ec2cc9658)) + (segment (start 89.1 95.1) (end 89.55 94.65) (width 0.15) (layer "F.Cu") (net 52) (tstamp 504d2173-55c2-427c-9dba-25348b6fbdef)) + (segment (start 85.95 93.3) (end 87.75 95.1) (width 0.15) (layer "F.Cu") (net 52) (tstamp 65dbe8f0-b26b-422b-ab75-19a0282ffc2b)) + (segment (start 89.9 118.15) (end 88.2 116.45) (width 0.15) (layer "F.Cu") (net 52) (tstamp abb328fe-9f42-4523-b5c1-5235fa2338d4)) + (segment (start 89.9 119.05) (end 89.9 118.15) (width 0.15) (layer "F.Cu") (net 52) (tstamp b193eb9b-ef14-49af-8b03-64bf126ab97e)) + (segment (start 73.9 96.5) (end 77.1 93.3) (width 0.15) (layer "F.Cu") (net 52) (tstamp c9116c95-5f45-4bbe-ae50-fe7253dce423)) + (segment (start 84.35 116.45) (end 73.9 106) (width 0.15) (layer "F.Cu") (net 52) (tstamp cfda0676-bcaa-4507-99e9-19da15ee5247)) + (segment (start 77.1 93.3) (end 85.95 93.3) (width 0.15) (layer "F.Cu") (net 52) (tstamp e19b40d7-664a-4330-b39f-bd1389bf354a)) + (segment (start 87.75 95.1) (end 89.1 95.1) (width 0.15) (layer "F.Cu") (net 52) (tstamp ef21fc7c-b676-40d6-8e97-822d9b3379ed)) + (segment (start 74.2 96.65) (end 77.25 93.6) (width 0.15) (layer "F.Cu") (net 53) (tstamp 15eabdb5-8aa9-4f7e-a4df-98a2e1268ef6)) + (segment (start 87.45 96.75) (end 87.3 96.9) (width 0.15) (layer "F.Cu") (net 53) (tstamp 1825f7fb-96aa-402b-bb49-fd0fb21799aa)) + (segment (start 77.25 93.6) (end 81.8 93.6) (width 0.15) (layer "F.Cu") (net 53) (tstamp 1990659d-d4c9-4a75-8616-c6681986bdd7)) + (segment (start 84.5 116.15) (end 74.2 105.85) (width 0.15) (layer "F.Cu") (net 53) (tstamp 1e92ec3a-b93b-4ed4-96ad-5738cb60afaf)) + (segment (start 74.2 105.85) (end 74.2 96.65) (width 0.15) (layer "F.Cu") (net 53) (tstamp 2aaa0b2f-8190-4ee3-a9dc-90b4b4e94a34)) + (segment (start 90.55 119.05) (end 90.55 118.15) (width 0.15) (layer "F.Cu") (net 53) (tstamp 2eb7dfdd-aab8-4dce-9e6a-c1988a831589)) + (segment (start 81.8 93.6) (end 82.85 94.65) (width 0.15) (layer "F.Cu") (net 53) (tstamp 332a7144-49bb-406d-9a6a-8162e0f87438)) + (segment (start 87.45 95.25) (end 87.45 96.75) (width 0.15) (layer "F.Cu") (net 53) (tstamp 3fe4d6d6-0783-4f44-afe8-57084cc346ce)) + (segment (start 85.55 94.35) (end 86.55 94.35) (width 0.15) (layer "F.Cu") (net 53) (tstamp 418e1bf7-f100-4f33-bb4a-3f27cf1aae57)) + (segment (start 90.55 118.15) (end 88.55 116.15) (width 0.15) (layer "F.Cu") (net 53) (tstamp 67f5b935-c449-4d7c-a6bb-a3b41ce0b4a1)) + (segment (start 88.55 116.15) (end 84.5 116.15) (width 0.15) (layer "F.Cu") (net 53) (tstamp 7cb1c538-9a27-4d77-a998-13cccf57fcc1)) + (segment (start 86.55 94.35) (end 87.45 95.25) (width 0.15) (layer "F.Cu") (net 53) (tstamp a38ff80c-1738-49d5-9c18-af6f49ac23a3)) + (segment (start 87.3 96.9) (end 86.3875 96.9) (width 0.15) (layer "F.Cu") (net 53) (tstamp a6a1a108-a587-463b-a6fc-94a7bd835cd1)) + (segment (start 82.85 94.65) (end 85.25 94.65) (width 0.15) (layer "F.Cu") (net 53) (tstamp ae33ad0d-addc-42ed-ab5a-953640783da9)) + (segment (start 85.25 94.65) (end 85.55 94.35) (width 0.15) (layer "F.Cu") (net 53) (tstamp f83af9cf-ce91-42bf-849b-2c72cfc79c37)) + (segment (start 91.2 118.1) (end 91.2 119.05) (width 0.15) (layer "F.Cu") (net 54) (tstamp 0942ae90-9a59-4575-be0a-c30efa717709)) + (segment (start 91.65 107.65) (end 91.65 108.1) (width 0.15) (layer "F.Cu") (net 54) (tstamp 2bef84f8-320d-4fd6-8701-6febe885386b)) + (segment (start 91.65 108.1) (end 91.55 108.2) (width 0.15) (layer "F.Cu") (net 54) (tstamp 2c669cb9-5589-4f86-b0de-c6aeac8c991b)) + (segment (start 91.55 108.2) (end 91.55 109.0625) (width 0.15) (layer "F.Cu") (net 54) (tstamp 444fd86f-4e07-43e1-a39a-53df525e23dd)) + (segment (start 89.45 116.35) (end 91.2 118.1) (width 0.15) (layer "F.Cu") (net 54) (tstamp a7daa208-84db-4844-a646-085aac7e1260)) + (segment (start 91.55 106.9) (end 91.55 107.55) (width 0.15) (layer "F.Cu") (net 54) (tstamp fccffd09-4bf6-403b-ae3b-9ff8bf0abc72)) + (segment (start 91.55 107.55) (end 91.65 107.65) (width 0.15) (layer "F.Cu") (net 54) (tstamp febcf4de-0a51-4654-99f9-c47b9d7a8640)) + (via (at 91.55 106.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 90a6a711-a41f-4149-b262-f4bf52a6bbcc)) + (via (at 89.45 116.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 54) (tstamp 9c7ab30c-6e37-4926-81c7-41adfc67e171)) + (segment (start 91.65 108.35) (end 90.9 109.1) (width 0.15) (layer "B.Cu") (net 54) (tstamp 1f6b6be2-5be5-4056-912b-747e7b9dedaa)) + (segment (start 89.45 116.35) (end 88.3 115.2) (width 0.15) (layer "B.Cu") (net 54) (tstamp 295c8f05-7825-4386-80dd-4cdbdbe0ba32)) + (segment (start 88.3 115.2) (end 88.3 110.6) (width 0.15) (layer "B.Cu") (net 54) (tstamp 67811ac9-653b-4d63-82fa-1004ecf56be0)) + (segment (start 91.55 106.9) (end 91.65 107) (width 0.15) (layer "B.Cu") (net 54) (tstamp 8c3778b0-bb5b-45ce-ac3b-22d3453c36df)) + (segment (start 90.9 109.1) (end 89.8 109.1) (width 0.15) (layer "B.Cu") (net 54) (tstamp 9289e367-555a-417e-ba3c-b187992ade55)) + (segment (start 91.65 107) (end 91.65 108.35) (width 0.15) (layer "B.Cu") (net 54) (tstamp 9456ab9b-cda3-40a5-b6bc-002e94fcd8b6)) + (segment (start 88.3 110.6) (end 89.8 109.1) (width 0.15) (layer "B.Cu") (net 54) (tstamp ed792e39-df85-4280-b71d-2c476a28b4a1)) + (segment (start 83.65 95.9) (end 86.3875 95.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 11b8f8fa-c833-4513-a7f4-e73ecfd1fecc)) + (segment (start 84.65 115.85) (end 74.5 105.7) (width 0.15) (layer "F.Cu") (net 55) (tstamp 2632770d-e9d3-4738-aca2-c62f6fdfa8bb)) + (segment (start 89.65 115.85) (end 84.65 115.85) (width 0.15) (layer "F.Cu") (net 55) (tstamp 674cd374-700d-4229-acec-101e13afd251)) + (segment (start 74.5 96.8) (end 77.4 93.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 6c4598c6-1e78-406e-b47d-d2c41fe4a9df)) + (segment (start 81.65 93.9) (end 83.65 95.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 6df8199f-ba2f-4c73-b731-5c46f283bd08)) + (segment (start 74.5 105.7) (end 74.5 96.8) (width 0.15) (layer "F.Cu") (net 55) (tstamp 7ce763f1-383a-423d-86e9-0cf40172e298)) + (segment (start 77.4 93.9) (end 81.65 93.9) (width 0.15) (layer "F.Cu") (net 55) (tstamp 8b440f9d-da2e-4ad9-ae0e-92a7186cc436)) + (segment (start 91.85 118.05) (end 89.65 115.85) (width 0.15) (layer "F.Cu") (net 55) (tstamp ccf0f145-c268-412f-a2c2-05f603417d4f)) + (segment (start 91.85 119.05) (end 91.85 118.05) (width 0.15) (layer "F.Cu") (net 55) (tstamp fe24cd35-7fad-413c-b85d-4415223b9843)) + (segment (start 81.5 94.2) (end 83.5 96.2) (width 0.15) (layer "F.Cu") (net 56) (tstamp 2e1f7785-44a4-487e-9f5d-3ad49d966c2a)) + (segment (start 77.55 94.2) (end 81.5 94.2) (width 0.15) (layer "F.Cu") (net 56) (tstamp 3d489947-0444-4bcc-9931-3160f764c98c)) + (segment (start 74.8 96.95) (end 77.55 94.2) (width 0.15) (layer "F.Cu") (net 56) (tstamp 5cdbe66f-1300-488c-9294-ee3b84a194c3)) + (segment (start 83.5 96.2) (end 85.3 96.2) (width 0.15) (layer "F.Cu") (net 56) (tstamp 72abb8c3-0982-4f84-95c8-f3646cd0bd6c)) + (segment (start 89.9 115.55) (end 84.8 115.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp 8316c9d8-7588-4826-aae3-f822dc9a1572)) + (segment (start 85.3 96.2) (end 85.5 96.4) (width 0.15) (layer "F.Cu") (net 56) (tstamp 8a69aa0a-f7e2-4968-9879-163c2c386baf)) + (segment (start 85.5 96.4) (end 86.3875 96.4) (width 0.15) (layer "F.Cu") (net 56) (tstamp 97c6ac7a-b251-4efd-90c7-081e286757a3)) + (segment (start 92.5 119.05) (end 92.5 118.15) (width 0.15) (layer "F.Cu") (net 56) (tstamp a0ded4be-7510-4a07-8bb8-baf5bf365b16)) + (segment (start 74.8 105.55) (end 74.8 96.95) (width 0.15) (layer "F.Cu") (net 56) (tstamp cd05b217-9ad1-4f76-9f4a-a6fe1e35b1b5)) + (segment (start 84.8 115.55) (end 74.8 105.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp d2e7246f-b81e-4ddf-8a85-522556b4a590)) + (segment (start 92.5 118.15) (end 89.9 115.55) (width 0.15) (layer "F.Cu") (net 56) (tstamp fa5ec724-ad09-4c11-8317-1b8945403e82)) + (segment (start 83 96.15) (end 81.35 94.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp 0589ce35-afa4-4f78-b7fb-673ddb10578e)) + (segment (start 85.35 98) (end 83.4 98) (width 0.15) (layer "F.Cu") (net 57) (tstamp 1aefce7e-75a7-4c1b-b062-eb66056995be)) + (segment (start 85.45 97.9) (end 85.35 98) (width 0.15) (layer "F.Cu") (net 57) (tstamp 45abfcb5-b42d-49e9-a93e-52a0cf13c8f9)) + (segment (start 75.1 97.1) (end 75.1 105.4) (width 0.15) (layer "F.Cu") (net 57) (tstamp 485f763a-07dc-4132-92fe-0d7ff89e37a6)) + (segment (start 81.35 94.5) (end 77.7 94.5) (width 0.15) (layer "F.Cu") (net 57) (tstamp 5983897c-3b5a-4c63-b7ec-d704ee891cb8)) + (segment (start 90.25 115.25) (end 93.15 118.15) (width 0.15) (layer "F.Cu") (net 57) (tstamp 60821a2e-c2fa-4b3f-9edc-48deff34b568)) + (segment (start 93.15 118.15) (end 93.15 119.05) (width 0.15) (layer "F.Cu") (net 57) (tstamp 6b5eea18-3552-4e04-9211-bc8775ffc50a)) + (segment (start 86.3875 97.9) (end 85.45 97.9) (width 0.15) (layer "F.Cu") (net 57) (tstamp 6dd6d116-8564-4aac-884f-35aa61ee19ff)) + (segment (start 84.95 115.25) (end 90.25 115.25) (width 0.15) (layer "F.Cu") (net 57) (tstamp 9712857b-4af5-4f0a-9f4a-bcae5f994588)) + (segment (start 83 97.6) (end 83 96.15) (width 0.15) (layer "F.Cu") (net 57) (tstamp 9dff4ded-4606-4c8f-b733-6c029f478bd5)) + (segment (start 75.1 105.4) (end 84.95 115.25) (width 0.15) (layer "F.Cu") (net 57) (tstamp a40ee064-433f-44f0-b33f-0959a47c0462)) + (segment (start 77.7 94.5) (end 75.1 97.1) (width 0.15) (layer "F.Cu") (net 57) (tstamp e4561991-2c56-488e-98b1-0d9c5c1eae15)) + (segment (start 83.4 98) (end 83 97.6) (width 0.15) (layer "F.Cu") (net 57) (tstamp ee4b574a-ac48-4182-93ed-d4d411c0e265)) + (segment (start 96.7 116.7) (end 97.8 117.8) (width 0.15) (layer "F.Cu") (net 58) (tstamp 156a0e8c-8f7b-4c14-9ed3-d0f04d4f173f)) + (segment (start 82.2 109.2) (end 82.4 109.4) (width 0.15) (layer "F.Cu") (net 58) (tstamp 5021e461-31f1-407d-925d-ca3b9e59ebaf)) + (segment (start 91.9 113.95) (end 94.65 116.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp 69a0c20b-046e-41d5-8bf7-9cfad895b935)) + (segment (start 82.4 109.4) (end 82.4 110.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 6cb96154-3e8f-4b60-b567-f45efd7ccc5b)) + (segment (start 85.4 113.95) (end 91.9 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 781e7cbd-305b-4362-bbef-d596cf402898)) + (segment (start 88.45 98.4) (end 86.3875 98.4) (width 0.15) (layer "F.Cu") (net 58) (tstamp 7ae6a5b7-9d4d-4353-8361-2ce3b7de25b0)) + (segment (start 82.4 110.95) (end 85.4 113.95) (width 0.15) (layer "F.Cu") (net 58) (tstamp 9d8e5800-8dfe-480f-922a-a6b823b5d52d)) + (segment (start 94.65 116.7) (end 96.7 116.7) (width 0.15) (layer "F.Cu") (net 58) (tstamp abc88669-9b6c-4918-a5c5-c7dcbeb324c1)) + (segment (start 97.8 117.8) (end 97.8 119.05) (width 0.15) (layer "F.Cu") (net 58) (tstamp e5f2357e-5c27-45ce-8e70-e25c5102e354)) + (via (at 82.2 109.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 58) (tstamp 28dfa327-0f70-4ecf-8815-51144360af1e)) + (via (at 88.45 98.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 58) (tstamp 67bc5f3c-2beb-4415-b796-d28e5fb53dae)) + (segment (start 82.4 106.9) (end 82.4 107.9) (width 0.15) (layer "B.Cu") (net 58) (tstamp 53ac043d-7c25-494e-8f36-c21e6ee1a296)) + (segment (start 83.65 103.6) (end 83.15 103.1) (width 0.15) (layer "B.Cu") (net 58) (tstamp 586862d9-0c70-4de2-b79f-bb8df8db173e)) + (segment (start 83.65 105.65) (end 82.4 106.9) (width 0.15) (layer "B.Cu") (net 58) (tstamp 88346b43-71fb-4080-bfb2-e6ef591316c6)) + (segment (start 84.4 100.1) (end 83.85 100.65) (width 0.15) (layer "B.Cu") (net 58) (tstamp 8e55ece6-d5a1-4afe-87c4-e036b6629ed8)) + (segment (start 82.2 108.1) (end 82.2 109.2) (width 0.15) (layer "B.Cu") (net 58) (tstamp 90dd8c60-129d-41ef-a3ef-185880d70a54)) + (segment (start 88.3 98.25) (end 85.2 98.25) (width 0.15) (layer "B.Cu") (net 58) (tstamp d3cf18b4-7d5f-4c3c-9fc2-777b8d212407)) + (segment (start 83.85 100.65) (end 83.85 101.9) (width 0.15) (layer "B.Cu") (net 58) (tstamp d56ae0c5-8d55-4b97-8902-8a5bd5d43bc9)) + (segment (start 88.45 98.4) (end 88.3 98.25) (width 0.15) (layer "B.Cu") (net 58) (tstamp d760591a-4503-4932-a2fc-50d88aa060dc)) + (segment (start 83.15 103.1) (end 83.15 102.6) (width 0.15) (layer "B.Cu") (net 58) (tstamp d8b8ea7b-66b2-4e91-a83d-dcaaa4eb2835)) + (segment (start 83.15 102.6) (end 83.85 101.9) (width 0.15) (layer "B.Cu") (net 58) (tstamp da827de6-30a9-4101-b164-f96481e69795)) + (segment (start 83.65 103.6) (end 83.65 105.65) (width 0.15) (layer "B.Cu") (net 58) (tstamp e28c1f34-6444-4747-86cd-346b8f41bd0e)) + (segment (start 84.4 99.05) (end 84.4 100.1) (width 0.15) (layer "B.Cu") (net 58) (tstamp e574595a-9c11-4328-8348-3b39f6ef9b1b)) + (segment (start 82.4 107.9) (end 82.2 108.1) (width 0.15) (layer "B.Cu") (net 58) (tstamp e6579499-7d4d-4311-b56d-5492f605df20)) + (segment (start 85.2 98.25) (end 84.4 99.05) (width 0.15) (layer "B.Cu") (net 58) (tstamp f544d01c-9cad-4ac3-947c-10e6d879619e)) + (segment (start 82.7 110.8) (end 85.55 113.65) (width 0.15) (layer "F.Cu") (net 59) (tstamp 0b788761-75a6-4ede-94c4-619f829e92a5)) + (segment (start 82.7 108.3) (end 82.7 110.8) (width 0.15) (layer "F.Cu") (net 59) (tstamp 20da8e4b-1b40-473f-b813-533f72ee6f36)) + (segment (start 92.05 113.65) (end 94.8 116.4) (width 0.15) (layer "F.Cu") (net 59) (tstamp 5a965607-8f3e-4a1c-bda7-f4cf10d08cf4)) + (segment (start 87.5 98.7) (end 87.3 98.9) (width 0.15) (layer "F.Cu") (net 59) (tstamp 60a0515e-638a-48a5-bc12-e7cd6d9d4975)) + (segment (start 88.5 99.65) (end 88.5 99.15) (width 0.15) (layer "F.Cu") (net 59) (tstamp 73c95fce-2fd8-4f36-89be-ab409ec2646d)) + (segment (start 88.05 98.7) (end 87.5 98.7) (width 0.15) (layer "F.Cu") (net 59) (tstamp 82bf5e89-5927-4b35-8930-589fee6bd39d)) + (segment (start 94.8 116.4) (end 96.85 116.4) (width 0.15) (layer "F.Cu") (net 59) (tstamp b57ef8a4-3e65-40c4-a935-7583237a7d2a)) + (segment (start 85.55 113.65) (end 92.05 113.65) (width 0.15) (layer "F.Cu") (net 59) (tstamp c7d991a9-6e9a-4f75-a7a7-36870beb1425)) + (segment (start 88.5 99.15) (end 88.05 98.7) (width 0.15) (layer "F.Cu") (net 59) (tstamp cb040b0c-e816-4946-99fb-62ba3d266eb2)) + (segment (start 98.45 118) (end 98.45 119.05) (width 0.15) (layer "F.Cu") (net 59) (tstamp ccf6b30b-983c-44d7-ab9a-c69d2c17a9fc)) + (segment (start 87.3 98.9) (end 86.3875 98.9) (width 0.15) (layer "F.Cu") (net 59) (tstamp dad5bf3b-239e-416b-8d59-442547a17ccc)) + (segment (start 96.85 116.4) (end 98.45 118) (width 0.15) (layer "F.Cu") (net 59) (tstamp fed8bdb9-7b5b-4cbe-ab08-9d86212800d8)) + (via (at 82.7 108.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 59) (tstamp 02853c3a-547f-49c8-9e4f-790ed0609d82)) + (via (at 88.5 99.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 59) (tstamp e5c62232-6152-4390-9b7d-209f7d5c1ea5)) + (segment (start 82.7 108.3) (end 82.7 107.05) (width 0.15) (layer "B.Cu") (net 59) (tstamp 4a36ed36-6d39-44b4-91ac-ccdc1e5d55bd)) + (segment (start 83.95 105.8) (end 83.95 103.3) (width 0.15) (layer "B.Cu") (net 59) (tstamp 4eebf5f3-a00b-4089-a97b-53807aeaa810)) + (segment (start 85.35 98.55) (end 87.9 98.55) (width 0.15) (layer "B.Cu") (net 59) (tstamp 625dd241-97e7-4e9f-babe-395a38c710da)) + (segment (start 82.7 107.05) (end 83.95 105.8) (width 0.15) (layer "B.Cu") (net 59) (tstamp a139d71c-c565-40ac-9349-a27492e831e5)) + (segment (start 83.95 103.3) (end 84.15 103.1) (width 0.15) (layer "B.Cu") (net 59) (tstamp cd2b8c39-2c58-4c6b-8c7f-8b55bcf93913)) + (segment (start 84.15 100.8) (end 84.7 100.25) (width 0.15) (layer "B.Cu") (net 59) (tstamp da192e98-8cf8-4c78-964a-ef810cfecd30)) + (segment (start 88.5 99.15) (end 88.5 99.65) (width 0.15) (layer "B.Cu") (net 59) (tstamp eb135fb0-340e-4d1a-b3cc-15670a69875b)) + (segment (start 84.7 99.2) (end 85.35 98.55) (width 0.15) (layer "B.Cu") (net 59) (tstamp eeda6c65-dccb-4714-82a0-40336b05844c)) + (segment (start 84.7 100.25) (end 84.7 99.2) (width 0.15) (layer "B.Cu") (net 59) (tstamp eef61106-9314-4b43-8273-b59572124c22)) + (segment (start 84.15 103.1) (end 84.15 100.8) (width 0.15) (layer "B.Cu") (net 59) (tstamp fb31c363-62a5-465f-92b9-04422d2773e0)) + (segment (start 87.9 98.55) (end 88.5 99.15) (width 0.15) (layer "B.Cu") (net 59) (tstamp fd8e135d-65d4-44e9-87b1-322b7efcc71b)) + (segment (start 83.2 109.2) (end 83 109.4) (width 0.15) (layer "F.Cu") (net 60) (tstamp 024394b1-798e-4c1c-80fa-3655ffe13330)) + (segment (start 83 110.675) (end 85.675 113.35) (width 0.15) (layer "F.Cu") (net 60) (tstamp 2c05a824-b8a0-4984-816b-882af91a17fa)) + (segment (start 88.05 102.6) (end 87.35 101.9) (width 0.15) (layer "F.Cu") (net 60) (tstamp 2fda15d7-a042-42c2-91b8-e643ff39a324)) + (segment (start 92.2 113.35) (end 94.95 116.1) (width 0.15) (layer "F.Cu") (net 60) (tstamp 50a9f1d2-813d-4563-b862-b414c08220d3)) + (segment (start 94.95 116.1) (end 97.05 116.1) (width 0.15) (layer "F.Cu") (net 60) (tstamp a6181b55-fd46-42fb-bb3d-3e0e8f124068)) + (segment (start 97.05 116.1) (end 99.1 118.15) (width 0.15) (layer "F.Cu") (net 60) (tstamp a8c10d7f-3301-4195-9c7f-a8062c9c7369)) + (segment (start 85.675 113.35) (end 92.2 113.35) (width 0.15) (layer "F.Cu") (net 60) (tstamp c86834ed-a032-4de4-9a0d-4f77381f2f4b)) + (segment (start 87.35 101.9) (end 86.3875 101.9) (width 0.15) (layer "F.Cu") (net 60) (tstamp e4d08b61-fa65-4f18-afb1-df2416eafde2)) + (segment (start 83 109.4) (end 83 110.675) (width 0.15) (layer "F.Cu") (net 60) (tstamp e5ef132b-06c9-4e77-b28a-d8d66da0c3c8)) + (segment (start 88.2 102.6) (end 88.05 102.6) (width 0.15) (layer "F.Cu") (net 60) (tstamp ea1d1b41-d147-49b7-a5af-4bbf2c166990)) + (segment (start 99.1 118.15) (end 99.1 119.05) (width 0.15) (layer "F.Cu") (net 60) (tstamp f209955e-fa09-4269-bc16-45859716ce5d)) + (via (at 83.2 109.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 3f02130f-ba72-4413-9381-a5d072d3d584)) + (via (at 88.2 102.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 60) (tstamp 8e95efa5-6278-444f-8ba0-a00c179e7717)) + (segment (start 88.2 102.6) (end 88.1 102.5) (width 0.15) (layer "B.Cu") (net 60) (tstamp 0fbd762c-5e0d-4b6f-95d2-f438bacc99b6)) + (segment (start 84.25 105.95) (end 83 107.2) (width 0.15) (layer "B.Cu") (net 60) (tstamp 72da2817-4a15-47c6-b4b9-001586c889cb)) + (segment (start 84.25 104.55) (end 84.25 105.95) (width 0.15) (layer "B.Cu") (net 60) (tstamp 842261ee-7528-4ba9-aff4-664d1d574e92)) + (segment (start 83 107.9) (end 83.2 108.1) (width 0.15) (layer "B.Cu") (net 60) (tstamp 864b3673-1157-4be4-b303-fbe088f8946a)) + (segment (start 83 107.2) (end 83 107.9) (width 0.15) (layer "B.Cu") (net 60) (tstamp a78a9897-9e81-476d-b1a3-04490356015c)) + (segment (start 83.2 108.1) (end 83.2 109.2) (width 0.15) (layer "B.Cu") (net 60) (tstamp bbeb84ee-7b4c-40f8-b12a-9be354c71278)) + (segment (start 88.1 102.5) (end 86.3 102.5) (width 0.15) (layer "B.Cu") (net 60) (tstamp c5d9ea98-fe16-474e-93ff-084f6948a5e6)) + (segment (start 86.3 102.5) (end 84.25 104.55) (width 0.15) (layer "B.Cu") (net 60) (tstamp f0b574ba-72f4-4044-b19f-93b32227afaf)) + (segment (start 75.6 90.3) (end 70.9 95) (width 0.15) (layer "F.Cu") (net 61) (tstamp 0015db42-6171-4b2d-94f6-8e894e6e091d)) + (segment (start 95.05 93.7375) (end 95.05 92.85) (width 0.15) (layer "F.Cu") (net 61) (tstamp 5a2328ed-2225-4b6c-8aaa-702de233c4a1)) + (segment (start 70.9 95) (end 70.9 107.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp 6f197353-ec48-42b2-8f3e-6066b11ec728)) + (segment (start 88.225 88.45) (end 86.375 90.3) (width 0.15) (layer "F.Cu") (net 61) (tstamp 7f54548f-71a2-449f-82ec-dcab3c9739b4)) + (segment (start 94.05 90.45) (end 92.05 88.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp 7fbac176-1a87-4b86-add4-df4aceba38e7)) + (segment (start 70.9 107.45) (end 79.4 115.95) (width 0.15) (layer "F.Cu") (net 61) (tstamp a9edcced-f7bc-48bd-a282-fff0b733bd79)) + (segment (start 95.05 92.85) (end 94.05 91.85) (width 0.15) (layer "F.Cu") (net 61) (tstamp afc25445-6a10-4195-b992-6bef4b72f276)) + (segment (start 79.4 115.95) (end 79.4 119.05) (width 0.15) (layer "F.Cu") (net 61) (tstamp b9012c3a-4f9a-4017-bea2-e4390bf1c747)) + (segment (start 86.375 90.3) (end 75.6 90.3) (width 0.15) (layer "F.Cu") (net 61) (tstamp ba774ef3-e544-4b22-b99a-da7d1354c1be)) + (segment (start 94.05 91.85) (end 94.05 90.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp deacf356-b1bd-4843-89a6-578ab2891ebf)) + (segment (start 92.05 88.45) (end 88.225 88.45) (width 0.15) (layer "F.Cu") (net 61) (tstamp f1cf509a-e4b3-40e0-aea4-9340ee3a9083)) + (segment (start 71.2 107.3) (end 80.05 116.15) (width 0.15) (layer "F.Cu") (net 62) (tstamp 03a5be34-0bf6-4718-96e5-692a2b182725)) + (segment (start 86.5 90.6) (end 75.75 90.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp 14975aca-00fb-4a1e-90b6-586e5a97fd82)) + (segment (start 94.55 92.85) (end 93.75 92.05) (width 0.15) (layer "F.Cu") (net 62) (tstamp 18c22f5a-3fdc-4a99-8dff-b1ac4739a26a)) + (segment (start 94.55 93.7375) (end 94.55 92.85) (width 0.15) (layer "F.Cu") (net 62) (tstamp 2a7dde5d-0b21-4aa3-a825-d2b8596995d5)) + (segment (start 93.75 90.6) (end 91.9 88.75) (width 0.15) (layer "F.Cu") (net 62) (tstamp 3d8ecd04-6033-4812-9ec8-85251a0d7689)) + (segment (start 88.35 88.75) (end 86.5 90.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp 64c7372e-75ba-46b6-8435-f53bb95d6ed1)) + (segment (start 80.05 116.15) (end 80.05 119.05) (width 0.15) (layer "F.Cu") (net 62) (tstamp 7266bfb3-fbf6-44e5-90d9-7ef34765d1af)) + (segment (start 75.75 90.6) (end 71.2 95.15) (width 0.15) (layer "F.Cu") (net 62) (tstamp ae9cee1e-742d-4d7b-b024-80c8ed982f64)) + (segment (start 91.9 88.75) (end 88.35 88.75) (width 0.15) (layer "F.Cu") (net 62) (tstamp d8b63bd7-2038-4aac-b2b1-ed35d15f96bf)) + (segment (start 93.75 92.05) (end 93.75 90.6) (width 0.15) (layer "F.Cu") (net 62) (tstamp f4dca6a8-bab0-4f47-b20a-0180ce985ea6)) + (segment (start 71.2 95.15) (end 71.2 107.3) (width 0.15) (layer "F.Cu") (net 62) (tstamp f9103a62-19fb-40f3-8845-8b1edf73408a)) + (segment (start 71.5 95.3) (end 71.5 107.15) (width 0.15) (layer "F.Cu") (net 63) (tstamp 2753c20a-3891-4fc7-8633-800804bfc9c0)) + (segment (start 93.45 90.75) (end 91.75 89.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp 39b65925-dbd5-4f7f-ae2f-8e8af6d1f19b)) + (segment (start 71.5 107.15) (end 80.7 116.35) (width 0.15) (layer "F.Cu") (net 63) (tstamp 466959ce-973f-4cb1-9e27-77fe1101a73f)) + (segment (start 93.45 92.25) (end 93.45 90.75) (width 0.15) (layer "F.Cu") (net 63) (tstamp 4abb5a40-9200-4470-852a-50f2134b94e0)) + (segment (start 75.9 90.9) (end 71.5 95.3) (width 0.15) (layer "F.Cu") (net 63) (tstamp 5aeddff9-32bc-4aec-82e6-7382635f7164)) + (segment (start 94.05 93.7375) (end 94.05 92.85) (width 0.15) (layer "F.Cu") (net 63) (tstamp a83b1994-f731-422a-b3cb-1fa49a5990e8)) + (segment (start 94.05 92.85) (end 93.45 92.25) (width 0.15) (layer "F.Cu") (net 63) (tstamp b16ce9b9-3a55-494d-aacd-d51ea8a07f84)) + (segment (start 80.7 116.35) (end 80.7 119.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp be2ae10f-7270-41e8-a4d8-45f5ed72fe5b)) + (segment (start 91.75 89.05) (end 88.5 89.05) (width 0.15) (layer "F.Cu") (net 63) (tstamp d8632504-3e65-4b0a-bedf-bdd4a17434f8)) + (segment (start 86.65 90.9) (end 75.9 90.9) (width 0.15) (layer "F.Cu") (net 63) (tstamp dd9d8948-df99-4c89-be0d-5fe1dd35f29a)) + (segment (start 88.5 89.05) (end 86.65 90.9) (width 0.15) (layer "F.Cu") (net 63) (tstamp ddd542e0-c606-45d3-bf0f-5c12c08eb7b7)) + (segment (start 71.8 107) (end 81.35 116.55) (width 0.15) (layer "F.Cu") (net 64) (tstamp 2ac64b14-98de-4e3b-8b51-c605470ffa27)) + (segment (start 76.05 91.2) (end 71.8 95.45) (width 0.15) (layer "F.Cu") (net 64) (tstamp 2ea27eb5-cbaf-4c11-beb0-9b15e8fe6b54)) + (segment (start 88.65 89.35) (end 86.8 91.2) (width 0.15) (layer "F.Cu") (net 64) (tstamp 40e73a6f-1323-47dd-a54d-59ede7bd0f0f)) + (segment (start 86.8 91.2) (end 76.05 91.2) (width 0.15) (layer "F.Cu") (net 64) (tstamp 53ff36fe-b043-4c0e-9a10-98219c2de78a)) + (segment (start 93.55 93.7375) (end 93.55 92.85) (width 0.15) (layer "F.Cu") (net 64) (tstamp 5dfd84f6-505e-4d40-81c2-e443357866c1)) + (segment (start 81.35 116.55) (end 81.35 119.05) (width 0.15) (layer "F.Cu") (net 64) (tstamp 69c91cf8-7192-4e70-ac40-c7fc9ad6c00a)) + (segment (start 71.8 95.45) (end 71.8 107) (width 0.15) (layer "F.Cu") (net 64) (tstamp adbc44ba-28f4-4b15-9279-273e98482214)) + (segment (start 93.55 92.85) (end 93.15 92.45) (width 0.15) (layer "F.Cu") (net 64) (tstamp c0ab452e-5e7c-4a8a-a362-47f90a8caa00)) + (segment (start 91.6 89.35) (end 88.65 89.35) (width 0.15) (layer "F.Cu") (net 64) (tstamp cf845f5a-20a6-4e0b-8d7f-ad2e473d3ca5)) + (segment (start 93.15 92.45) (end 93.15 90.9) (width 0.15) (layer "F.Cu") (net 64) (tstamp dd2557e2-7bfc-40a0-9ca4-6b5f6b349226)) + (segment (start 93.15 90.9) (end 91.6 89.35) (width 0.15) (layer "F.Cu") (net 64) (tstamp e2212d3b-3a63-4ca1-9b73-f66ab2f5a290)) + (segment (start 93.05 93.7375) (end 93.05 92.85) (width 0.15) (layer "F.Cu") (net 65) (tstamp 1f1a8934-733c-4dd9-93c5-f5c0fef1a5b2)) + (segment (start 92.85 91.05) (end 91.45 89.65) (width 0.15) (layer "F.Cu") (net 65) (tstamp 467bba46-48ec-4292-9282-5697e7acdb7f)) + (segment (start 91.45 89.65) (end 88.8 89.65) (width 0.15) (layer "F.Cu") (net 65) (tstamp 48a94222-399e-43f1-ba0a-04e0f20593c3)) + (segment (start 82 116.75) (end 82 119.05) (width 0.15) (layer "F.Cu") (net 65) (tstamp 4cd36387-dbe7-4ff5-a64e-bc536015fda9)) + (segment (start 76.2 91.5) (end 72.1 95.6) (width 0.15) (layer "F.Cu") (net 65) (tstamp 605e2988-6295-485e-85f4-0bf61bc7649c)) + (segment (start 72.1 95.6) (end 72.1 106.85) (width 0.15) (layer "F.Cu") (net 65) (tstamp 7d06dabb-971b-4edd-9db6-ae9839082dca)) + (segment (start 86.95 91.5) (end 76.2 91.5) (width 0.15) (layer "F.Cu") (net 65) (tstamp ad894ff6-bbbb-48af-a4ac-848f243d840b)) + (segment (start 72.1 106.85) (end 82 116.75) (width 0.15) (layer "F.Cu") (net 65) (tstamp ba61b96f-9e77-4335-a309-007a8fb95d83)) + (segment (start 88.8 89.65) (end 86.95 91.5) (width 0.15) (layer "F.Cu") (net 65) (tstamp bb393508-1274-4e52-9357-460c63553b7d)) + (segment (start 92.85 92.65) (end 92.85 91.05) (width 0.15) (layer "F.Cu") (net 65) (tstamp c085735f-d4a9-4fe6-9898-4445bed42ef4)) + (segment (start 93.05 92.85) (end 92.85 92.65) (width 0.15) (layer "F.Cu") (net 65) (tstamp e603ef8d-5208-4a13-bd79-34343fde8df8)) + (segment (start 88.95 89.95) (end 87.1 91.8) (width 0.15) (layer "F.Cu") (net 66) (tstamp 3ac06048-3f55-48bd-bad5-2d2a6e1ccbeb)) + (segment (start 72.4 106.7) (end 82.65 116.95) (width 0.15) (layer "F.Cu") (net 66) (tstamp 6d4d6c61-b26e-40b3-8aac-b38b62d1761f)) + (segment (start 76.35 91.8) (end 72.4 95.75) (width 0.15) (layer "F.Cu") (net 66) (tstamp 7745670d-2371-4bb4-b274-e4040e96d19b)) + (segment (start 72.4 95.75) (end 72.4 106.7) (width 0.15) (layer "F.Cu") (net 66) (tstamp 910a1d53-2b92-4c03-959f-3d9335a5a212)) + (segment (start 91.3 89.95) (end 88.95 89.95) (width 0.15) (layer "F.Cu") (net 66) (tstamp 951505e5-9230-4747-9217-e5af9660fd12)) + (segment (start 82.65 116.95) (end 82.65 119.05) (width 0.15) (layer "F.Cu") (net 66) (tstamp be948a55-b8d8-43b3-acb7-a30df19a2362)) + (segment (start 87.1 91.8) (end 76.35 91.8) (width 0.15) (layer "F.Cu") (net 66) (tstamp ccfa70be-57c2-4b41-8d2f-722a772bc359)) + (segment (start 92.55 93.7375) (end 92.55 91.2) (width 0.15) (layer "F.Cu") (net 66) (tstamp d240c92f-dcd3-46a3-b70c-c8a212089dbc)) + (segment (start 92.55 91.2) (end 91.3 89.95) (width 0.15) (layer "F.Cu") (net 66) (tstamp ddb19213-65a1-464b-913b-4f4c06a4f308)) + (segment (start 72.7 106.55) (end 83.3 117.15) (width 0.15) (layer "F.Cu") (net 67) (tstamp 048a731d-f2d7-4b90-8fd2-caa5a7d06104)) + (segment (start 92.25 91.35) (end 91.15 90.25) (width 0.15) (layer "F.Cu") (net 67) (tstamp 2a8e4973-14d3-480c-84ec-95fb37c63df5)) + (segment (start 72.7 95.9) (end 72.7 106.55) (width 0.15) (layer "F.Cu") (net 67) (tstamp 2cc1d3ff-6b41-43d4-9815-a9c61e31a92c)) + (segment (start 87.25 92.1) (end 76.5 92.1) (width 0.15) (layer "F.Cu") (net 67) (tstamp 411c2126-7737-4e0f-acbb-56fb13e63826)) + (segment (start 89.1 90.25) (end 87.25 92.1) (width 0.15) (layer "F.Cu") (net 67) (tstamp 59a2a510-78ee-443b-abfc-a8f5198972c6)) + (segment (start 92.05 92.8) (end 92.25 92.6) (width 0.15) (layer "F.Cu") (net 67) (tstamp 803cb81d-15f2-4e5d-af40-4cc4318f2db8)) + (segment (start 91.15 90.25) (end 89.1 90.25) (width 0.15) (layer "F.Cu") (net 67) (tstamp 83b3d461-2bb8-408e-a766-a9603604aa58)) + (segment (start 83.3 117.15) (end 83.3 119.05) (width 0.15) (layer "F.Cu") (net 67) (tstamp 895dd434-b142-4299-8cd7-4bc03cd81373)) + (segment (start 76.5 92.1) (end 72.7 95.9) (width 0.15) (layer "F.Cu") (net 67) (tstamp 9ff75784-c5f4-4670-b48d-005110ffd924)) + (segment (start 92.25 92.6) (end 92.25 91.35) (width 0.15) (layer "F.Cu") (net 67) (tstamp db5cb808-b0d3-4471-b969-ff9633a9b4ff)) + (segment (start 92.05 93.7375) (end 92.05 92.8) (width 0.15) (layer "F.Cu") (net 67) (tstamp fbe9964b-00ea-41bb-bc7c-b6b661d5e380)) + (segment (start 73 96.05) (end 73 106.4) (width 0.15) (layer "F.Cu") (net 68) (tstamp 0ff6123e-1913-4168-bc4a-fc0c8795d804)) + (segment (start 88.1 92.4) (end 76.65 92.4) (width 0.15) (layer "F.Cu") (net 68) (tstamp 3c06331b-14ba-43fd-94c7-4968321d2f52)) + (segment (start 83.95 117.35) (end 83.95 119.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 45cede42-34bb-434e-be02-f2bacbc00f8d)) + (segment (start 88.55 93.7375) (end 88.55 92.85) (width 0.15) (layer "F.Cu") (net 68) (tstamp 692365f7-a92f-48ee-923a-c94e07510c9d)) + (segment (start 76.65 92.4) (end 73 96.05) (width 0.15) (layer "F.Cu") (net 68) (tstamp 6c2e253b-8abb-43ad-ab2d-567f899c6643)) + (segment (start 88.55 92.85) (end 88.1 92.4) (width 0.15) (layer "F.Cu") (net 68) (tstamp f42f84c3-9c7f-4339-a2df-1936caf6a622)) + (segment (start 73 106.4) (end 83.95 117.35) (width 0.15) (layer "F.Cu") (net 68) (tstamp f9766ae7-bd36-4aa8-9323-3354801a3e24)) + (segment (start 58.293 96.458) (end 58.293 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 01b671f2-3ca5-4060-b212-4d936b36bdef)) + (segment (start 110.85 105.65) (end 110.85 104.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 0252b044-9a77-41b8-a4dc-90afbde44975)) + (segment (start 126.45 111.85) (end 127.2 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 03553f6f-1a0b-495c-90a6-bfa135c85276)) + (segment (start 104.2 119.05) (end 105.075 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 0371ca81-2d52-475b-8902-0f9e558fdb22)) + (segment (start 116.5 122.35) (end 117.2 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 03dcccfe-88d2-4adf-8f33-107f883215f8)) + (segment (start 127.85 118.6) (end 127.85 117.65) (width 0.8) (layer "F.Cu") (net 70) (tstamp 05688865-64c8-429c-857c-0275c5b1b566)) + (segment (start 54.483 101.408) (end 54.483 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp 06ccf75b-24d0-409d-be94-701d6be82aed)) + (segment (start 104.75 103.55) (end 104.75 103.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp 08955ba3-da92-413e-95cb-9dd1da5808e3)) + (segment (start 131.75 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp 08dc6c1e-6300-4704-9744-09cf42a48f98)) + (segment (start 63.8175 101.4095) (end 64.516 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0d6812d6-22d6-4705-b0dc-12c0dba6df20)) + (segment (start 62.103 101.408) (end 62.8 101.408) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0db29326-f411-4f2d-9666-03b15bceca7a)) + (segment (start 124.4 115.35) (end 124.4 114.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp 0ebd9850-276a-485d-bc67-d19050cf8240)) + (segment (start 82.75 104.35) (end 81.8 104.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 118a662c-0dc6-4d36-a5b5-61c7a387d268)) + (segment (start 105.7 119.05) (end 105.7 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp 131065e7-0424-4610-bbe8-10d099c75762)) + (segment (start 103.75 103.55) (end 103.75 102.6) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1324838f-e49b-4899-a109-ca342709bb9b)) + (segment (start 85.775 119.05) (end 86.675 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 14eae4cc-0659-4d84-8c34-94b9a3baec8c)) + (segment (start 127.2 111.85) (end 127.35 112) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1539feb6-ff95-4e52-906f-113f2229ce4a)) + (segment (start 114.4 115.35) (end 114.4 114.6) (width 0.6) (layer "F.Cu") (net 70) (tstamp 15adc6a7-e2e3-4287-9116-16836f0f22b7)) + (segment (start 137.3 120.4) (end 138.4 120.4) (width 0.6) (layer "F.Cu") (net 70) (tstamp 16d95738-c665-42c7-95e9-8aa22827fee4)) + (segment (start 126.45 111.85) (end 126.45 111) (width 0.6) (layer "F.Cu") (net 70) (tstamp 17c2037d-da4c-4965-a9f8-1c67bfa2c95d)) + (segment (start 97.9 91.7) (end 97.9 90.95) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1b06e1b2-4101-42fa-9ce5-c1f757df43e1)) + (segment (start 100.65 103.4) (end 100.55 103.5) (width 0.3) (layer "F.Cu") (net 70) (tstamp 1b496f6a-07f6-4270-8160-301610591546)) + (segment (start 104.25 102.6) (end 103.75 102.6) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1b992832-92e6-4f5f-bc67-c2c9b46750a3)) + (segment (start 85.25 98.9) (end 85.25 99.35) (width 0.45) (layer "F.Cu") (net 70) (tstamp 1c469676-aeb6-4a31-8c9b-c3b8450fe5bf)) + (segment (start 90.05 110.35) (end 90.2 110.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 1c4f85d9-38e1-4a91-adc6-6264a1766aa8)) + (segment (start 85.8 119.05) (end 85.8 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 1c6c5432-edfa-4e8f-8b25-43bf9f23b0d0)) + (segment (start 114.4 116.1) (end 114.25 116.25) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1dd77346-a937-4c49-8a25-2fc9e4be7422)) + (segment (start 110.85 104.85) (end 110.8 104.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp 1ebd204d-8e93-4e8e-a974-40f4f6c16221)) + (segment (start 124.4 92.65) (end 124.4 91.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 1f6117b6-4ed2-453b-bbbd-b352aceea670)) + (segment (start 124.4 90) (end 124.25 89.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 2143e725-133c-43d2-a072-016011eb9121)) + (segment (start 98.05 93.7375) (end 98.05 94.8) (width 0.3) (layer "F.Cu") (net 70) (tstamp 21a4f472-0cb1-4fa6-9b28-d4b69e935d11)) + (segment (start 91 94.85) (end 91.05 94.8) (width 0.3) (layer "F.Cu") (net 70) (tstamp 2464f4b4-886c-4c57-b9e9-223c6855a9ad)) + (segment (start 90.05 111.1) (end 89.2 111.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp 26b6f30b-495f-4967-9ccb-d5b528709342)) + (segment (start 114.4 115.35) (end 115.25 115.35) (width 0.6) (layer "F.Cu") (net 70) (tstamp 29504b9a-7370-463e-b3ff-1cb36c6ad44e)) + (segment (start 97.45 107.9) (end 97.55 108) (width 0.3) (layer "F.Cu") (net 70) (tstamp 29ed735b-80c0-466e-9ff4-87842cb2703d)) + (segment (start 114.4 114.6) (end 114.25 114.45) (width 0.6) (layer "F.Cu") (net 70) (tstamp 29f0611e-b018-492c-9587-3da2f6d8cc9b)) + (segment (start 112.9 109.45) (end 114.05 109.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp 2ae05c6c-f737-46e8-83d3-3f98b9a92d92)) + (segment (start 85.775 119.05) (end 85.05 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 2b46fa42-a4a5-49ec-b70a-240499c4ad3b)) + (segment (start 130.35 125.05) (end 131.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 2bd8e576-0bab-4237-b103-649a892c5d23)) + (segment (start 124.4 90.75) (end 124.4 90) (width 0.6) (layer "F.Cu") (net 70) (tstamp 2c42ca3c-3cf2-4e8d-827d-c0e630733c52)) + (segment (start 97.9 90.95) (end 97.75 90.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp 2c712a5d-777d-475b-a498-2366d40e353e)) + (segment (start 141.1 120.4) (end 141.35 120.15) (width 0.8) (layer "F.Cu") (net 70) (tstamp 2dd8442b-4b63-4092-b3de-4d9dd050ef4e)) + (segment (start 124.4 113.45) (end 124.4 114.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 2e9c8b8e-40f2-4eee-b0ee-8d4db1558707)) + (segment (start 104.95 103.35) (end 104.75 103.55) (width 0.6) (layer "F.Cu") (net 70) (tstamp 2f264fdf-1f14-467c-a0e3-629d53d1b4af)) + (segment (start 102.85 103.55) (end 103.75 103.55) (width 0.5) (layer "F.Cu") (net 70) (tstamp 3008e628-e9dd-41ca-8cfb-6d3520cf4626)) + (segment (start 135.2 125.15) (end 135.2 126.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 32da5a44-6297-49a1-b908-a38ad2f5f8e0)) + (segment (start 96.5 119.05) (end 96.5 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 364d8df0-2a9a-4eb9-9539-d186c088bd43)) + (segment (start 90.9 91.7) (end 90.9 90.95) (width 0.6) (layer "F.Cu") (net 70) (tstamp 36817935-1926-47d2-9e47-341a682183e8)) + (segment (start 103.75 103.55) (end 104.75 103.55) (width 0.8) (layer "F.Cu") (net 70) (tstamp 39b83bd9-b67a-4119-9a26-955708a2dc98)) + (segment (start 97.05 111.1) (end 97.05 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 3aecd75c-4850-4342-9365-0ccb9f5a5b6e)) + (segment (start 105.95 98) (end 105.95 97) (width 0.5) (layer "F.Cu") (net 70) (tstamp 3e5a50b9-6482-480b-820f-56cda9f5bbd0)) + (segment (start 94.975 119.05) (end 94.25 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 4047aa0b-05eb-4cad-9cd6-26f5dae8aa66)) + (segment (start 126.45 92.65) (end 126.45 91.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp 421b3727-9ed7-4c98-a4d9-88543c3db45b)) + (segment (start 112.9 104.65) (end 114.05 104.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp 460d564d-368a-44af-b1f9-905c03586ff9)) + (segment (start 98.05 94.8) (end 97.95 94.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp 46b86e4e-5637-478d-9df5-73192a79016d)) + (segment (start 90.55 109.0625) (end 90.55 110.05) (width 0.3) (layer "F.Cu") (net 70) (tstamp 4b8d4645-28c7-491f-aa33-95f7f2140d4d)) + (segment (start 90.55 110.05) (end 90.4 110.2) (width 0.3) (layer "F.Cu") (net 70) (tstamp 4dca1a32-e0f6-4208-beb4-266cf1d8f71d)) + (segment (start 91.05 92.75) (end 90.9 92.6) (width 0.3) (layer "F.Cu") (net 70) (tstamp 4f2194b6-5332-4d38-98bf-a0060717da58)) + (segment (start 121.2 122.35) (end 121.2 123.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp 4feb38bc-4431-4c85-9535-316804e01bab)) + (segment (start 114.4 90) (end 114.25 89.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp 4fff39d8-d7ed-4b14-a3ef-48fd58122007)) + (segment (start 121.9 122.35) (end 122.05 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 50af49cc-7f36-485c-bd0d-33633eea7668)) + (segment (start 140.1 120.4) (end 138.4 120.4) (width 0.8) (layer "F.Cu") (net 70) (tstamp 50bb5474-d936-489e-9d91-aa35260b9077)) + (segment (start 58.293 94.234) (end 58.9915 94.234) (width 0.5) (layer "F.Cu") (net 70) (tstamp 55f2a1cb-3585-49b6-9f20-d11d9153efb3)) + (segment (start 97.05 111.85) (end 97.2 112) (width 0.6) (layer "F.Cu") (net 70) (tstamp 574b5a9e-4ffa-43ef-9160-627f1efe5442)) + (segment (start 97.9 91.7) (end 98.75 91.7) (width 0.6) (layer "F.Cu") (net 70) (tstamp 57f302b0-a34f-4afe-b558-5b965f0faf00)) + (segment (start 63.8175 95.6565) (end 63.8175 94.869) (width 0.15) (layer "F.Cu") (net 70) (tstamp 59106d85-6aba-4257-acdd-0adf02031cda)) + (segment (start 124.4 107.05) (end 123.25 107.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 5a03ef7f-a0c9-461d-92db-40c6672fba89)) + (segment (start 59.563 96.458) (end 59.563 97.8535) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5a3152cf-e6dc-4541-a8f5-ba7a8324e104)) + (segment (start 62.8015 101.4095) (end 63.8175 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 5c0a2e20-ab71-4642-aa2b-2f3eabd34efd)) + (segment (start 87.3 124.95) (end 87.3 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp 5c8dd91c-19fe-4378-9802-577e278720e2)) + (segment (start 124.4 115.35) (end 124.4 116.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp 5cb089b8-5f68-47b2-a98b-a581492c4186)) + (segment (start 127.2 92.65) (end 127.45 92.9) (width 0.8) (layer "F.Cu") (net 70) (tstamp 5db3bfe1-e4c1-43c2-adcf-0f06298bf78b)) + (segment (start 126.45 92.65) (end 125.55 92.65) (width 0.5) (layer "F.Cu") (net 70) (tstamp 60bf478e-8032-4098-aab8-07676703cd16)) + (segment (start 104.75 102.1) (end 104.25 102.6) (width 0.6) (layer "F.Cu") (net 70) (tstamp 60d9aff4-aac0-417c-b899-9ed7fd3574a6)) + (segment (start 124.4 113.45) (end 123.25 113.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp 61a3a423-4413-4538-9f24-0db4839fdf48)) + (segment (start 81.026 104.361) (end 81.026 105.6005) (width 0.5) (layer "F.Cu") (net 70) (tstamp 6440b5c8-6d1b-4f29-88a5-2107da1e4230)) + (segment (start 101.7125 103.4) (end 100.65 103.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp 658edb25-9dc1-4b3e-bcaf-74890638817b)) + (segment (start 107.55 98) (end 106.95 98) (width 0.4) (layer "F.Cu") (net 70) (tstamp 65f985df-a7eb-4f50-8276-a6d83ecfaef1)) + (segment (start 95 119.05) (end 95 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 66126686-77b6-43f4-b20a-b984dae66d21)) + (segment (start 121.2 122.35) (end 121.9 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 66c0a216-f806-4dd5-a491-a6ca56aa8864)) + (segment (start 127.45 103.3) (end 127.2 103.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6813aa29-8acc-4aab-b122-d7b45f32844d)) + (segment (start 58.293 94.234) (end 58.293 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 68d147ed-0aa6-4b00-8ae4-b671722519fb)) + (segment (start 103.45 119.05) (end 103.35 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp 6b37fc0a-644c-4ff5-8b50-4470b37f470a)) + (segment (start 110.95 104.65) (end 110.8 104.8) (width 0.508) (layer "F.Cu") (net 70) (tstamp 6b85877b-5046-4a21-8f79-46874c895370)) + (segment (start 126.45 107.05) (end 126.45 106.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6b970bb6-3524-426e-9ff1-b0e730d3512b)) + (segment (start 90.2 110.2) (end 90.4 110.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 6bf419a7-194a-4341-ab5e-9f9c7a99f69e)) + (segment (start 133.85 125.05) (end 132.75 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 6c6c2fb0-039c-4919-89e9-b76b18242df9)) + (segment (start 105.95 98) (end 106.95 98) (width 0.5) (layer "F.Cu") (net 70) (tstamp 6d05ee39-bcbc-481b-8115-ff295da45119)) + (segment (start 76.575 119.05) (end 77.475 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp 6d6ecc32-9bc6-4971-93f3-7da6b50cc005)) + (segment (start 124.4 111.85) (end 123.25 111.85) (width 0.45) (layer "F.Cu") (net 70) (tstamp 700db470-3f5b-4db3-bd0e-b3b65d0150cf)) + (segment (start 132.75 125.05) (end 130.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 724c68de-253e-4d97-b83f-1e3af8e9ae63)) + (segment (start 124.4 115.35) (end 125.25 115.35) (width 0.6) (layer "F.Cu") (net 70) (tstamp 74e54124-a383-4987-8087-ec11180f4781)) + (segment (start 115.7 122.35) (end 115.55 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 75a689b4-4549-4033-bf25-ff4d01c45eb1)) + (segment (start 62.8 101.408) (end 62.8015 101.4095) (width 0.5) (layer "F.Cu") (net 70) (tstamp 76b232c2-f40a-46c0-88c4-8792b061eaa2)) + (segment (start 115 122.2) (end 115 122.9) (width 0.4) (layer "F.Cu") (net 70) (tstamp 776e503c-5a75-4481-a5d8-9ae9655f7bc6)) + (segment (start 105.7 119.05) (end 105.7 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 786e8e4c-1247-433f-96bd-c4260e9ff004)) + (segment (start 97.05 111.1) (end 96.2 111.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp 78f3691c-8803-499d-8e3e-cd6eeea33bff)) + (segment (start 114.4 90.75) (end 114.4 90) (width 0.6) (layer "F.Cu") (net 70) (tstamp 793cbd12-315e-46e8-a771-a006feb8d4b6)) + (segment (start 97.05 111.1) (end 97.05 110.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7b580059-06f2-4388-a9b8-7027f8ae2f86)) + (segment (start 121.2 122.35) (end 120.4 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7c1381a1-9427-4cb3-8db1-7b26ddddfeb4)) + (segment (start 68.3895 119.634) (end 66.294 119.634) (width 0.5) (layer "F.Cu") (net 70) (tstamp 7c51d60a-36ea-4757-88a4-289394f368d1)) + (segment (start 98.05 93.7375) (end 98.05 92.75) (width 0.3) (layer "F.Cu") (net 70) (tstamp 7cf6780a-bd20-4ac1-8e88-d3e243bdf327)) + (segment (start 127.2 107.05) (end 127.45 107.3) (width 0.6) (layer "F.Cu") (net 70) (tstamp 7dd77d6b-eb7d-49cb-ba1b-7af91c1498e3)) + (segment (start 111.75 104.65) (end 110.95 104.65) (width 0.508) (layer "F.Cu") (net 70) (tstamp 7dea1ea4-f66e-430c-b1f5-59a512a2896d)) + (segment (start 83.65 98.75) (end 84.35 98.75) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8210098e-cff1-4c1a-98f0-2259e7627c9c)) + (segment (start 78.1 119.05) (end 78.1 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 83275b38-4b60-4add-9cc4-978e6b68d961)) + (segment (start 59.563 96.458) (end 59.563 95.0595) (width 0.5) (layer "F.Cu") (net 70) (tstamp 84cd6e77-f75b-4de8-a8b3-854a8a34cfad)) + (segment (start 64.516 101.4095) (end 64.7065 101.219) (width 0.5) (layer "F.Cu") (net 70) (tstamp 85ed99f1-a771-4f28-bc3e-2514bd8e917e)) + (segment (start 132.75 125.05) (end 132.75 126.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp 86fc7d63-d2be-4f81-a6fb-8edd1de035db)) + (segment (start 124.4 103.05) (end 123.25 103.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 870c570e-723c-4180-a703-a399b11e0fd6)) + (segment (start 105.7 119.05) (end 105.075 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 870cb006-1878-4d0c-95e1-477f9f4b9b20)) + (segment (start 90.05 111.1) (end 90.05 110.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 891db037-870b-4b91-a3a1-9f15328086e6)) + (segment (start 63.4365 122.0595) (end 63.4365 122.809) (width 0.15) (layer "F.Cu") (net 70) (tstamp 89a1e3ca-cc81-4f67-888b-950e680c17a9)) + (segment (start 87.3 119.05) (end 87.3 117.95) (width 0.45) (layer "F.Cu") (net 70) (tstamp 89e64923-7481-4007-8b41-67334864aa3f)) + (segment (start 119.65 122.2) (end 120.25 122.2) (width 0.4) (layer "F.Cu") (net 70) (tstamp 8b334a5b-f873-4635-af5b-05a6e8f8007a)) + (segment (start 94.25 119.05) (end 94.15 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp 8ba1f675-cfbe-459e-8a93-09f9fa123f71)) + (segment (start 97.05 110.35) (end 97.2 110.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp 8fb9ae53-2454-48f2-b416-3f017b647094)) + (segment (start 134 124.9) (end 133.85 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 91d6d91f-6c70-49f9-9e31-0571b055bc5b)) + (segment (start 96.5 119.05) (end 95.875 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 922776f1-e9aa-4eb4-b590-045899169e9d)) + (segment (start 63.8175 101.4095) (end 63.8175 102.235) (width 0.5) (layer "F.Cu") (net 70) (tstamp 926e50dc-a062-47b4-b8f0-a6796220e107)) + (segment (start 115 122.2) (end 115.55 122.2) (width 0.4) (layer "F.Cu") (net 70) (tstamp 942b2a5d-6b34-45af-8794-4b9496627a21)) + (segment (start 131.35 125.05) (end 131.55 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp 95ad384f-8339-4658-aebb-b29f09f2dace)) + (segment (start 114.4 90.75) (end 115.25 90.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp 9824b448-5f96-4882-ad72-9c2fefe8437e)) + (segment (start 132.75 125.05) (end 131.75 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp 983acc8e-9052-4537-9901-6a01cb050482)) + (segment (start 96.5 119.05) (end 96.5 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp 98f5ed58-8429-4f30-b1e3-b5feab13c624)) + (segment (start 140.1 120.4) (end 141.1 120.4) (width 0.8) (layer "F.Cu") (net 70) (tstamp 9a4337b0-2a2d-409b-8150-2fb48726a3dc)) + (segment (start 63.4365 123.5585) (end 63.4365 122.809) (width 0.15) (layer "F.Cu") (net 70) (tstamp 9b677054-0503-4c18-a155-e503fd91e692)) + (segment (start 55.753 101.408) (end 55.753 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp 9b6e29c8-b3a6-401a-9cea-9acd129a1e58)) + (segment (start 78.1 119.05) (end 77.475 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp 9c0e2fa8-a865-417c-a922-498479c98b0a)) + (segment (start 82.8 104.4) (end 82.75 104.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp 9c8d4d3d-287b-47ce-b870-2c653dda5b0d)) + (segment (start 104.175 119.05) (end 103.45 119.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp 9d659317-8d9a-4b79-9ad2-a6fb4c2bb9ea)) + (segment (start 120.4 122.35) (end 120.25 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp a09aa31a-bc1b-4760-bc93-aecd04af86db)) + (segment (start 129.35 125.05) (end 129.15 124.85) (width 0.8) (layer "F.Cu") (net 70) (tstamp a35f703e-b5ab-44bf-84b2-e6152baeb4c2)) + (segment (start 84.35 98.75) (end 85.1 98.75) (width 0.45) (layer "F.Cu") (net 70) (tstamp a422edd5-4f73-4c08-b143-dfbb0d6c4d3e)) + (segment (start 137.3 120.4) (end 137.3 121.15) (width 0.6) (layer "F.Cu") (net 70) (tstamp a4606f29-4c38-4da5-aced-9f5b90d3f7e6)) + (segment (start 82.8 105.05) (end 82.65 105.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp a5571ea6-9831-4365-a141-a0070dd0ab1d)) + (segment (start 90.9 90.95) (end 90.75 90.8) (width 0.6) (layer "F.Cu") (net 70) (tstamp a59bf79f-d7e3-4f27-af1a-076780e8079c)) + (segment (start 91.05 94.8) (end 91.05 93.7375) (width 0.3) (layer "F.Cu") (net 70) (tstamp a63045cf-91e0-481a-9c44-c6b64de75b76)) + (segment (start 124.4 92.65) (end 125.55 92.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp a7e521df-e5fe-4ed8-82db-ed5ebc75f971)) + (segment (start 85.05 119.05) (end 84.95 119.15) (width 0.508) (layer "F.Cu") (net 70) (tstamp a83eade6-20c0-4104-8e1d-7dba9825e4d6)) + (segment (start 114.4 115.35) (end 114.4 116.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp a98d91e5-95fe-4988-97d0-758299a5a1fb)) + (segment (start 130.35 125.05) (end 129.35 125.05) (width 0.8) (layer "F.Cu") (net 70) (tstamp a9e8aa4c-112d-4b84-aa05-6f2501e010a6)) + (segment (start 126.45 103.05) (end 126.45 102.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp a9ec8a4c-ee9f-4e66-892b-af7e0f95a59a)) + (segment (start 85.1 98.75) (end 85.25 98.9) (width 0.45) (layer "F.Cu") (net 70) (tstamp ac0fd086-8b6e-4420-a8ea-f6d6b0dd3cba)) + (segment (start 90.55 108) (end 90.45 107.9) (width 0.3) (layer "F.Cu") (net 70) (tstamp acb1fd8c-c6d5-461b-88b8-7385fc5be969)) + (segment (start 115 122.9) (end 114.8 123.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp ae674ea3-c45a-4885-a068-c96de4f68bee)) + (segment (start 97.55 108) (end 97.55 109.0625) (width 0.3) (layer "F.Cu") (net 70) (tstamp ae72e546-a933-49ec-8485-ac557040b11d)) + (segment (start 58.9915 94.234) (end 59.182 94.0435) (width 0.5) (layer "F.Cu") (net 70) (tstamp af4eda89-d964-4610-90d8-410057a3d915)) + (segment (start 87.45 99.4) (end 86.3875 99.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp afa79a27-dc10-4006-9d16-b556fc84552a)) + (segment (start 96.5 124.95) (end 96.5 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp afdc5861-faab-477c-9d5a-002277ec5616)) + (segment (start 76.6 119.05) (end 76.6 118.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp b06bf04f-9a7c-4f42-86c8-c03d70fd6ba4)) + (segment (start 87.3 119.05) (end 86.675 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp b169fcba-2796-49a3-8f46-09f2bfd48529)) + (segment (start 58.293 96.458) (end 58.293 97.8535) (width 0.5) (layer "F.Cu") (net 70) (tstamp b2ba6efd-9689-450a-9f80-7ae7686fc664)) + (segment (start 90.9 91.7) (end 90.9 92.6) (width 0.5) (layer "F.Cu") (net 70) (tstamp b4389ec7-6fc2-40eb-a208-040d308fb3e9)) + (segment (start 105.7 124.95) (end 105.7 123.8) (width 0.45) (layer "F.Cu") (net 70) (tstamp b7568531-2268-435c-8aed-3d2e4c0e1b07)) + (segment (start 83.5 98.6) (end 83.65 98.75) (width 0.5) (layer "F.Cu") (net 70) (tstamp b8608518-6565-4b96-95d3-c15c11a015d5)) + (segment (start 124.4 107.05) (end 125.55 107.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp b8a34b66-3f52-4c54-a6f0-79231bdf1d36)) + (segment (start 124.4 90.75) (end 125.25 90.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp b8f8454a-1c61-49ec-9a56-5721872da8a6)) + (segment (start 82.8 104.4) (end 82.8 105.05) (width 0.5) (layer "F.Cu") (net 70) (tstamp b9c3015c-c257-4d85-8d85-ed197c85acec)) + (segment (start 98.05 92.75) (end 97.9 92.6) (width 0.3) (layer "F.Cu") (net 70) (tstamp baa8ae73-07a5-45e0-aab9-3b0c1b822248)) + (segment (start 86.3875 99.4) (end 85.3 99.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp bad9a0db-a940-49e7-b82a-38b4a38a6f16)) + (segment (start 62.103 101.408) (end 62.103 100.0125) (width 0.5) (layer "F.Cu") (net 70) (tstamp bd16c125-00eb-4d13-aaf0-2dd49c6041aa)) + (segment (start 81.789 104.361) (end 81.8 104.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp bf43c038-1aaf-46df-8e78-b6ecb42c769c)) + (segment (start 135.2 125.15) (end 134.25 125.15) (width 0.8) (layer "F.Cu") (net 70) (tstamp c094336e-f1a8-4702-8261-82b3da13d076)) + (segment (start 101.7125 103.4) (end 102.7 103.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp c3c76d54-cf17-4e63-8a7e-bf2a68ed788c)) + (segment (start 97.9 91.7) (end 97.9 92.6) (width 0.5) (layer "F.Cu") (net 70) (tstamp c6aa9ed8-dc80-47f7-a784-b32430d53457)) + (segment (start 124.4 111.85) (end 125.55 111.85) (width 0.45) (layer "F.Cu") (net 70) (tstamp c6de29d0-731c-4a8d-be99-4e57ed233967)) + (segment (start 126.45 103.05) (end 125.55 103.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp c800ef37-230e-4333-9f89-e1200adbc3de)) + (segment (start 124.4 116.1) (end 124.25 116.25) (width 0.6) (layer "F.Cu") (net 70) (tstamp cac1cd3a-e5dd-469f-ac4b-44e309a39340)) + (segment (start 81.026 104.361) (end 81.789 104.361) (width 0.5) (layer "F.Cu") (net 70) (tstamp cb0d39bf-be43-4a37-b884-cc7855af7a40)) + (segment (start 104.2 119.05) (end 104.2 118.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp cbf9c524-0138-4842-a3b9-7729e54e626c)) + (segment (start 125.55 111.85) (end 126.45 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp cd40e134-da1d-4f96-9048-c650391a9011)) + (segment (start 112.9 109.45) (end 111.75 109.45) (width 0.45) (layer "F.Cu") (net 70) (tstamp ce7b0758-1873-4176-9591-6056f081c86c)) + (segment (start 87.3 120.15) (end 87.3 119.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp cec3559c-ac52-4539-9152-6a06d6996bf2)) + (segment (start 116.5 122.35) (end 115.7 122.35) (width 0.5) (layer "F.Cu") (net 70) (tstamp cfeee019-92c8-4ce0-98fa-7b70839234bb)) + (segment (start 109.95 104.65) (end 110.65 104.65) (width 0.508) (layer "F.Cu") (net 70) (tstamp d16b758a-67ce-4673-9e2f-b398eedeaa4a)) + (segment (start 91.05 93.7375) (end 91.05 92.75) (width 0.3) (layer "F.Cu") (net 70) (tstamp d17b5f20-e1db-4d87-9720-519e03f56809)) + (segment (start 104.75 101.65) (end 106 101.65) (width 0.6) (layer "F.Cu") (net 70) (tstamp d2726867-1f2f-4fe0-a02f-d0b3d3aadb2e)) + (segment (start 110.85 109.45) (end 111.75 109.45) (width 0.508) (layer "F.Cu") (net 70) (tstamp d37cb73b-d4a8-42ec-ac3a-136c280c0ade)) + (segment (start 124.4 103.05) (end 125.55 103.05) (width 0.45) (layer "F.Cu") (net 70) (tstamp d482c2e3-010e-4657-a951-b3aa2259bd9e)) + (segment (start 104.75 101.65) (end 104.75 102.1) (width 0.6) (layer "F.Cu") (net 70) (tstamp d4943fa1-db68-4dcd-8c2a-b6b30e044251)) + (segment (start 90.05 111.1) (end 90.05 111.85) (width 0.6) (layer "F.Cu") (net 70) (tstamp d54244e5-af30-4c64-90fa-e1d1b31342f2)) + (segment (start 104.75 103.1) (end 104.25 102.6) (width 0.6) (layer "F.Cu") (net 70) (tstamp d54da8a6-54ab-4cf4-acb0-28b2b4b49421)) + (segment (start 94.975 119.05) (end 95.875 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp d7e5c9b6-2682-4b48-843a-08e5632b9e10)) + (segment (start 90.55 109.0625) (end 90.55 108) (width 0.3) (layer "F.Cu") (net 70) (tstamp d925b1a4-62f4-44bb-8409-18a8870802ea)) + (segment (start 106 103.35) (end 104.95 103.35) (width 0.6) (layer "F.Cu") (net 70) (tstamp d9cb5075-5faf-4160-a30e-9707d8b1252d)) + (segment (start 126.45 107.05) (end 125.55 107.05) (width 0.508) (layer "F.Cu") (net 70) (tstamp da175b44-43e8-4630-9cf9-56c8c925437f)) + (segment (start 126.45 107.05) (end 127.2 107.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp da67d2f1-bc4a-485c-85dc-74dce25dee60)) + (segment (start 134.25 125.15) (end 134 124.9) (width 0.8) (layer "F.Cu") (net 70) (tstamp da8f1fe4-9916-4def-a554-54e434a80ca2)) + (segment (start 124.4 92.65) (end 123.25 92.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp dac598d2-8c24-4c90-9ee0-e00c59526eaf)) + (segment (start 137.3 118.5) (end 137.3 117.75) (width 0.6) (layer "F.Cu") (net 70) (tstamp dd9b7b37-73b0-407d-b08c-7194d5299b16)) + (segment (start 78.1 119.05) (end 78.1 120.15) (width 0.45) (layer "F.Cu") (net 70) (tstamp dfa0307a-733f-408e-8f14-bafbb27ec9b5)) + (segment (start 110.85 109.45) (end 110.85 110.45) (width 0.6) (layer "F.Cu") (net 70) (tstamp e0807df0-3c66-4276-a1ae-7755465299cb)) + (segment (start 97.2 110.2) (end 97.4 110.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp e1cb3ce5-7664-4c44-a059-1afbc8c33827)) + (segment (start 85.3 99.4) (end 85.25 99.35) (width 0.3) (layer "F.Cu") (net 70) (tstamp e9a2133f-c17d-4d4c-99b8-fcda446d3c73)) + (segment (start 116.5 122.35) (end 116.5 123.15) (width 0.5) (layer "F.Cu") (net 70) (tstamp ec4b722e-d5fb-4955-86b8-9a4d8146333b)) + (segment (start 124.4 90.75) (end 124.4 91.95) (width 0.6) (layer "F.Cu") (net 70) (tstamp ed3ac577-e922-48ba-9bc4-ebc5fc7230b4)) + (segment (start 62.103 101.408) (end 62.103 102.8065) (width 0.5) (layer "F.Cu") (net 70) (tstamp edf87293-1eae-4a0c-8064-26e5551e05d7)) + (segment (start 112.9 104.65) (end 111.75 104.65) (width 0.45) (layer "F.Cu") (net 70) (tstamp ee0acd1c-c719-47ed-80fa-452f22d678a4)) + (segment (start 104.75 103.55) (end 104.75 101.65) (width 0.6) (layer "F.Cu") (net 70) (tstamp ef82c691-95fb-434f-9dbd-52a09e10975d)) + (segment (start 130.35 125.05) (end 130.35 126.1) (width 0.8) (layer "F.Cu") (net 70) (tstamp f0313593-8565-4fcb-aa33-8c0b3bbdd8b4)) + (segment (start 126.45 92.65) (end 127.2 92.65) (width 0.8) (layer "F.Cu") (net 70) (tstamp f06b0f06-5a5d-4a2b-8fc5-2c8e5c9ce8aa)) + (segment (start 127.2 103.05) (end 126.45 103.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp f1176fac-4d87-4ea5-8247-4ae47a064dd3)) + (segment (start 107.55 98) (end 107.55 97.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp f43e63cf-37e8-420a-91b8-9b2d5d2a58c1)) + (segment (start 75.85 119.05) (end 75.7 119.2) (width 0.6) (layer "F.Cu") (net 70) (tstamp f456d0e1-2a98-4c7b-bc82-eec0e61d050e)) + (segment (start 97.55 109.0625) (end 97.55 110.05) (width 0.3) (layer "F.Cu") (net 70) (tstamp f6d27279-d3ba-4221-bc5b-10f23a4302b8)) + (segment (start 119.65 122.2) (end 119.65 123.1) (width 0.4) (layer "F.Cu") (net 70) (tstamp f895ac4a-3b23-496d-b2e8-01eb0886c5a1)) + (segment (start 114.4 91.5) (end 114.25 91.65) (width 0.6) (layer "F.Cu") (net 70) (tstamp f977ce64-2b43-44aa-8d25-05938b066010)) + (segment (start 76.6 119.05) (end 75.85 119.05) (width 0.6) (layer "F.Cu") (net 70) (tstamp f999c216-bf22-4e45-bfe1-05ee2777f186)) + (segment (start 114.4 90.75) (end 114.4 91.5) (width 0.6) (layer "F.Cu") (net 70) (tstamp fb1d337f-2247-4d70-af6e-7b22bd2fc32e)) + (segment (start 87.5 99.35) (end 87.45 99.4) (width 0.3) (layer "F.Cu") (net 70) (tstamp fc36e69f-ff63-48d6-a39f-410ae27b79df)) + (segment (start 127.85 118.6) (end 126.6 118.6) (width 0.8) (layer "F.Cu") (net 70) (tstamp fc4ef5ad-b8bb-4501-88b2-07d9b47501db)) + (segment (start 90.05 111.85) (end 90.2 112) (width 0.6) (layer "F.Cu") (net 70) (tstamp fe586e92-c8e3-4459-b7ea-73828dd4145b)) + (segment (start 102.7 103.4) (end 102.85 103.55) (width 0.3) (layer "F.Cu") (net 70) (tstamp fe606513-949e-475f-a1bc-5b64ebed45a8)) + (segment (start 97.55 110.05) (end 97.4 110.2) (width 0.3) (layer "F.Cu") (net 70) (tstamp fe7e4652-958c-4205-91de-fd0a70536a81)) + (segment (start 110.65 104.65) (end 110.8 104.8) (width 0.508) (layer "F.Cu") (net 70) (tstamp feba19ad-5c18-43c0-b5da-367de0377025)) + (segment (start 117.2 122.35) (end 117.35 122.2) (width 0.5) (layer "F.Cu") (net 70) (tstamp ff08cd3b-1d6e-4351-a1b6-50db74914354)) + (via (at 123.25 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 01e99336-9da4-4427-b0fe-cfdc8d316f60)) + (via (at 55.753 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0206cf06-ef76-4b3e-871e-3d830b7f0a2c)) + (via (at 105.7 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 04165c5f-4d0f-4fff-b2fd-2984d1bda615)) + (via (at 105.95 97) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 06335d60-0f90-40e5-a0a2-8841af1040dc)) + (via (at 87.3 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 073af350-75d8-4022-b30f-61b3e103ae09)) + (via (at 125.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0a2520b3-dd58-436e-934e-7322a678df62)) + (via (at 127.85 117.65) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0c1c7af2-16d8-407f-bf57-76f8a29be472)) + (via (at 122.05 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 0eead382-2424-4df0-a1d1-e1fd1f070aa8)) + (via (at 126.45 111) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 14b862ab-def2-4a38-aef5-dc6e69703c47)) + (via (at 138.4 120.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 188eac00-5206-4b19-981b-7d94fd976f75)) + (via (at 114.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 191e26ac-6397-40ca-8f72-12fe75c52bd6)) + (via (at 125.55 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1afaf8db-3a47-4219-b717-ed9fd81edcdf)) + (via (at 115.25 115.35) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1b67d0c4-b291-4fcb-a44a-9088192a7188)) + (via (at 111.75 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1c0d4fc7-da80-4254-b71a-2a1ddf1d1acc)) + (via (at 81.8 104.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1cac3e8b-970c-4ece-a9fa-407df183c848)) + (via (at 62.103 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1cebc1ac-ef01-4dee-8525-6553ecdb2282)) + (via (at 81.026 105.6005) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 1ea3e080-ff6c-4ce0-b636-84b2fdd65532)) + (via (at 126.45 91.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2006955a-0260-4e89-acdb-febb22483dbf)) + (via (at 124.4 91.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2010a47b-ea51-4788-92a7-76b9b722eddb)) + (via (at 59.563 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 26b881a1-6adf-4ce5-b4b6-f3691b231c33)) + (via (at 114.25 91.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 29a672af-60e1-4faf-9dc7-723541b4b630)) + (via (at 131.55 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2b34a9e8-7f8b-4f0b-bd49-6d94ec809b0e)) + (via (at 124.25 89.85) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2b3cf195-9fd2-4db8-8321-977d88ab7e3e)) + (via (at 102.85 103.55) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2c428d6a-033c-4314-a4f3-5bb3903c2406)) + (via (at 114.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2d737cd1-ca5b-4058-8fe6-2431344b950a)) + (via (at 105.075 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2e536f46-042c-4b1d-bed2-6f962a3f868a)) + (via (at 120.25 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 2eacc2f6-ab8b-4949-8858-667496f86dce)) + (via (at 87.3 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 30d4d9dc-1505-43ba-9290-25b0f760e371)) + (via (at 86.675 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 31ac0b6c-04c2-4bf3-97a2-f334ec0836d8)) + (via (at 95.875 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 34f61e0a-920b-492c-851a-d69ed2235238)) + (via (at 91 94.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 36e90252-93d6-4ba9-a9d2-4d49e3eac734)) + (via (at 130.35 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 41e76cf6-2b5b-4ef3-aa20-f64519f7cefd)) + (via (at 119.65 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 41ebb8b1-4031-4064-9610-c4ed3f38862e)) + (via (at 129.15 124.85) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 49328385-8dc7-4a2d-922f-4159e30ba8e4)) + (via (at 95 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 4b50a0da-7a67-44d5-a259-cd330898c5bb)) + (via (at 63.4365 122.809) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 4feb73af-594c-4354-a3f7-8d8306bf8576)) + (via (at 111.75 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 525578b7-2374-4be4-8e43-86796c57dc29)) + (via (at 90.75 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 56cd9279-9cf1-4204-a826-cfcfc4c6bc23)) + (via (at 103.35 119.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 56e59c5c-d873-4109-ac87-4314e417bd34)) + (via (at 84.95 119.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 596663f3-0e8a-4a22-8fcf-d39876aa4743)) + (via (at 124.25 116.25) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 5cbd45d4-b28d-4965-bcbd-8c7b9ca949b3)) + (via (at 104.75 101.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 65409e73-8c78-4ab2-a760-3ce039c570d0)) + (via (at 75.7 119.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 67f1c888-ce97-4520-a15e-67619b0084e1)) + (via (at 105.7 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 6e4d657b-160d-4979-bd4f-f364863a808b)) + (via (at 97.75 90.8) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 6f1a0aa1-e658-436c-b122-00922845a6d7)) + (via (at 96.5 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7074c04b-0a37-41f5-bcaf-d15b8331f36f)) + (via (at 104.2 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7229422f-70e4-441b-a22b-a3f45fa4c2df)) + (via (at 59.563 97.8535) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 72ce78a5-f337-4630-83b1-3f6c4c839027)) + (via (at 62.103 100.0125) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 765f942e-d7ae-41b0-b8c3-85e46868918d)) + (via (at 97.95 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 77a80c5e-5e14-44b0-b9a1-98ae8b86a923)) + (via (at 83.5 98.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7a8806b9-3ec8-4a01-8f1d-2b4ab930c5ba)) + (via (at 137.3 117.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7baab048-4eb5-4fa2-914a-f448f70563c5)) + (via (at 62.8015 101.4095) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7bb7815c-a411-4db2-92c2-a7c9ef334e79)) + (via (at 89.2 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7d9d9ed1-deba-49a3-a32c-4b86a6db2fe6)) + (via (at 126.45 102.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7e7f2d67-7d52-413b-81a0-d4c53a55efbb)) + (via (at 127.45 92.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 7eb1539e-4993-41a0-91c7-25b82178b10e)) + (via (at 98.75 91.7) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 82021295-f87d-4f45-b5c9-69c15ac4569e)) + (via (at 97.4 110.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 86f6e7fb-276d-49a8-8908-af62892adb18)) + (via (at 78.1 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 876d839e-ad9a-4ccd-9607-68619d4644eb)) + (via (at 90.9 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8b61453b-9505-4000-88db-d47c11a95a10)) + (via (at 63.8175 94.869) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8b853511-ffa2-49c3-8e23-dcddd23ccad8)) + (via (at 135.2 126.05) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8bdac310-95cb-451d-bb03-d3ac6ad9e299)) + (via (at 96.5 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 8f572090-d9ec-4195-821d-306d2c9e276e)) + (via (at 141.35 120.15) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp 917516b1-9262-44fa-a789-aee08351c3e9)) + (via (at 90.2 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9421080f-d78c-4bd4-b8fb-9c1fced9b0c8)) + (via (at 59.182 94.0435) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 94480a48-1d49-4910-b51b-5155bcf0123c)) + (via (at 121.2 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 94763772-4e85-4220-83b3-5a926b150db5)) + (via (at 87.3 120.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 96bd6251-7da6-4fb8-8ec1-ad543159bc3a)) + (via (at 114.05 109.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 99a13f7c-57c9-4743-9c28-c62710345450)) + (via (at 126.45 106.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9abf2843-ea30-457a-8c5a-7cf395257513)) + (via (at 123.25 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9c15a329-ba63-485e-aab9-3ac4fc1d24fc)) + (via (at 124.4 114.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9e58679b-63a4-42c7-99ba-855131e36d9f)) + (via (at 110.85 105.65) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp 9f7f1abf-b6f0-4030-8f85-4d9da3babb0d)) + (via (at 125.55 103.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a11365e7-916b-45d6-8f2e-60d431fab7ee)) + (via (at 82.65 105.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a341c73e-45c7-463f-aeb7-ac97ef5d2a68)) + (via (at 127.45 107.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a4cf755c-4536-4377-b76d-3cb40c6bcbfc)) + (via (at 103.75 102.6) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a5d0252a-e0fa-4255-ad3f-7b62b8fdb3ff)) + (via (at 125.55 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a5e446c4-c46b-48b1-b255-ab2e85b23b96)) + (via (at 85.25 99.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp a72e49e6-35a3-45b0-98f8-59e661e263f9)) + (via (at 115.55 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp aa215153-208f-4f17-bc2f-baafbaba6de9)) + (via (at 64.7065 101.219) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp aa8e9b8d-9483-4294-b486-2b965a2bdcb0)) + (via (at 114.25 114.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp abd346b8-339f-40c7-b7a6-e3d46c75c24c)) + (via (at 54.483 102.8065) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b32d1eec-b98d-4027-a2cc-b88241fe5804)) + (via (at 97.45 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b511a161-efaa-402d-9f42-3bd6e9e17a79)) + (via (at 114.8 123.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b6bfa3ce-677d-456b-8439-045f3eed085b)) + (via (at 76.6 118.2) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b6c05514-bbff-460d-9d65-cd029ff9752c)) + (via (at 127.45 103.3) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b79d67e3-e6e8-4b93-a354-7e3f8219d787)) + (via (at 114.05 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp b8345ab5-e21f-4378-85c4-ca67a192ad5c)) + (via (at 78.1 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp bbc53a7f-5533-4d11-bc61-b60342e78f5b)) + (via (at 100.55 103.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp bc7e9918-7771-4125-a0b8-6714d07f09c2)) + (via (at 85.8 118.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp bd6ee6d3-af1c-4645-a9cf-78fbbec1add1)) + (via (at 115.25 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c347588c-461e-4e44-849a-414f1f815d71)) + (via (at 107.55 97.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c4cc8e4f-09d5-495e-b764-666486aefc77)) + (via (at 127.35 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c625bf6e-4eaf-46cd-966f-9c0e6a3d3f22)) + (via (at 123.25 113.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c91831ff-f19c-4752-8dfd-2b385ca41ac6)) + (via (at 123.25 107.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp c9814d18-84ec-473d-a28c-e1f14caaf113)) + (via (at 109.95 104.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp cb34de05-f6ef-4490-a055-93bbb133b7e3)) + (via (at 125.55 92.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ce96dfd4-4ad2-4069-ac1e-8aa6315e553d)) + (via (at 97.9 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d138d413-8d32-4462-bac3-024e73e585bb)) + (via (at 66.294 119.634) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d3cd2ed0-ab56-473b-8dbe-d0ffabb5ceb7)) + (via (at 116.5 123.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d45de0c2-61b3-4404-8dfa-53f6f726fca4)) + (via (at 132.75 126.1) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp d527b9a8-1503-4555-971d-03108f0a69b8)) + (via (at 87.5 99.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d54e939f-cb1b-4b02-adce-29e5faf358a1)) + (via (at 110.85 110.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp d59691eb-d123-4b99-b69e-1ea74e6d3dc4)) + (via (at 134 124.9) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp dad44c3e-4735-4592-9444-b7e7e8f95e09)) + (via (at 96.5 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e09412a6-f0f5-4285-9b8d-160778526b6a)) + (via (at 117.35 122.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e177ca17-38c1-4f80-80b6-816d61ae2918)) + (via (at 77.475 119.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e298e2cd-8fb1-41c2-b847-c965d7cd30bc)) + (via (at 126.6 118.6) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp e38dcaa2-2a3d-44ff-8a8d-4791d9ef120b)) + (via (at 104.75 103.55) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 70) (tstamp e3c93e4f-bfeb-4788-a832-606235727dad)) + (via (at 90.4 110.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e8099bc7-6c41-4c77-883d-4bfffb3e12e9)) + (via (at 123.25 111.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp e834b731-5730-49e9-831f-52ff046fadf7)) + (via (at 58.293 95.0595) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ea6cd9bc-1750-4938-a6ab-84cb8129bb25)) + (via (at 94.15 119.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ecd67605-6cdb-40cc-8b26-2d215de4258d)) + (via (at 71.628 120.7135) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ee2aef7f-e5ee-4b31-af82-076429df07f9)) + (via (at 90.45 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp ee42cb49-3792-4377-9121-cd00a55a1550)) + (via (at 106.95 98) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp eed08c66-d8d6-498b-a11c-6430d6e77dd6)) + (via (at 58.293 97.8535) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f1f8b7dc-b1b8-4342-a2ad-8fce9a23614a)) + (via (at 125.25 90.75) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f216b984-d3f5-4c15-a610-6dcdcffa7afa)) + (via (at 97.2 112) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f4e523ec-fce3-4d78-96b4-52ca23e5c7ba)) + (via (at 137.3 121.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f4fafd9e-fc54-4057-a695-d47ca08fd027)) + (via (at 63.8175 102.235) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f5fda9ec-1d2c-4825-88d0-3e8b24f4200f)) + (via (at 105.7 117.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp f797dae1-dd6e-487a-9082-c4a57a1b8771)) + (via (at 96.2 111.1) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 70) (tstamp fd3e118f-56c5-4f0a-b709-6c70b6c4a5ba)) + (segment (start 70.2945 121.666) (end 71.239091 121.102409) (width 0.3) (layer "B.Cu") (net 70) (tstamp 0a9ca3f7-d0a6-450e-be31-42d54447531e)) + (segment (start 69.7865 122.174) (end 70.2945 121.666) (width 0.3) (layer "B.Cu") (net 70) (tstamp 11e724ed-2376-4e21-855b-2d352c97979e)) + (segment (start 71.628 120.7135) (end 71.239091 121.102409) (width 0.3) (layer "B.Cu") (net 70) (tstamp 13db7aa3-f42d-4a33-86bb-dfa60f1f3ec4)) + (segment (start 69.6595 122.174) (end 69.7865 122.174) (width 0.3) (layer "B.Cu") (net 70) (tstamp f887b7c6-dbfa-4ebf-afa0-39854a6be896)) + (segment (start 103.3 115.9) (end 107.35 115.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp 41fcccd5-436c-461a-b7b7-2a3b6fcd3442)) + (segment (start 96.95 108.1) (end 97.05 108.2) (width 0.15) (layer "F.Cu") (net 72) (tstamp 485db20a-9db9-4e18-aadf-3f407400031e)) + (segment (start 107.35 115.9) (end 109.6 118.15) (width 0.15) (layer "F.Cu") (net 72) (tstamp 49ca40e7-bdb4-4092-a2d2-e6fcdaaf5daf)) + (segment (start 100.05 112.9) (end 100.3 112.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp 684c3cf1-97f6-4188-b015-beaab39490a8)) + (segment (start 97.8 106.95) (end 97.7 106.95) (width 0.15) (layer "F.Cu") (net 72) (tstamp 8d1e6417-4ce7-47e0-bba8-d18e55ab19c4)) + (segment (start 100.3 112.9) (end 103.3 115.9) (width 0.15) (layer "F.Cu") (net 72) (tstamp 8e01d9a4-1116-4b97-8d5a-472e2f4765e9)) + (segment (start 97.05 108.2) (end 97.05 109.0625) (width 0.15) (layer "F.Cu") (net 72) (tstamp afcf31a2-3c3c-4518-be8c-79d358f8c627)) + (segment (start 109.6 118.15) (end 109.6 119.05) (width 0.15) (layer "F.Cu") (net 72) (tstamp c8c154bc-58c0-4602-b3e1-39b9384dd810)) + (segment (start 96.95 107.7) (end 96.95 108.1) (width 0.15) (layer "F.Cu") (net 72) (tstamp fd2d12a3-ab69-4981-8f38-af1d819e1780)) + (segment (start 97.7 106.95) (end 96.95 107.7) (width 0.15) (layer "F.Cu") (net 72) (tstamp fe92d057-6ff8-4500-93ff-78d029df11d9)) + (via (at 100.05 112.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp 44b57411-bc7b-42de-8370-ad8474b02a9c)) + (via (at 97.8 106.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 72) (tstamp c8caf16e-7ac7-463d-9a5a-f75ba0aab62c)) + (segment (start 100.05 109.1) (end 100.05 112.6) (width 0.15) (layer "B.Cu") (net 72) (tstamp 1952d2dc-e4f1-4644-ad5a-1b82ebb24e90)) + (segment (start 100.05 112.6) (end 100.05 112.9) (width 0.15) (layer "B.Cu") (net 72) (tstamp 23c8584e-74df-4875-8a0b-b285d739fe83)) + (segment (start 97.8 106.95) (end 97.9 106.95) (width 0.15) (layer "B.Cu") (net 72) (tstamp 274366b8-758f-4ced-a2b8-f462462de053)) + (segment (start 97.9 106.95) (end 100.05 109.1) (width 0.15) (layer "B.Cu") (net 72) (tstamp 6a254dad-5c17-4d29-b01b-928b4f57cf23)) + (segment (start 107 116.2) (end 108.95 118.15) (width 0.15) (layer "F.Cu") (net 73) (tstamp 28b92362-5d7a-4f70-937d-7ca41bcfc4e4)) + (segment (start 96.05 110) (end 95.35 110.7) (width 0.15) (layer "F.Cu") (net 73) (tstamp 33c6c807-dc50-4bd4-a3f8-40d86a329b6e)) + (segment (start 95.35 112.5) (end 96.25 113.4) (width 0.15) (layer "F.Cu") (net 73) (tstamp 38b7ef1e-d9b3-45e9-bf72-60513b072f76)) + (segment (start 96.25 113.4) (end 100.35 113.4) (width 0.15) (layer "F.Cu") (net 73) (tstamp 42728cad-c41f-4afa-b422-d6a5b5a2f62b)) + (segment (start 100.35 113.4) (end 103.15 116.2) (width 0.15) (layer "F.Cu") (net 73) (tstamp 532502b5-78cf-40d8-b1e5-4cdeec07ae8d)) + (segment (start 108.95 118.15) (end 108.95 119.05) (width 0.15) (layer "F.Cu") (net 73) (tstamp 5417d4e0-ba2e-49ca-a067-0c2b6a3bde6d)) + (segment (start 103.15 116.2) (end 107 116.2) (width 0.15) (layer "F.Cu") (net 73) (tstamp 8191a166-289c-4a5e-b3fa-26fa5f8b7b07)) + (segment (start 95.35 110.7) (end 95.35 112.5) (width 0.15) (layer "F.Cu") (net 73) (tstamp ca88422e-be75-4a49-941c-efeb2fcff2c0)) + (segment (start 96.05 109.0625) (end 96.05 110) (width 0.15) (layer "F.Cu") (net 73) (tstamp e7785455-e9e4-439c-97c0-3668e930327a)) + (segment (start 94.75 112.8) (end 95.95 114) (width 0.15) (layer "F.Cu") (net 74) (tstamp 01136d9e-e176-4e39-a33d-f8e906aed48a)) + (segment (start 95.05 109.0625) (end 95.05 110) (width 0.15) (layer "F.Cu") (net 74) (tstamp 111477ef-d85c-463f-8273-9df3681b7bf7)) + (segment (start 94.75 110.3) (end 94.75 112.8) (width 0.15) (layer "F.Cu") (net 74) (tstamp 269d8d69-7828-4e8a-b3e6-fd32b9940caf)) + (segment (start 102.85 116.8) (end 106.4 116.8) (width 0.15) (layer "F.Cu") (net 74) (tstamp 2c70a601-67d4-48cb-baa4-dbb804191e75)) + (segment (start 106.4 116.8) (end 107.65 118.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp 4397d505-267b-4753-9a1f-6ccc6d075968)) + (segment (start 100.05 114) (end 102.85 116.8) (width 0.15) (layer "F.Cu") (net 74) (tstamp 8d2f02d0-e2af-40fe-87d5-89984546bc2a)) + (segment (start 95.95 114) (end 100.05 114) (width 0.15) (layer "F.Cu") (net 74) (tstamp a149b539-d7f7-443d-8ea6-38e49922fa9c)) + (segment (start 107.65 118.05) (end 107.65 119.05) (width 0.15) (layer "F.Cu") (net 74) (tstamp ef194561-7fb2-479d-951d-0ad1d56588da)) + (segment (start 95.05 110) (end 94.75 110.3) (width 0.15) (layer "F.Cu") (net 74) (tstamp fb508770-49ea-4ee6-b6fe-24c3268536f3)) + (segment (start 107 119.05) (end 107 117.85) (width 0.15) (layer "F.Cu") (net 75) (tstamp 0fe538cf-9c92-4fd5-8f5a-0ef5c1077064)) + (segment (start 107 117.85) (end 106.25 117.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp 22b493d3-26f1-44c6-8c2b-6f465a26d589)) + (segment (start 95.8 114.3) (end 94.45 112.95) (width 0.15) (layer "F.Cu") (net 75) (tstamp 2a3bd49b-abaa-4279-a6cd-02eb3cfb48d9)) + (segment (start 106.25 117.1) (end 102.7 117.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp 54f82741-1314-4610-8922-0c1d9533b6b0)) + (segment (start 94.55 110) (end 94.55 109.0625) (width 0.15) (layer "F.Cu") (net 75) (tstamp 621e307c-587b-4294-9b78-09b088ab825e)) + (segment (start 94.45 112.95) (end 94.45 110.1) (width 0.15) (layer "F.Cu") (net 75) (tstamp 82d2bb2b-eaba-40cc-8ba8-752a05b74432)) + (segment (start 99.9 114.3) (end 95.8 114.3) (width 0.15) (layer "F.Cu") (net 75) (tstamp 9b1abf26-16e9-48d6-be4d-df3c066d03ed)) + (segment (start 102.7 117.1) (end 99.9 114.3) (width 0.15) (layer "F.Cu") (net 75) (tstamp b8b470fe-6329-48f0-87e3-d97546b04de8)) + (segment (start 94.45 110.1) (end 94.55 110) (width 0.15) (layer "F.Cu") (net 75) (tstamp be7ad1b5-9eb6-4ed8-b1d1-2a95dccafd5f)) + (segment (start 95.1 115.8) (end 97.4 115.8) (width 0.15) (layer "F.Cu") (net 76) (tstamp 3a29c9f6-0a8b-4602-8c36-307c187098d1)) + (segment (start 92.05 109.0625) (end 92.05 109.924278) (width 0.15) (layer "F.Cu") (net 76) (tstamp 3fbccd3c-7216-49b3-8028-c7dbd04ef64b)) + (segment (start 99.75 118.15) (end 99.75 119.05) (width 0.15) (layer "F.Cu") (net 76) (tstamp a2de31a4-fce1-4009-afd7-78e962dc0339)) + (segment (start 92.95 113.65) (end 95.1 115.8) (width 0.15) (layer "F.Cu") (net 76) (tstamp ace7ded6-0ca0-4d19-b33f-ea1b2bd3462d)) + (segment (start 97.4 115.8) (end 99.75 118.15) (width 0.15) (layer "F.Cu") (net 76) (tstamp cf86e1c1-6ea0-4cb0-9f7c-1af5261b2e8d)) + (segment (start 92.95 110.824278) (end 92.95 113.65) (width 0.15) (layer "F.Cu") (net 76) (tstamp e6c9ecc1-afb1-4e19-bd32-d773746912dd)) + (segment (start 92.05 109.924278) (end 92.95 110.824278) (width 0.15) (layer "F.Cu") (net 76) (tstamp ffadbfb1-6316-4962-85f2-c25eb4bef927)) + (segment (start 95.225 115.5) (end 93.25 113.525) (width 0.15) (layer "F.Cu") (net 77) (tstamp 2a7492a9-2701-4701-b077-20810917b5a8)) + (segment (start 92.55 110) (end 92.55 109.0625) (width 0.15) (layer "F.Cu") (net 77) (tstamp 3ba6cf21-016b-4e35-a1f9-5d1fa7612743)) + (segment (start 100.4 118.15) (end 97.75 115.5) (width 0.15) (layer "F.Cu") (net 77) (tstamp 7e54accf-0fc0-4451-bd56-ea4f64049814)) + (segment (start 100.4 119.05) (end 100.4 118.15) (width 0.15) (layer "F.Cu") (net 77) (tstamp 89d1eb0e-5f22-488f-8428-de565182c557)) + (segment (start 93.25 110.7) (end 92.55 110) (width 0.15) (layer "F.Cu") (net 77) (tstamp a77ecdfb-13b1-4051-b933-415eb9c6bc37)) + (segment (start 93.25 113.525) (end 93.25 110.7) (width 0.15) (layer "F.Cu") (net 77) (tstamp b17822b6-cb83-4b8f-b972-cf436dcc99e0)) + (segment (start 97.75 115.5) (end 95.225 115.5) (width 0.15) (layer "F.Cu") (net 77) (tstamp e162ede7-983f-4885-a7d5-cae3d233a483)) + (segment (start 101.05 118.15) (end 101.05 119.05) (width 0.15) (layer "F.Cu") (net 78) (tstamp 027e0938-d355-4a08-9dc7-63a50da2d8cc)) + (segment (start 93.05 109.0625) (end 93.05 110) (width 0.15) (layer "F.Cu") (net 78) (tstamp 1e529037-7db3-43f8-b863-4cfa6d403fd9)) + (segment (start 93.55 113.375) (end 95.375 115.2) (width 0.15) (layer "F.Cu") (net 78) (tstamp 46fa56a8-ca33-4de8-b4c6-23fee9413303)) + (segment (start 93.05 110) (end 93.55 110.5) (width 0.15) (layer "F.Cu") (net 78) (tstamp 69370ad3-0134-4474-91b7-e5ed4c346945)) + (segment (start 95.375 115.2) (end 98.1 115.2) (width 0.15) (layer "F.Cu") (net 78) (tstamp 6b23a67b-8000-436c-83a4-7409b0a24a06)) + (segment (start 98.1 115.2) (end 101.05 118.15) (width 0.15) (layer "F.Cu") (net 78) (tstamp 6c6fc09e-3251-4b96-ae9d-00bafa87f902)) + (segment (start 93.55 110.5) (end 93.55 113.375) (width 0.15) (layer "F.Cu") (net 78) (tstamp dceb6f61-e003-4cd3-8eb1-8a3ec84a6eab)) + (segment (start 93.85 110.3) (end 93.55 110) (width 0.15) (layer "F.Cu") (net 79) (tstamp 2d07d584-6dfb-4dcb-b77e-157e45460e5c)) + (segment (start 101.7 118.15) (end 98.45 114.9) (width 0.15) (layer "F.Cu") (net 79) (tstamp 4f3141d0-b528-449b-a20d-a173aabc8833)) + (segment (start 93.85 113.225) (end 93.85 110.3) (width 0.15) (layer "F.Cu") (net 79) (tstamp 796578b5-6f66-4320-9812-5012cb2c6e66)) + (segment (start 98.45 114.9) (end 95.525 114.9) (width 0.15) (layer "F.Cu") (net 79) (tstamp 7a0509ba-5cf6-44ca-8ada-2f7b7afb6c45)) + (segment (start 95.525 114.9) (end 93.85 113.225) (width 0.15) (layer "F.Cu") (net 79) (tstamp 90e4335f-083e-453a-a5ff-f509b7c35d12)) + (segment (start 101.7 119.05) (end 101.7 118.15) (width 0.15) (layer "F.Cu") (net 79) (tstamp cd6d76e5-027a-4e4d-a487-90f7541e8324)) + (segment (start 93.55 110) (end 93.55 109.0625) (width 0.15) (layer "F.Cu") (net 79) (tstamp fadafa6f-5b33-40ce-86d6-1e3132d9705b)) + (segment (start 94.15 110.1) (end 94.15 113.1) (width 0.15) (layer "F.Cu") (net 80) (tstamp 03a47a94-df38-4487-93c5-cd584db63254)) + (segment (start 95.65 114.6) (end 98.8 114.6) (width 0.15) (layer "F.Cu") (net 80) (tstamp 280fae47-ab20-40e4-a9c6-a924f417b4c6)) + (segment (start 98.8 114.6) (end 102.35 118.15) (width 0.15) (layer "F.Cu") (net 80) (tstamp 5ab13a20-b108-4e87-bee1-d0e9096fef8c)) + (segment (start 94.05 110) (end 94.15 110.1) (width 0.15) (layer "F.Cu") (net 80) (tstamp 789087e4-6c7e-43c7-9d66-b213a1928031)) + (segment (start 94.15 113.1) (end 95.65 114.6) (width 0.15) (layer "F.Cu") (net 80) (tstamp 913d90e5-fe10-44de-be53-a02bcd26af65)) + (segment (start 102.35 118.15) (end 102.35 119.05) (width 0.15) (layer "F.Cu") (net 80) (tstamp 98d6b901-564a-41b6-918d-fe0aaebfe01f)) + (segment (start 94.05 109.0625) (end 94.05 110) (width 0.15) (layer "F.Cu") (net 80) (tstamp dca99d42-015a-4e55-aaa7-22d454028a97)) + (segment (start 86.3875 103.4) (end 87.55 103.4) (width 0.15) (layer "F.Cu") (net 81) (tstamp 857c24bf-bbd8-4c29-8032-134d7f16a388)) + (segment (start 78.1 124.95) (end 78.1 123.8) (width 0.15) (layer "F.Cu") (net 81) (tstamp cfdc0fc1-fc82-4bd8-a507-2491ffb15473)) + (via (at 87.55 103.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp 088b85f2-f8d5-4a7f-9234-0af4826b73dd)) + (via (at 78.1 123.8) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 81) (tstamp b8f1c735-bab1-4bfb-a6bb-672fbbb824a2)) + (segment (start 86.4 106.4) (end 86.4 104.55) (width 0.15) (layer "B.Cu") (net 81) (tstamp 0495acd4-c8a3-4aa8-9f26-076b90f5f95e)) + (segment (start 86.4 104.55) (end 87.55 103.4) (width 0.15) (layer "B.Cu") (net 81) (tstamp 450b41c6-4ae2-4a9c-8def-ad041378b7f2)) + (segment (start 86.9 106.9) (end 86.4 106.4) (width 0.15) (layer "B.Cu") (net 81) (tstamp 49c218a4-eb3a-40eb-9266-3ce99200a5ea)) + (segment (start 78.1 123.8) (end 86.9 115) (width 0.15) (layer "B.Cu") (net 81) (tstamp a278a5a3-6102-49b2-b9ed-ff486173f000)) + (segment (start 86.9 115) (end 86.9 106.9) (width 0.15) (layer "B.Cu") (net 81) (tstamp e9a52b0e-2886-468f-98d9-cea62326cc6c)) + (segment (start 49.675 98.5) (end 50.6 98.5) (width 0.4) (layer "F.Cu") (net 82) (tstamp 714ba705-e2ab-4bd2-93c0-08fda6987552)) + (segment (start 50.6 98.5) (end 51.65 98.5) (width 0.5) (layer "F.Cu") (net 82) (tstamp 9203a566-dc95-4be0-be20-0198f9368ef9)) + (segment (start 102.65 100.85) (end 103.05 100.85) (width 0.15) (layer "F.Cu") (net 83) (tstamp 04bf130d-a2ce-4ab3-a71a-195b64f429c1)) + (segment (start 103.05 100.85) (end 103.1 100.9) (width 0.15) (layer "F.Cu") (net 83) (tstamp 1a71f0eb-4787-4001-a514-a10d3f10cd8d)) + (segment (start 102.6 100.9) (end 102.65 100.85) (width 0.15) (layer "F.Cu") (net 83) (tstamp 2a857fb9-598a-4ef2-815f-37f0dc922cf8)) + (segment (start 104.7 100.9) (end 105.2 100.4) (width 0.15) (layer "F.Cu") (net 83) (tstamp 40e42b24-e38e-4444-adcb-e7e0572da7a5)) + (segment (start 105.2 100.4) (end 105.2 100) (width 0.15) (layer "F.Cu") (net 83) (tstamp 5cb5ee5c-fb1d-4e4d-9ed1-41d44d493791)) + (segment (start 106.45 94.4) (end 107.35 94.4) (width 0.15) (layer "F.Cu") (net 83) (tstamp 83ed40ab-9806-44b7-b6b0-907ef753b5b2)) + (segment (start 104.55 99.35) (end 104.55 96.3) (width 0.15) (layer "F.Cu") (net 83) (tstamp 9172fc7f-4c90-49f8-92c7-09fdd935ddbc)) + (segment (start 104.55 96.3) (end 106.45 94.4) (width 0.15) (layer "F.Cu") (net 83) (tstamp 95ab4138-23f1-4769-bbb5-ad813e3770df)) + (segment (start 105.2 100) (end 104.55 99.35) (width 0.15) (layer "F.Cu") (net 83) (tstamp ad9aed22-b2a1-489a-ba1d-b4589d5b4a8b)) + (segment (start 103.1 100.9) (end 104.7 100.9) (width 0.15) (layer "F.Cu") (net 83) (tstamp b26c0f83-f688-4b64-be61-920ce11043e9)) + (segment (start 101.7125 100.9) (end 102.6 100.9) (width 0.15) (layer "F.Cu") (net 83) (tstamp d1266492-711d-4798-9400-0720e9dbe4b8)) + (segment (start 108.85 94.4) (end 109.75 94.4) (width 0.15) (layer "F.Cu") (net 84) (tstamp 0b818ab7-c498-4237-985e-d1a46d0e9f64)) + (segment (start 109.75 94.4) (end 110.25 94.9) (width 0.15) (layer "F.Cu") (net 84) (tstamp 0ebadef2-7c51-42d8-9026-5570d335ab10)) + (segment (start 110.85 100.65) (end 112.9 100.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp 51f324b8-622e-49c7-ae55-9fcadb8dc4f2)) + (segment (start 110.25 94.9) (end 110.25 100.05) (width 0.15) (layer "F.Cu") (net 84) (tstamp 63d94156-1ead-49b8-8418-5b47d4e81468)) + (segment (start 110.25 100.05) (end 110.85 100.65) (width 0.15) (layer "F.Cu") (net 84) (tstamp 80face8c-6f19-4578-86d0-cb5a516b0994)) + (segment (start 112.9 112.65) (end 114.7 112.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp 19808ea7-e203-450d-aff2-678b7ef7de81)) + (segment (start 103.2 109.5) (end 102.5 108.8) (width 0.15) (layer "F.Cu") (net 85) (tstamp 260e3b6a-8690-49fb-9824-4971273d23ac)) + (segment (start 102.5 108.8) (end 100.65 108.8) (width 0.15) (layer "F.Cu") (net 85) (tstamp 51240fe5-47b5-4102-b313-d32f882f5cc0)) + (segment (start 100.3875 109.0625) (end 100.05 109.0625) (width 0.15) (layer "F.Cu") (net 85) (tstamp 7793cf9f-e7d7-4fc6-b713-d2406d42148d)) + (segment (start 108.15 112.05) (end 105.6 109.5) (width 0.15) (layer "F.Cu") (net 85) (tstamp a12c0bfc-f2cb-4dd0-bfe7-a8fdf86c7aa3)) + (segment (start 100.65 108.8) (end 100.3875 109.0625) (width 0.15) (layer "F.Cu") (net 85) (tstamp b82e57d8-5f19-4bd6-b5e2-15b358bb99f1)) + (segment (start 124.4 112.65) (end 122.55 112.65) (width 0.15) (layer "F.Cu") (net 85) (tstamp c160dc21-8253-44e4-8a66-96cc6251300f)) + (segment (start 105.6 109.5) (end 103.2 109.5) (width 0.15) (layer "F.Cu") (net 85) (tstamp dc932971-483d-4e6b-aaf8-9e9018ffa3b0)) + (segment (start 108.95 112.05) (end 108.15 112.05) (width 0.15) (layer "F.Cu") (net 85) (tstamp e5268174-dbec-478b-a2ee-63814d21f1cb)) + (via (at 108.95 112.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 29de8731-6c96-47b1-a740-90d3a7bf9b03)) + (via (at 122.55 112.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 2aa0b453-5093-4a79-aeca-e764ffcdd11f)) + (via (at 114.75 112.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 85) (tstamp 373800d7-69c4-47cb-a671-f52f2d2cd85b)) + (segment (start 122.55 112.65) (end 114.75 112.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp 6a12335f-98a0-4f5d-8cbf-49be57c9f6e3)) + (segment (start 114.75 112.65) (end 109.55 112.65) (width 0.15) (layer "B.Cu") (net 85) (tstamp 936b7143-3cc9-4172-aff6-ec967ceb8991)) + (segment (start 109.55 112.65) (end 108.95 112.05) (width 0.15) (layer "B.Cu") (net 85) (tstamp f32d17a4-6ae0-4c59-a093-be68c8f4f613)) + (segment (start 105.75 109.2) (end 107.7 111.15) (width 0.15) (layer "F.Cu") (net 86) (tstamp 000e90bf-c40b-4b3e-9d33-67d92091ba4e)) + (segment (start 98.8 107.95) (end 100.151444 107.95) (width 0.15) (layer "F.Cu") (net 86) (tstamp 0f156fc3-d793-4dc9-88d4-bd8cbb539fe4)) + (segment (start 122.55 111.15) (end 122.65 111.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 25684ba1-fc01-4b60-b6ee-4f12551e2e81)) + (segment (start 122.65 111.05) (end 124.4 111.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 300ac8e1-997a-41ee-b733-53a136fb991d)) + (segment (start 103.35 109.2) (end 105.75 109.2) (width 0.15) (layer "F.Cu") (net 86) (tstamp 39a12f07-0f96-436e-877d-b24dd5678b91)) + (segment (start 98.55 109.0625) (end 98.55 108.2) (width 0.15) (layer "F.Cu") (net 86) (tstamp 4ca97894-65c4-4da0-b55a-1a0d33af76bb)) + (segment (start 114.65 111.05) (end 114.75 111.15) (width 0.15) (layer "F.Cu") (net 86) (tstamp 63529645-c737-41a4-9eb8-5b9ae699b5e4)) + (segment (start 98.55 108.2) (end 98.8 107.95) (width 0.15) (layer "F.Cu") (net 86) (tstamp 69c3cf7e-24a7-4f9d-91ec-e2cacba83e33)) + (segment (start 100.701444 108.5) (end 102.65 108.5) (width 0.15) (layer "F.Cu") (net 86) (tstamp 76408493-8edb-4409-b5a1-834e8f8feccd)) + (segment (start 112.9 111.05) (end 114.65 111.05) (width 0.15) (layer "F.Cu") (net 86) (tstamp 9256758f-22a0-475e-9161-dcbc0858c972)) + (segment (start 100.151444 107.95) (end 100.701444 108.5) (width 0.15) (layer "F.Cu") (net 86) (tstamp 963fe5a6-4703-4bcd-b4d3-c5074642d1ee)) + (segment (start 107.7 111.15) (end 109.7 111.15) (width 0.15) (layer "F.Cu") (net 86) (tstamp 98cc6869-cbf9-4d7e-8f47-91169b1f0a00)) + (segment (start 102.65 108.5) (end 103.35 109.2) (width 0.15) (layer "F.Cu") (net 86) (tstamp bcd0569a-1e4f-4529-a465-308cb5d00705)) + (via (at 122.55 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 9070f74b-10e6-4656-b8f1-3bf71e98244d)) + (via (at 114.75 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp 93c89d25-2865-4b0f-9545-bdc35523d547)) + (via (at 109.7 111.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 86) (tstamp ec139eca-dae1-4e41-91dc-79a6fa7f9d41)) + (segment (start 118.400002 111.15) (end 122.55 111.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp 6e7a3bca-0083-4f33-aa16-58b43c9341a6)) + (segment (start 114.75 111.15) (end 118.400002 111.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp d1ebb1d2-5edd-41fc-a02b-6f34f03c6be2)) + (segment (start 114.75 111.15) (end 109.7 111.15) (width 0.15) (layer "B.Cu") (net 86) (tstamp d27deaf1-e9e0-482f-bfdd-dcc4ed9a7852)) + (segment (start 106 108.6) (end 103.65 108.6) (width 0.15) (layer "F.Cu") (net 87) (tstamp 111cd985-c003-4e2c-9c9b-ca25040d31a2)) + (segment (start 100.8 105.4) (end 101.7125 105.4) (width 0.15) (layer "F.Cu") (net 87) (tstamp 13cf193d-417c-48b4-b279-8e4a010c961f)) + (segment (start 108.85 109.15) (end 106.55 109.15) (width 0.15) (layer "F.Cu") (net 87) (tstamp 1bd466d8-3610-4c33-b45d-acbb53b2dde5)) + (segment (start 100.65 107.6) (end 100.65 105.55) (width 0.15) (layer "F.Cu") (net 87) (tstamp 2943e71d-6a0e-4ccf-8ca0-b9defb063c6e)) + (segment (start 102.95 107.9) (end 100.95 107.9) (width 0.15) (layer "F.Cu") (net 87) (tstamp 3055c13e-6c58-4d5a-b3a2-0f53de6095c9)) + (segment (start 100.95 107.9) (end 100.65 107.6) (width 0.15) (layer "F.Cu") (net 87) (tstamp 3222c724-7384-49ca-a6a8-dcb761e6b84f)) + (segment (start 112.9 108.65) (end 114.6 108.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 3ce318e1-dc60-4e2c-9f5d-4cc0e5b70713)) + (segment (start 122.65 108.65) (end 124.4 108.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp 510c3e29-8866-4dd6-918b-39f45f1be7ea)) + (segment (start 100.65 105.55) (end 100.8 105.4) (width 0.15) (layer "F.Cu") (net 87) (tstamp 57ec45a0-62df-411c-b0b5-084859e04c24)) + (segment (start 103.65 108.6) (end 102.95 107.9) (width 0.15) (layer "F.Cu") (net 87) (tstamp 9fbea373-7b99-4ac8-94d4-6be0d50956af)) + (segment (start 114.65 108.65) (end 114.75 108.75) (width 0.15) (layer "F.Cu") (net 87) (tstamp ab33d953-b41b-4e42-aefc-6b8c625176d8)) + (segment (start 122.55 108.75) (end 122.65 108.65) (width 0.15) (layer "F.Cu") (net 87) (tstamp cc327d21-bbe7-465d-a79b-a47175dca663)) + (segment (start 106.55 109.15) (end 106 108.6) (width 0.15) (layer "F.Cu") (net 87) (tstamp d6a05f24-0d69-4449-bd49-4bb3839ac505)) + (segment (start 108.95 109.25) (end 108.85 109.15) (width 0.15) (layer "F.Cu") (net 87) (tstamp e5207932-9166-40a1-b265-a823fa320caf)) + (via (at 114.75 108.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 2fcb6135-91c1-4a3b-b0f8-50d9711bc622)) + (via (at 108.95 109.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 743f6b8f-98e1-4154-8ba4-930a5c516667)) + (via (at 122.55 108.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 87) (tstamp 9ff8a9d1-b503-4897-b438-4f981827a0e6)) + (segment (start 114.75 108.75) (end 114.55 108.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp 55a82457-2866-408d-bcb7-27f1522f8877)) + (segment (start 110.65 109.05) (end 109.15 109.05) (width 0.15) (layer "B.Cu") (net 87) (tstamp 5a7f7c5b-8440-42cc-be0d-67ae11bde55a)) + (segment (start 109.15 109.05) (end 108.95 109.25) (width 0.15) (layer "B.Cu") (net 87) (tstamp 5dc02afe-834b-4257-a4d2-06e73de6c151)) + (segment (start 120.890662 108.75) (end 122.55 108.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp 9e0bde37-9b23-4601-b79f-7648f29678f9)) + (segment (start 114.75 108.75) (end 120.890662 108.75) (width 0.15) (layer "B.Cu") (net 87) (tstamp aba61e6a-f249-44e4-8abb-c48fbf48e59a)) + (segment (start 111.15 108.55) (end 110.65 109.05) (width 0.15) (layer "B.Cu") (net 87) (tstamp b3a00355-4719-4d3f-ab84-1644c4c08a0e)) + (segment (start 114.55 108.55) (end 111.15 108.55) (width 0.15) (layer "B.Cu") (net 87) (tstamp b3dc3fad-cea1-4d2d-92e3-77f15fdcc28d)) + (segment (start 122.55 110.15) (end 122.65 110.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 09255c0f-84e8-434a-9dcc-04d93166aff5)) + (segment (start 102.8 108.2) (end 100.825722 108.2) (width 0.15) (layer "F.Cu") (net 88) (tstamp 178d7b76-2f2a-48d6-b8bd-d4bb1402c266)) + (segment (start 109.7 110.15) (end 107.125 110.15) (width 0.15) (layer "F.Cu") (net 88) (tstamp 2a678eb7-3cf8-48c7-b2e9-19a735efabc4)) + (segment (start 105.875 108.9) (end 103.5 108.9) (width 0.15) (layer "F.Cu") (net 88) (tstamp 2f66a542-d626-49db-b6df-6751f1bd5bc3)) + (segment (start 103.5 108.9) (end 102.8 108.2) (width 0.15) (layer "F.Cu") (net 88) (tstamp 479c3b10-9213-44ac-87f9-ace5a65834d8)) + (segment (start 112.9 110.25) (end 114.6 110.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 4d941d95-0f62-43b9-96c9-6d400ab587ba)) + (segment (start 100.8 104.9) (end 101.7125 104.9) (width 0.15) (layer "F.Cu") (net 88) (tstamp 8887ec5c-82f6-4b10-ae7f-944e22d7eaff)) + (segment (start 122.65 110.25) (end 124.4 110.25) (width 0.15) (layer "F.Cu") (net 88) (tstamp 8c21de31-e0a8-4dc1-a8b1-ce464a50eb8b)) + (segment (start 100.825722 108.2) (end 100.35 107.724278) (width 0.15) (layer "F.Cu") (net 88) (tstamp 90ec71df-54c5-43d2-8574-e8df113949d6)) + (segment (start 114.65 110.25) (end 114.75 110.15) (width 0.15) (layer "F.Cu") (net 88) (tstamp 9869dd0c-d354-49c5-8c3b-327809b6042b)) + (segment (start 107.125 110.15) (end 105.875 108.9) (width 0.15) (layer "F.Cu") (net 88) (tstamp c9b174f2-6438-4ff4-a63f-16b66c0caff5)) + (segment (start 100.35 105.35) (end 100.8 104.9) (width 0.15) (layer "F.Cu") (net 88) (tstamp cc60366a-2c7f-49f8-ade7-615dc092460f)) + (segment (start 100.35 107.724278) (end 100.35 105.35) (width 0.15) (layer "F.Cu") (net 88) (tstamp ddbb519d-5b69-44f0-b4b6-8fb16975e252)) + (via (at 114.75 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp 730691dc-92dc-4d23-b6d1-d0c414c99ef4)) + (via (at 109.7 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp a70f81f3-efbd-4334-8181-cb47fe43e7a0)) + (via (at 122.55 110.15) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 88) (tstamp b380584e-965a-4865-88ad-108e137d6978)) + (segment (start 122.55 110.15) (end 114.75 110.15) (width 0.15) (layer "B.Cu") (net 88) (tstamp 2c072e2e-0ae1-46b8-bf1a-c8159a463c82)) + (segment (start 114.6 110.3) (end 111.625 110.3) (width 0.15) (layer "B.Cu") (net 88) (tstamp 35400be9-5e13-4e14-9aea-430d01ac9c5f)) + (segment (start 111.125 109.8) (end 110.05 109.8) (width 0.15) (layer "B.Cu") (net 88) (tstamp 7906c11d-5288-4516-8db0-49d677d5d17b)) + (segment (start 111.625 110.3) (end 111.125 109.8) (width 0.15) (layer "B.Cu") (net 88) (tstamp baf48aba-adc5-46d9-aba0-c68f204c41b8)) + (segment (start 114.75 110.15) (end 114.6 110.3) (width 0.15) (layer "B.Cu") (net 88) (tstamp d71e8337-6c78-463a-84db-9d114ad35697)) + (segment (start 110.05 109.8) (end 109.7 110.15) (width 0.15) (layer "B.Cu") (net 88) (tstamp ec6a83bd-94b0-4cda-a8b9-cf72d4611127)) + (segment (start 102.8 106.4) (end 101.7125 106.4) (width 0.15) (layer "F.Cu") (net 89) (tstamp 013c9830-0c9b-4f55-ab56-56d063a64c79)) + (segment (start 107 106.45) (end 104.7 106.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 10a66073-9bcf-4f3f-87f1-3839d9c11113)) + (segment (start 103.35 106.95) (end 102.8 106.4) (width 0.15) (layer "F.Cu") (net 89) (tstamp 305351d9-a161-4527-b5d8-d70fa7d036b3)) + (segment (start 114.65 105.45) (end 114.75 105.35) (width 0.15) (layer "F.Cu") (net 89) (tstamp 521a121c-e0d8-4130-947d-ae77e5d27ff0)) + (segment (start 112.9 105.45) (end 114.6 105.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 733d6c87-021c-4521-ac64-5369356f98d2)) + (segment (start 109.7 105.75) (end 107.7 105.75) (width 0.15) (layer "F.Cu") (net 89) (tstamp 76213262-869c-42f1-a7f4-78d116fb3362)) + (segment (start 104.2 106.95) (end 103.35 106.95) (width 0.15) (layer "F.Cu") (net 89) (tstamp 7bb566b8-684a-4e7e-98e3-74f838d12f8a)) + (segment (start 104.7 106.45) (end 104.2 106.95) (width 0.15) (layer "F.Cu") (net 89) (tstamp 7ed5ae09-d71e-4b6f-a732-aececf77c667)) + (segment (start 122.65 105.45) (end 124.4 105.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp 9e1fcba5-db97-4fb0-ac9d-c931089f0717)) + (segment (start 107.7 105.75) (end 107 106.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp d6f2e672-d855-4321-b981-f86659f8d329)) + (segment (start 122.55 105.35) (end 122.65 105.45) (width 0.15) (layer "F.Cu") (net 89) (tstamp fe6fa6e9-cac7-4808-811d-febcb1293081)) + (via (at 109.7 105.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 1e0117e6-d823-481d-bbff-7ff35af8aa3c)) + (via (at 114.75 105.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp 3c527a48-b7b5-4f36-8009-241d327a6391)) + (via (at 122.55 105.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 89) (tstamp bc2d49f5-3d36-4e79-981f-a74a49039492)) + (segment (start 111.25 104.95) (end 111.65 105.35) (width 0.15) (layer "B.Cu") (net 89) (tstamp 40e5cff8-54c2-40de-bad0-692c4c809eec)) + (segment (start 110.5 104.95) (end 111.25 104.95) (width 0.15) (layer "B.Cu") (net 89) (tstamp 614a8fc0-6044-4292-8847-c08981e0aa6a)) + (segment (start 111.65 105.35) (end 114.75 105.35) (width 0.15) (layer "B.Cu") (net 89) (tstamp 681450ff-306f-4d93-9d02-2f6b34d877c8)) + (segment (start 114.75 105.35) (end 122.55 105.35) (width 0.15) (layer "B.Cu") (net 89) (tstamp 6d340194-36f7-4b60-8076-184e32487e69)) + (segment (start 109.7 105.75) (end 110.5 104.95) (width 0.15) (layer "B.Cu") (net 89) (tstamp ac31a90d-f444-4745-a1df-aaa2904012b2)) + (segment (start 108.15 104.85) (end 106.85 106.15) (width 0.15) (layer "F.Cu") (net 90) (tstamp 0c07afba-3aad-470b-9b4e-9e5a200e2c55)) + (segment (start 106.85 106.15) (end 104.55 106.15) (width 0.15) (layer "F.Cu") (net 90) (tstamp 308bb37e-d969-489b-a3da-1a4fa950d233)) + (segment (start 124.4 103.85) (end 122.55 103.85) (width 0.15) (layer "F.Cu") (net 90) (tstamp 6eba8045-b613-4fcc-b330-a96966981d1f)) + (segment (start 103.5 106.65) (end 102.75 105.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp 74bc97e3-81d3-4b70-bc08-afb3d4358722)) + (segment (start 108.95 104.85) (end 108.15 104.85) (width 0.15) (layer "F.Cu") (net 90) (tstamp 82aeb07c-a577-4743-83b4-72274c597b90)) + (segment (start 102.75 105.9) (end 101.7125 105.9) (width 0.15) (layer "F.Cu") (net 90) (tstamp 87522062-9800-4002-b93c-afd9151b9861)) + (segment (start 104.05 106.65) (end 103.5 106.65) (width 0.15) (layer "F.Cu") (net 90) (tstamp 94938750-d9c9-4591-8c49-84d0fcf59d99)) + (segment (start 114.7 103.85) (end 112.9 103.85) (width 0.15) (layer "F.Cu") (net 90) (tstamp c0b0b728-c120-4cef-aa48-907987b3cb3e)) + (segment (start 104.55 106.15) (end 104.05 106.65) (width 0.15) (layer "F.Cu") (net 90) (tstamp e87ef885-a22a-4262-a914-d01650b985b1)) + (via (at 108.95 104.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp 3f7d1991-224e-45c0-99a2-6aa74f862e55)) + (via (at 122.55 103.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp b50892f2-3d88-4bbc-95f2-f3773eaa1fb2)) + (via (at 114.75 103.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 90) (tstamp bd9cc080-59cf-487f-aace-130cb170ec07)) + (segment (start 122.55 103.85) (end 114.75 103.85) (width 0.15) (layer "B.Cu") (net 90) (tstamp 08810d08-373e-47be-819a-49bc4c68666e)) + (segment (start 114.75 103.85) (end 109.95 103.85) (width 0.15) (layer "B.Cu") (net 90) (tstamp e848f9fc-463f-48fe-9994-a572ae9a0213)) + (segment (start 109.95 103.85) (end 108.95 104.85) (width 0.15) (layer "B.Cu") (net 90) (tstamp fcb31dd3-92c2-48e9-8ee7-1d08ffa317a5)) + (segment (start 114.65 106.25) (end 114.75 106.35) (width 0.15) (layer "F.Cu") (net 91) (tstamp 476b3067-2918-414b-9cea-7890975c0cf8)) + (segment (start 103.2 107.25) (end 102.85 106.9) (width 0.15) (layer "F.Cu") (net 91) (tstamp 62c87ca3-4b0f-4f40-9da2-328b2ba7b1a0)) + (segment (start 104.35 107.25) (end 103.2 107.25) (width 0.15) (layer "F.Cu") (net 91) (tstamp 8da86cf0-c296-4d7e-aac5-1511c6accddb)) + (segment (start 122.65 106.25) (end 124.4 106.25) (width 0.15) (layer "F.Cu") (net 91) (tstamp 9d18ff7c-624e-4c8f-baa7-0fea6a24b8c6)) + (segment (start 109.7 106.75) (end 104.85 106.75) (width 0.15) (layer "F.Cu") (net 91) (tstamp a1131873-5007-40f6-88e0-21b1ff578821)) + (segment (start 122.55 106.35) (end 122.65 106.25) (width 0.15) (layer "F.Cu") (net 91) (tstamp c7f43fd4-2757-4994-9fcb-b6c5335cfb37)) + (segment (start 112.9 106.25) (end 114.6 106.25) (width 0.15) (layer "F.Cu") (net 91) (tstamp e7b27a0f-0990-42a3-be93-b985b1b339fe)) + (segment (start 104.85 106.75) (end 104.35 107.25) (width 0.15) (layer "F.Cu") (net 91) (tstamp f124a5f1-f7ef-4e83-8b7a-5192d4212de3)) + (segment (start 102.85 106.9) (end 101.7125 106.9) (width 0.15) (layer "F.Cu") (net 91) (tstamp f7c5ebd9-090e-4849-ab63-5d8557ed8b13)) + (via (at 109.7 106.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 0237ec10-a62c-434e-bea5-8d488caab244)) + (via (at 122.55 106.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 8125bd12-6168-4a4e-9b3e-ff5ca6b695b9)) + (via (at 114.75 106.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 91) (tstamp 8a222955-f896-4f9d-8462-d11f8cbdd433)) + (segment (start 114.65 106.45) (end 110.5 106.45) (width 0.15) (layer "B.Cu") (net 91) (tstamp 34af9355-74c0-472b-9048-8643d759f6e8)) + (segment (start 114.75 106.35) (end 114.65 106.45) (width 0.15) (layer "B.Cu") (net 91) (tstamp 6447c398-4b01-491f-b9fa-c3e2ed0a1550)) + (segment (start 122.55 106.35) (end 114.75 106.35) (width 0.15) (layer "B.Cu") (net 91) (tstamp 7774c18b-3398-47ae-98b5-c93fc5ed1197)) + (segment (start 110.2 106.75) (end 109.7 106.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp 7a033dbb-1e0e-4d56-aa75-93db36b48b7d)) + (segment (start 110.5 106.45) (end 110.2 106.75) (width 0.15) (layer "B.Cu") (net 91) (tstamp f6185651-a675-45fd-856d-b10d5b391d50)) + (segment (start 122.55 107.75) (end 122.65 107.85) (width 0.15) (layer "F.Cu") (net 92) (tstamp 06c2d024-9cc4-4069-a79a-b2afaa16a625)) + (segment (start 104.35 107.55) (end 104.55 107.75) (width 0.15) (layer "F.Cu") (net 92) (tstamp 29046e6f-b59c-473a-a6e6-35dd51eeb741)) + (segment (start 112.9 107.85) (end 114.6 107.85) (width 0.15) (layer "F.Cu") (net 92) (tstamp 4323c6a1-55cd-447c-8742-31e983916f06)) + (segment (start 103.05 107.55) (end 104.35 107.55) (width 0.15) (layer "F.Cu") (net 92) (tstamp 61c8ae54-bd84-44d0-a460-121b5916122c)) + (segment (start 102.9 107.4) (end 103.05 107.55) (width 0.15) (layer "F.Cu") (net 92) (tstamp 6708a58c-f2b8-4c4d-a064-982b25320eed)) + (segment (start 104.55 107.75) (end 108.85 107.75) (width 0.15) (layer "F.Cu") (net 92) (tstamp 849b4840-d26c-43c7-8b17-17ee526cf80a)) + (segment (start 122.65 107.85) (end 124.4 107.85) (width 0.15) (layer "F.Cu") (net 92) (tstamp 90ca7a77-4b1a-409c-968f-9be84a3424ad)) + (segment (start 108.85 107.75) (end 108.95 107.65) (width 0.15) (layer "F.Cu") (net 92) (tstamp d1d0c262-4a78-47ad-b6ed-c2f6f34c968e)) + (segment (start 101.7125 107.4) (end 102.9 107.4) (width 0.15) (layer "F.Cu") (net 92) (tstamp df06d8eb-246b-4a9f-aa00-40f1d95b3bd0)) + (segment (start 114.65 107.85) (end 114.75 107.75) (width 0.15) (layer "F.Cu") (net 92) (tstamp dfd2c367-120f-4caf-a59b-84d56a13f7e2)) + (via (at 114.75 107.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 572128c0-2e46-490f-80a9-d637e0e5b47e)) + (via (at 122.55 107.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 6f444f0d-b1ee-4236-b05d-96d4021d1866)) + (via (at 108.95 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 92) (tstamp 8a07b0bb-e707-4dd0-af93-c83d9623a90e)) + (segment (start 114.75 107.75) (end 116.300002 107.75) (width 0.15) (layer "B.Cu") (net 92) (tstamp 0c439653-132b-4540-b756-575b5bb4259c)) + (segment (start 114.75 107.75) (end 110.85 107.75) (width 0.15) (layer "B.Cu") (net 92) (tstamp 0e2a369f-a743-4894-97ba-f92dd506342a)) + (segment (start 109.65 108.35) (end 108.95 107.65) (width 0.15) (layer "B.Cu") (net 92) (tstamp 70a21dcc-bd74-4f0e-90c3-e1bf4e2c4d4c)) + (segment (start 116.300002 107.75) (end 122.55 107.75) (width 0.15) (layer "B.Cu") (net 92) (tstamp 96eaca75-9cab-4a49-806a-f3a6ab666a00)) + (segment (start 110.85 107.75) (end 110.25 108.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp ba1a7273-0b67-4c19-a002-27828cd89ce3)) + (segment (start 110.25 108.35) (end 109.65 108.35) (width 0.15) (layer "B.Cu") (net 92) (tstamp f434e8fd-508a-4348-acf8-f22ebc0e31f2)) + (segment (start 124.4 102.25) (end 122.6 102.25) (width 0.15) (layer "F.Cu") (net 93) (tstamp 8dc49130-6b52-4f54-83a9-f7bdb23b439d)) + (segment (start 95.55 94.95) (end 95.55 93.7375) (width 0.15) (layer "F.Cu") (net 93) (tstamp a5cb5378-e202-41ef-8297-0a575c118266)) + (segment (start 95.45 95.05) (end 95.55 94.95) (width 0.15) (layer "F.Cu") (net 93) (tstamp b87ae4e1-c554-467a-9287-e2c15d1a3d8d)) + (segment (start 95.45 95.45) (end 95.45 95.05) (width 0.15) (layer "F.Cu") (net 93) (tstamp b967da7d-91fe-44cc-8623-c8f28800cabe)) + (segment (start 97.2 97.2) (end 95.45 95.45) (width 0.15) (layer "F.Cu") (net 93) (tstamp d99d37bc-3c1e-4451-9595-f4bda1ff9e1f)) + (via (at 97.2 97.2) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 3942c762-e794-4584-a63e-15ab60d7adff)) + (via (at 122.6 102.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 93) (tstamp 91191376-92a8-4840-8dab-2fd0e6b34d07)) + (segment (start 97.2 103) (end 99.55 105.35) (width 0.15) (layer "B.Cu") (net 93) (tstamp 12747553-9b5f-4dfb-997a-639a1c1fde9d)) + (segment (start 102.35 105.35) (end 102.7 105.7) (width 0.15) (layer "B.Cu") (net 93) (tstamp 1d9c3a14-b09f-4ab5-8a04-4c3c6cc8923a)) + (segment (start 97.2 97.2) (end 97.2 103) (width 0.15) (layer "B.Cu") (net 93) (tstamp 2c27aa50-4554-4526-83ed-debac4df01da)) + (segment (start 104.45 105.6) (end 105.3 105.6) (width 0.15) (layer "B.Cu") (net 93) (tstamp 332f0408-ba94-449b-ab62-cd836992154a)) + (segment (start 108.45 102.45) (end 109.7 102.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp 3336738e-f15c-4d2d-bf08-fcac6dec54f9)) + (segment (start 114.15 102.25) (end 122.6 102.25) (width 0.15) (layer "B.Cu") (net 93) (tstamp 380fe67c-72d0-4f07-9cad-862040dda5f6)) + (segment (start 99.55 105.35) (end 102.35 105.35) (width 0.15) (layer "B.Cu") (net 93) (tstamp 39a6a613-ab25-4a27-9b57-8e5eb4ec1603)) + (segment (start 110.6 101.55) (end 113.45 101.55) (width 0.15) (layer "B.Cu") (net 93) (tstamp 3f7a7116-3f7e-49fb-92a4-85f99ba16f0d)) + (segment (start 103.1 105.7) (end 103.45 105.35) (width 0.15) (layer "B.Cu") (net 93) (tstamp 4215aff1-6e0b-416e-ae2a-08c46e40e151)) + (segment (start 109.7 102.45) (end 110.6 101.55) (width 0.15) (layer "B.Cu") (net 93) (tstamp 74325318-2d47-478d-a383-3d0c900693dd)) + (segment (start 102.7 105.7) (end 103.1 105.7) (width 0.15) (layer "B.Cu") (net 93) (tstamp 98e1547b-df81-441d-a94c-c6bfc3b3c6d2)) + (segment (start 105.3 105.6) (end 108.45 102.45) (width 0.15) (layer "B.Cu") (net 93) (tstamp a1b2c28c-d87b-4a0a-941d-b5f1453118b0)) + (segment (start 103.45 105.35) (end 104.2 105.35) (width 0.15) (layer "B.Cu") (net 93) (tstamp b32729bf-2822-43cd-bd12-3478cec471a6)) + (segment (start 104.2 105.35) (end 104.45 105.6) (width 0.15) (layer "B.Cu") (net 93) (tstamp da2ad190-258d-4c27-b021-a4dafbb72836)) + (segment (start 113.45 101.55) (end 114.15 102.25) (width 0.15) (layer "B.Cu") (net 93) (tstamp e9cfd877-0036-46d7-b228-7bb2a72b4a36)) + (segment (start 100.75 104) (end 100.85 103.9) (width 0.15) (layer "F.Cu") (net 94) (tstamp 0dbcaadf-e7bd-4f48-ab74-8c09fcedab3b)) + (segment (start 100.85 103.9) (end 101.7125 103.9) (width 0.15) (layer "F.Cu") (net 94) (tstamp 485cd46a-8e56-4143-bae5-94b57d2bc35f)) + (segment (start 99.6 104) (end 100.75 104) (width 0.15) (layer "F.Cu") (net 94) (tstamp 77bdcc39-cda6-48ed-bc91-2b68cf0ed82e)) + (segment (start 124.4 101.45) (end 123.25 101.45) (width 0.15) (layer "F.Cu") (net 94) (tstamp fe9724c8-9520-4e21-a1ef-d44226479c03)) + (via (at 99.6 104) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp 82e47131-de1b-4335-a258-c1a35e60ce93)) + (via (at 123.25 101.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 94) (tstamp d870b310-039b-486b-bca4-ba9695c65767)) + (segment (start 110.3 100.95) (end 114.25 100.95) (width 0.15) (layer "B.Cu") (net 94) (tstamp 16522610-c3f2-4c6b-933f-09b0c9791adb)) + (segment (start 114.25 100.95) (end 114.75 101.45) (width 0.15) (layer "B.Cu") (net 94) (tstamp 1a9c0772-d810-4354-a0b9-87cc920172dc)) + (segment (start 114.75 101.45) (end 123.25 101.45) (width 0.15) (layer "B.Cu") (net 94) (tstamp 1ffd8d16-e8f4-4be6-b1f7-2f55f728fd51)) + (segment (start 100.9 104) (end 102.65 102.25) (width 0.15) (layer "B.Cu") (net 94) (tstamp 2d9a95ea-c85b-4186-8bc0-e158259ed43e)) + (segment (start 104.45 101) (end 105.1 101) (width 0.15) (layer "B.Cu") (net 94) (tstamp 30b9fdd9-a6ea-48ab-bc77-bdea0daf00da)) + (segment (start 109.4 101.85) (end 110.3 100.95) (width 0.15) (layer "B.Cu") (net 94) (tstamp 50eb98e6-c5e8-4296-aef1-1521ac1677bf)) + (segment (start 105.1 101) (end 105.95 101.85) (width 0.15) (layer "B.Cu") (net 94) (tstamp 71b644c9-0689-4d76-8579-77561d3061f9)) + (segment (start 102.65 102.25) (end 103.2 102.25) (width 0.15) (layer "B.Cu") (net 94) (tstamp b82bd819-1867-4611-967b-62e5495a0287)) + (segment (start 103.2 102.25) (end 104.45 101) (width 0.15) (layer "B.Cu") (net 94) (tstamp bf865afa-3fd5-4dca-bd67-6be582b5072d)) + (segment (start 99.6 104) (end 100.9 104) (width 0.15) (layer "B.Cu") (net 94) (tstamp de757646-bb5d-477c-8318-34fc98a9a6d4)) + (segment (start 105.95 101.85) (end 109.4 101.85) (width 0.15) (layer "B.Cu") (net 94) (tstamp f76c1f0f-1b37-447c-9a2a-7d287554fc97)) + (segment (start 99.75 102.3) (end 100.75 102.3) (width 0.15) (layer "F.Cu") (net 95) (tstamp 0f8da352-47cb-4777-bead-1af114b10292)) + (segment (start 100.75 102.3) (end 100.85 102.4) (width 0.15) (layer "F.Cu") (net 95) (tstamp 46e65342-59f4-4593-af39-0db3d16e2ac5)) + (segment (start 124.4 100.65) (end 122.6 100.65) (width 0.15) (layer "F.Cu") (net 95) (tstamp ade2a492-6211-4dc4-98a9-243b30d428a4)) + (segment (start 99.55 102.5) (end 99.75 102.3) (width 0.15) (layer "F.Cu") (net 95) (tstamp ca31342e-ee42-49bd-a275-731383254cce)) + (segment (start 100.85 102.4) (end 101.7125 102.4) (width 0.15) (layer "F.Cu") (net 95) (tstamp d233ad15-b692-4364-a31c-c7c77e2b1f50)) + (via (at 99.55 102.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp 4a3d1c33-5f49-415d-bf89-c3dd1acb4b35)) + (via (at 122.6 100.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 95) (tstamp c9b07cdb-760f-4f65-a58d-245052420970)) + (segment (start 99.75 102.3) (end 102.15 102.3) (width 0.15) (layer "B.Cu") (net 95) (tstamp 04735b85-e299-498a-86dc-07dbefa1e588)) + (segment (start 103.05 101.95) (end 104.3 100.7) (width 0.15) (layer "B.Cu") (net 95) (tstamp 062f8241-9788-4965-b046-353a9bd43caa)) + (segment (start 102.5 101.95) (end 103.05 101.95) (width 0.15) (layer "B.Cu") (net 95) (tstamp 57041402-fb17-4fc4-84df-44b5546ff136)) + (segment (start 105.25 100.7) (end 106.1 101.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp 779e9041-2783-4b14-ae0b-e9df44d53916)) + (segment (start 109.25 101.55) (end 110.15 100.65) (width 0.15) (layer "B.Cu") (net 95) (tstamp 7ac5df10-cf89-4565-84c2-e4a91820b7cd)) + (segment (start 104.3 100.7) (end 105.25 100.7) (width 0.15) (layer "B.Cu") (net 95) (tstamp 87a5336d-fe37-4f4c-868b-c3628427cbc4)) + (segment (start 99.55 102.5) (end 99.75 102.3) (width 0.15) (layer "B.Cu") (net 95) (tstamp aaba9721-c196-45ab-ae5c-ae80cc72f8f7)) + (segment (start 106.1 101.55) (end 109.25 101.55) (width 0.15) (layer "B.Cu") (net 95) (tstamp ad626755-905e-4672-a966-3fdfea1dc99b)) + (segment (start 110.15 100.65) (end 122.6 100.65) (width 0.15) (layer "B.Cu") (net 95) (tstamp ca1da9c7-1036-4cc3-af56-def48328d3e4)) + (segment (start 102.15 102.3) (end 102.5 101.95) (width 0.15) (layer "B.Cu") (net 95) (tstamp cf117b03-b054-4fe2-b038-9871e8107708)) + (segment (start 100.85 101.9) (end 100.75 102) (width 0.15) (layer "F.Cu") (net 96) (tstamp 1558e73e-acd3-461d-add3-74bd90de4d04)) + (segment (start 101.7125 101.9) (end 100.85 101.9) (width 0.15) (layer "F.Cu") (net 96) (tstamp 2a5b7c3c-6b61-4ecf-ba34-7cb2d22ce21c)) + (segment (start 98.85 102) (end 98.75 101.9) (width 0.15) (layer "F.Cu") (net 96) (tstamp 64cab48d-0686-430b-b2bc-56b4ad4bb4be)) + (segment (start 100.75 102) (end 98.85 102) (width 0.15) (layer "F.Cu") (net 96) (tstamp b48beecc-4992-4c79-9a2f-222a224d68d3)) + (segment (start 124.4 99.85) (end 123.25 99.85) (width 0.15) (layer "F.Cu") (net 96) (tstamp c2f6963d-7a53-4625-a97e-ae96c5b78ea5)) + (via (at 98.75 101.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp 3f2da0b7-e8cb-4fee-96ac-16d041c84ac6)) + (via (at 123.25 99.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 96) (tstamp c4442116-01d5-43b4-95bc-6cb263af842b)) + (segment (start 105.5 100.3) (end 105.5 100.5) (width 0.15) (layer "B.Cu") (net 96) (tstamp 080308e6-2c77-4d1c-a046-2ee0dd4db46c)) + (segment (start 101 100.9) (end 99.75 100.9) (width 0.15) (layer "B.Cu") (net 96) (tstamp 26c4f378-4193-47a9-95d5-ff42625fa9f6)) + (segment (start 103.3 100.85) (end 101.05 100.85) (width 0.15) (layer "B.Cu") (net 96) (tstamp 282f6d37-0fc1-4313-a464-07a6a3e79434)) + (segment (start 98.75 101.9) (end 99.75 100.9) (width 0.15) (layer "B.Cu") (net 96) (tstamp 338abff7-4340-497e-a35c-c564120e0bb1)) + (segment (start 115.4 99.85) (end 123.25 99.85) (width 0.15) (layer "B.Cu") (net 96) (tstamp 3ae859b1-e415-4997-aca6-45063c1038ff)) + (segment (start 104.45 99.7) (end 104.9 99.7) (width 0.15) (layer "B.Cu") (net 96) (tstamp 5269b74d-c531-4b2d-98c6-5d8308d02562)) + (segment (start 114.9 100.35) (end 115.4 99.85) (width 0.15) (layer "B.Cu") (net 96) (tstamp 5e076153-7389-4342-9f9a-cf9dd9d36447)) + (segment (start 103.3 100.85) (end 104.45 99.7) (width 0.15) (layer "B.Cu") (net 96) (tstamp 7cb2747f-74e7-4203-8d8c-1e632a408ffd)) + (segment (start 109.1 101.25) (end 110 100.35) (width 0.15) (layer "B.Cu") (net 96) (tstamp 85bf1139-79c4-45a1-8697-21b58a6115b7)) + (segment (start 106.25 101.25) (end 109.1 101.25) (width 0.15) (layer "B.Cu") (net 96) (tstamp 9f1b2d14-c954-4435-b1a7-d915fcab118e)) + (segment (start 104.9 99.7) (end 105.5 100.3) (width 0.15) (layer "B.Cu") (net 96) (tstamp c244b35c-1ff9-4eaa-9db0-45efdf96a3a2)) + (segment (start 101.05 100.85) (end 101 100.9) (width 0.15) (layer "B.Cu") (net 96) (tstamp e161c663-d815-4fc8-8c9f-dc12c7624d62)) + (segment (start 110 100.35) (end 114.9 100.35) (width 0.15) (layer "B.Cu") (net 96) (tstamp f60937f0-c376-4bcc-a972-66586ac979e4)) + (segment (start 105.5 100.5) (end 106.25 101.25) (width 0.15) (layer "B.Cu") (net 96) (tstamp fccbf6e5-96e0-424b-b360-b49e5351946b)) + (segment (start 101.7125 99.4) (end 102.85 99.4) (width 0.15) (layer "F.Cu") (net 97) (tstamp 6328ec76-45c9-4e60-810f-a782d1e8e806)) + (segment (start 124.4 99.05) (end 122.6 99.05) (width 0.15) (layer "F.Cu") (net 97) (tstamp 66149cd5-1b38-4895-93c6-3c97f5718e6a)) + (via (at 122.6 99.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp 54a87a96-a348-4af7-9719-51c142c31973)) + (via (at 102.85 99.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 97) (tstamp ce128ab6-1e76-471b-b96c-36e5dd3248b0)) + (segment (start 109.85 98.95) (end 113.05 98.95) (width 0.15) (layer "B.Cu") (net 97) (tstamp 031d116d-cdf6-427c-99ab-94590cdd06c2)) + (segment (start 109.5 98.6) (end 109.85 98.95) (width 0.15) (layer "B.Cu") (net 97) (tstamp 261efda1-2850-4a91-9c9a-a0cc0d48cbb7)) + (segment (start 113.05 98.95) (end 113.65 99.55) (width 0.15) (layer "B.Cu") (net 97) (tstamp 26ed3b58-f93d-41ba-83e8-c69ebc4fa4e5)) + (segment (start 103.05 99.4) (end 104.4 98.05) (width 0.15) (layer "B.Cu") (net 97) (tstamp 448fb0c1-04d2-4bbb-9d0e-4835e0cd9bfa)) + (segment (start 114.25 99.55) (end 114.75 99.05) (width 0.15) (layer "B.Cu") (net 97) (tstamp 499b604d-e32e-457b-8a59-2003e9f22da6)) + (segment (start 102.85 99.4) (end 103.05 99.4) (width 0.15) (layer "B.Cu") (net 97) (tstamp 4ac13be3-63be-4e60-b9cd-d8ccf53fd231)) + (segment (start 114.75 99.05) (end 122.6 99.05) (width 0.15) (layer "B.Cu") (net 97) (tstamp 60b8031b-21f1-4e11-878a-1c66ecfc7b6e)) + (segment (start 104.4 98.05) (end 105.4 98.05) (width 0.15) (layer "B.Cu") (net 97) (tstamp 65b2d285-ee38-4b16-880e-256be63df74e)) + (segment (start 105.4 98.05) (end 105.95 98.6) (width 0.15) (layer "B.Cu") (net 97) (tstamp 9cc29423-12e4-49dc-9ee7-3a29f90cf21f)) + (segment (start 105.95 98.6) (end 109.5 98.6) (width 0.15) (layer "B.Cu") (net 97) (tstamp d2cef307-2ed1-47b6-9d06-c76fa9f4a7bc)) + (segment (start 113.65 99.55) (end 114.25 99.55) (width 0.15) (layer "B.Cu") (net 97) (tstamp f2059b10-084d-4cb8-a4d3-16a66f367449)) + (segment (start 101.7125 95.4) (end 102.85 95.4) (width 0.15) (layer "F.Cu") (net 98) (tstamp 0e7f2c24-2a98-403e-bf9a-bff22830231c)) + (segment (start 124.4 95.85) (end 122.6 95.85) (width 0.15) (layer "F.Cu") (net 98) (tstamp 77f658ce-8e85-4809-840b-8ec58155e3b9)) + (via (at 122.6 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp 6890cc83-bfe6-4940-834e-f6c27069e6dc)) + (via (at 102.85 95.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 98) (tstamp f3aed7f7-36ce-4975-a0b2-2263b4a758bd)) + (segment (start 111.8 96.35) (end 109.8 94.35) (width 0.15) (layer "B.Cu") (net 98) (tstamp 08313aa3-73dd-4670-995a-e8281e35ea34)) + (segment (start 109.8 94.35) (end 104.175 94.35) (width 0.15) (layer "B.Cu") (net 98) (tstamp 18e8eef0-d035-493a-95d8-c497bfd51114)) + (segment (start 114.8 95.85) (end 114.3 96.35) (width 0.15) (layer "B.Cu") (net 98) (tstamp 1ea69388-9050-464e-8c49-2190bbaaffa4)) + (segment (start 103.125 95.4) (end 102.85 95.4) (width 0.15) (layer "B.Cu") (net 98) (tstamp 338141e5-4d87-4b8d-a4f6-5f9aeb12ae95)) + (segment (start 122.6 95.85) (end 114.8 95.85) (width 0.15) (layer "B.Cu") (net 98) (tstamp b3564f9b-79c7-478c-8893-e7de138406d9)) + (segment (start 114.3 96.35) (end 111.8 96.35) (width 0.15) (layer "B.Cu") (net 98) (tstamp bb1597d2-09f5-42e8-9e46-d911f516fe6e)) + (segment (start 104.175 94.35) (end 103.125 95.4) (width 0.15) (layer "B.Cu") (net 98) (tstamp d34db6b0-0ba3-4a02-a209-a672fee4321d)) + (segment (start 124.4 93.45) (end 121.95 93.45) (width 0.15) (layer "F.Cu") (net 99) (tstamp 0119464a-06f5-4c00-988b-0da8cd1051c2)) + (segment (start 96.05 95.15) (end 96.05 93.7375) (width 0.15) (layer "F.Cu") (net 99) (tstamp 39d65768-2cb1-473c-9ee8-63615b2cb0a6)) + (segment (start 95.95 95.25) (end 96.05 95.15) (width 0.15) (layer "F.Cu") (net 99) (tstamp 4e25bf10-3615-414b-a339-34368cafcf90)) + (via (at 95.95 95.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp 009a7c8e-888b-48b3-b259-c6a2c8a41304)) + (via (at 121.95 93.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 99) (tstamp e9d2066d-9c53-438b-aaed-466780050d0a)) + (segment (start 114.3 93.75) (end 114.5 93.95) (width 0.15) (layer "B.Cu") (net 99) (tstamp 1403862a-fb8a-4734-8f42-8a6a6108c8c9)) + (segment (start 103.3 92.55) (end 110.65 92.55) (width 0.15) (layer "B.Cu") (net 99) (tstamp 20f3c672-f6ac-4947-a79a-07ab6fe9357d)) + (segment (start 96.05 94.7) (end 96.95 93.8) (width 0.15) (layer "B.Cu") (net 99) (tstamp 5052363f-cf0f-4f41-aaea-c5ef5e2a6801)) + (segment (start 114.5 93.95) (end 114.9 93.95) (width 0.15) (layer "B.Cu") (net 99) (tstamp 588766e0-a169-4978-b7ba-cdf9cb54cd5e)) + (segment (start 111.85 93.75) (end 114.3 93.75) (width 0.15) (layer "B.Cu") (net 99) (tstamp 5f8dec79-0865-48fe-ac42-d06c495ac81e)) + (segment (start 96.05 95.15) (end 95.95 95.25) (width 0.15) (layer "B.Cu") (net 99) (tstamp 6f6892f2-acbf-4b64-abb5-7e6230cc63f1)) + (segment (start 102.45 93.4) (end 103.3 92.55) (width 0.15) (layer "B.Cu") (net 99) (tstamp 72155503-3c2d-4cf7-9b29-d2c0d1bce6e4)) + (segment (start 110.65 92.55) (end 111.85 93.75) (width 0.15) (layer "B.Cu") (net 99) (tstamp 7222db5f-0a4f-47fc-a0f5-770b9e98de70)) + (segment (start 101.9 93.4) (end 102.45 93.4) (width 0.15) (layer "B.Cu") (net 99) (tstamp 78fbe595-48fc-43e6-8eb9-edd7f1c79812)) + (segment (start 115.4 93.45) (end 121.95 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp 8b461244-e480-4342-b976-1b0b980452b8)) + (segment (start 114.9 93.95) (end 115.4 93.45) (width 0.15) (layer "B.Cu") (net 99) (tstamp a4cb945d-c71d-4885-8248-fc151321e53c)) + (segment (start 101.5 93.8) (end 101.9 93.4) (width 0.15) (layer "B.Cu") (net 99) (tstamp dc429f9e-7099-4ed1-b586-0d933b72af26)) + (segment (start 96.05 94.7) (end 96.05 95.15) (width 0.15) (layer "B.Cu") (net 99) (tstamp f3a85680-0986-4a83-9f8f-3a26dcc075b8)) + (segment (start 96.95 93.8) (end 101.5 93.8) (width 0.15) (layer "B.Cu") (net 99) (tstamp f5697733-d514-41b6-81f9-2c64c89bc1a1)) + (segment (start 100.05 93.7375) (end 100.05 92.6) (width 0.15) (layer "F.Cu") (net 100) (tstamp 07ace736-5233-45f7-ab20-54219c8222f2)) + (segment (start 112.9 93.45) (end 114.7 93.45) (width 0.15) (layer "F.Cu") (net 100) (tstamp 5fe5bf2f-1525-4a08-ad09-fdf25228903c)) + (via (at 114.7 93.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp 24f1a35b-40fc-4536-a0a2-c1a2be40dad9)) + (via (at 100.05 92.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 100) (tstamp a981f501-c4bb-457e-9703-701eeae14fc3)) + (segment (start 100.05 92.6) (end 100.95 93.5) (width 0.15) (layer "B.Cu") (net 100) (tstamp 047c5058-e935-4ec8-8412-7a9bf632c084)) + (segment (start 110.8 92.25) (end 112 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 2347144e-cc43-48a6-bc57-bcaa0c129a4e)) + (segment (start 112 93.45) (end 113.950002 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 25361122-178e-4c92-8b8f-f2f45274f2c4)) + (segment (start 100.95 93.5) (end 101.35 93.5) (width 0.15) (layer "B.Cu") (net 100) (tstamp 3dcb0f11-dfd1-4c34-b098-9537116ce82a)) + (segment (start 102.3 93.1) (end 103.15 92.25) (width 0.15) (layer "B.Cu") (net 100) (tstamp 608a2943-70ab-4e4b-9d9f-75c75fdc66b8)) + (segment (start 101.75 93.1) (end 102.3 93.1) (width 0.15) (layer "B.Cu") (net 100) (tstamp 624d3435-ce69-4e76-be54-11b42a83b7c1)) + (segment (start 101.35 93.5) (end 101.75 93.1) (width 0.15) (layer "B.Cu") (net 100) (tstamp 7aa952a2-7c51-4243-a9ce-2988d514407b)) + (segment (start 113.950002 93.45) (end 114.7 93.45) (width 0.15) (layer "B.Cu") (net 100) (tstamp 9b83e585-fd3f-42d7-8e52-4e3c55190d9e)) + (segment (start 103.15 92.25) (end 110.8 92.25) (width 0.15) (layer "B.Cu") (net 100) (tstamp ce656374-bc25-4c71-b7a7-d82c4016e3b1)) + (segment (start 99.55 93.7375) (end 99.55 94.8) (width 0.15) (layer "F.Cu") (net 101) (tstamp 9800be61-ff08-406f-ad37-7a5f459438e6)) + (segment (start 99.55 94.8) (end 99.65 94.9) (width 0.15) (layer "F.Cu") (net 101) (tstamp efa7a72c-965e-4572-a08c-73809c95d9ea)) + (segment (start 112.9 95.05) (end 114.7 95.05) (width 0.15) (layer "F.Cu") (net 101) (tstamp fd93788f-4e11-45c2-8dab-61ce664b374d)) + (via (at 99.65 94.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp 1d426b5f-7796-4225-92bb-1b39073a716c)) + (via (at 114.7 95.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 101) (tstamp 6f60aa84-f575-4717-acef-f698294cf3fa)) + (segment (start 102.9 94.3) (end 103.75 93.45) (width 0.15) (layer "B.Cu") (net 101) (tstamp 15a622b8-fa3b-4ea2-9751-56d0ab34b4cd)) + (segment (start 100.15 94.7) (end 101.95 94.7) (width 0.15) (layer "B.Cu") (net 101) (tstamp 1a841d86-601c-4349-af3b-8452abbb6441)) + (segment (start 103.75 93.45) (end 110.225 93.45) (width 0.15) (layer "B.Cu") (net 101) (tstamp 3f692cf7-9216-4e19-9bfa-53c4ccfee02d)) + (segment (start 102.35 94.3) (end 102.9 94.3) (width 0.15) (layer "B.Cu") (net 101) (tstamp 443a2c17-bef6-47e3-b92a-285bedacd7fa)) + (segment (start 99.95 94.9) (end 100.15 94.7) (width 0.15) (layer "B.Cu") (net 101) (tstamp 674facd1-58b3-4641-b8c1-873b6599f4ba)) + (segment (start 110.225 93.45) (end 111.825 95.05) (width 0.15) (layer "B.Cu") (net 101) (tstamp 78f3bc7d-7389-4348-a6a7-7cf9cf06d8de)) + (segment (start 99.65 94.9) (end 99.95 94.9) (width 0.15) (layer "B.Cu") (net 101) (tstamp 912b2d09-645c-428b-bbde-3895766ce401)) + (segment (start 101.95 94.7) (end 102.35 94.3) (width 0.15) (layer "B.Cu") (net 101) (tstamp cb5837b8-0a9e-4699-9a98-5fb4effd5180)) + (segment (start 111.825 95.05) (end 114.7 95.05) (width 0.15) (layer "B.Cu") (net 101) (tstamp dfcd86f5-a016-429e-8f0d-f837151a1724)) + (segment (start 101.7125 99.9) (end 99.65 99.9) (width 0.15) (layer "F.Cu") (net 102) (tstamp 6164def7-0b54-4ca2-b411-23abae54b4a2)) + (segment (start 112.9 99.85) (end 114.7 99.85) (width 0.15) (layer "F.Cu") (net 102) (tstamp 96e5b4c4-ee99-4fef-ae6d-5e3dfcad3914)) + (via (at 99.65 99.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp 417a7869-2708-42c5-b983-b97dc21d6588)) + (via (at 114.7 99.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 102) (tstamp fd848fd1-b8c6-4acf-ac68-66b521eccfeb)) + (segment (start 105.8 98.9) (end 109.35 98.9) (width 0.15) (layer "B.Cu") (net 102) (tstamp 0cbc4070-7c24-4639-89bf-3d1168e7ece6)) + (segment (start 109.35 98.9) (end 109.7 99.25) (width 0.15) (layer "B.Cu") (net 102) (tstamp 1df7a74c-101c-4fa7-9d80-c1b42265da00)) + (segment (start 99.66 99.89) (end 103.085 99.89) (width 0.15) (layer "B.Cu") (net 102) (tstamp 3d9ff8b2-9575-4766-83f8-2a57c6913430)) + (segment (start 103.085 99.89) (end 104.625 98.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp 86835a32-edc3-4315-9048-44111b0b53d3)) + (segment (start 105.25 98.35) (end 105.8 98.9) (width 0.15) (layer "B.Cu") (net 102) (tstamp 8bce2a6e-efc0-41e1-ad3e-bdae815c9c32)) + (segment (start 109.7 99.25) (end 112.9 99.25) (width 0.15) (layer "B.Cu") (net 102) (tstamp 9271674c-f21c-43c3-a930-bfd933bb574e)) + (segment (start 112.9 99.25) (end 113.5 99.85) (width 0.15) (layer "B.Cu") (net 102) (tstamp c3f3fee5-55b2-4ca7-b3bf-fdcedbea2f04)) + (segment (start 113.5 99.85) (end 114.7 99.85) (width 0.15) (layer "B.Cu") (net 102) (tstamp e669266e-efb9-42be-9080-b3ef2d632a73)) + (segment (start 99.65 99.9) (end 99.66 99.89) (width 0.15) (layer "B.Cu") (net 102) (tstamp ed9f4805-38e6-4013-bf19-9ce670ac2ac3)) + (segment (start 104.625 98.35) (end 105.25 98.35) (width 0.15) (layer "B.Cu") (net 102) (tstamp ff927476-6813-4f31-851a-6244a2cfbe8a)) + (segment (start 100.6 104.4) (end 101.7125 104.4) (width 0.15) (layer "F.Cu") (net 103) (tstamp 04fccadd-8922-4fe6-ae82-a2bed1621120)) + (segment (start 112.9 101.45) (end 114.05 101.45) (width 0.15) (layer "F.Cu") (net 103) (tstamp 5d60b1f0-7936-4164-9a36-afd4241b41ba)) + (segment (start 100.5 104.5) (end 100.6 104.4) (width 0.15) (layer "F.Cu") (net 103) (tstamp e824a3ba-bc54-4c2f-9cfd-37f2de8572a3)) + (via (at 114.05 101.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 103) (tstamp bc3f8692-b74e-41de-bfc4-bd7f7cead094)) + (via (at 100.5 104.5) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 103) (tstamp da2f61cc-b215-4ad6-94a6-a73fef351b41)) + (segment (start 107.55 102.15) (end 105.2 104.5) (width 0.15) (layer "B.Cu") (net 103) (tstamp 13764a98-c8ec-490f-8721-e7863df67eac)) + (segment (start 114.05 101.45) (end 113.85 101.25) (width 0.15) (layer "B.Cu") (net 103) (tstamp 364b2448-fc9f-454e-b7d4-d4362485f826)) + (segment (start 109.55 102.15) (end 107.55 102.15) (width 0.15) (layer "B.Cu") (net 103) (tstamp 5bf43049-4c9d-4954-ae3a-d73a31e41e09)) + (segment (start 113.85 101.25) (end 110.45 101.25) (width 0.15) (layer "B.Cu") (net 103) (tstamp 5c78e3b5-29be-4e09-821c-3d43c78a579d)) + (segment (start 110.45 101.25) (end 109.55 102.15) (width 0.15) (layer "B.Cu") (net 103) (tstamp 80363bc5-d59d-4aa8-8498-1cd86704a5b7)) + (segment (start 105.2 104.5) (end 100.5 104.5) (width 0.15) (layer "B.Cu") (net 103) (tstamp 9167e2e7-15f9-4994-9f00-6ef730cc912e)) + (segment (start 110.25 119.05) (end 110.25 118.15) (width 0.15) (layer "F.Cu") (net 104) (tstamp 18a932b2-a397-4401-8fa5-42d6ddf4a143)) + (segment (start 96.55 110) (end 96.55 109.0625) (width 0.15) (layer "F.Cu") (net 104) (tstamp 30e4140d-1c18-4b17-a0dd-928984ca9783)) + (segment (start 110.25 118.15) (end 107.7 115.6) (width 0.15) (layer "F.Cu") (net 104) (tstamp 35f3c008-ec96-482e-b46b-9a32214f81e5)) + (segment (start 95.65 110.9) (end 96.55 110) (width 0.15) (layer "F.Cu") (net 104) (tstamp 5da1b449-1c54-46fe-bc54-7856d3ec45aa)) + (segment (start 103.45 115.6) (end 100.25 112.4) (width 0.15) (layer "F.Cu") (net 104) (tstamp 8d96fa6d-a7d1-4246-846a-78892ea9dcab)) + (segment (start 95.65 112.35) (end 95.65 110.9) (width 0.15) (layer "F.Cu") (net 104) (tstamp a12ca4cb-c318-445f-b859-f8be6e96f5e9)) + (segment (start 100.25 112.4) (end 99.85 112.4) (width 0.15) (layer "F.Cu") (net 104) (tstamp abf42bc7-03b6-446a-9df9-a306f5b32023)) + (segment (start 99.15 113.1) (end 96.4 113.1) (width 0.15) (layer "F.Cu") (net 104) (tstamp b53b1b13-6d12-4233-a665-10ed7108787f)) + (segment (start 96.4 113.1) (end 95.65 112.35) (width 0.15) (layer "F.Cu") (net 104) (tstamp c278157e-ddf0-46f3-bd8c-410b86c56831)) + (segment (start 107.7 115.6) (end 103.45 115.6) (width 0.15) (layer "F.Cu") (net 104) (tstamp d7592c6b-4c06-405d-85f7-8bf85f997e96)) + (segment (start 99.85 112.4) (end 99.15 113.1) (width 0.15) (layer "F.Cu") (net 104) (tstamp fb3069cb-126f-4bc3-81b9-d6c6d0488a24)) + (segment (start 53.1994 93.3506) (end 51.1994 93.3506) (width 0.15) (layer "F.Cu") (net 106) (tstamp 3cdb21e9-2bdb-4090-a5e4-feaaa93c620d)) + (segment (start 53.213 96.458) (end 53.213 93.3642) (width 0.15) (layer "F.Cu") (net 106) (tstamp d3854237-ec68-4d46-9b4c-40e7e46e2510)) + (segment (start 53.213 93.3642) (end 53.1994 93.3506) (width 0.15) (layer "F.Cu") (net 106) (tstamp fae87e01-08ee-4326-be98-d2ec3e38e55e)) + (segment (start 101.7125 98.9) (end 100.55 98.9) (width 0.15) (layer "F.Cu") (net 107) (tstamp a8493eb5-763c-47c4-92f0-8e3dcb77ad2c)) + (segment (start 112.9 99.05) (end 114.05 99.05) (width 0.15) (layer "F.Cu") (net 107) (tstamp a86d8aa0-0aca-4795-8078-bdef874fd079)) + (via (at 114.05 99.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp 727f1876-f54d-491c-9265-372cf065f529)) + (via (at 100.55 98.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 107) (tstamp 94e1a4b5-810a-42ce-8668-f9efe7fe208c)) + (segment (start 107.8 96.45) (end 105.475 96.45) (width 0.15) (layer "B.Cu") (net 107) (tstamp 2551dc0e-85ef-4437-9653-005668fe8d5e)) + (segment (start 113.2 98.65) (end 110 98.65) (width 0.15) (layer "B.Cu") (net 107) (tstamp 2599b477-6d2c-4d49-8489-7c765aa3f6d3)) + (segment (start 113.4 98.85) (end 113.2 98.65) (width 0.15) (layer "B.Cu") (net 107) (tstamp 3999cf2d-85cd-4b43-bb70-08eacbe7444c)) + (segment (start 105.475 96.45) (end 103.025 98.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp 44ab08da-9969-4bbc-be76-e1393af92177)) + (segment (start 114.05 99.05) (end 113.85 98.85) (width 0.15) (layer "B.Cu") (net 107) (tstamp 48ebbcac-9259-466e-84fb-3e80f75a3235)) + (segment (start 103.025 98.9) (end 100.55 98.9) (width 0.15) (layer "B.Cu") (net 107) (tstamp 55892259-ae3d-49c9-9e47-f80ffcca8be7)) + (segment (start 110 98.65) (end 107.8 96.45) (width 0.15) (layer "B.Cu") (net 107) (tstamp c5925df3-7f1e-41d2-b1ef-c170d1dc9d07)) + (segment (start 113.85 98.85) (end 113.4 98.85) (width 0.15) (layer "B.Cu") (net 107) (tstamp db509437-d25f-4986-85ce-394eb79ad695)) + (segment (start 124.4 98.25) (end 123.25 98.25) (width 0.15) (layer "F.Cu") (net 108) (tstamp 21744bfd-9518-4746-bff5-538d3d79010a)) + (segment (start 101.7125 98.4) (end 102.85 98.4) (width 0.15) (layer "F.Cu") (net 108) (tstamp 9eab6b91-32ee-49e2-868b-4b538acfac45)) + (via (at 102.85 98.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp 01b5705c-d1fc-49e6-8b13-7799a94b369e)) + (via (at 123.25 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 108) (tstamp db3ae858-4466-4d85-bf23-d0e260df38c6)) + (segment (start 103.0375 98.4) (end 102.85 98.4) (width 0.15) (layer "B.Cu") (net 108) (tstamp 24ed0bc1-d2fe-48d0-9899-064a770a4ae2)) + (segment (start 114.5 98.75) (end 114.3 98.55) (width 0.15) (layer "B.Cu") (net 108) (tstamp 358c7a2f-9d41-46de-bf6e-26db5608793d)) + (segment (start 123.25 98.25) (end 115.4 98.25) (width 0.15) (layer "B.Cu") (net 108) (tstamp 459e036a-9f12-4779-9cd7-93ac890f22a0)) + (segment (start 113.35 98.35) (end 110.15 98.35) (width 0.15) (layer "B.Cu") (net 108) (tstamp 5d345124-6040-4852-83b2-76c3fd78e020)) + (segment (start 115.4 98.25) (end 114.9 98.75) (width 0.15) (layer "B.Cu") (net 108) (tstamp 604944a8-967f-4b95-b425-a2b63d5a7fa3)) + (segment (start 114.9 98.75) (end 114.5 98.75) (width 0.15) (layer "B.Cu") (net 108) (tstamp 84e30a5c-ac50-4509-b9b5-b46d9ca9e6cc)) + (segment (start 113.55 98.55) (end 113.35 98.35) (width 0.15) (layer "B.Cu") (net 108) (tstamp 9dea9365-ca6c-4246-ab47-02fc009b8b65)) + (segment (start 114.3 98.55) (end 113.55 98.55) (width 0.15) (layer "B.Cu") (net 108) (tstamp b034f348-6345-4af7-a7f3-9419c11e0a84)) + (segment (start 105.2875 96.15) (end 103.0375 98.4) (width 0.15) (layer "B.Cu") (net 108) (tstamp b1d94070-c97c-43e5-94a6-216942ffea75)) + (segment (start 107.95 96.15) (end 105.2875 96.15) (width 0.15) (layer "B.Cu") (net 108) (tstamp d4861cc9-41bd-4743-b9ff-3b53d55644a9)) + (segment (start 110.15 98.35) (end 107.95 96.15) (width 0.15) (layer "B.Cu") (net 108) (tstamp dc556840-9e0a-4e12-895f-10fb8c11a86b)) + (segment (start 101.7125 97.9) (end 100.55 97.9) (width 0.15) (layer "F.Cu") (net 109) (tstamp a76d659f-8436-44f6-976e-ce8228bf04a1)) + (segment (start 112.9 98.25) (end 114.7 98.25) (width 0.15) (layer "F.Cu") (net 109) (tstamp fad038bd-372e-4320-bd0e-716c196bfb69)) + (via (at 114.7 98.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp 0e419580-90b7-439a-a5e9-2ff36c762116)) + (via (at 100.55 97.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 109) (tstamp d81fdd3d-a6f5-4c37-9d36-64d5ca36b59f)) + (segment (start 103.05 97.9) (end 100.55 97.9) (width 0.15) (layer "B.Cu") (net 109) (tstamp 39e68eae-aef1-4115-80c2-94a62a1cfb19)) + (segment (start 105.1 95.85) (end 103.05 97.9) (width 0.15) (layer "B.Cu") (net 109) (tstamp 5870b5c5-e505-4c3c-b7df-10cc168dfe85)) + (segment (start 113.5 98.05) (end 110.3 98.05) (width 0.15) (layer "B.Cu") (net 109) (tstamp 6dc730f8-ee5b-4a8c-9733-005419863878)) + (segment (start 114.7 98.25) (end 113.7 98.25) (width 0.15) (layer "B.Cu") (net 109) (tstamp 91e13fb2-c43c-40b2-ae7b-a646fd84fc48)) + (segment (start 108.1 95.85) (end 105.1 95.85) (width 0.15) (layer "B.Cu") (net 109) (tstamp a3ae5ce7-b22a-4e8f-b067-12ff9f026df1)) + (segment (start 113.7 98.25) (end 113.5 98.05) (width 0.15) (layer "B.Cu") (net 109) (tstamp bba60f03-96ec-465e-ad0b-5aaca91b884d)) + (segment (start 110.3 98.05) (end 108.1 95.85) (width 0.15) (layer "B.Cu") (net 109) (tstamp ea969a68-4cb2-4398-8f92-112535dcd69f)) + (segment (start 101.7125 97.4) (end 102.85 97.4) (width 0.15) (layer "F.Cu") (net 110) (tstamp 1a31b4b9-4cca-4907-b501-a8989f900779)) + (segment (start 124.4 97.45) (end 122.6 97.45) (width 0.15) (layer "F.Cu") (net 110) (tstamp a9665cbf-93c5-435a-a50c-187b07e93c81)) + (via (at 122.6 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 5d5024e5-3641-478e-9cdf-bbfe872df1d3)) + (via (at 102.85 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 110) (tstamp 8921a0b9-6f2c-4fde-ab37-892541230f95)) + (segment (start 108.25 95.55) (end 104.9 95.55) (width 0.15) (layer "B.Cu") (net 110) (tstamp 32b2da8e-0cbf-4bce-b850-9b46030b2b16)) + (segment (start 104.9 95.55) (end 103.05 97.4) (width 0.15) (layer "B.Cu") (net 110) (tstamp 395b7b9b-f647-41eb-96fd-1389ef8cd2c8)) + (segment (start 114.8 97.45) (end 114.3 97.95) (width 0.15) (layer "B.Cu") (net 110) (tstamp 6092f3f2-642f-40b5-abbe-5f193ae0cfea)) + (segment (start 110.45 97.75) (end 108.25 95.55) (width 0.15) (layer "B.Cu") (net 110) (tstamp 8dd21420-09fb-489d-9fb5-442c99df123f)) + (segment (start 122.6 97.45) (end 114.8 97.45) (width 0.15) (layer "B.Cu") (net 110) (tstamp 968d3fb7-e3e9-4ea4-8072-b8e82eb02370)) + (segment (start 114.3 97.95) (end 113.85 97.95) (width 0.15) (layer "B.Cu") (net 110) (tstamp ace23e9a-09af-4bba-8ed3-9b566a06e817)) + (segment (start 113.65 97.75) (end 110.45 97.75) (width 0.15) (layer "B.Cu") (net 110) (tstamp b1b84828-c583-48f9-aba6-5e86ce08bd53)) + (segment (start 103.05 97.4) (end 102.85 97.4) (width 0.15) (layer "B.Cu") (net 110) (tstamp dd8347fd-34ff-4a61-bcbf-45d943049987)) + (segment (start 113.85 97.95) (end 113.65 97.75) (width 0.15) (layer "B.Cu") (net 110) (tstamp de2d2518-cf47-4ca0-a8c6-82db6dd7f5c0)) + (segment (start 112.9 97.45) (end 114.05 97.45) (width 0.15) (layer "F.Cu") (net 111) (tstamp c519e67d-ae75-4b45-a284-799aeb14184d)) + (segment (start 101.7125 96.9) (end 100.55 96.9) (width 0.15) (layer "F.Cu") (net 111) (tstamp e6d94918-4c20-42a7-820a-606e22676089)) + (via (at 114.05 97.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp 2001ef3c-8c26-4268-b5b5-1753c6b6939b)) + (via (at 100.55 96.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 111) (tstamp 2a05034c-025f-416e-b599-3dbb006aa9c1)) + (segment (start 103.05 96.9) (end 100.55 96.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp 09b0ae1a-b78a-44be-b8e0-c44239024bc4)) + (segment (start 114.05 97.45) (end 110.6 97.45) (width 0.15) (layer "B.Cu") (net 111) (tstamp 135d88a5-ab89-4f72-8849-0d880d848bf5)) + (segment (start 104.7 95.25) (end 103.05 96.9) (width 0.15) (layer "B.Cu") (net 111) (tstamp 75e4c0b9-0427-42f3-b986-d00a6019d96e)) + (segment (start 108.4 95.25) (end 104.7 95.25) (width 0.15) (layer "B.Cu") (net 111) (tstamp a379ddfe-6b48-43d7-afcb-4566bd8dafa3)) + (segment (start 110.6 97.45) (end 108.4 95.25) (width 0.15) (layer "B.Cu") (net 111) (tstamp c6e5101f-5917-4334-8c72-6f4127e91063)) + (segment (start 124.4 96.65) (end 123.25 96.65) (width 0.15) (layer "F.Cu") (net 112) (tstamp 04b946c0-31f2-4b17-8a3c-7b6694245691)) + (segment (start 101.7125 96.4) (end 102.85 96.4) (width 0.15) (layer "F.Cu") (net 112) (tstamp 94034d5b-0c83-4c38-9c18-7b278c9b549e)) + (via (at 102.85 96.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp 92b02f25-d603-415c-bd46-aa0b1c36f035)) + (via (at 123.25 96.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 112) (tstamp 932dc4e0-1b7e-49d4-bcc8-8f95840aa602)) + (segment (start 115.4 96.65) (end 114.9 97.15) (width 0.15) (layer "B.Cu") (net 112) (tstamp 00482479-184c-4073-ae2f-999403787f55)) + (segment (start 108.55 94.95) (end 104.5 94.95) (width 0.15) (layer "B.Cu") (net 112) (tstamp 29a3a953-1144-4193-a68c-528d8dae7bb7)) + (segment (start 104.5 94.95) (end 103.05 96.4) (width 0.15) (layer "B.Cu") (net 112) (tstamp 4d15fbc6-0ed7-4ba2-be41-611e98a6ec2b)) + (segment (start 114.9 97.15) (end 114.5 97.15) (width 0.15) (layer "B.Cu") (net 112) (tstamp 5f3767cc-4677-4463-a86e-c985e48d70eb)) + (segment (start 114.3 96.95) (end 110.55 96.95) (width 0.15) (layer "B.Cu") (net 112) (tstamp b2374b92-9ec6-48ae-8ce7-a235c1c0153e)) + (segment (start 114.5 97.15) (end 114.3 96.95) (width 0.15) (layer "B.Cu") (net 112) (tstamp c3203219-16f6-4c34-9637-dbbf0eebb0e8)) + (segment (start 110.55 96.95) (end 108.55 94.95) (width 0.15) (layer "B.Cu") (net 112) (tstamp cab2c536-6820-4c28-aef1-2a40aecbaa9a)) + (segment (start 103.05 96.4) (end 102.85 96.4) (width 0.15) (layer "B.Cu") (net 112) (tstamp d6bc8241-d142-453a-8098-9bcf14cfb27f)) + (segment (start 123.25 96.65) (end 115.4 96.65) (width 0.15) (layer "B.Cu") (net 112) (tstamp eb10434e-a98a-4747-907a-192f008659a1)) + (segment (start 112.9 96.65) (end 114.7 96.65) (width 0.15) (layer "F.Cu") (net 113) (tstamp 51986a06-e019-4a9f-b20f-1eecadda4f06)) + (segment (start 101.7125 95.9) (end 100.55 95.9) (width 0.15) (layer "F.Cu") (net 113) (tstamp a0788a98-f5c0-41a5-8109-abed71779789)) + (via (at 100.55 95.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp 9be950c9-5436-4c3c-8a98-985891df089e)) + (via (at 114.7 96.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 113) (tstamp e67a4957-725e-4a2c-9739-e5977af2f7c3)) + (segment (start 114.7 96.65) (end 111.65 96.65) (width 0.15) (layer "B.Cu") (net 113) (tstamp 07a210f0-1fe5-4b9c-8c6e-4b40a75fb8d0)) + (segment (start 100.85 95.6) (end 100.55 95.9) (width 0.15) (layer "B.Cu") (net 113) (tstamp 1cb18c64-823e-4d6f-8d42-cadaa0df03ea)) + (segment (start 103.05 95.9) (end 102.65 95.9) (width 0.15) (layer "B.Cu") (net 113) (tstamp 28a4a17b-b64a-441a-b216-60b1f44b1e7f)) + (segment (start 102.65 95.9) (end 102.35 95.6) (width 0.15) (layer "B.Cu") (net 113) (tstamp 71f2624c-e1d9-452d-9ab7-9ad238a703d6)) + (segment (start 111.65 96.65) (end 109.65 94.65) (width 0.15) (layer "B.Cu") (net 113) (tstamp 79e89b4d-221d-41b7-9dca-9507d686e456)) + (segment (start 109.65 94.65) (end 104.3 94.65) (width 0.15) (layer "B.Cu") (net 113) (tstamp c20e430d-55a5-42ea-adc8-8db727a49fc3)) + (segment (start 104.3 94.65) (end 103.05 95.9) (width 0.15) (layer "B.Cu") (net 113) (tstamp e91afa37-774d-4746-9e63-0a12222fa345)) + (segment (start 102.35 95.6) (end 100.85 95.6) (width 0.15) (layer "B.Cu") (net 113) (tstamp ec9b3ea7-118e-4a1e-b6f9-cb5e9a87bdac)) + (segment (start 99.05 94.625) (end 99.05 93.7375) (width 0.15) (layer "F.Cu") (net 114) (tstamp 649e7ed7-60a1-471c-9258-db2b7456496e)) + (segment (start 99.15 96.3) (end 99.15 94.725) (width 0.15) (layer "F.Cu") (net 114) (tstamp a0cbde5f-8e1a-4322-9f20-884b0d02050c)) + (segment (start 99.15 94.725) (end 99.05 94.625) (width 0.15) (layer "F.Cu") (net 114) (tstamp a45cd9b7-4595-4b1b-81d5-e3bc3dba9552)) + (segment (start 112.9 95.85) (end 114.05 95.85) (width 0.15) (layer "F.Cu") (net 114) (tstamp ab9e9bbe-f793-4d22-aab2-8b24c0977b64)) + (via (at 114.05 95.85) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 114) (tstamp e7df8884-b43e-49a1-975a-11b18c42723f)) + (via (at 99.15 96.3) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 114) (tstamp fbead36a-953f-43dc-a563-c0b47d99d87d)) + (segment (start 103.2 94.9) (end 104.05 94.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp 06f2755a-64dc-41b2-9a93-c3b3db9edab6)) + (segment (start 111.75 95.85) (end 114.05 95.85) (width 0.15) (layer "B.Cu") (net 114) (tstamp 15b64322-3300-4fc4-8c1e-297b6f7a8f2e)) + (segment (start 104.05 94.05) (end 109.95 94.05) (width 0.15) (layer "B.Cu") (net 114) (tstamp 184de122-92b1-4376-ad64-2257efb8d7ea)) + (segment (start 99.45 96.3) (end 100.45 95.3) (width 0.15) (layer "B.Cu") (net 114) (tstamp 40729aad-e3ba-4f08-9efb-a8369e063d5a)) + (segment (start 109.95 94.05) (end 111.75 95.85) (width 0.15) (layer "B.Cu") (net 114) (tstamp 70c4eb1c-d757-4abb-836d-60e66dcc843b)) + (segment (start 99.15 96.3) (end 99.45 96.3) (width 0.15) (layer "B.Cu") (net 114) (tstamp 98c73d00-2933-452d-8dfd-496604e40c72)) + (segment (start 102.25 95.3) (end 102.65 94.9) (width 0.15) (layer "B.Cu") (net 114) (tstamp 9b45db47-ffaf-4101-b6c3-338a44cdfb92)) + (segment (start 100.45 95.3) (end 102.25 95.3) (width 0.15) (layer "B.Cu") (net 114) (tstamp c6d30b08-f4b8-4f3a-8701-4d88f0d137f3)) + (segment (start 102.65 94.9) (end 103.2 94.9) (width 0.15) (layer "B.Cu") (net 114) (tstamp d4a049b4-c249-4500-b84e-270813fa2d71)) + (segment (start 124.4 95.05) (end 123.25 95.05) (width 0.15) (layer "F.Cu") (net 115) (tstamp 07f9be8d-6892-4bcb-896d-47f7a326422e)) + (segment (start 97.55 94.6) (end 97.55 93.7375) (width 0.15) (layer "F.Cu") (net 115) (tstamp 080147a8-1b35-40b8-9df1-74ee49c6dedf)) + (segment (start 98.1 96.4) (end 97.45 95.75) (width 0.15) (layer "F.Cu") (net 115) (tstamp b6190390-958f-40a4-aee5-0405bad06892)) + (segment (start 97.45 95.75) (end 97.45 94.7) (width 0.15) (layer "F.Cu") (net 115) (tstamp c7aa5a84-2ca2-424e-a595-0057c3a9b2e3)) + (segment (start 97.45 94.7) (end 97.55 94.6) (width 0.15) (layer "F.Cu") (net 115) (tstamp e9a58d69-7837-4f13-8859-e9033673b82d)) + (via (at 123.25 95.05) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp 026ca6f1-1b73-4ce4-b4e5-306dc3e9a76c)) + (via (at 98.1 96.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 115) (tstamp 0f621b1e-69ee-4524-8a1a-ba01ade461ec)) + (segment (start 98.95 95.8) (end 99.5 95.8) (width 0.15) (layer "B.Cu") (net 115) (tstamp 0664a16a-f147-49a1-8b0d-e83c08ee9e00)) + (segment (start 98.1 96.4) (end 98.35 96.4) (width 0.15) (layer "B.Cu") (net 115) (tstamp 1814124c-d230-4ea4-aa28-4b623fec3dab)) + (segment (start 103.9 93.75) (end 110.1 93.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp 338adb70-bfba-4772-9d84-9ec89aac1fcf)) + (segment (start 99.5 95.8) (end 100.3 95) (width 0.15) (layer "B.Cu") (net 115) (tstamp 4599c69f-1942-4b40-8404-abefddd9ccfa)) + (segment (start 111.7 95.35) (end 114.3 95.35) (width 0.15) (layer "B.Cu") (net 115) (tstamp 4bb91dc3-434c-41bc-93db-b5509daf7029)) + (segment (start 102.1 95) (end 102.5 94.6) (width 0.15) (layer "B.Cu") (net 115) (tstamp 500592b8-2ec7-4935-a9cc-bdb640b673ab)) + (segment (start 103.05 94.6) (end 103.9 93.75) (width 0.15) (layer "B.Cu") (net 115) (tstamp 7f9b5e8b-76fc-455d-9c9f-452557aedcf7)) + (segment (start 100.3 95) (end 102.1 95) (width 0.15) (layer "B.Cu") (net 115) (tstamp a676e216-264b-4426-9dff-397486034bc4)) + (segment (start 115.4 95.05) (end 123.25 95.05) (width 0.15) (layer "B.Cu") (net 115) (tstamp ade645eb-9b67-4ba5-aa44-0a0932df5eaf)) + (segment (start 114.5 95.55) (end 114.9 95.55) (width 0.15) (layer "B.Cu") (net 115) (tstamp cc22f9bf-b077-4143-b929-640f153d856d)) + (segment (start 102.5 94.6) (end 103.05 94.6) (width 0.15) (layer "B.Cu") (net 115) (tstamp d1f8c8db-d1be-4cab-9238-13a4c35816e6)) + (segment (start 98.35 96.4) (end 98.95 95.8) (width 0.15) (layer "B.Cu") (net 115) (tstamp dc5eab08-2c7a-49b4-9528-4c052febcef0)) + (segment (start 114.9 95.55) (end 115.4 95.05) (width 0.15) (layer "B.Cu") (net 115) (tstamp ded3b5e9-2f34-4a8a-81a5-bf63eefbb170)) + (segment (start 114.3 95.35) (end 114.5 95.55) (width 0.15) (layer "B.Cu") (net 115) (tstamp f0a8ac6c-a6ae-46ed-94b9-139a4680c6c5)) + (segment (start 110.1 93.75) (end 111.7 95.35) (width 0.15) (layer "B.Cu") (net 115) (tstamp f609cfbe-dcdb-448d-b251-fd98ba72ee32)) + (segment (start 97.05 94.9) (end 97.05 93.7375) (width 0.15) (layer "F.Cu") (net 116) (tstamp 264b00ad-ab91-4aab-b998-f13b3324a1f4)) + (segment (start 124.4 94.25) (end 122.6 94.25) (width 0.15) (layer "F.Cu") (net 116) (tstamp a343859f-e8ea-4b17-9746-680f27abbdcd)) + (segment (start 96.95 95) (end 97.05 94.9) (width 0.15) (layer "F.Cu") (net 116) (tstamp e39d4fa1-48f0-4ad6-a2e5-605285387e24)) + (via (at 96.95 95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp 5367d637-d744-4d6f-bc29-e88dd0697c9f)) + (via (at 122.6 94.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 116) (tstamp cc69cd1d-4091-4d4f-805c-19ff2924cedf)) + (segment (start 110.35 93.15) (end 103.6 93.15) (width 0.15) (layer "B.Cu") (net 116) (tstamp 07909e54-c6dc-41b7-9034-670d415a5114)) + (segment (start 102.2 94) (end 101.8 94.4) (width 0.15) (layer "B.Cu") (net 116) (tstamp 0e2c0de9-7908-4319-aed3-0213ff012e81)) + (segment (start 97.55 94.4) (end 96.95 95) (width 0.15) (layer "B.Cu") (net 116) (tstamp 307166b4-56b7-4312-9011-3146b18c24d3)) + (segment (start 114.8 94.25) (end 114.3 94.75) (width 0.15) (layer "B.Cu") (net 116) (tstamp 45eea8f7-cda5-4658-b3bc-c6191bfff28f)) + (segment (start 103.6 93.15) (end 102.75 94) (width 0.15) (layer "B.Cu") (net 116) (tstamp 4d133134-ed64-484c-9e91-7f4cd671f6de)) + (segment (start 114.3 94.75) (end 111.95 94.75) (width 0.15) (layer "B.Cu") (net 116) (tstamp 834e6f4f-08eb-44dd-be56-b475b440981f)) + (segment (start 101.8 94.4) (end 97.55 94.4) (width 0.15) (layer "B.Cu") (net 116) (tstamp ab15361c-d137-4361-99b1-768eb8936b1d)) + (segment (start 102.75 94) (end 102.2 94) (width 0.15) (layer "B.Cu") (net 116) (tstamp b85c4e64-1674-4979-a4a0-12eed25c6f80)) + (segment (start 122.6 94.25) (end 114.8 94.25) (width 0.15) (layer "B.Cu") (net 116) (tstamp d3e1d7ee-ed6f-45d5-9e54-3a219c377288)) + (segment (start 111.95 94.75) (end 110.35 93.15) (width 0.15) (layer "B.Cu") (net 116) (tstamp fd6d6f79-6818-480b-80d5-0e3fd20d3a1d)) + (segment (start 96.45 95.7) (end 96.45 94.75) (width 0.15) (layer "F.Cu") (net 117) (tstamp 10eb5d29-0423-438a-b76b-e14f5adc1a57)) + (segment (start 112.9 94.25) (end 114.05 94.25) (width 0.15) (layer "F.Cu") (net 117) (tstamp 1681667d-1b22-4cc6-a3b7-9f88242b1a18)) + (segment (start 96.7 95.95) (end 96.45 95.7) (width 0.15) (layer "F.Cu") (net 117) (tstamp 55c3eb2e-f647-48a9-ba40-b8fd81636bda)) + (segment (start 96.45 94.75) (end 96.55 94.65) (width 0.15) (layer "F.Cu") (net 117) (tstamp c540fc4f-fb88-44af-aefe-95ed6f45aea4)) + (segment (start 96.55 94.65) (end 96.55 93.7375) (width 0.15) (layer "F.Cu") (net 117) (tstamp dc5d9018-3c0d-440a-9051-1f9b7c873be1)) + (via (at 96.7 95.95) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp 3caf99e2-8036-4775-8903-ecb9bcf19df3)) + (via (at 114.05 94.25) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 117) (tstamp 48b29a6a-5e2e-4796-8b02-c25754a5036b)) + (segment (start 102.05 93.7) (end 102.6 93.7) (width 0.15) (layer "B.Cu") (net 117) (tstamp 481dca25-9387-46f9-ab19-68b45a2944ec)) + (segment (start 97.15 94.1) (end 101.65 94.1) (width 0.15) (layer "B.Cu") (net 117) (tstamp 5d2e0328-e437-40c1-b34f-85e84932d428)) + (segment (start 103.45 92.85) (end 110.5 92.85) (width 0.15) (layer "B.Cu") (net 117) (tstamp 66d6f41a-d45f-40af-a1ed-79731b5d4775)) + (segment (start 96.45 95.7) (end 96.45 94.8) (width 0.15) (layer "B.Cu") (net 117) (tstamp 712e20e7-427d-4941-b398-193da7de6355)) + (segment (start 96.7 95.95) (end 96.45 95.7) (width 0.15) (layer "B.Cu") (net 117) (tstamp 85507e8d-217e-4d80-ba42-9a8669729122)) + (segment (start 101.65 94.1) (end 102.05 93.7) (width 0.15) (layer "B.Cu") (net 117) (tstamp b9575ae6-d8e6-4be7-a243-0f16370123d3)) + (segment (start 111.9 94.25) (end 114.05 94.25) (width 0.15) (layer "B.Cu") (net 117) (tstamp c0a9ef42-197e-4ffa-95cd-bc0f6192f3eb)) + (segment (start 96.45 94.8) (end 97.15 94.1) (width 0.15) (layer "B.Cu") (net 117) (tstamp ccc83fb3-5bf8-45b0-b8e5-9f271dfe39ad)) + (segment (start 110.5 92.85) (end 111.9 94.25) (width 0.15) (layer "B.Cu") (net 117) (tstamp e2295471-b14d-4cf6-b5d2-49ee775094a7)) + (segment (start 102.6 93.7) (end 103.45 92.85) (width 0.15) (layer "B.Cu") (net 117) (tstamp f166eb5b-2140-477a-a989-c1911b07cb71)) + (segment (start 84.65 104.9) (end 84.65 103.7) (width 0.15) (layer "F.Cu") (net 118) (tstamp 074a108a-e268-4193-a9c3-dacbd43d0b99)) + (segment (start 68.2625 107.442) (end 68.2625 110.998) (width 0.15) (layer "F.Cu") (net 118) (tstamp 14bd98ff-6d51-4489-b410-5c5c8d5035c2)) + (segment (start 63.754 115.5065) (end 63.754 118.6815) (width 0.15) (layer "F.Cu") (net 118) (tstamp 1d18194f-d32b-46aa-b9f9-e90a8a5c95f0)) + (segment (start 79.756 95.9485) (end 79.756 97.061) (width 0.15) (layer "F.Cu") (net 118) (tstamp 1d25fa15-7af4-4320-a448-950eb58aa1bb)) + (segment (start 80.01 95.6945) (end 79.756 95.9485) (width 0.15) (layer "F.Cu") (net 118) (tstamp 37da3976-0649-4b60-9164-8f9e3a5b8433)) + (segment (start 68.2625 110.998) (end 63.754 115.5065) (width 0.15) (layer "F.Cu") (net 118) (tstamp 39bf0136-8209-4e49-814f-3e6d8ccca2a0)) + (segment (start 75.85 102.204) (end 75.85 104.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp 58f2b480-b5f8-4e1e-9698-7ee3916eb803)) + (segment (start 77.7 106.75) (end 82.8 106.75) (width 0.15) (layer "F.Cu") (net 118) (tstamp 5c288f92-b03d-4926-a2a1-70d1a9486279)) + (segment (start 85.45 102.9) (end 86.3875 102.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp 80fe180d-c0cb-4c82-b0f1-ea57fcbff42b)) + (segment (start 70.0405 105.664) (end 68.2625 107.442) (width 0.15) (layer "F.Cu") (net 118) (tstamp 9f8cffb0-bc10-4c12-b414-670e4470a648)) + (segment (start 79.756 97.061) (end 79.756 98.298) (width 0.15) (layer "F.Cu") (net 118) (tstamp a2b69d65-6bc6-487b-99b9-5b60d8f7ab7f)) + (segment (start 67.6275 120.142) (end 68.3895 120.904) (width 0.15) (layer "F.Cu") (net 118) (tstamp aa888815-a6d0-4697-babe-de05df3195f1)) + (segment (start 65.2145 120.142) (end 67.6275 120.142) (width 0.15) (layer "F.Cu") (net 118) (tstamp b17f9285-ad74-4191-b8da-abb3f977b49c)) + (segment (start 63.754 118.6815) (end 65.2145 120.142) (width 0.15) (layer "F.Cu") (net 118) (tstamp b3e6b24e-9cfe-4b0d-a4ac-15023ed1ad02)) + (segment (start 82.8 106.75) (end 84.65 104.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp c188a4b1-df87-4582-8837-b3a69bb8353c)) + (segment (start 84.65 103.7) (end 85.45 102.9) (width 0.15) (layer "F.Cu") (net 118) (tstamp c42ab4d0-177c-45d0-aa53-48e9fe4b0e17)) + (segment (start 79.756 98.298) (end 75.85 102.204) (width 0.15) (layer "F.Cu") (net 118) (tstamp c6e48d9f-2e27-40db-8f5f-b19078be2ebe)) + (segment (start 75.85 104.9) (end 77.7 106.75) (width 0.15) (layer "F.Cu") (net 118) (tstamp e3a4eff6-ceaf-4e8f-92d7-37624123e8d9)) + (via (at 80.01 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp d6b9ac0b-fd48-4b15-8b1d-a9d3b240c26d)) + (via (at 70.0405 105.664) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 118) (tstamp ed8d1f65-e8ce-4443-b651-5e56526c4a01)) + (segment (start 70.0405 105.664) (end 71.9455 105.664) (width 0.15) (layer "B.Cu") (net 118) (tstamp 29098d57-810f-4be1-b8e8-81a965bd3631)) + (segment (start 80.01 97.5995) (end 80.01 95.6945) (width 0.15) (layer "B.Cu") (net 118) (tstamp 6ee22479-62f8-4a99-bdc0-70edab477ca0)) + (segment (start 71.9455 105.664) (end 80.01 97.5995) (width 0.15) (layer "B.Cu") (net 118) (tstamp 9d48755d-2524-43e3-92e3-06b2f9e07c8d)) + (segment (start 69.9135 120.904) (end 69.6595 120.904) (width 0.15) (layer "F.Cu") (net 119) (tstamp 053e2f44-71b4-4de8-9408-1dfd1859f12f)) + (segment (start 85.45 102.4) (end 86.3875 102.4) (width 0.15) (layer "F.Cu") (net 119) (tstamp 0f7d283b-48db-441d-9ba8-17b3892771a3)) + (segment (start 70.0405 112.3315) (end 73.5965 115.8875) (width 0.15) (layer "F.Cu") (net 119) (tstamp 18f7f917-fc7f-4a78-8f3a-5010942bc6be)) + (segment (start 73.5965 115.8875) (end 73.5965 117.983) (width 0.15) (layer "F.Cu") (net 119) (tstamp 2e27ce50-e48d-4894-8e25-cb93805de12f)) + (segment (start 70.2945 108.1405) (end 70.0405 108.3945) (width 0.15) (layer "F.Cu") (net 119) (tstamp 31342641-392a-4d38-af4f-a014e4877222)) + (segment (start 73.5965 117.983) (end 72.4535 119.126) (width 0.15) (layer "F.Cu") (net 119) (tstamp 328b6fd4-3f80-4d30-9d19-bbdfa392702e)) + (segment (start 77.216 105.8035) (end 77.8625 106.45) (width 0.15) (layer "F.Cu") (net 119) (tstamp 3b335ad3-b44b-47f7-9029-660fdaa17781)) + (segment (start 77.216 104.361) (end 77.216 103.124) (width 0.15) (layer "F.Cu") (net 119) (tstamp 58679917-7e53-4894-978b-f6afbcd1ec6b)) + (segment (start 77.216 104.361) (end 77.216 105.8035) (width 0.15) (layer "F.Cu") (net 119) (tstamp 7ec43dca-646a-493a-8d40-71377d04e974)) + (segment (start 82.65 106.45) (end 84.35 104.75) (width 0.15) (layer "F.Cu") (net 119) (tstamp 86e2a44a-5dfd-4186-b650-59a68c861a1d)) + (segment (start 77.8625 106.45) (end 82.65 106.45) (width 0.15) (layer "F.Cu") (net 119) (tstamp 88a8a6da-0ea4-4f74-b563-48926894a6ee)) + (segment (start 72.4535 119.126) (end 71.6915 119.126) (width 0.15) (layer "F.Cu") (net 119) (tstamp b55dcc64-ac46-4d83-bddb-312fc9098169)) + (segment (start 71.6915 119.126) (end 69.9135 120.904) (width 0.15) (layer "F.Cu") (net 119) (tstamp ccfc7d8f-7644-4269-85e4-ed52d93460fd)) + (segment (start 84.35 103.5) (end 85.45 102.4) (width 0.15) (layer "F.Cu") (net 119) (tstamp d4adf887-03f0-46dd-88f4-34e64a3de1a3)) + (segment (start 70.0405 108.3945) (end 70.0405 112.3315) (width 0.15) (layer "F.Cu") (net 119) (tstamp daceeb65-8c06-4284-9c32-d8bfb2483c70)) + (segment (start 84.35 104.75) (end 84.35 103.5) (width 0.15) (layer "F.Cu") (net 119) (tstamp efc099d7-0216-41ec-98b9-3013f485441d)) + (via (at 70.2945 108.1405) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp 80c9c49c-d71e-4500-aa29-baaca296e1a3)) + (via (at 77.216 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 119) (tstamp c6557b60-9850-4372-bc8d-cea565de91ee)) + (segment (start 72.1995 108.1405) (end 77.216 103.124) (width 0.15) (layer "B.Cu") (net 119) (tstamp 171cfbd0-9314-4524-86b9-c24b912f7956)) + (segment (start 70.2945 108.1405) (end 72.1995 108.1405) (width 0.15) (layer "B.Cu") (net 119) (tstamp fca942cc-8027-4a48-b169-68a614a145a4)) + (segment (start 81.026 97.061) (end 81.026 98.298) (width 0.15) (layer "F.Cu") (net 120) (tstamp 28e672e6-c950-402b-90e0-043e1077d165)) + (segment (start 82.178 99.45) (end 82.95 99.45) (width 0.15) (layer "F.Cu") (net 120) (tstamp 4d4c3d6b-89f2-4da8-9ed6-8c484a933504)) + (segment (start 81.026 98.298) (end 82.178 99.45) (width 0.15) (layer "F.Cu") (net 120) (tstamp 4dc1f5d2-6e34-4363-b4b3-44d6672bcea2)) + (segment (start 88.45 97.4) (end 86.3875 97.4) (width 0.15) (layer "F.Cu") (net 120) (tstamp 4dd68f97-f937-48d7-996f-03bd9c657da6)) + (segment (start 81.026 95.758) (end 81.0895 95.6945) (width 0.15) (layer "F.Cu") (net 120) (tstamp 7e4c98d7-53e3-418f-bb54-ac41b5740cc6)) + (segment (start 65.5955 119.126) (end 67.6275 119.126) (width 0.15) (layer "F.Cu") (net 120) (tstamp 8b8ce712-836d-40a0-b017-b3ba7b33a3c4)) + (segment (start 69.1515 107.823) (end 69.1515 111.506) (width 0.15) (layer "F.Cu") (net 120) (tstamp 8bace094-962d-4070-9f7d-fe5e47874144)) + (segment (start 67.6275 119.126) (end 68.3895 118.364) (width 0.15) (layer "F.Cu") (net 120) (tstamp 8bf7a665-5410-4c89-94ae-0480950a71be)) + (segment (start 70.0405 106.934) (end 69.1515 107.823) (width 0.15) (layer "F.Cu") (net 120) (tstamp 91b2556c-a83f-43ae-8da8-0cbc93a4a5b2)) + (segment (start 69.1515 111.506) (end 64.4525 116.205) (width 0.15) (layer "F.Cu") (net 120) (tstamp 98931c2e-f01c-4444-a3d6-35468a4ad1ee)) + (segment (start 81.026 97.061) (end 81.026 95.758) (width 0.15) (layer "F.Cu") (net 120) (tstamp b88d6497-ab4e-4b4f-87c6-e3796fe623b7)) + (segment (start 64.4525 116.205) (end 64.4525 117.983) (width 0.15) (layer "F.Cu") (net 120) (tstamp ea88da52-6c24-4587-b2bb-8e7d5e0f74e3)) + (segment (start 64.4525 117.983) (end 65.5955 119.126) (width 0.15) (layer "F.Cu") (net 120) (tstamp f547a4ee-03a6-4f3f-8215-d9682325176f)) + (via (at 70.0405 106.934) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp 5f5b02f2-0197-4136-bbb7-3189a6b30314)) + (via (at 88.45 97.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp 91776499-96f5-43f7-9ee6-cfe1cdb8e4a1)) + (via (at 81.0895 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp ac42c6eb-d016-4eeb-951a-506c29174317)) + (via (at 82.95 99.45) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 120) (tstamp e62479ea-3c80-4168-adca-f4d0cd07331b)) + (segment (start 83.55 96.65) (end 82.6 97.6) (width 0.15) (layer "B.Cu") (net 120) (tstamp 2e59b195-ecf1-4c57-a95d-f99149a71993)) + (segment (start 81.0895 97.8535) (end 81.0895 95.6945) (width 0.15) (layer "B.Cu") (net 120) (tstamp 40062910-13be-4619-9768-eae4dfc44737)) + (segment (start 82.6 97.6) (end 82.6 99.1) (width 0.15) (layer "B.Cu") (net 120) (tstamp 8e7e538e-d7d1-44e4-afac-647316491bcb)) + (segment (start 85.4 96.65) (end 83.55 96.65) (width 0.15) (layer "B.Cu") (net 120) (tstamp b2d1bbf8-650b-438b-b0c5-b6b64d5aedfc)) + (segment (start 72.009 106.934) (end 81.0895 97.8535) (width 0.15) (layer "B.Cu") (net 120) (tstamp bdab30f2-5193-495b-aed3-69673028246a)) + (segment (start 86.15 97.4) (end 85.4 96.65) (width 0.15) (layer "B.Cu") (net 120) (tstamp c650fe65-5261-4661-87e6-fc1f8c478d4d)) + (segment (start 82.6 99.1) (end 82.95 99.45) (width 0.15) (layer "B.Cu") (net 120) (tstamp c7c0f9d7-a656-402a-bb5d-48e6cb5a1120)) + (segment (start 70.0405 106.934) (end 72.009 106.934) (width 0.15) (layer "B.Cu") (net 120) (tstamp cb7e9087-0ff8-4537-a0e1-ca8ee60a61a7)) + (segment (start 88.45 97.4) (end 86.15 97.4) (width 0.15) (layer "B.Cu") (net 120) (tstamp f147345f-073e-42a6-91dd-5c1ddae61d4c)) + (segment (start 78.486 103.124) (end 78.486 104.361) (width 0.15) (layer "F.Cu") (net 121) (tstamp 1b9e4f4d-76a9-44f3-ac5b-f4c9f10403b1)) + (segment (start 86.3875 100.9) (end 80.71 100.9) (width 0.15) (layer "F.Cu") (net 121) (tstamp 5af19cbe-522f-4d49-bc24-ddd44b72e27a)) + (segment (start 80.71 100.9) (end 78.486 103.124) (width 0.15) (layer "F.Cu") (net 121) (tstamp 7dc82dbe-ffea-47e4-8021-4afd292fc8e9)) + (segment (start 79.1 108.3) (end 80.1 109.3) (width 0.15) (layer "F.Cu") (net 121) (tstamp 852cc8bb-6576-4f3d-a238-cf44368f75f7)) + (segment (start 79.1 108.1) (end 79.1 108.3) (width 0.15) (layer "F.Cu") (net 121) (tstamp 9dd86dc3-8544-4db8-bb5d-db1139074da1)) + (segment (start 80.1 109.3) (end 80.95 109.3) (width 0.15) (layer "F.Cu") (net 121) (tstamp b0e1feb3-f5e2-4fdf-b5e4-2813b5b52db6)) + (via (at 78.486 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 121) (tstamp 593cf5ca-712a-4a7d-80ac-70cb0d39de4f)) + (via (at 79.1 108.1) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 121) (tstamp 63e1e6f8-4eb3-4ea4-9166-6a511a24c045)) + (segment (start 79.1 103.738) (end 79.1 108.1) (width 0.15) (layer "B.Cu") (net 121) (tstamp 0475d201-0c30-403e-85ed-1dd58a5c29cc)) + (segment (start 78.486 103.124) (end 79.1 103.738) (width 0.15) (layer "B.Cu") (net 121) (tstamp abc125a3-f1b1-4700-9981-1e3c38de92dd)) + (segment (start 90.05 107.55) (end 89.95 107.65) (width 0.15) (layer "F.Cu") (net 122) (tstamp 2b9dbe94-6435-4bdc-81c2-3d599eb94481)) + (segment (start 115 120.5) (end 115 119.6) (width 0.15) (layer "F.Cu") (net 122) (tstamp 8a1922dd-fd7d-4f81-98ab-ffabbc03f8c1)) + (segment (start 90.05 108.2) (end 90.05 109.0625) (width 0.15) (layer "F.Cu") (net 122) (tstamp a8044861-bf01-47ad-bea8-777dfc288c99)) + (segment (start 89.95 107.65) (end 89.95 108.1) (width 0.15) (layer "F.Cu") (net 122) (tstamp a92cd11a-6954-4560-ad23-4fa498c164ae)) + (segment (start 89.95 108.1) (end 90.05 108.2) (width 0.15) (layer "F.Cu") (net 122) (tstamp e1de861e-c726-4cde-bfa0-ca974480567d)) + (segment (start 90.05 106.9) (end 90.05 107.55) (width 0.15) (layer "F.Cu") (net 122) (tstamp fd0cb5f2-2e91-4169-8c3b-56c7045df24e)) + (via (at 115 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp c71b9f19-7473-4daf-ac8f-258f1098cce8)) + (via (at 90.05 106.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 122) (tstamp d6f27c57-c38a-4d63-ba3e-7b4ac4021b68)) + (segment (start 93.25 107.6) (end 93.25 112.55) (width 0.15) (layer "B.Cu") (net 122) (tstamp 18bf0bb4-3690-43bb-9585-5f93762e2138)) + (segment (start 91.95 106.3) (end 93.25 107.6) (width 0.15) (layer "B.Cu") (net 122) (tstamp 3596ef99-d390-4140-b8a0-828931e3d240)) + (segment (start 106.25 116.95) (end 110.3 121) (width 0.15) (layer "B.Cu") (net 122) (tstamp 961981cb-7cb1-40b1-be68-7046d3715544)) + (segment (start 90.65 106.3) (end 91.95 106.3) (width 0.15) (layer "B.Cu") (net 122) (tstamp 965af3a3-0bc3-4470-8477-7a6d98b9f3f2)) + (segment (start 90.05 106.9) (end 90.65 106.3) (width 0.15) (layer "B.Cu") (net 122) (tstamp b03c85d7-9e9e-4e7c-88b6-a7f39103bd6b)) + (segment (start 110.3 121) (end 113.6 121) (width 0.15) (layer "B.Cu") (net 122) (tstamp b7f75d5a-1c55-46d7-8f42-7078bbd58a2f)) + (segment (start 93.25 112.55) (end 97.65 116.95) (width 0.15) (layer "B.Cu") (net 122) (tstamp c8e59dff-8f91-4062-ae8a-d450627a4d84)) + (segment (start 113.6 121) (end 115 119.6) (width 0.15) (layer "B.Cu") (net 122) (tstamp d46170b1-bedc-4648-a29a-d36201b0edc4)) + (segment (start 97.65 116.95) (end 106.25 116.95) (width 0.15) (layer "B.Cu") (net 122) (tstamp d9cffaae-0eaa-4ae8-9770-75932ca86b18)) + (segment (start 62.357 114.1095) (end 62.357 124.7775) (width 0.15) (layer "F.Cu") (net 123) (tstamp 3e2c0f9c-9a2c-46d5-8a96-84f18539259e)) + (segment (start 62.738 125.1585) (end 63.4365 125.1585) (width 0.15) (layer "F.Cu") (net 123) (tstamp 41ac2a96-8091-4d37-bb4f-c50cdd39f397)) + (segment (start 70.0165 103.124) (end 66.4845 106.656) (width 0.15) (layer "F.Cu") (net 123) (tstamp 42045fc3-e018-4f31-836f-25e9f3f573b3)) + (segment (start 66.4845 106.656) (end 66.4845 109.982) (width 0.15) (layer "F.Cu") (net 123) (tstamp 4524c658-29c9-4477-8e5f-024c2ff76209)) + (segment (start 63.4365 125.1585) (end 66.334 125.1585) (width 0.15) (layer "F.Cu") (net 123) (tstamp 6468e2f0-5c51-4724-8c34-10fe60d33334)) + (segment (start 68.0485 123.444) (end 68.3895 123.444) (width 0.15) (layer "F.Cu") (net 123) (tstamp 674c1d89-4dc5-4102-b3c8-31115afd83e2)) + (segment (start 66.334 125.1585) (end 68.0485 123.444) (width 0.15) (layer "F.Cu") (net 123) (tstamp a20c1099-e7e2-463e-a5ec-4ec872620dbf)) + (segment (start 70.0405 103.124) (end 70.0165 103.124) (width 0.15) (layer "F.Cu") (net 123) (tstamp bc43cd11-8301-4872-8ff3-74797b98bc25)) + (segment (start 62.357 124.7775) (end 62.738 125.1585) (width 0.15) (layer "F.Cu") (net 123) (tstamp d26a1b82-7aeb-4526-9f18-164586054056)) + (segment (start 78.486 95.9485) (end 78.486 97.061) (width 0.15) (layer "F.Cu") (net 123) (tstamp f13f5d24-50d9-4e7d-8178-5f54100484dc)) + (segment (start 66.4845 109.982) (end 62.357 114.1095) (width 0.15) (layer "F.Cu") (net 123) (tstamp fd84b04c-9f67-4343-bd96-7fe38596ea2b)) + (segment (start 78.232 95.6945) (end 78.486 95.9485) (width 0.15) (layer "F.Cu") (net 123) (tstamp ff913b1f-26b4-450a-b2da-2b11d5609efb)) + (via (at 78.232 95.6945) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 123) (tstamp 0c17420f-914f-47df-a097-2e67571da4a1)) + (via (at 70.0405 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 123) (tstamp 301fb5ee-898f-4873-bb64-76b8bcac660b)) + (segment (start 71.701 103.124) (end 78.232 96.593) (width 0.15) (layer "B.Cu") (net 123) (tstamp 2c0610be-4c9e-4d23-b3ca-9c8a51347340)) + (segment (start 78.232 96.593) (end 78.232 95.6945) (width 0.15) (layer "B.Cu") (net 123) (tstamp 4ac67fa6-40bb-4fbd-8435-fa65727c8549)) + (segment (start 70.0405 103.124) (end 71.701 103.124) (width 0.15) (layer "B.Cu") (net 123) (tstamp 5afa4f56-6c6f-4dae-b99f-ed2e9bc342bf)) + (segment (start 63.0555 114.808) (end 63.0555 119.1895) (width 0.15) (layer "F.Cu") (net 124) (tstamp 26d7b08c-2df8-40d1-a14e-d47ec8feca6d)) + (segment (start 79.756 104.361) (end 79.756 103.124) (width 0.15) (layer "F.Cu") (net 124) (tstamp 332ee0aa-7f49-4f8a-9d24-a159d16cc440)) + (segment (start 67.3735 107.061) (end 67.3735 110.49) (width 0.15) (layer "F.Cu") (net 124) (tstamp 666b6665-514b-4e03-9ee9-a2d1c1ac99d2)) + (segment (start 64.389 120.4595) (end 63.4365 120.4595) (width 0.15) (layer "F.Cu") (net 124) (tstamp 855ac331-3110-4950-ad19-48798028ac37)) + (segment (start 67.3735 110.49) (end 63.0555 114.808) (width 0.15) (layer "F.Cu") (net 124) (tstamp 9998aa3a-adf8-4732-8287-c1fc9fa905d6)) + (segment (start 63.0555 119.1895) (end 63.4365 119.5705) (width 0.15) (layer "F.Cu") (net 124) (tstamp 9ff6c849-6db7-4a6f-aa03-31189c8d7b5e)) + (segment (start 67.1195 120.904) (end 64.8335 120.904) (width 0.15) (layer "F.Cu") (net 124) (tstamp aa2ef207-693a-4d23-ac6b-06e29b5ce866)) + (segment (start 70.0405 104.394) (end 67.3735 107.061) (width 0.15) (layer "F.Cu") (net 124) (tstamp ed1ab72b-373c-4cce-9793-25c74fabd062)) + (segment (start 64.8335 120.904) (end 64.389 120.4595) (width 0.15) (layer "F.Cu") (net 124) (tstamp f0ca504b-65b9-49ed-b07c-c08f684aecd5)) + (segment (start 68.3895 122.174) (end 67.1195 120.904) (width 0.15) (layer "F.Cu") (net 124) (tstamp f1728cd4-2d14-48b8-b37a-4524adba028e)) + (segment (start 63.4365 119.5705) (end 63.4365 120.4595) (width 0.15) (layer "F.Cu") (net 124) (tstamp ffb04248-3e8c-41d9-8c51-30215e289696)) + (via (at 70.0405 104.394) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 124) (tstamp 402d116b-3ada-4e44-9d90-a65eb074d289)) + (via (at 79.756 103.124) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 124) (tstamp ae8fa8f8-275e-4e6e-ad86-83be2e1981f6)) + (segment (start 81.9 95.1715) (end 81.9 98.35) (width 0.15) (layer "B.Cu") (net 124) (tstamp 0181ca46-0bb3-46c3-9446-51b92b556181)) + (segment (start 79.756 100.494) (end 79.756 103.124) (width 0.15) (layer "B.Cu") (net 124) (tstamp 0724c565-c053-4544-8412-ab5e8ee27552)) + (segment (start 70.0405 104.394) (end 71.8185 104.394) (width 0.15) (layer "B.Cu") (net 124) (tstamp 15e8cd93-8f5d-4f80-9b69-efd6ff0b5307)) + (segment (start 79.4385 94.8055) (end 81.534 94.8055) (width 0.15) (layer "B.Cu") (net 124) (tstamp 276067bb-8aa9-4510-8fb9-019074f68dba)) + (segment (start 79.121 95.123) (end 79.4385 94.8055) (width 0.15) (layer "B.Cu") (net 124) (tstamp 35664cb9-6829-4e42-85aa-a66e130fe5f4)) + (segment (start 79.121 97.0915) (end 79.121 95.123) (width 0.15) (layer "B.Cu") (net 124) (tstamp 3a19920d-cee2-47bd-9079-61bafd7a496d)) + (segment (start 81.9 98.35) (end 79.756 100.494) (width 0.15) (layer "B.Cu") (net 124) (tstamp 45649280-dadc-4545-9b40-deccb751d42c)) + (segment (start 81.534 94.8055) (end 81.9 95.1715) (width 0.15) (layer "B.Cu") (net 124) (tstamp 4bb539c4-44cf-434f-b2fc-40582f8f8f88)) + (segment (start 71.8185 104.394) (end 79.121 97.0915) (width 0.15) (layer "B.Cu") (net 124) (tstamp ec557ac5-17a3-47ff-bfc7-8053245621f6)) + (segment (start 95.05 112.65) (end 95.05 110.5) (width 0.15) (layer "F.Cu") (net 125) (tstamp 178c81e5-a6e7-41f2-9f43-82c01dbfb8ce)) + (segment (start 103 116.5) (end 100.2 113.7) (width 0.15) (layer "F.Cu") (net 125) (tstamp 19a22213-4f06-4a49-9e85-4028fa4efd76)) + (segment (start 108.3 118.15) (end 106.65 116.5) (width 0.15) (layer "F.Cu") (net 125) (tstamp 49c4fe47-c53a-48e9-a73f-1a1c7b4e8ab8)) + (segment (start 96.1 113.7) (end 95.05 112.65) (width 0.15) (layer "F.Cu") (net 125) (tstamp 501cc113-e040-476d-949f-369f693cf43d)) + (segment (start 95.05 110.5) (end 95.55 110) (width 0.15) (layer "F.Cu") (net 125) (tstamp 83d5fc02-87e1-44d6-b8ec-146ea8d7ca1c)) + (segment (start 108.3 119.05) (end 108.3 118.15) (width 0.15) (layer "F.Cu") (net 125) (tstamp 89d16742-7b2d-43e6-8a6f-2b8bbb63410b)) + (segment (start 106.65 116.5) (end 103 116.5) (width 0.15) (layer "F.Cu") (net 125) (tstamp 8b53e970-e2eb-49d8-96aa-979cd28f2005)) + (segment (start 95.55 110) (end 95.55 109.0625) (width 0.15) (layer "F.Cu") (net 125) (tstamp e14764c9-2378-42d1-95a6-56f230df17f3)) + (segment (start 100.2 113.7) (end 96.1 113.7) (width 0.15) (layer "F.Cu") (net 125) (tstamp fa084003-bd3c-4c9c-ac94-800e781db2df)) + (segment (start 54.6919 94.8506) (end 55.9114 94.8506) (width 0.15) (layer "F.Cu") (net 126) (tstamp 054afbb1-f95b-4ebd-b39b-da2f01b6d51b)) + (segment (start 55.3994 91.6506) (end 56.5494 91.6506) (width 0.15) (layer "F.Cu") (net 126) (tstamp 2830e7b1-cb7f-4fa0-8e59-8955e6440b2c)) + (segment (start 55.3994 90.0012) (end 55.4 90.0006) (width 0.15) (layer "F.Cu") (net 126) (tstamp 28b80d8d-409d-4f6e-ae2b-ca15c234f3f0)) + (segment (start 56.75 91.85) (end 56.75 94) (width 0.15) (layer "F.Cu") (net 126) (tstamp 31ff130f-0672-415e-86e6-0ae458699b4b)) + (segment (start 56.55 91.65) (end 56.75 91.85) (width 0.15) (layer "F.Cu") (net 126) (tstamp 4cef11de-7cd4-4f0d-830f-58154f09f15d)) + (segment (start 55.3994 91.6506) (end 55.3994 90.0012) (width 0.15) (layer "F.Cu") (net 126) (tstamp 51fdc533-1d8c-49d9-9054-6a88baf68805)) + (segment (start 54.483 96.458) (end 54.483 95.0595) (width 0.15) (layer "F.Cu") (net 126) (tstamp 5b7710df-c57b-4fd0-bea2-c09dbb7a2129)) + (segment (start 56.5494 91.6506) (end 56.55 91.65) (width 0.15) (layer "F.Cu") (net 126) (tstamp 6e7f01fe-2e1b-40f2-8fbd-ff11161c7a2e)) + (segment (start 54.483 95.0595) (end 54.6919 94.8506) (width 0.15) (layer "F.Cu") (net 126) (tstamp 9137a5db-d222-44de-ab11-8c9cae065808)) + (segment (start 56.75 94) (end 55.8994 94.8506) (width 0.15) (layer "F.Cu") (net 126) (tstamp a7e42594-ccc5-4b14-a555-93233caf1128)) + (segment (start 55.0765 99.8) (end 49.675 99.8) (width 0.15) (layer "F.Cu") (net 131) (tstamp 18abb7e2-57e8-4c45-a770-1003b6b59b4c)) + (segment (start 57.023 96.458) (end 57.023 97.8535) (width 0.15) (layer "F.Cu") (net 131) (tstamp 6b1e5b90-ce10-4cfe-ace3-f61269770058)) + (segment (start 57.023 97.8535) (end 55.0765 99.8) (width 0.15) (layer "F.Cu") (net 131) (tstamp e86985a0-cbeb-4956-806a-07071eafce59)) + (segment (start 54.932 99.5) (end 54.6735 99.5) (width 0.15) (layer "F.Cu") (net 132) (tstamp 0411c589-006c-4a8a-afe5-4d58b82a293d)) + (segment (start 55.753 96.458) (end 55.753 98.679) (width 0.15) (layer "F.Cu") (net 132) (tstamp 11ac9abf-384b-42d0-9b1e-740bc27128f2)) + (segment (start 53.7735 99.159607) (end 53.7735 99.2) (width 0.15) (layer "F.Cu") (net 132) (tstamp 3f6b4cc0-56d9-4512-ad25-ec808faa7343)) + (segment (start 53.4735 99.5) (end 50.9 99.5) (width 0.15) (layer "F.Cu") (net 132) (tstamp 5f0f6fe7-d9be-4582-9dbe-3153e7a65ae2)) + (segment (start 50.9 99.5) (end 50.55 99.15) (width 0.15) (layer "F.Cu") (net 132) (tstamp 62efda61-9bd0-411f-b7ee-0743dbc18122)) + (segment (start 54.3735 99.2) (end 54.3735 99.159607) (width 0.15) (layer "F.Cu") (net 132) (tstamp 70b6e24f-c682-41c8-864e-618ca1ea38d5)) + (segment (start 55.753 98.679) (end 54.932 99.5) (width 0.15) (layer "F.Cu") (net 132) (tstamp 7d03a030-a74f-4d4a-bfb4-d889232c9505)) + (segment (start 50.55 99.15) (end 49.675 99.15) (width 0.15) (layer "F.Cu") (net 132) (tstamp e21afc11-bc2a-445a-a864-f9c91b14565e)) + (arc (start 54.3735 99.159607) (mid 54.285632 98.947475) (end 54.0735 98.859607) (width 0.15) (layer "F.Cu") (net 132) (tstamp 0c8e4cdc-eae3-472a-8fc1-a52274d90b47)) + (arc (start 53.7735 99.2) (mid 53.685632 99.412132) (end 53.4735 99.5) (width 0.15) (layer "F.Cu") (net 132) (tstamp 4cd7e03c-6ba3-48f8-b694-60d88d14bd39)) + (arc (start 54.0735 98.859607) (mid 53.861368 98.947475) (end 53.7735 99.159607) (width 0.15) (layer "F.Cu") (net 132) (tstamp 5b98945b-baa6-4f91-ad7d-bdf20bc3b017)) + (arc (start 54.6735 99.5) (mid 54.461368 99.412132) (end 54.3735 99.2) (width 0.15) (layer "F.Cu") (net 132) (tstamp c1c2854a-e54b-4ec3-bfae-9a38bb9e4bda)) + (segment (start 74.295 123.5075) (end 71.6915 126.111) (width 0.15) (layer "F.Cu") (net 133) (tstamp 1363a345-ab8d-47c5-93ed-4814a0db4337)) + (segment (start 70.9295 111.8235) (end 74.295 115.189) (width 0.15) (layer "F.Cu") (net 133) (tstamp 22ffde4d-03bc-48ea-8308-e2f4f121235e)) + (segment (start 70.9295 109.2835) (end 70.9295 111.8235) (width 0.15) (layer "F.Cu") (net 133) (tstamp 3c53fb77-46e1-43a9-a4ff-1d3fe3cfa295)) + (segment (start 80.95 107.7) (end 80.1 107.7) (width 0.15) (layer "F.Cu") (net 133) (tstamp 441e91a8-fca1-4d5b-b58e-0d7056b9179a)) + (segment (start 69.723 126.111) (end 69.0245 125.4125) (width 0.15) (layer "F.Cu") (net 133) (tstamp 4547aeb1-6333-4326-ab44-b37c69cd798e)) + (segment (start 74.295 115.189) (end 74.295 123.5075) (width 0.15) (layer "F.Cu") (net 133) (tstamp 70736846-7955-4b6d-aa1c-80be953205a1)) + (segment (start 71.6915 126.111) (end 69.723 126.111) (width 0.15) (layer "F.Cu") (net 133) (tstamp a511b1d6-4404-4772-8083-7011e3132bae)) + (segment (start 71.1835 109.0295) (end 70.9295 109.2835) (width 0.15) (layer "F.Cu") (net 133) (tstamp ad2c4f4b-c1a1-4f8a-a24f-bee5fffda814)) + (segment (start 69.0245 125.4125) (end 69.0245 124.079) (width 0.15) (layer "F.Cu") (net 133) (tstamp cb60aa7d-9fbc-4bfe-ad93-c0b8a186f041)) + (segment (start 80.1 107.7) (end 80.05 107.65) (width 0.15) (layer "F.Cu") (net 133) (tstamp f0261fb4-9148-4fda-94bd-8dca131a6c46)) + (segment (start 69.0245 124.079) (end 69.6595 123.444) (width 0.15) (layer "F.Cu") (net 133) (tstamp fd7109b0-d926-4461-a00c-c2af41d6aa9b)) + (via (at 80.05 107.65) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 133) (tstamp 7a54130e-cdc8-4db4-9067-002134c72094)) + (via (at 71.1835 109.0295) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 133) (tstamp 87c2ebd7-674b-4904-b9bc-412b42068dfc)) + (segment (start 71.1835 109.0295) (end 79.7205 109.0295) (width 0.15) (layer "B.Cu") (net 133) (tstamp 2a90a7ce-550d-41dd-8bfd-f89d6a6d387c)) + (segment (start 80.05 108.7) (end 80.05 107.65) (width 0.15) (layer "B.Cu") (net 133) (tstamp 2a927021-cdea-4d26-b0c3-5670bb3715f0)) + (segment (start 79.7205 109.0295) (end 80.05 108.7) (width 0.15) (layer "B.Cu") (net 133) (tstamp b887dc30-4dfb-4d28-971d-e0174e37331c)) + (segment (start 108.85 98) (end 108.85 96.25) (width 0.15) (layer "F.Cu") (net 136) (tstamp 3d9a0da3-d581-4d20-8cd1-27ba95e4a2c6)) + (segment (start 107.35 96) (end 108.85 96) (width 0.15) (layer "F.Cu") (net 136) (tstamp 8b1edc17-dba5-4978-b7a7-1343e461c244)) + (segment (start 128.95 120.4) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 137) (tstamp 065a5332-9ab4-45d3-9607-6342893015cb)) + (segment (start 129.35 120.4) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 137) (tstamp 09ad776c-dba8-4775-8e13-1e4e317e149a)) + (segment (start 85.25 101.5) (end 85.25 101.4) (width 0.5) (layer "F.Cu") (net 137) (tstamp 0a390b58-7286-41a3-97d3-eeb2f43b5f1a)) + (segment (start 84.35 101.65) (end 85.1 101.65) (width 0.5) (layer "F.Cu") (net 137) (tstamp 21ced199-2e78-4691-b74c-307af787e2d6)) + (segment (start 128.85 120.5) (end 129.15 120.2) (width 0.8) (layer "F.Cu") (net 137) (tstamp 22530b9e-411d-4e05-8975-cd03e9881192)) + (segment (start 135.2 120.4) (end 134 120.4) (width 0.6) (layer "F.Cu") (net 137) (tstamp 2fe8ad4f-e4ce-45ab-8615-6057e9ff290a)) + (segment (start 130.35 120.4) (end 130.35 121.35) (width 0.5) (layer "F.Cu") (net 137) (tstamp 3e0e23bd-6f89-48ba-93ba-fad1aa6ec2c0)) + (segment (start 130.35 120.4) (end 129.35 120.4) (width 0.8) (layer "F.Cu") (net 137) (tstamp 4213cad6-d6c2-4c2b-b653-b913b16c186e)) + (segment (start 127.85 120.5) (end 126.6 120.5) (width 0.8) (layer "F.Cu") (net 137) (tstamp 4b3131b0-4022-4baf-885c-f427a8956141)) + (segment (start 132.75 120.4) (end 130.35 120.4) (width 0.8) (layer "F.Cu") (net 137) (tstamp 50291fa1-9735-4250-aa82-6e2e1f8aa386)) + (segment (start 86.3875 101.4) (end 87.5 101.4) (width 0.3) (layer "F.Cu") (net 137) (tstamp 609d4e27-fdfd-4356-972a-4e39ccdea975)) + (segment (start 127.85 120.5) (end 128.85 120.5) (width 0.8) (layer "F.Cu") (net 137) (tstamp 66a7dfca-688a-4ec5-bdbf-4a2dfcc43f5e)) + (segment (start 101.7125 101.4) (end 100.6 101.4) (width 0.3) (layer "F.Cu") (net 137) (tstamp 69efe734-6c98-4949-9f89-3af3da6b4d01)) + (segment (start 130.35 120.4) (end 131.35 120.4) (width 0.8) (layer "F.Cu") (net 137) (tstamp 87190d19-8cca-4e49-b826-f0fa4ea9a18a)) + (segment (start 132.75 120.4) (end 132.75 121.35) (width 0.5) (layer "F.Cu") (net 137) (tstamp 9c1debb3-4511-4331-a1bc-d86331ea92a9)) + (segment (start 131.75 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 137) (tstamp a5e65b60-d5f2-4ce8-a92e-e6e4eda4db1a)) + (segment (start 100.6 101.4) (end 100.55 101.45) (width 0.3) (layer "F.Cu") (net 137) (tstamp a87ca15a-d4b3-4e6f-aec0-3d3ccff45e24)) + (segment (start 131.35 120.4) (end 131.55 120.2) (width 0.8) (layer "F.Cu") (net 137) (tstamp a9c74493-ff99-4da1-96c5-f8184c72734d)) + (segment (start 101.7125 101.4) (end 102.85 101.4) (width 0.3) (layer "F.Cu") (net 137) (tstamp add632a4-121c-4b01-ad28-37626e2a7a2c)) + (segment (start 85.1 101.65) (end 85.25 101.5) (width 0.5) (layer "F.Cu") (net 137) (tstamp b3f08f81-9413-4aa5-874e-3db670f224c8)) + (segment (start 130.35 120.4) (end 128.95 120.4) (width 0.8) (layer "F.Cu") (net 137) (tstamp b5643723-4552-4a8a-a14a-34405d04d985)) + (segment (start 132.75 120.4) (end 134 120.4) (width 0.8) (layer "F.Cu") (net 137) (tstamp b7476033-ccf1-407a-96a7-7c18b93e3f63)) + (segment (start 103.75 101.65) (end 103 101.65) (width 0.6) (layer "F.Cu") (net 137) (tstamp b9a7ee57-4897-4612-93f6-5fc8edcde6f2)) + (segment (start 86.3875 101.4) (end 85.25 101.4) (width 0.3) (layer "F.Cu") (net 137) (tstamp c912d89f-4d13-4e22-9068-812f12699028)) + (segment (start 103 101.65) (end 102.85 101.5) (width 0.6) (layer "F.Cu") (net 137) (tstamp ddb0bd3c-924f-4a7d-8a78-fedb5adf3f48)) + (segment (start 132.75 120.4) (end 131.75 120.4) (width 0.8) (layer "F.Cu") (net 137) (tstamp de8d65c8-c9b2-47f9-8e5a-ba540c18dc2d)) + (segment (start 102.85 101.5) (end 102.85 101.4) (width 0.6) (layer "F.Cu") (net 137) (tstamp ea27ecbb-4aaa-4d28-9e20-68c9dd78f778)) + (segment (start 135.2 120.4) (end 135.2 121.15) (width 0.6) (layer "F.Cu") (net 137) (tstamp f1a52cee-5211-4c36-95c1-4bf3f95b3f60)) + (via (at 134 120.4) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp 0d3dfde0-51be-4352-90e8-28ce29825dd3)) + (via (at 135.2 121.15) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 388076a4-c85e-4d08-9b55-e30d21548bf1)) + (via (at 132.75 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 3c00f62d-63fb-4bde-a3bf-d6c0b5b38be9)) + (via (at 131.55 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp 589fd65e-0984-41c6-ba9f-36c0822c77e0)) + (via (at 102.85 101.4) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 63e99d11-ce81-429a-94d1-6397d7a553d7)) + (via (at 126.6 120.5) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp 67049f18-9d45-4eb9-bea4-272516adca85)) + (via (at 100.55 101.45) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 7fccad8f-145b-48b1-a492-02315599dca0)) + (via (at 87.5 101.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 81fbc4a8-66c0-4e8f-9c6a-ddc335958f9d)) + (via (at 85.25 101.4) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp 8509cfb0-768a-4c31-8e24-286a94f61ecc)) + (via (at 130.35 121.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 137) (tstamp a42482f2-e065-4059-8562-c08464375354)) + (via (at 96.05 102.3) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp e1fb3a93-1cab-4e68-8b7c-43ec2c3a266c)) + (via (at 129.15 120.2) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp e321c7dd-4f0a-4e7f-bd55-4a483b2d646d)) + (via (at 99.1 106.45) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 137) (tstamp e8fb59e7-e012-4eb8-815b-7ba918ff51a4)) + (segment (start 135.2 121.15) (end 134.9905 120.9405) (width 0.8) (layer "B.Cu") (net 137) (tstamp 0162c65f-85a6-4ebf-a689-2362eaf42a8d)) + (segment (start 126.65 121.35) (end 126.25 120.95) (width 0.8) (layer "B.Cu") (net 137) (tstamp 08aba319-41cc-4ea4-b432-f70186984eec)) + (segment (start 99.1 106.45) (end 110.15 117.5) (width 0.8) (layer "B.Cu") (net 137) (tstamp 159af922-2c0b-4f9d-8d5a-3f9bc39fb435)) + (segment (start 110.15 117.5) (end 122.8 117.5) (width 0.8) (layer "B.Cu") (net 137) (tstamp 1859d560-e936-4d5c-9dd9-7bb411a1ee2f)) + (segment (start 85.25 101.4) (end 87.5 101.4) (width 0.8) (layer "B.Cu") (net 137) (tstamp 25d5e24a-b2a7-4662-ab9e-4cb6854afc16)) + (segment (start 130.35 121.35) (end 126.65 121.35) (width 0.8) (layer "B.Cu") (net 137) (tstamp 342d7cb9-9476-41c9-87b3-c2bcaa44458c)) + (segment (start 135 120.95) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 137) (tstamp 3c0ce421-7303-4fe3-9f42-c94eee656064)) + (segment (start 100.55 101.45) (end 101.2 101.45) (width 0.6) (layer "B.Cu") (net 137) (tstamp 3fa5a42f-6bb7-4d38-a816-b852fabf0b75)) + (segment (start 135.2 121.15) (end 134.25 120.2) (width 0.8) (layer "B.Cu") (net 137) (tstamp 48f0ef2c-1167-4533-94f7-4d0386da6a90)) + (segment (start 126.25 120.95) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 137) (tstamp 4b2d10de-724d-4dc3-926e-bb2e1415913c)) + (segment (start 125.8 120.5) (end 126.6 120.5) (width 0.8) (layer "B.Cu") (net 137) (tstamp 4e88522f-3e79-4bb6-95d7-0dbcda19405f)) + (segment (start 135.2 121.15) (end 135 121.35) (width 0.8) (layer "B.Cu") (net 137) (tstamp 67715162-6d3b-4ab5-ad9d-4fe826568fcb)) + (segment (start 126.25 120.95) (end 135 120.95) (width 0.8) (layer "B.Cu") (net 137) (tstamp 680ca7fe-699a-458a-af9b-0e4b8682bb5a)) + (segment (start 125.5 120.2) (end 125.8 120.5) (width 0.8) (layer "B.Cu") (net 137) (tstamp 88661f61-2612-47b8-ae1e-5e80d5be7464)) + (segment (start 126.6 120.5) (end 134.55 120.5) (width 0.8) (layer "B.Cu") (net 137) (tstamp 9fbbc70b-ecf7-47bb-ad81-95e42b2f3f21)) + (segment (start 122.8 117.5) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 137) (tstamp a59555fc-0d34-43c7-84bb-4379146c4ecd)) + (segment (start 134.55 120.5) (end 135.2 121.15) (width 0.8) (layer "B.Cu") (net 137) (tstamp a6c86459-2a27-4fd3-bccd-0d5e8ba8cc1b)) + (segment (start 96.05 103.4) (end 99.1 106.45) (width 0.8) (layer "B.Cu") (net 137) (tstamp ac7eb2da-4350-497e-b8fc-747a4d1e4943)) + (segment (start 87.5 101.4) (end 95.15 101.4) (width 0.8) (layer "B.Cu") (net 137) (tstamp b13e6974-2c98-4023-b54e-80d414d3c773)) + (segment (start 96.05 103.4) (end 94.05 101.4) (width 0.8) (layer "B.Cu") (net 137) (tstamp bce70649-7636-4810-91f9-d020769f79ff)) + (segment (start 95.15 101.4) (end 96.05 102.3) (width 0.8) (layer "B.Cu") (net 137) (tstamp be99634d-63e9-4e22-8bf1-31f2c0a93564)) + (segment (start 134.25 120.2) (end 129.15 120.2) (width 0.8) (layer "B.Cu") (net 137) (tstamp c3dcd8ce-a9e8-42ba-afee-61d8bc9fa555)) + (segment (start 129.15 120.2) (end 125.5 120.2) (width 0.8) (layer "B.Cu") (net 137) (tstamp c4f8c29d-bd5f-4523-868b-01085bc7b1a5)) + (segment (start 101.25 101.4) (end 102.85 101.4) (width 0.6) (layer "B.Cu") (net 137) (tstamp c9e304ab-6c12-4786-a966-9fda8e4ea2b9)) + (segment (start 135 121.35) (end 130.35 121.35) (width 0.8) (layer "B.Cu") (net 137) (tstamp e3641db2-9dfe-44e6-8b92-d3cc918b633e)) + (segment (start 96.05 102.3) (end 96.05 103.4) (width 0.8) (layer "B.Cu") (net 137) (tstamp e70cb4b1-1381-4a74-b5a9-d411a8c168d7)) + (segment (start 101.2 101.45) (end 101.25 101.4) (width 0.6) (layer "B.Cu") (net 137) (tstamp ec8c020a-2788-4078-b41f-dfd81adf0931)) + (segment (start 135.128 92.075) (end 135.128 90.932) (width 0.5) (layer "F.Cu") (net 138) (tstamp 79992bfd-a731-4969-8762-f3f7b25aa79e)) + (segment (start 90.55 94.65) (end 90.05 95.15) (width 0.15) (layer "F.Cu") (net 138) (tstamp e4b3a34c-828a-4de5-a5d2-a65713a460a7)) + (segment (start 90.55 93.7375) (end 90.55 94.65) (width 0.15) (layer "F.Cu") (net 138) (tstamp f25e5a18-c38b-4522-9e94-8aa7ce7e60a0)) + (segment (start 90.05 95.15) (end 90.05 95.35) (width 0.15) (layer "F.Cu") (net 138) (tstamp f59968e7-e5dc-4012-a66a-27fcf2b0bca3)) + (via (at 90.05 95.35) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 138) (tstamp 44b90abf-4e45-44af-a418-48cb92723b66)) + (via (at 135.128 90.932) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 138) (tstamp 5d14fb21-2c44-4d85-ba39-d06590b2a57f)) + (segment (start 92.55 93.9) (end 90.7 93.9) (width 0.15) (layer "B.Cu") (net 138) (tstamp 383ae9b0-2a8e-4b9e-b681-4a847b7a0dbc)) + (segment (start 90.05 94.55) (end 90.05 95.35) (width 0.15) (layer "B.Cu") (net 138) (tstamp 536c0438-32c4-4e3e-8f05-8fe1244d3bd2)) + (segment (start 98.7 87.75) (end 92.55 93.9) (width 0.15) (layer "B.Cu") (net 138) (tstamp 59680807-f393-4219-bb86-6c97674fbbf0)) + (segment (start 135.128 90.678) (end 132.2 87.75) (width 0.15) (layer "B.Cu") (net 138) (tstamp 6c45e005-7432-4a69-90a2-16c751cd572c)) + (segment (start 132.2 87.75) (end 98.7 87.75) (width 0.15) (layer "B.Cu") (net 138) (tstamp d2c00723-56df-405c-9c74-fe4a5ad322ae)) + (segment (start 135.128 90.932) (end 135.128 90.678) (width 0.15) (layer "B.Cu") (net 138) (tstamp d66f9d57-402c-44aa-ab1e-9f9181e7ee94)) + (segment (start 90.7 93.9) (end 90.05 94.55) (width 0.15) (layer "B.Cu") (net 138) (tstamp e026065a-7ab8-4a53-8fb7-aacc2d6ec162)) + (segment (start 136.398 92.075) (end 136.398 90.932) (width 0.5) (layer "F.Cu") (net 139) (tstamp 4b5d9a3e-e0c1-419a-ae7b-535e0b38518e)) + (segment (start 90.05 94.65) (end 89.1 95.6) (width 0.15) (layer "F.Cu") (net 139) (tstamp 555153e4-4e5b-47ad-b191-1264c35b448c)) + (segment (start 89.1 95.6) (end 89 95.6) (width 0.15) (layer "F.Cu") (net 139) (tstamp 6b2267b3-9f49-4987-9917-1e6ff495b553)) + (segment (start 90.05 93.7375) (end 90.05 94.65) (width 0.15) (layer "F.Cu") (net 139) (tstamp b0fffa17-0d8c-4b5a-9bb0-456f8a7af51a)) + (via (at 136.398 90.932) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 139) (tstamp 59a1e2e7-9bb0-43d5-b8bb-033a676bf201)) + (via (at 89 95.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 139) (tstamp f8252838-40c6-4199-af13-f278a119ff83)) + (segment (start 89 95.6) (end 89.75 94.85) (width 0.15) (layer "B.Cu") (net 139) (tstamp 2202be4b-be20-4f52-92d9-4d18b32ee061)) + (segment (start 92.4 93.6) (end 98.55 87.45) (width 0.15) (layer "B.Cu") (net 139) (tstamp 3f883083-9c9b-443a-9d32-cc34a24e73bb)) + (segment (start 89.75 94.4) (end 90.55 93.6) (width 0.15) (layer "B.Cu") (net 139) (tstamp 6ce01fa8-feb6-42c0-8421-77c2b1af2da3)) + (segment (start 132.35 87.45) (end 135.832 90.932) (width 0.15) (layer "B.Cu") (net 139) (tstamp 8f77ca5f-4551-4f23-86d6-0fbc31b16275)) + (segment (start 98.55 87.45) (end 132.35 87.45) (width 0.15) (layer "B.Cu") (net 139) (tstamp a3490e86-c54a-4012-849d-4f5b59e43bbd)) + (segment (start 90.55 93.6) (end 92.4 93.6) (width 0.15) (layer "B.Cu") (net 139) (tstamp a59fdfe6-87a2-49c1-8572-c45a7bba6351)) + (segment (start 135.832 90.932) (end 136.398 90.932) (width 0.15) (layer "B.Cu") (net 139) (tstamp d10ef8ce-23a8-4649-b8c9-afae42be1baf)) + (segment (start 89.75 94.85) (end 89.75 94.4) (width 0.15) (layer "B.Cu") (net 139) (tstamp f1674f7b-41bf-4744-a07a-0bdf46da18e7)) + (segment (start 60.833 96.458) (end 60.833 94.6275) (width 0.15) (layer "F.Cu") (net 150) (tstamp 9167e59c-dc0f-47c5-83f6-dfed3ce0002e)) + (segment (start 108.2 99.7) (end 108.2 101.65) (width 0.15) (layer "F.Cu") (net 151) (tstamp b1685565-c4a4-4ad2-9757-b65e6990ac63)) + (segment (start 113.7 123.15) (end 114 123.45) (width 0.15) (layer "F.Cu") (net 153) (tstamp 030ad50d-6456-41a0-941e-5eb4889582ad)) + (segment (start 114 123.45) (end 114 124.35) (width 0.15) (layer "F.Cu") (net 153) (tstamp 1905c60b-d0f9-4412-8159-85b37af2df90)) + (segment (start 114 125.8) (end 114 124.35) (width 0.15) (layer "F.Cu") (net 153) (tstamp 3534b3f9-6e70-4115-a4db-cb17e196852b)) + (segment (start 113.7 122.2) (end 113.7 123.15) (width 0.15) (layer "F.Cu") (net 153) (tstamp b6f5e3b7-e73d-44c5-92d4-a821534ddde7)) + (segment (start 68.35 114.65) (end 68.35 113.75) (width 0.15) (layer "F.Cu") (net 154) (tstamp f984fbde-cdac-4f31-bc88-b0736a570c2a)) + (via (at 68.35 113.75) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 154) (tstamp b5bcb3c5-3a0f-4fa0-a357-62f58a2011b3)) + (segment (start 69.9425 118.081) (end 69.9425 115.3425) (width 0.15) (layer "B.Cu") (net 154) (tstamp 25c2b5e2-b1d1-4957-8f86-7eb774e3da3e)) + (segment (start 69.9425 115.3425) (end 68.35 113.75) (width 0.15) (layer "B.Cu") (net 154) (tstamp 6d8918c3-2d0e-4915-9ad6-090e311c30b5)) + (segment (start 69.6595 118.364) (end 69.9425 118.081) (width 0.15) (layer "B.Cu") (net 154) (tstamp 8d48fc62-1c7b-4dd6-ac1e-a62f64fb89a7)) + (segment (start 118.35 122.2) (end 118.35 123.15) (width 0.15) (layer "F.Cu") (net 155) (tstamp 1219ae5b-a21a-4a6a-bc6a-db255335a9e0)) + (segment (start 118.35 123.15) (end 118.65 123.45) (width 0.15) (layer "F.Cu") (net 155) (tstamp a48daae3-78f2-4f53-99c6-1467f05bae2e)) + (segment (start 118.65 123.45) (end 118.65 124.35) (width 0.15) (layer "F.Cu") (net 155) (tstamp b1ffc832-3c66-4285-9895-886e5e1af5f1)) + (segment (start 119.65 120.5) (end 119.65 119.6) (width 0.15) (layer "F.Cu") (net 156) (tstamp 35c450c5-278c-4132-a5d9-47f7883ddeed)) + (segment (start 89.05 109.0625) (end 89.05 107.9) (width 0.15) (layer "F.Cu") (net 156) (tstamp cec1f96f-9ab4-475e-83dd-21ea6a86d29b)) + (via (at 119.65 119.6) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 156) (tstamp 232d646a-8329-413e-beed-1b4c3780134f)) + (via (at 89.05 107.9) (size 0.5) (drill 0.3) (layers "F.Cu" "B.Cu") (net 156) (tstamp 3c68c0b4-cdd7-4908-9250-f59fe2132a8f)) + (segment (start 89.05 107.9) (end 89.05 106.65) (width 0.15) (layer "B.Cu") (net 156) (tstamp 0da5bef0-c18e-45e4-831a-fe22cef2d4ca)) + (segment (start 106.9 116.25) (end 109.3 118.65) (width 0.15) (layer "B.Cu") (net 156) (tstamp 2e2afe06-129d-419e-ad74-c088fc59eeb3)) + (segment (start 92.5 105.5) (end 94.3 107.3) (width 0.15) (layer "B.Cu") (net 156) (tstamp 50d14da1-cbec-4edb-8e4a-6297ad104427)) + (segment (start 109.3 118.65) (end 118.7 118.65) (width 0.15) (layer "B.Cu") (net 156) (tstamp 54a256ee-d041-4be6-8b37-8d4a20d4bc0c)) + (segment (start 90.2 105.5) (end 92.5 105.5) (width 0.15) (layer "B.Cu") (net 156) (tstamp 63bff185-636c-4ffa-8a3c-bf50a895e8aa)) + (segment (start 98.65 116.25) (end 106.9 116.25) (width 0.15) (layer "B.Cu") (net 156) (tstamp 64115101-496f-4645-8215-2a2fb4e476ec)) + (segment (start 94.3 107.3) (end 94.3 111.9) (width 0.15) (layer "B.Cu") (net 156) (tstamp 6a028d70-7376-416e-aebf-be325d7eb82b)) + (segment (start 89.05 106.65) (end 90.2 105.5) (width 0.15) (layer "B.Cu") (net 156) (tstamp 73f552fa-7387-4cbf-ae14-93ec661e8494)) + (segment (start 118.7 118.65) (end 119.65 119.6) (width 0.15) (layer "B.Cu") (net 156) (tstamp 8238d7c9-1776-43e2-8ae6-fcf9af82911b)) + (segment (start 94.3 111.9) (end 98.65 116.25) (width 0.15) (layer "B.Cu") (net 156) (tstamp eb96a927-0fe7-4511-88fd-09f8a0a3b2c7)) + + (zone (net 1) (net_name "+5V") (layer "F.Cu") (tstamp 13df2165-9fa8-49c5-9b5a-5df4221611c0) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.8)) + (polygon + (pts + (xy 139.2 124.75) + (xy 141.35 124.75) + (xy 141.8 125.2) + (xy 141.8 126.3) + (xy 136.9 131.2) + (xy 136.9 123.8) + (xy 138.25 123.8) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 138.26719 123.817593) + (xy 138.272026 123.822026) + (xy 139.2 124.75) + (xy 141.318852 124.75) + (xy 141.36719 124.767593) + (xy 141.372026 124.772026) + (xy 141.777974 125.177974) + (xy 141.799714 125.224594) + (xy 141.8 125.231148) + (xy 141.8 126.268852) + (xy 141.782407 126.31719) + (xy 141.777974 126.322026) + (xy 137.028374 131.071626) + (xy 136.981754 131.093366) + (xy 136.932067 131.080052) + (xy 136.902562 131.037915) + (xy 136.9 131.018452) + (xy 136.9 129.1654) + (xy 136.9 128.67) + (xy 137.56 128.67) + (xy 137.56 129.103092) + (xy 137.66941 129.047345) + (xy 137.762344 128.954412) + (xy 137.822011 128.83731) + (xy 137.837399 128.740156) + (xy 137.8374 128.740149) + (xy 137.8374 128.67) + (xy 137.56 128.67) + (xy 136.9 128.67) + (xy 136.9 127.436906) + (xy 137.56 127.436906) + (xy 137.56 127.87) + (xy 137.8374 127.87) + (xy 137.8374 127.79985) + (xy 137.837399 127.799843) + (xy 137.822011 127.702689) + (xy 137.762343 127.585585) + (xy 137.669413 127.492655) + (xy 137.56 127.436906) + (xy 136.9 127.436906) + (xy 136.9 125.45) + (xy 139.266907 125.45) + (xy 139.322654 125.55941) + (xy 139.415587 125.652344) + (xy 139.53269 125.712011) + (xy 139.532688 125.712011) + (xy 139.629843 125.727399) + (xy 139.62985 125.7274) + (xy 139.7 125.7274) + (xy 139.7 125.45) + (xy 140.5 125.45) + (xy 140.5 125.7274) + (xy 140.57015 125.7274) + (xy 140.570156 125.727399) + (xy 140.66731 125.712011) + (xy 140.784412 125.652344) + (xy 140.877345 125.55941) + (xy 140.933093 125.45) + (xy 140.5 125.45) + (xy 139.7 125.45) + (xy 139.266907 125.45) + (xy 136.9 125.45) + (xy 136.9 124.6) + (xy 137.7 124.6) + (xy 137.7 124.7524) + (xy 137.808444 124.7524) + (xy 137.808454 124.752399) + (xy 137.876942 124.742419) + (xy 137.982603 124.690765) + (xy 138.065767 124.6076) + (xy 138.069483 124.6) + (xy 137.7 124.6) + (xy 136.9 124.6) + (xy 136.9 123.8752) + (xy 136.917593 123.826862) + (xy 136.962142 123.801142) + (xy 136.9752 123.8) + (xy 138.218852 123.8) + ) + ) + ) + (zone (net 0) (net_name "") (layer "F.Cu") (tstamp af00c2e0-6bbf-4d98-99f4-7a8a6ae7854a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.55 100.6) + (xy 86.2 100.6) + (xy 86.2 99.7) + (xy 86.55 99.7) + ) + ) + ) + (zone (net 137) (net_name "+1V8") (layer "F.Cu") (tstamp dd3a9731-4f7f-4c12-9dad-b31d249c6c14) (hatch edge 0.508) + (priority 1) + (connect_pads (clearance 0.15)) + (min_thickness 0.15) (filled_areas_thickness no) + (fill yes (thermal_gap 0.15) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 101.05 95.9) + (xy 101.05 106.9) + (xy 99.55 108.4) + (xy 88.55 108.4) + (xy 87.05 106.9) + (xy 87.05 95.9) + (xy 88.55 94.4) + (xy 99.55 94.4) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 94.841109 94.581744) + (xy 94.887014 94.612417) + (xy 94.952789 94.6255) + (xy 95.14721 94.625499) + (xy 95.212986 94.612417) + (xy 95.212986 94.612416) + (xy 95.212989 94.612416) + (xy 95.219718 94.609629) + (xy 95.220721 94.61205) + (xy 95.258537 94.602787) + (xy 95.303941 94.625163) + (xy 95.32434 94.67149) + (xy 95.3245 94.676349) + (xy 95.3245 94.825942) + (xy 95.307187 94.873508) + (xy 95.302826 94.878268) + (xy 95.296101 94.884993) + (xy 95.293292 94.887658) + (xy 95.263494 94.914489) + (xy 95.263489 94.914495) + (xy 95.25358 94.936749) + (xy 95.248043 94.946946) + (xy 95.234774 94.967379) + (xy 95.233443 94.975783) + (xy 95.227959 94.994296) + (xy 95.224501 95.002063) + (xy 95.2245 95.002069) + (xy 95.2245 95.026425) + (xy 95.223589 95.038001) + (xy 95.219777 95.062063) + (xy 95.221978 95.070274) + (xy 95.2245 95.089428) + (xy 95.2245 95.442138) + (xy 95.224399 95.446011) + (xy 95.2223 95.486063) + (xy 95.231031 95.508814) + (xy 95.234326 95.519937) + (xy 95.239392 95.543766) + (xy 95.239394 95.54377) + (xy 95.244388 95.550643) + (xy 95.253605 95.567618) + (xy 95.256652 95.575555) + (xy 95.256654 95.57556) + (xy 95.273888 95.592794) + (xy 95.281419 95.601612) + (xy 95.29574 95.621323) + (xy 95.303102 95.625573) + (xy 95.318426 95.637332) + (xy 96.779685 97.098591) + (xy 96.801077 97.144467) + (xy 96.800448 97.162492) + (xy 96.794508 97.199999) + (xy 96.814353 97.325301) + (xy 96.814353 97.325302) + (xy 96.814354 97.325304) + (xy 96.87195 97.438342) + (xy 96.961658 97.52805) + (xy 97.074696 97.585646) + (xy 97.2 97.605492) + (xy 97.325304 97.585646) + (xy 97.438342 97.52805) + (xy 97.52805 97.438342) + (xy 97.573063 97.35) + (xy 99.094508 97.35) + (xy 99.114353 97.475301) + (xy 99.114353 97.475302) + (xy 99.114354 97.475304) + (xy 99.17195 97.588342) + (xy 99.261658 97.67805) + (xy 99.374696 97.735646) + (xy 99.5 97.755492) + (xy 99.625304 97.735646) + (xy 99.738342 97.67805) + (xy 99.82805 97.588342) + (xy 99.885646 97.475304) + (xy 99.905492 97.35) + (xy 99.885646 97.224696) + (xy 99.82805 97.111658) + (xy 99.738342 97.02195) + (xy 99.625304 96.964354) + (xy 99.625302 96.964353) + (xy 99.625301 96.964353) + (xy 99.5 96.944508) + (xy 99.374698 96.964353) + (xy 99.261656 97.021951) + (xy 99.171951 97.111656) + (xy 99.114353 97.224698) + (xy 99.094508 97.35) + (xy 97.573063 97.35) + (xy 97.585646 97.325304) + (xy 97.605492 97.2) + (xy 97.585646 97.074696) + (xy 97.52805 96.961658) + (xy 97.438342 96.87195) + (xy 97.325304 96.814354) + (xy 97.325302 96.814353) + (xy 97.325301 96.814353) + (xy 97.2 96.794508) + (xy 97.199999 96.794508) + (xy 97.162491 96.800448) + (xy 97.112802 96.790789) + (xy 97.09859 96.779685) + (xy 96.780593 96.461688) + (xy 96.759201 96.415812) + (xy 96.772302 96.366917) + (xy 96.813766 96.337883) + (xy 96.821346 96.336273) + (xy 96.821979 96.336172) + (xy 96.825304 96.335646) + (xy 96.938342 96.27805) + (xy 97.02805 96.188342) + (xy 97.085646 96.075304) + (xy 97.105492 95.95) + (xy 97.090061 95.852571) + (xy 97.094184 95.831361) + (xy 97.08234 95.818207) + (xy 97.028051 95.711659) + (xy 96.938343 95.621951) + (xy 96.938342 95.62195) + (xy 96.825304 95.564354) + (xy 96.825302 95.564353) + (xy 96.825301 95.564353) + (xy 96.737924 95.550514) + (xy 96.693651 95.525973) + (xy 96.675511 95.478716) + (xy 96.6755 95.477425) + (xy 96.6755 95.430383) + (xy 96.692813 95.382817) + (xy 96.73665 95.357507) + (xy 96.783094 95.364448) + (xy 96.824696 95.385646) + (xy 96.824695 95.385646) + (xy 96.841827 95.388359) + (xy 96.95 95.405492) + (xy 97.075304 95.385646) + (xy 97.116905 95.364448) + (xy 97.167146 95.35828) + (xy 97.209599 95.385848) + (xy 97.2245 95.430383) + (xy 97.2245 95.742138) + (xy 97.224399 95.746011) + (xy 97.222173 95.788485) + (xy 97.218551 95.797017) + (xy 97.227872 95.80512) + (xy 97.235532 95.825607) + (xy 97.239392 95.843765) + (xy 97.239394 95.84377) + (xy 97.244388 95.850643) + (xy 97.253605 95.867618) + (xy 97.256652 95.875555) + (xy 97.256654 95.87556) + (xy 97.273888 95.892794) + (xy 97.281419 95.901612) + (xy 97.29574 95.921323) + (xy 97.303102 95.925573) + (xy 97.318426 95.937332) + (xy 97.679685 96.298591) + (xy 97.701077 96.344467) + (xy 97.700448 96.362492) + (xy 97.694508 96.399999) + (xy 97.714353 96.525301) + (xy 97.714353 96.525302) + (xy 97.714354 96.525304) + (xy 97.77195 96.638342) + (xy 97.861658 96.72805) + (xy 97.974696 96.785646) + (xy 98.1 96.805492) + (xy 98.225304 96.785646) + (xy 98.338342 96.72805) + (xy 98.42805 96.638342) + (xy 98.485646 96.525304) + (xy 98.505492 96.4) + (xy 98.485646 96.274696) + (xy 98.42805 96.161658) + (xy 98.338342 96.07195) + (xy 98.225304 96.014354) + (xy 98.225302 96.014353) + (xy 98.225301 96.014353) + (xy 98.1 95.994508) + (xy 98.099999 95.994508) + (xy 98.062491 96.000448) + (xy 98.012802 95.990789) + (xy 97.99859 95.979685) + (xy 97.697174 95.678269) + (xy 97.675782 95.632393) + (xy 97.6755 95.625943) + (xy 97.6755 95.330383) + (xy 97.692813 95.282817) + (xy 97.73665 95.257507) + (xy 97.783094 95.264448) + (xy 97.824696 95.285646) + (xy 97.824695 95.285646) + (xy 97.841827 95.288359) + (xy 97.95 95.305492) + (xy 98.075304 95.285646) + (xy 98.188342 95.22805) + (xy 98.247674 95.168718) + (xy 98.29355 95.147326) + (xy 98.342445 95.160427) + (xy 98.352326 95.168718) + (xy 98.411658 95.22805) + (xy 98.524696 95.285646) + (xy 98.65 95.305492) + (xy 98.775304 95.285646) + (xy 98.816905 95.264448) + (xy 98.867146 95.25828) + (xy 98.909599 95.285848) + (xy 98.9245 95.330383) + (xy 98.9245 95.928456) + (xy 98.907187 95.976022) + (xy 98.902826 95.980782) + (xy 98.821951 96.061656) + (xy 98.764353 96.174698) + (xy 98.744508 96.3) + (xy 98.764353 96.425301) + (xy 98.764353 96.425302) + (xy 98.764354 96.425304) + (xy 98.82195 96.538342) + (xy 98.911658 96.62805) + (xy 99.024696 96.685646) + (xy 99.15 96.705492) + (xy 99.275304 96.685646) + (xy 99.388342 96.62805) + (xy 99.47805 96.538342) + (xy 99.535646 96.425304) + (xy 99.555492 96.3) + (xy 99.535646 96.174696) + (xy 99.47805 96.061658) + (xy 99.397174 95.980782) + (xy 99.375782 95.934906) + (xy 99.3755 95.928456) + (xy 99.3755 95.330383) + (xy 99.392813 95.282817) + (xy 99.43665 95.257507) + (xy 99.483094 95.264448) + (xy 99.524696 95.285646) + (xy 99.524695 95.285646) + (xy 99.541827 95.288359) + (xy 99.65 95.305492) + (xy 99.775304 95.285646) + (xy 99.888342 95.22805) + (xy 99.97805 95.138342) + (xy 100.035646 95.025304) + (xy 100.035646 95.0253) + (xy 100.036545 95.023537) + (xy 100.073566 94.989015) + (xy 100.124115 94.986365) + (xy 100.154806 95.004806) + (xy 100.52889 95.37889) + (xy 100.550282 95.424766) + (xy 100.537181 95.473661) + (xy 100.495717 95.502695) + (xy 100.488141 95.504305) + (xy 100.424698 95.514353) + (xy 100.311656 95.571951) + (xy 100.221951 95.661656) + (xy 100.164353 95.774698) + (xy 100.144508 95.9) + (xy 100.164353 96.025301) + (xy 100.164353 96.025302) + (xy 100.164354 96.025304) + (xy 100.22195 96.138342) + (xy 100.311658 96.22805) + (xy 100.424696 96.285646) + (xy 100.55 96.305492) + (xy 100.675304 96.285646) + (xy 100.716905 96.264448) + (xy 100.767146 96.25828) + (xy 100.809599 96.285848) + (xy 100.8245 96.330383) + (xy 100.8245 96.469617) + (xy 100.807187 96.517183) + (xy 100.76335 96.542493) + (xy 100.716905 96.535551) + (xy 100.675304 96.514354) + (xy 100.675302 96.514353) + (xy 100.675304 96.514353) + (xy 100.55 96.494508) + (xy 100.424698 96.514353) + (xy 100.311656 96.571951) + (xy 100.221951 96.661656) + (xy 100.164353 96.774698) + (xy 100.144508 96.9) + (xy 100.164353 97.025301) + (xy 100.164353 97.025302) + (xy 100.164354 97.025304) + (xy 100.22195 97.138342) + (xy 100.311658 97.22805) + (xy 100.424696 97.285646) + (xy 100.55 97.305492) + (xy 100.675304 97.285646) + (xy 100.716905 97.264448) + (xy 100.767146 97.25828) + (xy 100.809599 97.285848) + (xy 100.8245 97.330383) + (xy 100.8245 97.469617) + (xy 100.807187 97.517183) + (xy 100.76335 97.542493) + (xy 100.716905 97.535551) + (xy 100.675304 97.514354) + (xy 100.675302 97.514353) + (xy 100.675304 97.514353) + (xy 100.55 97.494508) + (xy 100.424698 97.514353) + (xy 100.311656 97.571951) + (xy 100.221951 97.661656) + (xy 100.164353 97.774698) + (xy 100.144508 97.9) + (xy 100.164353 98.025301) + (xy 100.164353 98.025302) + (xy 100.164354 98.025304) + (xy 100.22195 98.138342) + (xy 100.311658 98.22805) + (xy 100.424696 98.285646) + (xy 100.55 98.305492) + (xy 100.675304 98.285646) + (xy 100.716905 98.264448) + (xy 100.767146 98.25828) + (xy 100.809599 98.285848) + (xy 100.8245 98.330383) + (xy 100.8245 98.469617) + (xy 100.807187 98.517183) + (xy 100.76335 98.542493) + (xy 100.716905 98.535551) + (xy 100.675304 98.514354) + (xy 100.675302 98.514353) + (xy 100.675304 98.514353) + (xy 100.55 98.494508) + (xy 100.424698 98.514353) + (xy 100.311656 98.571951) + (xy 100.221951 98.661656) + (xy 100.164353 98.774698) + (xy 100.144508 98.9) + (xy 100.164353 99.025301) + (xy 100.164353 99.025302) + (xy 100.164354 99.025304) + (xy 100.22195 99.138342) + (xy 100.311658 99.22805) + (xy 100.424696 99.285646) + (xy 100.55 99.305492) + (xy 100.675304 99.285646) + (xy 100.716905 99.264448) + (xy 100.767146 99.25828) + (xy 100.809599 99.285848) + (xy 100.8245 99.330383) + (xy 100.8245 99.497205) + (xy 100.837583 99.562989) + (xy 100.840371 99.569718) + (xy 100.837951 99.57072) + (xy 100.84721 99.608557) + (xy 100.824821 99.653956) + (xy 100.778489 99.674342) + (xy 100.77365 99.6745) + (xy 100.021543 99.6745) + (xy 99.973977 99.657187) + (xy 99.969228 99.652836) + (xy 99.888342 99.57195) + (xy 99.775304 99.514354) + (xy 99.775302 99.514353) + (xy 99.775301 99.514353) + (xy 99.65 99.494508) + (xy 99.524698 99.514353) + (xy 99.411656 99.571951) + (xy 99.321951 99.661656) + (xy 99.264353 99.774698) + (xy 99.244508 99.9) + (xy 99.264353 100.025301) + (xy 99.264353 100.025302) + (xy 99.264354 100.025304) + (xy 99.32195 100.138342) + (xy 99.411658 100.22805) + (xy 99.524696 100.285646) + (xy 99.65 100.305492) + (xy 99.775304 100.285646) + (xy 99.888342 100.22805) + (xy 99.969218 100.147173) + (xy 100.015093 100.125782) + (xy 100.021543 100.1255) + (xy 100.119617 100.1255) + (xy 100.167183 100.142813) + (xy 100.192493 100.18665) + (xy 100.185551 100.233094) + (xy 100.173511 100.256723) + (xy 100.164353 100.274697) + (xy 100.144508 100.4) + (xy 100.164353 100.525301) + (xy 100.164353 100.525302) + (xy 100.164354 100.525304) + (xy 100.22195 100.638342) + (xy 100.311658 100.72805) + (xy 100.424696 100.785646) + (xy 100.55 100.805492) + (xy 100.675304 100.785646) + (xy 100.716905 100.764448) + (xy 100.767146 100.75828) + (xy 100.809599 100.785848) + (xy 100.8245 100.830383) + (xy 100.8245 100.997205) + (xy 100.824501 100.99721) + (xy 100.837583 101.062986) + (xy 100.857984 101.093519) + (xy 100.868554 101.109338) + (xy 100.880585 101.158507) + (xy 100.868555 101.191561) + (xy 100.838053 101.237211) + (xy 100.83551 101.249999) + (xy 100.835511 101.25) + (xy 101.05 101.25) + (xy 101.05 101.55) + (xy 100.83551 101.55) + (xy 100.838053 101.562789) + (xy 100.839914 101.565574) + (xy 100.851943 101.614743) + (xy 100.829552 101.660141) + (xy 100.804898 101.675769) + (xy 100.791193 101.681029) + (xy 100.780066 101.684325) + (xy 100.756234 101.689391) + (xy 100.756232 101.689392) + (xy 100.749347 101.694394) + (xy 100.732381 101.703606) + (xy 100.72444 101.706654) + (xy 100.707211 101.723883) + (xy 100.698389 101.731417) + (xy 100.694703 101.734096) + (xy 100.678676 101.74574) + (xy 100.674826 101.750017) + (xy 100.630131 101.77378) + (xy 100.619834 101.7745) + (xy 99.180893 101.7745) + (xy 99.133327 101.757187) + (xy 99.114959 101.734096) + (xy 99.07805 101.661658) + (xy 98.988342 101.57195) + (xy 98.875304 101.514354) + (xy 98.875302 101.514353) + (xy 98.875301 101.514353) + (xy 98.75 101.494508) + (xy 98.624698 101.514353) + (xy 98.511656 101.571951) + (xy 98.421951 101.661656) + (xy 98.364353 101.774698) + (xy 98.344508 101.9) + (xy 98.364353 102.025301) + (xy 98.364353 102.025302) + (xy 98.364354 102.025304) + (xy 98.42195 102.138342) + (xy 98.511658 102.22805) + (xy 98.624696 102.285646) + (xy 98.75 102.305492) + (xy 98.875304 102.285646) + (xy 98.959368 102.242813) + (xy 98.977517 102.233566) + (xy 99.011112 102.2255) + (xy 99.119617 102.2255) + (xy 99.167183 102.242813) + (xy 99.192493 102.28665) + (xy 99.185551 102.333094) + (xy 99.173511 102.356723) + (xy 99.164353 102.374697) + (xy 99.144508 102.5) + (xy 99.164353 102.625301) + (xy 99.164353 102.625302) + (xy 99.164354 102.625304) + (xy 99.22195 102.738342) + (xy 99.311658 102.82805) + (xy 99.424696 102.885646) + (xy 99.55 102.905492) + (xy 99.675304 102.885646) + (xy 99.788342 102.82805) + (xy 99.87805 102.738342) + (xy 99.935646 102.625304) + (xy 99.941566 102.587924) + (xy 99.966106 102.543652) + (xy 100.013363 102.525511) + (xy 100.014655 102.5255) + (xy 100.119617 102.5255) + (xy 100.167183 102.542813) + (xy 100.192493 102.58665) + (xy 100.185551 102.633094) + (xy 100.18199 102.640084) + (xy 100.164353 102.674697) + (xy 100.144508 102.8) + (xy 100.164353 102.925301) + (xy 100.164353 102.925302) + (xy 100.164354 102.925304) + (xy 100.22195 103.038342) + (xy 100.221951 103.038343) + (xy 100.281282 103.097674) + (xy 100.302674 103.14355) + (xy 100.289573 103.192445) + (xy 100.281282 103.202326) + (xy 100.221951 103.261656) + (xy 100.164353 103.374698) + (xy 100.144508 103.5) + (xy 100.164353 103.625302) + (xy 100.164353 103.625303) + (xy 100.164354 103.625304) + (xy 100.185551 103.666905) + (xy 100.19172 103.717146) + (xy 100.164152 103.759599) + (xy 100.119617 103.7745) + (xy 99.971543 103.7745) + (xy 99.923977 103.757187) + (xy 99.919228 103.752836) + (xy 99.838342 103.67195) + (xy 99.725304 103.614354) + (xy 99.725302 103.614353) + (xy 99.725301 103.614353) + (xy 99.6 103.594508) + (xy 99.474698 103.614353) + (xy 99.361656 103.671951) + (xy 99.271951 103.761656) + (xy 99.214353 103.874698) + (xy 99.194508 104) + (xy 99.214353 104.125301) + (xy 99.214353 104.125302) + (xy 99.214354 104.125304) + (xy 99.27195 104.238342) + (xy 99.361658 104.32805) + (xy 99.474696 104.385646) + (xy 99.6 104.405492) + (xy 99.725304 104.385646) + (xy 99.838342 104.32805) + (xy 99.919218 104.247173) + (xy 99.965093 104.225782) + (xy 99.971543 104.2255) + (xy 100.069617 104.2255) + (xy 100.117183 104.242813) + (xy 100.142493 104.28665) + (xy 100.135551 104.333094) + (xy 100.132877 104.338343) + (xy 100.114353 104.374697) + (xy 100.094508 104.5) + (xy 100.114353 104.625301) + (xy 100.114353 104.625302) + (xy 100.114354 104.625304) + (xy 100.17195 104.738342) + (xy 100.261658 104.82805) + (xy 100.36394 104.880165) + (xy 100.39846 104.917184) + (xy 100.40111 104.967733) + (xy 100.382669 104.998424) + (xy 100.196099 105.184994) + (xy 100.193291 105.187659) + (xy 100.16349 105.214493) + (xy 100.153581 105.236748) + (xy 100.148043 105.246948) + (xy 100.134774 105.267381) + (xy 100.133443 105.275783) + (xy 100.127959 105.294296) + (xy 100.124501 105.302063) + (xy 100.1245 105.302069) + (xy 100.1245 105.326425) + (xy 100.123589 105.338001) + (xy 100.119777 105.362063) + (xy 100.121978 105.370274) + (xy 100.1245 105.389428) + (xy 100.1245 107.6505) + (xy 100.107187 107.698066) + (xy 100.06335 107.723376) + (xy 100.0505 107.7245) + (xy 98.807842 107.7245) + (xy 98.803969 107.724399) + (xy 98.763933 107.7223) + (xy 98.741193 107.731029) + (xy 98.730066 107.734325) + (xy 98.706233 107.739391) + (xy 98.706232 107.739392) + (xy 98.699347 107.744394) + (xy 98.682381 107.753606) + (xy 98.67444 107.756654) + (xy 98.657211 107.773883) + (xy 98.648382 107.781423) + (xy 98.6387 107.788457) + (xy 98.590041 107.802409) + (xy 98.543799 107.781819) + (xy 98.529271 107.762184) + (xy 98.478051 107.661659) + (xy 98.388343 107.571951) + (xy 98.388342 107.57195) + (xy 98.275304 107.514354) + (xy 98.275302 107.514353) + (xy 98.275301 107.514353) + (xy 98.15 107.494508) + (xy 98.024698 107.514353) + (xy 97.911656 107.571951) + (xy 97.852326 107.631282) + (xy 97.80645 107.652674) + (xy 97.757555 107.639573) + (xy 97.747674 107.631282) + (xy 97.68834 107.571948) + (xy 97.58606 107.519834) + (xy 97.551538 107.482814) + (xy 97.548888 107.432264) + (xy 97.567327 107.401576) + (xy 97.612263 107.35664) + (xy 97.658138 107.335249) + (xy 97.676159 107.335877) + (xy 97.8 107.355492) + (xy 97.925304 107.335646) + (xy 98.038342 107.27805) + (xy 98.12805 107.188342) + (xy 98.185646 107.075304) + (xy 98.205492 106.95) + (xy 98.185646 106.824696) + (xy 98.12805 106.711658) + (xy 98.038342 106.62195) + (xy 97.925304 106.564354) + (xy 97.925302 106.564353) + (xy 97.925301 106.564353) + (xy 97.8 106.544508) + (xy 97.674698 106.564353) + (xy 97.561656 106.621951) + (xy 97.471951 106.711656) + (xy 97.414353 106.824698) + (xy 97.400793 106.910314) + (xy 97.38003 106.951063) + (xy 96.7961 107.534993) + (xy 96.793292 107.537658) + (xy 96.763492 107.564491) + (xy 96.763489 107.564495) + (xy 96.75358 107.586749) + (xy 96.748043 107.596946) + (xy 96.734774 107.617379) + (xy 96.733443 107.625783) + (xy 96.727959 107.644296) + (xy 96.724501 107.652063) + (xy 96.7245 107.652069) + (xy 96.7245 107.676425) + (xy 96.723589 107.688001) + (xy 96.719777 107.712063) + (xy 96.721978 107.720274) + (xy 96.7245 107.739428) + (xy 96.7245 108.092138) + (xy 96.724398 108.096018) + (xy 96.723959 108.10438) + (xy 96.704177 108.150973) + (xy 96.659073 108.173949) + (xy 96.650061 108.1745) + (xy 96.452794 108.1745) + (xy 96.387012 108.187583) + (xy 96.341111 108.218253) + (xy 96.291943 108.230284) + (xy 96.258889 108.218253) + (xy 96.212987 108.187583) + (xy 96.176697 108.180365) + (xy 96.147211 108.1745) + (xy 96.14721 108.1745) + (xy 95.952794 108.1745) + (xy 95.887012 108.187583) + (xy 95.841111 108.218253) + (xy 95.791943 108.230284) + (xy 95.758889 108.218253) + (xy 95.712987 108.187583) + (xy 95.676697 108.180365) + (xy 95.647211 108.1745) + (xy 95.64721 108.1745) + (xy 95.452794 108.1745) + (xy 95.387012 108.187583) + (xy 95.341111 108.218253) + (xy 95.291943 108.230284) + (xy 95.258889 108.218253) + (xy 95.212987 108.187583) + (xy 95.176697 108.180365) + (xy 95.147211 108.1745) + (xy 95.14721 108.1745) + (xy 94.952794 108.1745) + (xy 94.887012 108.187583) + (xy 94.841111 108.218253) + (xy 94.791943 108.230284) + (xy 94.758889 108.218253) + (xy 94.712987 108.187583) + (xy 94.676697 108.180365) + (xy 94.647211 108.1745) + (xy 94.64721 108.1745) + (xy 94.452794 108.1745) + (xy 94.387012 108.187583) + (xy 94.341111 108.218253) + (xy 94.291943 108.230284) + (xy 94.258889 108.218253) + (xy 94.212987 108.187583) + (xy 94.176697 108.180365) + (xy 94.147211 108.1745) + (xy 94.14721 108.1745) + (xy 93.952794 108.1745) + (xy 93.887012 108.187583) + (xy 93.841111 108.218253) + (xy 93.791943 108.230284) + (xy 93.758889 108.218253) + (xy 93.712987 108.187583) + (xy 93.676697 108.180365) + (xy 93.647211 108.1745) + (xy 93.64721 108.1745) + (xy 93.452794 108.1745) + (xy 93.387012 108.187583) + (xy 93.341111 108.218253) + (xy 93.291943 108.230284) + (xy 93.258889 108.218253) + (xy 93.212987 108.187583) + (xy 93.176697 108.180365) + (xy 93.147211 108.1745) + (xy 93.14721 108.1745) + (xy 92.952794 108.1745) + (xy 92.887012 108.187583) + (xy 92.841111 108.218253) + (xy 92.791943 108.230284) + (xy 92.758889 108.218253) + (xy 92.712987 108.187583) + (xy 92.676697 108.180365) + (xy 92.647211 108.1745) + (xy 92.64721 108.1745) + (xy 92.452794 108.1745) + (xy 92.387012 108.187583) + (xy 92.341111 108.218253) + (xy 92.291943 108.230284) + (xy 92.258889 108.218253) + (xy 92.212987 108.187583) + (xy 92.147211 108.1745) + (xy 91.953154 108.1745) + (xy 91.905588 108.157187) + (xy 91.880278 108.11335) + (xy 91.880066 108.088917) + (xy 91.880222 108.087935) + (xy 91.878021 108.07972) + (xy 91.8755 108.060568) + (xy 91.8755 107.657848) + (xy 91.875601 107.653976) + (xy 91.876108 107.644296) + (xy 91.877699 107.613936) + (xy 91.868969 107.591195) + (xy 91.865671 107.580059) + (xy 91.863948 107.571951) + (xy 91.860607 107.556232) + (xy 91.85561 107.549354) + (xy 91.846391 107.532375) + (xy 91.843345 107.52444) + (xy 91.826118 107.507213) + (xy 91.818576 107.498382) + (xy 91.818184 107.497843) + (xy 91.80426 107.478677) + (xy 91.804258 107.478674) + (xy 91.799983 107.474825) + (xy 91.77622 107.430131) + (xy 91.7755 107.419834) + (xy 91.7755 107.271543) + (xy 91.792813 107.223977) + (xy 91.797163 107.219228) + (xy 91.87805 107.138342) + (xy 91.935646 107.025304) + (xy 91.955492 106.9) + (xy 91.935646 106.774696) + (xy 91.87805 106.661658) + (xy 91.788342 106.57195) + (xy 91.675304 106.514354) + (xy 91.675302 106.514353) + (xy 91.675301 106.514353) + (xy 91.55 106.494508) + (xy 91.424698 106.514353) + (xy 91.311656 106.571951) + (xy 91.221951 106.661656) + (xy 91.164353 106.774698) + (xy 91.144508 106.9) + (xy 91.164353 107.025301) + (xy 91.164353 107.025302) + (xy 91.164354 107.025304) + (xy 91.22195 107.138342) + (xy 91.302826 107.219218) + (xy 91.324218 107.265093) + (xy 91.3245 107.271543) + (xy 91.3245 107.435503) + (xy 91.307187 107.483069) + (xy 91.26335 107.508379) + (xy 91.238924 107.508592) + (xy 91.15 107.494508) + (xy 91.024698 107.514353) + (xy 90.911656 107.571951) + (xy 90.852326 107.631282) + (xy 90.80645 107.652674) + (xy 90.757555 107.639573) + (xy 90.747674 107.631282) + (xy 90.688343 107.571951) + (xy 90.688342 107.57195) + (xy 90.575304 107.514354) + (xy 90.575302 107.514353) + (xy 90.575301 107.514353) + (xy 90.45 107.494508) + (xy 90.361076 107.508592) + (xy 90.311387 107.498933) + (xy 90.279532 107.459595) + (xy 90.2755 107.435503) + (xy 90.2755 107.271543) + (xy 90.292813 107.223977) + (xy 90.297163 107.219228) + (xy 90.37805 107.138342) + (xy 90.435646 107.025304) + (xy 90.455492 106.9) + (xy 90.435646 106.774696) + (xy 90.37805 106.661658) + (xy 90.288342 106.57195) + (xy 90.175304 106.514354) + (xy 90.175302 106.514353) + (xy 90.175301 106.514353) + (xy 90.05 106.494508) + (xy 89.924698 106.514353) + (xy 89.811656 106.571951) + (xy 89.721951 106.661656) + (xy 89.664353 106.774698) + (xy 89.644508 106.9) + (xy 89.664353 107.025301) + (xy 89.664353 107.025302) + (xy 89.664354 107.025304) + (xy 89.72195 107.138342) + (xy 89.802826 107.219218) + (xy 89.824218 107.265093) + (xy 89.8245 107.271543) + (xy 89.8245 107.425942) + (xy 89.807187 107.473508) + (xy 89.802826 107.478268) + (xy 89.796101 107.484993) + (xy 89.793292 107.487658) + (xy 89.763494 107.514489) + (xy 89.763489 107.514495) + (xy 89.75358 107.536749) + (xy 89.748043 107.546946) + (xy 89.734774 107.567379) + (xy 89.733443 107.575783) + (xy 89.727959 107.594296) + (xy 89.724501 107.602063) + (xy 89.7245 107.602069) + (xy 89.7245 107.626425) + (xy 89.723589 107.638001) + (xy 89.719777 107.662063) + (xy 89.721978 107.670274) + (xy 89.7245 107.689428) + (xy 89.7245 108.092138) + (xy 89.724398 108.096018) + (xy 89.723959 108.10438) + (xy 89.704177 108.150973) + (xy 89.659073 108.173949) + (xy 89.650061 108.1745) + (xy 89.480382 108.1745) + (xy 89.432816 108.157187) + (xy 89.407506 108.11335) + (xy 89.414447 108.066908) + (xy 89.435646 108.025304) + (xy 89.455492 107.9) + (xy 89.435646 107.774696) + (xy 89.37805 107.661658) + (xy 89.288342 107.57195) + (xy 89.175304 107.514354) + (xy 89.175302 107.514353) + (xy 89.175301 107.514353) + (xy 89.05 107.494508) + (xy 88.924698 107.514353) + (xy 88.811656 107.571951) + (xy 88.721951 107.661656) + (xy 88.664353 107.774698) + (xy 88.644508 107.9) + (xy 88.664353 108.025301) + (xy 88.664353 108.025302) + (xy 88.664354 108.025304) + (xy 88.679108 108.05426) + (xy 88.685551 108.066905) + (xy 88.691719 108.117147) + (xy 88.66415 108.1596) + (xy 88.619616 108.1745) + (xy 88.452794 108.1745) + (xy 88.384575 108.188068) + (xy 88.334545 108.180365) + (xy 88.317815 108.167815) + (xy 87.282184 107.132184) + (xy 87.260792 107.086308) + (xy 87.261932 107.065421) + (xy 87.262416 107.062987) + (xy 87.262417 107.062986) + (xy 87.2755 106.997211) + (xy 87.275499 106.80279) + (xy 87.262417 106.737014) + (xy 87.231745 106.69111) + (xy 87.219714 106.641945) + (xy 87.231744 106.60889) + (xy 87.262417 106.562986) + (xy 87.2755 106.497211) + (xy 87.275499 106.4) + (xy 87.644508 106.4) + (xy 87.664353 106.525301) + (xy 87.664353 106.525302) + (xy 87.664354 106.525304) + (xy 87.72195 106.638342) + (xy 87.811658 106.72805) + (xy 87.924696 106.785646) + (xy 88.05 106.805492) + (xy 88.175304 106.785646) + (xy 88.288342 106.72805) + (xy 88.37805 106.638342) + (xy 88.435646 106.525304) + (xy 88.455492 106.4) + (xy 88.435646 106.274696) + (xy 88.37805 106.161658) + (xy 88.288342 106.07195) + (xy 88.175304 106.014354) + (xy 88.175302 106.014353) + (xy 88.175301 106.014353) + (xy 88.05 105.994508) + (xy 87.924698 106.014353) + (xy 87.811656 106.071951) + (xy 87.721951 106.161656) + (xy 87.664353 106.274698) + (xy 87.644508 106.4) + (xy 87.275499 106.4) + (xy 87.275499 106.30279) + (xy 87.262417 106.237014) + (xy 87.231745 106.19111) + (xy 87.219714 106.141945) + (xy 87.231744 106.10889) + (xy 87.262417 106.062986) + (xy 87.2755 105.997211) + (xy 87.275499 105.80279) + (xy 87.262417 105.737014) + (xy 87.231745 105.69111) + (xy 87.219714 105.641945) + (xy 87.231744 105.60889) + (xy 87.262417 105.562986) + (xy 87.2755 105.497211) + (xy 87.275499 105.30279) + (xy 87.262417 105.237014) + (xy 87.231745 105.19111) + (xy 87.219714 105.141945) + (xy 87.231744 105.10889) + (xy 87.262417 105.062986) + (xy 87.2755 104.997211) + (xy 87.275499 104.80279) + (xy 87.262417 104.737014) + (xy 87.231745 104.69111) + (xy 87.219714 104.641945) + (xy 87.231744 104.60889) + (xy 87.262417 104.562986) + (xy 87.2755 104.497211) + (xy 87.275499 104.30279) + (xy 87.262417 104.237014) + (xy 87.231745 104.19111) + (xy 87.219714 104.141945) + (xy 87.231744 104.10889) + (xy 87.237684 104.1) + (xy 89.044508 104.1) + (xy 89.064353 104.225301) + (xy 89.064353 104.225302) + (xy 89.064354 104.225304) + (xy 89.12195 104.338342) + (xy 89.211658 104.42805) + (xy 89.324696 104.485646) + (xy 89.45 104.505492) + (xy 89.575304 104.485646) + (xy 89.688342 104.42805) + (xy 89.77805 104.338342) + (xy 89.835646 104.225304) + (xy 89.855492 104.1) + (xy 89.835646 103.974696) + (xy 89.77805 103.861658) + (xy 89.688342 103.77195) + (xy 89.575304 103.714354) + (xy 89.575302 103.714353) + (xy 89.575301 103.714353) + (xy 89.45 103.694508) + (xy 89.324698 103.714353) + (xy 89.211656 103.771951) + (xy 89.121951 103.861656) + (xy 89.064353 103.974698) + (xy 89.044508 104.1) + (xy 87.237684 104.1) + (xy 87.262417 104.062986) + (xy 87.2755 103.997211) + (xy 87.275499 103.830381) + (xy 87.292811 103.782816) + (xy 87.336649 103.757506) + (xy 87.383091 103.764447) + (xy 87.424696 103.785646) + (xy 87.424695 103.785646) + (xy 87.441827 103.788359) + (xy 87.55 103.805492) + (xy 87.675304 103.785646) + (xy 87.788342 103.72805) + (xy 87.87805 103.638342) + (xy 87.935646 103.525304) + (xy 87.955492 103.4) + (xy 87.935646 103.274696) + (xy 87.87805 103.161658) + (xy 87.788342 103.07195) + (xy 87.675304 103.014354) + (xy 87.675302 103.014353) + (xy 87.675301 103.014353) + (xy 87.55 102.994508) + (xy 87.424696 103.014353) + (xy 87.383093 103.035551) + (xy 87.332851 103.041719) + (xy 87.290399 103.014149) + (xy 87.275499 102.969618) + (xy 87.275499 102.80279) + (xy 87.262417 102.737014) + (xy 87.231745 102.69111) + (xy 87.219714 102.641945) + (xy 87.231744 102.60889) + (xy 87.262417 102.562986) + (xy 87.2755 102.497211) + (xy 87.275499 102.323055) + (xy 87.292811 102.27549) + (xy 87.336649 102.25018) + (xy 87.386499 102.25897) + (xy 87.401821 102.270727) + (xy 87.605791 102.474696) + (xy 87.789439 102.658344) + (xy 87.810202 102.699093) + (xy 87.814353 102.7253) + (xy 87.814353 102.725301) + (xy 87.814353 102.725302) + (xy 87.814354 102.725304) + (xy 87.87195 102.838342) + (xy 87.961658 102.92805) + (xy 88.074696 102.985646) + (xy 88.2 103.005492) + (xy 88.325304 102.985646) + (xy 88.438342 102.92805) + (xy 88.52805 102.838342) + (xy 88.585646 102.725304) + (xy 88.605492 102.6) + (xy 88.585646 102.474696) + (xy 88.52805 102.361658) + (xy 88.438342 102.27195) + (xy 88.325304 102.214354) + (xy 88.325302 102.214353) + (xy 88.325301 102.214353) + (xy 88.2 102.194508) + (xy 88.074694 102.214354) + (xy 88.062023 102.22081) + (xy 88.011781 102.226977) + (xy 87.976105 102.2072) + (xy 87.515006 101.746101) + (xy 87.51234 101.743291) + (xy 87.485508 101.713492) + (xy 87.485504 101.713489) + (xy 87.463252 101.703582) + (xy 87.453047 101.698041) + (xy 87.432619 101.684774) + (xy 87.432616 101.684773) + (xy 87.426505 101.683805) + (xy 87.424213 101.683442) + (xy 87.4057 101.677958) + (xy 87.397932 101.6745) + (xy 87.397931 101.6745) + (xy 87.373573 101.6745) + (xy 87.361998 101.673589) + (xy 87.353865 101.672301) + (xy 87.337932 101.669777) + (xy 87.332078 101.670084) + (xy 87.283671 101.655281) + (xy 87.256105 101.612827) + (xy 87.259844 101.567861) + (xy 87.261947 101.562784) + (xy 87.264489 101.55) + (xy 87.05 101.55) + (xy 87.05 101.25) + (xy 87.264489 101.25) + (xy 87.264489 101.249999) + (xy 87.261946 101.237211) + (xy 87.231445 101.191562) + (xy 87.219414 101.142393) + (xy 87.231443 101.109341) + (xy 87.262417 101.062986) + (xy 87.2755 100.997211) + (xy 87.275499 100.80279) + (xy 87.272864 100.789544) + (xy 87.280564 100.739518) + (xy 87.31862 100.706142) + (xy 87.342024 100.701189) + (xy 87.352899 100.700686) + (xy 87.355227 100.700579) + (xy 87.358643 100.7005) + (xy 87.377841 100.7005) + (xy 87.377844 100.7005) + (xy 87.379658 100.70016) + (xy 87.389845 100.698977) + (xy 87.419992 100.697585) + (xy 87.431639 100.692441) + (xy 87.447927 100.687398) + (xy 87.460433 100.685061) + (xy 87.486099 100.669168) + (xy 87.495139 100.664403) + (xy 87.522765 100.652206) + (xy 87.531761 100.643208) + (xy 87.545138 100.632614) + (xy 87.555952 100.625919) + (xy 87.574144 100.601827) + (xy 87.580858 100.594111) + (xy 87.645604 100.529365) + (xy 87.664329 100.51576) + (xy 87.738342 100.47805) + (xy 87.82805 100.388342) + (xy 87.885646 100.275304) + (xy 87.905492 100.15) + (xy 87.885646 100.024696) + (xy 87.82805 99.911658) + (xy 87.738342 99.82195) + (xy 87.726534 99.815933) + (xy 87.692013 99.778914) + (xy 87.689365 99.728364) + (xy 87.719828 99.687938) + (xy 87.726535 99.684066) + (xy 87.726601 99.684032) + (xy 87.738342 99.67805) + (xy 87.82805 99.588342) + (xy 87.885646 99.475304) + (xy 87.905492 99.35) + (xy 87.885646 99.224696) + (xy 87.82805 99.111658) + (xy 87.768218 99.051826) + (xy 87.746826 99.00595) + (xy 87.759927 98.957055) + (xy 87.801391 98.928021) + (xy 87.820544 98.9255) + (xy 87.925942 98.9255) + (xy 87.973508 98.942813) + (xy 87.978268 98.947174) + (xy 88.252826 99.221731) + (xy 88.274218 99.267607) + (xy 88.2745 99.274057) + (xy 88.2745 99.278456) + (xy 88.257187 99.326022) + (xy 88.252826 99.330782) + (xy 88.171951 99.411656) + (xy 88.114353 99.524698) + (xy 88.094508 99.65) + (xy 88.114353 99.775301) + (xy 88.114353 99.775302) + (xy 88.114354 99.775304) + (xy 88.17195 99.888342) + (xy 88.261658 99.97805) + (xy 88.374696 100.035646) + (xy 88.5 100.055492) + (xy 88.625304 100.035646) + (xy 88.738342 99.97805) + (xy 88.82805 99.888342) + (xy 88.885646 99.775304) + (xy 88.905492 99.65) + (xy 88.885646 99.524696) + (xy 88.82805 99.411658) + (xy 88.747174 99.330782) + (xy 88.725782 99.284906) + (xy 88.7255 99.278456) + (xy 88.7255 99.15786) + (xy 88.725601 99.153987) + (xy 88.726461 99.137577) + (xy 88.7277 99.113936) + (xy 88.718968 99.09119) + (xy 88.715671 99.080057) + (xy 88.710607 99.056232) + (xy 88.710606 99.05623) + (xy 88.710605 99.056228) + (xy 88.705611 99.049355) + (xy 88.696393 99.032379) + (xy 88.693346 99.02444) + (xy 88.676116 99.00721) + (xy 88.668574 98.998379) + (xy 88.667725 98.997211) + (xy 88.65426 98.978677) + (xy 88.646895 98.974425) + (xy 88.631573 98.962667) + (xy 88.56733 98.898424) + (xy 88.545938 98.852548) + (xy 88.559039 98.803653) + (xy 88.586055 98.780167) + (xy 88.688342 98.72805) + (xy 88.77805 98.638342) + (xy 88.835646 98.525304) + (xy 88.855492 98.4) + (xy 88.835646 98.274696) + (xy 88.77805 98.161658) + (xy 88.688342 98.07195) + (xy 88.575304 98.014354) + (xy 88.575302 98.014353) + (xy 88.575301 98.014353) + (xy 88.45 97.994508) + (xy 88.324698 98.014353) + (xy 88.211656 98.071951) + (xy 88.171219 98.112388) + (xy 88.130781 98.152826) + (xy 88.084907 98.174218) + (xy 88.078457 98.1745) + (xy 87.32635 98.1745) + (xy 87.278784 98.157187) + (xy 87.253474 98.11335) + (xy 87.261062 98.070314) + (xy 87.259628 98.06972) + (xy 87.262416 98.062987) + (xy 87.262415 98.062987) + (xy 87.262417 98.062986) + (xy 87.2755 97.997211) + (xy 87.275499 97.80279) + (xy 87.262417 97.737014) + (xy 87.262416 97.737013) + (xy 87.262416 97.73701) + (xy 87.259629 97.730282) + (xy 87.262048 97.729279) + (xy 87.25279 97.691443) + (xy 87.275179 97.646044) + (xy 87.321511 97.625658) + (xy 87.32635 97.6255) + (xy 88.078457 97.6255) + (xy 88.126023 97.642813) + (xy 88.130771 97.647163) + (xy 88.211658 97.72805) + (xy 88.324696 97.785646) + (xy 88.45 97.805492) + (xy 88.575304 97.785646) + (xy 88.688342 97.72805) + (xy 88.77805 97.638342) + (xy 88.835646 97.525304) + (xy 88.855492 97.4) + (xy 88.835646 97.274696) + (xy 88.77805 97.161658) + (xy 88.688342 97.07195) + (xy 88.575304 97.014354) + (xy 88.575302 97.014353) + (xy 88.575301 97.014353) + (xy 88.45 96.994508) + (xy 88.324698 97.014353) + (xy 88.211656 97.071951) + (xy 88.190262 97.093346) + (xy 88.130781 97.152826) + (xy 88.084907 97.174218) + (xy 88.078457 97.1745) + (xy 87.529265 97.1745) + (xy 87.481699 97.157187) + (xy 87.456389 97.11335) + (xy 87.465179 97.0635) + (xy 87.469118 97.058078) + (xy 87.471321 97.054261) + (xy 87.471323 97.05426) + (xy 87.475573 97.046897) + (xy 87.487329 97.031575) + (xy 87.603915 96.914988) + (xy 87.606687 96.912357) + (xy 87.636509 96.885507) + (xy 87.646418 96.863247) + (xy 87.651955 96.85305) + (xy 87.665226 96.832618) + (xy 87.666557 96.824211) + (xy 87.672042 96.805698) + (xy 87.6755 96.797932) + (xy 87.6755 96.773573) + (xy 87.676411 96.761996) + (xy 87.680222 96.737935) + (xy 87.680222 96.737934) + (xy 87.678021 96.72972) + (xy 87.6755 96.710568) + (xy 87.6755 95.403154) + (xy 87.692813 95.355588) + (xy 87.73665 95.330278) + (xy 87.76108 95.330066) + (xy 87.762065 95.330222) + (xy 87.768727 95.328436) + (xy 87.77028 95.328021) + (xy 87.789432 95.3255) + (xy 88.569617 95.3255) + (xy 88.617183 95.342813) + (xy 88.642493 95.38665) + (xy 88.635551 95.433094) + (xy 88.629952 95.444084) + (xy 88.614353 95.474697) + (xy 88.594508 95.6) + (xy 88.614353 95.725301) + (xy 88.614353 95.725302) + (xy 88.614354 95.725304) + (xy 88.67195 95.838342) + (xy 88.761658 95.92805) + (xy 88.874696 95.985646) + (xy 89 96.005492) + (xy 89.125304 95.985646) + (xy 89.238342 95.92805) + (xy 89.32805 95.838342) + (xy 89.385646 95.725304) + (xy 89.399206 95.639684) + (xy 89.419966 95.598938) + (xy 89.551575 95.467329) + (xy 89.59745 95.445938) + (xy 89.646345 95.459039) + (xy 89.669834 95.48606) + (xy 89.713598 95.57195) + (xy 89.72195 95.588342) + (xy 89.811658 95.67805) + (xy 89.924696 95.735646) + (xy 90.05 95.755492) + (xy 90.175304 95.735646) + (xy 90.288342 95.67805) + (xy 90.37805 95.588342) + (xy 90.435646 95.475304) + (xy 90.455492 95.35) + (xy 90.435646 95.224696) + (xy 90.412312 95.178902) + (xy 90.406143 95.128664) + (xy 90.425919 95.092985) + (xy 90.518452 95.000452) + (xy 90.564327 94.979061) + (xy 90.613222 94.992162) + (xy 90.636711 95.019183) + (xy 90.65856 95.062063) + (xy 90.67195 95.088342) + (xy 90.761658 95.17805) + (xy 90.874696 95.235646) + (xy 91 95.255492) + (xy 91.125304 95.235646) + (xy 91.238342 95.17805) + (xy 91.297674 95.118718) + (xy 91.34355 95.097326) + (xy 91.392445 95.110427) + (xy 91.402326 95.118718) + (xy 91.461658 95.17805) + (xy 91.574696 95.235646) + (xy 91.7 95.255492) + (xy 91.825304 95.235646) + (xy 91.938342 95.17805) + (xy 92.02805 95.088342) + (xy 92.085646 94.975304) + (xy 92.105492 94.85) + (xy 92.085646 94.724696) + (xy 92.085644 94.724692) + (xy 92.084754 94.721953) + (xy 92.084836 94.719584) + (xy 92.084735 94.718944) + (xy 92.084859 94.718924) + (xy 92.086514 94.671364) + (xy 92.12038 94.633743) + (xy 92.143739 94.626686) + (xy 92.143645 94.626209) + (xy 92.212984 94.612418) + (xy 92.212985 94.612417) + (xy 92.212986 94.612417) + (xy 92.258889 94.581745) + (xy 92.308055 94.569714) + (xy 92.341109 94.581744) + (xy 92.387014 94.612417) + (xy 92.452789 94.6255) + (xy 92.64721 94.625499) + (xy 92.712986 94.612417) + (xy 92.758889 94.581745) + (xy 92.808055 94.569714) + (xy 92.841109 94.581744) + (xy 92.887014 94.612417) + (xy 92.952789 94.6255) + (xy 93.14721 94.625499) + (xy 93.212986 94.612417) + (xy 93.258889 94.581745) + (xy 93.308055 94.569714) + (xy 93.341109 94.581744) + (xy 93.387014 94.612417) + (xy 93.452789 94.6255) + (xy 93.64721 94.625499) + (xy 93.712986 94.612417) + (xy 93.758889 94.581745) + (xy 93.808055 94.569714) + (xy 93.841109 94.581744) + (xy 93.887014 94.612417) + (xy 93.952789 94.6255) + (xy 94.14721 94.625499) + (xy 94.212986 94.612417) + (xy 94.258889 94.581745) + (xy 94.308055 94.569714) + (xy 94.341109 94.581744) + (xy 94.387014 94.612417) + (xy 94.452789 94.6255) + (xy 94.64721 94.625499) + (xy 94.712986 94.612417) + (xy 94.758889 94.581745) + (xy 94.808055 94.569714) + ) + ) + ) + (zone (net 2) (net_name "GND") (layers "F&B.Cu") (tstamp 6862bee8-6547-45b7-a52c-262c96f6a2a6) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 143.637 80.518) + (xy 144.272 80.899) + (xy 144.653 81.28) + (xy 144.907 81.788) + (xy 145.034 82.423) + (xy 145.034 129.54) + (xy 144.907 130.175) + (xy 144.653 130.683) + (xy 144.145 131.191) + (xy 143.637 131.445) + (xy 143.002 131.572) + (xy 48.133 131.572) + (xy 47.498 131.445) + (xy 46.99 131.191) + (xy 46.482 130.683) + (xy 46.228 130.175) + (xy 46.101 129.54) + (xy 46.101 93.599) + (xy 46.228 92.964) + (xy 46.482 92.456) + (xy 58.166 80.772) + (xy 58.674 80.518) + (xy 59.309 80.391) + (xy 143.002 80.391) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 88.114136 106.164532) + (xy 88.136511 106.171803) + (xy 88.183764 106.19588) + (xy 88.202797 106.209709) + (xy 88.240289 106.247201) + (xy 88.254119 106.266235) + (xy 88.278195 106.313487) + (xy 88.285465 106.335863) + (xy 88.29376 106.388235) + (xy 88.29376 106.411763) + (xy 88.285465 106.464135) + (xy 88.278195 106.486511) + (xy 88.254119 106.533763) + (xy 88.240289 106.552797) + (xy 88.202797 106.590289) + (xy 88.183763 106.604119) + (xy 88.136511 106.628195) + (xy 88.114135 106.635465) + (xy 88.061763 106.64376) + (xy 88.038237 106.64376) + (xy 88.01012 106.639307) + (xy 87.985863 106.635465) + (xy 87.963487 106.628195) + (xy 87.916235 106.604119) + (xy 87.897201 106.590289) + (xy 87.859709 106.552797) + (xy 87.845879 106.533763) + (xy 87.841963 106.526078) + (xy 87.821802 106.48651) + (xy 87.814534 106.464138) + (xy 87.806238 106.411755) + (xy 87.806238 106.388241) + (xy 87.814533 106.33586) + (xy 87.821801 106.31349) + (xy 87.845882 106.26623) + (xy 87.859709 106.247201) + (xy 87.897202 106.209707) + (xy 87.91623 106.195882) + (xy 87.96349 106.171801) + (xy 87.985861 106.164533) + (xy 88.038236 106.156238) + (xy 88.061762 106.156238) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 89.514136 103.864532) + (xy 89.53651 103.871802) + (xy 89.548379 103.87785) + (xy 89.583764 103.89588) + (xy 89.602797 103.909709) + (xy 89.640289 103.947201) + (xy 89.654119 103.966235) + (xy 89.678195 104.013487) + (xy 89.685465 104.035863) + (xy 89.69376 104.088235) + (xy 89.69376 104.111763) + (xy 89.685465 104.164135) + (xy 89.678195 104.186511) + (xy 89.654119 104.233763) + (xy 89.640289 104.252797) + (xy 89.602797 104.290289) + (xy 89.583763 104.304119) + (xy 89.536511 104.328195) + (xy 89.514135 104.335465) + (xy 89.461763 104.34376) + (xy 89.438237 104.34376) + (xy 89.412049 104.339612) + (xy 89.385863 104.335465) + (xy 89.363487 104.328195) + (xy 89.316235 104.304119) + (xy 89.297201 104.290289) + (xy 89.259709 104.252797) + (xy 89.245879 104.233763) + (xy 89.245209 104.232449) + (xy 89.221802 104.18651) + (xy 89.214534 104.164138) + (xy 89.206238 104.111755) + (xy 89.206238 104.088241) + (xy 89.214533 104.03586) + (xy 89.221801 104.01349) + (xy 89.245882 103.96623) + (xy 89.259709 103.947201) + (xy 89.297202 103.909707) + (xy 89.31623 103.895882) + (xy 89.36349 103.871801) + (xy 89.385861 103.864533) + (xy 89.438236 103.856238) + (xy 89.461762 103.856238) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 102.709103 101.999817) + (xy 102.736713 102.018641) + (xy 102.739008 102.020269) + (xy 102.785984 102.05494) + (xy 102.790968 102.057574) + (xy 102.79094 102.057626) + (xy 102.796169 102.060266) + (xy 102.796196 102.060212) + (xy 102.801269 102.062654) + (xy 102.801274 102.062658) + (xy 102.857096 102.079876) + (xy 102.859662 102.080721) + (xy 102.914849 102.100032) + (xy 102.914851 102.100032) + (xy 102.914854 102.100033) + (xy 102.92039 102.101081) + (xy 102.920379 102.101138) + (xy 102.926155 102.10212) + (xy 102.926165 102.10206) + (xy 102.931734 102.102899) + (xy 102.931736 102.1029) + (xy 102.990118 102.1029) + (xy 102.992927 102.102952) + (xy 103.01584 102.10381) + (xy 103.051279 102.105136) + (xy 103.051279 102.105135) + (xy 103.051281 102.105136) + (xy 103.051282 102.105135) + (xy 103.056881 102.104505) + (xy 103.056887 102.104563) + (xy 103.069526 102.1029) + (xy 103.179999 102.1029) + (xy 103.228337 102.120493) + (xy 103.233162 102.124915) + (xy 103.272239 102.163992) + (xy 103.360923 102.207346) + (xy 103.396623 102.244381) + (xy 103.400164 102.295699) + (xy 103.393021 102.312506) + (xy 103.382991 102.329878) + (xy 103.374702 102.341518) + (xy 103.365081 102.352622) + (xy 103.365078 102.352627) + (xy 103.356719 102.370928) + (xy 103.350452 102.382043) + (xy 103.337344 102.40127) + (xy 103.337338 102.401282) + (xy 103.334756 102.409652) + (xy 103.328027 102.425076) + (xy 103.323646 102.432665) + (xy 103.323645 102.432667) + (xy 103.320481 102.446527) + (xy 103.315574 102.461022) + (xy 103.310978 102.471086) + (xy 103.310976 102.471095) + (xy 103.307598 102.494583) + (xy 103.305025 102.506038) + (xy 103.2971 102.531735) + (xy 103.2971 102.540494) + (xy 103.295215 102.557226) + (xy 103.293265 102.56577) + (xy 103.293264 102.565774) + (xy 103.294055 102.576328) + (xy 103.293501 102.592638) + (xy 103.292443 102.6) + (xy 103.296335 102.62707) + (xy 103.2971 102.637771) + (xy 103.2971 102.979998) + (xy 103.279507 103.028336) + (xy 103.275074 103.033172) + (xy 103.183172 103.125074) + (xy 103.136552 103.146814) + (xy 103.129998 103.1471) + (xy 102.898298 103.1471) + (xy 102.869357 103.138864) + (xy 102.868714 103.140525) + (xy 102.862218 103.138008) + (xy 102.850323 103.133399) + (xy 102.834995 103.125319) + (xy 102.82447 103.118109) + (xy 102.824464 103.118107) + (xy 102.794886 103.11115) + (xy 102.784939 103.10807) + (xy 102.784038 103.107721) + (xy 102.756622 103.0971) + (xy 102.756621 103.0971) + (xy 102.743867 103.0971) + (xy 102.726652 103.095103) + (xy 102.714231 103.092182) + (xy 102.714229 103.092182) + (xy 102.684143 103.096379) + (xy 102.673753 103.0971) + (xy 102.666158 103.0971) + (xy 102.61782 103.079507) + (xy 102.608029 103.069608) + (xy 102.591936 103.05) + (xy 100.833064 103.05) + (xy 100.816971 103.069608) + (xy 100.772705 103.095811) + (xy 100.758842 103.0971) + (xy 100.711679 103.0971) + (xy 100.699563 103.095123) + (xy 100.699473 103.095771) + (xy 100.69257 103.094807) + (xy 100.644727 103.09702) + (xy 100.641254 103.0971) + (xy 100.621927 103.0971) + (xy 100.620137 103.097435) + (xy 100.609792 103.098635) + (xy 100.603214 103.098939) + (xy 100.587979 103.098093) + (xy 100.567231 103.094807) + (xy 100.550001 103.092078) + (xy 100.55 103.092078) + (xy 100.542776 103.093221) + (xy 100.51554 103.097535) + (xy 100.465046 103.087718) + (xy 100.435624 103.05504) + (xy 100.434607 103.052858) + (xy 100.424388 103.030943) + (xy 100.392934 102.986022) + (xy 100.359707 102.952795) + (xy 100.345881 102.933765) + (xy 100.345693 102.933396) + (xy 100.321802 102.886509) + (xy 100.314534 102.864139) + (xy 100.306238 102.811754) + (xy 100.306238 102.78824) + (xy 100.314533 102.73586) + (xy 100.321802 102.713491) + (xy 100.326241 102.70478) + (xy 100.341716 102.656436) + (xy 100.348658 102.609992) + (xy 100.349554 102.597939) + (xy 100.349585 102.597526) + (xy 100.370713 102.550625) + (xy 100.417045 102.528278) + (xy 100.424578 102.5279) + (xy 100.624453 102.5279) + (xy 100.672791 102.545493) + (xy 100.677627 102.549926) + (xy 100.683231 102.55553) + (xy 100.685942 102.558386) + (xy 100.69259 102.56577) + (xy 100.713051 102.588494) + (xy 100.735516 102.598495) + (xy 100.745887 102.604127) + (xy 100.766502 102.617516) + (xy 100.774928 102.61885) + (xy 100.793762 102.624429) + (xy 100.802248 102.628208) + (xy 100.839248 102.663944) + (xy 100.844621 102.715102) + (xy 100.838334 102.729307) + (xy 100.838628 102.729429) + (xy 100.835795 102.736268) + (xy 100.833064 102.75) + (xy 102.591935 102.75) + (xy 102.589205 102.736271) + (xy 102.559777 102.692229) + (xy 102.54755 102.642264) + (xy 102.559776 102.608672) + (xy 102.589677 102.563922) + (xy 102.6029 102.497447) + (xy 102.602899 102.302554) + (xy 102.589677 102.236078) + (xy 102.577298 102.217551) + (xy 102.560077 102.191777) + (xy 102.547851 102.141812) + (xy 102.560075 102.108224) + (xy 102.589677 102.063922) + (xy 102.592987 102.047279) + (xy 102.619672 102.003303) + (xy 102.668381 101.986768) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 87.359354 99.730523) + (xy 87.373942 99.737956) + (xy 87.373943 99.737956) + (xy 87.373945 99.737957) + (xy 87.475945 99.754112) + (xy 87.520935 99.77905) + (xy 87.534455 99.808714) + (xy 87.536125 99.808172) + (xy 87.538159 99.814431) + (xy 87.538159 99.814432) + (xy 87.53816 99.814434) + (xy 87.576533 99.886602) + (xy 87.611054 99.923621) + (xy 87.623408 99.932931) + (xy 87.629805 99.937751) + (xy 87.637722 99.944634) + (xy 87.690289 99.997201) + (xy 87.704119 100.016235) + (xy 87.728195 100.063487) + (xy 87.735465 100.085863) + (xy 87.743628 100.137405) + (xy 87.74376 100.138235) + (xy 87.74376 100.161763) + (xy 87.735465 100.214135) + (xy 87.728195 100.236511) + (xy 87.704119 100.283763) + (xy 87.690289 100.302797) + (xy 87.652798 100.340288) + (xy 87.633765 100.354117) + (xy 87.592649 100.375067) + (xy 87.59264 100.375072) + (xy 87.571512 100.38802) + (xy 87.552788 100.401625) + (xy 87.533949 100.417715) + (xy 87.533945 100.417719) + (xy 87.4692 100.482465) + (xy 87.461733 100.490467) + (xy 87.455021 100.498181) + (xy 87.451795 100.502159) + (xy 87.426138 100.522482) + (xy 87.421506 100.524722) + (xy 87.412483 100.529478) + (xy 87.408013 100.532036) + (xy 87.376757 100.541715) + (xy 87.372109 100.542092) + (xy 87.371631 100.542131) + (xy 87.371629 100.542131) + (xy 87.370338 100.542236) + (xy 87.370334 100.542189) + (xy 87.363238 100.5426) + (xy 87.35864 100.5426) + (xy 87.354998 100.542641) + (xy 87.351577 100.54272) + (xy 87.347957 100.542846) + (xy 87.344403 100.54301) + (xy 87.334737 100.543457) + (xy 87.334729 100.543457) + (xy 87.334728 100.543458) + (xy 87.309323 100.546711) + (xy 87.30932 100.546711) + (xy 87.301486 100.54837) + (xy 87.285915 100.55) + (xy 86.6252 100.55) + (xy 86.576862 100.532407) + (xy 86.551142 100.487858) + (xy 86.55 100.4748) + (xy 86.55 100.3252) + (xy 86.567593 100.276862) + (xy 86.612142 100.251142) + (xy 86.6252 100.25) + (xy 87.266935 100.25) + (xy 87.264205 100.236272) + (xy 87.234476 100.19178) + (xy 87.222249 100.141814) + (xy 87.234476 100.10822) + (xy 87.264205 100.063727) + (xy 87.266936 100.05) + (xy 86.6252 100.05) + (xy 86.576862 100.032407) + (xy 86.551142 99.987858) + (xy 86.55 99.9748) + (xy 86.55 99.8252) + (xy 86.567593 99.776862) + (xy 86.612142 99.751142) + (xy 86.6252 99.75) + (xy 87.266934 99.75) + (xy 87.267082 99.74982) + (xy 87.311347 99.723615) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 83.3407 96.369171) + (xy 83.358984 96.383977) + (xy 83.363051 96.388494) + (xy 83.385516 96.398495) + (xy 83.395887 96.404127) + (xy 83.416502 96.417516) + (xy 83.424928 96.41885) + (xy 83.443759 96.424428) + (xy 83.451553 96.427898) + (xy 83.451555 96.427898) + (xy 83.451558 96.4279) + (xy 83.476152 96.4279) + (xy 83.487915 96.428825) + (xy 83.512194 96.432671) + (xy 83.517253 96.431315) + (xy 83.52044 96.430462) + (xy 83.539901 96.4279) + (xy 85.174452 96.4279) + (xy 85.22279 96.445493) + (xy 85.227624 96.449924) + (xy 85.2806 96.5029) + (xy 85.333249 96.555549) + (xy 85.335959 96.558405) + (xy 85.363051 96.588494) + (xy 85.385516 96.598495) + (xy 85.395885 96.604126) + (xy 85.416501 96.617515) + (xy 85.416502 96.617515) + (xy 85.416504 96.617516) + (xy 85.424936 96.618851) + (xy 85.443755 96.624426) + (xy 85.447822 96.626237) + (xy 85.451555 96.627899) + (xy 85.451556 96.627899) + (xy 85.451558 96.6279) + (xy 85.45156 96.6279) + (xy 85.458304 96.629333) + (xy 85.501929 96.65659) + (xy 85.517827 96.705511) + (xy 85.51215 96.731665) + (xy 85.510323 96.736074) + (xy 85.4971 96.802551) + (xy 85.4971 96.997447) + (xy 85.497101 96.997448) + (xy 85.510323 97.063923) + (xy 85.539922 97.108222) + (xy 85.552148 97.158188) + (xy 85.539923 97.191777) + (xy 85.510323 97.236078) + (xy 85.510322 97.23608) + (xy 85.4971 97.302551) + (xy 85.4971 97.497447) + (xy 85.497101 97.497448) + (xy 85.510323 97.563923) + (xy 85.511723 97.567303) + (xy 85.513964 97.618694) + (xy 85.482647 97.659502) + (xy 85.438311 97.671174) + (xy 85.413552 97.669876) + (xy 85.413551 97.669876) + (xy 85.390597 97.678688) + (xy 85.379286 97.682039) + (xy 85.355235 97.687151) + (xy 85.355229 97.687154) + (xy 85.348324 97.692171) + (xy 85.331085 97.701532) + (xy 85.323104 97.704596) + (xy 85.305711 97.721988) + (xy 85.296744 97.729646) + (xy 85.276856 97.744096) + (xy 85.274047 97.747217) + (xy 85.228628 97.771368) + (xy 85.218161 97.7721) + (xy 84.987785 97.7721) + (xy 84.939447 97.754507) + (xy 84.913727 97.709958) + (xy 84.920226 97.663873) + (xy 84.967065 97.568061) + (xy 84.967065 97.568059) + (xy 84.977399 97.497141) + (xy 84.9774 97.497131) + (xy 84.9774 97.4) + (xy 83.722601 97.4) + (xy 83.722601 97.497142) + (xy 83.732934 97.56806) + (xy 83.779774 97.663873) + (xy 83.785198 97.715026) + (xy 83.756472 97.757698) + (xy 83.712215 97.7721) + (xy 83.525548 97.7721) + (xy 83.47721 97.754507) + (xy 83.472373 97.750074) + (xy 83.249925 97.527625) + (xy 83.228186 97.481005) + (xy 83.2279 97.474451) + (xy 83.2279 97.1) + (xy 83.7226 97.1) + (xy 84.2 97.1) + (xy 84.2 96.6726) + (xy 84.5 96.6726) + (xy 84.5 97.1) + (xy 84.977399 97.1) + (xy 84.977399 97.002869) + (xy 84.977398 97.002857) + (xy 84.967065 96.93194) + (xy 84.913578 96.82253) + (xy 84.827469 96.736421) + (xy 84.718058 96.682934) + (xy 84.718059 96.682934) + (xy 84.647141 96.6726) + (xy 84.5 96.6726) + (xy 84.2 96.6726) + (xy 84.05287 96.6726) + (xy 84.052857 96.672601) + (xy 83.98194 96.682934) + (xy 83.87253 96.736421) + (xy 83.786421 96.82253) + (xy 83.732934 96.931941) + (xy 83.7226 97.002858) + (xy 83.7226 97.1) + (xy 83.2279 97.1) + (xy 83.2279 96.434296) + (xy 83.245493 96.385958) + (xy 83.290042 96.360238) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 99.564136 97.114532) + (xy 99.58651 97.121802) + (xy 99.589769 97.123463) + (xy 99.633764 97.14588) + (xy 99.652797 97.159709) + (xy 99.690289 97.197201) + (xy 99.704119 97.216235) + (xy 99.728195 97.263487) + (xy 99.735465 97.285863) + (xy 99.74376 97.338235) + (xy 99.74376 97.361763) + (xy 99.735465 97.414135) + (xy 99.728195 97.436511) + (xy 99.704119 97.483763) + (xy 99.690289 97.502797) + (xy 99.652797 97.540289) + (xy 99.633763 97.554119) + (xy 99.586511 97.578195) + (xy 99.564135 97.585465) + (xy 99.511763 97.59376) + (xy 99.488237 97.59376) + (xy 99.462049 97.589612) + (xy 99.435863 97.585465) + (xy 99.413487 97.578195) + (xy 99.366235 97.554119) + (xy 99.347201 97.540289) + (xy 99.309709 97.502797) + (xy 99.295879 97.483763) + (xy 99.29499 97.482019) + (xy 99.271802 97.43651) + (xy 99.264534 97.414138) + (xy 99.256238 97.361755) + (xy 99.256238 97.338241) + (xy 99.264533 97.28586) + (xy 99.271801 97.26349) + (xy 99.295882 97.21623) + (xy 99.309709 97.197201) + (xy 99.347202 97.159707) + (xy 99.36623 97.145882) + (xy 99.41349 97.121801) + (xy 99.435861 97.114533) + (xy 99.488236 97.106238) + (xy 99.511762 97.106238) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.472791 94.595493) + (xy 86.477627 94.599926) + (xy 86.846927 94.969226) + (xy 86.868667 95.015846) + (xy 86.855353 95.065533) + (xy 86.813216 95.095038) + (xy 86.793753 95.0976) + (xy 86.5375 95.0976) + (xy 86.5375 95.4748) + (xy 86.519907 95.523138) + (xy 86.475358 95.548858) + (xy 86.4623 95.55) + (xy 85.508064 95.55) + (xy 85.510795 95.563731) + (xy 85.512615 95.568126) + (xy 85.514856 95.619517) + (xy 85.483539 95.660325) + (xy 85.443138 95.6721) + (xy 83.775547 95.6721) + (xy 83.727209 95.654507) + (xy 83.722373 95.650074) + (xy 83.322299 95.25) + (xy 85.508064 95.25) + (xy 86.2375 95.25) + (xy 86.2375 95.0976) + (xy 85.702602 95.0976) + (xy 85.702601 95.097601) + (xy 85.636276 95.110793) + (xy 85.636274 95.110794) + (xy 85.561052 95.161055) + (xy 85.510794 95.236271) + (xy 85.508064 95.25) + (xy 83.322299 95.25) + (xy 83.078573 95.006274) + (xy 83.056833 94.959654) + (xy 83.070147 94.909967) + (xy 83.112284 94.880462) + (xy 83.131747 94.8779) + (xy 85.242064 94.8779) + (xy 85.246 94.878003) + (xy 85.286447 94.880123) + (xy 85.286447 94.880122) + (xy 85.286448 94.880123) + (xy 85.309403 94.87131) + (xy 85.320722 94.867958) + (xy 85.343811 94.863051) + (xy 85.344766 94.862848) + (xy 85.351674 94.857828) + (xy 85.368921 94.848464) + (xy 85.376896 94.845403) + (xy 85.394289 94.828009) + (xy 85.403249 94.820356) + (xy 85.423146 94.805901) + (xy 85.427414 94.798507) + (xy 85.439361 94.782936) + (xy 85.622375 94.599925) + (xy 85.668996 94.578186) + (xy 85.675549 94.5779) + (xy 86.424453 94.5779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 91.475073 90.89856) + (xy 91.483593 90.905893) + (xy 92.000074 91.422373) + (xy 92.021814 91.468993) + (xy 92.0221 91.475547) + (xy 92.0221 92.47445) + (xy 92.004507 92.522788) + (xy 92.000074 92.527625) + (xy 91.894447 92.633251) + (xy 91.891593 92.635959) + (xy 91.861505 92.66305) + (xy 91.851507 92.685509) + (xy 91.845877 92.695878) + (xy 91.832485 92.716501) + (xy 91.832483 92.716506) + (xy 91.831148 92.724935) + (xy 91.825574 92.743754) + (xy 91.8221 92.751557) + (xy 91.8221 92.776152) + (xy 91.821174 92.787914) + (xy 91.82019 92.79413) + (xy 91.818649 92.803858) + (xy 91.79371 92.848848) + (xy 91.745687 92.867282) + (xy 91.715599 92.861569) + (xy 91.713728 92.860794) + (xy 91.7 92.858062) + (xy 91.7 94.616935) + (xy 91.713727 94.614205) + (xy 91.757769 94.584777) + (xy 91.807735 94.57255) + (xy 91.841326 94.584775) + (xy 91.879182 94.610069) + (xy 91.892237 94.618792) + (xy 91.890682 94.621118) + (xy 91.919576 94.647574) + (xy 91.928042 94.684924) + (xy 91.927177 94.709929) + (xy 91.927176 94.709946) + (xy 91.926438 94.731115) + (xy 91.926963 94.73219) + (xy 91.92912 94.745804) + (xy 91.929127 94.74585) + (xy 91.933664 94.774604) + (xy 91.933733 94.774938) + (xy 91.943759 94.838236) + (xy 91.943759 94.861764) + (xy 91.935465 94.914135) + (xy 91.928194 94.936513) + (xy 91.904118 94.983764) + (xy 91.890289 95.002797) + (xy 91.852797 95.040289) + (xy 91.833763 95.054119) + (xy 91.786511 95.078195) + (xy 91.764135 95.085465) + (xy 91.711763 95.09376) + (xy 91.688237 95.09376) + (xy 91.661339 95.0895) + (xy 91.635863 95.085465) + (xy 91.613487 95.078195) + (xy 91.566235 95.054119) + (xy 91.547203 95.040291) + (xy 91.513978 95.007066) + (xy 91.503821 94.997759) + (xy 91.49394 94.989468) + (xy 91.493939 94.989467) + (xy 91.493936 94.989465) + (xy 91.442086 94.962474) + (xy 91.407333 94.924549) + (xy 91.402535 94.884008) + (xy 91.407922 94.85) + (xy 91.387957 94.723945) + (xy 91.387956 94.723943) + (xy 91.387956 94.723942) + (xy 91.387956 94.723941) + (xy 91.380523 94.709354) + (xy 91.374253 94.658297) + (xy 91.39982 94.617082) + (xy 91.4 94.616934) + (xy 91.4 92.858062) + (xy 91.38244 92.843652) + (xy 91.356235 92.799387) + (xy 91.355026 92.788993) + (xy 91.354976 92.787917) + (xy 91.35298 92.744726) + (xy 91.3529 92.741254) + (xy 91.3529 92.721931) + (xy 91.3529 92.72193) + (xy 91.352566 92.720144) + (xy 91.351364 92.709795) + (xy 91.349962 92.679449) + (xy 91.344811 92.667783) + (xy 91.339684 92.651227) + (xy 91.337339 92.638684) + (xy 91.332248 92.630462) + (xy 91.321338 92.612842) + (xy 91.316493 92.60365) + (xy 91.309305 92.587371) + (xy 91.3029 92.557001) + (xy 91.3029 92.320002) + (xy 91.320493 92.271664) + (xy 91.324926 92.266828) + (xy 91.413989 92.177764) + (xy 91.413992 92.177761) + (xy 91.467551 92.068203) + (xy 91.4779 91.997174) + (xy 91.4779 91.402826) + (xy 91.477122 91.397489) + (xy 91.473276 91.371092) + (xy 91.467551 91.331797) + (xy 91.413992 91.222239) + (xy 91.413989 91.222236) + (xy 91.413989 91.222235) + (xy 91.374926 91.183172) + (xy 91.353186 91.136552) + (xy 91.3529 91.129998) + (xy 91.3529 90.979654) + (xy 91.353373 90.971236) + (xy 91.355239 90.954673) + (xy 91.355692 90.950648) + (xy 91.378585 90.904585) + (xy 91.425732 90.884013) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 85.872791 93.545493) + (xy 85.877627 93.549926) + (xy 86.321427 93.993726) + (xy 86.343167 94.040346) + (xy 86.329853 94.090033) + (xy 86.287716 94.119538) + (xy 86.268253 94.1221) + (xy 85.557936 94.1221) + (xy 85.554 94.121997) + (xy 85.552192 94.121902) + (xy 85.513551 94.119876) + (xy 85.51355 94.119876) + (xy 85.490597 94.128688) + (xy 85.479286 94.132039) + (xy 85.455235 94.137151) + (xy 85.455229 94.137154) + (xy 85.448324 94.142171) + (xy 85.431085 94.151532) + (xy 85.423104 94.154596) + (xy 85.405712 94.171987) + (xy 85.396746 94.179644) + (xy 85.376855 94.194096) + (xy 85.376854 94.194098) + (xy 85.372582 94.201497) + (xy 85.360635 94.217065) + (xy 85.177625 94.400074) + (xy 85.131006 94.421814) + (xy 85.124452 94.4221) + (xy 82.975547 94.4221) + (xy 82.927209 94.404507) + (xy 82.922373 94.400074) + (xy 82.178574 93.656274) + (xy 82.156834 93.609654) + (xy 82.170148 93.559967) + (xy 82.212285 93.530462) + (xy 82.231748 93.5279) + (xy 85.824453 93.5279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 88.022791 92.645493) + (xy 88.027627 92.649926) + (xy 88.096427 92.718726) + (xy 88.118167 92.765346) + (xy 88.104853 92.815033) + (xy 88.062716 92.844538) + (xy 88.043254 92.8471) + (xy 87.952552 92.8471) + (xy 87.952551 92.847101) + (xy 87.886078 92.860323) + (xy 87.810692 92.910693) + (xy 87.760323 92.986077) + (xy 87.760322 92.98608) + (xy 87.7471 93.052551) + (xy 87.7471 93.4344) + (xy 87.729507 93.482738) + (xy 87.684958 93.508458) + (xy 87.6719 93.5096) + (xy 87.413048 93.5096) + (xy 87.36471 93.492007) + (xy 87.359874 93.487574) + (xy 86.628574 92.756274) + (xy 86.606834 92.709654) + (xy 86.620148 92.659967) + (xy 86.662285 92.630462) + (xy 86.681748 92.6279) + (xy 87.974453 92.6279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.329884 90.495493) + (xy 90.355604 90.540042) + (xy 90.35047 90.583175) + (xy 90.336674 90.614792) + (xy 90.336155 90.615954) + (xy 90.310976 90.67109) + (xy 90.309968 90.674525) + (xy 90.307988 90.679974) + (xy 90.307591 90.681457) + (xy 90.300854 90.741242) + (xy 90.300562 90.743521) + (xy 90.292443 90.799999) + (xy 90.292443 90.805376) + (xy 90.292199 90.805376) + (xy 90.292369 90.816546) + (xy 90.292304 90.817121) + (xy 90.292304 90.817125) + (xy 90.302825 90.872735) + (xy 90.30337 90.876011) + (xy 90.310975 90.928905) + (xy 90.310977 90.92891) + (xy 90.311417 90.929873) + (xy 90.316903 90.947133) + (xy 90.317686 90.951273) + (xy 90.317688 90.951277) + (xy 90.342458 90.998147) + (xy 90.344376 91.002043) + (xy 90.347298 91.00844) + (xy 90.365079 91.047374) + (xy 90.36508 91.047375) + (xy 90.368157 91.050927) + (xy 90.377808 91.06503) + (xy 90.379549 91.068324) + (xy 90.381482 91.071981) + (xy 90.405699 91.096197) + (xy 90.42744 91.142817) + (xy 90.414127 91.192504) + (xy 90.4057 91.202546) + (xy 90.38601 91.222235) + (xy 90.386008 91.222238) + (xy 90.386008 91.222239) + (xy 90.354182 91.28734) + (xy 90.332449 91.331797) + (xy 90.3221 91.402817) + (xy 90.3221 91.997182) + (xy 90.329872 92.050519) + (xy 90.332449 92.068203) + (xy 90.386008 92.177761) + (xy 90.386009 92.177762) + (xy 90.38601 92.177764) + (xy 90.475074 92.266828) + (xy 90.496814 92.313448) + (xy 90.4971 92.320002) + (xy 90.4971 92.562374) + (xy 90.496174 92.574138) + (xy 90.492078 92.599999) + (xy 90.492078 92.6) + (xy 90.512043 92.726057) + (xy 90.518006 92.737759) + (xy 90.524276 92.788815) + (xy 90.49626 92.831957) + (xy 90.456097 92.845405) + (xy 90.456229 92.846739) + (xy 90.452551 92.847101) + (xy 90.386076 92.860323) + (xy 90.386074 92.860324) + (xy 90.341776 92.889922) + (xy 90.291811 92.902148) + (xy 90.258221 92.889922) + (xy 90.213922 92.860323) + (xy 90.213919 92.860322) + (xy 90.147448 92.8471) + (xy 89.952552 92.8471) + (xy 89.952551 92.847101) + (xy 89.886076 92.860323) + (xy 89.886074 92.860324) + (xy 89.841776 92.889922) + (xy 89.791811 92.902148) + (xy 89.758221 92.889922) + (xy 89.713922 92.860323) + (xy 89.713919 92.860322) + (xy 89.647448 92.8471) + (xy 89.452552 92.8471) + (xy 89.452551 92.847101) + (xy 89.386076 92.860323) + (xy 89.386074 92.860324) + (xy 89.341776 92.889922) + (xy 89.291811 92.902148) + (xy 89.258221 92.889922) + (xy 89.213922 92.860323) + (xy 89.213919 92.860322) + (xy 89.147448 92.8471) + (xy 88.952552 92.8471) + (xy 88.952551 92.847101) + (xy 88.886077 92.860323) + (xy 88.881461 92.862235) + (xy 88.830069 92.864473) + (xy 88.789263 92.833154) + (xy 88.782485 92.819706) + (xy 88.779601 92.812194) + (xy 88.771308 92.79059) + (xy 88.767958 92.77928) + (xy 88.762848 92.755234) + (xy 88.75783 92.748327) + (xy 88.748463 92.731075) + (xy 88.746796 92.726733) + (xy 88.745403 92.723104) + (xy 88.728013 92.705714) + (xy 88.720354 92.696747) + (xy 88.71612 92.690919) + (xy 88.705901 92.676854) + (xy 88.7059 92.676853) + (xy 88.698506 92.672584) + (xy 88.682934 92.660634) + (xy 88.266758 92.244458) + (xy 88.264047 92.241602) + (xy 88.236949 92.211506) + (xy 88.236945 92.211503) + (xy 88.214486 92.201504) + (xy 88.204116 92.195874) + (xy 88.183496 92.182483) + (xy 88.175064 92.181148) + (xy 88.156243 92.175573) + (xy 88.148442 92.1721) + (xy 88.123848 92.1721) + (xy 88.112084 92.171174) + (xy 88.087808 92.167329) + (xy 88.087804 92.167329) + (xy 88.07956 92.169538) + (xy 88.060099 92.1721) + (xy 87.681747 92.1721) + (xy 87.633409 92.154507) + (xy 87.607689 92.109958) + (xy 87.616622 92.0593) + (xy 87.628573 92.043726) + (xy 87.822299 91.85) + (xy 88.822601 91.85) + (xy 88.822601 91.997142) + (xy 88.832934 92.068059) + (xy 88.886421 92.177469) + (xy 88.97253 92.263578) + (xy 89.081941 92.317065) + (xy 89.08194 92.317065) + (xy 89.152863 92.327399) + (xy 89.25 92.327399) + (xy 89.25 91.85) + (xy 89.55 91.85) + (xy 89.55 92.327399) + (xy 89.64713 92.327399) + (xy 89.647142 92.327398) + (xy 89.718059 92.317065) + (xy 89.827469 92.263578) + (xy 89.913578 92.177469) + (xy 89.967065 92.068058) + (xy 89.977399 91.997141) + (xy 89.9774 91.997131) + (xy 89.9774 91.85) + (xy 89.55 91.85) + (xy 89.25 91.85) + (xy 88.822601 91.85) + (xy 87.822299 91.85) + (xy 88.122299 91.55) + (xy 88.8226 91.55) + (xy 89.25 91.55) + (xy 89.25 91.0726) + (xy 89.55 91.0726) + (xy 89.55 91.55) + (xy 89.977399 91.55) + (xy 89.977399 91.402869) + (xy 89.977398 91.402857) + (xy 89.967065 91.33194) + (xy 89.913578 91.22253) + (xy 89.827469 91.136421) + (xy 89.718058 91.082934) + (xy 89.718059 91.082934) + (xy 89.647141 91.0726) + (xy 89.55 91.0726) + (xy 89.25 91.0726) + (xy 89.15287 91.0726) + (xy 89.152856 91.072601) + (xy 89.08194 91.082934) + (xy 88.97253 91.136421) + (xy 88.886421 91.22253) + (xy 88.832934 91.331941) + (xy 88.8226 91.402858) + (xy 88.8226 91.55) + (xy 88.122299 91.55) + (xy 89.172373 90.499926) + (xy 89.218993 90.478186) + (xy 89.225547 90.4779) + (xy 90.281546 90.4779) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 143.0093 80.39246) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 145.03254 82.4157) + (xy 145.034 82.430448) + (xy 145.034 129.532552) + (xy 145.03254 129.5473) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.0093 131.57054) + (xy 142.994552 131.572) + (xy 138.1501 131.572) + (xy 138.101762 131.554407) + (xy 138.076042 131.509858) + (xy 138.0749 131.4968) + (xy 138.0749 130.279552) + (xy 138.092493 130.231214) + (xy 138.096926 130.226378) + (xy 138.783302 129.540002) + (xy 141.921892 129.540002) + (xy 141.940283 129.738468) + (xy 141.940284 129.738477) + (xy 141.994826 129.930171) + (xy 141.994832 129.930187) + (xy 142.083671 130.1086) + (xy 142.203787 130.26766) + (xy 142.20379 130.267663) + (xy 142.203791 130.267664) + (xy 142.219602 130.282078) + (xy 142.351087 130.401943) + (xy 142.351089 130.401944) + (xy 142.35109 130.401945) + (xy 142.520554 130.506873) + (xy 142.706414 130.578876) + (xy 142.90234 130.6155) + (xy 142.902345 130.6155) + (xy 143.101655 130.6155) + (xy 143.10166 130.6155) + (xy 143.297586 130.578876) + (xy 143.483446 130.506873) + (xy 143.65291 130.401945) + (xy 143.800209 130.267664) + (xy 143.920326 130.108604) + (xy 144.009171 129.93018) + (xy 144.063717 129.738469) + (xy 144.082108 129.54) + (xy 144.080996 129.528003) + (xy 144.075783 129.471746) + (xy 144.063717 129.341531) + (xy 144.063715 129.341525) + (xy 144.063715 129.341522) + (xy 144.011007 129.156274) + (xy 144.009171 129.14982) + (xy 143.975949 129.083102) + (xy 143.920328 128.971399) + (xy 143.904112 128.949926) + (xy 143.83339 128.856274) + (xy 143.800212 128.812339) + (xy 143.800209 128.812336) + (xy 143.652912 128.678056) + (xy 143.48345 128.573129) + (xy 143.483447 128.573128) + (xy 143.483446 128.573127) + (xy 143.351439 128.521987) + (xy 143.297584 128.501123) + (xy 143.188913 128.48081) + (xy 143.10166 128.4645) + (xy 142.90234 128.4645) + (xy 142.863213 128.471814) + (xy 142.706415 128.501123) + (xy 142.520549 128.573129) + (xy 142.351087 128.678056) + (xy 142.20379 128.812336) + (xy 142.203787 128.812339) + (xy 142.083671 128.971399) + (xy 141.994832 129.149812) + (xy 141.994826 129.149828) + (xy 141.940284 129.341522) + (xy 141.940283 129.341531) + (xy 141.921892 129.539997) + (xy 141.921892 129.540002) + (xy 138.783302 129.540002) + (xy 141.368314 126.95499) + (xy 142.171845 126.95499) + (xy 142.17705 127.050984) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.31388 127.466555) + (xy 142.313881 127.466556) + (xy 142.336051 127.492656) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.830598 127.054507) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.819691 126.855664) + (xy 143.797553 126.775931) + (xy 143.774327 126.692277) + (xy 143.77161 126.687152) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.689703 126.532955) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.209021 126.199283) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.934243 126.175995) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 141.368314 126.95499) + (xy 141.889605 126.433699) + (xy 141.889626 126.433678) + (xy 141.894371 126.428723) + (xy 141.898804 126.423887) + (xy 141.930785 126.371193) + (xy 141.948378 126.322855) + (xy 141.957899 126.268856) + (xy 141.9579 126.268856) + (xy 141.9579 125.231145) + (xy 141.957815 125.227254) + (xy 141.95775 125.224264) + (xy 141.957464 125.21771) + (xy 141.942819 125.157861) + (xy 141.921079 125.111241) + (xy 141.90771 125.092148) + (xy 141.88963 125.066327) + (xy 141.889619 125.066314) + (xy 141.483699 124.660394) + (xy 141.47873 124.655636) + (xy 141.478723 124.655629) + (xy 141.473887 124.651196) + (xy 141.473885 124.651194) + (xy 141.421191 124.619214) + (xy 141.372856 124.601622) + (xy 141.318856 124.5921) + (xy 141.318852 124.5921) + (xy 140.950236 124.5921) + (xy 140.901898 124.574507) + (xy 140.883232 124.55104) + (xy 140.877754 124.540289) + (xy 140.78471 124.447245) + (xy 140.667466 124.387507) + (xy 140.667468 124.387507) + (xy 140.570194 124.3721) + (xy 140.570192 124.3721) + (xy 139.629808 124.3721) + (xy 139.629805 124.3721) + (xy 139.532532 124.387507) + (xy 139.415289 124.447245) + (xy 139.400401 124.462134) + (xy 139.353781 124.483874) + (xy 139.304094 124.47056) + (xy 139.294053 124.462134) + (xy 138.648548 123.816629) + (xy 138.646763 123.814783) + (xy 138.602211 123.767079) + (xy 138.602204 123.767073) + (xy 138.564789 123.744321) + (xy 138.558424 123.739989) + (xy 138.52353 123.713528) + (xy 138.502838 123.705368) + (xy 138.491357 123.699665) + (xy 138.472352 123.688108) + (xy 138.472347 123.688106) + (xy 138.430177 123.67629) + (xy 138.42288 123.673836) + (xy 138.382138 123.65777) + (xy 138.360004 123.655494) + (xy 138.347408 123.6531) + (xy 138.342805 123.65181) + (xy 138.325994 123.6471) + (xy 138.325993 123.6471) + (xy 138.282205 123.6471) + (xy 138.274515 123.646706) + (xy 138.230943 123.642226) + (xy 138.227856 123.642332) + (xy 138.219116 123.6421) + (xy 138.218852 123.6421) + (xy 136.9752 123.6421) + (xy 136.967959 123.642415) + (xy 136.961434 123.6427) + (xy 136.948385 123.643842) + (xy 136.944353 123.644644) + (xy 136.944296 123.644357) + (xy 136.926477 123.6471) + (xy 136.791497 123.6471) + (xy 136.722911 123.657094) + (xy 136.617099 123.708822) + (xy 136.533822 123.792099) + (xy 136.482094 123.897911) + (xy 136.4721 123.966497) + (xy 136.4721 124.433502) + (xy 136.481726 124.499562) + (xy 136.482094 124.502088) + (xy 136.499724 124.538152) + (xy 136.533822 124.6079) + (xy 136.617099 124.691177) + (xy 136.617101 124.691178) + (xy 136.617102 124.691179) + (xy 136.699927 124.731669) + (xy 136.735626 124.768704) + (xy 136.7421 124.799228) + (xy 136.7421 126.609397) + (xy 136.728335 126.652765) + (xy 136.715508 126.670936) + (xy 136.713734 126.673346) + (xy 136.676236 126.722216) + (xy 136.674173 126.725322) + (xy 136.673527 126.726471) + (xy 136.673313 126.727015) + (xy 136.66481 126.74276) + (xy 136.664473 126.743236) + (xy 136.66447 126.743242) + (xy 136.644409 126.799687) + (xy 136.643028 126.80328) + (xy 136.62133 126.855664) + (xy 136.620056 126.860419) + (xy 136.619581 126.860291) + (xy 136.618918 126.862845) + (xy 136.618988 126.862862) + (xy 136.617768 126.867867) + (xy 136.61771 126.868439) + (xy 136.613769 126.885906) + (xy 136.613574 126.886452) + (xy 136.60973 126.942649) + (xy 136.609262 126.94733) + (xy 136.602329 127) + (xy 136.602329 127.004925) + (xy 136.602304 127.004925) + (xy 136.602471 127.016675) + (xy 136.602226 127.019055) + (xy 136.602325 127.019627) + (xy 136.603241 127.037511) + (xy 136.603202 127.038077) + (xy 136.603202 127.038085) + (xy 136.613932 127.089718) + (xy 136.614861 127.0952) + (xy 136.62133 127.144336) + (xy 136.622607 127.149101) + (xy 136.621824 127.14931) + (xy 136.6261 127.170808) + (xy 136.6261 127.485287) + (xy 136.608507 127.533625) + (xy 136.604074 127.538461) + (xy 136.557245 127.585289) + (xy 136.497507 127.702532) + (xy 136.4821 127.799805) + (xy 136.4821 128.740194) + (xy 136.497507 128.837467) + (xy 136.557245 128.95471) + (xy 136.579743 128.977208) + (xy 136.601483 129.023828) + (xy 136.588169 129.073515) + (xy 136.570771 129.09122) + (xy 136.544442 129.110348) + (xy 136.544441 129.110349) + (xy 136.415752 129.253272) + (xy 136.319594 129.419824) + (xy 136.260162 129.602737) + (xy 136.24006 129.794) + (xy 136.244688 129.838032) + (xy 136.2451 129.845893) + (xy 136.2451 131.4968) + (xy 136.227507 131.545138) + (xy 136.182958 131.570858) + (xy 136.1699 131.572) + (xy 135.354712 131.572) + (xy 135.309274 131.55672) + (xy 135.26513 131.523245) + (xy 135.265128 131.523244) + (xy 135.128593 131.469401) + (xy 135.048696 131.459806) + (xy 135.002801 131.436575) + (xy 134.982577 131.389277) + (xy 134.99066 131.351002) + (xy 135.007956 131.317057) + (xy 135.007956 131.317056) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.24934 131.351003) + (xy 134.255609 131.402059) + (xy 134.227592 131.4452) + (xy 134.191303 131.459806) + (xy 134.111406 131.469401) + (xy 133.974871 131.523244) + (xy 133.97487 131.523245) + (xy 133.930725 131.55672) + (xy 133.885288 131.572) + (xy 132.814712 131.572) + (xy 132.769274 131.55672) + (xy 132.72513 131.523245) + (xy 132.725128 131.523244) + (xy 132.588593 131.469401) + (xy 132.508696 131.459806) + (xy 132.462801 131.436575) + (xy 132.442577 131.389277) + (xy 132.45066 131.351002) + (xy 132.467956 131.317057) + (xy 132.467956 131.317056) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.70934 131.351003) + (xy 131.715609 131.402059) + (xy 131.687592 131.4452) + (xy 131.651303 131.459806) + (xy 131.571406 131.469401) + (xy 131.434871 131.523244) + (xy 131.43487 131.523245) + (xy 131.390725 131.55672) + (xy 131.345288 131.572) + (xy 130.274712 131.572) + (xy 130.229274 131.55672) + (xy 130.18513 131.523245) + (xy 130.185128 131.523244) + (xy 130.048597 131.469403) + (xy 129.962803 131.4591) + (xy 129.962802 131.4591) + (xy 129.117198 131.4591) + (xy 129.117197 131.4591) + (xy 129.031402 131.469403) + (xy 128.894871 131.523244) + (xy 128.89487 131.523245) + (xy 128.850725 131.55672) + (xy 128.805288 131.572) + (xy 127.734712 131.572) + (xy 127.689274 131.55672) + (xy 127.64513 131.523245) + (xy 127.645128 131.523244) + (xy 127.508597 131.469403) + (xy 127.422803 131.4591) + (xy 127.422802 131.4591) + (xy 126.577198 131.4591) + (xy 126.577197 131.4591) + (xy 126.491402 131.469403) + (xy 126.354871 131.523244) + (xy 126.35487 131.523245) + (xy 126.310725 131.55672) + (xy 126.265288 131.572) + (xy 125.194712 131.572) + (xy 125.149274 131.55672) + (xy 125.10513 131.523245) + (xy 125.105128 131.523244) + (xy 124.968597 131.469403) + (xy 124.882803 131.4591) + (xy 124.882802 131.4591) + (xy 124.7631 131.4591) + (xy 124.714762 131.441507) + (xy 124.689042 131.396958) + (xy 124.6879 131.3839) + (xy 124.6879 129.217928) + (xy 124.688003 129.213991) + (xy 124.690123 129.173551) + (xy 124.68131 129.150595) + (xy 124.67796 129.139288) + (xy 124.672848 129.115234) + (xy 124.66783 129.108327) + (xy 124.658463 129.091075) + (xy 124.655403 129.083104) + (xy 124.655402 129.083102) + (xy 124.638018 129.065718) + (xy 124.630355 129.056747) + (xy 124.615901 129.036854) + (xy 124.608656 129.032671) + (xy 124.608503 129.032582) + (xy 124.592933 129.020634) + (xy 123.992299 128.42) + (xy 134.7826 128.42) + (xy 134.7826 128.740156) + (xy 134.797988 128.83731) + (xy 134.857656 128.954414) + (xy 134.950585 129.047343) + (xy 135.06769 129.107011) + (xy 135.067688 129.107011) + (xy 135.164843 129.122399) + (xy 135.16485 129.1224) + (xy 135.31 129.1224) + (xy 135.31 128.42) + (xy 135.61 128.42) + (xy 135.61 129.1224) + (xy 135.75515 129.1224) + (xy 135.755156 129.122399) + (xy 135.85231 129.107011) + (xy 135.969414 129.047343) + (xy 136.062343 128.954414) + (xy 136.122011 128.83731) + (xy 136.137399 128.740156) + (xy 136.1374 128.740149) + (xy 136.1374 128.42) + (xy 135.61 128.42) + (xy 135.31 128.42) + (xy 134.7826 128.42) + (xy 123.992299 128.42) + (xy 123.692299 128.12) + (xy 134.7826 128.12) + (xy 135.31 128.12) + (xy 135.31 127.4176) + (xy 135.61 127.4176) + (xy 135.61 128.12) + (xy 136.1374 128.12) + (xy 136.1374 127.79985) + (xy 136.137399 127.799843) + (xy 136.122011 127.702689) + (xy 136.062343 127.585585) + (xy 135.969414 127.492656) + (xy 135.852309 127.432988) + (xy 135.852311 127.432988) + (xy 135.755156 127.4176) + (xy 135.61 127.4176) + (xy 135.31 127.4176) + (xy 135.164843 127.4176) + (xy 135.067689 127.432988) + (xy 134.950585 127.492656) + (xy 134.857656 127.585585) + (xy 134.797988 127.702689) + (xy 134.7826 127.799843) + (xy 134.7826 128.12) + (xy 123.692299 128.12) + (xy 122.316758 126.744458) + (xy 122.314047 126.741602) + (xy 122.286949 126.711506) + (xy 122.286945 126.711503) + (xy 122.264486 126.701504) + (xy 122.254116 126.695874) + (xy 122.233496 126.682483) + (xy 122.225064 126.681148) + (xy 122.206243 126.675573) + (xy 122.198442 126.6721) + (xy 122.173848 126.6721) + (xy 122.162084 126.671174) + (xy 122.137808 126.667329) + (xy 122.137804 126.667329) + (xy 122.12956 126.669538) + (xy 122.110099 126.6721) + (xy 114.207929 126.6721) + (xy 114.203992 126.671997) + (xy 114.163551 126.669876) + (xy 114.140597 126.678688) + (xy 114.129286 126.682039) + (xy 114.105235 126.687151) + (xy 114.105229 126.687154) + (xy 114.098324 126.692171) + (xy 114.081085 126.701532) + (xy 114.073104 126.704596) + (xy 114.055712 126.721987) + (xy 114.046746 126.729645) + (xy 114.026854 126.744098) + (xy 114.026852 126.744101) + (xy 114.022583 126.751495) + (xy 114.010634 126.767065) + (xy 113.251008 127.52669) + (xy 113.204388 127.54843) + (xy 113.186072 127.54779) + (xy 113.150003 127.542078) + (xy 113.149999 127.542078) + (xy 113.023943 127.562043) + (xy 112.910228 127.619984) + (xy 112.819984 127.710228) + (xy 112.762043 127.823943) + (xy 112.742078 127.949999) + (xy 112.742078 127.95) + (xy 112.762043 128.076056) + (xy 112.797423 128.145493) + (xy 112.819984 128.189771) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.480016 128.189771) + (xy 113.515566 128.12) + (xy 114.7166 128.12) + (xy 115.244 128.12) + (xy 115.244 127.4176) + (xy 115.544 127.4176) + (xy 115.544 128.12) + (xy 116.0714 128.12) + (xy 116.0714 127.79985) + (xy 116.071399 127.799843) + (xy 116.056011 127.702689) + (xy 115.996343 127.585585) + (xy 115.903414 127.492656) + (xy 115.786309 127.432988) + (xy 115.786311 127.432988) + (xy 115.689156 127.4176) + (xy 115.544 127.4176) + (xy 115.244 127.4176) + (xy 115.098843 127.4176) + (xy 115.001689 127.432988) + (xy 114.884585 127.492656) + (xy 114.791656 127.585585) + (xy 114.731988 127.702689) + (xy 114.7166 127.799843) + (xy 114.7166 128.12) + (xy 113.515566 128.12) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.552208 127.913927) + (xy 113.562022 127.863433) + (xy 113.5733 127.848997) + (xy 114.272374 127.149924) + (xy 114.318993 127.128186) + (xy 114.325547 127.1279) + (xy 122.024453 127.1279) + (xy 122.072791 127.145493) + (xy 122.077627 127.149926) + (xy 124.210074 129.282373) + (xy 124.231814 129.328993) + (xy 124.2321 129.335547) + (xy 124.2321 131.3839) + (xy 124.214507 131.432238) + (xy 124.169958 131.457958) + (xy 124.1569 131.4591) + (xy 124.037197 131.4591) + (xy 123.951402 131.469403) + (xy 123.814871 131.523244) + (xy 123.81487 131.523245) + (xy 123.770725 131.55672) + (xy 123.725288 131.572) + (xy 122.654712 131.572) + (xy 122.609274 131.55672) + (xy 122.56513 131.523245) + (xy 122.565128 131.523244) + (xy 122.428597 131.469403) + (xy 122.342803 131.4591) + (xy 122.342802 131.4591) + (xy 121.497198 131.4591) + (xy 121.497197 131.4591) + (xy 121.411402 131.469403) + (xy 121.274871 131.523244) + (xy 121.27487 131.523245) + (xy 121.230725 131.55672) + (xy 121.185288 131.572) + (xy 120.114712 131.572) + (xy 120.069274 131.55672) + (xy 120.02513 131.523245) + (xy 120.025128 131.523244) + (xy 119.888597 131.469403) + (xy 119.802803 131.4591) + (xy 119.802802 131.4591) + (xy 119.6831 131.4591) + (xy 119.634762 131.441507) + (xy 119.609042 131.396958) + (xy 119.6079 131.3839) + (xy 119.6079 131.187936) + (xy 119.608003 131.183999) + (xy 119.610123 131.143551) + (xy 119.601311 131.120595) + (xy 119.597959 131.10928) + (xy 119.592848 131.085235) + (xy 119.592848 131.085234) + (xy 119.590439 131.081919) + (xy 119.587831 131.07833) + (xy 119.578463 131.061074) + (xy 119.575404 131.053104) + (xy 119.568021 131.045721) + (xy 119.558007 131.035707) + (xy 119.550355 131.026749) + (xy 119.535901 131.006854) + (xy 119.5359 131.006853) + (xy 119.535899 131.006852) + (xy 119.528506 131.002584) + (xy 119.512934 130.990634) + (xy 118.906758 130.384458) + (xy 118.904047 130.381602) + (xy 118.904006 130.381557) + (xy 118.876949 130.351506) + (xy 118.876945 130.351503) + (xy 118.854486 130.341504) + (xy 118.844116 130.335874) + (xy 118.823496 130.322483) + (xy 118.815064 130.321148) + (xy 118.796243 130.315573) + (xy 118.788442 130.3121) + (xy 118.763848 130.3121) + (xy 118.752084 130.311174) + (xy 118.727808 130.307329) + (xy 118.727804 130.307329) + (xy 118.71956 130.309538) + (xy 118.700099 130.3121) + (xy 114.665547 130.3121) + (xy 114.617209 130.294507) + (xy 114.612373 130.290074) + (xy 112.742299 128.42) + (xy 114.7166 128.42) + (xy 114.7166 128.740156) + (xy 114.731988 128.83731) + (xy 114.791656 128.954414) + (xy 114.884585 129.047343) + (xy 115.00169 129.107011) + (xy 115.001688 129.107011) + (xy 115.098843 129.122399) + (xy 115.09885 129.1224) + (xy 115.244 129.1224) + (xy 115.244 128.42) + (xy 115.544 128.42) + (xy 115.544 129.1224) + (xy 115.68915 129.1224) + (xy 115.689156 129.122399) + (xy 115.78631 129.107011) + (xy 115.903414 129.047343) + (xy 115.996343 128.954414) + (xy 116.056011 128.83731) + (xy 116.071393 128.740194) + (xy 116.4161 128.740194) + (xy 116.431507 128.837467) + (xy 116.491245 128.95471) + (xy 116.519074 128.982539) + (xy 116.540814 129.029159) + (xy 116.5411 129.035713) + (xy 116.5411 129.498829) + (xy 116.540456 129.508644) + (xy 116.53633 129.539997) + (xy 116.536329 129.540001) + (xy 116.540568 129.572207) + (xy 116.541034 129.576864) + (xy 116.541098 129.577807) + (xy 116.545994 129.613435) + (xy 116.54605 129.613854) + (xy 116.555505 129.685661) + (xy 116.556236 129.689283) + (xy 116.556597 129.690573) + (xy 116.584753 129.755396) + (xy 116.585253 129.756576) + (xy 116.611041 129.818833) + (xy 116.613506 129.823102) + (xy 116.613073 129.823351) + (xy 116.614413 129.825628) + (xy 116.61448 129.825588) + (xy 116.617149 129.829978) + (xy 116.659481 129.882011) + (xy 116.660807 129.88369) + (xy 116.699669 129.934336) + (xy 116.70315 129.937817) + (xy 116.703132 129.937834) + (xy 116.711564 129.94603) + (xy 116.713067 129.947877) + (xy 116.713069 129.94788) + (xy 116.764918 129.984477) + (xy 116.767332 129.986254) + (xy 116.779966 129.995948) + (xy 116.815164 130.022957) + (xy 116.817747 130.024026) + (xy 116.832339 130.032068) + (xy 116.837237 130.035526) + (xy 116.837238 130.035526) + (xy 116.837239 130.035527) + (xy 116.893686 130.055588) + (xy 116.897251 130.056958) + (xy 116.949664 130.078669) + (xy 116.956022 130.079505) + (xy 116.971389 130.083204) + (xy 116.980452 130.086425) + (xy 117.036641 130.090268) + (xy 117.041324 130.090736) + (xy 117.048163 130.091636) + (xy 117.094 130.097671) + (xy 117.103944 130.096361) + (xy 117.118889 130.095894) + (xy 117.132082 130.096797) + (xy 117.132085 130.096797) + (xy 117.132085 130.096796) + (xy 117.132086 130.096797) + (xy 117.183752 130.08606) + (xy 117.189189 130.085138) + (xy 117.238336 130.078669) + (xy 117.25093 130.073451) + (xy 117.264409 130.069299) + (xy 117.280896 130.065874) + (xy 117.280897 130.065873) + (xy 117.280899 130.065873) + (xy 117.32455 130.043254) + (xy 117.330363 130.040549) + (xy 117.372836 130.022957) + (xy 117.386513 130.01246) + (xy 117.397695 130.005352) + (xy 117.415844 129.995949) + (xy 117.449167 129.964826) + (xy 117.454701 129.960139) + (xy 117.488328 129.934337) + (xy 117.488328 129.934336) + (xy 117.488333 129.934333) + (xy 117.501022 129.917795) + (xy 117.509349 129.90862) + (xy 117.526923 129.892209) + (xy 117.548748 129.856317) + (xy 117.553326 129.84963) + (xy 117.576957 129.818836) + (xy 117.586312 129.796249) + (xy 117.591523 129.785977) + (xy 117.605894 129.762347) + (xy 117.616258 129.725352) + (xy 117.619195 129.716862) + (xy 117.623905 129.705494) + (xy 117.632669 129.684336) + (xy 117.636328 129.656536) + (xy 117.638471 129.646075) + (xy 117.6469 129.615994) + (xy 117.6469 129.58117) + (xy 117.647543 129.571355) + (xy 117.650153 129.551527) + (xy 117.651671 129.54) + (xy 117.647543 129.508644) + (xy 117.6469 129.498829) + (xy 117.6469 129.035713) + (xy 117.664493 128.987375) + (xy 117.668926 128.982539) + (xy 117.680069 128.971396) + (xy 117.696755 128.95471) + (xy 117.756493 128.837466) + (xy 117.7719 128.740194) + (xy 118.4481 128.740194) + (xy 118.463507 128.837467) + (xy 118.523245 128.95471) + (xy 118.551074 128.982539) + (xy 118.572814 129.029159) + (xy 118.5731 129.035713) + (xy 118.5731 129.498829) + (xy 118.572456 129.508644) + (xy 118.56833 129.539997) + (xy 118.568329 129.540001) + (xy 118.572568 129.572207) + (xy 118.573034 129.576864) + (xy 118.573098 129.577807) + (xy 118.577994 129.613435) + (xy 118.57805 129.613854) + (xy 118.587505 129.685661) + (xy 118.588236 129.689283) + (xy 118.588597 129.690573) + (xy 118.616753 129.755396) + (xy 118.617253 129.756576) + (xy 118.643041 129.818833) + (xy 118.645506 129.823102) + (xy 118.645073 129.823351) + (xy 118.646413 129.825628) + (xy 118.64648 129.825588) + (xy 118.649149 129.829978) + (xy 118.691481 129.882011) + (xy 118.692807 129.88369) + (xy 118.731669 129.934336) + (xy 118.73515 129.937817) + (xy 118.735132 129.937834) + (xy 118.743564 129.94603) + (xy 118.745067 129.947877) + (xy 118.745069 129.94788) + (xy 118.796918 129.984477) + (xy 118.799332 129.986254) + (xy 118.811966 129.995948) + (xy 118.847164 130.022957) + (xy 118.849747 130.024026) + (xy 118.864339 130.032068) + (xy 118.869237 130.035526) + (xy 118.869238 130.035526) + (xy 118.869239 130.035527) + (xy 118.925686 130.055588) + (xy 118.929251 130.056958) + (xy 118.981664 130.078669) + (xy 118.988022 130.079505) + (xy 119.003389 130.083204) + (xy 119.012452 130.086425) + (xy 119.068641 130.090268) + (xy 119.073324 130.090736) + (xy 119.080163 130.091636) + (xy 119.126 130.097671) + (xy 119.135944 130.096361) + (xy 119.150889 130.095894) + (xy 119.164082 130.096797) + (xy 119.164085 130.096797) + (xy 119.164085 130.096796) + (xy 119.164086 130.096797) + (xy 119.215752 130.08606) + (xy 119.221189 130.085138) + (xy 119.270336 130.078669) + (xy 119.28293 130.073451) + (xy 119.296409 130.069299) + (xy 119.312896 130.065874) + (xy 119.312897 130.065873) + (xy 119.312899 130.065873) + (xy 119.35655 130.043254) + (xy 119.362363 130.040549) + (xy 119.404836 130.022957) + (xy 119.418513 130.01246) + (xy 119.429695 130.005352) + (xy 119.447844 129.995949) + (xy 119.481167 129.964826) + (xy 119.486701 129.960139) + (xy 119.520328 129.934337) + (xy 119.520328 129.934336) + (xy 119.520333 129.934333) + (xy 119.533022 129.917795) + (xy 119.541349 129.90862) + (xy 119.558923 129.892209) + (xy 119.580748 129.856317) + (xy 119.585326 129.84963) + (xy 119.608957 129.818836) + (xy 119.618312 129.796249) + (xy 119.623523 129.785977) + (xy 119.637894 129.762347) + (xy 119.648258 129.725352) + (xy 119.651195 129.716862) + (xy 119.655905 129.705494) + (xy 119.664669 129.684336) + (xy 119.668328 129.656536) + (xy 119.670471 129.646075) + (xy 119.6789 129.615994) + (xy 119.6789 129.58117) + (xy 119.679543 129.571355) + (xy 119.682153 129.551527) + (xy 119.683671 129.54) + (xy 119.679543 129.508644) + (xy 119.6789 129.498829) + (xy 119.6789 129.035713) + (xy 119.696493 128.987375) + (xy 119.700926 128.982539) + (xy 119.712069 128.971396) + (xy 119.728755 128.95471) + (xy 119.788493 128.837466) + (xy 119.8039 128.740192) + (xy 119.8039 128.42) + (xy 120.1486 128.42) + (xy 120.1486 128.740156) + (xy 120.163988 128.83731) + (xy 120.223656 128.954414) + (xy 120.316585 129.047343) + (xy 120.43369 129.107011) + (xy 120.433688 129.107011) + (xy 120.530843 129.122399) + (xy 120.53085 129.1224) + (xy 120.676 129.1224) + (xy 120.676 128.42) + (xy 120.976 128.42) + (xy 120.976 129.1224) + (xy 121.12115 129.1224) + (xy 121.121156 129.122399) + (xy 121.21831 129.107011) + (xy 121.335414 129.047343) + (xy 121.428343 128.954414) + (xy 121.488011 128.83731) + (xy 121.503399 128.740156) + (xy 121.5034 128.740149) + (xy 121.5034 128.42) + (xy 120.976 128.42) + (xy 120.676 128.42) + (xy 120.1486 128.42) + (xy 119.8039 128.42) + (xy 119.8039 128.12) + (xy 120.1486 128.12) + (xy 120.676 128.12) + (xy 120.676 127.4176) + (xy 120.976 127.4176) + (xy 120.976 128.12) + (xy 121.5034 128.12) + (xy 121.5034 127.79985) + (xy 121.503399 127.799843) + (xy 121.488011 127.702689) + (xy 121.428343 127.585585) + (xy 121.335414 127.492656) + (xy 121.218309 127.432988) + (xy 121.218311 127.432988) + (xy 121.121156 127.4176) + (xy 120.976 127.4176) + (xy 120.676 127.4176) + (xy 120.530843 127.4176) + (xy 120.433689 127.432988) + (xy 120.316585 127.492656) + (xy 120.223656 127.585585) + (xy 120.163988 127.702689) + (xy 120.1486 127.799843) + (xy 120.1486 128.12) + (xy 119.8039 128.12) + (xy 119.8039 127.799808) + (xy 119.788493 127.702534) + (xy 119.728755 127.58529) + (xy 119.63571 127.492245) + (xy 119.518466 127.432507) + (xy 119.518468 127.432507) + (xy 119.421194 127.4171) + (xy 119.421192 127.4171) + (xy 118.830808 127.4171) + (xy 118.830805 127.4171) + (xy 118.733532 127.432507) + (xy 118.616289 127.492245) + (xy 118.523245 127.585289) + (xy 118.463507 127.702532) + (xy 118.4481 127.799805) + (xy 118.4481 128.740194) + (xy 117.7719 128.740194) + (xy 117.7719 128.740192) + (xy 117.7719 127.799808) + (xy 117.756493 127.702534) + (xy 117.696755 127.58529) + (xy 117.60371 127.492245) + (xy 117.486466 127.432507) + (xy 117.486468 127.432507) + (xy 117.389194 127.4171) + (xy 117.389192 127.4171) + (xy 116.798808 127.4171) + (xy 116.798805 127.4171) + (xy 116.701532 127.432507) + (xy 116.584289 127.492245) + (xy 116.491245 127.585289) + (xy 116.431507 127.702532) + (xy 116.4161 127.799805) + (xy 116.4161 128.740194) + (xy 116.071393 128.740194) + (xy 116.071399 128.740156) + (xy 116.0714 128.740149) + (xy 116.0714 128.42) + (xy 115.544 128.42) + (xy 115.244 128.42) + (xy 114.7166 128.42) + (xy 112.742299 128.42) + (xy 112.705847 128.383548) + (xy 110.785758 126.463458) + (xy 110.783047 126.460602) + (xy 110.755949 126.430506) + (xy 110.755945 126.430503) + (xy 110.733486 126.420504) + (xy 110.723116 126.414874) + (xy 110.702496 126.401483) + (xy 110.694064 126.400148) + (xy 110.675243 126.394573) + (xy 110.667442 126.3911) + (xy 110.642848 126.3911) + (xy 110.631084 126.390174) + (xy 110.606808 126.386329) + (xy 110.606804 126.386329) + (xy 110.59856 126.388538) + (xy 110.579099 126.3911) + (xy 110.171048 126.3911) + (xy 110.12271 126.373507) + (xy 110.117873 126.369074) + (xy 109.849925 126.101125) + (xy 109.828186 126.054505) + (xy 109.8279 126.047951) + (xy 109.8279 125.844779) + (xy 109.845493 125.796441) + (xy 109.861313 125.782258) + (xy 109.883673 125.767318) + (xy 109.933636 125.755093) + (xy 109.967229 125.76732) + (xy 110.034141 125.81203) + (xy 110.1 125.825129) + (xy 110.1 125.1) + (xy 110.4 125.1) + (xy 110.4 125.825129) + (xy 110.465858 125.81203) + (xy 110.53322 125.76702) + (xy 110.583186 125.754793) + (xy 110.61678 125.76702) + (xy 110.684141 125.81203) + (xy 110.75 125.825129) + (xy 110.75 125.1) + (xy 111.05 125.1) + (xy 111.05 125.825129) + (xy 111.115858 125.81203) + (xy 111.18322 125.76702) + (xy 111.233186 125.754793) + (xy 111.26678 125.76702) + (xy 111.334141 125.81203) + (xy 111.4 125.825129) + (xy 111.4 125.1) + (xy 111.7 125.1) + (xy 111.7 125.825129) + (xy 111.765858 125.81203) + (xy 111.853481 125.753481) + (xy 111.91203 125.665859) + (xy 111.912031 125.665857) + (xy 111.9274 125.588592) + (xy 111.9274 125.1) + (xy 111.7 125.1) + (xy 111.4 125.1) + (xy 111.05 125.1) + (xy 110.75 125.1) + (xy 110.4 125.1) + (xy 110.1 125.1) + (xy 110.1 124.8) + (xy 110.4 124.8) + (xy 110.75 124.8) + (xy 111.05 124.8) + (xy 111.4 124.8) + (xy 111.4 124.074868) + (xy 111.7 124.074868) + (xy 111.7 124.8) + (xy 111.9274 124.8) + (xy 111.9274 124.311407) + (xy 111.912031 124.234142) + (xy 111.91203 124.23414) + (xy 111.853481 124.146518) + (xy 111.765859 124.087969) + (xy 111.765857 124.087968) + (xy 111.7 124.074868) + (xy 111.4 124.074868) + (xy 111.399999 124.074868) + (xy 111.334142 124.087968) + (xy 111.334137 124.08797) + (xy 111.266779 124.132979) + (xy 111.216814 124.145206) + (xy 111.183221 124.132979) + (xy 111.115862 124.08797) + (xy 111.115857 124.087968) + (xy 111.05 124.074868) + (xy 111.05 124.8) + (xy 110.75 124.8) + (xy 110.75 124.074868) + (xy 110.749999 124.074868) + (xy 110.684142 124.087968) + (xy 110.684137 124.08797) + (xy 110.616779 124.132979) + (xy 110.566814 124.145206) + (xy 110.533221 124.132979) + (xy 110.465862 124.08797) + (xy 110.465857 124.087968) + (xy 110.4 124.074868) + (xy 110.4 124.8) + (xy 110.1 124.8) + (xy 110.1 124.074868) + (xy 110.099999 124.074868) + (xy 110.034142 124.087968) + (xy 110.034141 124.087969) + (xy 109.967228 124.132679) + (xy 109.917262 124.144905) + (xy 109.88367 124.132679) + (xy 109.83634 124.101054) + (xy 109.816054 124.087499) + (xy 109.816052 124.087498) + (xy 109.738637 124.0721) + (xy 109.461362 124.0721) + (xy 109.461361 124.072101) + (xy 109.383945 124.087499) + (xy 109.316777 124.132379) + (xy 109.266812 124.144605) + (xy 109.233219 124.132378) + (xy 109.21132 124.117745) + (xy 109.180904 124.076261) + (xy 109.1779 124.055219) + (xy 109.1779 123.423035) + (xy 109.195493 123.374697) + (xy 109.199926 123.369861) + (xy 109.238712 123.331075) + (xy 109.280016 123.289771) + (xy 109.337957 123.176055) + (xy 109.357922 123.05) + (xy 109.337957 122.923945) + (xy 109.280016 122.810229) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.619984 123.289771) + (xy 108.700074 123.369861) + (xy 108.721814 123.416481) + (xy 108.7221 123.423035) + (xy 108.7221 123.470765) + (xy 108.704507 123.519103) + (xy 108.659958 123.544823) + (xy 108.6093 123.53589) + (xy 108.593726 123.523939) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173943 123.412043) + (xy 108.060228 123.469984) + (xy 108.006274 123.523939) + (xy 107.959654 123.545679) + (xy 107.909967 123.532365) + (xy 107.880462 123.490228) + (xy 107.8779 123.470765) + (xy 107.8779 123.423035) + (xy 107.895493 123.374697) + (xy 107.899926 123.369861) + (xy 107.938712 123.331075) + (xy 107.980016 123.289771) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.319984 123.289771) + (xy 107.400074 123.369861) + (xy 107.421814 123.416481) + (xy 107.4221 123.423035) + (xy 107.4221 123.470765) + (xy 107.404507 123.519103) + (xy 107.359958 123.544823) + (xy 107.3093 123.53589) + (xy 107.293726 123.523939) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873943 123.412043) + (xy 106.760228 123.469984) + (xy 106.706274 123.523939) + (xy 106.659654 123.545679) + (xy 106.609967 123.532365) + (xy 106.580462 123.490228) + (xy 106.5779 123.470765) + (xy 106.5779 123.423035) + (xy 106.595493 123.374697) + (xy 106.599926 123.369861) + (xy 106.638712 123.331075) + (xy 106.680016 123.289771) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.019984 123.289771) + (xy 106.100074 123.369861) + (xy 106.121814 123.416481) + (xy 106.1221 123.423035) + (xy 106.1221 123.470765) + (xy 106.104507 123.519103) + (xy 106.059958 123.544823) + (xy 106.0093 123.53589) + (xy 105.993726 123.523939) + (xy 105.939771 123.469984) + (xy 105.826055 123.412043) + (xy 105.826057 123.412043) + (xy 105.7 123.392078) + (xy 105.573943 123.412043) + (xy 105.460228 123.469984) + (xy 105.369984 123.560228) + (xy 105.312043 123.673943) + (xy 105.292078 123.799999) + (xy 105.292078 123.8) + (xy 105.312043 123.926056) + (xy 105.313902 123.929704) + (xy 105.3221 123.963847) + (xy 105.3221 125.588635) + (xy 105.322101 125.588637) + (xy 105.329319 125.624926) + (xy 105.337499 125.666054) + (xy 105.396158 125.753842) + (xy 105.483946 125.812501) + (xy 105.561363 125.8279) + (xy 105.838636 125.827899) + (xy 105.838637 125.827899) + (xy 105.838637 125.827898) + (xy 105.916054 125.812501) + (xy 105.983223 125.767619) + (xy 106.033186 125.755393) + (xy 106.066775 125.767618) + (xy 106.133946 125.812501) + (xy 106.211363 125.8279) + (xy 106.488636 125.827899) + (xy 106.488637 125.827899) + (xy 106.488637 125.827898) + (xy 106.566054 125.812501) + (xy 106.633223 125.767619) + (xy 106.683186 125.755393) + (xy 106.716775 125.767618) + (xy 106.783946 125.812501) + (xy 106.861363 125.8279) + (xy 107.138636 125.827899) + (xy 107.138637 125.827899) + (xy 107.138637 125.827898) + (xy 107.216054 125.812501) + (xy 107.283223 125.767619) + (xy 107.333186 125.755393) + (xy 107.366775 125.767618) + (xy 107.433946 125.812501) + (xy 107.511363 125.8279) + (xy 107.788636 125.827899) + (xy 107.788637 125.827899) + (xy 107.788637 125.827898) + (xy 107.866054 125.812501) + (xy 107.933223 125.767619) + (xy 107.983186 125.755393) + (xy 108.016775 125.767618) + (xy 108.083946 125.812501) + (xy 108.161363 125.8279) + (xy 108.438636 125.827899) + (xy 108.438637 125.827899) + (xy 108.438637 125.827898) + (xy 108.516054 125.812501) + (xy 108.583223 125.767619) + (xy 108.633186 125.755393) + (xy 108.666775 125.767618) + (xy 108.733946 125.812501) + (xy 108.811363 125.8279) + (xy 109.088636 125.827899) + (xy 109.088637 125.827899) + (xy 109.088637 125.827898) + (xy 109.166054 125.812501) + (xy 109.233223 125.767618) + (xy 109.283184 125.755393) + (xy 109.316774 125.767617) + (xy 109.338678 125.782253) + (xy 109.369095 125.823734) + (xy 109.3721 125.844779) + (xy 109.3721 126.165562) + (xy 109.371997 126.169498) + (xy 109.369876 126.209948) + (xy 109.378688 126.232902) + (xy 109.382039 126.244215) + (xy 109.387151 126.268263) + (xy 109.387152 126.268266) + (xy 109.392169 126.275171) + (xy 109.401536 126.292422) + (xy 109.404596 126.300395) + (xy 109.404597 126.300396) + (xy 109.421985 126.317784) + (xy 109.429644 126.326752) + (xy 109.444097 126.346644) + (xy 109.444099 126.346646) + (xy 109.451495 126.350916) + (xy 109.467066 126.362865) + (xy 109.878731 126.77453) + (xy 109.881442 126.777386) + (xy 109.903149 126.801495) + (xy 109.908551 126.807494) + (xy 109.931016 126.817495) + (xy 109.941387 126.823127) + (xy 109.962002 126.836516) + (xy 109.970428 126.83785) + (xy 109.989259 126.843428) + (xy 109.997053 126.846898) + (xy 109.997055 126.846898) + (xy 109.997058 126.8469) + (xy 110.021652 126.8469) + (xy 110.033415 126.847825) + (xy 110.057694 126.851671) + (xy 110.062753 126.850315) + (xy 110.06594 126.849462) + (xy 110.085401 126.8469) + (xy 110.493453 126.8469) + (xy 110.541791 126.864493) + (xy 110.546627 126.868926) + (xy 110.621427 126.943726) + (xy 110.643167 126.990346) + (xy 110.629853 127.040033) + (xy 110.587716 127.069538) + (xy 110.568253 127.0721) + (xy 102.975548 127.0721) + (xy 102.92721 127.054507) + (xy 102.922374 127.050074) + (xy 101.949926 126.077626) + (xy 101.928186 126.031006) + (xy 101.9279 126.024452) + (xy 101.9279 125.844779) + (xy 101.945493 125.796441) + (xy 101.961313 125.782258) + (xy 101.983673 125.767318) + (xy 102.033636 125.755093) + (xy 102.067229 125.76732) + (xy 102.134141 125.81203) + (xy 102.2 125.825129) + (xy 102.2 125.1) + (xy 102.5 125.1) + (xy 102.5 125.825129) + (xy 102.565858 125.81203) + (xy 102.653481 125.753481) + (xy 102.71203 125.665859) + (xy 102.712031 125.665857) + (xy 102.7274 125.588592) + (xy 102.7274 125.1) + (xy 102.5 125.1) + (xy 102.2 125.1) + (xy 102.2 124.074868) + (xy 102.5 124.074868) + (xy 102.5 124.8) + (xy 102.7274 124.8) + (xy 102.7274 124.311407) + (xy 102.712031 124.234142) + (xy 102.71203 124.23414) + (xy 102.653481 124.146518) + (xy 102.565859 124.087969) + (xy 102.565857 124.087968) + (xy 102.5 124.074868) + (xy 102.2 124.074868) + (xy 102.199999 124.074868) + (xy 102.134142 124.087968) + (xy 102.134141 124.087969) + (xy 102.067228 124.132679) + (xy 102.017262 124.144905) + (xy 101.98367 124.132679) + (xy 101.93634 124.101054) + (xy 101.916054 124.087499) + (xy 101.916052 124.087498) + (xy 101.838637 124.0721) + (xy 101.561362 124.0721) + (xy 101.561361 124.072101) + (xy 101.483946 124.087498) + (xy 101.416779 124.132379) + (xy 101.366813 124.144605) + (xy 101.333221 124.132379) + (xy 101.28634 124.101054) + (xy 101.266054 124.087499) + (xy 101.266052 124.087498) + (xy 101.188637 124.0721) + (xy 100.911362 124.0721) + (xy 100.911361 124.072101) + (xy 100.833946 124.087498) + (xy 100.766779 124.132379) + (xy 100.716813 124.144605) + (xy 100.683221 124.132379) + (xy 100.63634 124.101054) + (xy 100.616054 124.087499) + (xy 100.616052 124.087498) + (xy 100.538637 124.0721) + (xy 100.261362 124.0721) + (xy 100.261361 124.072101) + (xy 100.183946 124.087498) + (xy 100.116779 124.132379) + (xy 100.066813 124.144605) + (xy 100.033221 124.132379) + (xy 99.98634 124.101054) + (xy 99.966054 124.087499) + (xy 99.966052 124.087498) + (xy 99.888637 124.0721) + (xy 99.611362 124.0721) + (xy 99.611361 124.072101) + (xy 99.533946 124.087498) + (xy 99.466779 124.132379) + (xy 99.416813 124.144605) + (xy 99.383221 124.132379) + (xy 99.33634 124.101054) + (xy 99.316054 124.087499) + (xy 99.316052 124.087498) + (xy 99.238637 124.0721) + (xy 98.961362 124.0721) + (xy 98.961361 124.072101) + (xy 98.883946 124.087498) + (xy 98.816779 124.132379) + (xy 98.766813 124.144605) + (xy 98.733221 124.132379) + (xy 98.68634 124.101054) + (xy 98.666054 124.087499) + (xy 98.666052 124.087498) + (xy 98.588637 124.0721) + (xy 98.311362 124.0721) + (xy 98.311361 124.072101) + (xy 98.233946 124.087498) + (xy 98.166779 124.132379) + (xy 98.116813 124.144605) + (xy 98.083221 124.132379) + (xy 98.03634 124.101054) + (xy 98.016054 124.087499) + (xy 98.016052 124.087498) + (xy 97.938637 124.0721) + (xy 97.661362 124.0721) + (xy 97.661361 124.072101) + (xy 97.583946 124.087498) + (xy 97.516779 124.132379) + (xy 97.466813 124.144605) + (xy 97.433221 124.132379) + (xy 97.38634 124.101054) + (xy 97.366054 124.087499) + (xy 97.366052 124.087498) + (xy 97.288637 124.0721) + (xy 97.011362 124.0721) + (xy 97.011359 124.072101) + (xy 96.967769 124.080771) + (xy 96.916928 124.072945) + (xy 96.883012 124.03427) + (xy 96.8779 124.007016) + (xy 96.8779 123.963847) + (xy 96.886098 123.929704) + (xy 96.886735 123.928453) + (xy 96.887957 123.926055) + (xy 96.907922 123.8) + (xy 96.887957 123.673945) + (xy 96.830016 123.560229) + (xy 96.739771 123.469984) + (xy 96.626055 123.412043) + (xy 96.626057 123.412043) + (xy 96.5 123.392078) + (xy 96.373943 123.412043) + (xy 96.260228 123.469984) + (xy 96.169984 123.560228) + (xy 96.112043 123.673943) + (xy 96.092078 123.799999) + (xy 96.092078 123.8) + (xy 96.112043 123.926056) + (xy 96.113902 123.929704) + (xy 96.1221 123.963847) + (xy 96.1221 125.588635) + (xy 96.122101 125.588637) + (xy 96.129319 125.624926) + (xy 96.137499 125.666054) + (xy 96.196158 125.753842) + (xy 96.283946 125.812501) + (xy 96.361363 125.8279) + (xy 96.638636 125.827899) + (xy 96.638637 125.827899) + (xy 96.638637 125.827898) + (xy 96.716054 125.812501) + (xy 96.783223 125.767618) + (xy 96.833184 125.755393) + (xy 96.866774 125.767617) + (xy 96.888678 125.782253) + (xy 96.919095 125.823734) + (xy 96.9221 125.844779) + (xy 96.9221 125.892062) + (xy 96.921997 125.895998) + (xy 96.919876 125.936448) + (xy 96.928688 125.959402) + (xy 96.932039 125.970715) + (xy 96.937151 125.994763) + (xy 96.937152 125.994766) + (xy 96.942169 126.001671) + (xy 96.951536 126.018922) + (xy 96.954596 126.026895) + (xy 96.954597 126.026896) + (xy 96.971985 126.044284) + (xy 96.979644 126.053252) + (xy 96.994097 126.073144) + (xy 96.994099 126.073146) + (xy 97.001495 126.077416) + (xy 97.017066 126.089365) + (xy 98.810074 127.882373) + (xy 98.831814 127.928993) + (xy 98.8321 127.935547) + (xy 98.8321 131.3839) + (xy 98.814507 131.432238) + (xy 98.769958 131.457958) + (xy 98.7569 131.4591) + (xy 98.637197 131.4591) + (xy 98.551402 131.469403) + (xy 98.414871 131.523244) + (xy 98.41487 131.523245) + (xy 98.370725 131.55672) + (xy 98.325288 131.572) + (xy 97.254712 131.572) + (xy 97.209274 131.55672) + (xy 97.16513 131.523245) + (xy 97.165128 131.523244) + (xy 97.028597 131.469403) + (xy 96.942803 131.4591) + (xy 96.942802 131.4591) + (xy 96.8231 131.4591) + (xy 96.774762 131.441507) + (xy 96.749042 131.396958) + (xy 96.7479 131.3839) + (xy 96.7479 130.177936) + (xy 96.748003 130.173999) + (xy 96.750123 130.133553) + (xy 96.74131 130.110596) + (xy 96.737958 130.099277) + (xy 96.732849 130.075237) + (xy 96.732848 130.075235) + (xy 96.732848 130.075234) + (xy 96.727829 130.068327) + (xy 96.718463 130.051073) + (xy 96.715405 130.043105) + (xy 96.707826 130.035526) + (xy 96.698007 130.025707) + (xy 96.690355 130.016749) + (xy 96.675901 129.996854) + (xy 96.6759 129.996853) + (xy 96.675899 129.996852) + (xy 96.668506 129.992584) + (xy 96.652934 129.980634) + (xy 92.749926 126.077626) + (xy 92.728186 126.031006) + (xy 92.7279 126.024452) + (xy 92.7279 125.844779) + (xy 92.745493 125.796441) + (xy 92.761313 125.782258) + (xy 92.783673 125.767318) + (xy 92.833636 125.755093) + (xy 92.867229 125.76732) + (xy 92.934141 125.81203) + (xy 93 125.825129) + (xy 93 125.1) + (xy 93.3 125.1) + (xy 93.3 125.825129) + (xy 93.365858 125.81203) + (xy 93.453481 125.753481) + (xy 93.51203 125.665859) + (xy 93.512031 125.665857) + (xy 93.5274 125.588592) + (xy 93.5274 125.1) + (xy 93.3 125.1) + (xy 93 125.1) + (xy 93 124.074868) + (xy 93.3 124.074868) + (xy 93.3 124.8) + (xy 93.5274 124.8) + (xy 93.5274 124.311407) + (xy 93.512031 124.234142) + (xy 93.51203 124.23414) + (xy 93.453481 124.146518) + (xy 93.365859 124.087969) + (xy 93.365857 124.087968) + (xy 93.3 124.074868) + (xy 93 124.074868) + (xy 92.999999 124.074868) + (xy 92.934142 124.087968) + (xy 92.934141 124.087969) + (xy 92.867228 124.132679) + (xy 92.817262 124.144905) + (xy 92.78367 124.132679) + (xy 92.73634 124.101054) + (xy 92.716054 124.087499) + (xy 92.716052 124.087498) + (xy 92.638637 124.0721) + (xy 92.361362 124.0721) + (xy 92.361361 124.072101) + (xy 92.283946 124.087498) + (xy 92.216779 124.132379) + (xy 92.166813 124.144605) + (xy 92.133221 124.132379) + (xy 92.08634 124.101054) + (xy 92.066054 124.087499) + (xy 92.066052 124.087498) + (xy 91.988637 124.0721) + (xy 91.711362 124.0721) + (xy 91.711361 124.072101) + (xy 91.633946 124.087498) + (xy 91.566779 124.132379) + (xy 91.516813 124.144605) + (xy 91.483221 124.132379) + (xy 91.43634 124.101054) + (xy 91.416054 124.087499) + (xy 91.416052 124.087498) + (xy 91.338637 124.0721) + (xy 91.061362 124.0721) + (xy 91.061361 124.072101) + (xy 90.983946 124.087498) + (xy 90.916779 124.132379) + (xy 90.866813 124.144605) + (xy 90.833221 124.132379) + (xy 90.78634 124.101054) + (xy 90.766054 124.087499) + (xy 90.766052 124.087498) + (xy 90.688637 124.0721) + (xy 90.411362 124.0721) + (xy 90.411361 124.072101) + (xy 90.333946 124.087498) + (xy 90.266779 124.132379) + (xy 90.216813 124.144605) + (xy 90.183221 124.132379) + (xy 90.13634 124.101054) + (xy 90.116054 124.087499) + (xy 90.116052 124.087498) + (xy 90.038637 124.0721) + (xy 89.761362 124.0721) + (xy 89.761361 124.072101) + (xy 89.683946 124.087498) + (xy 89.616779 124.132379) + (xy 89.566813 124.144605) + (xy 89.533221 124.132379) + (xy 89.48634 124.101054) + (xy 89.466054 124.087499) + (xy 89.466052 124.087498) + (xy 89.388637 124.0721) + (xy 89.111362 124.0721) + (xy 89.111361 124.072101) + (xy 89.033946 124.087498) + (xy 88.966779 124.132379) + (xy 88.916813 124.144605) + (xy 88.883221 124.132379) + (xy 88.83634 124.101054) + (xy 88.816054 124.087499) + (xy 88.816052 124.087498) + (xy 88.738637 124.0721) + (xy 88.461362 124.0721) + (xy 88.461361 124.072101) + (xy 88.383946 124.087498) + (xy 88.316779 124.132379) + (xy 88.266813 124.144605) + (xy 88.233221 124.132379) + (xy 88.18634 124.101054) + (xy 88.166054 124.087499) + (xy 88.166052 124.087498) + (xy 88.088637 124.0721) + (xy 87.811362 124.0721) + (xy 87.811359 124.072101) + (xy 87.767769 124.080771) + (xy 87.716928 124.072945) + (xy 87.683012 124.03427) + (xy 87.6779 124.007016) + (xy 87.6779 123.963847) + (xy 87.686098 123.929704) + (xy 87.686735 123.928453) + (xy 87.687957 123.926055) + (xy 87.707922 123.8) + (xy 87.687957 123.673945) + (xy 87.630016 123.560229) + (xy 87.539771 123.469984) + (xy 87.426055 123.412043) + (xy 87.426057 123.412043) + (xy 87.3 123.392078) + (xy 87.173943 123.412043) + (xy 87.060228 123.469984) + (xy 86.969984 123.560228) + (xy 86.912043 123.673943) + (xy 86.892078 123.799999) + (xy 86.892078 123.8) + (xy 86.912043 123.926056) + (xy 86.913902 123.929704) + (xy 86.9221 123.963847) + (xy 86.9221 125.588635) + (xy 86.922101 125.588637) + (xy 86.929319 125.624926) + (xy 86.937499 125.666054) + (xy 86.996158 125.753842) + (xy 87.083946 125.812501) + (xy 87.161363 125.8279) + (xy 87.438636 125.827899) + (xy 87.459096 125.823829) + (xy 87.509935 125.831653) + (xy 87.543852 125.870327) + (xy 87.544976 125.921755) + (xy 87.52694 125.950758) + (xy 85.027626 128.450074) + (xy 84.981006 128.471814) + (xy 84.974452 128.4721) + (xy 81.238936 128.4721) + (xy 81.235 128.471997) + (xy 81.233192 128.471902) + (xy 81.194551 128.469876) + (xy 81.19455 128.469876) + (xy 81.171597 128.478688) + (xy 81.160286 128.482039) + (xy 81.136235 128.487151) + (xy 81.136229 128.487154) + (xy 81.129324 128.492171) + (xy 81.112085 128.501532) + (xy 81.104104 128.504596) + (xy 81.086712 128.521987) + (xy 81.077746 128.529645) + (xy 81.057854 128.544098) + (xy 81.057852 128.544101) + (xy 81.053583 128.551495) + (xy 81.041634 128.567065) + (xy 78.584456 131.024242) + (xy 78.581602 131.026952) + (xy 78.551504 131.054052) + (xy 78.544262 131.07032) + (xy 78.541649 131.076191) + (xy 78.541507 131.076509) + (xy 78.535877 131.086878) + (xy 78.522485 131.107501) + (xy 78.522483 131.107506) + (xy 78.521148 131.115935) + (xy 78.515574 131.134754) + (xy 78.5121 131.142557) + (xy 78.5121 131.167152) + (xy 78.511174 131.178916) + (xy 78.507329 131.203191) + (xy 78.507329 131.203194) + (xy 78.509538 131.211438) + (xy 78.5121 131.2309) + (xy 78.5121 131.3839) + (xy 78.494507 131.432238) + (xy 78.449958 131.457958) + (xy 78.4369 131.4591) + (xy 78.317197 131.4591) + (xy 78.231402 131.469403) + (xy 78.094871 131.523244) + (xy 78.09487 131.523245) + (xy 78.050725 131.55672) + (xy 78.005288 131.572) + (xy 76.934712 131.572) + (xy 76.889274 131.55672) + (xy 76.84513 131.523245) + (xy 76.845128 131.523244) + (xy 76.708597 131.469403) + (xy 76.622803 131.4591) + (xy 76.622802 131.4591) + (xy 76.5031 131.4591) + (xy 76.454762 131.441507) + (xy 76.429042 131.396958) + (xy 76.4279 131.3839) + (xy 76.4279 131.175547) + (xy 76.445493 131.127209) + (xy 76.449926 131.122373) + (xy 79.472373 128.099926) + (xy 79.518993 128.078186) + (xy 79.525547 128.0779) + (xy 84.723064 128.0779) + (xy 84.727 128.078003) + (xy 84.767447 128.080123) + (xy 84.767447 128.080122) + (xy 84.767448 128.080123) + (xy 84.790403 128.07131) + (xy 84.801722 128.067958) + (xy 84.825761 128.062849) + (xy 84.82576 128.062849) + (xy 84.825766 128.062848) + (xy 84.832674 128.057828) + (xy 84.849921 128.048464) + (xy 84.857896 128.045403) + (xy 84.875289 128.028009) + (xy 84.884248 128.020357) + (xy 84.904146 128.005901) + (xy 84.908415 127.998505) + (xy 84.920362 127.982935) + (xy 85.09899 127.804307) + (xy 85.145609 127.782568) + (xy 85.163925 127.783207) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.530016 127.620771) + (xy 85.587957 127.507055) + (xy 85.607922 127.381) + (xy 85.587957 127.254945) + (xy 85.530016 127.141229) + (xy 85.439771 127.050984) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380998) + (xy 84.792078 127.381002) + (xy 84.79779 127.41707) + (xy 84.787974 127.467565) + (xy 84.776691 127.482007) + (xy 84.658626 127.600074) + (xy 84.612006 127.621814) + (xy 84.605451 127.6221) + (xy 79.407928 127.6221) + (xy 79.403991 127.621997) + (xy 79.363551 127.619876) + (xy 79.340597 127.628688) + (xy 79.329286 127.632039) + (xy 79.305235 127.637151) + (xy 79.305229 127.637154) + (xy 79.298324 127.642171) + (xy 79.281085 127.651532) + (xy 79.273104 127.654596) + (xy 79.255712 127.671987) + (xy 79.246746 127.679645) + (xy 79.226854 127.694098) + (xy 79.226852 127.694101) + (xy 79.222583 127.701495) + (xy 79.210634 127.717065) + (xy 76.044456 130.883242) + (xy 76.041602 130.885952) + (xy 76.011504 130.913052) + (xy 76.001507 130.935509) + (xy 75.995877 130.945878) + (xy 75.982485 130.966501) + (xy 75.982483 130.966506) + (xy 75.981148 130.974935) + (xy 75.975574 130.993754) + (xy 75.9721 131.001557) + (xy 75.9721 131.026152) + (xy 75.971174 131.037916) + (xy 75.967329 131.062191) + (xy 75.967329 131.062194) + (xy 75.969538 131.070438) + (xy 75.9721 131.0899) + (xy 75.9721 131.3839) + (xy 75.954507 131.432238) + (xy 75.909958 131.457958) + (xy 75.8969 131.4591) + (xy 75.777197 131.4591) + (xy 75.691402 131.469403) + (xy 75.554871 131.523244) + (xy 75.55487 131.523245) + (xy 75.510725 131.55672) + (xy 75.465288 131.572) + (xy 48.140448 131.572) + (xy 48.1257 131.57054) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.468874 130.656747) + (xy 46.394105 130.507209) + (xy 46.361596 130.442191) + (xy 46.331279 130.381557) + (xy 46.317968 130.354935) + (xy 46.313751 130.346501) + (xy 46.301742 130.322483) + (xy 46.298287 130.315573) + (xy 46.288274 130.295547) + (xy 46.284997 130.288993) + (xy 46.274332 130.267663) + (xy 46.261687 130.242373) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.21971 130.133553) + (xy 46.10246 129.5473) + (xy 46.101738 129.540002) + (xy 47.052892 129.540002) + (xy 47.071283 129.738468) + (xy 47.071284 129.738477) + (xy 47.125826 129.930171) + (xy 47.125832 129.930187) + (xy 47.214671 130.1086) + (xy 47.334787 130.26766) + (xy 47.33479 130.267663) + (xy 47.334791 130.267664) + (xy 47.350602 130.282078) + (xy 47.482087 130.401943) + (xy 47.482089 130.401944) + (xy 47.48209 130.401945) + (xy 47.651554 130.506873) + (xy 47.837414 130.578876) + (xy 48.03334 130.6155) + (xy 48.033345 130.6155) + (xy 48.232655 130.6155) + (xy 48.23266 130.6155) + (xy 48.428586 130.578876) + (xy 48.614446 130.506873) + (xy 48.78391 130.401945) + (xy 48.931209 130.267664) + (xy 49.051326 130.108604) + (xy 49.140171 129.93018) + (xy 49.194717 129.738469) + (xy 49.213108 129.54) + (xy 49.211996 129.528003) + (xy 49.206783 129.471746) + (xy 49.194717 129.341531) + (xy 49.194715 129.341525) + (xy 49.194715 129.341522) + (xy 49.142007 129.156274) + (xy 49.140171 129.14982) + (xy 49.106949 129.083102) + (xy 49.051328 128.971399) + (xy 49.035112 128.949926) + (xy 48.96439 128.856274) + (xy 48.931212 128.812339) + (xy 48.931209 128.812336) + (xy 48.783912 128.678056) + (xy 48.61445 128.573129) + (xy 48.614447 128.573128) + (xy 48.614446 128.573127) + (xy 48.482439 128.521987) + (xy 48.428584 128.501123) + (xy 48.319913 128.48081) + (xy 48.23266 128.4645) + (xy 48.03334 128.4645) + (xy 47.994213 128.471814) + (xy 47.837415 128.501123) + (xy 47.651549 128.573129) + (xy 47.482087 128.678056) + (xy 47.33479 128.812336) + (xy 47.334787 128.812339) + (xy 47.214671 128.971399) + (xy 47.125832 129.149812) + (xy 47.125826 129.149828) + (xy 47.071284 129.341522) + (xy 47.071283 129.341531) + (xy 47.052892 129.539997) + (xy 47.052892 129.540002) + (xy 46.101738 129.540002) + (xy 46.101 129.532552) + (xy 46.101 128.42) + (xy 73.8226 128.42) + (xy 73.8226 128.740156) + (xy 73.837988 128.83731) + (xy 73.897656 128.954414) + (xy 73.990585 129.047343) + (xy 74.10769 129.107011) + (xy 74.107688 129.107011) + (xy 74.204843 129.122399) + (xy 74.20485 129.1224) + (xy 74.35 129.1224) + (xy 74.35 128.42) + (xy 74.65 128.42) + (xy 74.65 129.1224) + (xy 74.79515 129.1224) + (xy 74.795156 129.122399) + (xy 74.89231 129.107011) + (xy 75.009414 129.047343) + (xy 75.102343 128.954414) + (xy 75.162011 128.83731) + (xy 75.177393 128.740194) + (xy 75.5221 128.740194) + (xy 75.537507 128.837467) + (xy 75.597245 128.95471) + (xy 75.625074 128.982539) + (xy 75.646814 129.029159) + (xy 75.6471 129.035713) + (xy 75.6471 129.498829) + (xy 75.646456 129.508644) + (xy 75.64233 129.539997) + (xy 75.642329 129.540001) + (xy 75.646568 129.572207) + (xy 75.647034 129.576864) + (xy 75.647098 129.577807) + (xy 75.651994 129.613435) + (xy 75.65205 129.613854) + (xy 75.661505 129.685661) + (xy 75.662236 129.689283) + (xy 75.662597 129.690573) + (xy 75.690753 129.755396) + (xy 75.691253 129.756576) + (xy 75.717041 129.818833) + (xy 75.719506 129.823102) + (xy 75.719073 129.823351) + (xy 75.720413 129.825628) + (xy 75.72048 129.825588) + (xy 75.723149 129.829978) + (xy 75.765481 129.882011) + (xy 75.766807 129.88369) + (xy 75.805669 129.934336) + (xy 75.80915 129.937817) + (xy 75.809132 129.937834) + (xy 75.817564 129.94603) + (xy 75.819067 129.947877) + (xy 75.819069 129.94788) + (xy 75.870918 129.984477) + (xy 75.873332 129.986254) + (xy 75.885966 129.995948) + (xy 75.921164 130.022957) + (xy 75.923747 130.024026) + (xy 75.938339 130.032068) + (xy 75.943237 130.035526) + (xy 75.943238 130.035526) + (xy 75.943239 130.035527) + (xy 75.999686 130.055588) + (xy 76.003251 130.056958) + (xy 76.055664 130.078669) + (xy 76.062022 130.079505) + (xy 76.077389 130.083204) + (xy 76.086452 130.086425) + (xy 76.142641 130.090268) + (xy 76.147324 130.090736) + (xy 76.154163 130.091636) + (xy 76.2 130.097671) + (xy 76.209944 130.096361) + (xy 76.224889 130.095894) + (xy 76.238082 130.096797) + (xy 76.238085 130.096797) + (xy 76.238085 130.096796) + (xy 76.238086 130.096797) + (xy 76.289752 130.08606) + (xy 76.295189 130.085138) + (xy 76.344336 130.078669) + (xy 76.35693 130.073451) + (xy 76.370409 130.069299) + (xy 76.386896 130.065874) + (xy 76.386897 130.065873) + (xy 76.386899 130.065873) + (xy 76.43055 130.043254) + (xy 76.436363 130.040549) + (xy 76.478836 130.022957) + (xy 76.492513 130.01246) + (xy 76.503695 130.005352) + (xy 76.521844 129.995949) + (xy 76.555167 129.964826) + (xy 76.560701 129.960139) + (xy 76.594328 129.934337) + (xy 76.594328 129.934336) + (xy 76.594333 129.934333) + (xy 76.607022 129.917795) + (xy 76.615349 129.90862) + (xy 76.632923 129.892209) + (xy 76.654748 129.856317) + (xy 76.659326 129.84963) + (xy 76.682957 129.818836) + (xy 76.692312 129.796249) + (xy 76.697523 129.785977) + (xy 76.711894 129.762347) + (xy 76.722258 129.725352) + (xy 76.725195 129.716862) + (xy 76.729905 129.705494) + (xy 76.738669 129.684336) + (xy 76.742328 129.656536) + (xy 76.744471 129.646075) + (xy 76.7529 129.615994) + (xy 76.7529 129.58117) + (xy 76.753543 129.571355) + (xy 76.756153 129.551527) + (xy 76.757671 129.54) + (xy 76.753543 129.508644) + (xy 76.7529 129.498829) + (xy 76.7529 129.035713) + (xy 76.770493 128.987375) + (xy 76.774926 128.982539) + (xy 76.786069 128.971396) + (xy 76.802755 128.95471) + (xy 76.848994 128.86396) + (xy 76.886615 128.828878) + (xy 76.915998 128.8229) + (xy 77.301829 128.8229) + (xy 77.311644 128.823543) + (xy 77.343 128.827671) + (xy 77.375217 128.823429) + (xy 77.379889 128.822963) + (xy 77.380796 128.8229) + (xy 77.380818 128.8229) + (xy 77.416659 128.817973) + (xy 77.487336 128.808669) + (xy 77.487339 128.808667) + (xy 77.488696 128.808489) + (xy 77.492255 128.80777) + (xy 77.493571 128.807402) + (xy 77.493573 128.807402) + (xy 77.558416 128.779236) + (xy 77.559443 128.7788) + (xy 77.621836 128.752957) + (xy 77.621842 128.752951) + (xy 77.626107 128.750491) + (xy 77.626363 128.750935) + (xy 77.62863 128.749597) + (xy 77.628585 128.749522) + (xy 77.632977 128.746851) + (xy 77.632977 128.74685) + (xy 77.632979 128.74685) + (xy 77.685027 128.704504) + (xy 77.686665 128.703211) + (xy 77.737333 128.664333) + (xy 77.737334 128.66433) + (xy 77.737337 128.664329) + (xy 77.74082 128.660847) + (xy 77.740838 128.660865) + (xy 77.749033 128.652432) + (xy 77.750878 128.650932) + (xy 77.787493 128.599057) + (xy 77.789261 128.596657) + (xy 77.807316 128.573129) + (xy 77.825957 128.548836) + (xy 77.827023 128.546259) + (xy 77.835072 128.531655) + (xy 77.838525 128.526764) + (xy 77.838527 128.52676) + (xy 77.838737 128.526169) + (xy 77.858591 128.470304) + (xy 77.859953 128.46676) + (xy 77.881669 128.414336) + (xy 77.882505 128.407977) + (xy 77.886202 128.392612) + (xy 77.889425 128.383548) + (xy 77.893268 128.327353) + (xy 77.893736 128.322673) + (xy 77.894956 128.313409) + (xy 77.900671 128.27) + (xy 77.899361 128.260055) + (xy 77.898894 128.24511) + (xy 77.899797 128.231915) + (xy 77.899797 128.231914) + (xy 77.889064 128.180268) + (xy 77.888136 128.174791) + (xy 77.881669 128.125664) + (xy 77.876447 128.11306) + (xy 77.872299 128.099589) + (xy 77.868874 128.083104) + (xy 77.84625 128.039443) + (xy 77.843546 128.03363) + (xy 77.825957 127.991165) + (xy 77.825012 127.989934) + (xy 77.815457 127.977481) + (xy 77.808358 127.966315) + (xy 77.798949 127.948156) + (xy 77.798946 127.948153) + (xy 77.798945 127.948151) + (xy 77.767835 127.91484) + (xy 77.763133 127.909291) + (xy 77.759379 127.904399) + (xy 77.737333 127.875667) + (xy 77.720797 127.862978) + (xy 77.711621 127.854649) + (xy 77.695208 127.837076) + (xy 77.695206 127.837074) + (xy 77.659322 127.815253) + (xy 77.652617 127.810662) + (xy 77.638468 127.799805) + (xy 77.621836 127.787043) + (xy 77.621834 127.787042) + (xy 77.621829 127.787039) + (xy 77.599257 127.777689) + (xy 77.588968 127.772469) + (xy 77.565345 127.758105) + (xy 77.565342 127.758103) + (xy 77.528361 127.747742) + (xy 77.519874 127.744808) + (xy 77.487334 127.73133) + (xy 77.459538 127.72767) + (xy 77.449071 127.725526) + (xy 77.418994 127.7171) + (xy 77.384171 127.7171) + (xy 77.374356 127.716457) + (xy 77.343 127.712329) + (xy 77.311644 127.716457) + (xy 77.301829 127.7171) + (xy 76.915998 127.7171) + (xy 76.86766 127.699507) + (xy 76.848994 127.67604) + (xy 76.824867 127.628688) + (xy 76.802755 127.58529) + (xy 76.70971 127.492245) + (xy 76.592466 127.432507) + (xy 76.592468 127.432507) + (xy 76.495194 127.4171) + (xy 76.495192 127.4171) + (xy 75.904808 127.4171) + (xy 75.904805 127.4171) + (xy 75.807532 127.432507) + (xy 75.690289 127.492245) + (xy 75.597245 127.585289) + (xy 75.537507 127.702532) + (xy 75.5221 127.799805) + (xy 75.5221 128.740194) + (xy 75.177393 128.740194) + (xy 75.177399 128.740156) + (xy 75.1774 128.740149) + (xy 75.1774 128.42) + (xy 74.65 128.42) + (xy 74.35 128.42) + (xy 73.8226 128.42) + (xy 46.101 128.42) + (xy 46.101 128.12) + (xy 73.8226 128.12) + (xy 74.35 128.12) + (xy 74.35 127.4176) + (xy 74.65 127.4176) + (xy 74.65 128.12) + (xy 75.1774 128.12) + (xy 75.1774 127.79985) + (xy 75.177399 127.799843) + (xy 75.162011 127.702689) + (xy 75.102343 127.585585) + (xy 75.009414 127.492656) + (xy 74.892309 127.432988) + (xy 74.892311 127.432988) + (xy 74.795156 127.4176) + (xy 74.65 127.4176) + (xy 74.35 127.4176) + (xy 74.204843 127.4176) + (xy 74.107689 127.432988) + (xy 73.990585 127.492656) + (xy 73.897656 127.585585) + (xy 73.837988 127.702689) + (xy 73.8226 127.799843) + (xy 73.8226 128.12) + (xy 46.101 128.12) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.30805 127.050984) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.44488 127.466555) + (xy 47.444881 127.466556) + (xy 47.467051 127.492656) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.961598 127.054507) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.950691 126.855664) + (xy 48.928553 126.775931) + (xy 48.905327 126.692277) + (xy 48.90261 126.687152) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.820703 126.532955) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.340021 126.199283) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.065243 126.175995) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 114.121694) + (xy 62.124329 114.121694) + (xy 62.126538 114.129938) + (xy 62.1291 114.1494) + (xy 62.1291 124.769562) + (xy 62.128997 124.773498) + (xy 62.126876 124.813948) + (xy 62.135688 124.836902) + (xy 62.139039 124.848215) + (xy 62.144151 124.872263) + (xy 62.144152 124.872266) + (xy 62.149169 124.879171) + (xy 62.158536 124.896422) + (xy 62.161596 124.904395) + (xy 62.161597 124.904396) + (xy 62.178985 124.921784) + (xy 62.186644 124.930752) + (xy 62.201097 124.950644) + (xy 62.201099 124.950646) + (xy 62.208495 124.954916) + (xy 62.224066 124.966865) + (xy 62.571231 125.31403) + (xy 62.573942 125.316886) + (xy 62.601051 125.346994) + (xy 62.623516 125.356995) + (xy 62.633887 125.362627) + (xy 62.654502 125.376016) + (xy 62.662928 125.37735) + (xy 62.681759 125.382928) + (xy 62.689553 125.386398) + (xy 62.689555 125.386398) + (xy 62.689558 125.3864) + (xy 62.714152 125.3864) + (xy 62.725916 125.387326) + (xy 62.758001 125.392408) + (xy 62.757801 125.393669) + (xy 62.798902 125.406233) + (xy 62.821804 125.434512) + (xy 62.863754 125.524473) + (xy 62.86376 125.524481) + (xy 62.945518 125.606239) + (xy 62.945526 125.606245) + (xy 63.050319 125.655111) + (xy 63.050321 125.655111) + (xy 63.050324 125.655113) + (xy 63.09808 125.6614) + (xy 63.098082 125.6614) + (xy 63.774918 125.6614) + (xy 63.77492 125.6614) + (xy 63.822676 125.655113) + (xy 63.896918 125.620493) + (xy 63.927473 125.606245) + (xy 63.927473 125.606244) + (xy 63.927477 125.606243) + (xy 64.009243 125.524477) + (xy 64.014049 125.514171) + (xy 64.053384 125.429819) + (xy 64.089757 125.393445) + (xy 64.121538 125.3864) + (xy 66.326064 125.3864) + (xy 66.33 125.386503) + (xy 66.370447 125.388623) + (xy 66.370447 125.388622) + (xy 66.370448 125.388623) + (xy 66.393403 125.37981) + (xy 66.404722 125.376458) + (xy 66.428761 125.371349) + (xy 66.42876 125.371349) + (xy 66.428766 125.371348) + (xy 66.435674 125.366328) + (xy 66.452921 125.356964) + (xy 66.460896 125.353903) + (xy 66.478289 125.336509) + (xy 66.487248 125.328857) + (xy 66.507146 125.314401) + (xy 66.511415 125.307005) + (xy 66.523362 125.291435) + (xy 67.131634 124.683163) + (xy 67.178253 124.661424) + (xy 67.22794 124.674738) + (xy 67.257445 124.716875) + (xy 67.25988 124.740707) + (xy 67.259038 124.755165) + (xy 67.258893 124.75766) + (xy 67.265041 124.792525) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.068682 125.457842) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.656275 125.083619) + (xy 68.69568 125.050555) + (xy 68.74712 125.050555) + (xy 68.786525 125.08362) + (xy 68.7966 125.12122) + (xy 68.7966 125.404562) + (xy 68.796497 125.408498) + (xy 68.794376 125.448948) + (xy 68.803188 125.471902) + (xy 68.806539 125.483215) + (xy 68.811651 125.507263) + (xy 68.811652 125.507266) + (xy 68.813648 125.510014) + (xy 68.816669 125.514171) + (xy 68.826036 125.531422) + (xy 68.829096 125.539395) + (xy 68.829097 125.539396) + (xy 68.846485 125.556784) + (xy 68.854144 125.565752) + (xy 68.868597 125.585644) + (xy 68.868599 125.585646) + (xy 68.875995 125.589916) + (xy 68.891566 125.601865) + (xy 69.556231 126.26653) + (xy 69.558942 126.269386) + (xy 69.57537 126.287632) + (xy 69.586051 126.299494) + (xy 69.608516 126.309495) + (xy 69.618887 126.315127) + (xy 69.639502 126.328516) + (xy 69.647928 126.32985) + (xy 69.666759 126.335428) + (xy 69.674553 126.338898) + (xy 69.674555 126.338898) + (xy 69.674558 126.3389) + (xy 69.699152 126.3389) + (xy 69.710915 126.339825) + (xy 69.735194 126.343671) + (xy 69.740253 126.342315) + (xy 69.74344 126.341462) + (xy 69.762901 126.3389) + (xy 71.683564 126.3389) + (xy 71.6875 126.339003) + (xy 71.727947 126.341123) + (xy 71.727947 126.341122) + (xy 71.727948 126.341123) + (xy 71.750903 126.33231) + (xy 71.762222 126.328958) + (xy 71.786261 126.323849) + (xy 71.78626 126.323849) + (xy 71.786266 126.323848) + (xy 71.793174 126.318828) + (xy 71.810421 126.309464) + (xy 71.818396 126.306403) + (xy 71.835789 126.289009) + (xy 71.844748 126.281357) + (xy 71.864646 126.266901) + (xy 71.868915 126.259505) + (xy 71.880862 126.243935) + (xy 74.324798 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.769984 124.039771) + (xy 77.784647 124.054434) + (xy 77.806387 124.101054) + (xy 77.794 124.149387) + (xy 77.737499 124.233946) + (xy 77.737498 124.233947) + (xy 77.7221 124.311362) + (xy 77.7221 125.588635) + (xy 77.722101 125.588637) + (xy 77.729319 125.624926) + (xy 77.737499 125.666054) + (xy 77.796158 125.753842) + (xy 77.883946 125.812501) + (xy 77.961363 125.8279) + (xy 78.238636 125.827899) + (xy 78.238637 125.827899) + (xy 78.238637 125.827898) + (xy 78.306706 125.81436) + (xy 78.357547 125.822185) + (xy 78.391463 125.86086) + (xy 78.392585 125.912288) + (xy 78.38838 125.922255) + (xy 78.362043 125.973943) + (xy 78.342078 126.099999) + (xy 78.342078 126.1) + (xy 78.362043 126.226056) + (xy 78.414929 126.32985) + (xy 78.419984 126.339771) + (xy 78.510229 126.430016) + (xy 78.623943 126.487956) + (xy 78.623945 126.487957) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.043726 126.376061) + (xy 79.090346 126.354321) + (xy 79.140033 126.367635) + (xy 79.169538 126.409772) + (xy 79.1721 126.429235) + (xy 79.1721 126.476964) + (xy 79.154507 126.525302) + (xy 79.150075 126.530138) + (xy 79.069983 126.61023) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.043649 127.038085) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.526055 127.237957) + (xy 79.639771 127.180016) + (xy 79.730016 127.089771) + (xy 79.787957 126.976055) + (xy 79.807922 126.85) + (xy 79.787957 126.723945) + (xy 79.730016 126.610229) + (xy 79.649925 126.530138) + (xy 79.628186 126.483518) + (xy 79.6279 126.476964) + (xy 79.6279 126.429235) + (xy 79.645493 126.380897) + (xy 79.690042 126.355177) + (xy 79.7407 126.36411) + (xy 79.756274 126.376061) + (xy 79.810229 126.430016) + (xy 79.923943 126.487956) + (xy 79.923945 126.487957) + (xy 80.05 126.507922) + (xy 80.176055 126.487957) + (xy 80.289771 126.430016) + (xy 80.343726 126.376061) + (xy 80.390346 126.354321) + (xy 80.440033 126.367635) + (xy 80.469538 126.409772) + (xy 80.4721 126.429235) + (xy 80.4721 126.476964) + (xy 80.454507 126.525302) + (xy 80.450075 126.530138) + (xy 80.369983 126.61023) + (xy 80.312043 126.723943) + (xy 80.292078 126.849999) + (xy 80.292078 126.85) + (xy 80.312043 126.976056) + (xy 80.343649 127.038085) + (xy 80.369984 127.089771) + (xy 80.460229 127.180016) + (xy 80.573943 127.237956) + (xy 80.573945 127.237957) + (xy 80.7 127.257922) + (xy 80.826055 127.237957) + (xy 80.939771 127.180016) + (xy 81.030016 127.089771) + (xy 81.087957 126.976055) + (xy 81.107922 126.85) + (xy 81.087957 126.723945) + (xy 81.030016 126.610229) + (xy 80.949925 126.530138) + (xy 80.928186 126.483518) + (xy 80.9279 126.476964) + (xy 80.9279 126.429235) + (xy 80.945493 126.380897) + (xy 80.990042 126.355177) + (xy 81.0407 126.36411) + (xy 81.056274 126.376061) + (xy 81.110229 126.430016) + (xy 81.223943 126.487956) + (xy 81.223945 126.487957) + (xy 81.35 126.507922) + (xy 81.476055 126.487957) + (xy 81.589771 126.430016) + (xy 81.643726 126.376061) + (xy 81.690346 126.354321) + (xy 81.740033 126.367635) + (xy 81.769538 126.409772) + (xy 81.7721 126.429235) + (xy 81.7721 126.476964) + (xy 81.754507 126.525302) + (xy 81.750075 126.530138) + (xy 81.669983 126.61023) + (xy 81.612043 126.723943) + (xy 81.592078 126.849999) + (xy 81.592078 126.85) + (xy 81.612043 126.976056) + (xy 81.643649 127.038085) + (xy 81.669984 127.089771) + (xy 81.760229 127.180016) + (xy 81.873943 127.237956) + (xy 81.873945 127.237957) + (xy 82 127.257922) + (xy 82.126055 127.237957) + (xy 82.239771 127.180016) + (xy 82.330016 127.089771) + (xy 82.387957 126.976055) + (xy 82.407922 126.85) + (xy 82.387957 126.723945) + (xy 82.330016 126.610229) + (xy 82.249925 126.530138) + (xy 82.228186 126.483518) + (xy 82.2279 126.476964) + (xy 82.2279 126.429235) + (xy 82.245493 126.380897) + (xy 82.290042 126.355177) + (xy 82.3407 126.36411) + (xy 82.356274 126.376061) + (xy 82.410229 126.430016) + (xy 82.523943 126.487956) + (xy 82.523945 126.487957) + (xy 82.65 126.507922) + (xy 82.776055 126.487957) + (xy 82.889771 126.430016) + (xy 82.943726 126.376061) + (xy 82.990346 126.354321) + (xy 83.040033 126.367635) + (xy 83.069538 126.409772) + (xy 83.0721 126.429235) + (xy 83.0721 126.476964) + (xy 83.054507 126.525302) + (xy 83.050075 126.530138) + (xy 82.969983 126.61023) + (xy 82.912043 126.723943) + (xy 82.892078 126.849999) + (xy 82.892078 126.85) + (xy 82.912043 126.976056) + (xy 82.943649 127.038085) + (xy 82.969984 127.089771) + (xy 83.060229 127.180016) + (xy 83.173943 127.237956) + (xy 83.173945 127.237957) + (xy 83.3 127.257922) + (xy 83.426055 127.237957) + (xy 83.539771 127.180016) + (xy 83.630016 127.089771) + (xy 83.687957 126.976055) + (xy 83.707922 126.85) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.549925 126.530138) + (xy 83.528186 126.483518) + (xy 83.5279 126.476964) + (xy 83.5279 125.844779) + (xy 83.545493 125.796441) + (xy 83.561313 125.782258) + (xy 83.583673 125.767318) + (xy 83.633636 125.755093) + (xy 83.667229 125.76732) + (xy 83.734141 125.81203) + (xy 83.8 125.825129) + (xy 83.8 125.1) + (xy 84.1 125.1) + (xy 84.1 125.825129) + (xy 84.165858 125.81203) + (xy 84.253481 125.753481) + (xy 84.31203 125.665859) + (xy 84.312031 125.665857) + (xy 84.3274 125.588592) + (xy 84.3274 125.1) + (xy 84.1 125.1) + (xy 83.8 125.1) + (xy 83.8 124.074868) + (xy 84.1 124.074868) + (xy 84.1 124.8) + (xy 84.3274 124.8) + (xy 84.3274 124.311407) + (xy 84.312031 124.234142) + (xy 84.31203 124.23414) + (xy 84.253481 124.146518) + (xy 84.165859 124.087969) + (xy 84.165857 124.087968) + (xy 84.1 124.074868) + (xy 83.8 124.074868) + (xy 83.799999 124.074868) + (xy 83.734142 124.087968) + (xy 83.734141 124.087969) + (xy 83.667228 124.132679) + (xy 83.617262 124.144905) + (xy 83.58367 124.132679) + (xy 83.53634 124.101054) + (xy 83.516054 124.087499) + (xy 83.516052 124.087498) + (xy 83.438637 124.0721) + (xy 83.161362 124.0721) + (xy 83.161361 124.072101) + (xy 83.083946 124.087498) + (xy 83.016779 124.132379) + (xy 82.966813 124.144605) + (xy 82.933221 124.132379) + (xy 82.88634 124.101054) + (xy 82.866054 124.087499) + (xy 82.866052 124.087498) + (xy 82.788637 124.0721) + (xy 82.511362 124.0721) + (xy 82.511361 124.072101) + (xy 82.433946 124.087498) + (xy 82.366779 124.132379) + (xy 82.316813 124.144605) + (xy 82.283221 124.132379) + (xy 82.23634 124.101054) + (xy 82.216054 124.087499) + (xy 82.216052 124.087498) + (xy 82.138637 124.0721) + (xy 81.861362 124.0721) + (xy 81.861361 124.072101) + (xy 81.783946 124.087498) + (xy 81.716779 124.132379) + (xy 81.666813 124.144605) + (xy 81.633221 124.132379) + (xy 81.58634 124.101054) + (xy 81.566054 124.087499) + (xy 81.566052 124.087498) + (xy 81.488637 124.0721) + (xy 81.211362 124.0721) + (xy 81.211361 124.072101) + (xy 81.133946 124.087498) + (xy 81.066779 124.132379) + (xy 81.016813 124.144605) + (xy 80.983221 124.132379) + (xy 80.93634 124.101054) + (xy 80.916054 124.087499) + (xy 80.916052 124.087498) + (xy 80.838637 124.0721) + (xy 80.561362 124.0721) + (xy 80.561361 124.072101) + (xy 80.483946 124.087498) + (xy 80.416779 124.132379) + (xy 80.366813 124.144605) + (xy 80.333221 124.132379) + (xy 80.28634 124.101054) + (xy 80.266054 124.087499) + (xy 80.266052 124.087498) + (xy 80.188637 124.0721) + (xy 79.911362 124.0721) + (xy 79.911361 124.072101) + (xy 79.833946 124.087498) + (xy 79.766779 124.132379) + (xy 79.716813 124.144605) + (xy 79.683221 124.132379) + (xy 79.63634 124.101054) + (xy 79.616054 124.087499) + (xy 79.616052 124.087498) + (xy 79.538637 124.0721) + (xy 79.261362 124.0721) + (xy 79.261361 124.072101) + (xy 79.183946 124.087498) + (xy 79.116779 124.132379) + (xy 79.066813 124.144605) + (xy 79.033221 124.132379) + (xy 78.98634 124.101054) + (xy 78.966054 124.087499) + (xy 78.966052 124.087498) + (xy 78.888637 124.0721) + (xy 78.611362 124.0721) + (xy 78.611359 124.072101) + (xy 78.543292 124.085639) + (xy 78.492451 124.077813) + (xy 78.458535 124.039138) + (xy 78.457414 123.98771) + (xy 78.461616 123.977751) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 78.487957 123.673945) + (xy 78.430016 123.560229) + (xy 78.339771 123.469984) + (xy 78.226055 123.412043) + (xy 78.226057 123.412043) + (xy 78.1 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 74.324798 123.8) + (xy 74.450558 123.67424) + (xy 74.453375 123.671566) + (xy 74.483494 123.644449) + (xy 74.484437 123.642332) + (xy 74.493492 123.621991) + (xy 74.499126 123.611614) + (xy 74.512516 123.590997) + (xy 74.512516 123.590995) + (xy 74.513198 123.586692) + (xy 74.51385 123.582572) + (xy 74.51943 123.563734) + (xy 74.522018 123.557922) + (xy 74.5229 123.555942) + (xy 74.5229 123.531346) + (xy 74.523826 123.519581) + (xy 74.523902 123.519103) + (xy 74.527671 123.495306) + (xy 74.527129 123.493284) + (xy 74.525462 123.487059) + (xy 74.5229 123.467599) + (xy 74.5229 122.624911) + (xy 113.3471 122.624911) + (xy 113.347101 122.624912) + (xy 113.361772 122.698673) + (xy 113.361773 122.698677) + (xy 113.417669 122.782331) + (xy 113.438678 122.796368) + (xy 113.469095 122.83785) + (xy 113.4721 122.858895) + (xy 113.4721 123.142062) + (xy 113.471997 123.145998) + (xy 113.469876 123.186448) + (xy 113.478688 123.209402) + (xy 113.482039 123.220715) + (xy 113.487151 123.244763) + (xy 113.487152 123.244766) + (xy 113.492169 123.251671) + (xy 113.501536 123.268922) + (xy 113.504596 123.276895) + (xy 113.504597 123.276896) + (xy 113.521985 123.294284) + (xy 113.529644 123.303252) + (xy 113.544097 123.323144) + (xy 113.544098 123.323145) + (xy 113.544099 123.323146) + (xy 113.551492 123.327414) + (xy 113.567067 123.339366) + (xy 113.750074 123.522373) + (xy 113.771814 123.568993) + (xy 113.7721 123.575547) + (xy 113.7721 123.664962) + (xy 113.754507 123.7133) + (xy 113.728681 123.733116) + (xy 113.634026 123.777254) + (xy 113.634018 123.77726) + (xy 113.55226 123.859018) + (xy 113.552254 123.859026) + (xy 113.503388 123.963819) + (xy 113.503386 123.963825) + (xy 113.4971 124.011581) + (xy 113.4971 124.688418) + (xy 113.503386 124.736174) + (xy 113.503388 124.73618) + (xy 113.552254 124.840973) + (xy 113.55226 124.840981) + (xy 113.634018 124.922739) + (xy 113.634026 124.922745) + (xy 113.696404 124.951832) + (xy 113.713628 124.959864) + (xy 113.728681 124.966883) + (xy 113.765054 125.003256) + (xy 113.7721 125.035037) + (xy 113.7721 125.114962) + (xy 113.754507 125.1633) + (xy 113.728681 125.183116) + (xy 113.634026 125.227254) + (xy 113.634018 125.22726) + (xy 113.55226 125.309018) + (xy 113.552254 125.309026) + (xy 113.503388 125.413819) + (xy 113.503386 125.413825) + (xy 113.498763 125.448948) + (xy 113.497335 125.459799) + (xy 113.4971 125.461581) + (xy 113.4971 126.138418) + (xy 113.503386 126.186174) + (xy 113.503388 126.18618) + (xy 113.552254 126.290973) + (xy 113.55226 126.290981) + (xy 113.634018 126.372739) + (xy 113.634026 126.372745) + (xy 113.738819 126.421611) + (xy 113.738821 126.421611) + (xy 113.738824 126.421613) + (xy 113.78658 126.4279) + (xy 113.786582 126.4279) + (xy 114.213418 126.4279) + (xy 114.21342 126.4279) + (xy 114.261176 126.421613) + (xy 114.319163 126.394573) + (xy 114.365973 126.372745) + (xy 114.365973 126.372744) + (xy 114.365977 126.372743) + (xy 114.447743 126.290977) + (xy 114.448658 126.289016) + (xy 114.485973 126.208993) + (xy 114.496613 126.186176) + (xy 114.5029 126.13842) + (xy 114.5029 126.138418) + (xy 115.0971 126.138418) + (xy 115.103386 126.186174) + (xy 115.103388 126.18618) + (xy 115.152254 126.290973) + (xy 115.15226 126.290981) + (xy 115.234018 126.372739) + (xy 115.234026 126.372745) + (xy 115.338819 126.421611) + (xy 115.338821 126.421611) + (xy 115.338824 126.421613) + (xy 115.38658 126.4279) + (xy 115.386582 126.4279) + (xy 115.813418 126.4279) + (xy 115.81342 126.4279) + (xy 115.861176 126.421613) + (xy 115.919163 126.394573) + (xy 115.965973 126.372745) + (xy 115.965973 126.372744) + (xy 115.965977 126.372743) + (xy 116.047743 126.290977) + (xy 116.087477 126.205766) + (xy 116.12385 126.169394) + (xy 116.175094 126.16491) + (xy 116.189772 126.170545) + (xy 116.223942 126.187956) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.680016 126.039771) + (xy 116.737957 125.926055) + (xy 116.757922 125.8) + (xy 116.737957 125.673945) + (xy 116.680016 125.560229) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.189771 125.429455) + (xy 116.138714 125.435724) + (xy 116.095573 125.407707) + (xy 116.087477 125.394232) + (xy 116.047745 125.309026) + (xy 116.047739 125.309018) + (xy 115.965981 125.22726) + (xy 115.965973 125.227254) + (xy 115.871319 125.183116) + (xy 115.834945 125.146743) + (xy 115.8279 125.114962) + (xy 115.8279 125.035037) + (xy 115.845493 124.986699) + (xy 115.871319 124.966883) + (xy 115.886372 124.959864) + (xy 115.965977 124.922743) + (xy 116.047743 124.840977) + (xy 116.096613 124.736176) + (xy 116.1029 124.68842) + (xy 116.1029 124.01158) + (xy 116.096613 123.963824) + (xy 116.096611 123.963819) + (xy 116.047745 123.859026) + (xy 116.047739 123.859018) + (xy 115.965981 123.77726) + (xy 115.965973 123.777254) + (xy 115.86118 123.728388) + (xy 115.861174 123.728386) + (xy 115.825992 123.723755) + (xy 115.81342 123.7221) + (xy 115.38658 123.7221) + (xy 115.37547 123.723562) + (xy 115.338825 123.728386) + (xy 115.338819 123.728388) + (xy 115.234026 123.777254) + (xy 115.234018 123.77726) + (xy 115.15226 123.859018) + (xy 115.152254 123.859026) + (xy 115.103388 123.963819) + (xy 115.103386 123.963825) + (xy 115.0971 124.011581) + (xy 115.0971 124.688418) + (xy 115.103386 124.736174) + (xy 115.103388 124.73618) + (xy 115.152254 124.840973) + (xy 115.15226 124.840981) + (xy 115.234018 124.922739) + (xy 115.234026 124.922745) + (xy 115.296404 124.951832) + (xy 115.313628 124.959864) + (xy 115.328681 124.966883) + (xy 115.365054 125.003256) + (xy 115.3721 125.035037) + (xy 115.3721 125.114962) + (xy 115.354507 125.1633) + (xy 115.328681 125.183116) + (xy 115.234026 125.227254) + (xy 115.234018 125.22726) + (xy 115.15226 125.309018) + (xy 115.152254 125.309026) + (xy 115.103388 125.413819) + (xy 115.103386 125.413825) + (xy 115.098763 125.448948) + (xy 115.097335 125.459799) + (xy 115.0971 125.461581) + (xy 115.0971 126.138418) + (xy 114.5029 126.138418) + (xy 114.5029 125.46158) + (xy 114.496613 125.413824) + (xy 114.496611 125.413819) + (xy 114.447745 125.309026) + (xy 114.447739 125.309018) + (xy 114.365981 125.22726) + (xy 114.365973 125.227254) + (xy 114.271319 125.183116) + (xy 114.234945 125.146743) + (xy 114.2279 125.114962) + (xy 114.2279 125.035037) + (xy 114.245493 124.986699) + (xy 114.271319 124.966883) + (xy 114.286372 124.959864) + (xy 114.365977 124.922743) + (xy 114.447743 124.840977) + (xy 114.496613 124.736176) + (xy 114.5029 124.68842) + (xy 114.5029 124.01158) + (xy 114.496613 123.963824) + (xy 114.496611 123.963819) + (xy 114.447745 123.859026) + (xy 114.447739 123.859018) + (xy 114.365981 123.77726) + (xy 114.365973 123.777254) + (xy 114.271319 123.733116) + (xy 114.234945 123.696743) + (xy 114.2279 123.664962) + (xy 114.2279 123.457936) + (xy 114.228003 123.453999) + (xy 114.230123 123.413555) + (xy 114.230122 123.413554) + (xy 114.230123 123.413552) + (xy 114.221306 123.390586) + (xy 114.21796 123.379288) + (xy 114.212848 123.355234) + (xy 114.20783 123.348327) + (xy 114.198463 123.331075) + (xy 114.195403 123.323104) + (xy 114.195402 123.323102) + (xy 114.178018 123.305718) + (xy 114.170355 123.296747) + (xy 114.155901 123.276854) + (xy 114.154517 123.276055) + (xy 114.148506 123.272584) + (xy 114.132934 123.260635) + (xy 113.9723 123.1) + (xy 114.392078 123.1) + (xy 114.412043 123.226056) + (xy 114.444508 123.289771) + (xy 114.469984 123.339771) + (xy 114.560229 123.430016) + (xy 114.673943 123.487956) + (xy 114.673945 123.487957) + (xy 114.8 123.507922) + (xy 114.926055 123.487957) + (xy 115.039771 123.430016) + (xy 115.130016 123.339771) + (xy 115.187957 123.226055) + (xy 115.187957 123.226048) + (xy 115.189566 123.221101) + (xy 115.207911 123.191163) + (xy 115.218143 123.180931) + (xy 115.230181 123.171155) + (xy 115.242318 123.163227) + (xy 115.262171 123.137718) + (xy 115.268332 123.130743) + (xy 115.270216 123.12886) + (xy 115.282082 123.112238) + (xy 115.283927 123.109766) + (xy 115.291529 123.1) + (xy 115.314658 123.070284) + (xy 115.314658 123.070283) + (xy 115.31466 123.070281) + (xy 115.317626 123.064801) + (xy 115.317957 123.06498) + (xy 115.319028 123.062898) + (xy 115.318689 123.062733) + (xy 115.321424 123.057138) + (xy 115.321424 123.057137) + (xy 115.321426 123.057135) + (xy 115.335712 123.009148) + (xy 115.336659 123.006195) + (xy 115.344451 122.983501) + (xy 115.3529 122.958889) + (xy 115.3529 122.958885) + (xy 115.353925 122.952744) + (xy 115.354294 122.952805) + (xy 115.354632 122.950489) + (xy 115.354262 122.950443) + (xy 115.355032 122.944258) + (xy 115.355033 122.944255) + (xy 115.352964 122.894229) + (xy 115.3529 122.891122) + (xy 115.3529 122.748044) + (xy 115.370493 122.699706) + (xy 115.415042 122.673986) + (xy 115.46224 122.68104) + (xy 115.471896 122.68596) + (xy 115.483566 122.691907) + (xy 115.493619 122.698067) + (xy 115.514807 122.713461) + (xy 115.534883 122.719984) + (xy 115.539709 122.721552) + (xy 115.550613 122.726069) + (xy 115.573942 122.737956) + (xy 115.573943 122.737956) + (xy 115.573945 122.737957) + (xy 115.599812 122.742053) + (xy 115.611271 122.744804) + (xy 115.636187 122.7529) + (xy 115.668292 122.7529) + (xy 115.879998 122.7529) + (xy 115.928336 122.770493) + (xy 115.933172 122.774926) + (xy 116.022237 122.863991) + (xy 116.022238 122.863991) + (xy 116.022239 122.863992) + (xy 116.054926 122.879971) + (xy 116.090625 122.917004) + (xy 116.0971 122.94753) + (xy 116.0971 123.112374) + (xy 116.096174 123.124138) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.165014 123.380016) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.903826 123.124138) + (xy 116.9029 123.112374) + (xy 116.9029 122.94753) + (xy 116.920493 122.899192) + (xy 116.945072 122.879971) + (xy 116.977761 122.863992) + (xy 117.015019 122.826734) + (xy 117.066828 122.774926) + (xy 117.113448 122.753186) + (xy 117.120002 122.7529) + (xy 117.263812 122.7529) + (xy 117.263813 122.7529) + (xy 117.288722 122.744805) + (xy 117.300173 122.742055) + (xy 117.326055 122.737957) + (xy 117.349397 122.726063) + (xy 117.360284 122.721554) + (xy 117.385193 122.713461) + (xy 117.406378 122.698068) + (xy 117.41643 122.691907) + (xy 117.439771 122.680016) + (xy 117.494876 122.624911) + (xy 117.9971 122.624911) + (xy 117.997101 122.624912) + (xy 118.011772 122.698673) + (xy 118.011773 122.698677) + (xy 118.067669 122.782331) + (xy 118.088678 122.796368) + (xy 118.119095 122.83785) + (xy 118.1221 122.858895) + (xy 118.1221 123.142062) + (xy 118.121997 123.145998) + (xy 118.119876 123.186448) + (xy 118.128688 123.209402) + (xy 118.132039 123.220715) + (xy 118.137151 123.244763) + (xy 118.137152 123.244766) + (xy 118.142169 123.251671) + (xy 118.151536 123.268922) + (xy 118.154596 123.276895) + (xy 118.154597 123.276896) + (xy 118.171985 123.294284) + (xy 118.179644 123.303252) + (xy 118.194097 123.323144) + (xy 118.194098 123.323145) + (xy 118.194099 123.323146) + (xy 118.201492 123.327414) + (xy 118.217067 123.339366) + (xy 118.400074 123.522373) + (xy 118.421814 123.568993) + (xy 118.4221 123.575547) + (xy 118.4221 123.664962) + (xy 118.404507 123.7133) + (xy 118.378681 123.733116) + (xy 118.284026 123.777254) + (xy 118.284018 123.77726) + (xy 118.20226 123.859018) + (xy 118.202254 123.859026) + (xy 118.153388 123.963819) + (xy 118.153386 123.963825) + (xy 118.1471 124.011581) + (xy 118.1471 124.688418) + (xy 118.153386 124.736174) + (xy 118.153388 124.73618) + (xy 118.202254 124.840973) + (xy 118.20226 124.840981) + (xy 118.284018 124.922739) + (xy 118.284026 124.922745) + (xy 118.388819 124.971611) + (xy 118.388821 124.971611) + (xy 118.388824 124.971613) + (xy 118.43658 124.9779) + (xy 118.436582 124.9779) + (xy 118.863418 124.9779) + (xy 118.86342 124.9779) + (xy 118.911176 124.971613) + (xy 119.015977 124.922743) + (xy 119.097743 124.840977) + (xy 119.146613 124.736176) + (xy 119.1529 124.68842) + (xy 119.1529 124.688418) + (xy 119.7471 124.688418) + (xy 119.753386 124.736174) + (xy 119.753388 124.73618) + (xy 119.802254 124.840973) + (xy 119.80226 124.840981) + (xy 119.884018 124.922739) + (xy 119.884026 124.922745) + (xy 119.988819 124.971611) + (xy 119.988821 124.971611) + (xy 119.988824 124.971613) + (xy 120.03658 124.9779) + (xy 120.036582 124.9779) + (xy 120.463418 124.9779) + (xy 120.46342 124.9779) + (xy 120.511176 124.971613) + (xy 120.615977 124.922743) + (xy 120.68872 124.85) + (xy 128.592329 124.85) + (xy 128.595049 124.870665) + (xy 128.595648 124.883045) + (xy 128.594827 124.907069) + (xy 128.594828 124.907076) + (xy 128.60477 124.947876) + (xy 128.606264 124.955861) + (xy 128.61133 124.994333) + (xy 128.611331 124.994337) + (xy 128.620683 125.016915) + (xy 128.624269 125.027887) + (xy 128.630813 125.054742) + (xy 128.630814 125.054745) + (xy 128.649639 125.088223) + (xy 128.653566 125.096301) + (xy 128.667043 125.128837) + (xy 128.667044 125.128839) + (xy 128.684106 125.151074) + (xy 128.689994 125.159995) + (xy 128.705302 125.187219) + (xy 128.705309 125.187228) + (xy 128.729924 125.211843) + (xy 128.736409 125.219237) + (xy 128.755666 125.244332) + (xy 128.780763 125.263591) + (xy 128.788157 125.270076) + (xy 128.951449 125.433368) + (xy 128.953234 125.435214) + (xy 128.992551 125.477313) + (xy 128.997791 125.482923) + (xy 128.997796 125.482926) + (xy 129.035213 125.505679) + (xy 129.041576 125.51001) + (xy 129.076471 125.536472) + (xy 129.076474 125.536473) + (xy 129.09716 125.544631) + (xy 129.108646 125.550336) + (xy 129.11924 125.556778) + (xy 129.127653 125.561894) + (xy 129.169832 125.573711) + (xy 129.1771 125.576155) + (xy 129.217862 125.59223) + (xy 129.239989 125.594503) + (xy 129.252581 125.596896) + (xy 129.274006 125.6029) + (xy 129.317796 125.6029) + (xy 129.325485 125.603293) + (xy 129.369054 125.607773) + (xy 129.369054 125.607772) + (xy 129.369056 125.607773) + (xy 129.390971 125.603994) + (xy 129.40375 125.6029) + (xy 129.584287 125.6029) + (xy 129.632625 125.620493) + (xy 129.637461 125.624926) + (xy 129.665291 125.652756) + (xy 129.691004 125.665857) + (xy 129.756039 125.698993) + (xy 129.791122 125.736613) + (xy 129.7971 125.765997) + (xy 129.7971 126.058829) + (xy 129.796456 126.068644) + (xy 129.792862 126.095956) + (xy 129.792329 126.100001) + (xy 129.796568 126.132207) + (xy 129.797034 126.136864) + (xy 129.797098 126.137807) + (xy 129.801994 126.173435) + (xy 129.80205 126.173854) + (xy 129.811505 126.245661) + (xy 129.812236 126.249283) + (xy 129.812597 126.250573) + (xy 129.840753 126.315396) + (xy 129.841253 126.316576) + (xy 129.867041 126.378833) + (xy 129.869506 126.383102) + (xy 129.869073 126.383351) + (xy 129.870413 126.385628) + (xy 129.87048 126.385588) + (xy 129.873149 126.389978) + (xy 129.915481 126.442011) + (xy 129.916807 126.44369) + (xy 129.955669 126.494336) + (xy 129.95915 126.497817) + (xy 129.959132 126.497834) + (xy 129.967564 126.50603) + (xy 129.969067 126.507877) + (xy 129.969069 126.50788) + (xy 130.020918 126.544477) + (xy 130.023332 126.546254) + (xy 130.03053 126.551777) + (xy 130.071164 126.582957) + (xy 130.073747 126.584026) + (xy 130.088339 126.592068) + (xy 130.093237 126.595526) + (xy 130.093238 126.595526) + (xy 130.093239 126.595527) + (xy 130.149686 126.615588) + (xy 130.153251 126.616958) + (xy 130.205664 126.638669) + (xy 130.212022 126.639505) + (xy 130.227389 126.643204) + (xy 130.236452 126.646425) + (xy 130.292641 126.650268) + (xy 130.297324 126.650736) + (xy 130.304163 126.651636) + (xy 130.35 126.657671) + (xy 130.359944 126.656361) + (xy 130.374889 126.655894) + (xy 130.388082 126.656797) + (xy 130.388085 126.656797) + (xy 130.388085 126.656796) + (xy 130.388086 126.656797) + (xy 130.439752 126.64606) + (xy 130.445189 126.645138) + (xy 130.494336 126.638669) + (xy 130.50693 126.633451) + (xy 130.520409 126.629299) + (xy 130.536896 126.625874) + (xy 130.536897 126.625873) + (xy 130.536899 126.625873) + (xy 130.58055 126.603254) + (xy 130.586363 126.600549) + (xy 130.628836 126.582957) + (xy 130.642513 126.57246) + (xy 130.653695 126.565352) + (xy 130.671844 126.555949) + (xy 130.705167 126.524826) + (xy 130.710701 126.520139) + (xy 130.718787 126.513935) + (xy 130.73977 126.497834) + (xy 130.744328 126.494337) + (xy 130.744328 126.494336) + (xy 130.744333 126.494333) + (xy 130.757022 126.477795) + (xy 130.765349 126.46862) + (xy 130.782923 126.452209) + (xy 130.804748 126.416317) + (xy 130.809326 126.40963) + (xy 130.832957 126.378836) + (xy 130.842312 126.356249) + (xy 130.847523 126.345977) + (xy 130.861894 126.322347) + (xy 130.872258 126.285352) + (xy 130.875195 126.276862) + (xy 130.875896 126.275171) + (xy 130.888669 126.244336) + (xy 130.892328 126.216536) + (xy 130.894471 126.206075) + (xy 130.9029 126.175994) + (xy 130.9029 126.14117) + (xy 130.903543 126.131355) + (xy 130.903719 126.130015) + (xy 130.907671 126.1) + (xy 130.903543 126.068644) + (xy 130.9029 126.058829) + (xy 130.9029 125.765997) + (xy 130.920493 125.717659) + (xy 130.943959 125.698993) + (xy 131.03471 125.652755) + (xy 131.062539 125.624926) + (xy 131.109159 125.603186) + (xy 131.115713 125.6029) + (xy 131.339285 125.6029) + (xy 131.341851 125.602943) + (xy 131.352098 125.603294) + (xy 131.407071 125.605172) + (xy 131.407072 125.605172) + (xy 131.407072 125.605171) + (xy 131.407073 125.605172) + (xy 131.407623 125.605038) + (xy 131.425428 125.6029) + (xy 131.674006 125.6029) + (xy 131.717796 125.6029) + (xy 131.725485 125.603293) + (xy 131.769054 125.607773) + (xy 131.769054 125.607772) + (xy 131.769056 125.607773) + (xy 131.790971 125.603994) + (xy 131.80375 125.6029) + (xy 131.984287 125.6029) + (xy 132.032625 125.620493) + (xy 132.037461 125.624926) + (xy 132.065291 125.652756) + (xy 132.091004 125.665857) + (xy 132.156039 125.698993) + (xy 132.191122 125.736613) + (xy 132.1971 125.765997) + (xy 132.1971 126.058829) + (xy 132.196456 126.068644) + (xy 132.192862 126.095956) + (xy 132.192329 126.100001) + (xy 132.196568 126.132207) + (xy 132.197034 126.136864) + (xy 132.197098 126.137807) + (xy 132.201994 126.173435) + (xy 132.20205 126.173854) + (xy 132.211505 126.245661) + (xy 132.212236 126.249283) + (xy 132.212597 126.250573) + (xy 132.240753 126.315396) + (xy 132.241253 126.316576) + (xy 132.267041 126.378833) + (xy 132.269506 126.383102) + (xy 132.269073 126.383351) + (xy 132.270413 126.385628) + (xy 132.27048 126.385588) + (xy 132.273149 126.389978) + (xy 132.315481 126.442011) + (xy 132.316807 126.44369) + (xy 132.355669 126.494336) + (xy 132.35915 126.497817) + (xy 132.359132 126.497834) + (xy 132.367564 126.50603) + (xy 132.369067 126.507877) + (xy 132.369069 126.50788) + (xy 132.420918 126.544477) + (xy 132.423332 126.546254) + (xy 132.43053 126.551777) + (xy 132.471164 126.582957) + (xy 132.473747 126.584026) + (xy 132.488339 126.592068) + (xy 132.493237 126.595526) + (xy 132.493238 126.595526) + (xy 132.493239 126.595527) + (xy 132.549686 126.615588) + (xy 132.553251 126.616958) + (xy 132.605664 126.638669) + (xy 132.612022 126.639505) + (xy 132.627389 126.643204) + (xy 132.636452 126.646425) + (xy 132.692641 126.650268) + (xy 132.697324 126.650736) + (xy 132.704163 126.651636) + (xy 132.75 126.657671) + (xy 132.759944 126.656361) + (xy 132.774889 126.655894) + (xy 132.788082 126.656797) + (xy 132.788085 126.656797) + (xy 132.788085 126.656796) + (xy 132.788086 126.656797) + (xy 132.839752 126.64606) + (xy 132.845189 126.645138) + (xy 132.894336 126.638669) + (xy 132.90693 126.633451) + (xy 132.920409 126.629299) + (xy 132.936896 126.625874) + (xy 132.936897 126.625873) + (xy 132.936899 126.625873) + (xy 132.98055 126.603254) + (xy 132.986363 126.600549) + (xy 133.028836 126.582957) + (xy 133.042513 126.57246) + (xy 133.053695 126.565352) + (xy 133.071844 126.555949) + (xy 133.105167 126.524826) + (xy 133.110701 126.520139) + (xy 133.118787 126.513935) + (xy 133.13977 126.497834) + (xy 133.144328 126.494337) + (xy 133.144328 126.494336) + (xy 133.144333 126.494333) + (xy 133.157022 126.477795) + (xy 133.165349 126.46862) + (xy 133.182923 126.452209) + (xy 133.204748 126.416317) + (xy 133.209326 126.40963) + (xy 133.232957 126.378836) + (xy 133.242312 126.356249) + (xy 133.247523 126.345977) + (xy 133.261894 126.322347) + (xy 133.272258 126.285352) + (xy 133.275195 126.276862) + (xy 133.275896 126.275171) + (xy 133.288669 126.244336) + (xy 133.292328 126.216536) + (xy 133.294471 126.206075) + (xy 133.3029 126.175994) + (xy 133.3029 126.14117) + (xy 133.303543 126.131355) + (xy 133.303719 126.130015) + (xy 133.307671 126.1) + (xy 133.303543 126.068644) + (xy 133.3029 126.058829) + (xy 133.3029 125.765997) + (xy 133.320493 125.717659) + (xy 133.343959 125.698993) + (xy 133.43471 125.652755) + (xy 133.462539 125.624926) + (xy 133.509159 125.603186) + (xy 133.515713 125.6029) + (xy 133.839285 125.6029) + (xy 133.841851 125.602943) + (xy 133.848036 125.603155) + (xy 133.912215 125.605348) + (xy 133.912119 125.608151) + (xy 133.952104 125.618137) + (xy 133.955451 125.620532) + (xy 133.97647 125.636472) + (xy 133.976471 125.636472) + (xy 133.976472 125.636473) + (xy 133.997162 125.644632) + (xy 134.008643 125.650334) + (xy 134.027653 125.661894) + (xy 134.069831 125.673711) + (xy 134.077105 125.676157) + (xy 134.117862 125.69223) + (xy 134.139994 125.694505) + (xy 134.15259 125.696899) + (xy 134.174006 125.7029) + (xy 134.217795 125.7029) + (xy 134.225484 125.703293) + (xy 134.269053 125.707773) + (xy 134.269053 125.707772) + (xy 134.269055 125.707773) + (xy 134.29097 125.703994) + (xy 134.303749 125.7029) + (xy 134.5719 125.7029) + (xy 134.620238 125.720493) + (xy 134.645958 125.765042) + (xy 134.6471 125.7781) + (xy 134.6471 126.008829) + (xy 134.646457 126.018644) + (xy 134.642329 126.050001) + (xy 134.646568 126.082207) + (xy 134.647034 126.086864) + (xy 134.647098 126.087807) + (xy 134.651994 126.123435) + (xy 134.65205 126.123854) + (xy 134.661505 126.195661) + (xy 134.662236 126.199283) + (xy 134.662597 126.200573) + (xy 134.690753 126.265396) + (xy 134.691253 126.266576) + (xy 134.717041 126.328833) + (xy 134.719506 126.333102) + (xy 134.719073 126.333351) + (xy 134.720413 126.335628) + (xy 134.72048 126.335588) + (xy 134.723149 126.339978) + (xy 134.739122 126.359611) + (xy 134.762655 126.388538) + (xy 134.765481 126.392011) + (xy 134.766807 126.39369) + (xy 134.805669 126.444336) + (xy 134.80915 126.447817) + (xy 134.809132 126.447834) + (xy 134.817564 126.45603) + (xy 134.819067 126.457877) + (xy 134.819069 126.45788) + (xy 134.870918 126.494477) + (xy 134.873332 126.496254) + (xy 134.888481 126.507878) + (xy 134.921164 126.532957) + (xy 134.923747 126.534026) + (xy 134.938339 126.542068) + (xy 134.943237 126.545526) + (xy 134.943238 126.545526) + (xy 134.943239 126.545527) + (xy 134.999686 126.565588) + (xy 135.003251 126.566958) + (xy 135.055664 126.588669) + (xy 135.062022 126.589505) + (xy 135.077389 126.593204) + (xy 135.086452 126.596425) + (xy 135.142641 126.600268) + (xy 135.147324 126.600736) + (xy 135.154163 126.601636) + (xy 135.2 126.607671) + (xy 135.209944 126.606361) + (xy 135.224889 126.605894) + (xy 135.238082 126.606797) + (xy 135.238085 126.606797) + (xy 135.238085 126.606796) + (xy 135.238086 126.606797) + (xy 135.289752 126.59606) + (xy 135.295189 126.595138) + (xy 135.344336 126.588669) + (xy 135.35693 126.583451) + (xy 135.370409 126.579299) + (xy 135.386896 126.575874) + (xy 135.386897 126.575873) + (xy 135.386899 126.575873) + (xy 135.43055 126.553254) + (xy 135.436363 126.550549) + (xy 135.478836 126.532957) + (xy 135.492513 126.52246) + (xy 135.503695 126.515352) + (xy 135.521844 126.505949) + (xy 135.555167 126.474826) + (xy 135.560701 126.470139) + (xy 135.594328 126.444337) + (xy 135.594328 126.444336) + (xy 135.594333 126.444333) + (xy 135.607022 126.427795) + (xy 135.615349 126.41862) + (xy 135.632923 126.402209) + (xy 135.654748 126.366317) + (xy 135.659326 126.35963) + (xy 135.682957 126.328836) + (xy 135.692312 126.306249) + (xy 135.697523 126.295977) + (xy 135.711894 126.272347) + (xy 135.722258 126.235352) + (xy 135.725195 126.226862) + (xy 135.72553 126.226055) + (xy 135.738669 126.194336) + (xy 135.742328 126.166536) + (xy 135.744471 126.156075) + (xy 135.7529 126.125994) + (xy 135.7529 126.09117) + (xy 135.753543 126.081355) + (xy 135.754381 126.074986) + (xy 135.757671 126.05) + (xy 135.753543 126.018644) + (xy 135.7529 126.008829) + (xy 135.7529 125.751673) + (xy 135.770493 125.703335) + (xy 135.795073 125.684114) + (xy 135.882898 125.641179) + (xy 135.966177 125.5579) + (xy 135.966179 125.557898) + (xy 136.017906 125.452088) + (xy 136.026649 125.392078) + (xy 136.027899 125.383502) + (xy 136.0279 125.383492) + (xy 136.0279 124.916507) + (xy 136.027899 124.916497) + (xy 136.021454 124.872266) + (xy 136.017906 124.847912) + (xy 135.966179 124.742102) + (xy 135.966177 124.7421) + (xy 135.966177 124.742099) + (xy 135.8829 124.658822) + (xy 135.867301 124.651196) + (xy 135.777088 124.607094) + (xy 135.708502 124.5971) + (xy 135.708494 124.5971) + (xy 135.275994 124.5971) + (xy 135.22146 124.5971) + (xy 135.216328 124.596925) + (xy 135.161914 124.593203) + (xy 135.150729 124.595527) + (xy 135.13543 124.5971) + (xy 134.510167 124.5971) + (xy 134.461829 124.579507) + (xy 134.456993 124.575074) + (xy 134.420071 124.538152) + (xy 134.413584 124.530756) + (xy 134.394333 124.505667) + (xy 134.386372 124.499558) + (xy 134.375482 124.489329) + (xy 134.366784 124.479354) + (xy 134.322674 124.450422) + (xy 134.31814 124.447201) + (xy 134.277794 124.416243) + (xy 134.274691 124.414182) + (xy 134.273526 124.413526) + (xy 134.2629 124.409336) + (xy 134.249247 124.402261) + (xy 134.239699 124.395999) + (xy 134.239692 124.395995) + (xy 134.192838 124.381124) + (xy 134.186811 124.378924) + (xy 134.144338 124.361331) + (xy 134.139582 124.360057) + (xy 134.13971 124.359578) + (xy 134.13715 124.358914) + (xy 134.137133 124.358987) + (xy 134.13214 124.35777) + (xy 134.120768 124.356601) + (xy 134.105713 124.353472) + (xy 134.094827 124.350017) + (xy 134.083454 124.349628) + (xy 134.049272 124.34846) + (xy 134.042027 124.347861) + (xy 134.000001 124.342329) + (xy 133.995076 124.342329) + (xy 133.995076 124.342304) + (xy 133.983323 124.342471) + (xy 133.980944 124.342226) + (xy 133.969682 124.344168) + (xy 133.954343 124.345217) + (xy 133.942931 124.344827) + (xy 133.942921 124.344828) + (xy 133.902122 124.35477) + (xy 133.894138 124.356264) + (xy 133.855668 124.36133) + (xy 133.85566 124.361332) + (xy 133.853073 124.362404) + (xy 133.837086 124.367031) + (xy 133.831166 124.368052) + (xy 133.820851 124.372957) + (xy 133.806367 124.378105) + (xy 133.795261 124.380812) + (xy 133.795259 124.380812) + (xy 133.795259 124.380813) + (xy 133.763098 124.398896) + (xy 133.761771 124.399642) + (xy 133.753697 124.403567) + (xy 133.721159 124.417045) + (xy 133.716065 124.420954) + (xy 133.7026 124.429195) + (xy 133.693909 124.433329) + (xy 133.693908 124.433329) + (xy 133.685292 124.440841) + (xy 133.672742 124.4497) + (xy 133.662774 124.455306) + (xy 133.643007 124.475074) + (xy 133.596387 124.496814) + (xy 133.589833 124.4971) + (xy 133.515713 124.4971) + (xy 133.467375 124.479507) + (xy 133.462539 124.475074) + (xy 133.43471 124.447245) + (xy 133.317466 124.387507) + (xy 133.317468 124.387507) + (xy 133.220194 124.3721) + (xy 133.220192 124.3721) + (xy 132.279808 124.3721) + (xy 132.279805 124.3721) + (xy 132.182532 124.387507) + (xy 132.06529 124.447244) + (xy 132.053773 124.458762) + (xy 132.007152 124.4805) + (xy 131.957465 124.467185) + (xy 131.947426 124.45876) + (xy 131.944336 124.45567) + (xy 131.944334 124.455668) + (xy 131.944333 124.455667) + (xy 131.936372 124.449558) + (xy 131.925477 124.439324) + (xy 131.916784 124.429354) + (xy 131.880116 124.405303) + (xy 131.872669 124.400418) + (xy 131.868137 124.397199) + (xy 131.833933 124.370954) + (xy 131.828836 124.367043) + (xy 131.828834 124.367042) + (xy 131.827785 124.366237) + (xy 131.824691 124.364182) + (xy 131.823531 124.36353) + (xy 131.823529 124.363528) + (xy 131.812902 124.359337) + (xy 131.79925 124.352262) + (xy 131.789699 124.345998) + (xy 131.78969 124.345993) + (xy 131.742831 124.331121) + (xy 131.736807 124.328923) + (xy 131.694336 124.311331) + (xy 131.694334 124.31133) + (xy 131.689582 124.310057) + (xy 131.68971 124.309578) + (xy 131.68715 124.308914) + (xy 131.687133 124.308987) + (xy 131.68214 124.30777) + (xy 131.670768 124.306601) + (xy 131.655713 124.303472) + (xy 131.644827 124.300017) + (xy 131.633454 124.299628) + (xy 131.599272 124.29846) + (xy 131.592027 124.297861) + (xy 131.550001 124.292329) + (xy 131.545076 124.292329) + (xy 131.545076 124.292304) + (xy 131.533323 124.292471) + (xy 131.530944 124.292226) + (xy 131.519682 124.294168) + (xy 131.504343 124.295217) + (xy 131.492931 124.294827) + (xy 131.492922 124.294828) + (xy 131.452123 124.30477) + (xy 131.444139 124.306264) + (xy 131.405667 124.31133) + (xy 131.405657 124.311333) + (xy 131.403069 124.312405) + (xy 131.387087 124.31703) + (xy 131.381171 124.31805) + (xy 131.381165 124.318052) + (xy 131.370844 124.32296) + (xy 131.356365 124.328106) + (xy 131.34526 124.330813) + (xy 131.345256 124.330814) + (xy 131.311771 124.349642) + (xy 131.303695 124.353568) + (xy 131.27116 124.367045) + (xy 131.271158 124.367046) + (xy 131.266065 124.370954) + (xy 131.2526 124.379195) + (xy 131.24391 124.383328) + (xy 131.243908 124.383329) + (xy 131.235296 124.390839) + (xy 131.222734 124.399706) + (xy 131.21278 124.405303) + (xy 131.21277 124.40531) + (xy 131.188156 124.429924) + (xy 131.180764 124.436408) + (xy 131.155662 124.45567) + (xy 131.152573 124.45876) + (xy 131.105953 124.4805) + (xy 131.056266 124.467186) + (xy 131.046225 124.45876) + (xy 131.03471 124.447245) + (xy 130.917466 124.387507) + (xy 130.917468 124.387507) + (xy 130.820194 124.3721) + (xy 130.820192 124.3721) + (xy 129.879808 124.3721) + (xy 129.879805 124.3721) + (xy 129.782532 124.387507) + (xy 129.665289 124.447245) + (xy 129.653775 124.45876) + (xy 129.607155 124.4805) + (xy 129.557468 124.467186) + (xy 129.547427 124.45876) + (xy 129.544336 124.455669) + (xy 129.518571 124.435899) + (xy 129.514931 124.43292) + (xy 129.514227 124.432306) + (xy 129.485467 124.410496) + (xy 129.485128 124.410237) + (xy 129.427787 124.366238) + (xy 129.424691 124.364182) + (xy 129.423524 124.363525) + (xy 129.357833 124.337619) + (xy 129.356645 124.337139) + (xy 129.294339 124.311331) + (xy 129.289582 124.310057) + (xy 129.28971 124.309578) + (xy 129.287148 124.308914) + (xy 129.287131 124.308987) + (xy 129.282137 124.307769) + (xy 129.215426 124.300911) + (xy 129.213302 124.300662) + (xy 129.15 124.292329) + (xy 129.145076 124.292329) + (xy 129.145076 124.292304) + (xy 129.133324 124.292471) + (xy 129.130948 124.292227) + (xy 129.130947 124.292227) + (xy 129.130946 124.292227) + (xy 129.112073 124.295481) + (xy 129.06839 124.303012) + (xy 129.065431 124.303461) + (xy 129.005669 124.31133) + (xy 129.00566 124.311332) + (xy 129.003073 124.312404) + (xy 128.987086 124.317031) + (xy 128.981165 124.318052) + (xy 128.927073 124.343777) + (xy 128.923555 124.345341) + (xy 128.871168 124.36704) + (xy 128.871159 124.367046) + (xy 128.866065 124.370954) + (xy 128.8526 124.379195) + (xy 128.84391 124.383328) + (xy 128.843908 124.383329) + (xy 128.801455 124.420346) + (xy 128.797814 124.423326) + (xy 128.776969 124.439321) + (xy 128.755667 124.455667) + (xy 128.749559 124.463625) + (xy 128.739327 124.474519) + (xy 128.729354 124.483214) + (xy 128.700425 124.527321) + (xy 128.697206 124.531854) + (xy 128.667042 124.571166) + (xy 128.661826 124.583758) + (xy 128.655235 124.596216) + (xy 128.645995 124.610303) + (xy 128.645994 124.610305) + (xy 128.631121 124.657169) + (xy 128.628921 124.663197) + (xy 128.61133 124.705665) + (xy 128.60908 124.722756) + (xy 128.606201 124.735686) + (xy 128.600018 124.755165) + (xy 128.600017 124.755175) + (xy 128.59846 124.800726) + (xy 128.597861 124.80797) + (xy 128.592329 124.849999) + (xy 128.592329 124.85) + (xy 120.68872 124.85) + (xy 120.697743 124.840977) + (xy 120.737477 124.755766) + (xy 120.77385 124.719394) + (xy 120.825094 124.71491) + (xy 120.839772 124.720545) + (xy 120.873942 124.737956) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.330016 124.589771) + (xy 121.387957 124.476055) + (xy 121.407922 124.35) + (xy 121.407658 124.348336) + (xy 121.402475 124.315608) + (xy 121.387957 124.223945) + (xy 121.330016 124.110229) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.839771 123.979455) + (xy 120.788714 123.985724) + (xy 120.745573 123.957707) + (xy 120.737477 123.944232) + (xy 120.697745 123.859026) + (xy 120.697739 123.859018) + (xy 120.615981 123.77726) + (xy 120.615973 123.777254) + (xy 120.51118 123.728388) + (xy 120.511174 123.728386) + (xy 120.475992 123.723755) + (xy 120.46342 123.7221) + (xy 120.03658 123.7221) + (xy 120.02547 123.723562) + (xy 119.988825 123.728386) + (xy 119.988819 123.728388) + (xy 119.884026 123.777254) + (xy 119.884018 123.77726) + (xy 119.80226 123.859018) + (xy 119.802254 123.859026) + (xy 119.753388 123.963819) + (xy 119.753386 123.963825) + (xy 119.7471 124.011581) + (xy 119.7471 124.688418) + (xy 119.1529 124.688418) + (xy 119.1529 124.01158) + (xy 119.146613 123.963824) + (xy 119.146611 123.963819) + (xy 119.097745 123.859026) + (xy 119.097739 123.859018) + (xy 119.015981 123.77726) + (xy 119.015973 123.777254) + (xy 118.921319 123.733116) + (xy 118.884945 123.696743) + (xy 118.8779 123.664962) + (xy 118.8779 123.457936) + (xy 118.878003 123.453999) + (xy 118.880123 123.413555) + (xy 118.880122 123.413554) + (xy 118.880123 123.413552) + (xy 118.871306 123.390586) + (xy 118.86796 123.379288) + (xy 118.862848 123.355234) + (xy 118.85783 123.348327) + (xy 118.848463 123.331075) + (xy 118.845403 123.323104) + (xy 118.845402 123.323102) + (xy 118.828018 123.305718) + (xy 118.820355 123.296747) + (xy 118.805901 123.276854) + (xy 118.804517 123.276055) + (xy 118.798506 123.272584) + (xy 118.782934 123.260635) + (xy 118.6223 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.294508 123.289771) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 120.022831 122.944258) + (xy 120.011096 122.921226) + (xy 120.0029 122.887086) + (xy 120.0029 122.704236) + (xy 120.020493 122.655898) + (xy 120.065042 122.630178) + (xy 120.1157 122.639111) + (xy 120.131274 122.651062) + (xy 120.160226 122.680014) + (xy 120.160228 122.680015) + (xy 120.160229 122.680016) + (xy 120.183567 122.691907) + (xy 120.193619 122.698067) + (xy 120.214807 122.713461) + (xy 120.234883 122.719984) + (xy 120.239709 122.721552) + (xy 120.250613 122.726069) + (xy 120.273942 122.737956) + (xy 120.273943 122.737956) + (xy 120.273945 122.737957) + (xy 120.299812 122.742053) + (xy 120.311271 122.744804) + (xy 120.336187 122.7529) + (xy 120.368292 122.7529) + (xy 120.579998 122.7529) + (xy 120.628336 122.770493) + (xy 120.633172 122.774926) + (xy 120.722237 122.863991) + (xy 120.722238 122.863991) + (xy 120.722239 122.863992) + (xy 120.754926 122.879971) + (xy 120.790625 122.917004) + (xy 120.7971 122.94753) + (xy 120.7971 123.112374) + (xy 120.796174 123.124138) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.865014 123.380016) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.40055 123.5) + (xy 129.4976 123.5) + (xy 129.4976 123.645156) + (xy 129.512988 123.74231) + (xy 129.572656 123.859414) + (xy 129.665585 123.952343) + (xy 129.78269 124.012011) + (xy 129.782688 124.012011) + (xy 129.879843 124.027399) + (xy 129.87985 124.0274) + (xy 130.2 124.0274) + (xy 130.2 123.5) + (xy 130.5 123.5) + (xy 130.5 124.0274) + (xy 130.82015 124.0274) + (xy 130.820156 124.027399) + (xy 130.91731 124.012011) + (xy 131.034414 123.952343) + (xy 131.127343 123.859414) + (xy 131.187011 123.74231) + (xy 131.202399 123.645156) + (xy 131.2024 123.645149) + (xy 131.2024 123.5) + (xy 131.8976 123.5) + (xy 131.8976 123.645156) + (xy 131.912988 123.74231) + (xy 131.972656 123.859414) + (xy 132.065585 123.952343) + (xy 132.18269 124.012011) + (xy 132.182688 124.012011) + (xy 132.279843 124.027399) + (xy 132.27985 124.0274) + (xy 132.6 124.0274) + (xy 132.6 123.5) + (xy 132.9 123.5) + (xy 132.9 124.0274) + (xy 133.22015 124.0274) + (xy 133.220156 124.027399) + (xy 133.31731 124.012011) + (xy 133.434414 123.952343) + (xy 133.527343 123.859414) + (xy 133.587011 123.74231) + (xy 133.602399 123.645156) + (xy 133.6024 123.645149) + (xy 133.6024 123.5) + (xy 132.9 123.5) + (xy 132.6 123.5) + (xy 131.8976 123.5) + (xy 131.2024 123.5) + (xy 130.5 123.5) + (xy 130.2 123.5) + (xy 129.4976 123.5) + (xy 121.40055 123.5) + (xy 121.439771 123.480016) + (xy 121.519787 123.4) + (xy 134.3726 123.4) + (xy 134.3726 123.483454) + (xy 134.38258 123.551942) + (xy 134.434235 123.657605) + (xy 134.517394 123.740764) + (xy 134.623057 123.792419) + (xy 134.623056 123.792419) + (xy 134.691545 123.802399) + (xy 134.691556 123.8024) + (xy 135.05 123.8024) + (xy 135.05 123.4) + (xy 135.35 123.4) + (xy 135.35 123.8024) + (xy 135.708444 123.8024) + (xy 135.708454 123.802399) + (xy 135.776942 123.792419) + (xy 135.882605 123.740764) + (xy 135.965764 123.657605) + (xy 136.017419 123.551942) + (xy 136.024988 123.5) + (xy 139.2476 123.5) + (xy 139.2476 123.645156) + (xy 139.262988 123.74231) + (xy 139.322656 123.859414) + (xy 139.415585 123.952343) + (xy 139.53269 124.012011) + (xy 139.532688 124.012011) + (xy 139.629843 124.027399) + (xy 139.62985 124.0274) + (xy 139.95 124.0274) + (xy 139.95 123.5) + (xy 140.25 123.5) + (xy 140.25 124.0274) + (xy 140.57015 124.0274) + (xy 140.570156 124.027399) + (xy 140.66731 124.012011) + (xy 140.784414 123.952343) + (xy 140.877343 123.859414) + (xy 140.937011 123.74231) + (xy 140.952399 123.645156) + (xy 140.9524 123.645149) + (xy 140.9524 123.5) + (xy 140.25 123.5) + (xy 139.95 123.5) + (xy 139.2476 123.5) + (xy 136.024988 123.5) + (xy 136.027399 123.483454) + (xy 136.0274 123.483444) + (xy 136.0274 123.4) + (xy 135.35 123.4) + (xy 135.05 123.4) + (xy 134.3726 123.4) + (xy 121.519787 123.4) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.600003 123.2) + (xy 129.4976 123.2) + (xy 130.2 123.2) + (xy 130.2 122.6726) + (xy 130.5 122.6726) + (xy 130.5 123.2) + (xy 131.2024 123.2) + (xy 131.8976 123.2) + (xy 132.6 123.2) + (xy 132.6 122.6726) + (xy 132.9 122.6726) + (xy 132.9 123.2) + (xy 133.6024 123.2) + (xy 139.2476 123.2) + (xy 139.95 123.2) + (xy 139.95 122.6726) + (xy 140.25 122.6726) + (xy 140.25 123.2) + (xy 140.9524 123.2) + (xy 140.9524 123.05485) + (xy 140.952399 123.054843) + (xy 140.937011 122.957689) + (xy 140.877343 122.840585) + (xy 140.784414 122.747656) + (xy 140.667309 122.687988) + (xy 140.667311 122.687988) + (xy 140.570156 122.6726) + (xy 140.25 122.6726) + (xy 139.95 122.6726) + (xy 139.629843 122.6726) + (xy 139.532689 122.687988) + (xy 139.415585 122.747656) + (xy 139.322656 122.840585) + (xy 139.262988 122.957689) + (xy 139.2476 123.054843) + (xy 139.2476 123.2) + (xy 133.6024 123.2) + (xy 133.6024 123.1) + (xy 134.3726 123.1) + (xy 135.05 123.1) + (xy 135.05 122.6976) + (xy 135.35 122.6976) + (xy 135.35 123.1) + (xy 136.0274 123.1) + (xy 136.0274 123.016555) + (xy 136.027399 123.016545) + (xy 136.017419 122.948057) + (xy 135.965764 122.842394) + (xy 135.882605 122.759235) + (xy 135.776942 122.70758) + (xy 135.776943 122.70758) + (xy 135.708454 122.6976) + (xy 135.35 122.6976) + (xy 135.05 122.6976) + (xy 134.691545 122.6976) + (xy 134.623057 122.70758) + (xy 134.517394 122.759235) + (xy 134.434235 122.842394) + (xy 134.38258 122.948057) + (xy 134.3726 123.016545) + (xy 134.3726 123.1) + (xy 133.6024 123.1) + (xy 133.6024 123.05485) + (xy 133.602399 123.054843) + (xy 133.587011 122.957689) + (xy 133.527343 122.840585) + (xy 133.434414 122.747656) + (xy 133.317309 122.687988) + (xy 133.317311 122.687988) + (xy 133.220156 122.6726) + (xy 132.9 122.6726) + (xy 132.6 122.6726) + (xy 132.279843 122.6726) + (xy 132.182689 122.687988) + (xy 132.065585 122.747656) + (xy 131.972656 122.840585) + (xy 131.912988 122.957689) + (xy 131.8976 123.054843) + (xy 131.8976 123.2) + (xy 131.2024 123.2) + (xy 131.2024 123.05485) + (xy 131.202399 123.054843) + (xy 131.187011 122.957689) + (xy 131.127343 122.840585) + (xy 131.034414 122.747656) + (xy 130.917309 122.687988) + (xy 130.917311 122.687988) + (xy 130.820156 122.6726) + (xy 130.5 122.6726) + (xy 130.2 122.6726) + (xy 129.879843 122.6726) + (xy 129.782689 122.687988) + (xy 129.665585 122.747656) + (xy 129.572656 122.840585) + (xy 129.512988 122.957689) + (xy 129.4976 123.054843) + (xy 129.4976 123.2) + (xy 121.600003 123.2) + (xy 121.607922 123.15) + (xy 121.603826 123.124138) + (xy 121.6029 123.112374) + (xy 121.6029 122.94753) + (xy 121.620493 122.899192) + (xy 121.645072 122.879971) + (xy 121.677761 122.863992) + (xy 121.715019 122.826734) + (xy 121.766828 122.774926) + (xy 121.813448 122.753186) + (xy 121.820002 122.7529) + (xy 121.963812 122.7529) + (xy 121.963813 122.7529) + (xy 121.988722 122.744805) + (xy 122.000173 122.742055) + (xy 122.026055 122.737957) + (xy 122.049397 122.726063) + (xy 122.060284 122.721554) + (xy 122.085193 122.713461) + (xy 122.106378 122.698068) + (xy 122.11643 122.691907) + (xy 122.139771 122.680016) + (xy 122.230016 122.589771) + (xy 122.236201 122.583586) + (xy 122.236208 122.583576) + (xy 122.283576 122.536208) + (xy 122.283586 122.536201) + (xy 122.380014 122.439773) + (xy 122.380016 122.439771) + (xy 122.391907 122.41643) + (xy 122.398068 122.406378) + (xy 122.413461 122.385193) + (xy 122.421554 122.360284) + (xy 122.426063 122.349397) + (xy 122.437957 122.326055) + (xy 122.442055 122.300173) + (xy 122.444805 122.288722) + (xy 122.4529 122.263813) + (xy 122.4529 122.237624) + (xy 122.453826 122.225859) + (xy 122.457922 122.2) + (xy 122.457922 122.199999) + (xy 122.453826 122.174138) + (xy 122.4529 122.162374) + (xy 122.4529 122.136188) + (xy 122.4529 122.136187) + (xy 122.444804 122.111271) + (xy 122.442053 122.099812) + (xy 122.437957 122.073945) + (xy 122.437956 122.073943) + (xy 122.437956 122.073942) + (xy 122.426069 122.050613) + (xy 122.421552 122.039709) + (xy 122.413461 122.014808) + (xy 122.413461 122.014807) + (xy 122.398067 121.993619) + (xy 122.391906 121.983565) + (xy 122.380016 121.960229) + (xy 122.380015 121.960228) + (xy 122.380014 121.960226) + (xy 122.361499 121.941711) + (xy 122.353836 121.93274) + (xy 122.338444 121.911556) + (xy 122.317259 121.896164) + (xy 122.308291 121.888504) + (xy 122.289771 121.869984) + (xy 122.266432 121.858091) + (xy 122.256375 121.851928) + (xy 122.235196 121.836541) + (xy 122.235194 121.83654) + (xy 122.235193 121.836539) + (xy 122.210283 121.828444) + (xy 122.199388 121.823931) + (xy 122.176056 121.812043) + (xy 122.176058 121.812043) + (xy 122.150187 121.807945) + (xy 122.138721 121.805192) + (xy 122.113813 121.7971) + (xy 122.087625 121.7971) + (xy 122.075861 121.796174) + (xy 122.05 121.792078) + (xy 122.024139 121.796174) + (xy 122.012375 121.7971) + (xy 121.986182 121.7971) + (xy 121.961281 121.805191) + (xy 121.949813 121.807945) + (xy 121.923943 121.812043) + (xy 121.900606 121.823933) + (xy 121.889712 121.828445) + (xy 121.86481 121.836537) + (xy 121.864805 121.836539) + (xy 121.843623 121.851929) + (xy 121.833567 121.858091) + (xy 121.81023 121.869982) + (xy 121.805442 121.873462) + (xy 121.803644 121.870987) + (xy 121.76739 121.887807) + (xy 121.717729 121.874397) + (xy 121.707813 121.86606) + (xy 121.677761 121.836008) + (xy 121.568203 121.782449) + (xy 121.497182 121.7721) + (xy 121.497174 121.7721) + (xy 120.902826 121.7721) + (xy 120.902817 121.7721) + (xy 120.831797 121.782449) + (xy 120.722237 121.836009) + (xy 120.64219 121.916056) + (xy 120.59557 121.937795) + (xy 120.545883 121.924481) + (xy 120.535847 121.91606) + (xy 120.489771 121.869984) + (xy 120.466432 121.858091) + (xy 120.456375 121.851928) + (xy 120.435196 121.836541) + (xy 120.435194 121.83654) + (xy 120.435193 121.836539) + (xy 120.410283 121.828444) + (xy 120.399388 121.823931) + (xy 120.376056 121.812043) + (xy 120.376058 121.812043) + (xy 120.350187 121.807945) + (xy 120.338721 121.805192) + (xy 120.313813 121.7971) + (xy 120.287625 121.7971) + (xy 120.275861 121.796174) + (xy 120.25 121.792078) + (xy 120.224139 121.796174) + (xy 120.212375 121.7971) + (xy 120.186182 121.7971) + (xy 120.161281 121.805191) + (xy 120.149813 121.807945) + (xy 120.123941 121.812043) + (xy 120.123941 121.812044) + (xy 120.109221 121.819543) + (xy 120.058164 121.825808) + (xy 120.015025 121.797789) + (xy 120.001331 121.767207) + (xy 119.995513 121.737956) + (xy 119.988227 121.701323) + (xy 119.932331 121.617669) + (xy 119.848677 121.561773) + (xy 119.848675 121.561772) + (xy 119.774911 121.5471) + (xy 119.525088 121.5471) + (xy 119.525087 121.547101) + (xy 119.451326 121.561772) + (xy 119.451324 121.561772) + (xy 119.451323 121.561773) + (xy 119.368108 121.617376) + (xy 119.367669 121.617669) + (xy 119.311773 121.701323) + (xy 119.311772 121.701324) + (xy 119.2971 121.775088) + (xy 119.2971 122.18229) + (xy 119.296843 122.188501) + (xy 119.293441 122.229545) + (xy 119.294798 122.234901) + (xy 119.2971 122.253364) + (xy 119.2971 122.887086) + (xy 119.288904 122.921226) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 118.6223 123.1) + (xy 118.599925 123.077625) + (xy 118.578186 123.031005) + (xy 118.5779 123.024451) + (xy 118.5779 122.858895) + (xy 118.595493 122.810557) + (xy 118.611319 122.79637) + (xy 118.632331 122.782331) + (xy 118.688227 122.698677) + (xy 118.7029 122.624911) + (xy 118.702899 121.77509) + (xy 118.688227 121.701323) + (xy 118.632331 121.617669) + (xy 118.548677 121.561773) + (xy 118.548675 121.561772) + (xy 118.474911 121.5471) + (xy 118.225088 121.5471) + (xy 118.225087 121.547101) + (xy 118.151326 121.561772) + (xy 118.151324 121.561772) + (xy 118.151323 121.561773) + (xy 118.068108 121.617376) + (xy 118.067669 121.617669) + (xy 118.011773 121.701323) + (xy 118.011772 121.701324) + (xy 117.9971 121.775088) + (xy 117.9971 122.624911) + (xy 117.494876 122.624911) + (xy 117.530016 122.589771) + (xy 117.536201 122.583586) + (xy 117.536208 122.583576) + (xy 117.583576 122.536208) + (xy 117.583586 122.536201) + (xy 117.680014 122.439773) + (xy 117.680016 122.439771) + (xy 117.691907 122.41643) + (xy 117.698068 122.406378) + (xy 117.713461 122.385193) + (xy 117.721554 122.360284) + (xy 117.726063 122.349397) + (xy 117.737957 122.326055) + (xy 117.742055 122.300173) + (xy 117.744805 122.288722) + (xy 117.7529 122.263813) + (xy 117.7529 122.237624) + (xy 117.753826 122.225859) + (xy 117.757922 122.2) + (xy 117.757922 122.199999) + (xy 117.753826 122.174138) + (xy 117.7529 122.162374) + (xy 117.7529 122.136188) + (xy 117.7529 122.136187) + (xy 117.744804 122.111271) + (xy 117.742053 122.099812) + (xy 117.737957 122.073945) + (xy 117.737956 122.073943) + (xy 117.737956 122.073942) + (xy 117.726069 122.050613) + (xy 117.721552 122.039709) + (xy 117.713461 122.014808) + (xy 117.713461 122.014807) + (xy 117.698067 121.993619) + (xy 117.691906 121.983565) + (xy 117.680016 121.960229) + (xy 117.680015 121.960228) + (xy 117.680014 121.960226) + (xy 117.661499 121.941711) + (xy 117.653836 121.93274) + (xy 117.638444 121.911556) + (xy 117.617259 121.896164) + (xy 117.608291 121.888504) + (xy 117.589771 121.869984) + (xy 117.566432 121.858091) + (xy 117.556375 121.851928) + (xy 117.535196 121.836541) + (xy 117.535194 121.83654) + (xy 117.535193 121.836539) + (xy 117.510283 121.828444) + (xy 117.499388 121.823931) + (xy 117.476056 121.812043) + (xy 117.476058 121.812043) + (xy 117.450187 121.807945) + (xy 117.438721 121.805192) + (xy 117.413813 121.7971) + (xy 117.387625 121.7971) + (xy 117.375861 121.796174) + (xy 117.35 121.792078) + (xy 117.324139 121.796174) + (xy 117.312375 121.7971) + (xy 117.286182 121.7971) + (xy 117.261281 121.805191) + (xy 117.249813 121.807945) + (xy 117.223943 121.812043) + (xy 117.200606 121.823933) + (xy 117.189712 121.828445) + (xy 117.16481 121.836537) + (xy 117.164805 121.836539) + (xy 117.143623 121.851929) + (xy 117.133567 121.858091) + (xy 117.11023 121.869982) + (xy 117.105442 121.873462) + (xy 117.103644 121.870987) + (xy 117.06739 121.887807) + (xy 117.017729 121.874397) + (xy 117.007813 121.86606) + (xy 116.977761 121.836008) + (xy 116.868203 121.782449) + (xy 116.797182 121.7721) + (xy 116.797174 121.7721) + (xy 116.202826 121.7721) + (xy 116.202817 121.7721) + (xy 116.131797 121.782449) + (xy 116.022237 121.836009) + (xy 115.94219 121.916056) + (xy 115.89557 121.937795) + (xy 115.845883 121.924481) + (xy 115.835847 121.91606) + (xy 115.789771 121.869984) + (xy 115.766432 121.858091) + (xy 115.756375 121.851928) + (xy 115.735196 121.836541) + (xy 115.735194 121.83654) + (xy 115.735193 121.836539) + (xy 115.710283 121.828444) + (xy 115.699388 121.823931) + (xy 115.676056 121.812043) + (xy 115.676058 121.812043) + (xy 115.650187 121.807945) + (xy 115.638721 121.805192) + (xy 115.613813 121.7971) + (xy 115.587625 121.7971) + (xy 115.575861 121.796174) + (xy 115.55 121.792078) + (xy 115.524139 121.796174) + (xy 115.512375 121.7971) + (xy 115.486182 121.7971) + (xy 115.461281 121.805191) + (xy 115.449813 121.807944) + (xy 115.433612 121.810511) + (xy 115.383117 121.800699) + (xy 115.350742 121.760725) + (xy 115.348089 121.750908) + (xy 115.345513 121.737956) + (xy 115.338227 121.701323) + (xy 115.282331 121.617669) + (xy 115.198677 121.561773) + (xy 115.198675 121.561772) + (xy 115.124911 121.5471) + (xy 114.875088 121.5471) + (xy 114.875087 121.547101) + (xy 114.801326 121.561772) + (xy 114.801324 121.561772) + (xy 114.801323 121.561773) + (xy 114.718108 121.617376) + (xy 114.717669 121.617669) + (xy 114.661773 121.701323) + (xy 114.661772 121.701324) + (xy 114.6471 121.775088) + (xy 114.6471 122.18229) + (xy 114.646843 122.188501) + (xy 114.643441 122.229545) + (xy 114.644798 122.234901) + (xy 114.6471 122.253364) + (xy 114.6471 122.679638) + (xy 114.629507 122.727976) + (xy 114.606041 122.746641) + (xy 114.560229 122.769983) + (xy 114.469983 122.86023) + (xy 114.412043 122.973943) + (xy 114.392078 123.099999) + (xy 114.392078 123.1) + (xy 113.9723 123.1) + (xy 113.949925 123.077625) + (xy 113.928186 123.031005) + (xy 113.9279 123.024451) + (xy 113.9279 122.858895) + (xy 113.945493 122.810557) + (xy 113.961319 122.79637) + (xy 113.982331 122.782331) + (xy 114.038227 122.698677) + (xy 114.0529 122.624911) + (xy 114.052899 121.77509) + (xy 114.038227 121.701323) + (xy 113.982331 121.617669) + (xy 113.898677 121.561773) + (xy 113.898675 121.561772) + (xy 113.824911 121.5471) + (xy 113.575088 121.5471) + (xy 113.575087 121.547101) + (xy 113.501326 121.561772) + (xy 113.501324 121.561772) + (xy 113.501323 121.561773) + (xy 113.418108 121.617376) + (xy 113.417669 121.617669) + (xy 113.361773 121.701323) + (xy 113.361772 121.701324) + (xy 113.3471 121.775088) + (xy 113.3471 122.624911) + (xy 74.5229 122.624911) + (xy 74.5229 120.7) + (xy 75.972601 120.7) + (xy 75.972601 120.797142) + (xy 75.982934 120.868059) + (xy 76.036421 120.977469) + (xy 76.12253 121.063578) + (xy 76.231941 121.117065) + (xy 76.23194 121.117065) + (xy 76.302863 121.127399) + (xy 76.45 121.127399) + (xy 76.45 120.7) + (xy 76.75 120.7) + (xy 76.75 121.127399) + (xy 76.89713 121.127399) + (xy 76.897142 121.127398) + (xy 76.968059 121.117065) + (xy 77.077469 121.063578) + (xy 77.163578 120.977469) + (xy 77.217065 120.868058) + (xy 77.227399 120.797141) + (xy 77.2274 120.797131) + (xy 77.2274 120.7) + (xy 85.172601 120.7) + (xy 85.172601 120.797142) + (xy 85.182934 120.868059) + (xy 85.236421 120.977469) + (xy 85.32253 121.063578) + (xy 85.431941 121.117065) + (xy 85.43194 121.117065) + (xy 85.502863 121.127399) + (xy 85.65 121.127399) + (xy 85.65 120.7) + (xy 85.95 120.7) + (xy 85.95 121.127399) + (xy 86.09713 121.127399) + (xy 86.097142 121.127398) + (xy 86.168059 121.117065) + (xy 86.277469 121.063578) + (xy 86.363578 120.977469) + (xy 86.417065 120.868058) + (xy 86.427399 120.797141) + (xy 86.4274 120.797131) + (xy 86.4274 120.7) + (xy 94.372601 120.7) + (xy 94.372601 120.797142) + (xy 94.382934 120.868059) + (xy 94.436421 120.977469) + (xy 94.52253 121.063578) + (xy 94.631941 121.117065) + (xy 94.63194 121.117065) + (xy 94.702863 121.127399) + (xy 94.85 121.127399) + (xy 94.85 120.7) + (xy 95.15 120.7) + (xy 95.15 121.127399) + (xy 95.29713 121.127399) + (xy 95.297142 121.127398) + (xy 95.368059 121.117065) + (xy 95.477469 121.063578) + (xy 95.563578 120.977469) + (xy 95.617065 120.868058) + (xy 95.627399 120.797141) + (xy 95.6274 120.797131) + (xy 95.6274 120.7) + (xy 103.572601 120.7) + (xy 103.572601 120.797142) + (xy 103.582934 120.868059) + (xy 103.636421 120.977469) + (xy 103.72253 121.063578) + (xy 103.831941 121.117065) + (xy 103.83194 121.117065) + (xy 103.902863 121.127399) + (xy 104.05 121.127399) + (xy 104.05 120.7) + (xy 104.35 120.7) + (xy 104.35 121.127399) + (xy 104.49713 121.127399) + (xy 104.497142 121.127398) + (xy 104.568059 121.117065) + (xy 104.677469 121.063578) + (xy 104.763578 120.977469) + (xy 104.817065 120.868058) + (xy 104.827399 120.797141) + (xy 104.8274 120.797131) + (xy 104.8274 120.7) + (xy 104.35 120.7) + (xy 104.05 120.7) + (xy 103.572601 120.7) + (xy 95.6274 120.7) + (xy 95.15 120.7) + (xy 94.85 120.7) + (xy 94.372601 120.7) + (xy 86.4274 120.7) + (xy 85.95 120.7) + (xy 85.65 120.7) + (xy 85.172601 120.7) + (xy 77.2274 120.7) + (xy 76.75 120.7) + (xy 76.45 120.7) + (xy 75.972601 120.7) + (xy 74.5229 120.7) + (xy 74.5229 120.65) + (xy 113.347601 120.65) + (xy 113.347601 120.924861) + (xy 113.362244 120.998481) + (xy 113.41803 121.081969) + (xy 113.501518 121.137755) + (xy 113.55 121.147398) + (xy 113.55 120.65) + (xy 113.85 120.65) + (xy 113.85 121.147397) + (xy 113.898478 121.137756) + (xy 113.89848 121.137755) + (xy 113.983219 121.081133) + (xy 114.033184 121.068906) + (xy 114.066779 121.081133) + (xy 114.151518 121.137755) + (xy 114.2 121.147398) + (xy 114.2 121.147397) + (xy 114.5 121.147397) + (xy 114.548478 121.137756) + (xy 114.548483 121.137754) + (xy 114.63277 121.081435) + (xy 114.682735 121.069208) + (xy 114.716328 121.081435) + (xy 114.801319 121.138225) + (xy 114.801321 121.138226) + (xy 114.801323 121.138227) + (xy 114.875089 121.1529) + (xy 115.12491 121.152899) + (xy 115.124911 121.152899) + (xy 115.124911 121.152898) + (xy 115.198677 121.138227) + (xy 115.282331 121.082331) + (xy 115.337343 121) + (xy 115.872601 121) + (xy 115.872601 121.097142) + (xy 115.882934 121.168059) + (xy 115.936421 121.277469) + (xy 116.02253 121.363578) + (xy 116.131941 121.417065) + (xy 116.13194 121.417065) + (xy 116.202863 121.427399) + (xy 116.35 121.427399) + (xy 116.35 121) + (xy 116.65 121) + (xy 116.65 121.427399) + (xy 116.79713 121.427399) + (xy 116.797142 121.427398) + (xy 116.868059 121.417065) + (xy 116.977469 121.363578) + (xy 117.063578 121.277469) + (xy 117.117065 121.168058) + (xy 117.127399 121.097141) + (xy 117.1274 121.097131) + (xy 117.1274 121) + (xy 116.65 121) + (xy 116.35 121) + (xy 115.872601 121) + (xy 115.337343 121) + (xy 115.338227 120.998677) + (xy 115.3529 120.924911) + (xy 115.3529 120.7) + (xy 115.8726 120.7) + (xy 116.35 120.7) + (xy 116.35 120.2726) + (xy 116.65 120.2726) + (xy 116.65 120.7) + (xy 117.127399 120.7) + (xy 117.127399 120.65) + (xy 117.997601 120.65) + (xy 117.997601 120.924861) + (xy 118.012244 120.998481) + (xy 118.06803 121.081969) + (xy 118.151518 121.137755) + (xy 118.2 121.147398) + (xy 118.2 120.65) + (xy 118.5 120.65) + (xy 118.5 121.147397) + (xy 118.548478 121.137756) + (xy 118.54848 121.137755) + (xy 118.633219 121.081133) + (xy 118.683184 121.068906) + (xy 118.716779 121.081133) + (xy 118.801518 121.137755) + (xy 118.85 121.147398) + (xy 118.85 121.147397) + (xy 119.15 121.147397) + (xy 119.198478 121.137756) + (xy 119.198483 121.137754) + (xy 119.28277 121.081435) + (xy 119.332735 121.069208) + (xy 119.366328 121.081435) + (xy 119.451319 121.138225) + (xy 119.451321 121.138226) + (xy 119.451323 121.138227) + (xy 119.525089 121.1529) + (xy 119.77491 121.152899) + (xy 119.774911 121.152899) + (xy 119.774911 121.152898) + (xy 119.848677 121.138227) + (xy 119.932331 121.082331) + (xy 119.987343 121) + (xy 120.572601 121) + (xy 120.572601 121.097142) + (xy 120.582934 121.168059) + (xy 120.636421 121.277469) + (xy 120.72253 121.363578) + (xy 120.831941 121.417065) + (xy 120.83194 121.417065) + (xy 120.902863 121.427399) + (xy 121.05 121.427399) + (xy 121.05 121) + (xy 121.35 121) + (xy 121.35 121.427399) + (xy 121.49713 121.427399) + (xy 121.497142 121.427398) + (xy 121.568059 121.417065) + (xy 121.677469 121.363578) + (xy 121.763578 121.277469) + (xy 121.817065 121.168058) + (xy 121.827399 121.097141) + (xy 121.8274 121.097131) + (xy 121.8274 121) + (xy 121.35 121) + (xy 121.05 121) + (xy 120.572601 121) + (xy 119.987343 121) + (xy 119.988227 120.998677) + (xy 120.0029 120.924911) + (xy 120.0029 120.7) + (xy 120.5726 120.7) + (xy 121.05 120.7) + (xy 121.05 120.2726) + (xy 121.35 120.2726) + (xy 121.35 120.7) + (xy 121.827399 120.7) + (xy 121.827399 120.602869) + (xy 121.827398 120.602857) + (xy 121.817065 120.53194) + (xy 121.801452 120.500002) + (xy 126.042329 120.500002) + (xy 126.043637 120.509943) + (xy 126.044105 120.524882) + (xy 126.043202 120.538082) + (xy 126.043203 120.538087) + (xy 126.053932 120.589718) + (xy 126.054861 120.595201) + (xy 126.06133 120.644332) + (xy 126.061331 120.644337) + (xy 126.066549 120.656934) + (xy 126.070699 120.670406) + (xy 126.07404 120.686484) + (xy 126.074127 120.686899) + (xy 126.096744 120.730547) + (xy 126.09945 120.736365) + (xy 126.11704 120.778831) + (xy 126.117042 120.778834) + (xy 126.117043 120.778836) + (xy 126.121409 120.784526) + (xy 126.127539 120.792515) + (xy 126.134644 120.803692) + (xy 126.14405 120.821843) + (xy 126.144051 120.821844) + (xy 126.175163 120.855157) + (xy 126.179854 120.860693) + (xy 126.205667 120.894333) + (xy 126.222202 120.907021) + (xy 126.231378 120.915349) + (xy 126.247791 120.932923) + (xy 126.283684 120.954749) + (xy 126.290382 120.959337) + (xy 126.32116 120.982955) + (xy 126.321162 120.982956) + (xy 126.321164 120.982957) + (xy 126.330631 120.986878) + (xy 126.343745 120.992311) + (xy 126.354032 120.99753) + (xy 126.377653 121.011894) + (xy 126.414645 121.022258) + (xy 126.423136 121.025195) + (xy 126.455661 121.038668) + (xy 126.455664 121.038669) + (xy 126.483465 121.042328) + (xy 126.493924 121.044471) + (xy 126.524006 121.0529) + (xy 126.558829 121.0529) + (xy 126.568644 121.053543) + (xy 126.6 121.057671) + (xy 126.631356 121.053543) + (xy 126.641171 121.0529) + (xy 127.20943 121.0529) + (xy 127.242457 121.06054) + (xy 127.256797 121.067551) + (xy 127.308848 121.075135) + (xy 127.327817 121.077899) + (xy 127.327822 121.077899) + (xy 127.327826 121.0779) + (xy 127.327828 121.0779) + (xy 128.372172 121.0779) + (xy 128.372174 121.0779) + (xy 128.372178 121.077899) + (xy 128.372182 121.077899) + (xy 128.381151 121.076592) + (xy 128.443203 121.067551) + (xy 128.457542 121.06054) + (xy 128.49057 121.0529) + (xy 128.839285 121.0529) + (xy 128.841851 121.052943) + (xy 128.857832 121.053489) + (xy 128.90707 121.055172) + (xy 128.907072 121.055172) + (xy 128.907072 121.055171) + (xy 128.907073 121.055172) + (xy 128.949627 121.044801) + (xy 128.957178 121.043366) + (xy 129.000573 121.037402) + (xy 129.020976 121.028538) + (xy 129.033115 121.024456) + (xy 129.054741 121.019187) + (xy 129.092927 120.997714) + (xy 129.099807 120.994297) + (xy 129.139979 120.97685) + (xy 129.148684 120.969767) + (xy 129.196142 120.9529) + (xy 129.274006 120.9529) + (xy 129.317796 120.9529) + (xy 129.325485 120.953293) + (xy 129.369054 120.957773) + (xy 129.369054 120.957772) + (xy 129.369056 120.957773) + (xy 129.390971 120.953994) + (xy 129.40375 120.9529) + (xy 129.584287 120.9529) + (xy 129.632625 120.970493) + (xy 129.637461 120.974926) + (xy 129.66529 121.002755) + (xy 129.782532 121.062492) + (xy 129.782534 121.062493) + (xy 129.879808 121.0779) + (xy 129.879809 121.0779) + (xy 129.882726 121.078362) + (xy 129.882483 121.079894) + (xy 129.924562 121.099027) + (xy 129.946747 121.145436) + (xy 129.9471 121.152709) + (xy 129.9471 121.312374) + (xy 129.946174 121.324138) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.015068 121.580123) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 130.756828 121.343095) + (xy 130.753826 121.324138) + (xy 130.7529 121.312374) + (xy 130.7529 121.152709) + (xy 130.770493 121.104371) + (xy 130.815042 121.078651) + (xy 130.817274 121.078368) + (xy 130.817274 121.078362) + (xy 130.820191 121.0779) + (xy 130.820192 121.0779) + (xy 130.917466 121.062493) + (xy 131.03471 121.002755) + (xy 131.062539 120.974926) + (xy 131.109159 120.953186) + (xy 131.115713 120.9529) + (xy 131.339285 120.9529) + (xy 131.341851 120.952943) + (xy 131.352098 120.953294) + (xy 131.407071 120.955172) + (xy 131.407072 120.955172) + (xy 131.407072 120.955171) + (xy 131.407073 120.955172) + (xy 131.407623 120.955038) + (xy 131.425428 120.9529) + (xy 131.674006 120.9529) + (xy 131.717796 120.9529) + (xy 131.725485 120.953293) + (xy 131.769054 120.957773) + (xy 131.769054 120.957772) + (xy 131.769056 120.957773) + (xy 131.790971 120.953994) + (xy 131.80375 120.9529) + (xy 131.984287 120.9529) + (xy 132.032625 120.970493) + (xy 132.037461 120.974926) + (xy 132.06529 121.002755) + (xy 132.182532 121.062492) + (xy 132.182534 121.062493) + (xy 132.279808 121.0779) + (xy 132.279809 121.0779) + (xy 132.282726 121.078362) + (xy 132.282483 121.079894) + (xy 132.324562 121.099027) + (xy 132.346747 121.145436) + (xy 132.3471 121.152709) + (xy 132.3471 121.312374) + (xy 132.346174 121.324138) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.415068 121.580123) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.156828 121.343095) + (xy 133.153826 121.324138) + (xy 133.1529 121.312374) + (xy 133.1529 121.152709) + (xy 133.170493 121.104371) + (xy 133.215042 121.078651) + (xy 133.217274 121.078368) + (xy 133.217274 121.078362) + (xy 133.220191 121.0779) + (xy 133.220192 121.0779) + (xy 133.317466 121.062493) + (xy 133.43471 121.002755) + (xy 133.462539 120.974926) + (xy 133.509159 120.953186) + (xy 133.515713 120.9529) + (xy 133.958829 120.9529) + (xy 133.968644 120.953543) + (xy 134 120.957671) + (xy 134.032217 120.953429) + (xy 134.036889 120.952963) + (xy 134.037796 120.9529) + (xy 134.037818 120.9529) + (xy 134.073659 120.947973) + (xy 134.144336 120.938669) + (xy 134.144339 120.938667) + (xy 134.145696 120.938489) + (xy 134.149255 120.93777) + (xy 134.150571 120.937402) + (xy 134.150573 120.937402) + (xy 134.215416 120.909236) + (xy 134.216443 120.9088) + (xy 134.278836 120.882957) + (xy 134.278842 120.882951) + (xy 134.283107 120.880491) + (xy 134.283363 120.880935) + (xy 134.28563 120.879597) + (xy 134.285585 120.879522) + (xy 134.289974 120.876851) + (xy 134.289979 120.87685) + (xy 134.292768 120.87458) + (xy 134.298686 120.869767) + (xy 134.346144 120.8529) + (xy 134.547293 120.8529) + (xy 134.579073 120.859945) + (xy 134.604609 120.871853) + (xy 134.65054 120.8779) + (xy 134.6719 120.8779) + (xy 134.720238 120.895493) + (xy 134.745958 120.940042) + (xy 134.7471 120.9531) + (xy 134.7471 121.112228) + (xy 134.746335 121.122929) + (xy 134.742443 121.15) + (xy 134.746493 121.178172) + (xy 134.747048 121.183251) + (xy 134.7471 121.183948) + (xy 134.75189 121.215726) + (xy 134.751964 121.21623) + (xy 134.761227 121.280646) + (xy 134.76183 121.283374) + (xy 134.762334 121.285008) + (xy 134.789639 121.341709) + (xy 134.79029 121.343095) + (xy 134.815079 121.397374) + (xy 134.817989 121.401901) + (xy 134.817399 121.402279) + (xy 134.818197 121.40348) + (xy 134.81839 121.403349) + (xy 134.821563 121.408003) + (xy 134.821568 121.408008) + (xy 134.821569 121.40801) + (xy 134.86194 121.451519) + (xy 134.863591 121.45336) + (xy 134.88956 121.48333) + (xy 134.900366 121.495801) + (xy 134.904428 121.499321) + (xy 134.904351 121.499409) + (xy 134.913423 121.507004) + (xy 134.914432 121.508091) + (xy 134.937251 121.521265) + (xy 134.962671 121.535941) + (xy 134.965728 121.537805) + (xy 134.969186 121.540027) + (xy 135.009924 121.566208) + (xy 135.011818 121.566764) + (xy 135.028224 121.573789) + (xy 135.032668 121.576355) + (xy 135.03267 121.576355) + (xy 135.032671 121.576356) + (xy 135.049177 121.580123) + (xy 135.083478 121.587951) + (xy 135.08791 121.589107) + (xy 135.134883 121.6029) + (xy 135.140495 121.6029) + (xy 135.157229 121.604786) + (xy 135.165766 121.606734) + (xy 135.16577 121.606735) + (xy 135.165771 121.606734) + (xy 135.165772 121.606735) + (xy 135.214148 121.60311) + (xy 135.219767 121.6029) + (xy 135.265114 121.6029) + (xy 135.265117 121.6029) + (xy 135.273988 121.600294) + (xy 135.289552 121.597458) + (xy 135.301918 121.596533) + (xy 135.343753 121.580112) + (xy 135.350017 121.57797) + (xy 135.390071 121.56621) + (xy 135.390072 121.566209) + (xy 135.390076 121.566208) + (xy 135.400912 121.559243) + (xy 135.414083 121.55251) + (xy 135.429008 121.546653) + (xy 135.461318 121.520886) + (xy 135.467534 121.516429) + (xy 135.475692 121.511186) + (xy 135.499636 121.495799) + (xy 135.510444 121.483324) + (xy 135.520391 121.473777) + (xy 135.535749 121.46153) + (xy 135.539985 121.455317) + (xy 135.556993 121.43037) + (xy 135.562291 121.42349) + (xy 135.567859 121.417065) + (xy 135.580671 121.402279) + (xy 135.584918 121.397378) + (xy 135.584918 121.397377) + (xy 135.584921 121.397374) + (xy 135.593279 121.379069) + (xy 135.599543 121.36796) + (xy 135.612658 121.348726) + (xy 135.622713 121.316124) + (xy 135.626157 121.30708) + (xy 135.639023 121.278909) + (xy 135.642401 121.255407) + (xy 135.644973 121.24396) + (xy 135.6529 121.218264) + (xy 135.6529 121.187771) + (xy 135.653665 121.17707) + (xy 135.65496 121.168059) + (xy 135.657557 121.15) + (xy 135.653665 121.122929) + (xy 135.6529 121.112228) + (xy 135.6529 120.9531) + (xy 135.670493 120.904762) + (xy 135.715042 120.879042) + (xy 135.7281 120.8779) + (xy 135.749458 120.8779) + (xy 135.74946 120.8779) + (xy 135.795391 120.871853) + (xy 135.896197 120.824847) + (xy 135.974847 120.746197) + (xy 136.021853 120.645391) + (xy 136.0279 120.59946) + (xy 136.4721 120.59946) + (xy 136.472549 120.602869) + (xy 136.478147 120.645391) + (xy 136.525151 120.746194) + (xy 136.525156 120.746201) + (xy 136.603798 120.824843) + (xy 136.603801 120.824845) + (xy 136.603803 120.824847) + (xy 136.704609 120.871853) + (xy 136.75054 120.8779) + (xy 136.7719 120.8779) + (xy 136.820238 120.895493) + (xy 136.845958 120.940042) + (xy 136.8471 120.9531) + (xy 136.8471 121.112228) + (xy 136.846335 121.122929) + (xy 136.842443 121.15) + (xy 136.846493 121.178172) + (xy 136.847048 121.183251) + (xy 136.8471 121.183948) + (xy 136.85189 121.215726) + (xy 136.851964 121.21623) + (xy 136.861227 121.280646) + (xy 136.86183 121.283374) + (xy 136.862334 121.285008) + (xy 136.889639 121.341709) + (xy 136.89029 121.343095) + (xy 136.915079 121.397374) + (xy 136.917989 121.401901) + (xy 136.917399 121.402279) + (xy 136.918197 121.40348) + (xy 136.91839 121.403349) + (xy 136.921563 121.408003) + (xy 136.921568 121.408008) + (xy 136.921569 121.40801) + (xy 136.96194 121.451519) + (xy 136.963591 121.45336) + (xy 136.98956 121.48333) + (xy 137.000366 121.495801) + (xy 137.004428 121.499321) + (xy 137.004351 121.499409) + (xy 137.013423 121.507004) + (xy 137.014432 121.508091) + (xy 137.037251 121.521265) + (xy 137.062671 121.535941) + (xy 137.065728 121.537805) + (xy 137.069186 121.540027) + (xy 137.109924 121.566208) + (xy 137.111818 121.566764) + (xy 137.128224 121.573789) + (xy 137.132668 121.576355) + (xy 137.13267 121.576355) + (xy 137.132671 121.576356) + (xy 137.149177 121.580123) + (xy 137.183478 121.587951) + (xy 137.18791 121.589107) + (xy 137.234883 121.6029) + (xy 137.240495 121.6029) + (xy 137.257229 121.604786) + (xy 137.265766 121.606734) + (xy 137.26577 121.606735) + (xy 137.265771 121.606734) + (xy 137.265772 121.606735) + (xy 137.314148 121.60311) + (xy 137.319767 121.6029) + (xy 137.365114 121.6029) + (xy 137.365117 121.6029) + (xy 137.373988 121.600294) + (xy 137.389552 121.597458) + (xy 137.401918 121.596533) + (xy 137.443753 121.580112) + (xy 137.450017 121.57797) + (xy 137.490071 121.56621) + (xy 137.490072 121.566209) + (xy 137.490076 121.566208) + (xy 137.500912 121.559243) + (xy 137.514083 121.55251) + (xy 137.529008 121.546653) + (xy 137.561318 121.520886) + (xy 137.567534 121.516429) + (xy 137.575692 121.511186) + (xy 137.599636 121.495799) + (xy 137.610444 121.483324) + (xy 137.620391 121.473777) + (xy 137.635749 121.46153) + (xy 137.639985 121.455317) + (xy 137.656993 121.43037) + (xy 137.662291 121.42349) + (xy 137.667859 121.417065) + (xy 137.680671 121.402279) + (xy 137.684918 121.397378) + (xy 137.684918 121.397377) + (xy 137.684921 121.397374) + (xy 137.693279 121.379069) + (xy 137.699543 121.36796) + (xy 137.712658 121.348726) + (xy 137.722713 121.316124) + (xy 137.726157 121.30708) + (xy 137.739023 121.278909) + (xy 137.742401 121.255407) + (xy 137.744973 121.24396) + (xy 137.7529 121.218264) + (xy 137.7529 121.187771) + (xy 137.753665 121.17707) + (xy 137.75496 121.168059) + (xy 137.757557 121.15) + (xy 137.753665 121.122929) + (xy 137.7529 121.112228) + (xy 137.7529 120.9531) + (xy 137.770493 120.904762) + (xy 137.815042 120.879042) + (xy 137.8281 120.8779) + (xy 137.849458 120.8779) + (xy 137.84946 120.8779) + (xy 137.895391 120.871853) + (xy 137.920926 120.859945) + (xy 137.952707 120.8529) + (xy 138.059572 120.8529) + (xy 138.098645 120.863848) + (xy 138.172527 120.908777) + (xy 138.177655 120.911895) + (xy 138.324004 120.9529) + (xy 138.324006 120.9529) + (xy 139.334287 120.9529) + (xy 139.382625 120.970493) + (xy 139.387461 120.974926) + (xy 139.41529 121.002755) + (xy 139.532532 121.062492) + (xy 139.532534 121.062493) + (xy 139.629808 121.0779) + (xy 139.629812 121.0779) + (xy 140.570188 121.0779) + (xy 140.570192 121.0779) + (xy 140.667466 121.062493) + (xy 140.78471 121.002755) + (xy 140.812539 120.974926) + (xy 140.859159 120.953186) + (xy 140.865713 120.9529) + (xy 141.089285 120.9529) + (xy 141.091851 120.952943) + (xy 141.102098 120.953294) + (xy 141.15707 120.955172) + (xy 141.157072 120.955172) + (xy 141.157072 120.955171) + (xy 141.157073 120.955172) + (xy 141.199627 120.944801) + (xy 141.207178 120.943366) + (xy 141.250573 120.937402) + (xy 141.270976 120.928538) + (xy 141.283115 120.924456) + (xy 141.304741 120.919187) + (xy 141.342927 120.897714) + (xy 141.349807 120.894297) + (xy 141.389979 120.87685) + (xy 141.407241 120.862805) + (xy 141.417833 120.855596) + (xy 141.437223 120.844695) + (xy 141.468195 120.813722) + (xy 141.473905 120.80857) + (xy 141.507874 120.780936) + (xy 141.507875 120.780934) + (xy 141.507878 120.780932) + (xy 141.520713 120.762746) + (xy 141.528965 120.752951) + (xy 141.711848 120.570068) + (xy 141.719226 120.563597) + (xy 141.744333 120.544333) + (xy 141.764125 120.518537) + (xy 141.767117 120.514885) + (xy 141.76769 120.514227) + (xy 141.7677 120.514218) + (xy 141.789539 120.485418) + (xy 141.832957 120.428836) + (xy 141.832959 120.42883) + (xy 141.83379 120.427748) + (xy 141.835807 120.424711) + (xy 141.83647 120.423532) + (xy 141.836472 120.42353) + (xy 141.862445 120.357663) + (xy 141.86281 120.356762) + (xy 141.888669 120.294336) + (xy 141.88867 120.294331) + (xy 141.889946 120.289572) + (xy 141.890432 120.289702) + (xy 141.89109 120.287158) + (xy 141.891012 120.287139) + (xy 141.892229 120.282142) + (xy 141.892229 120.28214) + (xy 141.89223 120.282138) + (xy 141.899093 120.215372) + (xy 141.899336 120.213306) + (xy 141.907671 120.150003) + (xy 141.907671 120.145069) + (xy 141.907698 120.145069) + (xy 141.90753 120.133308) + (xy 141.907773 120.130947) + (xy 141.907772 120.130945) + (xy 141.907773 120.130942) + (xy 141.896987 120.068387) + (xy 141.896536 120.065425) + (xy 141.888669 120.005664) + (xy 141.887598 120.003078) + (xy 141.882967 119.987077) + (xy 141.882934 119.986887) + (xy 141.881948 119.981167) + (xy 141.86544 119.946457) + (xy 141.856223 119.927075) + (xy 141.854658 119.923556) + (xy 141.85196 119.917043) + (xy 141.832957 119.871165) + (xy 141.832955 119.871162) + (xy 141.829052 119.866075) + (xy 141.8208 119.852592) + (xy 141.820084 119.851087) + (xy 141.816671 119.84391) + (xy 141.788962 119.812132) + (xy 141.779656 119.801459) + (xy 141.776675 119.797816) + (xy 141.752303 119.766054) + (xy 141.744333 119.755667) + (xy 141.744332 119.755666) + (xy 141.736375 119.74956) + (xy 141.725474 119.73932) + (xy 141.716786 119.729355) + (xy 141.716782 119.729352) + (xy 141.672683 119.700428) + (xy 141.668148 119.697208) + (xy 141.628837 119.667044) + (xy 141.628836 119.667043) + (xy 141.625372 119.665608) + (xy 141.616231 119.661821) + (xy 141.603772 119.65523) + (xy 141.595825 119.650018) + (xy 141.589693 119.645996) + (xy 141.542828 119.631121) + (xy 141.53682 119.628928) + (xy 141.494334 119.61133) + (xy 141.477236 119.609079) + (xy 141.464305 119.6062) + (xy 141.446694 119.600611) + (xy 141.444826 119.600018) + (xy 141.44427 119.599999) + (xy 141.399278 119.598461) + (xy 141.392034 119.597862) + (xy 141.35 119.592329) + (xy 141.349998 119.592329) + (xy 141.32933 119.595049) + (xy 141.316951 119.595648) + (xy 141.292929 119.594827) + (xy 141.29292 119.594828) + (xy 141.25212 119.60477) + (xy 141.244137 119.606264) + (xy 141.205667 119.61133) + (xy 141.205663 119.611331) + (xy 141.183078 119.620685) + (xy 141.172115 119.624267) + (xy 141.147963 119.630154) + (xy 141.145259 119.630813) + (xy 141.111764 119.649644) + (xy 141.103693 119.653567) + (xy 141.071163 119.667043) + (xy 141.048927 119.684104) + (xy 141.040014 119.689987) + (xy 141.012779 119.705303) + (xy 141.012768 119.705311) + (xy 140.988152 119.729927) + (xy 140.98076 119.73641) + (xy 140.955668 119.755665) + (xy 140.936413 119.780757) + (xy 140.929931 119.788148) + (xy 140.905948 119.812132) + (xy 140.859328 119.833873) + (xy 140.80964 119.82056) + (xy 140.799598 119.812133) + (xy 140.78471 119.797245) + (xy 140.667466 119.737507) + (xy 140.667468 119.737507) + (xy 140.570194 119.7221) + (xy 140.570192 119.7221) + (xy 139.629808 119.7221) + (xy 139.629805 119.7221) + (xy 139.532532 119.737507) + (xy 139.415289 119.797245) + (xy 139.387461 119.825074) + (xy 139.340841 119.846814) + (xy 139.334287 119.8471) + (xy 138.362182 119.8471) + (xy 138.290359 119.856972) + (xy 138.249424 119.862598) + (xy 138.172934 119.895822) + (xy 138.121589 119.898942) + (xy 138.08025 119.868328) + (xy 138.068262 119.818305) + (xy 138.074821 119.795065) + (xy 138.121362 119.69526) + (xy 138.121363 119.695257) + (xy 138.1274 119.6494) + (xy 138.1274 119.6) + (xy 136.4726 119.6) + (xy 136.4726 119.6494) + (xy 136.478636 119.695257) + (xy 136.478638 119.695263) + (xy 136.525567 119.795902) + (xy 136.525573 119.79591) + (xy 136.601135 119.871472) + (xy 136.622875 119.918092) + (xy 136.609561 119.967779) + (xy 136.601135 119.97782) + (xy 136.525156 120.053798) + (xy 136.525151 120.053805) + (xy 136.478147 120.154608) + (xy 136.478147 120.154609) + (xy 136.4721 120.20054) + (xy 136.4721 120.59946) + (xy 136.0279 120.59946) + (xy 136.0279 120.20054) + (xy 136.021853 120.154609) + (xy 135.974847 120.053803) + (xy 135.974845 120.053801) + (xy 135.974843 120.053798) + (xy 135.896201 119.975156) + (xy 135.896194 119.975151) + (xy 135.795391 119.928147) + (xy 135.787248 119.927075) + (xy 135.74946 119.9221) + (xy 134.65054 119.9221) + (xy 134.642565 119.92315) + (xy 134.60461 119.928146) + (xy 134.604607 119.928147) + (xy 134.586001 119.936823) + (xy 134.579073 119.940054) + (xy 134.547293 119.9471) + (xy 134.343534 119.9471) + (xy 134.297756 119.931561) + (xy 134.293307 119.928147) + (xy 134.278836 119.917043) + (xy 134.278834 119.917042) + (xy 134.278829 119.917039) + (xy 134.256257 119.907689) + (xy 134.245968 119.902469) + (xy 134.222345 119.888105) + (xy 134.222342 119.888103) + (xy 134.185361 119.877742) + (xy 134.176874 119.874808) + (xy 134.144334 119.86133) + (xy 134.116538 119.85767) + (xy 134.106071 119.855526) + (xy 134.075994 119.8471) + (xy 134.041171 119.8471) + (xy 134.031356 119.846457) + (xy 134 119.842329) + (xy 133.968644 119.846457) + (xy 133.958829 119.8471) + (xy 133.515713 119.8471) + (xy 133.467375 119.829507) + (xy 133.462539 119.825074) + (xy 133.43471 119.797245) + (xy 133.317466 119.737507) + (xy 133.317468 119.737507) + (xy 133.220194 119.7221) + (xy 133.220192 119.7221) + (xy 132.279808 119.7221) + (xy 132.279805 119.7221) + (xy 132.182532 119.737507) + (xy 132.06529 119.797244) + (xy 132.053773 119.808762) + (xy 132.007152 119.8305) + (xy 131.957465 119.817185) + (xy 131.947426 119.80876) + (xy 131.944336 119.80567) + (xy 131.944334 119.805668) + (xy 131.944333 119.805667) + (xy 131.936372 119.799558) + (xy 131.925477 119.789324) + (xy 131.916784 119.779354) + (xy 131.880671 119.755667) + (xy 131.872669 119.750418) + (xy 131.868137 119.747199) + (xy 131.84488 119.729354) + (xy 131.828836 119.717043) + (xy 131.828834 119.717042) + (xy 131.827785 119.716237) + (xy 131.824691 119.714182) + (xy 131.823531 119.71353) + (xy 131.823529 119.713528) + (xy 131.812902 119.709337) + (xy 131.79925 119.702262) + (xy 131.789699 119.695998) + (xy 131.789696 119.695996) + (xy 131.789694 119.695995) + (xy 131.789693 119.695994) + (xy 131.78969 119.695993) + (xy 131.742831 119.681121) + (xy 131.736807 119.678923) + (xy 131.694336 119.661331) + (xy 131.694334 119.66133) + (xy 131.689582 119.660057) + (xy 131.68971 119.659578) + (xy 131.68715 119.658914) + (xy 131.687133 119.658987) + (xy 131.68214 119.65777) + (xy 131.670768 119.656601) + (xy 131.655713 119.653472) + (xy 131.644827 119.650017) + (xy 131.633454 119.649628) + (xy 131.599272 119.64846) + (xy 131.592027 119.647861) + (xy 131.550001 119.642329) + (xy 131.545076 119.642329) + (xy 131.545076 119.642304) + (xy 131.533323 119.642471) + (xy 131.530944 119.642226) + (xy 131.519682 119.644168) + (xy 131.504343 119.645217) + (xy 131.492931 119.644827) + (xy 131.492922 119.644828) + (xy 131.452123 119.65477) + (xy 131.444139 119.656264) + (xy 131.405667 119.66133) + (xy 131.405657 119.661333) + (xy 131.403069 119.662405) + (xy 131.387087 119.66703) + (xy 131.381171 119.66805) + (xy 131.381165 119.668052) + (xy 131.370844 119.67296) + (xy 131.356365 119.678106) + (xy 131.347976 119.680151) + (xy 131.345256 119.680814) + (xy 131.311771 119.699642) + (xy 131.303695 119.703567) + (xy 131.299502 119.705305) + (xy 131.27116 119.717045) + (xy 131.271158 119.717046) + (xy 131.266065 119.720954) + (xy 131.2526 119.729195) + (xy 131.24391 119.733328) + (xy 131.243908 119.733329) + (xy 131.235296 119.740839) + (xy 131.222734 119.749706) + (xy 131.21278 119.755303) + (xy 131.21277 119.75531) + (xy 131.188156 119.779924) + (xy 131.180764 119.786408) + (xy 131.155662 119.80567) + (xy 131.152573 119.80876) + (xy 131.105953 119.8305) + (xy 131.056266 119.817186) + (xy 131.046225 119.80876) + (xy 131.03471 119.797245) + (xy 130.917466 119.737507) + (xy 130.917468 119.737507) + (xy 130.820194 119.7221) + (xy 130.820192 119.7221) + (xy 129.879808 119.7221) + (xy 129.879805 119.7221) + (xy 129.782532 119.737507) + (xy 129.66529 119.797244) + (xy 129.653773 119.808762) + (xy 129.607152 119.8305) + (xy 129.557465 119.817185) + (xy 129.547426 119.80876) + (xy 129.544336 119.80567) + (xy 129.544334 119.805668) + (xy 129.544333 119.805667) + (xy 129.536372 119.799558) + (xy 129.525477 119.789324) + (xy 129.516784 119.779354) + (xy 129.516783 119.779353) + (xy 129.472674 119.750422) + (xy 129.46814 119.747201) + (xy 129.427794 119.716243) + (xy 129.424691 119.714182) + (xy 129.423526 119.713526) + (xy 129.4129 119.709336) + (xy 129.399247 119.702261) + (xy 129.389699 119.695999) + (xy 129.389692 119.695995) + (xy 129.342838 119.681124) + (xy 129.336811 119.678924) + (xy 129.294338 119.661331) + (xy 129.289582 119.660057) + (xy 129.28971 119.659578) + (xy 129.28715 119.658914) + (xy 129.287133 119.658987) + (xy 129.28214 119.65777) + (xy 129.270768 119.656601) + (xy 129.255713 119.653472) + (xy 129.244827 119.650017) + (xy 129.233454 119.649628) + (xy 129.199272 119.64846) + (xy 129.192027 119.647861) + (xy 129.150001 119.642329) + (xy 129.145076 119.642329) + (xy 129.145076 119.642304) + (xy 129.133323 119.642471) + (xy 129.130944 119.642226) + (xy 129.119682 119.644168) + (xy 129.104343 119.645217) + (xy 129.092931 119.644827) + (xy 129.092921 119.644828) + (xy 129.052122 119.65477) + (xy 129.044138 119.656264) + (xy 129.005668 119.66133) + (xy 129.00566 119.661332) + (xy 129.003073 119.662404) + (xy 128.987086 119.667031) + (xy 128.981166 119.668052) + (xy 128.970851 119.672957) + (xy 128.956367 119.678105) + (xy 128.945261 119.680812) + (xy 128.94526 119.680812) + (xy 128.911764 119.699644) + (xy 128.903693 119.703567) + (xy 128.871161 119.717044) + (xy 128.871157 119.717046) + (xy 128.866064 119.720954) + (xy 128.8526 119.729194) + (xy 128.843915 119.733325) + (xy 128.843907 119.73333) + (xy 128.835295 119.740839) + (xy 128.822741 119.749701) + (xy 128.812779 119.755303) + (xy 128.812768 119.755311) + (xy 128.788152 119.779927) + (xy 128.78076 119.78641) + (xy 128.755667 119.805665) + (xy 128.749559 119.813625) + (xy 128.73933 119.824516) + (xy 128.729352 119.833217) + (xy 128.72935 119.833219) + (xy 128.723084 119.842772) + (xy 128.713382 119.854697) + (xy 128.643007 119.925074) + (xy 128.596387 119.946814) + (xy 128.589832 119.9471) + (xy 128.49057 119.9471) + (xy 128.457542 119.939459) + (xy 128.443203 119.932449) + (xy 128.372182 119.9221) + (xy 128.372174 119.9221) + (xy 127.327826 119.9221) + (xy 127.327817 119.9221) + (xy 127.256797 119.932449) + (xy 127.243383 119.939007) + (xy 127.242458 119.939459) + (xy 127.20943 119.9471) + (xy 126.641171 119.9471) + (xy 126.631356 119.946457) + (xy 126.629053 119.946153) + (xy 126.6 119.942329) + (xy 126.599999 119.942329) + (xy 126.599998 119.942329) + (xy 126.567792 119.946568) + (xy 126.563137 119.947034) + (xy 126.56219 119.947099) + (xy 126.562183 119.9471) + (xy 126.562182 119.9471) + (xy 126.559491 119.947469) + (xy 126.526564 119.951994) + (xy 126.526145 119.95205) + (xy 126.454352 119.961503) + (xy 126.45072 119.962236) + (xy 126.449429 119.962597) + (xy 126.449427 119.962598) + (xy 126.449424 119.962598) + (xy 126.449421 119.9626) + (xy 126.38461 119.990749) + (xy 126.383433 119.991249) + (xy 126.321163 120.017043) + (xy 126.316898 120.019506) + (xy 126.316652 120.019079) + (xy 126.31438 120.020414) + (xy 126.314418 120.020476) + (xy 126.31002 120.02315) + (xy 126.258011 120.065461) + (xy 126.256335 120.066785) + (xy 126.205666 120.105666) + (xy 126.202187 120.109146) + (xy 126.20217 120.109129) + (xy 126.193985 120.117551) + (xy 126.192125 120.119064) + (xy 126.19212 120.11907) + (xy 126.155508 120.170936) + (xy 126.153734 120.173345) + (xy 126.117046 120.221159) + (xy 126.117042 120.221167) + (xy 126.115969 120.223757) + (xy 126.107938 120.238329) + (xy 126.104474 120.243237) + (xy 126.104472 120.24324) + (xy 126.084409 120.299688) + (xy 126.083028 120.30328) + (xy 126.06133 120.355664) + (xy 126.060493 120.362024) + (xy 126.056797 120.377385) + (xy 126.053574 120.386452) + (xy 126.04973 120.442649) + (xy 126.049262 120.44733) + (xy 126.042329 120.5) + (xy 126.042329 120.500002) + (xy 121.801452 120.500002) + (xy 121.763578 120.42253) + (xy 121.677469 120.336421) + (xy 121.568058 120.282934) + (xy 121.568059 120.282934) + (xy 121.497141 120.2726) + (xy 121.35 120.2726) + (xy 121.05 120.2726) + (xy 120.90287 120.2726) + (xy 120.902857 120.272601) + (xy 120.83194 120.282934) + (xy 120.72253 120.336421) + (xy 120.636421 120.42253) + (xy 120.582934 120.531941) + (xy 120.5726 120.602858) + (xy 120.5726 120.7) + (xy 120.0029 120.7) + (xy 120.002899 120.07509) + (xy 119.988227 120.001323) + (xy 119.954514 119.950868) + (xy 119.942289 119.900905) + (xy 119.963868 119.855918) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.057583 119.597862) + (xy 120.051649 119.560396) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.523943 119.212043) + (xy 119.410228 119.269984) + (xy 119.319984 119.360228) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.276042 119.75353) + (xy 119.282311 119.804586) + (xy 119.254295 119.847727) + (xy 119.205102 119.862767) + (xy 119.194367 119.861425) + (xy 119.15 119.852599) + (xy 119.15 121.147397) + (xy 118.85 121.147397) + (xy 118.85 120.65) + (xy 118.5 120.65) + (xy 118.2 120.65) + (xy 117.997601 120.65) + (xy 117.127399 120.65) + (xy 117.127399 120.602869) + (xy 117.127398 120.602857) + (xy 117.117065 120.53194) + (xy 117.063578 120.42253) + (xy 116.991048 120.35) + (xy 117.9976 120.35) + (xy 118.2 120.35) + (xy 118.2 119.852601) + (xy 118.151518 119.862244) + (xy 118.06803 119.91803) + (xy 118.012244 120.001517) + (xy 118.012243 120.001519) + (xy 117.9976 120.075138) + (xy 117.9976 120.35) + (xy 116.991048 120.35) + (xy 116.977469 120.336421) + (xy 116.868058 120.282934) + (xy 116.868059 120.282934) + (xy 116.797141 120.2726) + (xy 116.65 120.2726) + (xy 116.35 120.2726) + (xy 116.20287 120.2726) + (xy 116.202857 120.272601) + (xy 116.13194 120.282934) + (xy 116.02253 120.336421) + (xy 115.936421 120.42253) + (xy 115.882934 120.531941) + (xy 115.8726 120.602858) + (xy 115.8726 120.7) + (xy 115.3529 120.7) + (xy 115.352899 120.07509) + (xy 115.338227 120.001323) + (xy 115.304514 119.950868) + (xy 115.292289 119.900905) + (xy 115.313868 119.855918) + (xy 115.317186 119.8526) + (xy 118.5 119.8526) + (xy 118.5 120.35) + (xy 118.85 120.35) + (xy 118.85 119.852601) + (xy 118.801518 119.862244) + (xy 118.71678 119.918866) + (xy 118.666814 119.931093) + (xy 118.63322 119.918866) + (xy 118.54848 119.862243) + (xy 118.5 119.8526) + (xy 115.317186 119.8526) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 115.407583 119.597862) + (xy 115.401649 119.560396) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.626042 119.75353) + (xy 114.632311 119.804586) + (xy 114.604295 119.847727) + (xy 114.555102 119.862767) + (xy 114.544367 119.861425) + (xy 114.5 119.852599) + (xy 114.5 121.147397) + (xy 114.2 121.147397) + (xy 114.2 120.65) + (xy 113.85 120.65) + (xy 113.55 120.65) + (xy 113.347601 120.65) + (xy 74.5229 120.65) + (xy 74.5229 120.4) + (xy 75.9726 120.4) + (xy 76.45 120.4) + (xy 76.45 119.9726) + (xy 76.75 119.9726) + (xy 76.75 120.4) + (xy 77.227399 120.4) + (xy 77.227399 120.302869) + (xy 77.227398 120.302857) + (xy 77.217065 120.23194) + (xy 77.163578 120.12253) + (xy 77.077469 120.036421) + (xy 76.968058 119.982934) + (xy 76.968059 119.982934) + (xy 76.897141 119.9726) + (xy 76.75 119.9726) + (xy 76.45 119.9726) + (xy 76.30287 119.9726) + (xy 76.302857 119.972601) + (xy 76.23194 119.982934) + (xy 76.12253 120.036421) + (xy 76.036421 120.12253) + (xy 75.982934 120.231941) + (xy 75.9726 120.302858) + (xy 75.9726 120.4) + (xy 74.5229 120.4) + (xy 74.5229 119.200004) + (xy 75.242443 119.200004) + (xy 75.244919 119.217233) + (xy 75.245632 119.230738) + (xy 75.244864 119.251282) + (xy 75.244864 119.251285) + (xy 75.25439 119.28684) + (xy 75.256186 119.295596) + (xy 75.260976 119.328909) + (xy 75.260977 119.328911) + (xy 75.269711 119.348036) + (xy 75.273942 119.359804) + (xy 75.277174 119.371864) + (xy 75.2802 119.383158) + (xy 75.297866 119.411272) + (xy 75.302597 119.420043) + (xy 75.315077 119.447371) + (xy 75.31508 119.447376) + (xy 75.331212 119.465993) + (xy 75.338048 119.475223) + (xy 75.352837 119.498758) + (xy 75.375268 119.518061) + (xy 75.383046 119.525813) + (xy 75.39604 119.540809) + (xy 75.400364 119.545799) + (xy 75.423078 119.560396) + (xy 75.424124 119.561068) + (xy 75.432514 119.567326) + (xy 75.45632 119.587813) + (xy 75.480136 119.598203) + (xy 75.490717 119.603865) + (xy 75.502335 119.611331) + (xy 75.509924 119.616208) + (xy 75.525171 119.620685) + (xy 75.540487 119.625183) + (xy 75.549371 119.62841) + (xy 75.581457 119.642409) + (xy 75.603676 119.644912) + (xy 75.616445 119.647486) + (xy 75.622964 119.6494) + (xy 75.634883 119.6529) + (xy 75.670346 119.6529) + (xy 75.678766 119.653373) + (xy 75.717125 119.657695) + (xy 75.735539 119.65421) + (xy 75.749519 119.6529) + (xy 75.765115 119.6529) + (xy 75.765117 119.6529) + (xy 75.802597 119.641894) + (xy 75.80979 119.640162) + (xy 75.851273 119.632314) + (xy 75.864643 119.625247) + (xy 75.878598 119.619577) + (xy 75.883173 119.618234) + (xy 75.890074 119.616209) + (xy 75.890076 119.616208) + (xy 75.925959 119.593146) + (xy 75.931463 119.589931) + (xy 75.971979 119.568519) + (xy 75.980103 119.560394) + (xy 75.992621 119.550306) + (xy 75.999636 119.545799) + (xy 75.999638 119.545797) + (xy 76.002658 119.54318) + (xy 76.050709 119.524819) + (xy 76.099321 119.54164) + (xy 76.105081 119.546835) + (xy 76.122235 119.563989) + (xy 76.122236 119.563989) + (xy 76.122239 119.563992) + (xy 76.231797 119.617551) + (xy 76.283848 119.625135) + (xy 76.302817 119.627899) + (xy 76.302822 119.627899) + (xy 76.302826 119.6279) + (xy 76.302828 119.6279) + (xy 76.897172 119.6279) + (xy 76.897174 119.6279) + (xy 76.897178 119.627899) + (xy 76.897182 119.627899) + (xy 76.906673 119.626516) + (xy 76.968203 119.617551) + (xy 77.077761 119.563992) + (xy 77.116827 119.524925) + (xy 77.163446 119.503186) + (xy 77.170001 119.5029) + (xy 77.50894 119.5029) + (xy 77.508943 119.5029) + (xy 77.610003 119.487668) + (xy 77.612507 119.486462) + (xy 77.614271 119.485613) + (xy 77.665455 119.48049) + (xy 77.707957 119.509466) + (xy 77.7221 119.553365) + (xy 77.7221 119.986152) + (xy 77.713905 120.020289) + (xy 77.712045 120.023937) + (xy 77.712042 120.023947) + (xy 77.692078 120.149999) + (xy 77.692078 120.15) + (xy 77.712043 120.276056) + (xy 77.760962 120.372065) + (xy 77.769984 120.389771) + (xy 77.860229 120.480016) + (xy 77.973943 120.537956) + (xy 77.973945 120.537957) + (xy 78.1 120.557922) + (xy 78.226055 120.537957) + (xy 78.339771 120.480016) + (xy 78.419787 120.4) + (xy 85.1726 120.4) + (xy 85.65 120.4) + (xy 85.65 119.9726) + (xy 85.95 119.9726) + (xy 85.95 120.4) + (xy 86.427399 120.4) + (xy 86.427399 120.302869) + (xy 86.427398 120.302857) + (xy 86.417065 120.23194) + (xy 86.363578 120.12253) + (xy 86.277469 120.036421) + (xy 86.168058 119.982934) + (xy 86.168059 119.982934) + (xy 86.097141 119.9726) + (xy 85.95 119.9726) + (xy 85.65 119.9726) + (xy 85.50287 119.9726) + (xy 85.502857 119.972601) + (xy 85.43194 119.982934) + (xy 85.32253 120.036421) + (xy 85.236421 120.12253) + (xy 85.182934 120.231941) + (xy 85.1726 120.302858) + (xy 85.1726 120.4) + (xy 78.419787 120.4) + (xy 78.430016 120.389771) + (xy 78.487957 120.276055) + (xy 78.507922 120.15) + (xy 78.505277 120.133303) + (xy 78.496065 120.075138) + (xy 78.487957 120.023945) + (xy 78.487955 120.023941) + (xy 78.486127 120.018314) + (xy 78.488479 120.017549) + (xy 78.483412 119.97627) + (xy 78.511428 119.933129) + (xy 78.56062 119.91809) + (xy 78.571354 119.919432) + (xy 78.6 119.925129) + (xy 78.6 118.174868) + (xy 78.599999 118.174868) + (xy 78.571355 118.180566) + (xy 78.520514 118.172741) + (xy 78.486598 118.134066) + (xy 78.485476 118.082638) + (xy 78.486963 118.079114) + (xy 78.487956 118.076057) + (xy 78.487956 118.076056) + (xy 78.487957 118.076055) + (xy 78.507922 117.95) + (xy 78.507374 117.946543) + (xy 78.499619 117.897577) + (xy 78.487957 117.823945) + (xy 78.430016 117.710229) + (xy 78.339771 117.619984) + (xy 78.226055 117.562043) + (xy 78.226057 117.562043) + (xy 78.1 117.542078) + (xy 77.973943 117.562043) + (xy 77.860228 117.619984) + (xy 77.769984 117.710228) + (xy 77.712043 117.823943) + (xy 77.692078 117.949999) + (xy 77.692078 117.95) + (xy 77.712043 118.076056) + (xy 77.713902 118.079704) + (xy 77.7221 118.113847) + (xy 77.7221 118.550371) + (xy 77.704507 118.598709) + (xy 77.659958 118.624429) + (xy 77.624735 118.62223) + (xy 77.543265 118.5971) + (xy 77.543264 118.5971) + (xy 77.170001 118.5971) + (xy 77.121663 118.579507) + (xy 77.116837 118.575084) + (xy 77.077761 118.536008) + (xy 77.07776 118.536007) + (xy 77.074925 118.533172) + (xy 77.053186 118.486551) + (xy 77.0529 118.479998) + (xy 77.0529 118.237771) + (xy 77.053665 118.22707) + (xy 77.054992 118.217837) + (xy 77.057557 118.2) + (xy 77.053504 118.171811) + (xy 77.05295 118.166728) + (xy 77.0529 118.166066) + (xy 77.0529 118.166057) + (xy 77.04805 118.133877) + (xy 77.039023 118.071091) + (xy 77.038771 118.069338) + (xy 77.038175 118.066644) + (xy 77.037668 118.065001) + (xy 77.037668 118.064997) + (xy 77.021994 118.032449) + (xy 77.010357 118.008284) + (xy 77.009706 118.006896) + (xy 76.999004 117.983462) + (xy 76.984921 117.952626) + (xy 76.984919 117.952624) + (xy 76.982012 117.9481) + (xy 76.982546 117.947756) + (xy 76.981752 117.946543) + (xy 76.981604 117.946645) + (xy 76.97843 117.941989) + (xy 76.938081 117.898504) + (xy 76.936392 117.89662) + (xy 76.899638 117.854203) + (xy 76.895574 117.850682) + (xy 76.895651 117.850592) + (xy 76.886574 117.842993) + (xy 76.88557 117.841911) + (xy 76.885569 117.84191) + (xy 76.885568 117.841909) + (xy 76.837322 117.814054) + (xy 76.834268 117.812192) + (xy 76.790079 117.783793) + (xy 76.790076 117.783792) + (xy 76.788179 117.783235) + (xy 76.771775 117.77621) + (xy 76.767332 117.773645) + (xy 76.76733 117.773644) + (xy 76.767329 117.773644) + (xy 76.716536 117.76205) + (xy 76.712087 117.76089) + (xy 76.665118 117.7471) + (xy 76.665117 117.7471) + (xy 76.659505 117.7471) + (xy 76.642771 117.745214) + (xy 76.634233 117.743265) + (xy 76.634229 117.743264) + (xy 76.585852 117.74689) + (xy 76.580233 117.7471) + (xy 76.534881 117.7471) + (xy 76.526011 117.749705) + (xy 76.510448 117.75254) + (xy 76.498083 117.753466) + (xy 76.456262 117.769879) + (xy 76.44998 117.772029) + (xy 76.409923 117.783792) + (xy 76.409921 117.783793) + (xy 76.399085 117.790756) + (xy 76.385914 117.797489) + (xy 76.370996 117.803344) + (xy 76.370993 117.803346) + (xy 76.338693 117.829103) + (xy 76.332468 117.833568) + (xy 76.322409 117.840033) + (xy 76.300364 117.854201) + (xy 76.300363 117.854201) + (xy 76.300363 117.854202) + (xy 76.28955 117.866679) + (xy 76.279612 117.876219) + (xy 76.26425 117.88847) + (xy 76.264247 117.888473) + (xy 76.243008 117.919625) + (xy 76.237711 117.926505) + (xy 76.215078 117.952627) + (xy 76.206719 117.970928) + (xy 76.200452 117.982043) + (xy 76.187344 118.00127) + (xy 76.187339 118.00128) + (xy 76.177287 118.033866) + (xy 76.173834 118.042935) + (xy 76.160978 118.071086) + (xy 76.160976 118.071094) + (xy 76.157598 118.094583) + (xy 76.155025 118.106038) + (xy 76.1471 118.131735) + (xy 76.1471 118.162228) + (xy 76.146335 118.172929) + (xy 76.142443 118.2) + (xy 76.146335 118.22707) + (xy 76.1471 118.237771) + (xy 76.1471 118.479998) + (xy 76.129507 118.528336) + (xy 76.125075 118.533172) + (xy 76.122239 118.536007) + (xy 76.122239 118.536008) + (xy 76.083172 118.575074) + (xy 76.036554 118.596814) + (xy 76.029999 118.5971) + (xy 75.879654 118.5971) + (xy 75.871234 118.596627) + (xy 75.832877 118.592305) + (xy 75.832868 118.592305) + (xy 75.775518 118.603156) + (xy 75.772748 118.603627) + (xy 75.74132 118.608364) + (xy 75.714997 118.612332) + (xy 75.714993 118.612333) + (xy 75.70961 118.613994) + (xy 75.709592 118.613938) + (xy 75.70403 118.615768) + (xy 75.70405 118.615823) + (xy 75.698725 118.617686) + (xy 75.647108 118.644966) + (xy 75.6446 118.646232) + (xy 75.591991 118.671567) + (xy 75.587331 118.674745) + (xy 75.587298 118.674697) + (xy 75.582519 118.678087) + (xy 75.582554 118.678134) + (xy 75.578024 118.681477) + (xy 75.536723 118.722777) + (xy 75.534701 118.724725) + (xy 75.491907 118.764434) + (xy 75.488396 118.768837) + (xy 75.48835 118.7688) + (xy 75.48059 118.778911) + (xy 75.419895 118.839605) + (xy 75.407385 118.849687) + (xy 75.400366 118.854199) + (xy 75.400362 118.854202) + (xy 75.370072 118.889158) + (xy 75.366419 118.893082) + (xy 75.35575 118.903751) + (xy 75.347859 118.914443) + (xy 75.344192 118.919025) + (xy 75.315078 118.952627) + (xy 75.315077 118.952628) + (xy 75.310859 118.961863) + (xy 75.302967 118.975268) + (xy 75.29506 118.985982) + (xy 75.28052 119.027532) + (xy 75.277947 119.033929) + (xy 75.260976 119.071093) + (xy 75.259015 119.084732) + (xy 75.255562 119.098862) + (xy 75.249967 119.114852) + (xy 75.248456 119.155233) + (xy 75.247744 119.16312) + (xy 75.242443 119.199998) + (xy 75.242443 119.200004) + (xy 74.5229 119.200004) + (xy 74.5229 115.196936) + (xy 74.523003 115.192999) + (xy 74.525123 115.152555) + (xy 74.525122 115.152554) + (xy 74.525123 115.152552) + (xy 74.516306 115.129586) + (xy 74.51296 115.118288) + (xy 74.507848 115.094234) + (xy 74.50283 115.087327) + (xy 74.493463 115.070075) + (xy 74.490403 115.062104) + (xy 74.490402 115.062102) + (xy 74.473018 115.044718) + (xy 74.465355 115.035747) + (xy 74.450901 115.015854) + (xy 74.443503 115.011582) + (xy 74.427933 114.999634) + (xy 71.179426 111.751126) + (xy 71.157686 111.704506) + (xy 71.1574 111.697952) + (xy 71.1574 109.505782) + (xy 71.174993 109.457444) + (xy 71.219542 109.431724) + (xy 71.220765 109.431519) + (xy 71.309555 109.417457) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.571457 109.155555) + (xy 71.591422 109.0295) + (xy 71.591383 109.029256) + (xy 71.587555 109.005087) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029498) + (xy 70.775578 109.029503) + (xy 70.7811 109.064372) + (xy 70.771284 109.114866) + (xy 70.757146 109.132017) + (xy 70.741005 109.14655) + (xy 70.731007 109.169009) + (xy 70.725377 109.179378) + (xy 70.711985 109.200001) + (xy 70.711983 109.200006) + (xy 70.710648 109.208435) + (xy 70.705074 109.227254) + (xy 70.7016 109.235057) + (xy 70.7016 109.259652) + (xy 70.700674 109.271416) + (xy 70.697316 109.292622) + (xy 70.696829 109.295694) + (xy 70.697982 109.299999) + (xy 70.699038 109.303938) + (xy 70.7016 109.3234) + (xy 70.7016 111.815562) + (xy 70.701497 111.819498) + (xy 70.699376 111.859948) + (xy 70.708188 111.882902) + (xy 70.711539 111.894215) + (xy 70.716651 111.918263) + (xy 70.716652 111.918266) + (xy 70.720776 111.923943) + (xy 70.721669 111.925171) + (xy 70.731036 111.942422) + (xy 70.734096 111.950395) + (xy 70.734097 111.950396) + (xy 70.751485 111.967784) + (xy 70.759144 111.976752) + (xy 70.773597 111.996644) + (xy 70.773599 111.996646) + (xy 70.780995 112.000916) + (xy 70.796566 112.012865) + (xy 74.045074 115.261373) + (xy 74.066814 115.307993) + (xy 74.0671 115.314547) + (xy 74.0671 123.381952) + (xy 74.049507 123.43029) + (xy 74.045074 123.435126) + (xy 71.619126 125.861074) + (xy 71.572506 125.882814) + (xy 71.565952 125.8831) + (xy 69.848547 125.8831) + (xy 69.800209 125.865507) + (xy 69.795373 125.861074) + (xy 69.274426 125.340126) + (xy 69.252686 125.293506) + (xy 69.2524 125.286952) + (xy 69.2524 125.131252) + (xy 69.269993 125.082914) + (xy 69.314542 125.057194) + (xy 69.3652 125.066127) + (xy 69.38792 125.086346) + (xy 69.390219 125.089435) + (xy 69.390221 125.089438) + (xy 69.492821 125.227254) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.100682 125.457842) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.642796 125.162393) + (xy 70.729967 125.011407) + (xy 70.779969 124.844388) + (xy 70.790107 124.67034) + (xy 70.759832 124.498646) + (xy 70.753175 124.483214) + (xy 70.690779 124.338562) + (xy 70.613041 124.234142) + (xy 70.586668 124.198717) + (xy 70.556852 124.173698) + (xy 70.453117 124.086653) + (xy 70.453116 124.086652) + (xy 70.297315 124.008406) + (xy 70.127671 123.9682) + (xy 70.095771 123.9682) + (xy 70.047433 123.950607) + (xy 70.021713 123.906058) + (xy 70.030646 123.8554) + (xy 70.046196 123.837667) + (xy 70.045855 123.837326) + (xy 70.049337 123.833843) + (xy 70.049338 123.833841) + (xy 70.04934 123.83384) + (xy 70.136955 123.719659) + (xy 70.192031 123.586691) + (xy 70.192729 123.581382) + (xy 70.216479 123.535755) + (xy 70.264002 123.516068) + (xy 70.313062 123.531534) + (xy 70.333422 123.555405) + (xy 70.356569 123.598177) + (xy 70.502938 123.786233) + (xy 70.502942 123.786237) + (xy 70.534955 123.815707) + (xy 70.678266 123.947633) + (xy 70.877766 124.077973) + (xy 70.877768 124.077974) + (xy 71.0451 124.151373) + (xy 71.095998 124.173699) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356572 122.019818) + (xy 70.333016 122.063345) + (xy 70.294536 122.097482) + (xy 70.243115 122.098898) + (xy 70.202815 122.066931) + (xy 70.192323 122.037362) + (xy 70.191543 122.031439) + (xy 70.139597 121.906033) + (xy 69.871632 122.173999) + (xy 69.871632 122.174001) + (xy 70.136493 122.438862) + (xy 70.158233 122.485482) + (xy 70.157493 122.504413) + (xy 70.12655 122.689842) + (xy 70.12655 122.689848) + (xy 70.12655 122.928152) + (xy 70.129237 122.944255) + (xy 70.130602 122.952435) + (xy 70.121203 123.003009) + (xy 70.081495 123.03571) + (xy 70.030057 123.035236) + (xy 70.010649 123.024471) + (xy 69.982092 123.002559) + (xy 69.93516 122.966545) + (xy 69.935161 122.966545) + (xy 69.802194 122.91147) + (xy 69.802193 122.911469) + (xy 69.802191 122.911469) + (xy 69.724883 122.901291) + (xy 69.679256 122.877538) + (xy 69.659572 122.830013) + (xy 69.6595 122.826734) + (xy 69.6595 122.79076) + (xy 69.677093 122.742422) + (xy 69.721642 122.716702) + (xy 69.724885 122.716203) + (xy 69.802065 122.706042) + (xy 69.927466 122.654098) + (xy 69.927466 122.654097) + (xy 69.681526 122.408157) + (xy 69.659786 122.361537) + (xy 69.6595 122.354983) + (xy 69.6595 121.993016) + (xy 69.677093 121.944678) + (xy 69.681526 121.939842) + (xy 69.927466 121.693901) + (xy 69.802062 121.641956) + (xy 69.724884 121.631796) + (xy 69.679257 121.608043) + (xy 69.659572 121.560519) + (xy 69.6595 121.557239) + (xy 69.6595 121.521265) + (xy 69.677093 121.472927) + (xy 69.721642 121.447207) + (xy 69.724845 121.446713) + (xy 69.802191 121.436531) + (xy 69.935159 121.381455) + (xy 70.04934 121.29384) + (xy 70.136955 121.179659) + (xy 70.192031 121.046691) + (xy 70.203697 120.958073) + (xy 70.225079 120.914719) + (xy 70.426298 120.7135) + (xy 71.220078 120.7135) + (xy 71.240043 120.839556) + (xy 71.292937 120.943365) + (xy 71.297984 120.953271) + (xy 71.388229 121.043516) + (xy 71.501943 121.101456) + (xy 71.501945 121.101457) + (xy 71.628 121.121422) + (xy 71.754055 121.101457) + (xy 71.867771 121.043516) + (xy 71.958016 120.953271) + (xy 72.015957 120.839555) + (xy 72.035922 120.7135) + (xy 72.034274 120.703098) + (xy 72.031643 120.686483) + (xy 72.015957 120.587445) + (xy 71.958016 120.473729) + (xy 71.867771 120.383484) + (xy 71.754055 120.325543) + (xy 71.754057 120.325543) + (xy 71.628 120.305578) + (xy 71.501943 120.325543) + (xy 71.388228 120.383484) + (xy 71.297984 120.473728) + (xy 71.240043 120.587443) + (xy 71.220078 120.713499) + (xy 71.220078 120.7135) + (xy 70.426298 120.7135) + (xy 71.763874 119.375926) + (xy 71.810494 119.354186) + (xy 71.817048 119.3539) + (xy 72.445564 119.3539) + (xy 72.4495 119.354003) + (xy 72.489947 119.356123) + (xy 72.489947 119.356122) + (xy 72.489948 119.356123) + (xy 72.512903 119.34731) + (xy 72.524222 119.343958) + (xy 72.548261 119.338849) + (xy 72.54826 119.338849) + (xy 72.548266 119.338848) + (xy 72.555174 119.333828) + (xy 72.572421 119.324464) + (xy 72.580396 119.321403) + (xy 72.597789 119.304009) + (xy 72.606748 119.296357) + (xy 72.626646 119.281901) + (xy 72.630915 119.274505) + (xy 72.642862 119.258935) + (xy 73.752047 118.14975) + (xy 73.754865 118.147075) + (xy 73.784994 118.119949) + (xy 73.794996 118.09748) + (xy 73.800623 118.087117) + (xy 73.814016 118.066497) + (xy 73.81535 118.058072) + (xy 73.82093 118.039234) + (xy 73.822784 118.03507) + (xy 73.8244 118.031442) + (xy 73.8244 118.006846) + (xy 73.825326 117.995081) + (xy 73.829171 117.970806) + (xy 73.828322 117.967637) + (xy 73.826962 117.962559) + (xy 73.8244 117.943099) + (xy 73.8244 115.895428) + (xy 73.824503 115.891491) + (xy 73.826623 115.851051) + (xy 73.81781 115.828095) + (xy 73.81446 115.816788) + (xy 73.809348 115.792734) + (xy 73.80433 115.785827) + (xy 73.794963 115.768575) + (xy 73.791903 115.760604) + (xy 73.791902 115.760602) + (xy 73.774518 115.743218) + (xy 73.766855 115.734247) + (xy 73.752401 115.714354) + (xy 73.751502 115.713835) + (xy 73.745003 115.710082) + (xy 73.729433 115.698134) + (xy 70.290426 112.259126) + (xy 70.268686 112.212506) + (xy 70.2684 112.205952) + (xy 70.2684 108.616782) + (xy 70.285993 108.568444) + (xy 70.330542 108.542724) + (xy 70.331765 108.542519) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.624516 108.380271) + (xy 70.682457 108.266555) + (xy 70.702422 108.1405) + (xy 70.682457 108.014445) + (xy 70.624516 107.900729) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140498) + (xy 69.886578 108.140503) + (xy 69.8921 108.175372) + (xy 69.882284 108.225866) + (xy 69.868146 108.243017) + (xy 69.852005 108.25755) + (xy 69.842007 108.280009) + (xy 69.836377 108.290378) + (xy 69.822985 108.311001) + (xy 69.822983 108.311006) + (xy 69.821648 108.319435) + (xy 69.816074 108.338254) + (xy 69.8126 108.346057) + (xy 69.8126 108.370652) + (xy 69.811674 108.382416) + (xy 69.807829 108.406691) + (xy 69.807829 108.406694) + (xy 69.810038 108.414938) + (xy 69.8126 108.4344) + (xy 69.8126 112.323562) + (xy 69.812497 112.327498) + (xy 69.810376 112.367948) + (xy 69.819188 112.390902) + (xy 69.822539 112.402215) + (xy 69.826766 112.4221) + (xy 69.827652 112.426266) + (xy 69.831763 112.431925) + (xy 69.832669 112.433171) + (xy 69.842036 112.450422) + (xy 69.845096 112.458395) + (xy 69.845097 112.458396) + (xy 69.862485 112.475784) + (xy 69.870144 112.484752) + (xy 69.884597 112.504644) + (xy 69.884599 112.504646) + (xy 69.891995 112.508916) + (xy 69.907566 112.520865) + (xy 73.346574 115.959873) + (xy 73.368314 116.006493) + (xy 73.3686 116.013047) + (xy 73.3686 117.857452) + (xy 73.351007 117.90579) + (xy 73.346574 117.910626) + (xy 72.381126 118.876074) + (xy 72.334506 118.897814) + (xy 72.327952 118.8981) + (xy 71.699436 118.8981) + (xy 71.6955 118.897997) + (xy 71.693783 118.897907) + (xy 71.655052 118.895876) + (xy 71.632088 118.904691) + (xy 71.620779 118.90804) + (xy 71.596736 118.913151) + (xy 71.596734 118.913151) + (xy 71.589827 118.91817) + (xy 71.572583 118.927532) + (xy 71.564604 118.930595) + (xy 71.54721 118.947989) + (xy 71.53824 118.95565) + (xy 71.518354 118.970098) + (xy 71.518351 118.970102) + (xy 71.514082 118.977495) + (xy 71.502135 118.993063) + (xy 70.057326 120.437872) + (xy 70.010706 120.459612) + (xy 69.961019 120.446298) + (xy 69.958373 120.444358) + (xy 69.94992 120.437872) + (xy 69.935159 120.426545) + (xy 69.935156 120.426544) + (xy 69.935155 120.426543) + (xy 69.802194 120.37147) + (xy 69.802193 120.371469) + (xy 69.802191 120.371469) + (xy 69.724883 120.361291) + (xy 69.679256 120.337538) + (xy 69.659572 120.290013) + (xy 69.6595 120.286734) + (xy 69.6595 120.251265) + (xy 69.677093 120.202927) + (xy 69.721642 120.177207) + (xy 69.724845 120.176713) + (xy 69.802191 120.166531) + (xy 69.935159 120.111455) + (xy 70.04934 120.02384) + (xy 70.136955 119.909659) + (xy 70.192031 119.776691) + (xy 70.210817 119.634) + (xy 70.192031 119.491309) + (xy 70.136955 119.358342) + (xy 70.095269 119.304016) + (xy 70.049343 119.244163) + (xy 70.049341 119.244161) + (xy 70.04934 119.24416) + (xy 69.935159 119.156545) + (xy 69.935157 119.156544) + (xy 69.802194 119.10147) + (xy 69.802193 119.101469) + (xy 69.802191 119.101469) + (xy 69.724883 119.091291) + (xy 69.679256 119.067538) + (xy 69.659572 119.020013) + (xy 69.6595 119.016734) + (xy 69.6595 118.981265) + (xy 69.677093 118.932927) + (xy 69.721642 118.907207) + (xy 69.724845 118.906713) + (xy 69.802191 118.896531) + (xy 69.935159 118.841455) + (xy 70.04934 118.75384) + (xy 70.136955 118.639659) + (xy 70.192031 118.506691) + (xy 70.210817 118.364) + (xy 70.192031 118.221309) + (xy 70.136955 118.088342) + (xy 70.093293 118.031441) + (xy 70.049343 117.974163) + (xy 70.049341 117.974161) + (xy 70.04934 117.97416) + (xy 69.935159 117.886545) + (xy 69.935157 117.886544) + (xy 69.802194 117.83147) + (xy 69.802193 117.831469) + (xy 69.802191 117.831469) + (xy 69.6595 117.812683) + (xy 69.659499 117.812683) + (xy 69.521381 117.830867) + (xy 69.47116 117.819733) + (xy 69.439845 117.778923) + (xy 69.442089 117.727532) + (xy 69.470241 117.693482) + (xy 69.507154 117.669205) + (xy 69.626796 117.542393) + (xy 69.713967 117.391407) + (xy 69.763969 117.224388) + (xy 69.764623 117.213155) + (xy 70.12655 117.213155) + (xy 70.165772 117.448198) + (xy 70.165773 117.448202) + (xy 70.165774 117.448206) + (xy 70.209763 117.57634) + (xy 70.243152 117.6736) + (xy 70.243153 117.673602) + (xy 70.356569 117.883177) + (xy 70.502938 118.071233) + (xy 70.502942 118.071237) + (xy 70.589994 118.151373) + (xy 70.678266 118.232633) + (xy 70.877766 118.362973) + (xy 70.877768 118.362974) + (xy 71.089079 118.455664) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.745257 118.5219) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.62606 118.071235) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.243153 116.514397) + (xy 70.243152 116.514399) + (xy 70.165775 116.739791) + (xy 70.165772 116.739801) + (xy 70.12655 116.974844) + (xy 70.12655 117.213155) + (xy 69.764623 117.213155) + (xy 69.774107 117.05034) + (xy 69.743832 116.878646) + (xy 69.742495 116.875547) + (xy 69.674779 116.718562) + (xy 69.647793 116.682314) + (xy 69.570668 116.578717) + (xy 69.570667 116.578716) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.584736 117.698568) + (xy 68.610456 117.743116) + (xy 68.601523 117.793774) + (xy 68.562117 117.826839) + (xy 68.526583 117.83073) + (xy 68.3895 117.812683) + (xy 68.246809 117.831469) + (xy 68.246806 117.831469) + (xy 68.246806 117.83147) + (xy 68.113846 117.886543) + (xy 68.11384 117.886546) + (xy 67.999659 117.974159) + (xy 67.912046 118.08834) + (xy 67.912043 118.088346) + (xy 67.85697 118.221306) + (xy 67.856969 118.221309) + (xy 67.843822 118.321167) + (xy 67.838183 118.364) + (xy 67.856969 118.506692) + (xy 67.857523 118.508028) + (xy 67.857561 118.508899) + (xy 67.858245 118.511452) + (xy 67.857678 118.511603) + (xy 67.859765 118.559419) + (xy 67.841221 118.589977) + (xy 67.555126 118.876074) + (xy 67.508505 118.897814) + (xy 67.501951 118.8981) + (xy 65.721047 118.8981) + (xy 65.672709 118.880507) + (xy 65.667873 118.876074) + (xy 64.702426 117.910626) + (xy 64.680686 117.864006) + (xy 64.6804 117.857452) + (xy 64.6804 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.129763 117.57634) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.422938 118.071233) + (xy 65.422942 118.071237) + (xy 65.509994 118.151373) + (xy 65.598266 118.232633) + (xy 65.797766 118.362973) + (xy 65.797768 118.362974) + (xy 66.009079 118.455664) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.665257 118.5219) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 64.6804 117.213155) + (xy 64.6804 116.330546) + (xy 64.697993 116.282208) + (xy 64.702415 116.277383) + (xy 65.99138 114.988418) + (xy 67.8471 114.988418) + (xy 67.853386 115.036174) + (xy 67.853388 115.03618) + (xy 67.902254 115.140973) + (xy 67.90226 115.140981) + (xy 67.984018 115.222739) + (xy 67.984026 115.222745) + (xy 68.088819 115.271611) + (xy 68.088821 115.271611) + (xy 68.088824 115.271613) + (xy 68.13658 115.2779) + (xy 68.136582 115.2779) + (xy 68.563418 115.2779) + (xy 68.56342 115.2779) + (xy 68.611176 115.271613) + (xy 68.692935 115.233488) + (xy 68.715973 115.222745) + (xy 68.715973 115.222744) + (xy 68.715977 115.222743) + (xy 68.797743 115.140977) + (xy 68.815628 115.102624) + (xy 68.846611 115.03618) + (xy 68.846613 115.036176) + (xy 68.8529 114.98842) + (xy 68.8529 114.988418) + (xy 69.4471 114.988418) + (xy 69.453386 115.036174) + (xy 69.453388 115.03618) + (xy 69.502254 115.140973) + (xy 69.50226 115.140981) + (xy 69.584018 115.222739) + (xy 69.584026 115.222745) + (xy 69.688819 115.271611) + (xy 69.688821 115.271611) + (xy 69.688824 115.271613) + (xy 69.73658 115.2779) + (xy 69.736582 115.2779) + (xy 70.163418 115.2779) + (xy 70.16342 115.2779) + (xy 70.211176 115.271613) + (xy 70.292935 115.233488) + (xy 70.315973 115.222745) + (xy 70.315973 115.222744) + (xy 70.315977 115.222743) + (xy 70.397743 115.140977) + (xy 70.415628 115.102624) + (xy 70.446611 115.03618) + (xy 70.446613 115.036176) + (xy 70.4529 114.98842) + (xy 70.4529 114.31158) + (xy 70.446613 114.263824) + (xy 70.446611 114.263819) + (xy 70.397745 114.159026) + (xy 70.397742 114.159022) + (xy 70.360411 114.121691) + (xy 70.315977 114.077257) + (xy 70.315975 114.077256) + (xy 70.315973 114.077254) + (xy 70.310584 114.07348) + (xy 70.312457 114.070805) + (xy 70.285098 114.043436) + (xy 70.280624 113.992191) + (xy 70.286254 113.977528) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.189771 113.419984) + (xy 70.076055 113.362043) + (xy 70.076057 113.362043) + (xy 69.95 113.342078) + (xy 69.823943 113.362043) + (xy 69.710228 113.419984) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.613746 113.977528) + (xy 69.620015 114.028584) + (xy 69.591999 114.071725) + (xy 69.589312 114.073339) + (xy 69.589413 114.073484) + (xy 69.584022 114.077258) + (xy 69.502257 114.159022) + (xy 69.502254 114.159026) + (xy 69.453388 114.263819) + (xy 69.453386 114.263825) + (xy 69.4471 114.311581) + (xy 69.4471 114.988418) + (xy 68.8529 114.988418) + (xy 68.8529 114.31158) + (xy 68.846613 114.263824) + (xy 68.846611 114.263819) + (xy 68.797745 114.159026) + (xy 68.797742 114.159022) + (xy 68.760411 114.121691) + (xy 68.715977 114.077257) + (xy 68.715975 114.077256) + (xy 68.715973 114.077254) + (xy 68.710584 114.07348) + (xy 68.712457 114.070805) + (xy 68.685098 114.043436) + (xy 68.680624 113.992191) + (xy 68.686254 113.977528) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 68.013746 113.977528) + (xy 68.020015 114.028584) + (xy 67.991999 114.071725) + (xy 67.989312 114.073339) + (xy 67.989413 114.073484) + (xy 67.984022 114.077258) + (xy 67.902257 114.159022) + (xy 67.902254 114.159026) + (xy 67.853388 114.263819) + (xy 67.853386 114.263825) + (xy 67.8471 114.311581) + (xy 67.8471 114.988418) + (xy 65.99138 114.988418) + (xy 69.307047 111.67275) + (xy 69.309865 111.670075) + (xy 69.339994 111.642949) + (xy 69.349996 111.620481) + (xy 69.355621 111.610121) + (xy 69.369016 111.589496) + (xy 69.370351 111.581061) + (xy 69.375924 111.562248) + (xy 69.3794 111.554442) + (xy 69.3794 111.529852) + (xy 69.380326 111.518086) + (xy 69.380623 111.51621) + (xy 69.384172 111.493806) + (xy 69.38196 111.485553) + (xy 69.3794 111.466095) + (xy 69.3794 107.948547) + (xy 69.396993 107.900209) + (xy 69.401426 107.895373) + (xy 69.669386 107.627413) + (xy 69.939491 107.357307) + (xy 69.98611 107.335568) + (xy 70.004421 107.336207) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.370516 107.173771) + (xy 70.428457 107.060055) + (xy 70.448422 106.934) + (xy 70.446829 106.923945) + (xy 70.442554 106.896952) + (xy 70.428457 106.807945) + (xy 70.370516 106.694229) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 69.638291 106.97007) + (xy 69.628476 107.020565) + (xy 69.617191 107.035008) + (xy 68.995956 107.656242) + (xy 68.993102 107.658952) + (xy 68.963004 107.686052) + (xy 68.953007 107.708509) + (xy 68.947377 107.718878) + (xy 68.933985 107.739501) + (xy 68.933983 107.739506) + (xy 68.932648 107.747935) + (xy 68.927074 107.766754) + (xy 68.9236 107.774557) + (xy 68.9236 107.799152) + (xy 68.922674 107.810916) + (xy 68.918829 107.835191) + (xy 68.918829 107.835194) + (xy 68.921038 107.843438) + (xy 68.9236 107.8629) + (xy 68.9236 111.380451) + (xy 68.906007 111.428789) + (xy 68.901574 111.433625) + (xy 64.296956 116.038242) + (xy 64.294102 116.040952) + (xy 64.264004 116.068052) + (xy 64.254007 116.090509) + (xy 64.248377 116.100878) + (xy 64.234985 116.121501) + (xy 64.234983 116.121506) + (xy 64.233648 116.129935) + (xy 64.228074 116.148754) + (xy 64.2246 116.156557) + (xy 64.2246 116.181152) + (xy 64.223674 116.192916) + (xy 64.219829 116.217191) + (xy 64.219829 116.217194) + (xy 64.222038 116.225438) + (xy 64.2246 116.2449) + (xy 64.2246 117.975062) + (xy 64.224497 117.978998) + (xy 64.222376 118.019448) + (xy 64.231188 118.042402) + (xy 64.234539 118.053715) + (xy 64.239651 118.077763) + (xy 64.239652 118.077766) + (xy 64.244669 118.084671) + (xy 64.254036 118.101922) + (xy 64.257096 118.109895) + (xy 64.257097 118.109896) + (xy 64.274485 118.127284) + (xy 64.282144 118.136252) + (xy 64.296597 118.156144) + (xy 64.296599 118.156146) + (xy 64.303995 118.160416) + (xy 64.319566 118.172365) + (xy 65.428731 119.28153) + (xy 65.431442 119.284386) + (xy 65.458551 119.314494) + (xy 65.481016 119.324495) + (xy 65.491387 119.330127) + (xy 65.512002 119.343516) + (xy 65.520428 119.34485) + (xy 65.539259 119.350428) + (xy 65.547053 119.353898) + (xy 65.547055 119.353898) + (xy 65.547058 119.3539) + (xy 65.571652 119.3539) + (xy 65.583415 119.354825) + (xy 65.607694 119.358671) + (xy 65.612753 119.357315) + (xy 65.61594 119.356462) + (xy 65.635401 119.3539) + (xy 65.861817 119.3539) + (xy 65.910155 119.371493) + (xy 65.935875 119.416042) + (xy 65.928821 119.46324) + (xy 65.906043 119.507943) + (xy 65.886078 119.633999) + (xy 65.886078 119.634) + (xy 65.906043 119.760056) + (xy 65.928821 119.80476) + (xy 65.93509 119.855816) + (xy 65.907073 119.898957) + (xy 65.861817 119.9141) + (xy 65.340047 119.9141) + (xy 65.291709 119.896507) + (xy 65.286873 119.892074) + (xy 64.003926 118.609126) + (xy 63.982186 118.562506) + (xy 63.9819 118.555952) + (xy 63.9819 115.632047) + (xy 63.999493 115.583709) + (xy 64.003926 115.578873) + (xy 66.166209 113.41659) + (xy 68.418057 111.164741) + (xy 68.420875 111.162066) + (xy 68.450994 111.134949) + (xy 68.460996 111.11248) + (xy 68.466624 111.102115) + (xy 68.480016 111.081496) + (xy 68.48135 111.073071) + (xy 68.48693 111.054234) + (xy 68.4904 111.046442) + (xy 68.4904 111.021846) + (xy 68.491326 111.010081) + (xy 68.491434 111.009402) + (xy 68.495171 110.985806) + (xy 68.494629 110.983784) + (xy 68.492962 110.977559) + (xy 68.4904 110.958099) + (xy 68.4904 107.567547) + (xy 68.507993 107.519209) + (xy 68.512426 107.514373) + (xy 69.218856 106.807943) + (xy 69.939491 106.087307) + (xy 69.98611 106.065568) + (xy 70.004421 106.066207) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.370516 105.903771) + (xy 70.428457 105.790055) + (xy 70.448422 105.664) + (xy 70.446918 105.654507) + (xy 70.440222 105.612228) + (xy 70.428457 105.537945) + (xy 70.370516 105.424229) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663998) + (xy 69.632578 105.664003) + (xy 69.63829 105.700072) + (xy 69.628474 105.750566) + (xy 69.61719 105.765008) + (xy 68.106956 107.275242) + (xy 68.104102 107.277952) + (xy 68.074004 107.305052) + (xy 68.064007 107.327509) + (xy 68.058377 107.337878) + (xy 68.044985 107.358501) + (xy 68.044983 107.358506) + (xy 68.043648 107.366935) + (xy 68.038074 107.385754) + (xy 68.0346 107.393557) + (xy 68.0346 107.418152) + (xy 68.033674 107.429916) + (xy 68.029829 107.454191) + (xy 68.029829 107.454194) + (xy 68.032038 107.462438) + (xy 68.0346 107.4819) + (xy 68.0346 110.872451) + (xy 68.017007 110.920789) + (xy 68.012574 110.925625) + (xy 63.598456 115.339742) + (xy 63.595602 115.342452) + (xy 63.565504 115.369552) + (xy 63.555507 115.392009) + (xy 63.549877 115.402378) + (xy 63.536485 115.423001) + (xy 63.536483 115.423006) + (xy 63.535148 115.431435) + (xy 63.529574 115.450254) + (xy 63.5261 115.458057) + (xy 63.5261 115.482652) + (xy 63.525174 115.494416) + (xy 63.521329 115.518691) + (xy 63.521329 115.518694) + (xy 63.523538 115.526938) + (xy 63.5261 115.5464) + (xy 63.5261 118.673562) + (xy 63.525997 118.677498) + (xy 63.523876 118.717948) + (xy 63.532688 118.740902) + (xy 63.536039 118.752215) + (xy 63.541151 118.776263) + (xy 63.541152 118.776266) + (xy 63.546169 118.783171) + (xy 63.555536 118.800422) + (xy 63.558596 118.808395) + (xy 63.558597 118.808396) + (xy 63.575985 118.825784) + (xy 63.583644 118.834752) + (xy 63.598097 118.854644) + (xy 63.598099 118.854646) + (xy 63.605495 118.858916) + (xy 63.621066 118.870865) + (xy 65.04774 120.29754) + (xy 65.050451 120.300396) + (xy 65.077551 120.330494) + (xy 65.100023 120.340498) + (xy 65.110374 120.346119) + (xy 65.131003 120.359516) + (xy 65.139428 120.36085) + (xy 65.158259 120.366428) + (xy 65.166053 120.369898) + (xy 65.166055 120.369898) + (xy 65.166058 120.3699) + (xy 65.190652 120.3699) + (xy 65.202415 120.370825) + (xy 65.226694 120.374671) + (xy 65.231753 120.373315) + (xy 65.23494 120.372462) + (xy 65.254401 120.3699) + (xy 67.501952 120.3699) + (xy 67.55029 120.387493) + (xy 67.555127 120.391926) + (xy 67.841221 120.678021) + (xy 67.86296 120.724641) + (xy 67.858058 120.756498) + (xy 67.858245 120.756548) + (xy 67.857789 120.758247) + (xy 67.857526 120.759961) + (xy 67.856972 120.761298) + (xy 67.85697 120.761306) + (xy 67.856969 120.761309) + (xy 67.838183 120.904) + (xy 67.856969 121.046691) + (xy 67.856969 121.046693) + (xy 67.85697 121.046694) + (xy 67.904963 121.162563) + (xy 67.907206 121.213954) + (xy 67.875891 121.254764) + (xy 67.825671 121.265897) + (xy 67.782313 121.244514) + (xy 67.72557 121.187771) + (xy 67.286258 120.748458) + (xy 67.283547 120.745602) + (xy 67.27256 120.7334) + (xy 67.256449 120.715506) + (xy 67.256445 120.715503) + (xy 67.233986 120.705504) + (xy 67.223616 120.699874) + (xy 67.202996 120.686483) + (xy 67.194564 120.685148) + (xy 67.175743 120.679573) + (xy 67.167942 120.6761) + (xy 67.143348 120.6761) + (xy 67.131584 120.675174) + (xy 67.107308 120.671329) + (xy 67.107304 120.671329) + (xy 67.09906 120.673538) + (xy 67.079599 120.6761) + (xy 64.959048 120.6761) + (xy 64.91071 120.658507) + (xy 64.905873 120.654074) + (xy 64.737487 120.485688) + (xy 64.555748 120.303948) + (xy 64.553038 120.301092) + (xy 64.54695 120.294331) + (xy 64.525949 120.271006) + (xy 64.525945 120.271003) + (xy 64.503486 120.261004) + (xy 64.493116 120.255374) + (xy 64.472496 120.241983) + (xy 64.464064 120.240648) + (xy 64.445243 120.235073) + (xy 64.437442 120.2316) + (xy 64.412848 120.2316) + (xy 64.401084 120.230674) + (xy 64.376808 120.226829) + (xy 64.376804 120.226829) + (xy 64.36856 120.229038) + (xy 64.349099 120.2316) + (xy 64.121538 120.2316) + (xy 64.0732 120.214007) + (xy 64.053384 120.188181) + (xy 64.009245 120.093526) + (xy 64.009239 120.093518) + (xy 63.927481 120.01176) + (xy 63.927473 120.011754) + (xy 63.82268 119.962888) + (xy 63.822674 119.962886) + (xy 63.787492 119.958255) + (xy 63.77492 119.9566) + (xy 63.774918 119.9566) + (xy 63.7396 119.9566) + (xy 63.691262 119.939007) + (xy 63.665542 119.894458) + (xy 63.6644 119.8814) + (xy 63.6644 119.578428) + (xy 63.664503 119.574491) + (xy 63.665053 119.563992) + (xy 63.666623 119.534052) + (xy 63.66628 119.533159) + (xy 63.65781 119.511095) + (xy 63.65446 119.499788) + (xy 63.649348 119.475734) + (xy 63.64433 119.468827) + (xy 63.634963 119.451575) + (xy 63.631903 119.443605) + (xy 63.631903 119.443604) + (xy 63.614513 119.426214) + (xy 63.606854 119.417247) + (xy 63.5924 119.397353) + (xy 63.585006 119.393084) + (xy 63.569434 119.381134) + (xy 63.305426 119.117126) + (xy 63.283686 119.070506) + (xy 63.2834 119.063952) + (xy 63.2834 114.933546) + (xy 63.300993 114.885208) + (xy 63.305415 114.880383) + (xy 67.529047 110.65675) + (xy 67.531865 110.654075) + (xy 67.561994 110.626949) + (xy 67.571996 110.60448) + (xy 67.577623 110.594117) + (xy 67.591016 110.573497) + (xy 67.59235 110.565072) + (xy 67.59793 110.546234) + (xy 67.598384 110.545214) + (xy 67.6014 110.538442) + (xy 67.6014 110.513846) + (xy 67.602326 110.502081) + (xy 67.606171 110.477806) + (xy 67.605629 110.475784) + (xy 67.603962 110.469559) + (xy 67.6014 110.450099) + (xy 67.6014 107.186546) + (xy 67.618993 107.138208) + (xy 67.623415 107.133383) + (xy 69.93949 104.817307) + (xy 69.986109 104.795568) + (xy 70.004425 104.796207) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.370516 104.633771) + (xy 70.428457 104.520055) + (xy 70.448422 104.394) + (xy 70.428457 104.267945) + (xy 70.370516 104.154229) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393998) + (xy 69.632578 104.394003) + (xy 69.63829 104.430072) + (xy 69.628474 104.480566) + (xy 69.61719 104.495008) + (xy 67.217956 106.894242) + (xy 67.215102 106.896952) + (xy 67.185004 106.924052) + (xy 67.175007 106.946509) + (xy 67.169377 106.956878) + (xy 67.155985 106.977501) + (xy 67.155983 106.977506) + (xy 67.154648 106.985935) + (xy 67.149074 107.004754) + (xy 67.1456 107.012557) + (xy 67.1456 107.037152) + (xy 67.144674 107.048916) + (xy 67.140829 107.073191) + (xy 67.140829 107.073194) + (xy 67.143038 107.081438) + (xy 67.1456 107.1009) + (xy 67.1456 110.364451) + (xy 67.128007 110.412789) + (xy 67.123574 110.417625) + (xy 62.899956 114.641242) + (xy 62.897102 114.643952) + (xy 62.867004 114.671052) + (xy 62.857007 114.693509) + (xy 62.851377 114.703878) + (xy 62.837985 114.724501) + (xy 62.837983 114.724506) + (xy 62.836648 114.732935) + (xy 62.831074 114.751754) + (xy 62.8276 114.759557) + (xy 62.8276 114.784152) + (xy 62.826674 114.795916) + (xy 62.822829 114.820191) + (xy 62.822829 114.820194) + (xy 62.825038 114.828438) + (xy 62.8276 114.8479) + (xy 62.8276 119.181562) + (xy 62.827497 119.185498) + (xy 62.825376 119.225948) + (xy 62.834188 119.248902) + (xy 62.837539 119.260215) + (xy 62.842651 119.284263) + (xy 62.842652 119.284266) + (xy 62.847669 119.291171) + (xy 62.857036 119.308422) + (xy 62.860096 119.316395) + (xy 62.860097 119.316396) + (xy 62.877485 119.333784) + (xy 62.885144 119.342752) + (xy 62.899597 119.362644) + (xy 62.899599 119.362646) + (xy 62.906995 119.366916) + (xy 62.922566 119.378865) + (xy 63.186574 119.642873) + (xy 63.208314 119.689493) + (xy 63.2086 119.696047) + (xy 63.2086 119.8814) + (xy 63.191007 119.929738) + (xy 63.146458 119.955458) + (xy 63.1334 119.9566) + (xy 63.09808 119.9566) + (xy 63.08697 119.958062) + (xy 63.050325 119.962886) + (xy 63.050319 119.962888) + (xy 62.945526 120.011754) + (xy 62.945518 120.01176) + (xy 62.86376 120.093518) + (xy 62.863754 120.093526) + (xy 62.814888 120.198319) + (xy 62.814886 120.198325) + (xy 62.810461 120.231941) + (xy 62.8086 120.24608) + (xy 62.8086 120.67292) + (xy 62.808897 120.675174) + (xy 62.814886 120.720674) + (xy 62.814888 120.72068) + (xy 62.863754 120.825473) + (xy 62.86376 120.825481) + (xy 62.945518 120.907239) + (xy 62.945526 120.907245) + (xy 63.050319 120.956111) + (xy 63.050321 120.956111) + (xy 63.050324 120.956113) + (xy 63.09808 120.9624) + (xy 63.098082 120.9624) + (xy 63.774918 120.9624) + (xy 63.77492 120.9624) + (xy 63.822676 120.956113) + (xy 63.88959 120.92491) + (xy 63.927473 120.907245) + (xy 63.927473 120.907244) + (xy 63.927477 120.907243) + (xy 64.009243 120.825477) + (xy 64.022456 120.797142) + (xy 64.053384 120.730819) + (xy 64.089757 120.694445) + (xy 64.121538 120.6874) + (xy 64.263452 120.6874) + (xy 64.31179 120.704993) + (xy 64.316626 120.709425) + (xy 64.501494 120.894294) + (xy 64.66675 121.05955) + (xy 64.66946 121.062406) + (xy 64.686593 121.081435) + (xy 64.696551 121.092494) + (xy 64.719023 121.102498) + (xy 64.729374 121.108119) + (xy 64.750003 121.121516) + (xy 64.758428 121.12285) + (xy 64.777259 121.128428) + (xy 64.785053 121.131898) + (xy 64.785055 121.131898) + (xy 64.785058 121.1319) + (xy 64.809652 121.1319) + (xy 64.821415 121.132825) + (xy 64.845694 121.136671) + (xy 64.850753 121.135315) + (xy 64.85394 121.134462) + (xy 64.873401 121.1319) + (xy 66.993953 121.1319) + (xy 67.042291 121.149493) + (xy 67.047127 121.153926) + (xy 67.41427 121.521069) + (xy 67.43601 121.567689) + (xy 67.422696 121.617376) + (xy 67.380559 121.646881) + (xy 67.329315 121.642397) + (xy 67.319966 121.637198) + (xy 67.171236 121.540027) + (xy 67.171232 121.540026) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.140667 123.323104) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.422938 123.786233) + (xy 65.422942 123.786237) + (xy 65.454955 123.815707) + (xy 65.598266 123.947633) + (xy 65.797766 124.077973) + (xy 65.797768 124.077974) + (xy 65.9651 124.151373) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.7465 124.225992) + (xy 66.797675 124.231179) + (xy 66.833545 124.268049) + (xy 66.837323 124.31935) + (xy 66.818134 124.352064) + (xy 66.261625 124.908574) + (xy 66.215006 124.930314) + (xy 66.208452 124.9306) + (xy 64.121538 124.9306) + (xy 64.0732 124.913007) + (xy 64.053384 124.887181) + (xy 64.009245 124.792526) + (xy 64.009239 124.792518) + (xy 63.927481 124.71076) + (xy 63.927473 124.710754) + (xy 63.82268 124.661888) + (xy 63.822674 124.661886) + (xy 63.786838 124.657169) + (xy 63.77492 124.6556) + (xy 63.09808 124.6556) + (xy 63.08697 124.657062) + (xy 63.050325 124.661886) + (xy 63.050319 124.661888) + (xy 62.945526 124.710754) + (xy 62.945518 124.71076) + (xy 62.86376 124.792518) + (xy 62.863755 124.792525) + (xy 62.855261 124.810741) + (xy 62.818886 124.847114) + (xy 62.767642 124.851596) + (xy 62.733933 124.832133) + (xy 62.606926 124.705126) + (xy 62.585186 124.658506) + (xy 62.5849 124.651952) + (xy 62.5849 123.771918) + (xy 62.8086 123.771918) + (xy 62.814886 123.819674) + (xy 62.814888 123.81968) + (xy 62.863754 123.924473) + (xy 62.86376 123.924481) + (xy 62.945518 124.006239) + (xy 62.945526 124.006245) + (xy 63.050319 124.055111) + (xy 63.050321 124.055111) + (xy 63.050324 124.055113) + (xy 63.09808 124.0614) + (xy 63.098082 124.0614) + (xy 63.774918 124.0614) + (xy 63.77492 124.0614) + (xy 63.822676 124.055113) + (xy 63.916032 124.01158) + (xy 63.927473 124.006245) + (xy 63.927473 124.006244) + (xy 63.927477 124.006243) + (xy 64.009243 123.924477) + (xy 64.058113 123.819676) + (xy 64.0644 123.77192) + (xy 64.0644 123.34508) + (xy 64.058113 123.297324) + (xy 64.054591 123.289771) + (xy 64.009245 123.192526) + (xy 64.009239 123.192518) + (xy 63.927481 123.11076) + (xy 63.927473 123.110754) + (xy 63.842473 123.071118) + (xy 63.8061 123.034745) + (xy 63.801616 122.983501) + (xy 63.807248 122.968829) + (xy 63.824457 122.935055) + (xy 63.844422 122.809) + (xy 63.824457 122.682945) + (xy 63.824456 122.682943) + (xy 63.824456 122.682942) + (xy 63.824456 122.682941) + (xy 63.807251 122.649176) + (xy 63.800981 122.59812) + (xy 63.828997 122.554978) + (xy 63.842462 122.546886) + (xy 63.927477 122.507243) + (xy 64.009243 122.425477) + (xy 64.058113 122.320676) + (xy 64.0644 122.27292) + (xy 64.0644 121.84608) + (xy 64.058113 121.798324) + (xy 64.057864 121.797789) + (xy 64.009245 121.693526) + (xy 64.009239 121.693518) + (xy 63.927481 121.61176) + (xy 63.927473 121.611754) + (xy 63.82268 121.562888) + (xy 63.822674 121.562886) + (xy 63.787492 121.558255) + (xy 63.77492 121.5566) + (xy 63.09808 121.5566) + (xy 63.08697 121.558062) + (xy 63.050325 121.562886) + (xy 63.050319 121.562888) + (xy 62.945526 121.611754) + (xy 62.945518 121.61176) + (xy 62.86376 121.693518) + (xy 62.863754 121.693526) + (xy 62.814888 121.798319) + (xy 62.814886 121.798325) + (xy 62.8086 121.846081) + (xy 62.8086 122.272918) + (xy 62.814886 122.320674) + (xy 62.814888 122.32068) + (xy 62.863754 122.425473) + (xy 62.86376 122.425481) + (xy 62.945518 122.507239) + (xy 62.945526 122.507245) + (xy 63.030526 122.546881) + (xy 63.066899 122.583254) + (xy 63.071383 122.634498) + (xy 63.065749 122.649175) + (xy 63.048543 122.682943) + (xy 63.028578 122.808999) + (xy 63.028578 122.809) + (xy 63.048543 122.935056) + (xy 63.065749 122.968824) + (xy 63.072018 123.01988) + (xy 63.044002 123.063021) + (xy 63.030526 123.071118) + (xy 62.945526 123.110754) + (xy 62.945518 123.11076) + (xy 62.86376 123.192518) + (xy 62.863754 123.192526) + (xy 62.814888 123.297319) + (xy 62.814886 123.297325) + (xy 62.8086 123.345081) + (xy 62.8086 123.771918) + (xy 62.5849 123.771918) + (xy 62.5849 114.235046) + (xy 62.602493 114.186708) + (xy 62.606915 114.181883) + (xy 66.640047 110.14875) + (xy 66.642865 110.146075) + (xy 66.672994 110.118949) + (xy 66.682996 110.09648) + (xy 66.688623 110.086117) + (xy 66.702016 110.065497) + (xy 66.70335 110.057072) + (xy 66.70893 110.038234) + (xy 66.710752 110.034143) + (xy 66.7124 110.030442) + (xy 66.7124 110.005846) + (xy 66.713326 109.994081) + (xy 66.714098 109.989207) + (xy 66.717171 109.969806) + (xy 66.716373 109.966828) + (xy 66.714962 109.961559) + (xy 66.7124 109.942099) + (xy 66.7124 106.781546) + (xy 66.729993 106.733208) + (xy 66.734415 106.728383) + (xy 69.918771 103.544026) + (xy 69.96539 103.522287) + (xy 69.983708 103.522927) + (xy 70.040499 103.531922) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.370516 103.363771) + (xy 70.428457 103.250055) + (xy 70.448422 103.124) + (xy 70.428457 102.997945) + (xy 70.370516 102.884229) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124003) + (xy 69.635009 103.139354) + (xy 69.625193 103.189848) + (xy 69.613909 103.20429) + (xy 66.328956 106.489242) + (xy 66.326102 106.491952) + (xy 66.296004 106.519052) + (xy 66.286007 106.541509) + (xy 66.280377 106.551878) + (xy 66.266985 106.572501) + (xy 66.266983 106.572506) + (xy 66.265648 106.580935) + (xy 66.260074 106.599754) + (xy 66.2566 106.607557) + (xy 66.2566 106.632152) + (xy 66.255674 106.643916) + (xy 66.251829 106.668191) + (xy 66.251829 106.668194) + (xy 66.254038 106.676438) + (xy 66.2566 106.6959) + (xy 66.2566 109.856451) + (xy 66.239007 109.904789) + (xy 66.234574 109.909625) + (xy 62.201456 113.942742) + (xy 62.198602 113.945452) + (xy 62.168504 113.972552) + (xy 62.160839 113.989771) + (xy 62.158572 113.994864) + (xy 62.158507 113.995009) + (xy 62.152877 114.005378) + (xy 62.139485 114.026001) + (xy 62.139483 114.026006) + (xy 62.138148 114.034435) + (xy 62.132574 114.053254) + (xy 62.1291 114.061057) + (xy 62.1291 114.085652) + (xy 62.128174 114.097416) + (xy 62.124329 114.121691) + (xy 62.124329 114.121694) + (xy 46.101 114.121694) + (xy 46.101 104.649305) + (xy 46.118593 104.600967) + (xy 46.163142 104.575247) + (xy 46.204979 104.57983) + (xy 46.342738 104.636893) + (xy 46.460519 104.6524) + (xy 46.85 104.6524) + (xy 46.85 103.75) + (xy 47.15 103.75) + (xy 47.15 104.6524) + (xy 47.539481 104.6524) + (xy 47.657259 104.636894) + (xy 47.8038 104.576193) + (xy 47.803801 104.576193) + (xy 47.929637 104.479637) + (xy 48.026193 104.353801) + (xy 48.026193 104.3538) + (xy 48.086894 104.207259) + (xy 48.1024 104.089481) + (xy 48.1024 103.75) + (xy 47.15 103.75) + (xy 46.85 103.75) + (xy 46.85 102.5476) + (xy 47.15 102.5476) + (xy 47.15 103.45) + (xy 48.1024 103.45) + (xy 48.1024 103.110518) + (xy 48.094433 103.05) + (xy 48.597601 103.05) + (xy 48.597601 103.593241) + (xy 48.608261 103.682019) + (xy 48.663966 103.823278) + (xy 48.663968 103.823281) + (xy 48.755722 103.944277) + (xy 48.876718 104.036031) + (xy 48.876721 104.036033) + (xy 49.017979 104.091738) + (xy 49.106757 104.102399) + (xy 49.4 104.102399) + (xy 49.4 103.05) + (xy 49.7 103.05) + (xy 49.7 104.102399) + (xy 49.993242 104.102399) + (xy 50.082019 104.091738) + (xy 50.223278 104.036033) + (xy 50.223281 104.036031) + (xy 50.344277 103.944277) + (xy 50.436031 103.823281) + (xy 50.436033 103.823278) + (xy 50.491738 103.68202) + (xy 50.5024 103.593242) + (xy 50.5024 103.05) + (xy 49.7 103.05) + (xy 49.4 103.05) + (xy 48.597601 103.05) + (xy 48.094433 103.05) + (xy 48.086894 102.99274) + (xy 48.026193 102.846199) + (xy 48.026193 102.846198) + (xy 47.952379 102.75) + (xy 48.5976 102.75) + (xy 49.4 102.75) + (xy 49.4 101.6976) + (xy 49.7 101.6976) + (xy 49.7 102.75) + (xy 50.502399 102.75) + (xy 50.502399 102.278223) + (xy 52.7601 102.278223) + (xy 52.763037 102.303548) + (xy 52.80878 102.407145) + (xy 52.808782 102.407148) + (xy 52.873496 102.471862) + (xy 52.895236 102.518482) + (xy 52.883955 102.560581) + (xy 52.885671 102.561456) + (xy 52.882984 102.566728) + (xy 52.882984 102.566729) + (xy 52.876214 102.580016) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.873846 103.028336) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.249071 103.208708) + (xy 53.299564 103.218522) + (xy 53.314008 103.229807) + (xy 57.022693 106.938493) + (xy 60.72974 110.64554) + (xy 60.732451 110.648396) + (xy 60.758759 110.677615) + (xy 60.759551 110.678494) + (xy 60.782023 110.688498) + (xy 60.792374 110.694119) + (xy 60.813003 110.707516) + (xy 60.821428 110.70885) + (xy 60.840259 110.714428) + (xy 60.848053 110.717898) + (xy 60.848055 110.717898) + (xy 60.848058 110.7179) + (xy 60.872652 110.7179) + (xy 60.884415 110.718825) + (xy 60.908694 110.722671) + (xy 60.913753 110.721315) + (xy 60.91694 110.720462) + (xy 60.936401 110.7179) + (xy 62.174464 110.7179) + (xy 62.222802 110.735493) + (xy 62.227638 110.739925) + (xy 62.307729 110.820016) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.954463 110.483948) + (xy 62.949087 110.45) + (xy 62.935457 110.363945) + (xy 62.877516 110.250229) + (xy 62.787271 110.159984) + (xy 62.673555 110.102043) + (xy 62.673557 110.102043) + (xy 62.5475 110.082078) + (xy 62.421443 110.102043) + (xy 62.30773 110.159983) + (xy 62.227638 110.240075) + (xy 62.181018 110.261814) + (xy 62.174464 110.2621) + (xy 61.022047 110.2621) + (xy 60.973709 110.244507) + (xy 60.968873 110.240074) + (xy 54.1068 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.06484 103.599825) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.440071 103.780208) + (xy 57.490564 103.790022) + (xy 57.505009 103.801308) + (xy 63.186574 109.482873) + (xy 63.208314 109.529493) + (xy 63.2086 109.536047) + (xy 63.2086 111.196464) + (xy 63.191007 111.244802) + (xy 63.186575 111.249638) + (xy 63.106483 111.32973) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.077622 111.752626) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.562555 111.957457) + (xy 63.676271 111.899516) + (xy 63.766516 111.809271) + (xy 63.824457 111.695555) + (xy 63.844422 111.5695) + (xy 63.824457 111.443445) + (xy 63.766516 111.329729) + (xy 63.686425 111.249638) + (xy 63.664686 111.203018) + (xy 63.6644 111.196464) + (xy 63.6644 109.418428) + (xy 63.664503 109.414491) + (xy 63.666623 109.374051) + (xy 63.65781 109.351095) + (xy 63.65446 109.339788) + (xy 63.649348 109.315734) + (xy 63.64433 109.308827) + (xy 63.634963 109.291575) + (xy 63.631903 109.283604) + (xy 63.631902 109.283602) + (xy 63.614518 109.266218) + (xy 63.606855 109.257247) + (xy 63.592401 109.237354) + (xy 63.588424 109.235058) + (xy 63.585003 109.233082) + (xy 63.569433 109.221134) + (xy 57.827308 103.479008) + (xy 57.805568 103.432388) + (xy 57.806207 103.414079) + (xy 57.811922 103.378) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.643771 103.047984) + (xy 57.530055 102.990043) + (xy 57.530057 102.990043) + (xy 57.404 102.970078) + (xy 57.277943 102.990043) + (xy 57.164228 103.047984) + (xy 57.073984 103.138228) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 54.1068 103.378) + (xy 53.636308 102.907508) + (xy 53.614568 102.860888) + (xy 53.615207 102.842579) + (xy 53.620922 102.8065) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.543015 102.566728) + (xy 53.540329 102.561456) + (xy 53.542314 102.560444) + (xy 53.530661 102.519779) + (xy 53.55159 102.47279) + (xy 53.552445 102.471919) + (xy 53.61722 102.407145) + (xy 53.662962 102.30355) + (xy 53.6659 102.278226) + (xy 54.0301 102.278226) + (xy 54.031289 102.288471) + (xy 54.033038 102.30355) + (xy 54.033038 102.303552) + (xy 54.073692 102.395623) + (xy 54.0801 102.425998) + (xy 54.0801 102.768874) + (xy 54.079174 102.780638) + (xy 54.075078 102.806499) + (xy 54.075078 102.8065) + (xy 54.095043 102.932556) + (xy 54.143846 103.028336) + (xy 54.152984 103.046271) + (xy 54.243229 103.136516) + (xy 54.356943 103.194456) + (xy 54.356945 103.194457) + (xy 54.483 103.214422) + (xy 54.609055 103.194457) + (xy 54.722771 103.136516) + (xy 54.813016 103.046271) + (xy 54.870957 102.932555) + (xy 54.890922 102.8065) + (xy 54.886826 102.780638) + (xy 54.8859 102.768874) + (xy 54.8859 102.425998) + (xy 54.892308 102.395623) + (xy 54.928157 102.314432) + (xy 54.932962 102.30355) + (xy 54.9359 102.278226) + (xy 55.3001 102.278226) + (xy 55.301289 102.288471) + (xy 55.303038 102.30355) + (xy 55.303038 102.303552) + (xy 55.343692 102.395623) + (xy 55.3501 102.425998) + (xy 55.3501 102.768874) + (xy 55.349174 102.780638) + (xy 55.345078 102.806499) + (xy 55.345078 102.8065) + (xy 55.365043 102.932556) + (xy 55.413846 103.028336) + (xy 55.422984 103.046271) + (xy 55.513229 103.136516) + (xy 55.626943 103.194456) + (xy 55.626945 103.194457) + (xy 55.753 103.214422) + (xy 55.879055 103.194457) + (xy 55.992771 103.136516) + (xy 56.083016 103.046271) + (xy 56.140957 102.932555) + (xy 56.160922 102.8065) + (xy 56.156826 102.780638) + (xy 56.1559 102.768874) + (xy 56.1559 102.425998) + (xy 56.162308 102.395623) + (xy 56.198157 102.314432) + (xy 56.202962 102.30355) + (xy 56.2059 102.278226) + (xy 56.2059 101.558) + (xy 56.5706 101.558) + (xy 56.5706 102.27815) + (xy 56.573532 102.303432) + (xy 56.619199 102.406857) + (xy 56.619201 102.40686) + (xy 56.699139 102.486798) + (xy 56.699142 102.4868) + (xy 56.802567 102.532467) + (xy 56.82785 102.5354) + (xy 56.873 102.5354) + (xy 56.873 101.558) + (xy 57.173 101.558) + (xy 57.173 102.5354) + (xy 57.21815 102.5354) + (xy 57.243432 102.532467) + (xy 57.346857 102.4868) + (xy 57.34686 102.486798) + (xy 57.426798 102.40686) + (xy 57.4268 102.406857) + (xy 57.472467 102.303432) + (xy 57.475392 102.278223) + (xy 57.8401 102.278223) + (xy 57.843037 102.303548) + (xy 57.88878 102.407145) + (xy 57.888782 102.407148) + (xy 57.96885 102.487216) + (xy 57.968852 102.487217) + (xy 57.968855 102.48722) + (xy 58.020275 102.509923) + (xy 58.057387 102.545542) + (xy 58.0651 102.578716) + (xy 58.0651 102.798562) + (xy 58.064997 102.802498) + (xy 58.062876 102.842948) + (xy 58.071688 102.865902) + (xy 58.075039 102.877215) + (xy 58.080151 102.901263) + (xy 58.080152 102.901266) + (xy 58.085169 102.908171) + (xy 58.094536 102.925422) + (xy 58.097596 102.933395) + (xy 58.097597 102.933396) + (xy 58.114985 102.950784) + (xy 58.122644 102.959752) + (xy 58.137097 102.979644) + (xy 58.137099 102.979646) + (xy 58.144495 102.983916) + (xy 58.160066 102.995865) + (xy 58.634231 103.47003) + (xy 58.636942 103.472886) + (xy 58.659056 103.497447) + (xy 58.664051 103.502994) + (xy 58.686516 103.512995) + (xy 58.696887 103.518627) + (xy 58.717502 103.532016) + (xy 58.725928 103.53335) + (xy 58.744759 103.538928) + (xy 58.752553 103.542398) + (xy 58.752555 103.542398) + (xy 58.752558 103.5424) + (xy 58.777152 103.5424) + (xy 58.788915 103.543325) + (xy 58.813194 103.547171) + (xy 58.818253 103.545815) + (xy 58.82144 103.544962) + (xy 58.840901 103.5424) + (xy 59.500953 103.5424) + (xy 59.549291 103.559993) + (xy 59.554127 103.564426) + (xy 64.774074 108.784373) + (xy 64.795814 108.830993) + (xy 64.7961 108.837547) + (xy 64.7961 109.28495) + (xy 64.778507 109.333288) + (xy 64.774074 109.338125) + (xy 64.744007 109.368191) + (xy 64.697386 109.38993) + (xy 64.67907 109.38929) + (xy 64.643002 109.383578) + (xy 64.642999 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.235078 109.791499) + (xy 64.235078 109.7915) + (xy 64.255043 109.917556) + (xy 64.312932 110.031168) + (xy 64.312984 110.031271) + (xy 64.403229 110.121516) + (xy 64.446617 110.143623) + (xy 64.500439 110.171047) + (xy 64.535521 110.208668) + (xy 64.538213 110.260038) + (xy 64.519473 110.291225) + (xy 64.426508 110.38419) + (xy 64.379888 110.40593) + (xy 64.361572 110.40529) + (xy 64.325503 110.399578) + (xy 64.325499 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.994206 111.044763) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.733422 110.8075) + (xy 64.727708 110.771427) + (xy 64.737522 110.720933) + (xy 64.748804 110.706493) + (xy 65.497058 109.95824) + (xy 65.499875 109.955566) + (xy 65.529994 109.928449) + (xy 65.539992 109.90599) + (xy 65.545626 109.895614) + (xy 65.559016 109.874997) + (xy 65.559016 109.874995) + (xy 65.56035 109.866572) + (xy 65.56593 109.847734) + (xy 65.566928 109.845493) + (xy 65.5694 109.839942) + (xy 65.5694 109.815346) + (xy 65.570326 109.803581) + (xy 65.570434 109.8029) + (xy 65.574171 109.779306) + (xy 65.573629 109.777284) + (xy 65.571962 109.771059) + (xy 65.5694 109.751599) + (xy 65.5694 108.592936) + (xy 65.569503 108.588999) + (xy 65.571623 108.548555) + (xy 65.571622 108.548554) + (xy 65.571623 108.548552) + (xy 65.562806 108.525586) + (xy 65.55946 108.514288) + (xy 65.554348 108.490234) + (xy 65.54933 108.483327) + (xy 65.539963 108.466075) + (xy 65.536903 108.458104) + (xy 65.536902 108.458102) + (xy 65.519518 108.440718) + (xy 65.511855 108.431747) + (xy 65.497401 108.411854) + (xy 65.496793 108.411503) + (xy 65.490003 108.407582) + (xy 65.474433 108.395634) + (xy 59.986308 102.907508) + (xy 59.964568 102.860888) + (xy 59.965207 102.842579) + (xy 59.970922 102.8065) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.893015 102.566728) + (xy 59.890329 102.561456) + (xy 59.892314 102.560444) + (xy 59.880661 102.519779) + (xy 59.90159 102.47279) + (xy 59.902445 102.471919) + (xy 59.96722 102.407145) + (xy 60.012962 102.30355) + (xy 60.0159 102.278226) + (xy 60.0159 101.558) + (xy 60.3806 101.558) + (xy 60.3806 102.27815) + (xy 60.383532 102.303432) + (xy 60.429199 102.406857) + (xy 60.429201 102.40686) + (xy 60.509139 102.486798) + (xy 60.509142 102.4868) + (xy 60.612567 102.532467) + (xy 60.63785 102.5354) + (xy 60.683 102.5354) + (xy 60.683 101.558) + (xy 60.983 101.558) + (xy 60.983 102.5354) + (xy 61.02815 102.5354) + (xy 61.053432 102.532467) + (xy 61.156857 102.4868) + (xy 61.15686 102.486798) + (xy 61.236798 102.40686) + (xy 61.2368 102.406857) + (xy 61.282467 102.303432) + (xy 61.285391 102.278226) + (xy 61.6501 102.278226) + (xy 61.651289 102.288471) + (xy 61.653038 102.30355) + (xy 61.653038 102.303552) + (xy 61.693692 102.395623) + (xy 61.7001 102.425998) + (xy 61.7001 102.768874) + (xy 61.699174 102.780638) + (xy 61.695078 102.806499) + (xy 61.695078 102.8065) + (xy 61.715043 102.932556) + (xy 61.763846 103.028336) + (xy 61.772984 103.046271) + (xy 61.863229 103.136516) + (xy 61.976943 103.194456) + (xy 61.976945 103.194457) + (xy 62.103 103.214422) + (xy 62.229055 103.194457) + (xy 62.342771 103.136516) + (xy 62.433016 103.046271) + (xy 62.490957 102.932555) + (xy 62.510922 102.8065) + (xy 62.506826 102.780638) + (xy 62.5059 102.768874) + (xy 62.5059 102.425998) + (xy 62.512308 102.395623) + (xy 62.548157 102.314432) + (xy 62.552962 102.30355) + (xy 62.5559 102.278226) + (xy 62.5559 101.8861) + (xy 62.573493 101.837762) + (xy 62.618042 101.812042) + (xy 62.6311 101.8109) + (xy 62.722298 101.8109) + (xy 62.734063 101.811826) + (xy 62.737687 101.8124) + (xy 62.763875 101.8124) + (xy 62.775638 101.813325) + (xy 62.8015 101.817422) + (xy 62.827361 101.813325) + (xy 62.839125 101.8124) + (xy 63.197498 101.8124) + (xy 63.245836 101.829993) + (xy 63.250672 101.834426) + (xy 63.339737 101.923491) + (xy 63.339738 101.923491) + (xy 63.339739 101.923492) + (xy 63.372426 101.939471) + (xy 63.408125 101.976504) + (xy 63.4146 102.00703) + (xy 63.4146 102.197374) + (xy 63.413674 102.209138) + (xy 63.410703 102.2279) + (xy 63.409578 102.235) + (xy 63.411019 102.244101) + (xy 63.4146 102.266706) + (xy 63.4146 102.266707) + (xy 63.418047 102.288471) + (xy 63.429543 102.361056) + (xy 63.484457 102.468831) + (xy 63.487484 102.474771) + (xy 63.577729 102.565016) + (xy 63.691443 102.622956) + (xy 63.691445 102.622957) + (xy 63.8175 102.642922) + (xy 63.943555 102.622957) + (xy 64.057271 102.565016) + (xy 64.147516 102.474771) + (xy 64.205457 102.361055) + (xy 64.216953 102.28847) + (xy 64.2204 102.266708) + (xy 64.2204 102.266707) + (xy 64.225422 102.235) + (xy 64.224297 102.2279) + (xy 64.221326 102.209138) + (xy 64.2204 102.197374) + (xy 64.2204 102.00703) + (xy 64.237993 101.958692) + (xy 64.262572 101.939471) + (xy 64.295261 101.923492) + (xy 64.338468 101.880285) + (xy 64.384328 101.834426) + (xy 64.430948 101.812686) + (xy 64.437502 101.8124) + (xy 64.579812 101.8124) + (xy 64.579813 101.8124) + (xy 64.604722 101.804305) + (xy 64.616173 101.801555) + (xy 64.642055 101.797457) + (xy 64.665397 101.785563) + (xy 64.676284 101.781054) + (xy 64.701193 101.772961) + (xy 64.722378 101.757568) + (xy 64.73243 101.751407) + (xy 64.755771 101.739516) + (xy 64.846016 101.649271) + (xy 64.852201 101.643086) + (xy 64.852208 101.643076) + (xy 64.940076 101.555208) + (xy 64.940086 101.555201) + (xy 65.036514 101.458773) + (xy 65.036516 101.458771) + (xy 65.048407 101.435431) + (xy 65.054567 101.425379) + (xy 65.069962 101.404192) + (xy 65.078052 101.379291) + (xy 65.082569 101.368384) + (xy 65.084583 101.364432) + (xy 65.094457 101.345055) + (xy 65.098555 101.319174) + (xy 65.101302 101.307734) + (xy 65.1094 101.282813) + (xy 65.1094 101.256624) + (xy 65.110326 101.244859) + (xy 65.114422 101.219) + (xy 65.114422 101.218999) + (xy 65.110326 101.193138) + (xy 65.1094 101.181374) + (xy 65.1094 101.155188) + (xy 65.109399 101.155185) + (xy 65.107714 101.15) + (xy 65.101307 101.13028) + (xy 65.098552 101.118809) + (xy 65.094457 101.092945) + (xy 65.082568 101.069613) + (xy 65.078053 101.058711) + (xy 65.07714 101.055902) + (xy 65.069962 101.033807) + (xy 65.068576 101.0319) + (xy 65.054567 101.012618) + (xy 65.048403 101.00256) + (xy 65.036516 100.979229) + (xy 65.017997 100.96071) + (xy 65.010337 100.951741) + (xy 65.009071 100.949999) + (xy 64.994945 100.930555) + (xy 64.973753 100.915158) + (xy 64.964785 100.907498) + (xy 64.946271 100.888984) + (xy 64.94568 100.888682) + (xy 64.922941 100.877096) + (xy 64.91288 100.870931) + (xy 64.891695 100.855539) + (xy 64.891693 100.855538) + (xy 64.866783 100.847444) + (xy 64.855884 100.842929) + (xy 64.83256 100.831045) + (xy 64.832552 100.831042) + (xy 64.806694 100.826947) + (xy 64.795223 100.824193) + (xy 64.770314 100.8161) + (xy 64.770313 100.8161) + (xy 64.744125 100.8161) + (xy 64.732361 100.815174) + (xy 64.7065 100.811078) + (xy 64.680639 100.815174) + (xy 64.668875 100.8161) + (xy 64.642682 100.8161) + (xy 64.617781 100.824191) + (xy 64.606312 100.826945) + (xy 64.580443 100.831043) + (xy 64.557111 100.842931) + (xy 64.546214 100.847444) + (xy 64.521312 100.855536) + (xy 64.521305 100.855539) + (xy 64.500117 100.870933) + (xy 64.490061 100.877095) + (xy 64.485328 100.879507) + (xy 64.466726 100.888985) + (xy 64.466725 100.888986) + (xy 64.430907 100.924805) + (xy 64.384287 100.946545) + (xy 64.3346 100.933231) + (xy 64.324559 100.924805) + (xy 64.295264 100.89551) + (xy 64.295262 100.895509) + (xy 64.295261 100.895508) + (xy 64.185703 100.841949) + (xy 64.114682 100.8316) + (xy 64.114674 100.8316) + (xy 63.520326 100.8316) + (xy 63.520317 100.8316) + (xy 63.449297 100.841949) + (xy 63.370517 100.880462) + (xy 63.339735 100.89551) + (xy 63.250672 100.984574) + (xy 63.204052 101.006314) + (xy 63.197498 101.0066) + (xy 62.879202 101.0066) + (xy 62.867437 101.005674) + (xy 62.863813 101.0051) + (xy 62.831708 101.0051) + (xy 62.829655 101.0051) + (xy 62.817891 101.004174) + (xy 62.801501 101.001578) + (xy 62.801499 101.001578) + (xy 62.785109 101.004174) + (xy 62.773345 101.0051) + (xy 62.6311 101.0051) + (xy 62.582762 100.987507) + (xy 62.557042 100.942958) + (xy 62.5559 100.9299) + (xy 62.5559 100.537776) + (xy 62.555434 100.533763) + (xy 62.552962 100.51245) + (xy 62.512306 100.420375) + (xy 62.5059 100.390001) + (xy 62.5059 100.0595) + (xy 63.190101 100.0595) + (xy 63.190101 100.156642) + (xy 63.200434 100.227559) + (xy 63.253921 100.336969) + (xy 63.34003 100.423078) + (xy 63.449441 100.476565) + (xy 63.44944 100.476565) + (xy 63.520363 100.486899) + (xy 63.6675 100.486899) + (xy 63.6675 100.0595) + (xy 63.9675 100.0595) + (xy 63.9675 100.486899) + (xy 64.11463 100.486899) + (xy 64.114642 100.486898) + (xy 64.185559 100.476565) + (xy 64.294969 100.423078) + (xy 64.381078 100.336969) + (xy 64.434565 100.227558) + (xy 64.444899 100.156641) + (xy 64.4449 100.156631) + (xy 64.4449 100.0595) + (xy 63.9675 100.0595) + (xy 63.6675 100.0595) + (xy 63.190101 100.0595) + (xy 62.5059 100.0595) + (xy 62.5059 100.050124) + (xy 62.506826 100.038359) + (xy 62.508467 100.028003) + (xy 62.510922 100.0125) + (xy 62.508698 99.998461) + (xy 62.50546 99.978016) + (xy 62.490957 99.886445) + (xy 62.433016 99.772729) + (xy 62.419787 99.7595) + (xy 63.1901 99.7595) + (xy 63.6675 99.7595) + (xy 63.6675 99.3321) + (xy 63.9675 99.3321) + (xy 63.9675 99.7595) + (xy 64.444899 99.7595) + (xy 64.444899 99.662369) + (xy 64.444898 99.662357) + (xy 64.434565 99.59144) + (xy 64.381078 99.48203) + (xy 64.294969 99.395921) + (xy 64.185558 99.342434) + (xy 64.185559 99.342434) + (xy 64.114641 99.3321) + (xy 63.9675 99.3321) + (xy 63.6675 99.3321) + (xy 63.52037 99.3321) + (xy 63.520357 99.332101) + (xy 63.44944 99.342434) + (xy 63.34003 99.395921) + (xy 63.253921 99.48203) + (xy 63.200434 99.591441) + (xy 63.1901 99.662358) + (xy 63.1901 99.7595) + (xy 62.419787 99.7595) + (xy 62.342771 99.682484) + (xy 62.229055 99.624543) + (xy 62.229057 99.624543) + (xy 62.103 99.604578) + (xy 61.976943 99.624543) + (xy 61.863228 99.682484) + (xy 61.772984 99.772728) + (xy 61.715043 99.886443) + (xy 61.697267 99.998677) + (xy 61.695078 100.0125) + (xy 61.697533 100.028003) + (xy 61.699174 100.038359) + (xy 61.7001 100.050124) + (xy 61.7001 100.390001) + (xy 61.693693 100.420376) + (xy 61.653037 100.512451) + (xy 61.651704 100.523945) + (xy 61.6501 100.537774) + (xy 61.6501 102.278226) + (xy 61.285391 102.278226) + (xy 61.2854 102.27815) + (xy 61.2854 101.558) + (xy 60.983 101.558) + (xy 60.683 101.558) + (xy 60.3806 101.558) + (xy 60.0159 101.558) + (xy 60.0159 101.258) + (xy 60.3806 101.258) + (xy 60.683 101.258) + (xy 60.683 100.2806) + (xy 60.983 100.2806) + (xy 60.983 101.258) + (xy 61.2854 101.258) + (xy 61.2854 100.537849) + (xy 61.282467 100.512567) + (xy 61.2368 100.409142) + (xy 61.236798 100.409139) + (xy 61.15686 100.329201) + (xy 61.156857 100.329199) + (xy 61.053432 100.283532) + (xy 61.02815 100.2806) + (xy 60.983 100.2806) + (xy 60.683 100.2806) + (xy 60.63785 100.2806) + (xy 60.612567 100.283532) + (xy 60.509142 100.329199) + (xy 60.509139 100.329201) + (xy 60.429201 100.409139) + (xy 60.429199 100.409142) + (xy 60.383532 100.512567) + (xy 60.3806 100.537849) + (xy 60.3806 101.258) + (xy 60.0159 101.258) + (xy 60.0159 100.537774) + (xy 60.012962 100.51245) + (xy 59.96722 100.408855) + (xy 59.967219 100.408854) + (xy 59.967217 100.408851) + (xy 59.887148 100.328782) + (xy 59.887141 100.328777) + (xy 59.835725 100.306075) + (xy 59.798613 100.270457) + (xy 59.7909 100.237283) + (xy 59.7909 99.884047) + (xy 59.808493 99.835709) + (xy 59.812926 99.830873) + (xy 60.460873 99.182926) + (xy 60.507493 99.161186) + (xy 60.514047 99.1609) + (xy 62.920564 99.1609) + (xy 62.9245 99.161003) + (xy 62.964947 99.163123) + (xy 62.964947 99.163122) + (xy 62.964948 99.163123) + (xy 62.987903 99.15431) + (xy 62.999222 99.150958) + (xy 63.023261 99.145849) + (xy 63.02326 99.145849) + (xy 63.023266 99.145848) + (xy 63.030174 99.140828) + (xy 63.047421 99.131464) + (xy 63.055396 99.128403) + (xy 63.072789 99.111009) + (xy 63.081748 99.103357) + (xy 63.101646 99.088901) + (xy 63.105915 99.081505) + (xy 63.117862 99.065935) + (xy 63.973057 98.210741) + (xy 63.975875 98.208066) + (xy 64.005994 98.180949) + (xy 64.015996 98.15848) + (xy 64.021624 98.148115) + (xy 64.035016 98.127496) + (xy 64.03635 98.119071) + (xy 64.04193 98.100234) + (xy 64.0454 98.092442) + (xy 64.0454 98.067846) + (xy 64.046326 98.056081) + (xy 64.050171 98.031806) + (xy 64.048637 98.02608) + (xy 64.047962 98.023559) + (xy 64.0454 98.004099) + (xy 64.0454 97.8346) + (xy 64.062993 97.786262) + (xy 64.107542 97.760542) + (xy 64.1206 97.7594) + (xy 64.155918 97.7594) + (xy 64.15592 97.7594) + (xy 64.203676 97.753113) + (xy 64.285353 97.715026) + (xy 64.308473 97.704245) + (xy 64.308473 97.704244) + (xy 64.308477 97.704243) + (xy 64.390243 97.622477) + (xy 64.392328 97.618007) + (xy 64.439111 97.51768) + (xy 64.439113 97.517676) + (xy 64.4454 97.46992) + (xy 64.4454 97.04308) + (xy 64.439113 96.995324) + (xy 64.439111 96.995319) + (xy 64.390245 96.890526) + (xy 64.390239 96.890518) + (xy 64.308481 96.80876) + (xy 64.308473 96.808754) + (xy 64.20368 96.759888) + (xy 64.203674 96.759886) + (xy 64.168492 96.755255) + (xy 64.15592 96.7536) + (xy 63.47908 96.7536) + (xy 63.46797 96.755062) + (xy 63.431325 96.759886) + (xy 63.431319 96.759888) + (xy 63.326526 96.808754) + (xy 63.326518 96.80876) + (xy 63.24476 96.890518) + (xy 63.244754 96.890526) + (xy 63.195888 96.995319) + (xy 63.195886 96.995325) + (xy 63.191459 97.028956) + (xy 63.1896 97.04308) + (xy 63.1896 97.46992) + (xy 63.1904 97.475998) + (xy 63.195886 97.517674) + (xy 63.195888 97.51768) + (xy 63.244754 97.622473) + (xy 63.24476 97.622481) + (xy 63.326518 97.704239) + (xy 63.326526 97.704245) + (xy 63.431319 97.753111) + (xy 63.431321 97.753111) + (xy 63.431324 97.753113) + (xy 63.47908 97.7594) + (xy 63.5144 97.7594) + (xy 63.562738 97.776993) + (xy 63.588458 97.821542) + (xy 63.5896 97.8346) + (xy 63.5896 97.918452) + (xy 63.572007 97.96679) + (xy 63.567574 97.971626) + (xy 62.856126 98.683074) + (xy 62.809506 98.704814) + (xy 62.802952 98.7051) + (xy 60.396429 98.7051) + (xy 60.392492 98.704997) + (xy 60.352051 98.702876) + (xy 60.329097 98.711688) + (xy 60.317786 98.715039) + (xy 60.293735 98.720151) + (xy 60.293729 98.720154) + (xy 60.286824 98.725171) + (xy 60.269585 98.734532) + (xy 60.261604 98.737596) + (xy 60.244212 98.754987) + (xy 60.235246 98.762645) + (xy 60.215354 98.777098) + (xy 60.215352 98.777101) + (xy 60.211083 98.784495) + (xy 60.199134 98.800065) + (xy 59.407456 99.591742) + (xy 59.404602 99.594452) + (xy 59.374504 99.621552) + (xy 59.364507 99.644009) + (xy 59.358877 99.654378) + (xy 59.345485 99.675001) + (xy 59.345483 99.675006) + (xy 59.344148 99.683435) + (xy 59.338574 99.702254) + (xy 59.3351 99.710057) + (xy 59.3351 99.734652) + (xy 59.334174 99.746416) + (xy 59.330329 99.770691) + (xy 59.330329 99.770694) + (xy 59.332538 99.778938) + (xy 59.3351 99.7984) + (xy 59.3351 100.237283) + (xy 59.317507 100.285621) + (xy 59.290275 100.306075) + (xy 59.238858 100.328777) + (xy 59.238851 100.328782) + (xy 59.158782 100.408851) + (xy 59.15878 100.408854) + (xy 59.113037 100.512451) + (xy 59.1101 100.537776) + (xy 59.1101 102.278223) + (xy 59.113037 102.303548) + (xy 59.15878 102.407145) + (xy 59.158782 102.407148) + (xy 59.223496 102.471862) + (xy 59.245236 102.518482) + (xy 59.233955 102.560581) + (xy 59.235671 102.561456) + (xy 59.232984 102.566728) + (xy 59.232984 102.566729) + (xy 59.226214 102.580016) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.197821 102.97726) + (xy 59.20409 103.028316) + (xy 59.176073 103.071457) + (xy 59.130817 103.0866) + (xy 58.926548 103.0866) + (xy 58.87821 103.069007) + (xy 58.873373 103.064574) + (xy 58.542925 102.734125) + (xy 58.521186 102.687505) + (xy 58.5209 102.680951) + (xy 58.5209 102.578716) + (xy 58.538493 102.530378) + (xy 58.565724 102.509924) + (xy 58.617145 102.48722) + (xy 58.69722 102.407145) + (xy 58.742962 102.30355) + (xy 58.7459 102.278226) + (xy 58.7459 100.537774) + (xy 58.742962 100.51245) + (xy 58.69722 100.408855) + (xy 58.697219 100.408854) + (xy 58.697217 100.408851) + (xy 58.617148 100.328782) + (xy 58.617145 100.32878) + (xy 58.513548 100.283037) + (xy 58.4941 100.280781) + (xy 58.488226 100.2801) + (xy 58.097774 100.2801) + (xy 58.09251 100.28071) + (xy 58.072451 100.283037) + (xy 57.968854 100.32878) + (xy 57.968851 100.328782) + (xy 57.888782 100.408851) + (xy 57.88878 100.408854) + (xy 57.843037 100.512451) + (xy 57.8401 100.537776) + (xy 57.8401 102.278223) + (xy 57.475392 102.278223) + (xy 57.4754 102.27815) + (xy 57.4754 101.558) + (xy 57.173 101.558) + (xy 56.873 101.558) + (xy 56.5706 101.558) + (xy 56.2059 101.558) + (xy 56.2059 101.258) + (xy 56.5706 101.258) + (xy 56.873 101.258) + (xy 56.873 100.2806) + (xy 57.173 100.2806) + (xy 57.173 101.258) + (xy 57.4754 101.258) + (xy 57.4754 100.537849) + (xy 57.472467 100.512567) + (xy 57.4268 100.409142) + (xy 57.426798 100.409139) + (xy 57.34686 100.329201) + (xy 57.346857 100.329199) + (xy 57.243432 100.283532) + (xy 57.21815 100.2806) + (xy 57.173 100.2806) + (xy 56.873 100.2806) + (xy 56.82785 100.2806) + (xy 56.802567 100.283532) + (xy 56.699142 100.329199) + (xy 56.699139 100.329201) + (xy 56.619201 100.409139) + (xy 56.619199 100.409142) + (xy 56.573532 100.512567) + (xy 56.5706 100.537849) + (xy 56.5706 101.258) + (xy 56.2059 101.258) + (xy 56.2059 100.537774) + (xy 56.202962 100.51245) + (xy 56.15722 100.408855) + (xy 56.157219 100.408854) + (xy 56.157217 100.408851) + (xy 56.077148 100.328782) + (xy 56.077145 100.32878) + (xy 55.973548 100.283037) + (xy 55.9541 100.280781) + (xy 55.948226 100.2801) + (xy 55.557774 100.2801) + (xy 55.55251 100.28071) + (xy 55.532451 100.283037) + (xy 55.428854 100.32878) + (xy 55.428851 100.328782) + (xy 55.348782 100.408851) + (xy 55.34878 100.408854) + (xy 55.303037 100.512451) + (xy 55.301704 100.523945) + (xy 55.3001 100.537774) + (xy 55.3001 102.278226) + (xy 54.9359 102.278226) + (xy 54.9359 100.537774) + (xy 54.932962 100.51245) + (xy 54.88722 100.408855) + (xy 54.887219 100.408854) + (xy 54.887217 100.408851) + (xy 54.807148 100.328782) + (xy 54.807145 100.32878) + (xy 54.703548 100.283037) + (xy 54.6841 100.280781) + (xy 54.678226 100.2801) + (xy 54.287774 100.2801) + (xy 54.28251 100.28071) + (xy 54.262451 100.283037) + (xy 54.158854 100.32878) + (xy 54.158851 100.328782) + (xy 54.078782 100.408851) + (xy 54.07878 100.408854) + (xy 54.033037 100.512451) + (xy 54.031704 100.523945) + (xy 54.0301 100.537774) + (xy 54.0301 102.278226) + (xy 53.6659 102.278226) + (xy 53.6659 100.537774) + (xy 53.662962 100.51245) + (xy 53.61722 100.408855) + (xy 53.617219 100.408854) + (xy 53.617217 100.408851) + (xy 53.537148 100.328782) + (xy 53.537145 100.32878) + (xy 53.433548 100.283037) + (xy 53.4141 100.280781) + (xy 53.408226 100.2801) + (xy 53.017774 100.2801) + (xy 53.01251 100.28071) + (xy 52.992451 100.283037) + (xy 52.888854 100.32878) + (xy 52.888851 100.328782) + (xy 52.808782 100.408851) + (xy 52.80878 100.408854) + (xy 52.763037 100.512451) + (xy 52.7601 100.537776) + (xy 52.7601 102.278223) + (xy 50.502399 102.278223) + (xy 50.502399 102.206758) + (xy 50.491738 102.11798) + (xy 50.436033 101.976721) + (xy 50.436031 101.976718) + (xy 50.344277 101.855722) + (xy 50.223281 101.763968) + (xy 50.223278 101.763966) + (xy 50.08202 101.708261) + (xy 49.993243 101.6976) + (xy 49.7 101.6976) + (xy 49.4 101.6976) + (xy 49.106758 101.6976) + (xy 49.01798 101.708261) + (xy 48.876721 101.763966) + (xy 48.876718 101.763968) + (xy 48.755722 101.855722) + (xy 48.663968 101.976718) + (xy 48.663966 101.976721) + (xy 48.608261 102.117979) + (xy 48.5976 102.206757) + (xy 48.5976 102.75) + (xy 47.952379 102.75) + (xy 47.929637 102.720362) + (xy 47.8038 102.623806) + (xy 47.657259 102.563105) + (xy 47.539481 102.5476) + (xy 47.15 102.5476) + (xy 46.85 102.5476) + (xy 46.460519 102.5476) + (xy 46.34274 102.563105) + (xy 46.204978 102.62017) + (xy 46.153587 102.622414) + (xy 46.112777 102.591099) + (xy 46.101 102.550694) + (xy 46.101 102.084992) + (xy 46.118593 102.036654) + (xy 46.163142 102.010934) + (xy 46.2138 102.019867) + (xy 46.214481 102.020265) + (xy 46.273848 102.055375) + (xy 46.273847 102.055375) + (xy 46.42607 102.0996) + (xy 46.42608 102.099602) + (xy 46.46163 102.102399) + (xy 46.461637 102.1024) + (xy 46.85 102.1024) + (xy 46.85 101.15) + (xy 47.15 101.15) + (xy 47.15 102.1024) + (xy 47.538363 102.1024) + (xy 47.538369 102.102399) + (xy 47.573919 102.099602) + (xy 47.573929 102.0996) + (xy 47.72615 102.055375) + (xy 47.862594 101.974683) + (xy 47.862601 101.974677) + (xy 47.974677 101.862601) + (xy 47.974683 101.862594) + (xy 48.055375 101.72615) + (xy 48.0996 101.573929) + (xy 48.099602 101.573919) + (xy 48.102399 101.538369) + (xy 48.1024 101.538362) + (xy 48.1024 101.25) + (xy 48.852601 101.25) + (xy 48.862244 101.298481) + (xy 48.91803 101.381969) + (xy 49.001517 101.437755) + (xy 49.001519 101.437756) + (xy 49.075138 101.452399) + (xy 49.525 101.452399) + (xy 49.525 101.25) + (xy 49.825 101.25) + (xy 49.825 101.452399) + (xy 50.27486 101.452399) + (xy 50.274861 101.452398) + (xy 50.348481 101.437755) + (xy 50.431969 101.381969) + (xy 50.487756 101.29848) + (xy 50.497399 101.25) + (xy 49.825 101.25) + (xy 49.525 101.25) + (xy 48.852601 101.25) + (xy 48.1024 101.25) + (xy 48.1024 101.15) + (xy 47.15 101.15) + (xy 46.85 101.15) + (xy 46.85 99.8976) + (xy 47.15 99.8976) + (xy 47.15 100.85) + (xy 48.1024 100.85) + (xy 48.1024 100.574911) + (xy 48.8471 100.574911) + (xy 48.847101 100.574912) + (xy 48.861636 100.647987) + (xy 48.861773 100.648677) + (xy 48.917669 100.732331) + (xy 48.91767 100.732331) + (xy 48.918565 100.733671) + (xy 48.930791 100.783637) + (xy 48.918564 100.817229) + (xy 48.862244 100.901516) + (xy 48.862243 100.901519) + (xy 48.8526 100.949999) + (xy 48.8526 100.95) + (xy 50.497399 100.95) + (xy 50.487755 100.901518) + (xy 50.431434 100.81723) + (xy 50.419207 100.767264) + (xy 50.431434 100.73367) + (xy 50.432326 100.732333) + (xy 50.432331 100.732331) + (xy 50.488227 100.648677) + (xy 50.5029 100.574911) + (xy 50.502899 100.32509) + (xy 50.488227 100.251323) + (xy 50.432331 100.167669) + (xy 50.432329 100.167668) + (xy 50.431735 100.166778) + (xy 50.419509 100.116812) + (xy 50.431736 100.083219) + (xy 50.432328 100.082332) + (xy 50.432331 100.082331) + (xy 50.446371 100.061318) + (xy 50.487853 100.030904) + (xy 50.508895 100.0279) + (xy 55.068564 100.0279) + (xy 55.0725 100.028003) + (xy 55.112947 100.030123) + (xy 55.112947 100.030122) + (xy 55.112948 100.030123) + (xy 55.135903 100.02131) + (xy 55.147222 100.017958) + (xy 55.171261 100.012849) + (xy 55.17126 100.012849) + (xy 55.171266 100.012848) + (xy 55.178174 100.007828) + (xy 55.195421 99.998464) + (xy 55.203396 99.995403) + (xy 55.220789 99.978009) + (xy 55.229748 99.970357) + (xy 55.249646 99.955901) + (xy 55.253915 99.948505) + (xy 55.265862 99.932935) + (xy 57.178557 98.020241) + (xy 57.181375 98.017566) + (xy 57.211494 97.990449) + (xy 57.221496 97.96798) + (xy 57.22713 97.957607) + (xy 57.228168 97.956009) + (xy 57.240516 97.936996) + (xy 57.241851 97.928561) + (xy 57.247424 97.909748) + (xy 57.2509 97.901942) + (xy 57.2509 97.877352) + (xy 57.251826 97.865586) + (xy 57.25304 97.857922) + (xy 57.255672 97.841306) + (xy 57.25346 97.833053) + (xy 57.2509 97.813595) + (xy 57.2509 97.628716) + (xy 57.268493 97.580378) + (xy 57.295724 97.559924) + (xy 57.347145 97.53722) + (xy 57.42722 97.457145) + (xy 57.472962 97.35355) + (xy 57.4759 97.328226) + (xy 57.4759 95.587774) + (xy 57.472962 95.56245) + (xy 57.42722 95.458855) + (xy 57.427219 95.458854) + (xy 57.427217 95.458851) + (xy 57.347148 95.378782) + (xy 57.347145 95.37878) + (xy 57.243548 95.333037) + (xy 57.2241 95.330781) + (xy 57.218226 95.3301) + (xy 56.827774 95.3301) + (xy 56.82251 95.33071) + (xy 56.802451 95.333037) + (xy 56.698854 95.37878) + (xy 56.698851 95.378782) + (xy 56.618782 95.458851) + (xy 56.61878 95.458854) + (xy 56.573037 95.562451) + (xy 56.5701 95.587776) + (xy 56.5701 97.328223) + (xy 56.573037 97.353548) + (xy 56.61878 97.457145) + (xy 56.618782 97.457148) + (xy 56.69885 97.537216) + (xy 56.698852 97.537217) + (xy 56.698855 97.53722) + (xy 56.750275 97.559923) + (xy 56.787387 97.595542) + (xy 56.7951 97.628716) + (xy 56.7951 97.727952) + (xy 56.777507 97.77629) + (xy 56.773074 97.781126) + (xy 56.109274 98.444926) + (xy 56.062654 98.466666) + (xy 56.012967 98.453352) + (xy 55.983462 98.411215) + (xy 55.9809 98.391752) + (xy 55.9809 97.628716) + (xy 55.998493 97.580378) + (xy 56.025724 97.559924) + (xy 56.077145 97.53722) + (xy 56.15722 97.457145) + (xy 56.202962 97.35355) + (xy 56.2059 97.328226) + (xy 56.2059 95.587774) + (xy 56.202962 95.56245) + (xy 56.15722 95.458855) + (xy 56.157219 95.458854) + (xy 56.157217 95.458851) + (xy 56.077148 95.378782) + (xy 56.077145 95.37878) + (xy 55.973548 95.333037) + (xy 55.9541 95.330781) + (xy 55.948226 95.3301) + (xy 55.557774 95.3301) + (xy 55.55251 95.33071) + (xy 55.532451 95.333037) + (xy 55.428854 95.37878) + (xy 55.428851 95.378782) + (xy 55.348782 95.458851) + (xy 55.34878 95.458854) + (xy 55.303037 95.562451) + (xy 55.3001 95.587776) + (xy 55.3001 97.328223) + (xy 55.303037 97.353548) + (xy 55.34878 97.457145) + (xy 55.348782 97.457148) + (xy 55.42885 97.537216) + (xy 55.428852 97.537217) + (xy 55.428855 97.53722) + (xy 55.480275 97.559923) + (xy 55.517387 97.595542) + (xy 55.5251 97.628716) + (xy 55.5251 98.553452) + (xy 55.507507 98.60179) + (xy 55.503074 98.606626) + (xy 54.859626 99.250074) + (xy 54.813006 99.271814) + (xy 54.806452 99.2721) + (xy 54.683399 99.2721) + (xy 54.663941 99.269538) + (xy 54.658571 99.268099) + (xy 54.616436 99.238596) + (xy 54.605397 99.214918) + (xy 54.603958 99.209549) + (xy 54.6014 99.1901) + (xy 54.6014 99.131665) + (xy 54.601393 99.131537) + (xy 54.601393 99.11803) + (xy 54.601394 99.100132) + (xy 54.574925 98.984154) + (xy 54.574921 98.984146) + (xy 54.523311 98.876972) + (xy 54.486784 98.831168) + (xy 54.449143 98.783966) + (xy 54.356137 98.709795) + (xy 54.248958 98.658179) + (xy 54.13298 98.631707) + (xy 54.132977 98.631707) + (xy 54.104656 98.631707) + (xy 54.104637 98.6317) + (xy 54.0735 98.6317) + (xy 54.014019 98.6317) + (xy 53.948748 98.646598) + (xy 53.898038 98.658172) + (xy 53.898034 98.658174) + (xy 53.790862 98.709787) + (xy 53.790856 98.709791) + (xy 53.697852 98.78396) + (xy 53.62368 98.876972) + (xy 53.572069 98.984146) + (xy 53.545599 99.100129) + (xy 53.5456 99.130124) + (xy 53.5456 99.1901) + (xy 53.543038 99.209559) + (xy 53.5416 99.214927) + (xy 53.512096 99.257063) + (xy 53.48843 99.268099) + (xy 53.483062 99.269537) + (xy 53.463601 99.2721) + (xy 51.025548 99.2721) + (xy 50.97721 99.254507) + (xy 50.972373 99.250074) + (xy 50.753574 99.031274) + (xy 50.731835 98.984654) + (xy 50.745149 98.934967) + (xy 50.787286 98.905462) + (xy 50.806749 98.9029) + (xy 51.029998 98.9029) + (xy 51.078336 98.920493) + (xy 51.083172 98.924926) + (xy 51.172235 99.013989) + (xy 51.172236 99.013989) + (xy 51.172239 99.013992) + (xy 51.281797 99.067551) + (xy 51.333848 99.075135) + (xy 51.352817 99.077899) + (xy 51.352822 99.077899) + (xy 51.352826 99.0779) + (xy 51.352828 99.0779) + (xy 51.947172 99.0779) + (xy 51.947174 99.0779) + (xy 51.947178 99.077899) + (xy 51.947182 99.077899) + (xy 51.956151 99.076592) + (xy 52.018203 99.067551) + (xy 52.127761 99.013992) + (xy 52.213992 98.927761) + (xy 52.267551 98.818203) + (xy 52.277488 98.75) + (xy 52.277899 98.747182) + (xy 52.2779 98.747172) + (xy 52.2779 98.252827) + (xy 52.277899 98.252817) + (xy 52.272999 98.219189) + (xy 52.267551 98.181797) + (xy 52.213992 98.072239) + (xy 52.213989 98.072236) + (xy 52.213989 98.072235) + (xy 52.127764 97.98601) + (xy 52.127762 97.986009) + (xy 52.127761 97.986008) + (xy 52.018203 97.932449) + (xy 51.947182 97.9221) + (xy 51.947174 97.9221) + (xy 51.352826 97.9221) + (xy 51.352817 97.9221) + (xy 51.281797 97.932449) + (xy 51.172235 97.98601) + (xy 51.083172 98.075074) + (xy 51.036552 98.096814) + (xy 51.029998 98.0971) + (xy 50.568289 98.0971) + (xy 50.473943 98.112043) + (xy 50.421227 98.138904) + (xy 50.387087 98.1471) + (xy 49.075088 98.1471) + (xy 49.075087 98.147101) + (xy 49.001326 98.161772) + (xy 49.001324 98.161772) + (xy 49.001323 98.161773) + (xy 48.942763 98.200902) + (xy 48.917669 98.217669) + (xy 48.861773 98.301323) + (xy 48.861772 98.301324) + (xy 48.8471 98.375088) + (xy 48.8471 98.624911) + (xy 48.847101 98.624912) + (xy 48.858777 98.683614) + (xy 48.861773 98.698677) + (xy 48.917669 98.782331) + (xy 48.91767 98.782332) + (xy 48.918264 98.78322) + (xy 48.93049 98.833186) + (xy 48.918264 98.866777) + (xy 48.861774 98.95132) + (xy 48.861772 98.951324) + (xy 48.8471 99.025088) + (xy 48.8471 99.274911) + (xy 48.847101 99.274912) + (xy 48.86124 99.345998) + (xy 48.861773 99.348677) + (xy 48.917669 99.432331) + (xy 48.91767 99.432332) + (xy 48.918264 99.43322) + (xy 48.93049 99.483186) + (xy 48.918264 99.516777) + (xy 48.861774 99.60132) + (xy 48.861772 99.601324) + (xy 48.8471 99.675088) + (xy 48.8471 99.924911) + (xy 48.847101 99.924912) + (xy 48.86173 99.998461) + (xy 48.861773 99.998677) + (xy 48.917669 100.082331) + (xy 48.91767 100.082332) + (xy 48.918264 100.08322) + (xy 48.93049 100.133186) + (xy 48.918264 100.166777) + (xy 48.861774 100.25132) + (xy 48.861772 100.251324) + (xy 48.8471 100.325088) + (xy 48.8471 100.574911) + (xy 48.1024 100.574911) + (xy 48.1024 100.461637) + (xy 48.102399 100.46163) + (xy 48.099602 100.42608) + (xy 48.0996 100.42607) + (xy 48.055375 100.273849) + (xy 47.974683 100.137405) + (xy 47.974677 100.137398) + (xy 47.862601 100.025322) + (xy 47.862594 100.025316) + (xy 47.72615 99.944624) + (xy 47.573929 99.900399) + (xy 47.573919 99.900397) + (xy 47.538369 99.8976) + (xy 47.15 99.8976) + (xy 46.85 99.8976) + (xy 46.46163 99.8976) + (xy 46.42608 99.900397) + (xy 46.42607 99.900399) + (xy 46.273849 99.944624) + (xy 46.21448 99.979735) + (xy 46.163918 99.989197) + (xy 46.119103 99.963945) + (xy 46.101004 99.915795) + (xy 46.101 99.915007) + (xy 46.101 99.684992) + (xy 46.118593 99.636654) + (xy 46.163142 99.610934) + (xy 46.2138 99.619867) + (xy 46.214481 99.620265) + (xy 46.273848 99.655375) + (xy 46.273847 99.655375) + (xy 46.42607 99.6996) + (xy 46.42608 99.699602) + (xy 46.46163 99.702399) + (xy 46.461637 99.7024) + (xy 46.85 99.7024) + (xy 46.85 98.75) + (xy 47.15 98.75) + (xy 47.15 99.7024) + (xy 47.538363 99.7024) + (xy 47.538369 99.702399) + (xy 47.573919 99.699602) + (xy 47.573929 99.6996) + (xy 47.72615 99.655375) + (xy 47.862594 99.574683) + (xy 47.862601 99.574677) + (xy 47.974677 99.462601) + (xy 47.974683 99.462594) + (xy 48.055375 99.32615) + (xy 48.0996 99.173929) + (xy 48.099602 99.173919) + (xy 48.102399 99.138369) + (xy 48.1024 99.138362) + (xy 48.1024 98.75) + (xy 47.15 98.75) + (xy 46.85 98.75) + (xy 46.85 97.4976) + (xy 47.15 97.4976) + (xy 47.15 98.45) + (xy 48.1024 98.45) + (xy 48.1024 98.061637) + (xy 48.102399 98.06163) + (xy 48.099602 98.02608) + (xy 48.0996 98.02607) + (xy 48.055375 97.873849) + (xy 47.974683 97.737405) + (xy 47.974677 97.737398) + (xy 47.862601 97.625322) + (xy 47.862594 97.625316) + (xy 47.72615 97.544624) + (xy 47.573929 97.500399) + (xy 47.573919 97.500397) + (xy 47.538369 97.4976) + (xy 47.15 97.4976) + (xy 46.85 97.4976) + (xy 46.46163 97.4976) + (xy 46.42608 97.500397) + (xy 46.42607 97.500399) + (xy 46.273849 97.544624) + (xy 46.21448 97.579735) + (xy 46.163918 97.589197) + (xy 46.119103 97.563945) + (xy 46.101004 97.515795) + (xy 46.101 97.515007) + (xy 46.101 97.049305) + (xy 46.118593 97.000967) + (xy 46.163142 96.975247) + (xy 46.204979 96.97983) + (xy 46.342738 97.036893) + (xy 46.460519 97.0524) + (xy 46.85 97.0524) + (xy 46.85 96.15) + (xy 47.15 96.15) + (xy 47.15 97.0524) + (xy 47.539481 97.0524) + (xy 47.657259 97.036894) + (xy 47.8038 96.976193) + (xy 47.803801 96.976193) + (xy 47.929637 96.879637) + (xy 47.952378 96.85) + (xy 48.597601 96.85) + (xy 48.597601 97.393241) + (xy 48.608261 97.482019) + (xy 48.663966 97.623278) + (xy 48.663968 97.623281) + (xy 48.755722 97.744277) + (xy 48.876718 97.836031) + (xy 48.876721 97.836033) + (xy 49.017979 97.891738) + (xy 49.106757 97.902399) + (xy 49.4 97.902399) + (xy 49.4 96.85) + (xy 49.7 96.85) + (xy 49.7 97.902399) + (xy 49.993242 97.902399) + (xy 50.082019 97.891738) + (xy 50.223278 97.836033) + (xy 50.223281 97.836031) + (xy 50.344277 97.744277) + (xy 50.436031 97.623281) + (xy 50.436033 97.623278) + (xy 50.491738 97.48202) + (xy 50.5024 97.393242) + (xy 50.5024 97.15) + (xy 51.022601 97.15) + (xy 51.022601 97.247142) + (xy 51.032934 97.318059) + (xy 51.086421 97.427469) + (xy 51.17253 97.513578) + (xy 51.281941 97.567065) + (xy 51.28194 97.567065) + (xy 51.352863 97.577399) + (xy 51.5 97.577399) + (xy 51.5 97.15) + (xy 51.8 97.15) + (xy 51.8 97.577399) + (xy 51.94713 97.577399) + (xy 51.947142 97.577398) + (xy 52.018059 97.567065) + (xy 52.127469 97.513578) + (xy 52.213578 97.427469) + (xy 52.267065 97.318058) + (xy 52.277399 97.247141) + (xy 52.2774 97.247131) + (xy 52.2774 97.15) + (xy 51.8 97.15) + (xy 51.5 97.15) + (xy 51.022601 97.15) + (xy 50.5024 97.15) + (xy 50.5024 96.85) + (xy 51.0226 96.85) + (xy 51.5 96.85) + (xy 51.5 96.4226) + (xy 51.8 96.4226) + (xy 51.8 96.85) + (xy 52.277399 96.85) + (xy 52.277399 96.752869) + (xy 52.277398 96.752857) + (xy 52.267065 96.68194) + (xy 52.213578 96.57253) + (xy 52.127469 96.486421) + (xy 52.018058 96.432934) + (xy 52.018059 96.432934) + (xy 51.947141 96.4226) + (xy 51.8 96.4226) + (xy 51.5 96.4226) + (xy 51.35287 96.4226) + (xy 51.352857 96.422601) + (xy 51.28194 96.432934) + (xy 51.17253 96.486421) + (xy 51.086421 96.57253) + (xy 51.032934 96.681941) + (xy 51.0226 96.752858) + (xy 51.0226 96.85) + (xy 50.5024 96.85) + (xy 49.7 96.85) + (xy 49.4 96.85) + (xy 48.597601 96.85) + (xy 47.952378 96.85) + (xy 48.026193 96.753801) + (xy 48.026193 96.7538) + (xy 48.086894 96.607259) + (xy 48.094432 96.55) + (xy 48.5976 96.55) + (xy 49.4 96.55) + (xy 49.4 95.4976) + (xy 49.7 95.4976) + (xy 49.7 96.55) + (xy 50.502399 96.55) + (xy 50.502399 96.006758) + (xy 50.491738 95.91798) + (xy 50.436033 95.776721) + (xy 50.436031 95.776718) + (xy 50.344277 95.655722) + (xy 50.223281 95.563968) + (xy 50.223278 95.563966) + (xy 50.08202 95.508261) + (xy 49.993243 95.4976) + (xy 49.7 95.4976) + (xy 49.4 95.4976) + (xy 49.106758 95.4976) + (xy 49.01798 95.508261) + (xy 48.876721 95.563966) + (xy 48.876718 95.563968) + (xy 48.755722 95.655722) + (xy 48.663968 95.776718) + (xy 48.663966 95.776721) + (xy 48.608261 95.917979) + (xy 48.5976 96.006757) + (xy 48.5976 96.55) + (xy 48.094432 96.55) + (xy 48.1024 96.489481) + (xy 48.1024 96.15) + (xy 47.15 96.15) + (xy 46.85 96.15) + (xy 46.85 94.9476) + (xy 47.15 94.9476) + (xy 47.15 95.85) + (xy 48.1024 95.85) + (xy 48.1024 95.510518) + (xy 48.086894 95.39274) + (xy 48.026193 95.246199) + (xy 48.026193 95.246198) + (xy 47.929637 95.120362) + (xy 47.8038 95.023806) + (xy 47.657259 94.963105) + (xy 47.539481 94.9476) + (xy 47.15 94.9476) + (xy 46.85 94.9476) + (xy 46.460519 94.9476) + (xy 46.34274 94.963105) + (xy 46.204978 95.02017) + (xy 46.153587 95.022414) + (xy 46.112777 94.991099) + (xy 46.101 94.950694) + (xy 46.101 93.606448) + (xy 46.101737 93.599002) + (xy 47.052892 93.599002) + (xy 47.071283 93.797468) + (xy 47.071284 93.797477) + (xy 47.125826 93.989171) + (xy 47.125832 93.989187) + (xy 47.214671 94.1676) + (xy 47.214673 94.167603) + (xy 47.214674 94.167604) + (xy 47.217153 94.170888) + (xy 47.334787 94.32666) + (xy 47.33479 94.326663) + (xy 47.482087 94.460943) + (xy 47.482089 94.460944) + (xy 47.48209 94.460945) + (xy 47.537887 94.495493) + (xy 47.648551 94.564014) + (xy 47.651554 94.565873) + (xy 47.837414 94.637876) + (xy 48.03334 94.6745) + (xy 48.033345 94.6745) + (xy 48.232655 94.6745) + (xy 48.23266 94.6745) + (xy 48.428586 94.637876) + (xy 48.614446 94.565873) + (xy 48.78391 94.460945) + (xy 48.931209 94.326664) + (xy 49.051326 94.167604) + (xy 49.140171 93.98918) + (xy 49.192936 93.803728) + (xy 49.194715 93.797477) + (xy 49.194715 93.797475) + (xy 49.194717 93.797469) + (xy 49.213108 93.599) + (xy 49.212995 93.597782) + (xy 50.5715 93.597782) + (xy 50.580699 93.66091) + (xy 50.581849 93.668803) + (xy 50.635408 93.778361) + (xy 50.635409 93.778362) + (xy 50.63541 93.778364) + (xy 50.721635 93.864589) + (xy 50.721636 93.864589) + (xy 50.721639 93.864592) + (xy 50.831197 93.918151) + (xy 50.883248 93.925735) + (xy 50.902217 93.928499) + (xy 50.902222 93.928499) + (xy 50.902226 93.9285) + (xy 50.902228 93.9285) + (xy 51.496572 93.9285) + (xy 51.496574 93.9285) + (xy 51.496578 93.928499) + (xy 51.496582 93.928499) + (xy 51.505551 93.927192) + (xy 51.567603 93.918151) + (xy 51.677161 93.864592) + (xy 51.763392 93.778361) + (xy 51.816951 93.668803) + (xy 51.820731 93.642857) + (xy 51.845109 93.597562) + (xy 51.8929 93.578534) + (xy 51.895145 93.5785) + (xy 52.2713 93.5785) + (xy 52.319638 93.596093) + (xy 52.345358 93.640642) + (xy 52.3465 93.6537) + (xy 52.3465 93.705164) + (xy 52.34936 93.735656) + (xy 52.349369 93.73575) + (xy 52.354112 93.749305) + (xy 52.394459 93.864614) + (xy 52.394461 93.864617) + (xy 52.475533 93.974466) + (xy 52.526108 94.011792) + (xy 52.575768 94.048443) + (xy 52.585382 94.055538) + (xy 52.585385 94.05554) + (xy 52.616319 94.066363) + (xy 52.71425 94.100631) + (xy 52.744835 94.103499) + (xy 52.744837 94.1035) + (xy 52.744844 94.1035) + (xy 52.9099 94.1035) + (xy 52.958238 94.121093) + (xy 52.983958 94.165642) + (xy 52.9851 94.1787) + (xy 52.9851 95.287283) + (xy 52.967507 95.335621) + (xy 52.940275 95.356075) + (xy 52.888858 95.378777) + (xy 52.888851 95.378782) + (xy 52.808782 95.458851) + (xy 52.80878 95.458854) + (xy 52.763037 95.562451) + (xy 52.7601 95.587776) + (xy 52.7601 97.328223) + (xy 52.763037 97.353548) + (xy 52.80878 97.457145) + (xy 52.808782 97.457148) + (xy 52.888851 97.537217) + (xy 52.888854 97.537219) + (xy 52.888855 97.53722) + (xy 52.99245 97.582962) + (xy 53.017774 97.5859) + (xy 53.017776 97.5859) + (xy 53.408224 97.5859) + (xy 53.408226 97.5859) + (xy 53.43355 97.582962) + (xy 53.537145 97.53722) + (xy 53.61722 97.457145) + (xy 53.662962 97.35355) + (xy 53.6659 97.328226) + (xy 53.6659 97.328223) + (xy 54.0301 97.328223) + (xy 54.033037 97.353548) + (xy 54.07878 97.457145) + (xy 54.078782 97.457148) + (xy 54.158851 97.537217) + (xy 54.158854 97.537219) + (xy 54.158855 97.53722) + (xy 54.26245 97.582962) + (xy 54.287774 97.5859) + (xy 54.287776 97.5859) + (xy 54.678224 97.5859) + (xy 54.678226 97.5859) + (xy 54.70355 97.582962) + (xy 54.807145 97.53722) + (xy 54.88722 97.457145) + (xy 54.932962 97.35355) + (xy 54.9359 97.328226) + (xy 54.9359 95.587774) + (xy 54.932962 95.56245) + (xy 54.88722 95.458855) + (xy 54.887219 95.458854) + (xy 54.887217 95.458851) + (xy 54.807148 95.378782) + (xy 54.807141 95.378777) + (xy 54.755725 95.356075) + (xy 54.718613 95.320457) + (xy 54.7109 95.287283) + (xy 54.7109 95.185047) + (xy 54.728493 95.136709) + (xy 54.732926 95.131873) + (xy 54.764273 95.100526) + (xy 54.810893 95.078786) + (xy 54.817447 95.0785) + (xy 55.891464 95.0785) + (xy 55.8954 95.078603) + (xy 55.935845 95.080723) + (xy 55.935845 95.080722) + (xy 55.935848 95.080723) + (xy 55.943919 95.077624) + (xy 55.955222 95.074275) + (xy 56.006166 95.063448) + (xy 56.084546 95.006501) + (xy 56.105209 94.970709) + (xy 56.117155 94.955142) + (xy 56.591116 94.481182) + (xy 57.6651 94.481182) + (xy 57.669492 94.511321) + (xy 57.675449 94.552203) + (xy 57.729008 94.661761) + (xy 57.729009 94.661762) + (xy 57.72901 94.661764) + (xy 57.815237 94.747991) + (xy 57.815238 94.747991) + (xy 57.815239 94.747992) + (xy 57.847926 94.763971) + (xy 57.883625 94.801004) + (xy 57.8901 94.83153) + (xy 57.8901 95.021874) + (xy 57.889174 95.033638) + (xy 57.885464 95.057066) + (xy 57.885078 95.0595) + (xy 57.888895 95.083602) + (xy 57.889174 95.085359) + (xy 57.8901 95.097124) + (xy 57.8901 95.440001) + (xy 57.883693 95.470376) + (xy 57.843037 95.562451) + (xy 57.8401 95.587776) + (xy 57.8401 97.328223) + (xy 57.843038 97.35355) + (xy 57.843038 97.353552) + (xy 57.883692 97.445623) + (xy 57.8901 97.475998) + (xy 57.8901 97.815874) + (xy 57.889174 97.827638) + (xy 57.885078 97.853499) + (xy 57.885078 97.8535) + (xy 57.905043 97.979556) + (xy 57.942405 98.052883) + (xy 57.962984 98.093271) + (xy 58.053229 98.183516) + (xy 58.166943 98.241456) + (xy 58.166945 98.241457) + (xy 58.293 98.261422) + (xy 58.419055 98.241457) + (xy 58.532771 98.183516) + (xy 58.623016 98.093271) + (xy 58.680957 97.979555) + (xy 58.700922 97.8535) + (xy 58.697034 97.828955) + (xy 58.696826 97.827638) + (xy 58.6959 97.815874) + (xy 58.6959 97.475998) + (xy 58.702308 97.445623) + (xy 58.742961 97.353552) + (xy 58.742962 97.35355) + (xy 58.7459 97.328226) + (xy 58.7459 97.328223) + (xy 59.1101 97.328223) + (xy 59.113038 97.35355) + (xy 59.113038 97.353552) + (xy 59.153692 97.445623) + (xy 59.1601 97.475998) + (xy 59.1601 97.815874) + (xy 59.159174 97.827638) + (xy 59.155078 97.853499) + (xy 59.155078 97.8535) + (xy 59.175043 97.979556) + (xy 59.212405 98.052883) + (xy 59.232984 98.093271) + (xy 59.323229 98.183516) + (xy 59.436943 98.241456) + (xy 59.436945 98.241457) + (xy 59.563 98.261422) + (xy 59.689055 98.241457) + (xy 59.802771 98.183516) + (xy 59.893016 98.093271) + (xy 59.950957 97.979555) + (xy 59.970922 97.8535) + (xy 59.967034 97.828955) + (xy 59.966826 97.827638) + (xy 59.9659 97.815874) + (xy 59.9659 97.475998) + (xy 59.972308 97.445623) + (xy 60.012961 97.353552) + (xy 60.012962 97.35355) + (xy 60.0159 97.328226) + (xy 60.0159 95.587774) + (xy 60.012962 95.56245) + (xy 59.972306 95.470375) + (xy 59.9659 95.440001) + (xy 59.9659 95.097124) + (xy 59.966826 95.085359) + (xy 59.967105 95.083602) + (xy 59.970922 95.0595) + (xy 59.970536 95.057066) + (xy 59.963474 95.012474) + (xy 59.950957 94.933445) + (xy 59.903813 94.84092) + (xy 60.2051 94.84092) + (xy 60.206428 94.851009) + (xy 60.211386 94.888674) + (xy 60.211388 94.88868) + (xy 60.260254 94.993473) + (xy 60.26026 94.993481) + (xy 60.342018 95.075239) + (xy 60.342026 95.075245) + (xy 60.446819 95.124111) + (xy 60.446821 95.124111) + (xy 60.446824 95.124113) + (xy 60.49458 95.1304) + (xy 60.5299 95.1304) + (xy 60.578238 95.147993) + (xy 60.603958 95.192542) + (xy 60.6051 95.2056) + (xy 60.6051 95.287283) + (xy 60.587507 95.335621) + (xy 60.560275 95.356075) + (xy 60.508858 95.378777) + (xy 60.508851 95.378782) + (xy 60.428782 95.458851) + (xy 60.42878 95.458854) + (xy 60.383037 95.562451) + (xy 60.3801 95.587776) + (xy 60.3801 97.328223) + (xy 60.383037 97.353548) + (xy 60.42878 97.457145) + (xy 60.428782 97.457148) + (xy 60.508851 97.537217) + (xy 60.508854 97.537219) + (xy 60.508855 97.53722) + (xy 60.61245 97.582962) + (xy 60.637774 97.5859) + (xy 60.637776 97.5859) + (xy 61.028224 97.5859) + (xy 61.028226 97.5859) + (xy 61.05355 97.582962) + (xy 61.157145 97.53722) + (xy 61.23722 97.457145) + (xy 61.282962 97.35355) + (xy 61.2859 97.328226) + (xy 61.2859 96.608) + (xy 61.6506 96.608) + (xy 61.6506 97.32815) + (xy 61.653532 97.353432) + (xy 61.699199 97.456857) + (xy 61.699201 97.45686) + (xy 61.779139 97.536798) + (xy 61.779142 97.5368) + (xy 61.882567 97.582467) + (xy 61.90785 97.5854) + (xy 61.953 97.5854) + (xy 61.953 96.608) + (xy 62.253 96.608) + (xy 62.253 97.5854) + (xy 62.29815 97.5854) + (xy 62.323432 97.582467) + (xy 62.426857 97.5368) + (xy 62.42686 97.536798) + (xy 62.506798 97.45686) + (xy 62.5068 97.456857) + (xy 62.552467 97.353432) + (xy 62.5554 97.32815) + (xy 62.5554 96.608) + (xy 62.253 96.608) + (xy 61.953 96.608) + (xy 61.6506 96.608) + (xy 61.2859 96.608) + (xy 61.2859 96.308) + (xy 61.6506 96.308) + (xy 61.953 96.308) + (xy 61.953 95.3306) + (xy 62.253 95.3306) + (xy 62.253 96.308) + (xy 62.5554 96.308) + (xy 62.5554 95.869918) + (xy 63.1896 95.869918) + (xy 63.195886 95.917674) + (xy 63.195888 95.91768) + (xy 63.244754 96.022473) + (xy 63.24476 96.022481) + (xy 63.326518 96.104239) + (xy 63.326526 96.104245) + (xy 63.431319 96.153111) + (xy 63.431321 96.153111) + (xy 63.431324 96.153113) + (xy 63.47908 96.1594) + (xy 63.479082 96.1594) + (xy 64.155918 96.1594) + (xy 64.15592 96.1594) + (xy 64.203676 96.153113) + (xy 64.289153 96.113254) + (xy 64.308473 96.104245) + (xy 64.308473 96.104244) + (xy 64.308477 96.104243) + (xy 64.390243 96.022477) + (xy 64.397574 96.006757) + (xy 64.430374 95.936416) + (xy 64.439113 95.917676) + (xy 64.4454 95.86992) + (xy 64.4454 95.44308) + (xy 64.439113 95.395324) + (xy 64.431975 95.380016) + (xy 64.390245 95.290526) + (xy 64.390239 95.290518) + (xy 64.308481 95.20876) + (xy 64.308473 95.208754) + (xy 64.207828 95.161822) + (xy 64.171454 95.125448) + (xy 64.166972 95.074204) + (xy 64.172602 95.059534) + (xy 64.196724 95.012194) + (xy 70.667329 95.012194) + (xy 70.669538 95.020438) + (xy 70.6721 95.0399) + (xy 70.6721 107.442062) + (xy 70.671997 107.445998) + (xy 70.669876 107.486448) + (xy 70.678688 107.509402) + (xy 70.682039 107.520715) + (xy 70.687151 107.544763) + (xy 70.687152 107.544766) + (xy 70.692169 107.551671) + (xy 70.701536 107.568922) + (xy 70.704596 107.576895) + (xy 70.704597 107.576896) + (xy 70.721985 107.594284) + (xy 70.729644 107.603252) + (xy 70.744097 107.623144) + (xy 70.744099 107.623146) + (xy 70.751495 107.627416) + (xy 70.767066 107.639365) + (xy 79.150074 116.022373) + (xy 79.171814 116.068993) + (xy 79.1721 116.075547) + (xy 79.1721 118.155219) + (xy 79.154507 118.203557) + (xy 79.138678 118.217746) + (xy 79.116327 118.23268) + (xy 79.066361 118.244906) + (xy 79.032769 118.232679) + (xy 78.965859 118.187969) + (xy 78.965857 118.187968) + (xy 78.9 118.174868) + (xy 78.9 119.925129) + (xy 78.965858 119.91203) + (xy 79.03277 119.86732) + (xy 79.082735 119.855093) + (xy 79.116327 119.867319) + (xy 79.183946 119.912501) + (xy 79.261363 119.9279) + (xy 79.538636 119.927899) + (xy 79.538637 119.927899) + (xy 79.538637 119.927898) + (xy 79.616054 119.912501) + (xy 79.683223 119.867619) + (xy 79.733186 119.855393) + (xy 79.766775 119.867618) + (xy 79.833946 119.912501) + (xy 79.911363 119.9279) + (xy 80.188636 119.927899) + (xy 80.188637 119.927899) + (xy 80.188637 119.927898) + (xy 80.266054 119.912501) + (xy 80.333223 119.867619) + (xy 80.383186 119.855393) + (xy 80.416775 119.867618) + (xy 80.483946 119.912501) + (xy 80.561363 119.9279) + (xy 80.838636 119.927899) + (xy 80.838637 119.927899) + (xy 80.838637 119.927898) + (xy 80.916054 119.912501) + (xy 80.983223 119.867619) + (xy 81.033186 119.855393) + (xy 81.066775 119.867618) + (xy 81.133946 119.912501) + (xy 81.211363 119.9279) + (xy 81.488636 119.927899) + (xy 81.488637 119.927899) + (xy 81.488637 119.927898) + (xy 81.566054 119.912501) + (xy 81.633223 119.867619) + (xy 81.683186 119.855393) + (xy 81.716775 119.867618) + (xy 81.783946 119.912501) + (xy 81.861363 119.9279) + (xy 82.138636 119.927899) + (xy 82.138637 119.927899) + (xy 82.138637 119.927898) + (xy 82.216054 119.912501) + (xy 82.283223 119.867619) + (xy 82.333186 119.855393) + (xy 82.366775 119.867618) + (xy 82.433946 119.912501) + (xy 82.511363 119.9279) + (xy 82.788636 119.927899) + (xy 82.788637 119.927899) + (xy 82.788637 119.927898) + (xy 82.866054 119.912501) + (xy 82.933223 119.867619) + (xy 82.983186 119.855393) + (xy 83.016775 119.867618) + (xy 83.083946 119.912501) + (xy 83.161363 119.9279) + (xy 83.438636 119.927899) + (xy 83.438637 119.927899) + (xy 83.438637 119.927898) + (xy 83.516054 119.912501) + (xy 83.583223 119.867619) + (xy 83.633186 119.855393) + (xy 83.666775 119.867618) + (xy 83.733946 119.912501) + (xy 83.811363 119.9279) + (xy 84.088636 119.927899) + (xy 84.088637 119.927899) + (xy 84.088637 119.927898) + (xy 84.166054 119.912501) + (xy 84.253842 119.853842) + (xy 84.312501 119.766054) + (xy 84.3279 119.688637) + (xy 84.3279 119.149999) + (xy 84.542078 119.149999) + (xy 84.542174 119.150606) + (xy 84.5431 119.162371) + (xy 84.5431 119.214448) + (xy 84.559192 119.263976) + (xy 84.561944 119.275436) + (xy 84.562041 119.276051) + (xy 84.562042 119.276054) + (xy 84.562321 119.276601) + (xy 84.566835 119.2875) + (xy 84.582927 119.337028) + (xy 84.582929 119.337032) + (xy 84.613537 119.379159) + (xy 84.6197 119.389216) + (xy 84.619981 119.389768) + (xy 84.619984 119.389772) + (xy 84.620417 119.390205) + (xy 84.62808 119.399176) + (xy 84.658691 119.441307) + (xy 84.700821 119.471917) + (xy 84.709793 119.479581) + (xy 84.710224 119.480012) + (xy 84.710227 119.480014) + (xy 84.710229 119.480016) + (xy 84.710775 119.480294) + (xy 84.720841 119.486462) + (xy 84.762966 119.517069) + (xy 84.762967 119.517069) + (xy 84.762968 119.51707) + (xy 84.812497 119.533162) + (xy 84.823396 119.537677) + (xy 84.823945 119.537957) + (xy 84.824551 119.538053) + (xy 84.836021 119.540806) + (xy 84.885554 119.5569) + (xy 84.93763 119.5569) + (xy 84.949392 119.557825) + (xy 84.95 119.557922) + (xy 84.950607 119.557825) + (xy 84.96237 119.5569) + (xy 85.014444 119.5569) + (xy 85.014446 119.5569) + (xy 85.063971 119.540808) + (xy 85.075442 119.538054) + (xy 85.075461 119.538051) + (xy 85.076055 119.537957) + (xy 85.076595 119.537681) + (xy 85.087508 119.533159) + (xy 85.137032 119.51707) + (xy 85.165163 119.496631) + (xy 85.21461 119.482451) + (xy 85.261603 119.503372) + (xy 85.26254 119.504294) + (xy 85.322235 119.563989) + (xy 85.322236 119.563989) + (xy 85.322239 119.563992) + (xy 85.431797 119.617551) + (xy 85.483848 119.625135) + (xy 85.502817 119.627899) + (xy 85.502822 119.627899) + (xy 85.502826 119.6279) + (xy 85.502828 119.6279) + (xy 86.097172 119.6279) + (xy 86.097174 119.6279) + (xy 86.097178 119.627899) + (xy 86.097182 119.627899) + (xy 86.106673 119.626516) + (xy 86.168203 119.617551) + (xy 86.277761 119.563992) + (xy 86.316827 119.524925) + (xy 86.363446 119.503186) + (xy 86.370001 119.5029) + (xy 86.70894 119.5029) + (xy 86.708943 119.5029) + (xy 86.810003 119.487668) + (xy 86.812507 119.486462) + (xy 86.814271 119.485613) + (xy 86.865455 119.48049) + (xy 86.907957 119.509466) + (xy 86.9221 119.553365) + (xy 86.9221 119.986152) + (xy 86.913905 120.020289) + (xy 86.912045 120.023937) + (xy 86.912042 120.023947) + (xy 86.892078 120.149999) + (xy 86.892078 120.15) + (xy 86.912043 120.276056) + (xy 86.960962 120.372065) + (xy 86.969984 120.389771) + (xy 87.060229 120.480016) + (xy 87.173943 120.537956) + (xy 87.173945 120.537957) + (xy 87.3 120.557922) + (xy 87.426055 120.537957) + (xy 87.539771 120.480016) + (xy 87.619787 120.4) + (xy 94.3726 120.4) + (xy 94.85 120.4) + (xy 94.85 119.9726) + (xy 95.15 119.9726) + (xy 95.15 120.4) + (xy 95.627399 120.4) + (xy 95.627399 120.302869) + (xy 95.627398 120.302857) + (xy 95.617065 120.23194) + (xy 95.563578 120.12253) + (xy 95.477469 120.036421) + (xy 95.368058 119.982934) + (xy 95.368059 119.982934) + (xy 95.297141 119.9726) + (xy 95.15 119.9726) + (xy 94.85 119.9726) + (xy 94.70287 119.9726) + (xy 94.702857 119.972601) + (xy 94.63194 119.982934) + (xy 94.52253 120.036421) + (xy 94.436421 120.12253) + (xy 94.382934 120.231941) + (xy 94.3726 120.302858) + (xy 94.3726 120.4) + (xy 87.619787 120.4) + (xy 87.630016 120.389771) + (xy 87.687957 120.276055) + (xy 87.707922 120.15) + (xy 87.705277 120.133303) + (xy 87.696065 120.075138) + (xy 87.687957 120.023945) + (xy 87.687955 120.023941) + (xy 87.686127 120.018314) + (xy 87.688479 120.017549) + (xy 87.683412 119.97627) + (xy 87.711428 119.933129) + (xy 87.76062 119.91809) + (xy 87.771354 119.919432) + (xy 87.8 119.925129) + (xy 87.8 118.174868) + (xy 87.799999 118.174868) + (xy 87.771355 118.180566) + (xy 87.720514 118.172741) + (xy 87.686598 118.134066) + (xy 87.685476 118.082638) + (xy 87.686963 118.079114) + (xy 87.687956 118.076057) + (xy 87.687956 118.076056) + (xy 87.687957 118.076055) + (xy 87.707922 117.95) + (xy 87.707374 117.946543) + (xy 87.699619 117.897577) + (xy 87.687957 117.823945) + (xy 87.630016 117.710229) + (xy 87.539771 117.619984) + (xy 87.426055 117.562043) + (xy 87.426057 117.562043) + (xy 87.3 117.542078) + (xy 87.173943 117.562043) + (xy 87.060228 117.619984) + (xy 86.969984 117.710228) + (xy 86.912043 117.823943) + (xy 86.892078 117.949999) + (xy 86.892078 117.95) + (xy 86.912043 118.076056) + (xy 86.913902 118.079704) + (xy 86.9221 118.113847) + (xy 86.9221 118.550371) + (xy 86.904507 118.598709) + (xy 86.859958 118.624429) + (xy 86.824735 118.62223) + (xy 86.743265 118.5971) + (xy 86.743264 118.5971) + (xy 86.4281 118.5971) + (xy 86.379762 118.579507) + (xy 86.354042 118.534958) + (xy 86.3529 118.5219) + (xy 86.3529 118.14117) + (xy 86.353543 118.131355) + (xy 86.35408 118.127278) + (xy 86.357671 118.1) + (xy 86.35343 118.067788) + (xy 86.352963 118.063112) + (xy 86.3529 118.062198) + (xy 86.3529 118.062182) + (xy 86.349895 118.04032) + (xy 86.348007 118.026585) + (xy 86.347949 118.026159) + (xy 86.347547 118.023102) + (xy 86.338669 117.955664) + (xy 86.338668 117.955662) + (xy 86.338492 117.954322) + (xy 86.337766 117.950728) + (xy 86.337402 117.949427) + (xy 86.309274 117.884669) + (xy 86.308773 117.883488) + (xy 86.290675 117.839799) + (xy 86.282957 117.821165) + (xy 86.282951 117.821158) + (xy 86.280492 117.816897) + (xy 86.28093 117.816643) + (xy 86.279594 117.814375) + (xy 86.279524 117.814418) + (xy 86.27685 117.810021) + (xy 86.260878 117.790389) + (xy 86.234522 117.757993) + (xy 86.233199 117.756318) + (xy 86.226656 117.747791) + (xy 86.194333 117.705667) + (xy 86.194328 117.705663) + (xy 86.190847 117.702181) + (xy 86.190864 117.702163) + (xy 86.182439 117.693973) + (xy 86.180936 117.692127) + (xy 86.180934 117.692124) + (xy 86.180933 117.692123) + (xy 86.180932 117.692122) + (xy 86.12903 117.655486) + (xy 86.126665 117.653744) + (xy 86.078839 117.617044) + (xy 86.078826 117.617037) + (xy 86.076243 117.615967) + (xy 86.061665 117.607934) + (xy 86.056766 117.604476) + (xy 86.056763 117.604474) + (xy 86.056761 117.604473) + (xy 86.056757 117.604471) + (xy 86.056752 117.604469) + (xy 86.000314 117.58441) + (xy 85.996721 117.583029) + (xy 85.944337 117.561331) + (xy 85.944333 117.56133) + (xy 85.937974 117.560493) + (xy 85.922609 117.556795) + (xy 85.913548 117.553575) + (xy 85.857351 117.54973) + (xy 85.85267 117.549262) + (xy 85.8 117.542329) + (xy 85.799995 117.542329) + (xy 85.790053 117.543637) + (xy 85.775116 117.544105) + (xy 85.761916 117.543202) + (xy 85.76191 117.543203) + (xy 85.71028 117.553932) + (xy 85.704797 117.554861) + (xy 85.655668 117.56133) + (xy 85.655659 117.561332) + (xy 85.643064 117.566549) + (xy 85.629595 117.570698) + (xy 85.613108 117.574125) + (xy 85.613106 117.574125) + (xy 85.613104 117.574126) + (xy 85.613103 117.574126) + (xy 85.613098 117.574128) + (xy 85.569448 117.596746) + (xy 85.563631 117.599452) + (xy 85.521167 117.617041) + (xy 85.521165 117.617043) + (xy 85.507481 117.627542) + (xy 85.496305 117.634646) + (xy 85.478158 117.644049) + (xy 85.444842 117.675162) + (xy 85.439298 117.679859) + (xy 85.405665 117.705668) + (xy 85.405663 117.70567) + (xy 85.392975 117.722205) + (xy 85.384649 117.731378) + (xy 85.367078 117.747789) + (xy 85.367075 117.747792) + (xy 85.345245 117.783688) + (xy 85.340657 117.790389) + (xy 85.317043 117.821164) + (xy 85.317043 117.821165) + (xy 85.307688 117.843746) + (xy 85.302468 117.854032) + (xy 85.288108 117.877647) + (xy 85.288104 117.877657) + (xy 85.277737 117.914652) + (xy 85.274804 117.923134) + (xy 85.26133 117.955665) + (xy 85.25767 117.983462) + (xy 85.255526 117.993929) + (xy 85.2471 118.024006) + (xy 85.2471 118.058829) + (xy 85.246457 118.068644) + (xy 85.242638 118.097656) + (xy 85.242329 118.1) + (xy 85.244957 118.119961) + (xy 85.246457 118.131355) + (xy 85.2471 118.14117) + (xy 85.2471 118.5679) + (xy 85.229507 118.616238) + (xy 85.184958 118.641958) + (xy 85.1719 118.6431) + (xy 85.014881 118.6431) + (xy 85.014857 118.643101) + (xy 84.985553 118.643101) + (xy 84.979813 118.644966) + (xy 84.960345 118.651291) + (xy 84.948876 118.654044) + (xy 84.939193 118.655577) + (xy 84.922694 118.658191) + (xy 84.922692 118.658191) + (xy 84.922688 118.658193) + (xy 84.899074 118.670225) + (xy 84.888176 118.674739) + (xy 84.862967 118.68293) + (xy 84.84152 118.698512) + (xy 84.831464 118.704674) + (xy 84.807851 118.716706) + (xy 84.807844 118.716711) + (xy 84.784924 118.739631) + (xy 84.784921 118.739633) + (xy 84.784922 118.739634) + (xy 84.639637 118.884919) + (xy 84.639634 118.884923) + (xy 84.62808 118.900824) + (xy 84.620431 118.909781) + (xy 84.619986 118.910225) + (xy 84.61998 118.910233) + (xy 84.619693 118.910798) + (xy 84.61354 118.920835) + (xy 84.58293 118.962967) + (xy 84.580613 118.970098) + (xy 84.567387 119.010806) + (xy 84.566839 119.012492) + (xy 84.562327 119.023385) + (xy 84.562044 119.023938) + (xy 84.562043 119.023942) + (xy 84.561946 119.024558) + (xy 84.559194 119.036016) + (xy 84.5431 119.085549) + (xy 84.5431 119.137629) + (xy 84.542174 119.149392) + (xy 84.542078 119.149998) + (xy 84.542078 119.149999) + (xy 84.3279 119.149999) + (xy 84.327899 118.411364) + (xy 84.312501 118.333946) + (xy 84.253842 118.246158) + (xy 84.21132 118.217745) + (xy 84.180904 118.176261) + (xy 84.1779 118.155219) + (xy 84.1779 117.357936) + (xy 84.178004 117.353992) + (xy 84.178258 117.349156) + (xy 84.198362 117.301807) + (xy 84.244198 117.278459) + (xy 84.253354 117.2779) + (xy 87.674453 117.2779) + (xy 87.722791 117.295493) + (xy 87.727627 117.299926) + (xy 88.350074 117.922373) + (xy 88.371814 117.968993) + (xy 88.3721 117.975547) + (xy 88.3721 118.155219) + (xy 88.354507 118.203557) + (xy 88.338678 118.217746) + (xy 88.316327 118.23268) + (xy 88.266361 118.244906) + (xy 88.232769 118.232679) + (xy 88.165859 118.187969) + (xy 88.165857 118.187968) + (xy 88.1 118.174868) + (xy 88.1 119.925129) + (xy 88.165858 119.91203) + (xy 88.23277 119.86732) + (xy 88.282735 119.855093) + (xy 88.316327 119.867319) + (xy 88.383946 119.912501) + (xy 88.461363 119.9279) + (xy 88.738636 119.927899) + (xy 88.738637 119.927899) + (xy 88.738637 119.927898) + (xy 88.816054 119.912501) + (xy 88.883223 119.867619) + (xy 88.933186 119.855393) + (xy 88.966775 119.867618) + (xy 89.033946 119.912501) + (xy 89.111363 119.9279) + (xy 89.388636 119.927899) + (xy 89.388637 119.927899) + (xy 89.388637 119.927898) + (xy 89.466054 119.912501) + (xy 89.533223 119.867619) + (xy 89.583186 119.855393) + (xy 89.616775 119.867618) + (xy 89.683946 119.912501) + (xy 89.761363 119.9279) + (xy 90.038636 119.927899) + (xy 90.038637 119.927899) + (xy 90.038637 119.927898) + (xy 90.116054 119.912501) + (xy 90.183223 119.867619) + (xy 90.233186 119.855393) + (xy 90.266775 119.867618) + (xy 90.333946 119.912501) + (xy 90.411363 119.9279) + (xy 90.688636 119.927899) + (xy 90.688637 119.927899) + (xy 90.688637 119.927898) + (xy 90.766054 119.912501) + (xy 90.833223 119.867619) + (xy 90.883186 119.855393) + (xy 90.916775 119.867618) + (xy 90.983946 119.912501) + (xy 91.061363 119.9279) + (xy 91.338636 119.927899) + (xy 91.338637 119.927899) + (xy 91.338637 119.927898) + (xy 91.416054 119.912501) + (xy 91.483223 119.867619) + (xy 91.533186 119.855393) + (xy 91.566775 119.867618) + (xy 91.633946 119.912501) + (xy 91.711363 119.9279) + (xy 91.988636 119.927899) + (xy 91.988637 119.927899) + (xy 91.988637 119.927898) + (xy 92.066054 119.912501) + (xy 92.133223 119.867619) + (xy 92.183186 119.855393) + (xy 92.216775 119.867618) + (xy 92.283946 119.912501) + (xy 92.361363 119.9279) + (xy 92.638636 119.927899) + (xy 92.638637 119.927899) + (xy 92.638637 119.927898) + (xy 92.716054 119.912501) + (xy 92.783223 119.867619) + (xy 92.833186 119.855393) + (xy 92.866775 119.867618) + (xy 92.933946 119.912501) + (xy 93.011363 119.9279) + (xy 93.288636 119.927899) + (xy 93.288637 119.927899) + (xy 93.288637 119.927898) + (xy 93.366054 119.912501) + (xy 93.453842 119.853842) + (xy 93.512501 119.766054) + (xy 93.5279 119.688637) + (xy 93.5279 119.149999) + (xy 93.742078 119.149999) + (xy 93.742174 119.150606) + (xy 93.7431 119.162371) + (xy 93.7431 119.214448) + (xy 93.759192 119.263976) + (xy 93.761944 119.275436) + (xy 93.762041 119.276051) + (xy 93.762042 119.276054) + (xy 93.762321 119.276601) + (xy 93.766835 119.2875) + (xy 93.782927 119.337028) + (xy 93.782929 119.337032) + (xy 93.813537 119.379159) + (xy 93.8197 119.389216) + (xy 93.819981 119.389768) + (xy 93.819984 119.389772) + (xy 93.820417 119.390205) + (xy 93.82808 119.399176) + (xy 93.858691 119.441307) + (xy 93.900821 119.471917) + (xy 93.909793 119.479581) + (xy 93.910224 119.480012) + (xy 93.910227 119.480014) + (xy 93.910229 119.480016) + (xy 93.910775 119.480294) + (xy 93.920841 119.486462) + (xy 93.962966 119.517069) + (xy 93.962967 119.517069) + (xy 93.962968 119.51707) + (xy 94.012497 119.533162) + (xy 94.023396 119.537677) + (xy 94.023945 119.537957) + (xy 94.024551 119.538053) + (xy 94.036021 119.540806) + (xy 94.085554 119.5569) + (xy 94.13763 119.5569) + (xy 94.149392 119.557825) + (xy 94.15 119.557922) + (xy 94.150607 119.557825) + (xy 94.16237 119.5569) + (xy 94.214444 119.5569) + (xy 94.214446 119.5569) + (xy 94.263971 119.540808) + (xy 94.275442 119.538054) + (xy 94.275461 119.538051) + (xy 94.276055 119.537957) + (xy 94.276595 119.537681) + (xy 94.287508 119.533159) + (xy 94.337032 119.51707) + (xy 94.365163 119.496631) + (xy 94.41461 119.482451) + (xy 94.461603 119.503372) + (xy 94.46254 119.504294) + (xy 94.522235 119.563989) + (xy 94.522236 119.563989) + (xy 94.522239 119.563992) + (xy 94.631797 119.617551) + (xy 94.683848 119.625135) + (xy 94.702817 119.627899) + (xy 94.702822 119.627899) + (xy 94.702826 119.6279) + (xy 94.702828 119.6279) + (xy 95.297172 119.6279) + (xy 95.297174 119.6279) + (xy 95.297178 119.627899) + (xy 95.297182 119.627899) + (xy 95.306673 119.626516) + (xy 95.368203 119.617551) + (xy 95.477761 119.563992) + (xy 95.516827 119.524925) + (xy 95.563446 119.503186) + (xy 95.570001 119.5029) + (xy 95.90894 119.5029) + (xy 95.908943 119.5029) + (xy 96.010003 119.487668) + (xy 96.012507 119.486462) + (xy 96.014271 119.485613) + (xy 96.065455 119.48049) + (xy 96.107957 119.509466) + (xy 96.1221 119.553365) + (xy 96.1221 119.986152) + (xy 96.113905 120.020289) + (xy 96.112045 120.023937) + (xy 96.112042 120.023947) + (xy 96.092078 120.149999) + (xy 96.092078 120.15) + (xy 96.112043 120.276056) + (xy 96.160962 120.372065) + (xy 96.169984 120.389771) + (xy 96.260229 120.480016) + (xy 96.373943 120.537956) + (xy 96.373945 120.537957) + (xy 96.5 120.557922) + (xy 96.626055 120.537957) + (xy 96.739771 120.480016) + (xy 96.819787 120.4) + (xy 103.5726 120.4) + (xy 104.05 120.4) + (xy 104.05 119.9726) + (xy 104.35 119.9726) + (xy 104.35 120.4) + (xy 104.827399 120.4) + (xy 104.827399 120.302869) + (xy 104.827398 120.302857) + (xy 104.817065 120.23194) + (xy 104.763578 120.12253) + (xy 104.677469 120.036421) + (xy 104.568058 119.982934) + (xy 104.568059 119.982934) + (xy 104.497141 119.9726) + (xy 104.35 119.9726) + (xy 104.05 119.9726) + (xy 103.90287 119.9726) + (xy 103.902857 119.972601) + (xy 103.83194 119.982934) + (xy 103.72253 120.036421) + (xy 103.636421 120.12253) + (xy 103.582934 120.231941) + (xy 103.5726 120.302858) + (xy 103.5726 120.4) + (xy 96.819787 120.4) + (xy 96.830016 120.389771) + (xy 96.887957 120.276055) + (xy 96.907922 120.15) + (xy 96.905277 120.133303) + (xy 96.896065 120.075138) + (xy 96.887957 120.023945) + (xy 96.887955 120.023941) + (xy 96.886127 120.018314) + (xy 96.888479 120.017549) + (xy 96.883412 119.97627) + (xy 96.911428 119.933129) + (xy 96.96062 119.91809) + (xy 96.971354 119.919432) + (xy 97 119.925129) + (xy 97 118.174868) + (xy 96.999999 118.174868) + (xy 96.971355 118.180566) + (xy 96.920514 118.172741) + (xy 96.886598 118.134066) + (xy 96.885476 118.082638) + (xy 96.886963 118.079114) + (xy 96.887956 118.076057) + (xy 96.887956 118.076056) + (xy 96.887957 118.076055) + (xy 96.907922 117.95) + (xy 96.907374 117.946543) + (xy 96.899619 117.897577) + (xy 96.887957 117.823945) + (xy 96.830016 117.710229) + (xy 96.739771 117.619984) + (xy 96.626055 117.562043) + (xy 96.626057 117.562043) + (xy 96.5 117.542078) + (xy 96.373943 117.562043) + (xy 96.260228 117.619984) + (xy 96.169984 117.710228) + (xy 96.112043 117.823943) + (xy 96.092078 117.949999) + (xy 96.092078 117.95) + (xy 96.112043 118.076056) + (xy 96.113902 118.079704) + (xy 96.1221 118.113847) + (xy 96.1221 118.550371) + (xy 96.104507 118.598709) + (xy 96.059958 118.624429) + (xy 96.024735 118.62223) + (xy 95.943265 118.5971) + (xy 95.943264 118.5971) + (xy 95.6281 118.5971) + (xy 95.579762 118.579507) + (xy 95.554042 118.534958) + (xy 95.5529 118.5219) + (xy 95.5529 118.14117) + (xy 95.553543 118.131355) + (xy 95.55408 118.127278) + (xy 95.557671 118.1) + (xy 95.55343 118.067788) + (xy 95.552963 118.063112) + (xy 95.5529 118.062198) + (xy 95.5529 118.062182) + (xy 95.549895 118.04032) + (xy 95.548007 118.026585) + (xy 95.547949 118.026159) + (xy 95.547547 118.023102) + (xy 95.538669 117.955664) + (xy 95.538668 117.955662) + (xy 95.538492 117.954322) + (xy 95.537766 117.950728) + (xy 95.537402 117.949427) + (xy 95.509274 117.884669) + (xy 95.508773 117.883488) + (xy 95.490675 117.839799) + (xy 95.482957 117.821165) + (xy 95.482951 117.821158) + (xy 95.480492 117.816897) + (xy 95.48093 117.816643) + (xy 95.479594 117.814375) + (xy 95.479524 117.814418) + (xy 95.47685 117.810021) + (xy 95.460878 117.790389) + (xy 95.434522 117.757993) + (xy 95.433199 117.756318) + (xy 95.426656 117.747791) + (xy 95.394333 117.705667) + (xy 95.394328 117.705663) + (xy 95.390847 117.702181) + (xy 95.390864 117.702163) + (xy 95.382439 117.693973) + (xy 95.380936 117.692127) + (xy 95.380934 117.692124) + (xy 95.380933 117.692123) + (xy 95.380932 117.692122) + (xy 95.32903 117.655486) + (xy 95.326665 117.653744) + (xy 95.278839 117.617044) + (xy 95.278826 117.617037) + (xy 95.276243 117.615967) + (xy 95.261665 117.607934) + (xy 95.256766 117.604476) + (xy 95.256763 117.604474) + (xy 95.256761 117.604473) + (xy 95.256757 117.604471) + (xy 95.256752 117.604469) + (xy 95.200314 117.58441) + (xy 95.196721 117.583029) + (xy 95.144337 117.561331) + (xy 95.144333 117.56133) + (xy 95.137974 117.560493) + (xy 95.122609 117.556795) + (xy 95.113548 117.553575) + (xy 95.057351 117.54973) + (xy 95.05267 117.549262) + (xy 95 117.542329) + (xy 94.999995 117.542329) + (xy 94.990053 117.543637) + (xy 94.975116 117.544105) + (xy 94.961916 117.543202) + (xy 94.96191 117.543203) + (xy 94.91028 117.553932) + (xy 94.904797 117.554861) + (xy 94.855668 117.56133) + (xy 94.855659 117.561332) + (xy 94.843064 117.566549) + (xy 94.829595 117.570698) + (xy 94.813108 117.574125) + (xy 94.813106 117.574125) + (xy 94.813104 117.574126) + (xy 94.813103 117.574126) + (xy 94.813098 117.574128) + (xy 94.769448 117.596746) + (xy 94.763631 117.599452) + (xy 94.721167 117.617041) + (xy 94.721165 117.617043) + (xy 94.707481 117.627542) + (xy 94.696305 117.634646) + (xy 94.678158 117.644049) + (xy 94.644842 117.675162) + (xy 94.639298 117.679859) + (xy 94.605665 117.705668) + (xy 94.605663 117.70567) + (xy 94.592975 117.722205) + (xy 94.584649 117.731378) + (xy 94.567078 117.747789) + (xy 94.567075 117.747792) + (xy 94.545245 117.783688) + (xy 94.540657 117.790389) + (xy 94.517043 117.821164) + (xy 94.517043 117.821165) + (xy 94.507688 117.843746) + (xy 94.502468 117.854032) + (xy 94.488108 117.877647) + (xy 94.488104 117.877657) + (xy 94.477737 117.914652) + (xy 94.474804 117.923134) + (xy 94.46133 117.955665) + (xy 94.45767 117.983462) + (xy 94.455526 117.993929) + (xy 94.4471 118.024006) + (xy 94.4471 118.058829) + (xy 94.446457 118.068644) + (xy 94.442638 118.097656) + (xy 94.442329 118.1) + (xy 94.444957 118.119961) + (xy 94.446457 118.131355) + (xy 94.4471 118.14117) + (xy 94.4471 118.5679) + (xy 94.429507 118.616238) + (xy 94.384958 118.641958) + (xy 94.3719 118.6431) + (xy 94.214881 118.6431) + (xy 94.214857 118.643101) + (xy 94.185553 118.643101) + (xy 94.179813 118.644966) + (xy 94.160345 118.651291) + (xy 94.148876 118.654044) + (xy 94.139193 118.655577) + (xy 94.122694 118.658191) + (xy 94.122692 118.658191) + (xy 94.122688 118.658193) + (xy 94.099074 118.670225) + (xy 94.088176 118.674739) + (xy 94.062967 118.68293) + (xy 94.04152 118.698512) + (xy 94.031464 118.704674) + (xy 94.007851 118.716706) + (xy 94.007844 118.716711) + (xy 93.984924 118.739631) + (xy 93.984921 118.739633) + (xy 93.984922 118.739634) + (xy 93.839637 118.884919) + (xy 93.839634 118.884923) + (xy 93.82808 118.900824) + (xy 93.820431 118.909781) + (xy 93.819986 118.910225) + (xy 93.81998 118.910233) + (xy 93.819693 118.910798) + (xy 93.81354 118.920835) + (xy 93.78293 118.962967) + (xy 93.780613 118.970098) + (xy 93.767387 119.010806) + (xy 93.766839 119.012492) + (xy 93.762327 119.023385) + (xy 93.762044 119.023938) + (xy 93.762043 119.023942) + (xy 93.761946 119.024558) + (xy 93.759194 119.036016) + (xy 93.7431 119.085549) + (xy 93.7431 119.137629) + (xy 93.742174 119.149392) + (xy 93.742078 119.149998) + (xy 93.742078 119.149999) + (xy 93.5279 119.149999) + (xy 93.527899 118.411364) + (xy 93.512501 118.333946) + (xy 93.453842 118.246158) + (xy 93.433601 118.232633) + (xy 93.411457 118.217836) + (xy 93.381041 118.176351) + (xy 93.37814 118.151372) + (xy 93.378367 118.147056) + (xy 93.380123 118.113552) + (xy 93.378719 118.109896) + (xy 93.37131 118.090595) + (xy 93.367958 118.07928) + (xy 93.362848 118.055234) + (xy 93.35783 118.048327) + (xy 93.348463 118.031075) + (xy 93.345403 118.023104) + (xy 93.345402 118.023102) + (xy 93.328018 118.005718) + (xy 93.320355 117.996747) + (xy 93.305901 117.976854) + (xy 93.30124 117.974163) + (xy 93.298503 117.972582) + (xy 93.282933 117.960634) + (xy 90.416758 115.094458) + (xy 90.414047 115.091602) + (xy 90.386949 115.061506) + (xy 90.386945 115.061503) + (xy 90.364486 115.051504) + (xy 90.354116 115.045874) + (xy 90.333496 115.032483) + (xy 90.325064 115.031148) + (xy 90.306243 115.025573) + (xy 90.298442 115.0221) + (xy 90.273848 115.0221) + (xy 90.262084 115.021174) + (xy 90.237808 115.017329) + (xy 90.237804 115.017329) + (xy 90.22956 115.019538) + (xy 90.210099 115.0221) + (xy 85.075548 115.0221) + (xy 85.02721 115.004507) + (xy 85.022374 115.000074) + (xy 78.1223 108.1) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.759164 108.318535) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.915352 108.458102) + (xy 78.936501 108.468878) + (xy 78.939959 108.470756) + (xy 78.95149 108.477413) + (xy 78.967066 108.489365) + (xy 79.933231 109.45553) + (xy 79.935942 109.458386) + (xy 79.963051 109.488494) + (xy 79.985516 109.498496) + (xy 79.995884 109.504125) + (xy 80.016504 109.517516) + (xy 80.024936 109.518851) + (xy 80.043755 109.524426) + (xy 80.051558 109.5279) + (xy 80.076147 109.5279) + (xy 80.08791 109.528825) + (xy 80.112194 109.532672) + (xy 80.118459 109.530993) + (xy 80.120443 109.530462) + (xy 80.139904 109.5279) + (xy 80.264962 109.5279) + (xy 80.3133 109.545493) + (xy 80.333116 109.571319) + (xy 80.377254 109.665973) + (xy 80.37726 109.665981) + (xy 80.459018 109.747739) + (xy 80.459026 109.747745) + (xy 80.563819 109.796611) + (xy 80.563821 109.796611) + (xy 80.563824 109.796613) + (xy 80.61158 109.8029) + (xy 80.611582 109.8029) + (xy 81.288418 109.8029) + (xy 81.28842 109.8029) + (xy 81.336176 109.796613) + (xy 81.410311 109.762043) + (xy 81.440973 109.747745) + (xy 81.440973 109.747744) + (xy 81.440977 109.747743) + (xy 81.522743 109.665977) + (xy 81.571613 109.561176) + (xy 81.5779 109.51342) + (xy 81.5779 109.08658) + (xy 81.571613 109.038824) + (xy 81.569564 109.03443) + (xy 81.522745 108.934026) + (xy 81.522739 108.934018) + (xy 81.440981 108.85226) + (xy 81.440973 108.852254) + (xy 81.33618 108.803388) + (xy 81.336174 108.803386) + (xy 81.300992 108.798755) + (xy 81.28842 108.7971) + (xy 80.61158 108.7971) + (xy 80.60047 108.798562) + (xy 80.563825 108.803386) + (xy 80.563819 108.803388) + (xy 80.459026 108.852254) + (xy 80.459018 108.85226) + (xy 80.37726 108.934018) + (xy 80.377254 108.934026) + (xy 80.333116 109.028681) + (xy 80.296743 109.065055) + (xy 80.264962 109.0721) + (xy 80.225548 109.0721) + (xy 80.17721 109.054507) + (xy 80.172374 109.050074) + (xy 79.479468 108.357168) + (xy 79.457728 108.310548) + (xy 79.465637 108.269857) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.339771 107.769984) + (xy 79.226055 107.712043) + (xy 79.226057 107.712043) + (xy 79.1 107.692078) + (xy 78.973943 107.712043) + (xy 78.860228 107.769984) + (xy 78.769984 107.860228) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 78.1223 108.1) + (xy 77.6723 107.65) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.706576 107.863456) + (xy 79.719984 107.889771) + (xy 79.810229 107.980016) + (xy 79.923943 108.037956) + (xy 79.923945 108.037957) + (xy 80.05 108.057922) + (xy 80.176055 108.037957) + (xy 80.176057 108.037956) + (xy 80.176058 108.037956) + (xy 80.239559 108.0056) + (xy 80.258687 107.995853) + (xy 80.309743 107.989584) + (xy 80.352884 108.0176) + (xy 80.360981 108.031075) + (xy 80.377255 108.065975) + (xy 80.37726 108.065981) + (xy 80.459018 108.147739) + (xy 80.459026 108.147745) + (xy 80.563819 108.196611) + (xy 80.563821 108.196611) + (xy 80.563824 108.196613) + (xy 80.61158 108.2029) + (xy 80.611582 108.2029) + (xy 81.288418 108.2029) + (xy 81.28842 108.2029) + (xy 81.336176 108.196613) + (xy 81.420514 108.157285) + (xy 81.440973 108.147745) + (xy 81.440973 108.147744) + (xy 81.440977 108.147743) + (xy 81.522743 108.065977) + (xy 81.524108 108.063051) + (xy 81.562828 107.980015) + (xy 81.571613 107.961176) + (xy 81.5779 107.91342) + (xy 81.5779 107.48658) + (xy 81.571613 107.438824) + (xy 81.571209 107.437957) + (xy 81.522745 107.334026) + (xy 81.522739 107.334018) + (xy 81.440981 107.25226) + (xy 81.440973 107.252254) + (xy 81.33618 107.203388) + (xy 81.336174 107.203386) + (xy 81.300992 107.198755) + (xy 81.28842 107.1971) + (xy 80.61158 107.1971) + (xy 80.60047 107.198562) + (xy 80.563825 107.203386) + (xy 80.563819 107.203388) + (xy 80.459026 107.252254) + (xy 80.459018 107.25226) + (xy 80.393707 107.317572) + (xy 80.347087 107.339312) + (xy 80.2974 107.325998) + (xy 80.293219 107.322489) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 77.6723 107.65) + (xy 75.349926 105.327626) + (xy 75.328186 105.281006) + (xy 75.3279 105.274452) + (xy 75.3279 97.225547) + (xy 75.333194 97.211) + (xy 76.7136 97.211) + (xy 76.7136 97.774364) + (xy 76.719876 97.822043) + (xy 76.719878 97.822049) + (xy 76.768669 97.926682) + (xy 76.768675 97.92669) + (xy 76.850309 98.008324) + (xy 76.850317 98.00833) + (xy 76.95495 98.057121) + (xy 76.954956 98.057123) + (xy 77.002636 98.0634) + (xy 77.066 98.0634) + (xy 77.066 97.211) + (xy 77.366 97.211) + (xy 77.366 98.0634) + (xy 77.429364 98.0634) + (xy 77.477043 98.057123) + (xy 77.477049 98.057121) + (xy 77.581682 98.00833) + (xy 77.58169 98.008324) + (xy 77.663324 97.92669) + (xy 77.66333 97.926682) + (xy 77.712121 97.822049) + (xy 77.712123 97.822043) + (xy 77.7184 97.774364) + (xy 77.7184 97.211) + (xy 77.366 97.211) + (xy 77.066 97.211) + (xy 76.7136 97.211) + (xy 75.333194 97.211) + (xy 75.345493 97.177209) + (xy 75.349926 97.172373) + (xy 75.611299 96.911) + (xy 76.7136 96.911) + (xy 77.066 96.911) + (xy 77.066 96.0586) + (xy 77.366 96.0586) + (xy 77.366 96.911) + (xy 77.7184 96.911) + (xy 77.7184 96.347635) + (xy 77.712123 96.299956) + (xy 77.712121 96.29995) + (xy 77.66333 96.195317) + (xy 77.663324 96.195309) + (xy 77.58169 96.113675) + (xy 77.581682 96.113669) + (xy 77.477049 96.064878) + (xy 77.477043 96.064876) + (xy 77.429364 96.0586) + (xy 77.366 96.0586) + (xy 77.066 96.0586) + (xy 77.002636 96.0586) + (xy 76.954956 96.064876) + (xy 76.95495 96.064878) + (xy 76.850317 96.113669) + (xy 76.850309 96.113675) + (xy 76.768675 96.195309) + (xy 76.768669 96.195317) + (xy 76.719878 96.29995) + (xy 76.719876 96.299956) + (xy 76.7136 96.347635) + (xy 76.7136 96.911) + (xy 75.611299 96.911) + (xy 76.827799 95.6945) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.878966 95.889095) + (xy 77.901984 95.934271) + (xy 77.992229 96.024516) + (xy 78.043452 96.050615) + (xy 78.078534 96.088236) + (xy 78.081226 96.139605) + (xy 78.062486 96.170793) + (xy 78.03826 96.195018) + (xy 78.038254 96.195026) + (xy 77.989388 96.299819) + (xy 77.989386 96.299825) + (xy 77.984111 96.3399) + (xy 77.9831 96.34758) + (xy 77.9831 97.77442) + (xy 77.983837 97.780015) + (xy 77.989386 97.822174) + (xy 77.989388 97.82218) + (xy 78.038254 97.926973) + (xy 78.03826 97.926981) + (xy 78.120018 98.008739) + (xy 78.120026 98.008745) + (xy 78.224819 98.057611) + (xy 78.224821 98.057611) + (xy 78.224824 98.057613) + (xy 78.27258 98.0639) + (xy 78.272582 98.0639) + (xy 78.699418 98.0639) + (xy 78.69942 98.0639) + (xy 78.747176 98.057613) + (xy 78.823333 98.0221) + (xy 78.851973 98.008745) + (xy 78.851973 98.008744) + (xy 78.851977 98.008743) + (xy 78.933743 97.926977) + (xy 78.935647 97.922895) + (xy 78.96237 97.865586) + (xy 78.982613 97.822176) + (xy 78.9889 97.77442) + (xy 78.9889 96.34758) + (xy 78.982613 96.299824) + (xy 78.982611 96.299819) + (xy 78.933745 96.195026) + (xy 78.933739 96.195018) + (xy 78.851981 96.11326) + (xy 78.851973 96.113254) + (xy 78.757319 96.069116) + (xy 78.720945 96.032743) + (xy 78.7139 96.000962) + (xy 78.7139 95.956428) + (xy 78.714003 95.952491) + (xy 78.716123 95.912051) + (xy 78.70731 95.889095) + (xy 78.70396 95.877788) + (xy 78.698848 95.853734) + (xy 78.69383 95.846827) + (xy 78.684463 95.829575) + (xy 78.681403 95.821604) + (xy 78.681402 95.821602) + (xy 78.664018 95.804218) + (xy 78.656358 95.79525) + (xy 78.648074 95.78385) + (xy 78.633891 95.734404) + (xy 78.634631 95.727903) + (xy 78.639922 95.6945) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 76.827799 95.6945) + (xy 77.772373 94.749926) + (xy 77.818993 94.728186) + (xy 77.825547 94.7279) + (xy 81.224453 94.7279) + (xy 81.272791 94.745493) + (xy 81.277627 94.749926) + (xy 82.750074 96.222373) + (xy 82.771814 96.268993) + (xy 82.7721 96.275547) + (xy 82.7721 97.592062) + (xy 82.771997 97.595998) + (xy 82.769876 97.636448) + (xy 82.778688 97.659402) + (xy 82.782039 97.670715) + (xy 82.787151 97.694763) + (xy 82.787152 97.694766) + (xy 82.792169 97.701671) + (xy 82.801536 97.718922) + (xy 82.804596 97.726895) + (xy 82.804597 97.726896) + (xy 82.821985 97.744284) + (xy 82.829644 97.753252) + (xy 82.844097 97.773144) + (xy 82.844099 97.773146) + (xy 82.851495 97.777416) + (xy 82.867066 97.789365) + (xy 83.233231 98.15553) + (xy 83.235942 98.158386) + (xy 83.252573 98.176857) + (xy 83.271842 98.224551) + (xy 83.255946 98.273473) + (xy 83.249862 98.280349) + (xy 83.241708 98.288503) + (xy 83.232737 98.296166) + (xy 83.211555 98.311555) + (xy 83.196166 98.332737) + (xy 83.188505 98.341707) + (xy 83.169985 98.360227) + (xy 83.169983 98.36023) + (xy 83.15809 98.383568) + (xy 83.151929 98.393621) + (xy 83.136541 98.414801) + (xy 83.136537 98.41481) + (xy 83.128445 98.439712) + (xy 83.123933 98.450606) + (xy 83.112043 98.473943) + (xy 83.107945 98.499813) + (xy 83.105191 98.511281) + (xy 83.0971 98.536182) + (xy 83.0971 98.562374) + (xy 83.096174 98.574138) + (xy 83.095698 98.577147) + (xy 83.092078 98.6) + (xy 83.096023 98.62491) + (xy 83.096174 98.625859) + (xy 83.0971 98.637624) + (xy 83.0971 98.663813) + (xy 83.105192 98.688721) + (xy 83.107945 98.700187) + (xy 83.112043 98.726057) + (xy 83.123931 98.749388) + (xy 83.128444 98.760283) + (xy 83.136539 98.785193) + (xy 83.13654 98.785194) + (xy 83.136541 98.785196) + (xy 83.151928 98.806375) + (xy 83.158093 98.816434) + (xy 83.169985 98.839773) + (xy 83.264508 98.934296) + (xy 83.264522 98.934308) + (xy 83.317347 98.987133) + (xy 83.317368 98.987156) + (xy 83.410226 99.080014) + (xy 83.410228 99.080015) + (xy 83.410229 99.080016) + (xy 83.433567 99.091907) + (xy 83.443619 99.098067) + (xy 83.464807 99.113461) + (xy 83.480513 99.118564) + (xy 83.489709 99.121552) + (xy 83.500613 99.126069) + (xy 83.523942 99.137956) + (xy 83.523943 99.137956) + (xy 83.523945 99.137957) + (xy 83.549812 99.142053) + (xy 83.561271 99.144804) + (xy 83.586187 99.1529) + (xy 83.618292 99.1529) + (xy 83.729998 99.1529) + (xy 83.778336 99.170493) + (xy 83.783172 99.174926) + (xy 83.872235 99.263989) + (xy 83.872236 99.263989) + (xy 83.872239 99.263992) + (xy 83.981797 99.317551) + (xy 84.033848 99.325135) + (xy 84.052817 99.327899) + (xy 84.052822 99.327899) + (xy 84.052826 99.3279) + (xy 84.052828 99.3279) + (xy 84.647172 99.3279) + (xy 84.647174 99.3279) + (xy 84.647178 99.327899) + (xy 84.647182 99.327899) + (xy 84.659185 99.32615) + (xy 84.718203 99.317551) + (xy 84.737016 99.308353) + (xy 84.788168 99.302929) + (xy 84.83084 99.331653) + (xy 84.844318 99.364148) + (xy 84.862043 99.476056) + (xy 84.880137 99.511567) + (xy 84.886406 99.562623) + (xy 84.858389 99.605764) + (xy 84.809197 99.620804) + (xy 84.780106 99.613266) + (xy 84.71806 99.582934) + (xy 84.647141 99.5726) + (xy 84.5 99.5726) + (xy 84.5 100) + (xy 84.977399 100) + (xy 84.977399 99.902869) + (xy 84.977398 99.902857) + (xy 84.967065 99.83194) + (xy 84.952699 99.802553) + (xy 84.947275 99.751399) + (xy 84.976001 99.708728) + (xy 85.025435 99.694503) + (xy 85.054397 99.70252) + (xy 85.123943 99.737956) + (xy 85.123945 99.737957) + (xy 85.25 99.757922) + (xy 85.376055 99.737957) + (xy 85.408273 99.72154) + (xy 85.459329 99.715271) + (xy 85.500543 99.740836) + (xy 85.508064 99.75) + (xy 86.1248 99.75) + (xy 86.173138 99.767593) + (xy 86.198858 99.812142) + (xy 86.2 99.8252) + (xy 86.2 99.9748) + (xy 86.182407 100.023138) + (xy 86.137858 100.048858) + (xy 86.1248 100.05) + (xy 85.508064 100.05) + (xy 85.510794 100.063726) + (xy 85.540524 100.108221) + (xy 85.55275 100.158187) + (xy 85.540524 100.191779) + (xy 85.510794 100.236273) + (xy 85.508064 100.25) + (xy 86.1248 100.25) + (xy 86.173138 100.267593) + (xy 86.198858 100.312142) + (xy 86.2 100.3252) + (xy 86.2 100.4748) + (xy 86.182407 100.523138) + (xy 86.137858 100.548858) + (xy 86.1248 100.55) + (xy 85.508064 100.55) + (xy 85.510795 100.563731) + (xy 85.512615 100.568126) + (xy 85.514856 100.619517) + (xy 85.483539 100.660325) + (xy 85.443138 100.6721) + (xy 84.987785 100.6721) + (xy 84.939447 100.654507) + (xy 84.913727 100.609958) + (xy 84.920226 100.563873) + (xy 84.967065 100.468061) + (xy 84.967065 100.468059) + (xy 84.977399 100.397141) + (xy 84.9774 100.397131) + (xy 84.9774 100.3) + (xy 83.722601 100.3) + (xy 83.722601 100.397142) + (xy 83.732934 100.46806) + (xy 83.779774 100.563873) + (xy 83.785198 100.615026) + (xy 83.756472 100.657698) + (xy 83.712215 100.6721) + (xy 80.717936 100.6721) + (xy 80.714 100.671997) + (xy 80.712192 100.671902) + (xy 80.673551 100.669876) + (xy 80.67355 100.669876) + (xy 80.650597 100.678688) + (xy 80.639286 100.682039) + (xy 80.615235 100.687151) + (xy 80.615229 100.687154) + (xy 80.608324 100.692171) + (xy 80.591085 100.701532) + (xy 80.583104 100.704596) + (xy 80.565712 100.721987) + (xy 80.556746 100.729645) + (xy 80.536854 100.744098) + (xy 80.536852 100.744101) + (xy 80.532583 100.751495) + (xy 80.520634 100.767065) + (xy 78.587008 102.70069) + (xy 78.540388 102.72243) + (xy 78.522072 102.72179) + (xy 78.486003 102.716078) + (xy 78.485999 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.135745 103.32405) + (xy 78.142014 103.375106) + (xy 78.121915 103.411364) + (xy 78.03826 103.495018) + (xy 78.038254 103.495026) + (xy 77.989388 103.599819) + (xy 77.989386 103.599825) + (xy 77.984797 103.634687) + (xy 77.9831 103.64758) + (xy 77.9831 105.07442) + (xy 77.984146 105.082364) + (xy 77.989386 105.122174) + (xy 77.989388 105.12218) + (xy 78.038254 105.226973) + (xy 78.03826 105.226981) + (xy 78.120018 105.308739) + (xy 78.120026 105.308745) + (xy 78.224819 105.357611) + (xy 78.224821 105.357611) + (xy 78.224824 105.357613) + (xy 78.27258 105.3639) + (xy 78.272582 105.3639) + (xy 78.699418 105.3639) + (xy 78.69942 105.3639) + (xy 78.747176 105.357613) + (xy 78.814852 105.326055) + (xy 78.851973 105.308745) + (xy 78.851973 105.308744) + (xy 78.851977 105.308743) + (xy 78.933743 105.226977) + (xy 78.955642 105.180016) + (xy 78.982611 105.12218) + (xy 78.982613 105.122176) + (xy 78.9889 105.07442) + (xy 79.2531 105.07442) + (xy 79.254146 105.082364) + (xy 79.259386 105.122174) + (xy 79.259388 105.12218) + (xy 79.308254 105.226973) + (xy 79.30826 105.226981) + (xy 79.390018 105.308739) + (xy 79.390026 105.308745) + (xy 79.494819 105.357611) + (xy 79.494821 105.357611) + (xy 79.494824 105.357613) + (xy 79.54258 105.3639) + (xy 79.542582 105.3639) + (xy 79.969418 105.3639) + (xy 79.96942 105.3639) + (xy 80.017176 105.357613) + (xy 80.084852 105.326055) + (xy 80.121973 105.308745) + (xy 80.121973 105.308744) + (xy 80.121977 105.308743) + (xy 80.203743 105.226977) + (xy 80.225642 105.180016) + (xy 80.252611 105.12218) + (xy 80.252613 105.122176) + (xy 80.2589 105.07442) + (xy 80.5231 105.07442) + (xy 80.524146 105.082364) + (xy 80.529386 105.122174) + (xy 80.529388 105.12218) + (xy 80.578254 105.226973) + (xy 80.578259 105.22698) + (xy 80.601073 105.249793) + (xy 80.622814 105.296413) + (xy 80.6231 105.302968) + (xy 80.6231 105.562874) + (xy 80.622174 105.574638) + (xy 80.618078 105.600499) + (xy 80.618078 105.6005) + (xy 80.638043 105.726556) + (xy 80.677169 105.803344) + (xy 80.695984 105.840271) + (xy 80.786229 105.930516) + (xy 80.899943 105.988456) + (xy 80.899945 105.988457) + (xy 81.026 106.008422) + (xy 81.152055 105.988457) + (xy 81.265771 105.930516) + (xy 81.356016 105.840271) + (xy 81.413957 105.726555) + (xy 81.433922 105.6005) + (xy 81.43302 105.594808) + (xy 81.429826 105.574638) + (xy 81.4289 105.562874) + (xy 81.4289 105.302968) + (xy 81.446493 105.25463) + (xy 81.450927 105.249793) + (xy 81.47374 105.22698) + (xy 81.473743 105.226977) + (xy 81.495642 105.180016) + (xy 81.522611 105.12218) + (xy 81.522613 105.122176) + (xy 81.5289 105.07442) + (xy 81.5289 104.8391) + (xy 81.546493 104.790762) + (xy 81.591042 104.765042) + (xy 81.6041 104.7639) + (xy 81.852812 104.7639) + (xy 81.852813 104.7639) + (xy 81.875337 104.75658) + (xy 81.898576 104.7529) + (xy 82.152469 104.7529) + (xy 82.200807 104.770493) + (xy 82.220028 104.795073) + (xy 82.236008 104.827761) + (xy 82.236009 104.827763) + (xy 82.297717 104.889471) + (xy 82.319457 104.936091) + (xy 82.311545 104.976789) + (xy 82.308091 104.983567) + (xy 82.301929 104.993621) + (xy 82.286541 105.014801) + (xy 82.286537 105.01481) + (xy 82.278445 105.039712) + (xy 82.273933 105.050606) + (xy 82.262043 105.073943) + (xy 82.257945 105.099813) + (xy 82.255191 105.111281) + (xy 82.2471 105.136182) + (xy 82.2471 105.162374) + (xy 82.246174 105.174138) + (xy 82.242078 105.2) + (xy 82.24587 105.223943) + (xy 82.246174 105.225859) + (xy 82.2471 105.237624) + (xy 82.2471 105.263813) + (xy 82.255192 105.288721) + (xy 82.257945 105.300187) + (xy 82.262043 105.326057) + (xy 82.273931 105.349388) + (xy 82.278444 105.360283) + (xy 82.286539 105.385193) + (xy 82.28654 105.385194) + (xy 82.286541 105.385196) + (xy 82.301928 105.406375) + (xy 82.308091 105.416432) + (xy 82.319984 105.439771) + (xy 82.338504 105.458291) + (xy 82.346164 105.467259) + (xy 82.361556 105.488444) + (xy 82.38274 105.503836) + (xy 82.391711 105.511499) + (xy 82.410226 105.530014) + (xy 82.410228 105.530015) + (xy 82.410229 105.530016) + (xy 82.433567 105.541907) + (xy 82.443619 105.548067) + (xy 82.464807 105.563461) + (xy 82.484883 105.569984) + (xy 82.489709 105.571552) + (xy 82.500613 105.576069) + (xy 82.523942 105.587956) + (xy 82.523943 105.587956) + (xy 82.523945 105.587957) + (xy 82.549812 105.592053) + (xy 82.561271 105.594804) + (xy 82.586187 105.6029) + (xy 82.612375 105.6029) + (xy 82.624138 105.603825) + (xy 82.65 105.607922) + (xy 82.675861 105.603825) + (xy 82.687625 105.6029) + (xy 82.713812 105.6029) + (xy 82.713813 105.6029) + (xy 82.738722 105.594805) + (xy 82.750173 105.592055) + (xy 82.776055 105.587957) + (xy 82.799397 105.576063) + (xy 82.810284 105.571554) + (xy 82.835193 105.563461) + (xy 82.856378 105.548068) + (xy 82.86643 105.541907) + (xy 82.889771 105.530016) + (xy 82.980016 105.439771) + (xy 82.986201 105.433586) + (xy 82.986208 105.433576) + (xy 83.033576 105.386208) + (xy 83.033586 105.386201) + (xy 83.130014 105.289773) + (xy 83.130016 105.289771) + (xy 83.141907 105.26643) + (xy 83.148068 105.256378) + (xy 83.163461 105.235193) + (xy 83.171554 105.210284) + (xy 83.176063 105.199397) + (xy 83.187957 105.176055) + (xy 83.192055 105.150173) + (xy 83.194805 105.138722) + (xy 83.2029 105.113813) + (xy 83.2029 104.99753) + (xy 83.220493 104.949192) + (xy 83.245072 104.929971) + (xy 83.277761 104.913992) + (xy 83.363992 104.827761) + (xy 83.417551 104.718203) + (xy 83.427488 104.65) + (xy 83.427899 104.647182) + (xy 83.4279 104.647172) + (xy 83.4279 104.152827) + (xy 83.427899 104.152817) + (xy 83.421917 104.111763) + (xy 83.417551 104.081797) + (xy 83.363992 103.972239) + (xy 83.363989 103.972236) + (xy 83.363989 103.972235) + (xy 83.277764 103.88601) + (xy 83.277762 103.886009) + (xy 83.277761 103.886008) + (xy 83.168203 103.832449) + (xy 83.097182 103.8221) + (xy 83.097174 103.8221) + (xy 82.502826 103.8221) + (xy 82.502817 103.8221) + (xy 82.431797 103.832449) + (xy 82.322237 103.886009) + (xy 82.312367 103.89588) + (xy 82.283172 103.925074) + (xy 82.236554 103.946814) + (xy 82.229999 103.9471) + (xy 81.837625 103.9471) + (xy 81.825861 103.946174) + (xy 81.814237 103.944333) + (xy 81.8 103.942078) + (xy 81.785763 103.944333) + (xy 81.774139 103.946174) + (xy 81.762375 103.9471) + (xy 81.736185 103.9471) + (xy 81.713657 103.95442) + (xy 81.69042 103.9581) + (xy 81.6041 103.9581) + (xy 81.555762 103.940507) + (xy 81.530042 103.895958) + (xy 81.5289 103.8829) + (xy 81.5289 103.647581) + (xy 81.528666 103.645799) + (xy 81.522613 103.599824) + (xy 81.522611 103.599819) + (xy 81.473745 103.495026) + (xy 81.473739 103.495018) + (xy 81.391981 103.41326) + (xy 81.391973 103.413254) + (xy 81.28718 103.364388) + (xy 81.287174 103.364386) + (xy 81.251992 103.359755) + (xy 81.23942 103.3581) + (xy 80.81258 103.3581) + (xy 80.80147 103.359562) + (xy 80.764825 103.364386) + (xy 80.764819 103.364388) + (xy 80.660026 103.413254) + (xy 80.660018 103.41326) + (xy 80.57826 103.495018) + (xy 80.578254 103.495026) + (xy 80.529388 103.599819) + (xy 80.529386 103.599825) + (xy 80.524797 103.634687) + (xy 80.5231 103.64758) + (xy 80.5231 105.07442) + (xy 80.2589 105.07442) + (xy 80.2589 103.64758) + (xy 80.252613 103.599824) + (xy 80.252611 103.599819) + (xy 80.203745 103.495026) + (xy 80.203739 103.495018) + (xy 80.120085 103.411364) + (xy 80.098345 103.364744) + (xy 80.106255 103.32405) + (xy 80.118509 103.3) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.152202 103.05) + (xy 82.172601 103.05) + (xy 82.172601 103.147142) + (xy 82.182934 103.218059) + (xy 82.236421 103.327469) + (xy 82.32253 103.413578) + (xy 82.431941 103.467065) + (xy 82.43194 103.467065) + (xy 82.502863 103.477399) + (xy 82.65 103.477399) + (xy 82.65 103.05) + (xy 82.95 103.05) + (xy 82.95 103.477399) + (xy 83.09713 103.477399) + (xy 83.097142 103.477398) + (xy 83.168059 103.467065) + (xy 83.277469 103.413578) + (xy 83.363578 103.327469) + (xy 83.417065 103.218058) + (xy 83.427399 103.147141) + (xy 83.4274 103.147131) + (xy 83.4274 103.05) + (xy 82.95 103.05) + (xy 82.65 103.05) + (xy 82.172601 103.05) + (xy 80.152202 103.05) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 79.995771 102.793984) + (xy 79.909447 102.75) + (xy 82.1726 102.75) + (xy 82.65 102.75) + (xy 82.65 102.3226) + (xy 82.95 102.3226) + (xy 82.95 102.75) + (xy 83.427399 102.75) + (xy 83.427399 102.652869) + (xy 83.427398 102.652857) + (xy 83.417065 102.58194) + (xy 83.363578 102.47253) + (xy 83.277469 102.386421) + (xy 83.168058 102.332934) + (xy 83.168059 102.332934) + (xy 83.097141 102.3226) + (xy 82.95 102.3226) + (xy 82.65 102.3226) + (xy 82.50287 102.3226) + (xy 82.502857 102.322601) + (xy 82.43194 102.332934) + (xy 82.32253 102.386421) + (xy 82.236421 102.47253) + (xy 82.182934 102.581941) + (xy 82.1726 102.652858) + (xy 82.1726 102.75) + (xy 79.909447 102.75) + (xy 79.882055 102.736043) + (xy 79.882057 102.736043) + (xy 79.756 102.716078) + (xy 79.629943 102.736043) + (xy 79.516228 102.793984) + (xy 79.425984 102.884228) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.405745 103.32405) + (xy 79.412014 103.375106) + (xy 79.391915 103.411364) + (xy 79.30826 103.495018) + (xy 79.308254 103.495026) + (xy 79.259388 103.599819) + (xy 79.259386 103.599825) + (xy 79.254797 103.634687) + (xy 79.2531 103.64758) + (xy 79.2531 105.07442) + (xy 78.9889 105.07442) + (xy 78.9889 103.64758) + (xy 78.982613 103.599824) + (xy 78.982611 103.599819) + (xy 78.933745 103.495026) + (xy 78.933739 103.495018) + (xy 78.850085 103.411364) + (xy 78.828345 103.364744) + (xy 78.836255 103.32405) + (xy 78.848509 103.3) + (xy 78.873957 103.250055) + (xy 78.893922 103.124) + (xy 78.888208 103.087927) + (xy 78.898022 103.037433) + (xy 78.909304 103.022993) + (xy 80.782374 101.149926) + (xy 80.828994 101.128186) + (xy 80.835548 101.1279) + (xy 83.71166 101.1279) + (xy 83.759998 101.145493) + (xy 83.785718 101.190042) + (xy 83.779219 101.236125) + (xy 83.741469 101.313346) + (xy 83.732449 101.331797) + (xy 83.7221 101.402817) + (xy 83.7221 101.897182) + (xy 83.731063 101.958692) + (xy 83.732449 101.968203) + (xy 83.786008 102.077761) + (xy 83.786009 102.077762) + (xy 83.78601 102.077764) + (xy 83.872235 102.163989) + (xy 83.872236 102.163989) + (xy 83.872239 102.163992) + (xy 83.981797 102.217551) + (xy 84.033848 102.225135) + (xy 84.052817 102.227899) + (xy 84.052822 102.227899) + (xy 84.052826 102.2279) + (xy 84.052828 102.2279) + (xy 84.647172 102.2279) + (xy 84.647174 102.2279) + (xy 84.647178 102.227899) + (xy 84.647182 102.227899) + (xy 84.659054 102.226169) + (xy 84.718203 102.217551) + (xy 84.827761 102.163992) + (xy 84.87126 102.120493) + (xy 84.916828 102.074926) + (xy 84.963448 102.053186) + (xy 84.970002 102.0529) + (xy 85.163812 102.0529) + (xy 85.163813 102.0529) + (xy 85.188722 102.044805) + (xy 85.200173 102.042055) + (xy 85.226055 102.037957) + (xy 85.249397 102.026063) + (xy 85.260284 102.021554) + (xy 85.285193 102.013461) + (xy 85.306378 101.998068) + (xy 85.31643 101.991907) + (xy 85.339771 101.980016) + (xy 85.369004 101.950782) + (xy 85.415623 101.929043) + (xy 85.46531 101.942356) + (xy 85.494815 101.984493) + (xy 85.497016 101.996582) + (xy 85.497101 101.997451) + (xy 85.510323 102.063923) + (xy 85.511723 102.067303) + (xy 85.513964 102.118694) + (xy 85.482647 102.159502) + (xy 85.438311 102.171174) + (xy 85.413552 102.169876) + (xy 85.41355 102.169876) + (xy 85.390597 102.178688) + (xy 85.379286 102.182039) + (xy 85.355235 102.187151) + (xy 85.355229 102.187154) + (xy 85.348324 102.192171) + (xy 85.331085 102.201532) + (xy 85.323104 102.204596) + (xy 85.305712 102.221987) + (xy 85.296746 102.229645) + (xy 85.276854 102.244098) + (xy 85.276852 102.244101) + (xy 85.272583 102.251495) + (xy 85.260634 102.267065) + (xy 84.194456 103.333242) + (xy 84.191602 103.335952) + (xy 84.161504 103.363052) + (xy 84.151507 103.385509) + (xy 84.145877 103.395878) + (xy 84.132485 103.416501) + (xy 84.132483 103.416506) + (xy 84.131148 103.424935) + (xy 84.125574 103.443754) + (xy 84.1221 103.451557) + (xy 84.1221 103.476152) + (xy 84.121174 103.487916) + (xy 84.117329 103.512191) + (xy 84.117329 103.512194) + (xy 84.119538 103.520438) + (xy 84.1221 103.5399) + (xy 84.1221 104.624452) + (xy 84.104507 104.67279) + (xy 84.100074 104.677626) + (xy 82.577626 106.200074) + (xy 82.531006 106.221814) + (xy 82.524452 106.2221) + (xy 77.988047 106.2221) + (xy 77.939709 106.204507) + (xy 77.934873 106.200074) + (xy 77.465926 105.731126) + (xy 77.444186 105.684506) + (xy 77.4439 105.677952) + (xy 77.4439 105.421037) + (xy 77.461493 105.372699) + (xy 77.487319 105.352883) + (xy 77.510491 105.342078) + (xy 77.570723 105.313991) + (xy 77.581973 105.308745) + (xy 77.581973 105.308744) + (xy 77.581977 105.308743) + (xy 77.663743 105.226977) + (xy 77.685642 105.180016) + (xy 77.712611 105.12218) + (xy 77.712613 105.122176) + (xy 77.7189 105.07442) + (xy 77.7189 103.64758) + (xy 77.712613 103.599824) + (xy 77.712611 103.599819) + (xy 77.663745 103.495026) + (xy 77.663739 103.495018) + (xy 77.580085 103.411364) + (xy 77.558345 103.364744) + (xy 77.566255 103.32405) + (xy 77.578509 103.3) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 76.828043 103.250056) + (xy 76.865745 103.32405) + (xy 76.872014 103.375106) + (xy 76.851915 103.411364) + (xy 76.76826 103.495018) + (xy 76.768254 103.495026) + (xy 76.719388 103.599819) + (xy 76.719386 103.599825) + (xy 76.714797 103.634687) + (xy 76.7131 103.64758) + (xy 76.7131 105.07442) + (xy 76.714146 105.082364) + (xy 76.719386 105.122174) + (xy 76.719388 105.12218) + (xy 76.768254 105.226973) + (xy 76.76826 105.226981) + (xy 76.850018 105.308739) + (xy 76.850026 105.308745) + (xy 76.944681 105.352883) + (xy 76.981054 105.389256) + (xy 76.9881 105.421037) + (xy 76.9881 105.534252) + (xy 76.970507 105.58259) + (xy 76.925958 105.60831) + (xy 76.8753 105.599377) + (xy 76.859726 105.587426) + (xy 76.099926 104.827626) + (xy 76.078186 104.781006) + (xy 76.0779 104.774452) + (xy 76.0779 102.329546) + (xy 76.095493 102.281208) + (xy 76.099915 102.276383) + (xy 78.376297 100) + (xy 83.7226 100) + (xy 84.2 100) + (xy 84.2 99.5726) + (xy 84.05287 99.5726) + (xy 84.052857 99.572601) + (xy 83.98194 99.582934) + (xy 83.87253 99.636421) + (xy 83.786421 99.72253) + (xy 83.732934 99.831941) + (xy 83.7226 99.902858) + (xy 83.7226 100) + (xy 78.376297 100) + (xy 79.911547 98.46475) + (xy 79.914365 98.462075) + (xy 79.944494 98.434949) + (xy 79.954496 98.41248) + (xy 79.960123 98.402117) + (xy 79.973516 98.381497) + (xy 79.97485 98.373072) + (xy 79.98043 98.354234) + (xy 79.981024 98.3529) + (xy 79.9839 98.346442) + (xy 79.9839 98.321846) + (xy 79.984826 98.310081) + (xy 79.988671 98.285806) + (xy 79.987209 98.280349) + (xy 79.986462 98.277559) + (xy 79.9839 98.258099) + (xy 79.9839 98.121037) + (xy 80.001493 98.072699) + (xy 80.027319 98.052883) + (xy 80.121973 98.008745) + (xy 80.121973 98.008744) + (xy 80.121977 98.008743) + (xy 80.203743 97.926977) + (xy 80.205647 97.922895) + (xy 80.23237 97.865586) + (xy 80.252613 97.822176) + (xy 80.2589 97.77442) + (xy 80.5231 97.77442) + (xy 80.523837 97.780015) + (xy 80.529386 97.822174) + (xy 80.529388 97.82218) + (xy 80.578254 97.926973) + (xy 80.57826 97.926981) + (xy 80.660018 98.008739) + (xy 80.660026 98.008745) + (xy 80.754681 98.052883) + (xy 80.791054 98.089256) + (xy 80.7981 98.121037) + (xy 80.7981 98.290062) + (xy 80.797997 98.293998) + (xy 80.795876 98.334448) + (xy 80.804688 98.357402) + (xy 80.808039 98.368715) + (xy 80.813151 98.392763) + (xy 80.813152 98.392766) + (xy 80.818169 98.399671) + (xy 80.827536 98.416922) + (xy 80.830596 98.424895) + (xy 80.830597 98.424896) + (xy 80.847985 98.442284) + (xy 80.855644 98.451252) + (xy 80.870097 98.471144) + (xy 80.870099 98.471146) + (xy 80.877495 98.475416) + (xy 80.893066 98.487365) + (xy 82.01124 99.60554) + (xy 82.013951 99.608396) + (xy 82.025796 99.621552) + (xy 82.041051 99.638494) + (xy 82.063523 99.648498) + (xy 82.073874 99.654119) + (xy 82.094503 99.667516) + (xy 82.102928 99.66885) + (xy 82.121759 99.674428) + (xy 82.129553 99.677898) + (xy 82.129555 99.677898) + (xy 82.129558 99.6779) + (xy 82.154152 99.6779) + (xy 82.165915 99.678825) + (xy 82.190194 99.682671) + (xy 82.195253 99.681315) + (xy 82.19844 99.680462) + (xy 82.217901 99.6779) + (xy 82.576964 99.6779) + (xy 82.625302 99.695493) + (xy 82.630138 99.699925) + (xy 82.710229 99.780016) + (xy 82.823943 99.837956) + (xy 82.823945 99.837957) + (xy 82.95 99.857922) + (xy 83.076055 99.837957) + (xy 83.189771 99.780016) + (xy 83.280016 99.689771) + (xy 83.337957 99.576055) + (xy 83.357922 99.45) + (xy 83.356014 99.437956) + (xy 83.348019 99.387473) + (xy 83.337957 99.323945) + (xy 83.280016 99.210229) + (xy 83.189771 99.119984) + (xy 83.076055 99.062043) + (xy 83.076057 99.062043) + (xy 82.95 99.042078) + (xy 82.823943 99.062043) + (xy 82.71023 99.119983) + (xy 82.630138 99.200075) + (xy 82.583518 99.221814) + (xy 82.576964 99.2221) + (xy 82.303547 99.2221) + (xy 82.255209 99.204507) + (xy 82.250373 99.200074) + (xy 81.275926 98.225626) + (xy 81.254186 98.179006) + (xy 81.2539 98.172452) + (xy 81.2539 98.121037) + (xy 81.271493 98.072699) + (xy 81.297319 98.052883) + (xy 81.391973 98.008745) + (xy 81.391973 98.008744) + (xy 81.391977 98.008743) + (xy 81.473743 97.926977) + (xy 81.475647 97.922895) + (xy 81.50237 97.865586) + (xy 81.522613 97.822176) + (xy 81.5289 97.77442) + (xy 81.5289 96.34758) + (xy 81.522613 96.299824) + (xy 81.522611 96.299819) + (xy 81.473745 96.195026) + (xy 81.473739 96.195018) + (xy 81.391981 96.11326) + (xy 81.391972 96.113254) + (xy 81.386024 96.11048) + (xy 81.349652 96.074106) + (xy 81.34517 96.022862) + (xy 81.36463 95.989156) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.497422 95.6945) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.759484 95.934271) + (xy 80.773978 95.948765) + (xy 80.795718 95.995385) + (xy 80.782404 96.045072) + (xy 80.752585 96.070093) + (xy 80.660026 96.113254) + (xy 80.660018 96.11326) + (xy 80.57826 96.195018) + (xy 80.578254 96.195026) + (xy 80.529388 96.299819) + (xy 80.529386 96.299825) + (xy 80.524111 96.3399) + (xy 80.5231 96.34758) + (xy 80.5231 97.77442) + (xy 80.2589 97.77442) + (xy 80.2589 96.34758) + (xy 80.252613 96.299824) + (xy 80.252611 96.299819) + (xy 80.203745 96.195026) + (xy 80.203742 96.195022) + (xy 80.194229 96.185509) + (xy 80.179512 96.170792) + (xy 80.157773 96.124174) + (xy 80.171086 96.074487) + (xy 80.198547 96.050615) + (xy 80.249771 96.024516) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694498) + (xy 79.602078 95.694503) + (xy 79.6076 95.729372) + (xy 79.597784 95.779866) + (xy 79.583646 95.797017) + (xy 79.567505 95.81155) + (xy 79.557507 95.834009) + (xy 79.551877 95.844378) + (xy 79.538485 95.865001) + (xy 79.538483 95.865006) + (xy 79.537148 95.873435) + (xy 79.531574 95.892254) + (xy 79.5281 95.900057) + (xy 79.5281 95.924652) + (xy 79.527174 95.936416) + (xy 79.523329 95.960691) + (xy 79.523329 95.960694) + (xy 79.525538 95.968938) + (xy 79.5281 95.9884) + (xy 79.5281 96.000962) + (xy 79.510507 96.0493) + (xy 79.484681 96.069116) + (xy 79.390026 96.113254) + (xy 79.390018 96.11326) + (xy 79.30826 96.195018) + (xy 79.308254 96.195026) + (xy 79.259388 96.299819) + (xy 79.259386 96.299825) + (xy 79.254111 96.3399) + (xy 79.2531 96.34758) + (xy 79.2531 97.77442) + (xy 79.253837 97.780015) + (xy 79.259386 97.822174) + (xy 79.259388 97.82218) + (xy 79.308254 97.926973) + (xy 79.30826 97.926981) + (xy 79.390018 98.008739) + (xy 79.390026 98.008745) + (xy 79.484681 98.052883) + (xy 79.521054 98.089256) + (xy 79.5281 98.121037) + (xy 79.5281 98.172451) + (xy 79.510507 98.220789) + (xy 79.506074 98.225625) + (xy 75.694456 102.037242) + (xy 75.691602 102.039952) + (xy 75.661504 102.067052) + (xy 75.651507 102.089509) + (xy 75.645877 102.099878) + (xy 75.632485 102.120501) + (xy 75.632483 102.120506) + (xy 75.631148 102.128935) + (xy 75.625574 102.147754) + (xy 75.6221 102.155557) + (xy 75.6221 102.180152) + (xy 75.621174 102.191916) + (xy 75.617329 102.216191) + (xy 75.617329 102.216194) + (xy 75.619538 102.224438) + (xy 75.6221 102.2439) + (xy 75.6221 104.892062) + (xy 75.621997 104.895998) + (xy 75.619876 104.936448) + (xy 75.628688 104.959402) + (xy 75.632039 104.970715) + (xy 75.637151 104.994763) + (xy 75.637152 104.994766) + (xy 75.642169 105.001671) + (xy 75.651534 105.01892) + (xy 75.652523 105.021494) + (xy 75.654596 105.026895) + (xy 75.654597 105.026896) + (xy 75.671985 105.044284) + (xy 75.679644 105.053252) + (xy 75.694097 105.073144) + (xy 75.694099 105.073146) + (xy 75.701495 105.077416) + (xy 75.717066 105.089365) + (xy 77.533231 106.90553) + (xy 77.535942 106.908386) + (xy 77.550047 106.924052) + (xy 77.563051 106.938494) + (xy 77.585516 106.948495) + (xy 77.595887 106.954127) + (xy 77.616502 106.967516) + (xy 77.624928 106.96885) + (xy 77.643759 106.974428) + (xy 77.651553 106.977898) + (xy 77.651555 106.977898) + (xy 77.651558 106.9779) + (xy 77.676152 106.9779) + (xy 77.687915 106.978825) + (xy 77.712194 106.982671) + (xy 77.717253 106.981315) + (xy 77.72044 106.980462) + (xy 77.739901 106.9779) + (xy 82.792064 106.9779) + (xy 82.796 106.978003) + (xy 82.836447 106.980123) + (xy 82.836447 106.980122) + (xy 82.836448 106.980123) + (xy 82.859403 106.97131) + (xy 82.870722 106.967958) + (xy 82.894761 106.962849) + (xy 82.89476 106.962849) + (xy 82.894766 106.962848) + (xy 82.901674 106.957828) + (xy 82.918921 106.948464) + (xy 82.926896 106.945403) + (xy 82.944289 106.928009) + (xy 82.953248 106.920357) + (xy 82.973146 106.905901) + (xy 82.977415 106.898505) + (xy 82.989362 106.882935) + (xy 84.805547 105.06675) + (xy 84.808365 105.064075) + (xy 84.835712 105.039453) + (xy 84.838493 105.03695) + (xy 84.838493 105.036949) + (xy 84.838494 105.036949) + (xy 84.848496 105.01448) + (xy 84.854123 105.004117) + (xy 84.867516 104.983497) + (xy 84.86885 104.975072) + (xy 84.87443 104.956234) + (xy 84.877566 104.949192) + (xy 84.8779 104.948442) + (xy 84.8779 104.923846) + (xy 84.878826 104.912081) + (xy 84.882671 104.887806) + (xy 84.882129 104.885784) + (xy 84.880462 104.879559) + (xy 84.8779 104.860099) + (xy 84.8779 103.825547) + (xy 84.895493 103.777209) + (xy 84.899926 103.772373) + (xy 85.210256 103.462043) + (xy 85.368727 103.303572) + (xy 85.415346 103.281833) + (xy 85.465033 103.295147) + (xy 85.494538 103.337284) + (xy 85.4971 103.356746) + (xy 85.4971 103.497447) + (xy 85.497101 103.497448) + (xy 85.510323 103.563923) + (xy 85.539922 103.608222) + (xy 85.552148 103.658188) + (xy 85.539923 103.691777) + (xy 85.510323 103.736078) + (xy 85.510322 103.73608) + (xy 85.4971 103.802551) + (xy 85.4971 103.997447) + (xy 85.497101 103.997448) + (xy 85.510323 104.063923) + (xy 85.539922 104.108222) + (xy 85.552148 104.158188) + (xy 85.539923 104.191777) + (xy 85.510323 104.236078) + (xy 85.510322 104.23608) + (xy 85.4971 104.302551) + (xy 85.4971 104.497447) + (xy 85.497101 104.497448) + (xy 85.510323 104.563923) + (xy 85.539922 104.608222) + (xy 85.552148 104.658188) + (xy 85.539923 104.691777) + (xy 85.510323 104.736078) + (xy 85.510322 104.73608) + (xy 85.4971 104.802551) + (xy 85.4971 104.997447) + (xy 85.497101 104.997448) + (xy 85.510323 105.063923) + (xy 85.539922 105.108222) + (xy 85.552148 105.158188) + (xy 85.539923 105.191777) + (xy 85.510323 105.236078) + (xy 85.510322 105.23608) + (xy 85.4971 105.302551) + (xy 85.4971 105.451003) + (xy 85.479507 105.499341) + (xy 85.434958 105.525061) + (xy 85.387759 105.518006) + (xy 85.376057 105.512043) + (xy 85.25 105.492078) + (xy 85.123943 105.512043) + (xy 85.010228 105.569984) + (xy 84.919984 105.660228) + (xy 84.862043 105.773943) + (xy 84.842078 105.899999) + (xy 84.842078 105.9) + (xy 84.862043 106.026056) + (xy 84.917968 106.135815) + (xy 84.924237 106.186871) + (xy 84.89622 106.230012) + (xy 84.895169 106.230791) + (xy 84.876852 106.2441) + (xy 84.872581 106.251497) + (xy 84.860634 106.267064) + (xy 84.477625 106.650074) + (xy 84.431006 106.671814) + (xy 84.424452 106.6721) + (xy 84.157936 106.6721) + (xy 84.154 106.671997) + (xy 84.152192 106.671902) + (xy 84.113551 106.669876) + (xy 84.11355 106.669876) + (xy 84.090597 106.678688) + (xy 84.079286 106.682039) + (xy 84.055235 106.687151) + (xy 84.055229 106.687154) + (xy 84.048324 106.692171) + (xy 84.031085 106.701532) + (xy 84.023104 106.704596) + (xy 84.005712 106.721987) + (xy 83.996746 106.729644) + (xy 83.976855 106.744096) + (xy 83.976852 106.7441) + (xy 83.972584 106.751493) + (xy 83.960636 106.767063) + (xy 83.694446 107.033252) + (xy 83.691591 107.035961) + (xy 83.664834 107.060055) + (xy 83.661504 107.063053) + (xy 83.651508 107.085507) + (xy 83.645878 107.095877) + (xy 83.632485 107.116501) + (xy 83.632483 107.116506) + (xy 83.631148 107.124935) + (xy 83.625574 107.143754) + (xy 83.6221 107.151557) + (xy 83.6221 107.176152) + (xy 83.621174 107.187916) + (xy 83.617329 107.212191) + (xy 83.617329 107.212194) + (xy 83.619538 107.220438) + (xy 83.6221 107.2399) + (xy 83.6221 107.592062) + (xy 83.621997 107.595998) + (xy 83.619876 107.636448) + (xy 83.628688 107.659402) + (xy 83.632039 107.670715) + (xy 83.637151 107.694763) + (xy 83.637152 107.694766) + (xy 83.642169 107.701671) + (xy 83.651536 107.718922) + (xy 83.654596 107.726895) + (xy 83.654597 107.726896) + (xy 83.671985 107.744284) + (xy 83.679644 107.753252) + (xy 83.694097 107.773144) + (xy 83.694098 107.773145) + (xy 83.694099 107.773146) + (xy 83.701492 107.777414) + (xy 83.717067 107.789366) + (xy 83.900074 107.972373) + (xy 83.921814 108.018993) + (xy 83.9221 108.025547) + (xy 83.9221 108.026964) + (xy 83.904507 108.075302) + (xy 83.900075 108.080138) + (xy 83.819983 108.16023) + (xy 83.762043 108.273943) + (xy 83.742078 108.399999) + (xy 83.742078 108.4) + (xy 83.762043 108.526056) + (xy 83.809839 108.619861) + (xy 83.819984 108.639771) + (xy 83.910229 108.730016) + (xy 84.023943 108.787956) + (xy 84.023945 108.787957) + (xy 84.15 108.807922) + (xy 84.276055 108.787957) + (xy 84.389771 108.730016) + (xy 84.480016 108.639771) + (xy 84.537957 108.526055) + (xy 84.557922 108.4) + (xy 84.55723 108.395634) + (xy 84.550717 108.354507) + (xy 84.537957 108.273945) + (xy 84.480016 108.160229) + (xy 84.399925 108.080138) + (xy 84.378186 108.033518) + (xy 84.3779 108.026964) + (xy 84.3779 107.907936) + (xy 84.378003 107.904) + (xy 84.379484 107.875737) + (xy 84.380123 107.863552) + (xy 84.380122 107.863551) + (xy 84.380128 107.863456) + (xy 84.400227 107.816105) + (xy 84.443458 107.793119) + (xy 84.476055 107.787957) + (xy 84.589771 107.730016) + (xy 84.669862 107.649925) + (xy 84.716482 107.628186) + (xy 84.723036 107.6279) + (xy 85.520809 107.6279) + (xy 85.562587 107.640573) + (xy 85.599192 107.665031) + (xy 85.636078 107.689677) + (xy 85.702553 107.7029) + (xy 87.072446 107.702899) + (xy 87.072447 107.702899) + (xy 87.072447 107.702898) + (xy 87.138922 107.689677) + (xy 87.214307 107.639307) + (xy 87.264677 107.563922) + (xy 87.274716 107.513451) + (xy 87.301402 107.469476) + (xy 87.350111 107.452941) + (xy 87.398054 107.471585) + (xy 87.401645 107.474949) + (xy 87.975051 108.048355) + (xy 87.996791 108.094975) + (xy 87.983477 108.144662) + (xy 87.94134 108.174167) + (xy 87.936548 108.175284) + (xy 87.886077 108.185323) + (xy 87.810692 108.235693) + (xy 87.760323 108.311077) + (xy 87.760322 108.31108) + (xy 87.7471 108.377551) + (xy 87.7471 109.747447) + (xy 87.747101 109.747448) + (xy 87.753437 109.779303) + (xy 87.760323 109.813922) + (xy 87.810693 109.889307) + (xy 87.886078 109.939677) + (xy 87.952553 109.9529) + (xy 88.147446 109.952899) + (xy 88.147447 109.952899) + (xy 88.147447 109.952898) + (xy 88.213922 109.939677) + (xy 88.240656 109.921814) + (xy 88.258221 109.910078) + (xy 88.308187 109.897851) + (xy 88.341777 109.910076) + (xy 88.386078 109.939677) + (xy 88.452553 109.9529) + (xy 88.647446 109.952899) + (xy 88.647447 109.952899) + (xy 88.647447 109.952898) + (xy 88.713922 109.939677) + (xy 88.740656 109.921814) + (xy 88.758221 109.910078) + (xy 88.808187 109.897851) + (xy 88.841777 109.910076) + (xy 88.886078 109.939677) + (xy 88.952553 109.9529) + (xy 89.147446 109.952899) + (xy 89.147447 109.952899) + (xy 89.147447 109.952898) + (xy 89.213922 109.939677) + (xy 89.240656 109.921814) + (xy 89.258221 109.910078) + (xy 89.308187 109.897851) + (xy 89.341777 109.910076) + (xy 89.386078 109.939677) + (xy 89.452553 109.9529) + (xy 89.647446 109.952899) + (xy 89.691254 109.944185) + (xy 89.742093 109.95201) + (xy 89.77601 109.990684) + (xy 89.777132 110.042111) + (xy 89.759098 110.071114) + (xy 89.719982 110.11023) + (xy 89.708091 110.133567) + (xy 89.701929 110.143623) + (xy 89.686539 110.164805) + (xy 89.686537 110.16481) + (xy 89.678445 110.189712) + (xy 89.673933 110.200606) + (xy 89.662043 110.223943) + (xy 89.657945 110.249813) + (xy 89.655191 110.261281) + (xy 89.6471 110.286182) + (xy 89.6471 110.479998) + (xy 89.629507 110.528336) + (xy 89.625074 110.533172) + (xy 89.533172 110.625074) + (xy 89.486552 110.646814) + (xy 89.479998 110.6471) + (xy 89.265117 110.6471) + (xy 89.134883 110.6471) + (xy 89.134881 110.6471) + (xy 89.134875 110.647101) + (xy 89.106914 110.655311) + (xy 89.09694 110.657517) + (xy 89.064997 110.662332) + (xy 89.064989 110.662334) + (xy 89.042392 110.673216) + (xy 89.030957 110.677615) + (xy 89.009923 110.683792) + (xy 89.00992 110.683793) + (xy 88.982371 110.701497) + (xy 88.974353 110.705982) + (xy 88.944289 110.720462) + (xy 88.941988 110.72157) + (xy 88.93315 110.729771) + (xy 88.929041 110.733584) + (xy 88.926257 110.736167) + (xy 88.915766 110.744302) + (xy 88.900362 110.754202) + (xy 88.876554 110.781677) + (xy 88.870875 110.787553) + (xy 88.841907 110.814434) + (xy 88.832988 110.829881) + (xy 88.824701 110.841519) + (xy 88.815083 110.85262) + (xy 88.815078 110.852627) + (xy 88.798484 110.888963) + (xy 88.795206 110.895322) + (xy 88.773645 110.932666) + (xy 88.773645 110.932667) + (xy 88.770481 110.946527) + (xy 88.765574 110.961022) + (xy 88.760978 110.971086) + (xy 88.760975 110.971096) + (xy 88.754778 111.014196) + (xy 88.753659 111.020223) + (xy 88.743265 111.065768) + (xy 88.743264 111.065774) + (xy 88.744055 111.076328) + (xy 88.7435 111.092639) + (xy 88.742443 111.099995) + (xy 88.742443 111.099999) + (xy 88.742443 111.1) + (xy 88.749152 111.146665) + (xy 88.749707 111.151746) + (xy 88.753467 111.201919) + (xy 88.756004 111.208384) + (xy 88.760436 111.22515) + (xy 88.760976 111.22891) + (xy 88.782057 111.27507) + (xy 88.783654 111.278834) + (xy 88.803346 111.329006) + (xy 88.803347 111.329008) + (xy 88.80541 111.331595) + (xy 88.815012 111.347229) + (xy 88.815075 111.347367) + (xy 88.815077 111.347371) + (xy 88.815079 111.347374) + (xy 88.850084 111.387773) + (xy 88.850652 111.388428) + (xy 88.852612 111.390786) + (xy 88.888467 111.435746) + (xy 88.890097 111.437259) + (xy 88.896053 111.442563) + (xy 88.896301 111.442278) + (xy 88.900366 111.445801) + (xy 88.949074 111.477103) + (xy 88.950779 111.478232) + (xy 89.00127 111.512656) + (xy 89.002668 111.513329) + (xy 89.008406 111.515514) + (xy 89.00992 111.516205) + (xy 89.009924 111.516208) + (xy 89.009928 111.516209) + (xy 89.00993 111.51621) + (xy 89.022784 111.519984) + (xy 89.068949 111.533538) + (xy 89.06979 111.533791) + (xy 89.131736 111.5529) + (xy 89.134883 111.5529) + (xy 89.265117 111.5529) + (xy 89.479998 111.5529) + (xy 89.528336 111.570493) + (xy 89.533172 111.574925) + (xy 89.536007 111.57776) + (xy 89.536008 111.577761) + (xy 89.575074 111.616827) + (xy 89.596814 111.663446) + (xy 89.5971 111.670001) + (xy 89.5971 111.820345) + (xy 89.596627 111.828765) + (xy 89.592305 111.867121) + (xy 89.592305 111.86713) + (xy 89.603156 111.924479) + (xy 89.603627 111.927251) + (xy 89.612332 111.985003) + (xy 89.613993 111.990388) + (xy 89.613937 111.990405) + (xy 89.615768 111.99597) + (xy 89.615824 111.995951) + (xy 89.617685 112.00127) + (xy 89.617686 112.001273) + (xy 89.644975 112.052907) + (xy 89.646228 112.055389) + (xy 89.64784 112.058736) + (xy 89.671569 112.10801) + (xy 89.671574 112.108015) + (xy 89.674743 112.112664) + (xy 89.674693 112.112697) + (xy 89.678089 112.117482) + (xy 89.678137 112.117448) + (xy 89.681479 112.121977) + (xy 89.68148 112.121978) + (xy 89.681481 112.121979) + (xy 89.722775 112.163273) + (xy 89.724699 112.165271) + (xy 89.76443 112.208089) + (xy 89.764432 112.208091) + (xy 89.764433 112.208092) + (xy 89.76884 112.211606) + (xy 89.768802 112.211652) + (xy 89.778915 112.219412) + (xy 89.866408 112.306905) + (xy 89.870066 112.310833) + (xy 89.900364 112.345799) + (xy 89.940788 112.371777) + (xy 89.944772 112.374523) + (xy 89.985984 112.40494) + (xy 89.99168 112.406933) + (xy 90.007504 112.414653) + (xy 90.009923 112.416208) + (xy 90.029016 112.421814) + (xy 90.059459 112.430753) + (xy 90.063088 112.431919) + (xy 90.114849 112.450032) + (xy 90.117237 112.450121) + (xy 90.129851 112.452176) + (xy 90.13488 112.452899) + (xy 90.134883 112.4529) + (xy 90.190118 112.4529) + (xy 90.192927 112.452952) + (xy 90.211961 112.453665) + (xy 90.251279 112.455136) + (xy 90.251279 112.455135) + (xy 90.251281 112.455136) + (xy 90.251282 112.455135) + (xy 90.256881 112.454505) + (xy 90.256887 112.454563) + (xy 90.259866 112.454171) + (xy 90.259794 112.453665) + (xy 90.265113 112.4529) + (xy 90.265117 112.4529) + (xy 90.321589 112.436316) + (xy 90.323211 112.435861) + (xy 90.383157 112.4198) + (xy 90.383162 112.419796) + (xy 90.384643 112.419151) + (xy 90.389948 112.416289) + (xy 90.390072 112.416209) + (xy 90.390076 112.416208) + (xy 90.442641 112.382426) + (xy 90.44318 112.382084) + (xy 90.446472 112.380016) + (xy 90.498758 112.347163) + (xy 90.542926 112.295838) + (xy 90.584921 112.247374) + (xy 90.584922 112.24737) + (xy 90.587001 112.244972) + (xy 90.587812 112.243681) + (xy 90.587811 112.243681) + (xy 90.587813 112.24368) + (xy 90.613349 112.185148) + (xy 90.613825 112.184082) + (xy 90.639023 112.128909) + (xy 90.639023 112.128907) + (xy 90.640026 112.125492) + (xy 90.64201 112.12003) + (xy 90.642409 112.118542) + (xy 90.649151 112.058691) + (xy 90.649429 112.056525) + (xy 90.657557 112) + (xy 90.657557 111.999999) + (xy 90.657557 111.994617) + (xy 90.657804 111.994617) + (xy 90.657633 111.983425) + (xy 90.657633 111.983422) + (xy 90.657695 111.982875) + (xy 90.647171 111.927251) + (xy 90.64663 111.924001) + (xy 90.646622 111.923943) + (xy 90.639023 111.871091) + (xy 90.638584 111.87013) + (xy 90.633096 111.852866) + (xy 90.632314 111.848727) + (xy 90.607529 111.801833) + (xy 90.605638 111.797989) + (xy 90.584921 111.752626) + (xy 90.581841 111.749071) + (xy 90.572188 111.734964) + (xy 90.568517 111.728018) + (xy 90.5443 111.703802) + (xy 90.522559 111.657182) + (xy 90.535872 111.607495) + (xy 90.54429 111.597462) + (xy 90.563992 111.577761) + (xy 90.617551 111.468203) + (xy 90.6279 111.397174) + (xy 90.6279 111.25) + (xy 90.972601 111.25) + (xy 90.972601 111.397142) + (xy 90.982934 111.468059) + (xy 91.036421 111.577469) + (xy 91.12253 111.663578) + (xy 91.231941 111.717065) + (xy 91.23194 111.717065) + (xy 91.302863 111.727399) + (xy 91.4 111.727399) + (xy 91.4 111.25) + (xy 91.7 111.25) + (xy 91.7 111.727399) + (xy 91.79713 111.727399) + (xy 91.797142 111.727398) + (xy 91.868059 111.717065) + (xy 91.977469 111.663578) + (xy 92.063578 111.577469) + (xy 92.117065 111.468058) + (xy 92.127399 111.397141) + (xy 92.1274 111.397131) + (xy 92.1274 111.25) + (xy 91.7 111.25) + (xy 91.4 111.25) + (xy 90.972601 111.25) + (xy 90.6279 111.25) + (xy 90.6279 110.95) + (xy 90.9726 110.95) + (xy 91.4 110.95) + (xy 91.4 110.4726) + (xy 91.7 110.4726) + (xy 91.7 110.95) + (xy 92.127399 110.95) + (xy 92.127399 110.802869) + (xy 92.127398 110.802857) + (xy 92.117065 110.73194) + (xy 92.063578 110.62253) + (xy 91.977469 110.536421) + (xy 91.868058 110.482934) + (xy 91.868059 110.482934) + (xy 91.797141 110.4726) + (xy 91.7 110.4726) + (xy 91.4 110.4726) + (xy 91.30287 110.4726) + (xy 91.302856 110.472601) + (xy 91.23194 110.482934) + (xy 91.12253 110.536421) + (xy 91.036421 110.62253) + (xy 90.982934 110.731941) + (xy 90.9726 110.802858) + (xy 90.9726 110.95) + (xy 90.6279 110.95) + (xy 90.6279 110.802826) + (xy 90.627673 110.80127) + (xy 90.625442 110.785956) + (xy 90.617551 110.731797) + (xy 90.575493 110.645766) + (xy 90.57007 110.594617) + (xy 90.598795 110.551945) + (xy 90.608914 110.545738) + (xy 90.639771 110.530016) + (xy 90.730016 110.439771) + (xy 90.787957 110.326055) + (xy 90.801554 110.240201) + (xy 90.809529 110.218739) + (xy 90.809474 110.218718) + (xy 90.811036 110.214683) + (xy 90.811895 110.212374) + (xy 90.811988 110.212222) + (xy 90.811992 110.212218) + (xy 90.816599 110.200324) + (xy 90.824678 110.184997) + (xy 90.831892 110.174467) + (xy 90.838847 110.144892) + (xy 90.841926 110.134945) + (xy 90.8529 110.106622) + (xy 90.8529 110.093866) + (xy 90.854897 110.07665) + (xy 90.856199 110.071114) + (xy 90.857818 110.064231) + (xy 90.85362 110.034142) + (xy 90.8529 110.023753) + (xy 90.8529 110.016157) + (xy 90.870493 109.967819) + (xy 90.880393 109.958027) + (xy 90.9 109.941935) + (xy 90.9 108.183062) + (xy 90.880394 108.166973) + (xy 90.854189 108.122708) + (xy 90.8529 108.108842) + (xy 90.8529 108.061678) + (xy 90.854877 108.049563) + (xy 90.854229 108.049473) + (xy 90.855192 108.04257) + (xy 90.85298 107.994727) + (xy 90.8529 107.991254) + (xy 90.8529 107.971931) + (xy 90.8529 107.97193) + (xy 90.852566 107.970144) + (xy 90.851363 107.959779) + (xy 90.85106 107.953208) + (xy 90.851906 107.937979) + (xy 90.857922 107.9) + (xy 90.852464 107.865542) + (xy 90.862278 107.815049) + (xy 90.894956 107.785625) + (xy 90.919057 107.774388) + (xy 90.963979 107.742933) + (xy 90.997205 107.709705) + (xy 91.016232 107.695881) + (xy 91.063492 107.6718) + (xy 91.085854 107.664534) + (xy 91.138241 107.656238) + (xy 91.161761 107.656239) + (xy 91.214216 107.664547) + (xy 91.214217 107.664547) + (xy 91.214223 107.664548) + (xy 91.240301 107.666486) + (xy 91.240307 107.666485) + (xy 91.240309 107.666486) + (xy 91.262013 107.666296) + (xy 91.264727 107.666273) + (xy 91.284894 107.660774) + (xy 91.336156 107.665031) + (xy 91.35785 107.680149) + (xy 91.371985 107.694284) + (xy 91.379644 107.703252) + (xy 91.394096 107.723143) + (xy 91.397217 107.725953) + (xy 91.421368 107.771372) + (xy 91.4221 107.781839) + (xy 91.4221 107.97445) + (xy 91.404507 108.022788) + (xy 91.40007 108.027629) + (xy 91.394444 108.033254) + (xy 91.391593 108.035959) + (xy 91.361507 108.063048) + (xy 91.361505 108.06305) + (xy 91.351506 108.08551) + (xy 91.345878 108.095876) + (xy 91.332484 108.116501) + (xy 91.332483 108.116506) + (xy 91.331148 108.124935) + (xy 91.325572 108.143759) + (xy 91.321793 108.152246) + (xy 91.286058 108.189247) + (xy 91.2349 108.194623) + (xy 91.220693 108.188333) + (xy 91.220571 108.188629) + (xy 91.213725 108.185793) + (xy 91.2 108.183062) + (xy 91.2 109.941935) + (xy 91.213727 109.939205) + (xy 91.25777 109.909776) + (xy 91.307735 109.897549) + (xy 91.341325 109.909774) + (xy 91.386078 109.939677) + (xy 91.452553 109.9529) + (xy 91.647446 109.952899) + (xy 91.647447 109.952899) + (xy 91.647447 109.952898) + (xy 91.713922 109.939677) + (xy 91.713924 109.939675) + (xy 91.720768 109.936842) + (xy 91.721262 109.938034) + (xy 91.763519 109.92769) + (xy 91.809656 109.950436) + (xy 91.825543 109.97549) + (xy 91.828686 109.983676) + (xy 91.832039 109.994993) + (xy 91.836538 110.016157) + (xy 91.837152 110.019044) + (xy 91.837833 110.019982) + (xy 91.842169 110.025949) + (xy 91.851536 110.0432) + (xy 91.854596 110.051173) + (xy 91.854597 110.051174) + (xy 91.871985 110.068562) + (xy 91.879644 110.07753) + (xy 91.894097 110.097422) + (xy 91.894099 110.097424) + (xy 91.901495 110.101694) + (xy 91.917066 110.113643) + (xy 92.700074 110.896651) + (xy 92.721814 110.943271) + (xy 92.7221 110.949825) + (xy 92.7221 113.368252) + (xy 92.704507 113.41659) + (xy 92.659958 113.44231) + (xy 92.6093 113.433377) + (xy 92.593726 113.421426) + (xy 92.366758 113.194458) + (xy 92.364047 113.191602) + (xy 92.336949 113.161506) + (xy 92.336945 113.161503) + (xy 92.314486 113.151504) + (xy 92.304116 113.145874) + (xy 92.283496 113.132483) + (xy 92.275064 113.131148) + (xy 92.256243 113.125573) + (xy 92.248442 113.1221) + (xy 92.223848 113.1221) + (xy 92.212084 113.121174) + (xy 92.187808 113.117329) + (xy 92.187804 113.117329) + (xy 92.17956 113.119538) + (xy 92.160099 113.1221) + (xy 85.800547 113.1221) + (xy 85.752209 113.104507) + (xy 85.747373 113.100074) + (xy 83.249926 110.602626) + (xy 83.228186 110.556006) + (xy 83.2279 110.549452) + (xy 83.2279 109.667729) + (xy 83.245493 109.619391) + (xy 83.290042 109.593671) + (xy 83.291252 109.593469) + (xy 83.326055 109.587957) + (xy 83.439771 109.530016) + (xy 83.530016 109.439771) + (xy 83.587957 109.326055) + (xy 83.607922 109.2) + (xy 83.587957 109.073945) + (xy 83.530016 108.960229) + (xy 83.439771 108.869984) + (xy 83.326055 108.812043) + (xy 83.326057 108.812043) + (xy 83.2 108.792078) + (xy 83.073942 108.812043) + (xy 83.07394 108.812044) + (xy 83.037239 108.830744) + (xy 82.986182 108.837012) + (xy 82.943042 108.808996) + (xy 82.9279 108.76374) + (xy 82.9279 108.673035) + (xy 82.945493 108.624697) + (xy 82.949926 108.619861) + (xy 82.980788 108.588999) + (xy 83.030016 108.539771) + (xy 83.087957 108.426055) + (xy 83.107922 108.3) + (xy 83.104799 108.280285) + (xy 83.099465 108.246606) + (xy 83.087957 108.173945) + (xy 83.030016 108.060229) + (xy 82.939771 107.969984) + (xy 82.826055 107.912043) + (xy 82.826057 107.912043) + (xy 82.7 107.892078) + (xy 82.573943 107.912043) + (xy 82.460228 107.969984) + (xy 82.369984 108.060228) + (xy 82.312043 108.173943) + (xy 82.292078 108.299999) + (xy 82.292078 108.3) + (xy 82.312043 108.426056) + (xy 82.369984 108.539771) + (xy 82.450074 108.619861) + (xy 82.471814 108.666481) + (xy 82.4721 108.673035) + (xy 82.4721 108.76374) + (xy 82.454507 108.812078) + (xy 82.409958 108.837798) + (xy 82.362761 108.830744) + (xy 82.326059 108.812044) + (xy 82.326057 108.812043) + (xy 82.2 108.792078) + (xy 82.073943 108.812043) + (xy 81.960228 108.869984) + (xy 81.869984 108.960228) + (xy 81.812043 109.073943) + (xy 81.792078 109.199999) + (xy 81.792078 109.2) + (xy 81.812043 109.326056) + (xy 81.841352 109.383578) + (xy 81.869984 109.439771) + (xy 81.960229 109.530016) + (xy 82.073945 109.587957) + (xy 82.108664 109.593455) + (xy 82.153653 109.618392) + (xy 82.172089 109.666415) + (xy 82.1721 109.667729) + (xy 82.1721 110.942062) + (xy 82.171997 110.945998) + (xy 82.169876 110.986448) + (xy 82.178688 111.009402) + (xy 82.182039 111.020715) + (xy 82.187151 111.044763) + (xy 82.187152 111.044766) + (xy 82.192169 111.051671) + (xy 82.201536 111.068922) + (xy 82.204596 111.076895) + (xy 82.204597 111.076896) + (xy 82.221985 111.094284) + (xy 82.229644 111.103252) + (xy 82.244097 111.123144) + (xy 82.244099 111.123146) + (xy 82.251495 111.127416) + (xy 82.267066 111.139365) + (xy 85.233231 114.10553) + (xy 85.235942 114.108386) + (xy 85.263051 114.138494) + (xy 85.285516 114.148496) + (xy 85.295884 114.154125) + (xy 85.316504 114.167516) + (xy 85.324936 114.168851) + (xy 85.343755 114.174426) + (xy 85.351558 114.1779) + (xy 85.376147 114.1779) + (xy 85.38791 114.178825) + (xy 85.412194 114.182672) + (xy 85.418459 114.180993) + (xy 85.420443 114.180462) + (xy 85.439904 114.1779) + (xy 91.774453 114.1779) + (xy 91.822791 114.195493) + (xy 91.827627 114.199926) + (xy 94.483231 116.85553) + (xy 94.485942 116.858386) + (xy 94.495492 116.868993) + (xy 94.513051 116.888494) + (xy 94.535516 116.898495) + (xy 94.545887 116.904127) + (xy 94.566502 116.917516) + (xy 94.574928 116.91885) + (xy 94.593759 116.924428) + (xy 94.601553 116.927898) + (xy 94.601555 116.927898) + (xy 94.601558 116.9279) + (xy 94.626152 116.9279) + (xy 94.637915 116.928825) + (xy 94.662194 116.932671) + (xy 94.667253 116.931315) + (xy 94.67044 116.930462) + (xy 94.689901 116.9279) + (xy 96.574453 116.9279) + (xy 96.622791 116.945493) + (xy 96.627627 116.949926) + (xy 97.550074 117.872373) + (xy 97.571814 117.918993) + (xy 97.5721 117.925547) + (xy 97.5721 118.155219) + (xy 97.554507 118.203557) + (xy 97.538678 118.217746) + (xy 97.516327 118.23268) + (xy 97.466361 118.244906) + (xy 97.432769 118.232679) + (xy 97.365859 118.187969) + (xy 97.365857 118.187968) + (xy 97.3 118.174868) + (xy 97.3 119.925129) + (xy 97.365858 119.91203) + (xy 97.43277 119.86732) + (xy 97.482735 119.855093) + (xy 97.516327 119.867319) + (xy 97.583946 119.912501) + (xy 97.661363 119.9279) + (xy 97.938636 119.927899) + (xy 97.938637 119.927899) + (xy 97.938637 119.927898) + (xy 98.016054 119.912501) + (xy 98.083223 119.867619) + (xy 98.133186 119.855393) + (xy 98.166775 119.867618) + (xy 98.233946 119.912501) + (xy 98.311363 119.9279) + (xy 98.588636 119.927899) + (xy 98.588637 119.927899) + (xy 98.588637 119.927898) + (xy 98.666054 119.912501) + (xy 98.733223 119.867619) + (xy 98.783186 119.855393) + (xy 98.816775 119.867618) + (xy 98.883946 119.912501) + (xy 98.961363 119.9279) + (xy 99.238636 119.927899) + (xy 99.238637 119.927899) + (xy 99.238637 119.927898) + (xy 99.316054 119.912501) + (xy 99.383223 119.867619) + (xy 99.433186 119.855393) + (xy 99.466775 119.867618) + (xy 99.533946 119.912501) + (xy 99.611363 119.9279) + (xy 99.888636 119.927899) + (xy 99.888637 119.927899) + (xy 99.888637 119.927898) + (xy 99.966054 119.912501) + (xy 100.033223 119.867619) + (xy 100.083186 119.855393) + (xy 100.116775 119.867618) + (xy 100.183946 119.912501) + (xy 100.261363 119.9279) + (xy 100.538636 119.927899) + (xy 100.538637 119.927899) + (xy 100.538637 119.927898) + (xy 100.616054 119.912501) + (xy 100.683223 119.867619) + (xy 100.733186 119.855393) + (xy 100.766775 119.867618) + (xy 100.833946 119.912501) + (xy 100.911363 119.9279) + (xy 101.188636 119.927899) + (xy 101.188637 119.927899) + (xy 101.188637 119.927898) + (xy 101.266054 119.912501) + (xy 101.333223 119.867619) + (xy 101.383186 119.855393) + (xy 101.416775 119.867618) + (xy 101.483946 119.912501) + (xy 101.561363 119.9279) + (xy 101.838636 119.927899) + (xy 101.838637 119.927899) + (xy 101.838637 119.927898) + (xy 101.916054 119.912501) + (xy 101.983223 119.867619) + (xy 102.033186 119.855393) + (xy 102.066775 119.867618) + (xy 102.133946 119.912501) + (xy 102.211363 119.9279) + (xy 102.488636 119.927899) + (xy 102.488637 119.927899) + (xy 102.488637 119.927898) + (xy 102.566054 119.912501) + (xy 102.653842 119.853842) + (xy 102.712501 119.766054) + (xy 102.7279 119.688637) + (xy 102.7279 119.149999) + (xy 102.942078 119.149999) + (xy 102.942174 119.150606) + (xy 102.9431 119.162371) + (xy 102.9431 119.214448) + (xy 102.959192 119.263976) + (xy 102.961944 119.275436) + (xy 102.962041 119.276051) + (xy 102.962042 119.276054) + (xy 102.962321 119.276601) + (xy 102.966835 119.2875) + (xy 102.982927 119.337028) + (xy 102.982929 119.337032) + (xy 103.013537 119.379159) + (xy 103.0197 119.389216) + (xy 103.019981 119.389768) + (xy 103.019984 119.389772) + (xy 103.020417 119.390205) + (xy 103.02808 119.399176) + (xy 103.058691 119.441307) + (xy 103.100821 119.471917) + (xy 103.109793 119.479581) + (xy 103.110224 119.480012) + (xy 103.110227 119.480014) + (xy 103.110229 119.480016) + (xy 103.110775 119.480294) + (xy 103.120841 119.486462) + (xy 103.162966 119.517069) + (xy 103.162967 119.517069) + (xy 103.162968 119.51707) + (xy 103.212497 119.533162) + (xy 103.223396 119.537677) + (xy 103.223945 119.537957) + (xy 103.224551 119.538053) + (xy 103.236021 119.540806) + (xy 103.285554 119.5569) + (xy 103.33763 119.5569) + (xy 103.349392 119.557825) + (xy 103.35 119.557922) + (xy 103.350607 119.557825) + (xy 103.36237 119.5569) + (xy 103.414444 119.5569) + (xy 103.414446 119.5569) + (xy 103.463971 119.540808) + (xy 103.475442 119.538054) + (xy 103.475461 119.538051) + (xy 103.476055 119.537957) + (xy 103.476595 119.537681) + (xy 103.487508 119.533159) + (xy 103.537032 119.51707) + (xy 103.565163 119.496631) + (xy 103.61461 119.482451) + (xy 103.661603 119.503372) + (xy 103.66254 119.504294) + (xy 103.722235 119.563989) + (xy 103.722236 119.563989) + (xy 103.722239 119.563992) + (xy 103.831797 119.617551) + (xy 103.883848 119.625135) + (xy 103.902817 119.627899) + (xy 103.902822 119.627899) + (xy 103.902826 119.6279) + (xy 103.902828 119.6279) + (xy 104.497172 119.6279) + (xy 104.497174 119.6279) + (xy 104.497178 119.627899) + (xy 104.497182 119.627899) + (xy 104.506673 119.626516) + (xy 104.568203 119.617551) + (xy 104.677761 119.563992) + (xy 104.716827 119.524925) + (xy 104.763446 119.503186) + (xy 104.770001 119.5029) + (xy 105.10894 119.5029) + (xy 105.108943 119.5029) + (xy 105.210003 119.487668) + (xy 105.212507 119.486462) + (xy 105.214271 119.485613) + (xy 105.265455 119.48049) + (xy 105.307957 119.509466) + (xy 105.3221 119.553365) + (xy 105.3221 119.986152) + (xy 105.313905 120.020289) + (xy 105.312045 120.023937) + (xy 105.312042 120.023947) + (xy 105.292078 120.149999) + (xy 105.292078 120.15) + (xy 105.312043 120.276056) + (xy 105.360962 120.372065) + (xy 105.369984 120.389771) + (xy 105.460229 120.480016) + (xy 105.573943 120.537956) + (xy 105.573945 120.537957) + (xy 105.7 120.557922) + (xy 105.826055 120.537957) + (xy 105.939771 120.480016) + (xy 106.030016 120.389771) + (xy 106.05028 120.35) + (xy 113.3476 120.35) + (xy 113.55 120.35) + (xy 113.55 119.852601) + (xy 113.501518 119.862244) + (xy 113.41803 119.91803) + (xy 113.362244 120.001517) + (xy 113.362243 120.001519) + (xy 113.3476 120.075138) + (xy 113.3476 120.35) + (xy 106.05028 120.35) + (xy 106.087957 120.276055) + (xy 106.107922 120.15) + (xy 106.105277 120.133303) + (xy 106.096065 120.075138) + (xy 106.087957 120.023945) + (xy 106.087955 120.023941) + (xy 106.086127 120.018314) + (xy 106.088479 120.017549) + (xy 106.083412 119.97627) + (xy 106.111428 119.933129) + (xy 106.16062 119.91809) + (xy 106.171354 119.919432) + (xy 106.2 119.925129) + (xy 106.2 118.174868) + (xy 106.199999 118.174868) + (xy 106.171355 118.180566) + (xy 106.120514 118.172741) + (xy 106.086598 118.134066) + (xy 106.085476 118.082638) + (xy 106.086963 118.079114) + (xy 106.087956 118.076057) + (xy 106.087956 118.076056) + (xy 106.087957 118.076055) + (xy 106.107922 117.95) + (xy 106.107374 117.946543) + (xy 106.099619 117.897577) + (xy 106.087957 117.823945) + (xy 106.030016 117.710229) + (xy 105.939771 117.619984) + (xy 105.826055 117.562043) + (xy 105.826057 117.562043) + (xy 105.7 117.542078) + (xy 105.573943 117.562043) + (xy 105.460228 117.619984) + (xy 105.369984 117.710228) + (xy 105.312043 117.823943) + (xy 105.292078 117.949999) + (xy 105.292078 117.95) + (xy 105.312043 118.076056) + (xy 105.313902 118.079704) + (xy 105.3221 118.113847) + (xy 105.3221 118.550371) + (xy 105.304507 118.598709) + (xy 105.259958 118.624429) + (xy 105.224735 118.62223) + (xy 105.143265 118.5971) + (xy 105.143264 118.5971) + (xy 104.8281 118.5971) + (xy 104.779762 118.579507) + (xy 104.754042 118.534958) + (xy 104.7529 118.5219) + (xy 104.7529 118.14117) + (xy 104.753543 118.131355) + (xy 104.75408 118.127278) + (xy 104.757671 118.1) + (xy 104.75343 118.067788) + (xy 104.752963 118.063112) + (xy 104.7529 118.062198) + (xy 104.7529 118.062182) + (xy 104.749895 118.04032) + (xy 104.748007 118.026585) + (xy 104.747949 118.026159) + (xy 104.747547 118.023102) + (xy 104.738669 117.955664) + (xy 104.738668 117.955662) + (xy 104.738492 117.954322) + (xy 104.737766 117.950728) + (xy 104.737402 117.949427) + (xy 104.709274 117.884669) + (xy 104.708773 117.883488) + (xy 104.690675 117.839799) + (xy 104.682957 117.821165) + (xy 104.682951 117.821158) + (xy 104.680492 117.816897) + (xy 104.68093 117.816643) + (xy 104.679594 117.814375) + (xy 104.679524 117.814418) + (xy 104.67685 117.810021) + (xy 104.660878 117.790389) + (xy 104.634522 117.757993) + (xy 104.633199 117.756318) + (xy 104.626656 117.747791) + (xy 104.594333 117.705667) + (xy 104.594328 117.705663) + (xy 104.590847 117.702181) + (xy 104.590864 117.702163) + (xy 104.582439 117.693973) + (xy 104.580936 117.692127) + (xy 104.580934 117.692124) + (xy 104.580933 117.692123) + (xy 104.580932 117.692122) + (xy 104.52903 117.655486) + (xy 104.526665 117.653744) + (xy 104.478839 117.617044) + (xy 104.478826 117.617037) + (xy 104.476243 117.615967) + (xy 104.461665 117.607934) + (xy 104.456766 117.604476) + (xy 104.456763 117.604474) + (xy 104.456761 117.604473) + (xy 104.456757 117.604471) + (xy 104.456752 117.604469) + (xy 104.400314 117.58441) + (xy 104.396721 117.583029) + (xy 104.344337 117.561331) + (xy 104.344333 117.56133) + (xy 104.337974 117.560493) + (xy 104.322609 117.556795) + (xy 104.313548 117.553575) + (xy 104.257351 117.54973) + (xy 104.25267 117.549262) + (xy 104.2 117.542329) + (xy 104.199995 117.542329) + (xy 104.190053 117.543637) + (xy 104.175116 117.544105) + (xy 104.161916 117.543202) + (xy 104.16191 117.543203) + (xy 104.11028 117.553932) + (xy 104.104797 117.554861) + (xy 104.055668 117.56133) + (xy 104.055659 117.561332) + (xy 104.043064 117.566549) + (xy 104.029595 117.570698) + (xy 104.013108 117.574125) + (xy 104.013106 117.574125) + (xy 104.013104 117.574126) + (xy 104.013103 117.574126) + (xy 104.013098 117.574128) + (xy 103.969448 117.596746) + (xy 103.963631 117.599452) + (xy 103.921167 117.617041) + (xy 103.921165 117.617043) + (xy 103.907481 117.627542) + (xy 103.896305 117.634646) + (xy 103.878158 117.644049) + (xy 103.844842 117.675162) + (xy 103.839298 117.679859) + (xy 103.805665 117.705668) + (xy 103.805663 117.70567) + (xy 103.792975 117.722205) + (xy 103.784649 117.731378) + (xy 103.767078 117.747789) + (xy 103.767075 117.747792) + (xy 103.745245 117.783688) + (xy 103.740657 117.790389) + (xy 103.717043 117.821164) + (xy 103.717043 117.821165) + (xy 103.707688 117.843746) + (xy 103.702468 117.854032) + (xy 103.688108 117.877647) + (xy 103.688104 117.877657) + (xy 103.677737 117.914652) + (xy 103.674804 117.923134) + (xy 103.66133 117.955665) + (xy 103.65767 117.983462) + (xy 103.655526 117.993929) + (xy 103.6471 118.024006) + (xy 103.6471 118.058829) + (xy 103.646457 118.068644) + (xy 103.642638 118.097656) + (xy 103.642329 118.1) + (xy 103.644957 118.119961) + (xy 103.646457 118.131355) + (xy 103.6471 118.14117) + (xy 103.6471 118.5679) + (xy 103.629507 118.616238) + (xy 103.584958 118.641958) + (xy 103.5719 118.6431) + (xy 103.414881 118.6431) + (xy 103.414857 118.643101) + (xy 103.385553 118.643101) + (xy 103.379813 118.644966) + (xy 103.360345 118.651291) + (xy 103.348876 118.654044) + (xy 103.339193 118.655577) + (xy 103.322694 118.658191) + (xy 103.322692 118.658191) + (xy 103.322688 118.658193) + (xy 103.299074 118.670225) + (xy 103.288176 118.674739) + (xy 103.262967 118.68293) + (xy 103.24152 118.698512) + (xy 103.231464 118.704674) + (xy 103.207851 118.716706) + (xy 103.207844 118.716711) + (xy 103.184924 118.739631) + (xy 103.184921 118.739633) + (xy 103.184922 118.739634) + (xy 103.039637 118.884919) + (xy 103.039634 118.884923) + (xy 103.02808 118.900824) + (xy 103.020431 118.909781) + (xy 103.019986 118.910225) + (xy 103.01998 118.910233) + (xy 103.019693 118.910798) + (xy 103.01354 118.920835) + (xy 102.98293 118.962967) + (xy 102.980613 118.970098) + (xy 102.967387 119.010806) + (xy 102.966839 119.012492) + (xy 102.962327 119.023385) + (xy 102.962044 119.023938) + (xy 102.962043 119.023942) + (xy 102.961946 119.024558) + (xy 102.959194 119.036016) + (xy 102.9431 119.085549) + (xy 102.9431 119.137629) + (xy 102.942174 119.149392) + (xy 102.942078 119.149998) + (xy 102.942078 119.149999) + (xy 102.7279 119.149999) + (xy 102.727899 118.411364) + (xy 102.712501 118.333946) + (xy 102.653842 118.246158) + (xy 102.633601 118.232633) + (xy 102.611457 118.217836) + (xy 102.581041 118.176351) + (xy 102.57814 118.151372) + (xy 102.578367 118.147056) + (xy 102.580123 118.113552) + (xy 102.578719 118.109896) + (xy 102.57131 118.090595) + (xy 102.567958 118.07928) + (xy 102.562848 118.055234) + (xy 102.55783 118.048327) + (xy 102.548463 118.031075) + (xy 102.545403 118.023104) + (xy 102.545402 118.023102) + (xy 102.528018 118.005718) + (xy 102.520355 117.996747) + (xy 102.505901 117.976854) + (xy 102.50124 117.974163) + (xy 102.498503 117.972582) + (xy 102.482933 117.960634) + (xy 99.178574 114.656274) + (xy 99.156834 114.609654) + (xy 99.170148 114.559967) + (xy 99.212285 114.530462) + (xy 99.231748 114.5279) + (xy 99.774453 114.5279) + (xy 99.822791 114.545493) + (xy 99.827626 114.549925) + (xy 101.185667 115.907967) + (xy 102.53324 117.25554) + (xy 102.535951 117.258396) + (xy 102.554015 117.278459) + (xy 102.563051 117.288494) + (xy 102.585523 117.298498) + (xy 102.595874 117.304119) + (xy 102.616503 117.317516) + (xy 102.624928 117.31885) + (xy 102.643759 117.324428) + (xy 102.651553 117.327898) + (xy 102.651555 117.327898) + (xy 102.651558 117.3279) + (xy 102.676152 117.3279) + (xy 102.687915 117.328825) + (xy 102.712194 117.332671) + (xy 102.717253 117.331315) + (xy 102.72044 117.330462) + (xy 102.739901 117.3279) + (xy 106.124453 117.3279) + (xy 106.172791 117.345493) + (xy 106.177627 117.349926) + (xy 106.750074 117.922373) + (xy 106.771814 117.968993) + (xy 106.7721 117.975547) + (xy 106.7721 118.155219) + (xy 106.754507 118.203557) + (xy 106.738678 118.217746) + (xy 106.716327 118.23268) + (xy 106.666361 118.244906) + (xy 106.632769 118.232679) + (xy 106.565859 118.187969) + (xy 106.565857 118.187968) + (xy 106.5 118.174868) + (xy 106.5 119.925129) + (xy 106.565858 119.91203) + (xy 106.63277 119.86732) + (xy 106.682735 119.855093) + (xy 106.716327 119.867319) + (xy 106.783946 119.912501) + (xy 106.861363 119.9279) + (xy 107.138636 119.927899) + (xy 107.138637 119.927899) + (xy 107.138637 119.927898) + (xy 107.216054 119.912501) + (xy 107.283223 119.867619) + (xy 107.333186 119.855393) + (xy 107.366775 119.867618) + (xy 107.433946 119.912501) + (xy 107.511363 119.9279) + (xy 107.788636 119.927899) + (xy 107.788637 119.927899) + (xy 107.788637 119.927898) + (xy 107.866054 119.912501) + (xy 107.933223 119.867619) + (xy 107.983186 119.855393) + (xy 108.016775 119.867618) + (xy 108.083946 119.912501) + (xy 108.161363 119.9279) + (xy 108.438636 119.927899) + (xy 108.438637 119.927899) + (xy 108.438637 119.927898) + (xy 108.516054 119.912501) + (xy 108.583223 119.867619) + (xy 108.633186 119.855393) + (xy 108.666775 119.867618) + (xy 108.733946 119.912501) + (xy 108.811363 119.9279) + (xy 109.088636 119.927899) + (xy 109.088637 119.927899) + (xy 109.088637 119.927898) + (xy 109.166054 119.912501) + (xy 109.233223 119.867619) + (xy 109.283186 119.855393) + (xy 109.316775 119.867618) + (xy 109.383946 119.912501) + (xy 109.461363 119.9279) + (xy 109.738636 119.927899) + (xy 109.738637 119.927899) + (xy 109.738637 119.927898) + (xy 109.816054 119.912501) + (xy 109.883223 119.867619) + (xy 109.933186 119.855393) + (xy 109.966775 119.867618) + (xy 110.033946 119.912501) + (xy 110.111363 119.9279) + (xy 110.388636 119.927899) + (xy 110.388637 119.927899) + (xy 110.388637 119.927898) + (xy 110.466054 119.912501) + (xy 110.533223 119.867619) + (xy 110.583186 119.855393) + (xy 110.616775 119.867618) + (xy 110.683946 119.912501) + (xy 110.761363 119.9279) + (xy 111.038636 119.927899) + (xy 111.038637 119.927899) + (xy 111.038637 119.927898) + (xy 111.116054 119.912501) + (xy 111.183223 119.867619) + (xy 111.233186 119.855393) + (xy 111.266775 119.867618) + (xy 111.333946 119.912501) + (xy 111.411363 119.9279) + (xy 111.688636 119.927899) + (xy 111.688637 119.927899) + (xy 111.688637 119.927898) + (xy 111.766054 119.912501) + (xy 111.853842 119.853842) + (xy 111.854672 119.8526) + (xy 113.85 119.8526) + (xy 113.85 120.35) + (xy 114.2 120.35) + (xy 114.2 119.852601) + (xy 114.151518 119.862244) + (xy 114.06678 119.918866) + (xy 114.016814 119.931093) + (xy 113.98322 119.918866) + (xy 113.89848 119.862243) + (xy 113.85 119.8526) + (xy 111.854672 119.8526) + (xy 111.912501 119.766054) + (xy 111.9279 119.688637) + (xy 111.927899 118.600002) + (xy 126.042329 118.600002) + (xy 126.043637 118.609943) + (xy 126.044105 118.624882) + (xy 126.043202 118.638082) + (xy 126.043203 118.638087) + (xy 126.046519 118.654044) + (xy 126.052219 118.681477) + (xy 126.053932 118.689718) + (xy 126.054861 118.695201) + (xy 126.06133 118.744332) + (xy 126.061331 118.744337) + (xy 126.066549 118.756934) + (xy 126.070699 118.770406) + (xy 126.071916 118.776263) + (xy 126.074127 118.786899) + (xy 126.096744 118.830547) + (xy 126.09945 118.836365) + (xy 126.11704 118.878831) + (xy 126.117044 118.878837) + (xy 126.127539 118.892515) + (xy 126.134644 118.903692) + (xy 126.142164 118.918203) + (xy 126.144051 118.921844) + (xy 126.168468 118.947989) + (xy 126.175163 118.955157) + (xy 126.179854 118.960693) + (xy 126.205667 118.994333) + (xy 126.222202 119.007021) + (xy 126.231378 119.015349) + (xy 126.233969 119.018123) + (xy 126.245802 119.030794) + (xy 126.247791 119.032923) + (xy 126.283684 119.054749) + (xy 126.290382 119.059337) + (xy 126.32116 119.082955) + (xy 126.321162 119.082956) + (xy 126.321164 119.082957) + (xy 126.327422 119.085549) + (xy 126.343745 119.092311) + (xy 126.354032 119.09753) + (xy 126.377653 119.111894) + (xy 126.414645 119.122258) + (xy 126.423136 119.125195) + (xy 126.455661 119.138668) + (xy 126.455664 119.138669) + (xy 126.483465 119.142328) + (xy 126.493924 119.144471) + (xy 126.524006 119.1529) + (xy 126.558829 119.1529) + (xy 126.568644 119.153543) + (xy 126.6 119.157671) + (xy 126.631356 119.153543) + (xy 126.641171 119.1529) + (xy 127.20943 119.1529) + (xy 127.242457 119.16054) + (xy 127.256797 119.167551) + (xy 127.308848 119.175135) + (xy 127.327817 119.177899) + (xy 127.327822 119.177899) + (xy 127.327826 119.1779) + (xy 127.327828 119.1779) + (xy 128.372172 119.1779) + (xy 128.372174 119.1779) + (xy 128.372178 119.177899) + (xy 128.372182 119.177899) + (xy 128.381151 119.176592) + (xy 128.443203 119.167551) + (xy 128.552761 119.113992) + (xy 128.638992 119.027761) + (xy 128.692551 118.918203) + (xy 128.702488 118.85) + (xy 129.4976 118.85) + (xy 129.4976 118.995156) + (xy 129.512988 119.09231) + (xy 129.572656 119.209414) + (xy 129.665585 119.302343) + (xy 129.78269 119.362011) + (xy 129.782688 119.362011) + (xy 129.879843 119.377399) + (xy 129.87985 119.3774) + (xy 130.2 119.3774) + (xy 130.2 118.85) + (xy 130.5 118.85) + (xy 130.5 119.3774) + (xy 130.82015 119.3774) + (xy 130.820156 119.377399) + (xy 130.91731 119.362011) + (xy 131.034414 119.302343) + (xy 131.127343 119.209414) + (xy 131.187011 119.09231) + (xy 131.202399 118.995156) + (xy 131.2024 118.995149) + (xy 131.2024 118.85) + (xy 131.8976 118.85) + (xy 131.8976 118.995156) + (xy 131.912988 119.09231) + (xy 131.972656 119.209414) + (xy 132.065585 119.302343) + (xy 132.18269 119.362011) + (xy 132.182688 119.362011) + (xy 132.279843 119.377399) + (xy 132.27985 119.3774) + (xy 132.6 119.3774) + (xy 132.6 118.85) + (xy 132.9 118.85) + (xy 132.9 119.3774) + (xy 133.22015 119.3774) + (xy 133.220156 119.377399) + (xy 133.31731 119.362011) + (xy 133.434414 119.302343) + (xy 133.527343 119.209414) + (xy 133.587011 119.09231) + (xy 133.602399 118.995156) + (xy 133.6024 118.995149) + (xy 133.6024 118.85) + (xy 132.9 118.85) + (xy 132.6 118.85) + (xy 131.8976 118.85) + (xy 131.2024 118.85) + (xy 130.5 118.85) + (xy 130.2 118.85) + (xy 129.4976 118.85) + (xy 128.702488 118.85) + (xy 128.7029 118.847174) + (xy 128.7029 118.69946) + (xy 134.3721 118.69946) + (xy 134.374371 118.716706) + (xy 134.378147 118.745391) + (xy 134.425151 118.846194) + (xy 134.425156 118.846201) + (xy 134.503798 118.924843) + (xy 134.503801 118.924845) + (xy 134.503803 118.924847) + (xy 134.604609 118.971853) + (xy 134.65054 118.9779) + (xy 134.650542 118.9779) + (xy 135.749458 118.9779) + (xy 135.74946 118.9779) + (xy 135.795391 118.971853) + (xy 135.896197 118.924847) + (xy 135.974847 118.846197) + (xy 136.021853 118.745391) + (xy 136.0279 118.69946) + (xy 136.4721 118.69946) + (xy 136.474371 118.716706) + (xy 136.478147 118.745391) + (xy 136.525151 118.846194) + (xy 136.525156 118.846201) + (xy 136.601135 118.92218) + (xy 136.622875 118.9688) + (xy 136.609561 119.018487) + (xy 136.601135 119.028528) + (xy 136.525573 119.104089) + (xy 136.525567 119.104097) + (xy 136.478638 119.204736) + (xy 136.478636 119.204742) + (xy 136.4726 119.250599) + (xy 136.4726 119.3) + (xy 138.1274 119.3) + (xy 138.1274 119.250599) + (xy 138.121363 119.204742) + (xy 138.121361 119.204736) + (xy 138.074432 119.104097) + (xy 138.074426 119.104089) + (xy 137.998865 119.028528) + (xy 137.977125 118.981908) + (xy 137.990439 118.932221) + (xy 137.998865 118.92218) + (xy 138.071044 118.85) + (xy 139.2476 118.85) + (xy 139.2476 118.995156) + (xy 139.262988 119.09231) + (xy 139.322656 119.209414) + (xy 139.415585 119.302343) + (xy 139.53269 119.362011) + (xy 139.532688 119.362011) + (xy 139.629843 119.377399) + (xy 139.62985 119.3774) + (xy 139.95 119.3774) + (xy 139.95 118.85) + (xy 140.25 118.85) + (xy 140.25 119.3774) + (xy 140.57015 119.3774) + (xy 140.570156 119.377399) + (xy 140.66731 119.362011) + (xy 140.784414 119.302343) + (xy 140.877343 119.209414) + (xy 140.937011 119.09231) + (xy 140.952399 118.995156) + (xy 140.9524 118.995149) + (xy 140.9524 118.85) + (xy 140.25 118.85) + (xy 139.95 118.85) + (xy 139.2476 118.85) + (xy 138.071044 118.85) + (xy 138.074843 118.846201) + (xy 138.074847 118.846197) + (xy 138.121853 118.745391) + (xy 138.1279 118.69946) + (xy 138.1279 118.55) + (xy 139.2476 118.55) + (xy 139.95 118.55) + (xy 139.95 118.0226) + (xy 140.25 118.0226) + (xy 140.25 118.55) + (xy 140.9524 118.55) + (xy 140.9524 118.40485) + (xy 140.952399 118.404843) + (xy 140.937011 118.307689) + (xy 140.877343 118.190585) + (xy 140.784414 118.097656) + (xy 140.667309 118.037988) + (xy 140.667311 118.037988) + (xy 140.570156 118.0226) + (xy 140.25 118.0226) + (xy 139.95 118.0226) + (xy 139.629843 118.0226) + (xy 139.532689 118.037988) + (xy 139.415585 118.097656) + (xy 139.322656 118.190585) + (xy 139.262988 118.307689) + (xy 139.2476 118.404843) + (xy 139.2476 118.55) + (xy 138.1279 118.55) + (xy 138.1279 118.30054) + (xy 138.121853 118.254609) + (xy 138.074847 118.153803) + (xy 138.074845 118.153801) + (xy 138.074843 118.153798) + (xy 137.996201 118.075156) + (xy 137.996194 118.075151) + (xy 137.895391 118.028147) + (xy 137.84946 118.0221) + (xy 137.849458 118.0221) + (xy 137.8281 118.0221) + (xy 137.779762 118.004507) + (xy 137.754042 117.959958) + (xy 137.7529 117.9469) + (xy 137.7529 117.787771) + (xy 137.753665 117.77707) + (xy 137.756407 117.757996) + (xy 137.757557 117.75) + (xy 137.753502 117.721801) + (xy 137.75295 117.71673) + (xy 137.7529 117.716072) + (xy 137.7529 117.716057) + (xy 137.748057 117.683928) + (xy 137.739023 117.621091) + (xy 137.738771 117.619338) + (xy 137.738175 117.616644) + (xy 137.737668 117.615001) + (xy 137.737668 117.614997) + (xy 137.710357 117.558284) + (xy 137.709706 117.556896) + (xy 137.699004 117.533462) + (xy 137.684921 117.502626) + (xy 137.684919 117.502624) + (xy 137.682012 117.4981) + (xy 137.682546 117.497756) + (xy 137.681752 117.496543) + (xy 137.681604 117.496645) + (xy 137.67843 117.491989) + (xy 137.638081 117.448504) + (xy 137.636392 117.44662) + (xy 137.599638 117.404203) + (xy 137.595574 117.400682) + (xy 137.595651 117.400592) + (xy 137.586574 117.392993) + (xy 137.58557 117.391911) + (xy 137.585569 117.39191) + (xy 137.585568 117.391909) + (xy 137.537322 117.364054) + (xy 137.534268 117.362192) + (xy 137.490079 117.333793) + (xy 137.490076 117.333792) + (xy 137.488179 117.333235) + (xy 137.471775 117.32621) + (xy 137.467332 117.323645) + (xy 137.46733 117.323644) + (xy 137.467329 117.323644) + (xy 137.416536 117.31205) + (xy 137.412087 117.31089) + (xy 137.365118 117.2971) + (xy 137.365117 117.2971) + (xy 137.359505 117.2971) + (xy 137.342771 117.295214) + (xy 137.334233 117.293265) + (xy 137.334229 117.293264) + (xy 137.285852 117.29689) + (xy 137.280233 117.2971) + (xy 137.234881 117.2971) + (xy 137.226011 117.299705) + (xy 137.210448 117.30254) + (xy 137.198083 117.303466) + (xy 137.156262 117.319879) + (xy 137.14998 117.322029) + (xy 137.109923 117.333792) + (xy 137.109921 117.333793) + (xy 137.099085 117.340756) + (xy 137.085914 117.347489) + (xy 137.070996 117.353344) + (xy 137.070993 117.353346) + (xy 137.038693 117.379103) + (xy 137.032468 117.383568) + (xy 137.000364 117.404201) + (xy 137.000363 117.404201) + (xy 137.000363 117.404202) + (xy 136.98955 117.416679) + (xy 136.979612 117.426219) + (xy 136.96425 117.43847) + (xy 136.964247 117.438473) + (xy 136.943008 117.469625) + (xy 136.937711 117.476505) + (xy 136.915078 117.502627) + (xy 136.906719 117.520928) + (xy 136.900452 117.532043) + (xy 136.887344 117.55127) + (xy 136.887339 117.55128) + (xy 136.877287 117.583866) + (xy 136.873834 117.592935) + (xy 136.860978 117.621086) + (xy 136.860976 117.621094) + (xy 136.857598 117.644583) + (xy 136.855025 117.656038) + (xy 136.8471 117.681735) + (xy 136.8471 117.712228) + (xy 136.846335 117.722929) + (xy 136.842443 117.75) + (xy 136.846335 117.77707) + (xy 136.8471 117.787771) + (xy 136.8471 117.9469) + (xy 136.829507 117.995238) + (xy 136.784958 118.020958) + (xy 136.7719 118.0221) + (xy 136.75054 118.0221) + (xy 136.719919 118.026131) + (xy 136.704608 118.028147) + (xy 136.603805 118.075151) + (xy 136.603798 118.075156) + (xy 136.525156 118.153798) + (xy 136.525151 118.153805) + (xy 136.478147 118.254608) + (xy 136.478147 118.254609) + (xy 136.4721 118.30054) + (xy 136.4721 118.69946) + (xy 136.0279 118.69946) + (xy 136.0279 118.30054) + (xy 136.021853 118.254609) + (xy 135.974847 118.153803) + (xy 135.974845 118.153801) + (xy 135.974843 118.153798) + (xy 135.896201 118.075156) + (xy 135.896194 118.075151) + (xy 135.795391 118.028147) + (xy 135.74946 118.0221) + (xy 134.65054 118.0221) + (xy 134.619919 118.026131) + (xy 134.604608 118.028147) + (xy 134.503805 118.075151) + (xy 134.503798 118.075156) + (xy 134.425156 118.153798) + (xy 134.425151 118.153805) + (xy 134.378147 118.254608) + (xy 134.378147 118.254609) + (xy 134.3721 118.30054) + (xy 134.3721 118.69946) + (xy 128.7029 118.69946) + (xy 128.7029 118.55) + (xy 129.4976 118.55) + (xy 130.2 118.55) + (xy 130.2 118.0226) + (xy 130.5 118.0226) + (xy 130.5 118.55) + (xy 131.2024 118.55) + (xy 131.8976 118.55) + (xy 132.6 118.55) + (xy 132.6 118.0226) + (xy 132.9 118.0226) + (xy 132.9 118.55) + (xy 133.6024 118.55) + (xy 133.6024 118.40485) + (xy 133.602399 118.404843) + (xy 133.587011 118.307689) + (xy 133.527343 118.190585) + (xy 133.434414 118.097656) + (xy 133.317309 118.037988) + (xy 133.317311 118.037988) + (xy 133.220156 118.0226) + (xy 132.9 118.0226) + (xy 132.6 118.0226) + (xy 132.279843 118.0226) + (xy 132.182689 118.037988) + (xy 132.065585 118.097656) + (xy 131.972656 118.190585) + (xy 131.912988 118.307689) + (xy 131.8976 118.404843) + (xy 131.8976 118.55) + (xy 131.2024 118.55) + (xy 131.2024 118.40485) + (xy 131.202399 118.404843) + (xy 131.187011 118.307689) + (xy 131.127343 118.190585) + (xy 131.034414 118.097656) + (xy 130.917309 118.037988) + (xy 130.917311 118.037988) + (xy 130.820156 118.0226) + (xy 130.5 118.0226) + (xy 130.2 118.0226) + (xy 129.879843 118.0226) + (xy 129.782689 118.037988) + (xy 129.665585 118.097656) + (xy 129.572656 118.190585) + (xy 129.512988 118.307689) + (xy 129.4976 118.404843) + (xy 129.4976 118.55) + (xy 128.7029 118.55) + (xy 128.7029 118.352826) + (xy 128.692551 118.281797) + (xy 128.638992 118.172239) + (xy 128.638989 118.172236) + (xy 128.638989 118.172235) + (xy 128.552764 118.08601) + (xy 128.552762 118.086009) + (xy 128.552761 118.086008) + (xy 128.497165 118.058829) + (xy 128.445072 118.033362) + (xy 128.409373 117.996326) + (xy 128.4029 117.965803) + (xy 128.4029 117.69117) + (xy 128.403543 117.681355) + (xy 128.404358 117.675162) + (xy 128.407671 117.65) + (xy 128.40343 117.617788) + (xy 128.402963 117.613112) + (xy 128.4029 117.612198) + (xy 128.4029 117.612182) + (xy 128.399646 117.588511) + (xy 128.398007 117.576585) + (xy 128.397949 117.576159) + (xy 128.397682 117.574128) + (xy 128.388669 117.505664) + (xy 128.388668 117.505662) + (xy 128.388492 117.504322) + (xy 128.387766 117.500728) + (xy 128.387402 117.499427) + (xy 128.359274 117.434669) + (xy 128.358773 117.433488) + (xy 128.341549 117.391909) + (xy 128.332957 117.371165) + (xy 128.332951 117.371158) + (xy 128.330492 117.366897) + (xy 128.33093 117.366643) + (xy 128.329594 117.364375) + (xy 128.329524 117.364418) + (xy 128.32685 117.360021) + (xy 128.295179 117.321092) + (xy 128.284522 117.307993) + (xy 128.283199 117.306318) + (xy 128.279844 117.301946) + (xy 128.244333 117.255667) + (xy 128.244328 117.255663) + (xy 128.240847 117.252181) + (xy 128.240864 117.252163) + (xy 128.232439 117.243973) + (xy 128.230936 117.242127) + (xy 128.230934 117.242124) + (xy 128.230933 117.242123) + (xy 128.230932 117.242122) + (xy 128.17903 117.205486) + (xy 128.176665 117.203744) + (xy 128.128839 117.167044) + (xy 128.128826 117.167037) + (xy 128.126243 117.165967) + (xy 128.111665 117.157934) + (xy 128.106766 117.154476) + (xy 128.106763 117.154474) + (xy 128.106761 117.154473) + (xy 128.106757 117.154471) + (xy 128.106752 117.154469) + (xy 128.050314 117.13441) + (xy 128.046721 117.133029) + (xy 127.994337 117.111331) + (xy 127.994333 117.11133) + (xy 127.987974 117.110493) + (xy 127.972609 117.106795) + (xy 127.963548 117.103575) + (xy 127.907351 117.09973) + (xy 127.90267 117.099262) + (xy 127.85 117.092329) + (xy 127.849995 117.092329) + (xy 127.840053 117.093637) + (xy 127.825116 117.094105) + (xy 127.811916 117.093202) + (xy 127.81191 117.093203) + (xy 127.76028 117.103932) + (xy 127.754797 117.104861) + (xy 127.705668 117.11133) + (xy 127.705659 117.111332) + (xy 127.693064 117.116549) + (xy 127.679595 117.120698) + (xy 127.663108 117.124125) + (xy 127.663106 117.124125) + (xy 127.663104 117.124126) + (xy 127.663103 117.124126) + (xy 127.663098 117.124128) + (xy 127.619448 117.146746) + (xy 127.613631 117.149452) + (xy 127.571167 117.167041) + (xy 127.571165 117.167043) + (xy 127.557481 117.177542) + (xy 127.546305 117.184646) + (xy 127.528158 117.194049) + (xy 127.494842 117.225162) + (xy 127.489298 117.229859) + (xy 127.455665 117.255668) + (xy 127.455663 117.25567) + (xy 127.442975 117.272205) + (xy 127.434649 117.281378) + (xy 127.417078 117.297789) + (xy 127.417075 117.297792) + (xy 127.395245 117.333688) + (xy 127.390657 117.340389) + (xy 127.367043 117.371164) + (xy 127.367043 117.371165) + (xy 127.357688 117.393746) + (xy 127.352468 117.404032) + (xy 127.338108 117.427647) + (xy 127.338104 117.427657) + (xy 127.327737 117.464652) + (xy 127.324804 117.473134) + (xy 127.31133 117.505665) + (xy 127.30767 117.533462) + (xy 127.305526 117.543929) + (xy 127.2971 117.574006) + (xy 127.2971 117.608829) + (xy 127.296457 117.618644) + (xy 127.292329 117.649999) + (xy 127.296457 117.681355) + (xy 127.2971 117.69117) + (xy 127.2971 117.965803) + (xy 127.279507 118.014141) + (xy 127.254931 118.03336) + (xy 127.242461 118.039457) + (xy 127.20943 118.0471) + (xy 126.641171 118.0471) + (xy 126.631356 118.046457) + (xy 126.629053 118.046153) + (xy 126.6 118.042329) + (xy 126.599999 118.042329) + (xy 126.599998 118.042329) + (xy 126.567792 118.046568) + (xy 126.563137 118.047034) + (xy 126.56219 118.047099) + (xy 126.562183 118.0471) + (xy 126.562182 118.0471) + (xy 126.559491 118.047469) + (xy 126.526564 118.051994) + (xy 126.526145 118.05205) + (xy 126.454352 118.061503) + (xy 126.45072 118.062236) + (xy 126.449429 118.062597) + (xy 126.449427 118.062598) + (xy 126.449424 118.062598) + (xy 126.449421 118.0626) + (xy 126.38461 118.090749) + (xy 126.383433 118.091249) + (xy 126.321163 118.117043) + (xy 126.316898 118.119506) + (xy 126.316652 118.119079) + (xy 126.31438 118.120414) + (xy 126.314418 118.120476) + (xy 126.31002 118.12315) + (xy 126.258011 118.165461) + (xy 126.256335 118.166785) + (xy 126.205666 118.205666) + (xy 126.202187 118.209146) + (xy 126.20217 118.209129) + (xy 126.193985 118.217551) + (xy 126.192125 118.219064) + (xy 126.19212 118.21907) + (xy 126.155508 118.270936) + (xy 126.153734 118.273345) + (xy 126.117046 118.321159) + (xy 126.117042 118.321167) + (xy 126.115969 118.323757) + (xy 126.107938 118.338329) + (xy 126.104474 118.343237) + (xy 126.104472 118.34324) + (xy 126.084409 118.399688) + (xy 126.083028 118.40328) + (xy 126.06133 118.455664) + (xy 126.060493 118.462024) + (xy 126.056797 118.477385) + (xy 126.053574 118.486452) + (xy 126.04973 118.542649) + (xy 126.049262 118.54733) + (xy 126.042329 118.6) + (xy 126.042329 118.600002) + (xy 111.927899 118.600002) + (xy 111.927899 118.411364) + (xy 111.912501 118.333946) + (xy 111.853842 118.246158) + (xy 111.766054 118.187499) + (xy 111.766052 118.187498) + (xy 111.688637 118.1721) + (xy 111.411362 118.1721) + (xy 111.411361 118.172101) + (xy 111.333946 118.187498) + (xy 111.266779 118.232379) + (xy 111.216813 118.244605) + (xy 111.183221 118.232379) + (xy 111.152728 118.212004) + (xy 111.116054 118.187499) + (xy 111.116052 118.187498) + (xy 111.038637 118.1721) + (xy 110.761362 118.1721) + (xy 110.761361 118.172101) + (xy 110.683945 118.187499) + (xy 110.616777 118.232379) + (xy 110.566812 118.244605) + (xy 110.53322 118.232378) + (xy 110.511458 118.217837) + (xy 110.481041 118.176354) + (xy 110.47814 118.151377) + (xy 110.480123 118.113552) + (xy 110.478719 118.109895) + (xy 110.471311 118.090595) + (xy 110.467959 118.07928) + (xy 110.462848 118.055235) + (xy 110.462848 118.055234) + (xy 110.461744 118.053715) + (xy 110.457831 118.048329) + (xy 110.448463 118.031074) + (xy 110.445404 118.023104) + (xy 110.438922 118.016622) + (xy 110.428007 118.005707) + (xy 110.420354 117.996747) + (xy 110.405901 117.976854) + (xy 110.4059 117.976853) + (xy 110.405899 117.976852) + (xy 110.398506 117.972584) + (xy 110.382934 117.960634) + (xy 107.9223 115.5) + (xy 112.322601 115.5) + (xy 112.322601 115.647142) + (xy 112.332934 115.718059) + (xy 112.386421 115.827469) + (xy 112.47253 115.913578) + (xy 112.581941 115.967065) + (xy 112.58194 115.967065) + (xy 112.652863 115.977399) + (xy 112.75 115.977399) + (xy 112.75 115.5) + (xy 113.05 115.5) + (xy 113.05 115.977399) + (xy 113.14713 115.977399) + (xy 113.147142 115.977398) + (xy 113.218059 115.967065) + (xy 113.327469 115.913578) + (xy 113.413578 115.827469) + (xy 113.467065 115.718058) + (xy 113.477399 115.647141) + (xy 113.4774 115.647131) + (xy 113.4774 115.5) + (xy 113.05 115.5) + (xy 112.75 115.5) + (xy 112.322601 115.5) + (xy 107.9223 115.5) + (xy 107.866758 115.444458) + (xy 107.864047 115.441602) + (xy 107.836949 115.411506) + (xy 107.836945 115.411503) + (xy 107.814486 115.401504) + (xy 107.804116 115.395874) + (xy 107.783496 115.382483) + (xy 107.775064 115.381148) + (xy 107.756243 115.375573) + (xy 107.748442 115.3721) + (xy 107.723848 115.3721) + (xy 107.712084 115.371174) + (xy 107.687808 115.367329) + (xy 107.687804 115.367329) + (xy 107.67956 115.369538) + (xy 107.660099 115.3721) + (xy 103.575547 115.3721) + (xy 103.527209 115.354507) + (xy 103.522373 115.350074) + (xy 103.372299 115.2) + (xy 112.3226 115.2) + (xy 112.75 115.2) + (xy 112.75 114.7226) + (xy 113.05 114.7226) + (xy 113.05 115.2) + (xy 113.477399 115.2) + (xy 113.477399 115.052869) + (xy 113.477398 115.052857) + (xy 113.467065 114.98194) + (xy 113.413578 114.87253) + (xy 113.327469 114.786421) + (xy 113.218058 114.732934) + (xy 113.218059 114.732934) + (xy 113.147141 114.7226) + (xy 113.05 114.7226) + (xy 112.75 114.7226) + (xy 112.65287 114.7226) + (xy 112.652856 114.722601) + (xy 112.58194 114.732934) + (xy 112.47253 114.786421) + (xy 112.386421 114.87253) + (xy 112.332934 114.981941) + (xy 112.3226 115.052858) + (xy 112.3226 115.2) + (xy 103.372299 115.2) + (xy 102.627675 114.455376) + (xy 113.792199 114.455376) + (xy 113.792369 114.466546) + (xy 113.792304 114.467121) + (xy 113.792304 114.467125) + (xy 113.802825 114.522735) + (xy 113.80337 114.526011) + (xy 113.810975 114.578905) + (xy 113.810977 114.57891) + (xy 113.811417 114.579873) + (xy 113.816903 114.597133) + (xy 113.817686 114.601273) + (xy 113.817688 114.601277) + (xy 113.842458 114.648147) + (xy 113.844376 114.652043) + (xy 113.852512 114.669858) + (xy 113.865079 114.697374) + (xy 113.86508 114.697375) + (xy 113.868157 114.700927) + (xy 113.877809 114.715031) + (xy 113.881482 114.721981) + (xy 113.905699 114.746197) + (xy 113.92744 114.792817) + (xy 113.914127 114.842504) + (xy 113.9057 114.852546) + (xy 113.88601 114.872235) + (xy 113.886008 114.872238) + (xy 113.886008 114.872239) + (xy 113.854182 114.93734) + (xy 113.832449 114.981797) + (xy 113.8221 115.052817) + (xy 113.8221 115.647182) + (xy 113.829185 115.695801) + (xy 113.832449 115.718203) + (xy 113.886008 115.827761) + (xy 113.905699 115.847452) + (xy 113.92744 115.894071) + (xy 113.914127 115.943759) + (xy 113.907552 115.951596) + (xy 113.907583 115.951623) + (xy 113.90575 115.953751) + (xy 113.897859 115.964443) + (xy 113.894192 115.969025) + (xy 113.865078 116.002627) + (xy 113.865077 116.002628) + (xy 113.860859 116.011863) + (xy 113.852967 116.025268) + (xy 113.84506 116.035982) + (xy 113.83052 116.077532) + (xy 113.827947 116.083929) + (xy 113.810976 116.121093) + (xy 113.809015 116.134732) + (xy 113.805562 116.148862) + (xy 113.799967 116.164852) + (xy 113.798456 116.205233) + (xy 113.797744 116.21312) + (xy 113.792443 116.249998) + (xy 113.792443 116.250004) + (xy 113.794919 116.267233) + (xy 113.795632 116.280738) + (xy 113.794864 116.301282) + (xy 113.794864 116.301285) + (xy 113.80439 116.33684) + (xy 113.806186 116.345596) + (xy 113.810976 116.378909) + (xy 113.810977 116.378911) + (xy 113.819711 116.398036) + (xy 113.823942 116.409804) + (xy 113.828476 116.426724) + (xy 113.8302 116.433158) + (xy 113.847866 116.461272) + (xy 113.852597 116.470043) + (xy 113.865077 116.497371) + (xy 113.86508 116.497376) + (xy 113.881212 116.515993) + (xy 113.888048 116.525223) + (xy 113.902837 116.548758) + (xy 113.925268 116.568061) + (xy 113.933046 116.575813) + (xy 113.939628 116.583409) + (xy 113.950364 116.595799) + (xy 113.957371 116.600302) + (xy 113.974124 116.611068) + (xy 113.982514 116.617326) + (xy 114.00632 116.637813) + (xy 114.030136 116.648203) + (xy 114.040717 116.653865) + (xy 114.058327 116.665182) + (xy 114.059924 116.666208) + (xy 114.075463 116.670771) + (xy 114.090487 116.675183) + (xy 114.099371 116.67841) + (xy 114.131457 116.692409) + (xy 114.153676 116.694912) + (xy 114.166445 116.697486) + (xy 114.175893 116.70026) + (xy 114.184883 116.7029) + (xy 114.220346 116.7029) + (xy 114.228766 116.703373) + (xy 114.267125 116.707695) + (xy 114.285539 116.70421) + (xy 114.299519 116.7029) + (xy 114.315115 116.7029) + (xy 114.315117 116.7029) + (xy 114.352597 116.691894) + (xy 114.35979 116.690162) + (xy 114.401273 116.682314) + (xy 114.414643 116.675247) + (xy 114.428598 116.669577) + (xy 114.433173 116.668234) + (xy 114.440074 116.666209) + (xy 114.440076 116.666208) + (xy 114.475959 116.643146) + (xy 114.481463 116.639931) + (xy 114.521979 116.618519) + (xy 114.530103 116.610394) + (xy 114.542621 116.600306) + (xy 114.549636 116.595799) + (xy 114.579942 116.560822) + (xy 114.583573 116.556923) + (xy 114.699282 116.441214) + (xy 114.70555 116.435612) + (xy 114.735749 116.41153) + (xy 114.76865 116.363271) + (xy 114.770248 116.361018) + (xy 114.80494 116.314016) + (xy 114.804941 116.31401) + (xy 114.807573 116.309033) + (xy 114.807627 116.309061) + (xy 114.810267 116.303831) + (xy 114.810212 116.303805) + (xy 114.812657 116.298728) + (xy 114.812658 116.298726) + (xy 114.829872 116.242917) + (xy 114.830726 116.240322) + (xy 114.850032 116.185151) + (xy 114.850032 116.185143) + (xy 114.85108 116.17961) + (xy 114.851138 116.179621) + (xy 114.852119 116.173847) + (xy 114.85206 116.173838) + (xy 114.8529 116.168265) + (xy 114.8529 116.109882) + (xy 114.852953 116.10707) + (xy 114.855136 116.04872) + (xy 114.854505 116.043119) + (xy 114.854563 116.043112) + (xy 114.8529 116.030473) + (xy 114.8529 115.920001) + (xy 114.870493 115.871663) + (xy 114.874915 115.866837) + (xy 114.913992 115.827761) + (xy 114.913992 115.82776) + (xy 114.916828 115.824925) + (xy 114.963449 115.803186) + (xy 114.970002 115.8029) + (xy 115.315116 115.8029) + (xy 115.315117 115.8029) + (xy 115.343087 115.794686) + (xy 115.353051 115.792483) + (xy 115.385003 115.787668) + (xy 115.40761 115.77678) + (xy 115.419033 115.772386) + (xy 115.440076 115.766208) + (xy 115.467633 115.748498) + (xy 115.475643 115.744017) + (xy 115.50801 115.728431) + (xy 115.523743 115.713831) + (xy 115.534238 115.705694) + (xy 115.549633 115.695801) + (xy 115.549633 115.6958) + (xy 115.549636 115.695799) + (xy 115.573455 115.668308) + (xy 115.579122 115.662446) + (xy 115.608091 115.635568) + (xy 115.617011 115.620115) + (xy 115.625297 115.608478) + (xy 115.634921 115.597374) + (xy 115.651522 115.56102) + (xy 115.654789 115.554683) + (xy 115.676355 115.517332) + (xy 115.679517 115.503475) + (xy 115.680693 115.5) + (xy 122.322601 115.5) + (xy 122.322601 115.647142) + (xy 122.332934 115.718059) + (xy 122.386421 115.827469) + (xy 122.47253 115.913578) + (xy 122.581941 115.967065) + (xy 122.58194 115.967065) + (xy 122.652863 115.977399) + (xy 122.75 115.977399) + (xy 122.75 115.5) + (xy 123.05 115.5) + (xy 123.05 115.977399) + (xy 123.14713 115.977399) + (xy 123.147142 115.977398) + (xy 123.218059 115.967065) + (xy 123.327469 115.913578) + (xy 123.413578 115.827469) + (xy 123.467065 115.718058) + (xy 123.477399 115.647141) + (xy 123.4774 115.647131) + (xy 123.4774 115.5) + (xy 123.05 115.5) + (xy 122.75 115.5) + (xy 122.322601 115.5) + (xy 115.680693 115.5) + (xy 115.684425 115.488975) + (xy 115.689023 115.478909) + (xy 115.695223 115.435782) + (xy 115.69634 115.429768) + (xy 115.706735 115.384228) + (xy 115.705944 115.373677) + (xy 115.706499 115.357351) + (xy 115.707557 115.35) + (xy 115.700845 115.303326) + (xy 115.700292 115.298259) + (xy 115.697528 115.261373) + (xy 115.696533 115.248082) + (xy 115.693992 115.24161) + (xy 115.689561 115.224837) + (xy 115.689023 115.221091) + (xy 115.679391 115.2) + (xy 122.3226 115.2) + (xy 122.75 115.2) + (xy 122.75 114.7226) + (xy 123.05 114.7226) + (xy 123.05 115.2) + (xy 123.477399 115.2) + (xy 123.477399 115.052869) + (xy 123.477398 115.052857) + (xy 123.467065 114.98194) + (xy 123.413578 114.87253) + (xy 123.327469 114.786421) + (xy 123.218058 114.732934) + (xy 123.218059 114.732934) + (xy 123.147141 114.7226) + (xy 123.05 114.7226) + (xy 122.75 114.7226) + (xy 122.65287 114.7226) + (xy 122.652856 114.722601) + (xy 122.58194 114.732934) + (xy 122.47253 114.786421) + (xy 122.386421 114.87253) + (xy 122.332934 114.981941) + (xy 122.3226 115.052858) + (xy 122.3226 115.2) + (xy 115.679391 115.2) + (xy 115.667929 115.174902) + (xy 115.666359 115.1712) + (xy 115.646653 115.120992) + (xy 115.644594 115.11841) + (xy 115.634981 115.102757) + (xy 115.634921 115.102626) + (xy 115.599336 115.061558) + (xy 115.597376 115.0592) + (xy 115.579018 115.03618) + (xy 115.56153 115.014251) + (xy 115.561528 115.01425) + (xy 115.561527 115.014248) + (xy 115.559951 115.012785) + (xy 115.553947 115.007437) + (xy 115.5537 115.007723) + (xy 115.549638 115.004203) + (xy 115.549636 115.004201) + (xy 115.500897 114.972878) + (xy 115.499243 114.971783) + (xy 115.448726 114.937342) + (xy 115.448724 114.937341) + (xy 115.448722 114.93734) + (xy 115.447335 114.936671) + (xy 115.4416 114.934488) + (xy 115.440078 114.933793) + (xy 115.440077 114.933792) + (xy 115.440076 114.933792) + (xy 115.405893 114.923754) + (xy 115.381064 114.916464) + (xy 115.380086 114.916169) + (xy 115.318265 114.8971) + (xy 115.318264 114.8971) + (xy 114.970002 114.8971) + (xy 114.921664 114.879507) + (xy 114.916828 114.875074) + (xy 114.874926 114.833172) + (xy 114.853186 114.786552) + (xy 114.8529 114.779998) + (xy 114.8529 114.629654) + (xy 114.853373 114.621234) + (xy 114.854678 114.609654) + (xy 114.857695 114.582875) + (xy 114.846841 114.525511) + (xy 114.84637 114.522737) + (xy 114.84637 114.522735) + (xy 114.837668 114.464997) + (xy 114.837666 114.464993) + (xy 114.836006 114.459609) + (xy 114.836063 114.459591) + (xy 114.834232 114.454024) + (xy 114.834174 114.454045) + (xy 114.832313 114.448725) + (xy 114.805033 114.397111) + (xy 114.803765 114.394598) + (xy 114.778434 114.341995) + (xy 114.775257 114.337336) + (xy 114.775305 114.337302) + (xy 114.771913 114.33252) + (xy 114.771866 114.332555) + (xy 114.768522 114.328024) + (xy 114.752078 114.31158) + (xy 114.727222 114.286724) + (xy 114.725298 114.284726) + (xy 114.68557 114.24191) + (xy 114.681163 114.238396) + (xy 114.681199 114.238349) + (xy 114.671083 114.230586) + (xy 114.58359 114.143093) + (xy 114.579931 114.139164) + (xy 114.57935 114.138494) + (xy 114.549636 114.104201) + (xy 114.509206 114.078218) + (xy 114.50523 114.075478) + (xy 114.464016 114.04506) + (xy 114.458311 114.043063) + (xy 114.442498 114.035348) + (xy 114.440081 114.033794) + (xy 114.440074 114.033791) + (xy 114.390536 114.019244) + (xy 114.386889 114.018071) + (xy 114.335154 113.999969) + (xy 114.335153 113.999968) + (xy 114.335151 113.999968) + (xy 114.33515 113.999967) + (xy 114.335147 113.999967) + (xy 114.332753 113.999878) + (xy 114.320046 113.997808) + (xy 114.315117 113.9971) + (xy 114.259882 113.9971) + (xy 114.257072 113.997047) + (xy 114.254516 113.996951) + (xy 114.198719 113.994863) + (xy 114.193118 113.995495) + (xy 114.193111 113.995436) + (xy 114.190135 113.995828) + (xy 114.190208 113.996334) + (xy 114.184889 113.997098) + (xy 114.12846 114.013666) + (xy 114.126741 114.014148) + (xy 114.066847 114.030198) + (xy 114.065374 114.03084) + (xy 114.060034 114.03372) + (xy 114.007458 114.067508) + (xy 114.006813 114.067918) + (xy 113.95124 114.102838) + (xy 113.907125 114.154099) + (xy 113.90696 114.154291) + (xy 113.863 114.205025) + (xy 113.862187 114.206319) + (xy 113.836675 114.26479) + (xy 113.836155 114.265954) + (xy 113.810976 114.32109) + (xy 113.809968 114.324525) + (xy 113.807988 114.329974) + (xy 113.807591 114.331457) + (xy 113.800854 114.391242) + (xy 113.800562 114.393521) + (xy 113.792443 114.449999) + (xy 113.792443 114.455376) + (xy 113.792199 114.455376) + (xy 102.627675 114.455376) + (xy 101.7723 113.6) + (xy 111.994434 113.6) + (xy 112.008086 113.668638) + (xy 112.067076 113.756923) + (xy 112.155361 113.815913) + (xy 112.155363 113.815914) + (xy 112.233211 113.831399) + (xy 112.75 113.831399) + (xy 112.75 113.6) + (xy 113.05 113.6) + (xy 113.05 113.831399) + (xy 113.566788 113.831399) + (xy 113.566788 113.831398) + (xy 113.644638 113.815913) + (xy 113.732924 113.756923) + (xy 113.791913 113.668638) + (xy 113.791914 113.668636) + (xy 113.805567 113.6) + (xy 113.05 113.6) + (xy 112.75 113.6) + (xy 111.994434 113.6) + (xy 101.7723 113.6) + (xy 100.963139 112.790839) + (xy 111.9921 112.790839) + (xy 111.992101 112.79084) + (xy 112.007614 112.868834) + (xy 112.066715 112.957285) + (xy 112.112346 112.987774) + (xy 112.142762 113.029256) + (xy 112.139399 113.080585) + (xy 112.112347 113.112826) + (xy 112.067075 113.143076) + (xy 112.008086 113.231361) + (xy 112.008085 113.231363) + (xy 111.994432 113.299999) + (xy 111.994433 113.3) + (xy 113.805566 113.3) + (xy 113.791913 113.231361) + (xy 113.732923 113.143076) + (xy 113.687654 113.112828) + (xy 113.657237 113.071344) + (xy 113.660602 113.020015) + (xy 113.687652 112.987775) + (xy 113.733285 112.957285) + (xy 113.740757 112.946101) + (xy 113.763998 112.911321) + (xy 113.805481 112.880904) + (xy 113.826524 112.8779) + (xy 114.376964 112.8779) + (xy 114.425302 112.895493) + (xy 114.430138 112.899925) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.080016 112.889771) + (xy 115.137957 112.776055) + (xy 115.157922 112.65) + (xy 122.142078 112.65) + (xy 122.162043 112.776056) + (xy 122.209316 112.868834) + (xy 122.219984 112.889771) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.869862 112.899925) + (xy 122.916482 112.878186) + (xy 122.923036 112.8779) + (xy 123.473476 112.8779) + (xy 123.521814 112.895493) + (xy 123.536003 112.911321) + (xy 123.565269 112.955121) + (xy 123.577495 113.005087) + (xy 123.554744 113.051222) + (xy 123.50766 113.071939) + (xy 123.502742 113.0721) + (xy 123.413848 113.0721) + (xy 123.379708 113.063904) + (xy 123.376055 113.062043) + (xy 123.376057 113.062043) + (xy 123.25 113.042078) + (xy 123.123943 113.062043) + (xy 123.010228 113.119984) + (xy 122.919984 113.210228) + (xy 122.862043 113.323943) + (xy 122.842078 113.449999) + (xy 122.842078 113.45) + (xy 122.862043 113.576056) + (xy 122.909316 113.668834) + (xy 122.919984 113.689771) + (xy 123.010229 113.780016) + (xy 123.123943 113.837956) + (xy 123.123945 113.837957) + (xy 123.25 113.857922) + (xy 123.376055 113.837957) + (xy 123.378339 113.836793) + (xy 123.379708 113.836096) + (xy 123.413848 113.8279) + (xy 123.705645 113.8279) + (xy 123.720315 113.829344) + (xy 123.733161 113.8319) + (xy 123.938574 113.831899) + (xy 123.986911 113.849492) + (xy 124.012631 113.89404) + (xy 124.012848 113.918863) + (xy 123.993118 114.043436) + (xy 123.992078 114.05) + (xy 123.993829 114.061058) + (xy 123.996174 114.075859) + (xy 123.9971 114.087624) + (xy 123.9971 114.729998) + (xy 123.979507 114.778336) + (xy 123.975074 114.783172) + (xy 123.88601 114.872235) + (xy 123.886008 114.872238) + (xy 123.886008 114.872239) + (xy 123.854182 114.93734) + (xy 123.832449 114.981797) + (xy 123.8221 115.052817) + (xy 123.8221 115.647182) + (xy 123.829185 115.695801) + (xy 123.832449 115.718203) + (xy 123.886008 115.827761) + (xy 123.905699 115.847452) + (xy 123.92744 115.894071) + (xy 123.914127 115.943759) + (xy 123.907552 115.951596) + (xy 123.907583 115.951623) + (xy 123.90575 115.953751) + (xy 123.897859 115.964443) + (xy 123.894192 115.969025) + (xy 123.865078 116.002627) + (xy 123.865077 116.002628) + (xy 123.860859 116.011863) + (xy 123.852967 116.025268) + (xy 123.84506 116.035982) + (xy 123.83052 116.077532) + (xy 123.827947 116.083929) + (xy 123.810976 116.121093) + (xy 123.809015 116.134732) + (xy 123.805562 116.148862) + (xy 123.799967 116.164852) + (xy 123.798456 116.205233) + (xy 123.797744 116.21312) + (xy 123.792443 116.249998) + (xy 123.792443 116.250004) + (xy 123.794919 116.267233) + (xy 123.795632 116.280738) + (xy 123.794864 116.301282) + (xy 123.794864 116.301285) + (xy 123.80439 116.33684) + (xy 123.806186 116.345596) + (xy 123.810976 116.378909) + (xy 123.810977 116.378911) + (xy 123.819711 116.398036) + (xy 123.823942 116.409804) + (xy 123.828476 116.426724) + (xy 123.8302 116.433158) + (xy 123.847866 116.461272) + (xy 123.852597 116.470043) + (xy 123.865077 116.497371) + (xy 123.86508 116.497376) + (xy 123.881212 116.515993) + (xy 123.888048 116.525223) + (xy 123.902837 116.548758) + (xy 123.925268 116.568061) + (xy 123.933046 116.575813) + (xy 123.939628 116.583409) + (xy 123.950364 116.595799) + (xy 123.957371 116.600302) + (xy 123.974124 116.611068) + (xy 123.982514 116.617326) + (xy 124.00632 116.637813) + (xy 124.030136 116.648203) + (xy 124.040717 116.653865) + (xy 124.058327 116.665182) + (xy 124.059924 116.666208) + (xy 124.075463 116.670771) + (xy 124.090487 116.675183) + (xy 124.099371 116.67841) + (xy 124.131457 116.692409) + (xy 124.153676 116.694912) + (xy 124.166445 116.697486) + (xy 124.175893 116.70026) + (xy 124.184883 116.7029) + (xy 124.220346 116.7029) + (xy 124.228766 116.703373) + (xy 124.267125 116.707695) + (xy 124.285539 116.70421) + (xy 124.299519 116.7029) + (xy 124.315115 116.7029) + (xy 124.315117 116.7029) + (xy 124.352597 116.691894) + (xy 124.35979 116.690162) + (xy 124.401273 116.682314) + (xy 124.414643 116.675247) + (xy 124.428598 116.669577) + (xy 124.433173 116.668234) + (xy 124.440074 116.666209) + (xy 124.440076 116.666208) + (xy 124.475959 116.643146) + (xy 124.481463 116.639931) + (xy 124.521979 116.618519) + (xy 124.530103 116.610394) + (xy 124.542621 116.600306) + (xy 124.549636 116.595799) + (xy 124.579942 116.560822) + (xy 124.583573 116.556923) + (xy 124.699282 116.441214) + (xy 124.70555 116.435612) + (xy 124.735749 116.41153) + (xy 124.76865 116.363271) + (xy 124.770248 116.361018) + (xy 124.80494 116.314016) + (xy 124.804941 116.31401) + (xy 124.807573 116.309033) + (xy 124.807627 116.309061) + (xy 124.810267 116.303831) + (xy 124.810212 116.303805) + (xy 124.812657 116.298728) + (xy 124.812658 116.298726) + (xy 124.829872 116.242917) + (xy 124.830726 116.240322) + (xy 124.850032 116.185151) + (xy 124.850032 116.185143) + (xy 124.85108 116.17961) + (xy 124.851138 116.179621) + (xy 124.852119 116.173847) + (xy 124.85206 116.173838) + (xy 124.8529 116.168265) + (xy 124.8529 116.109882) + (xy 124.852953 116.10707) + (xy 124.855136 116.04872) + (xy 124.854505 116.043119) + (xy 124.854563 116.043112) + (xy 124.8529 116.030473) + (xy 124.8529 115.920001) + (xy 124.870493 115.871663) + (xy 124.874915 115.866837) + (xy 124.913992 115.827761) + (xy 124.913992 115.82776) + (xy 124.916828 115.824925) + (xy 124.963449 115.803186) + (xy 124.970002 115.8029) + (xy 125.315116 115.8029) + (xy 125.315117 115.8029) + (xy 125.343087 115.794686) + (xy 125.353051 115.792483) + (xy 125.385003 115.787668) + (xy 125.40761 115.77678) + (xy 125.419033 115.772386) + (xy 125.440076 115.766208) + (xy 125.467633 115.748498) + (xy 125.475643 115.744017) + (xy 125.50801 115.728431) + (xy 125.523743 115.713831) + (xy 125.534238 115.705694) + (xy 125.549633 115.695801) + (xy 125.549633 115.6958) + (xy 125.549636 115.695799) + (xy 125.573455 115.668308) + (xy 125.579122 115.662446) + (xy 125.608091 115.635568) + (xy 125.617011 115.620115) + (xy 125.625297 115.608478) + (xy 125.634921 115.597374) + (xy 125.651522 115.56102) + (xy 125.654789 115.554683) + (xy 125.676355 115.517332) + (xy 125.679517 115.503475) + (xy 125.684425 115.488975) + (xy 125.689023 115.478909) + (xy 125.695223 115.435782) + (xy 125.69634 115.429768) + (xy 125.706735 115.384228) + (xy 125.705944 115.373677) + (xy 125.706499 115.357351) + (xy 125.707557 115.35) + (xy 125.700845 115.303326) + (xy 125.700292 115.298259) + (xy 125.697528 115.261373) + (xy 125.696533 115.248082) + (xy 125.693992 115.24161) + (xy 125.689561 115.224837) + (xy 125.689023 115.221091) + (xy 125.667929 115.174902) + (xy 125.666359 115.1712) + (xy 125.646653 115.120992) + (xy 125.644594 115.11841) + (xy 125.634981 115.102757) + (xy 125.634921 115.102626) + (xy 125.599336 115.061558) + (xy 125.597376 115.0592) + (xy 125.579018 115.03618) + (xy 125.56153 115.014251) + (xy 125.561528 115.01425) + (xy 125.561527 115.014248) + (xy 125.559951 115.012785) + (xy 125.553947 115.007437) + (xy 125.5537 115.007723) + (xy 125.549638 115.004203) + (xy 125.549636 115.004201) + (xy 125.500897 114.972878) + (xy 125.499243 114.971783) + (xy 125.448726 114.937342) + (xy 125.448724 114.937341) + (xy 125.448722 114.93734) + (xy 125.447335 114.936671) + (xy 125.4416 114.934488) + (xy 125.440078 114.933793) + (xy 125.440077 114.933792) + (xy 125.440076 114.933792) + (xy 125.405893 114.923754) + (xy 125.381064 114.916464) + (xy 125.380086 114.916169) + (xy 125.318265 114.8971) + (xy 125.318264 114.8971) + (xy 124.970002 114.8971) + (xy 124.921664 114.879507) + (xy 124.916828 114.875074) + (xy 124.824926 114.783172) + (xy 124.803186 114.736552) + (xy 124.8029 114.729998) + (xy 124.8029 114.087624) + (xy 124.803826 114.075859) + (xy 124.806171 114.061058) + (xy 124.807922 114.05) + (xy 124.806882 114.043436) + (xy 124.787152 113.918863) + (xy 124.796967 113.868368) + (xy 124.836943 113.835996) + (xy 124.861426 113.831899) + (xy 125.066838 113.831899) + (xy 125.144834 113.816386) + (xy 125.233285 113.757285) + (xy 125.292386 113.668834) + (xy 125.3079 113.590839) + (xy 125.3079 113.5) + (xy 125.822601 113.5) + (xy 125.822601 113.597142) + (xy 125.832934 113.668059) + (xy 125.886421 113.777469) + (xy 125.97253 113.863578) + (xy 126.081941 113.917065) + (xy 126.08194 113.917065) + (xy 126.152863 113.927399) + (xy 126.3 113.927399) + (xy 126.3 113.5) + (xy 126.6 113.5) + (xy 126.6 113.927399) + (xy 126.74713 113.927399) + (xy 126.747142 113.927398) + (xy 126.818059 113.917065) + (xy 126.927469 113.863578) + (xy 127.013578 113.777469) + (xy 127.067065 113.668058) + (xy 127.077399 113.597141) + (xy 127.0774 113.597131) + (xy 127.0774 113.5) + (xy 126.6 113.5) + (xy 126.3 113.5) + (xy 125.822601 113.5) + (xy 125.3079 113.5) + (xy 125.307899 113.309162) + (xy 125.292386 113.231166) + (xy 125.271562 113.2) + (xy 125.8226 113.2) + (xy 126.3 113.2) + (xy 126.3 112.7726) + (xy 126.6 112.7726) + (xy 126.6 113.2) + (xy 127.077399 113.2) + (xy 127.077399 113.102869) + (xy 127.077398 113.102857) + (xy 127.067065 113.03194) + (xy 127.013578 112.92253) + (xy 126.927469 112.836421) + (xy 126.818058 112.782934) + (xy 126.818059 112.782934) + (xy 126.747141 112.7726) + (xy 126.6 112.7726) + (xy 126.3 112.7726) + (xy 126.15287 112.7726) + (xy 126.152857 112.772601) + (xy 126.08194 112.782934) + (xy 125.97253 112.836421) + (xy 125.886421 112.92253) + (xy 125.832934 113.031941) + (xy 125.8226 113.102858) + (xy 125.8226 113.2) + (xy 125.271562 113.2) + (xy 125.233285 113.142715) + (xy 125.188104 113.112526) + (xy 125.157687 113.071044) + (xy 125.161051 113.019714) + (xy 125.188105 112.987473) + (xy 125.199266 112.980016) + (xy 125.233285 112.957285) + (xy 125.292386 112.868834) + (xy 125.3079 112.790839) + (xy 125.307899 112.509162) + (xy 125.292386 112.431166) + (xy 125.286328 112.4221) + (xy 125.254542 112.374529) + (xy 125.251489 112.36996) + (xy 125.239263 112.319996) + (xy 125.262014 112.273861) + (xy 125.309097 112.253143) + (xy 125.34664 112.260427) + (xy 125.351274 112.262658) + (xy 125.481736 112.3029) + (xy 125.879999 112.3029) + (xy 125.928337 112.320493) + (xy 125.933162 112.324915) + (xy 125.972239 112.363992) + (xy 126.081797 112.417551) + (xy 126.133848 112.425135) + (xy 126.152817 112.427899) + (xy 126.152822 112.427899) + (xy 126.152826 112.4279) + (xy 126.152828 112.4279) + (xy 126.747172 112.4279) + (xy 126.747174 112.4279) + (xy 126.747178 112.427899) + (xy 126.747182 112.427899) + (xy 126.756151 112.426592) + (xy 126.818203 112.417551) + (xy 126.927761 112.363992) + (xy 126.944917 112.346835) + (xy 126.991536 112.325095) + (xy 127.041224 112.338408) + (xy 127.047336 112.343176) + (xy 127.05036 112.345796) + (xy 127.050363 112.345798) + (xy 127.050364 112.345799) + (xy 127.090788 112.371777) + (xy 127.094772 112.374523) + (xy 127.135984 112.40494) + (xy 127.14168 112.406933) + (xy 127.157504 112.414653) + (xy 127.159923 112.416208) + (xy 127.179016 112.421814) + (xy 127.209459 112.430753) + (xy 127.213088 112.431919) + (xy 127.264849 112.450032) + (xy 127.267237 112.450121) + (xy 127.279851 112.452176) + (xy 127.28488 112.452899) + (xy 127.284883 112.4529) + (xy 127.340118 112.4529) + (xy 127.342927 112.452952) + (xy 127.361961 112.453665) + (xy 127.401279 112.455136) + (xy 127.401279 112.455135) + (xy 127.401281 112.455136) + (xy 127.401282 112.455135) + (xy 127.406881 112.454505) + (xy 127.406887 112.454563) + (xy 127.409866 112.454171) + (xy 127.409794 112.453665) + (xy 127.415113 112.4529) + (xy 127.415117 112.4529) + (xy 127.471589 112.436316) + (xy 127.473211 112.435861) + (xy 127.533157 112.4198) + (xy 127.533162 112.419796) + (xy 127.534643 112.419151) + (xy 127.539948 112.416289) + (xy 127.540072 112.416209) + (xy 127.540076 112.416208) + (xy 127.592641 112.382426) + (xy 127.59318 112.382084) + (xy 127.596472 112.380016) + (xy 127.648758 112.347163) + (xy 127.692926 112.295838) + (xy 127.734921 112.247374) + (xy 127.734922 112.24737) + (xy 127.737001 112.244972) + (xy 127.737812 112.243681) + (xy 127.737811 112.243681) + (xy 127.737813 112.24368) + (xy 127.763349 112.185148) + (xy 127.763825 112.184082) + (xy 127.789023 112.128909) + (xy 127.789023 112.128907) + (xy 127.790026 112.125492) + (xy 127.79201 112.12003) + (xy 127.792409 112.118542) + (xy 127.799151 112.058691) + (xy 127.799429 112.056525) + (xy 127.807557 112) + (xy 127.807557 111.999999) + (xy 127.807557 111.994617) + (xy 127.807804 111.994617) + (xy 127.807633 111.983425) + (xy 127.807633 111.983422) + (xy 127.807695 111.982875) + (xy 127.797171 111.927251) + (xy 127.79663 111.924001) + (xy 127.796622 111.923943) + (xy 127.789023 111.871091) + (xy 127.788584 111.87013) + (xy 127.783096 111.852866) + (xy 127.782314 111.848727) + (xy 127.757529 111.801833) + (xy 127.755638 111.797989) + (xy 127.734921 111.752626) + (xy 127.731841 111.749071) + (xy 127.722188 111.734964) + (xy 127.718518 111.72802) + (xy 127.707563 111.717065) + (xy 127.683572 111.693074) + (xy 127.679927 111.689159) + (xy 127.649635 111.6542) + (xy 127.649635 111.654199) + (xy 127.642615 111.649688) + (xy 127.630099 111.639601) + (xy 127.541222 111.550724) + (xy 127.535602 111.544436) + (xy 127.534517 111.543076) + (xy 127.516102 111.519984) + (xy 127.511531 111.514252) + (xy 127.51153 111.514251) + (xy 127.509192 111.512657) + (xy 127.463266 111.481345) + (xy 127.461033 111.479761) + (xy 127.414016 111.44506) + (xy 127.414015 111.445059) + (xy 127.414013 111.445058) + (xy 127.409038 111.442429) + (xy 127.409065 111.442377) + (xy 127.403826 111.439732) + (xy 127.403801 111.439786) + (xy 127.398728 111.437343) + (xy 127.398727 111.437342) + (xy 127.398726 111.437342) + (xy 127.355752 111.424086) + (xy 127.342954 111.420138) + (xy 127.340285 111.419259) + (xy 127.28515 111.399967) + (xy 127.279617 111.39892) + (xy 127.279627 111.398864) + (xy 127.273843 111.397882) + (xy 127.273835 111.397939) + (xy 127.268267 111.3971) + (xy 127.268264 111.3971) + (xy 127.209882 111.3971) + (xy 127.207072 111.397047) + (xy 127.204516 111.396951) + (xy 127.148719 111.394863) + (xy 127.143118 111.395495) + (xy 127.143111 111.395436) + (xy 127.130473 111.3971) + (xy 127.020001 111.3971) + (xy 126.971663 111.379507) + (xy 126.966837 111.375084) + (xy 126.927761 111.336008) + (xy 126.92776 111.336007) + (xy 126.924925 111.333172) + (xy 126.903186 111.286551) + (xy 126.9029 111.279998) + (xy 126.9029 111.037771) + (xy 126.903665 111.02707) + (xy 126.904114 111.023945) + (xy 126.907557 111) + (xy 126.903502 110.971801) + (xy 126.90295 110.96673) + (xy 126.9029 110.966072) + (xy 126.9029 110.966057) + (xy 126.898057 110.933928) + (xy 126.889023 110.871091) + (xy 126.889022 110.87109) + (xy 126.888771 110.86934) + (xy 126.888173 110.866638) + (xy 126.887668 110.865002) + (xy 126.887668 110.864997) + (xy 126.887665 110.86499) + (xy 126.860342 110.808254) + (xy 126.859727 110.806945) + (xy 126.835641 110.754202) + (xy 126.83492 110.752623) + (xy 126.832015 110.748104) + (xy 126.832549 110.74776) + (xy 126.831752 110.746543) + (xy 126.831604 110.746645) + (xy 126.82843 110.741989) + (xy 126.823028 110.736167) + (xy 126.788081 110.698504) + (xy 126.786392 110.69662) + (xy 126.749638 110.654203) + (xy 126.745574 110.650682) + (xy 126.745651 110.650592) + (xy 126.736574 110.642993) + (xy 126.73557 110.641911) + (xy 126.735569 110.64191) + (xy 126.735568 110.641909) + (xy 126.687322 110.614054) + (xy 126.684268 110.612192) + (xy 126.640079 110.583793) + (xy 126.640076 110.583792) + (xy 126.638179 110.583235) + (xy 126.621775 110.57621) + (xy 126.617332 110.573645) + (xy 126.61733 110.573644) + (xy 126.617329 110.573644) + (xy 126.566536 110.56205) + (xy 126.562087 110.56089) + (xy 126.515118 110.5471) + (xy 126.515117 110.5471) + (xy 126.509505 110.5471) + (xy 126.492771 110.545214) + (xy 126.484233 110.543265) + (xy 126.484229 110.543264) + (xy 126.435852 110.54689) + (xy 126.430233 110.5471) + (xy 126.384881 110.5471) + (xy 126.376011 110.549705) + (xy 126.360448 110.55254) + (xy 126.348083 110.553466) + (xy 126.306262 110.569879) + (xy 126.29998 110.572029) + (xy 126.259923 110.583792) + (xy 126.259921 110.583793) + (xy 126.249085 110.590756) + (xy 126.235914 110.597489) + (xy 126.220996 110.603344) + (xy 126.220993 110.603346) + (xy 126.188693 110.629103) + (xy 126.182468 110.633568) + (xy 126.150364 110.654201) + (xy 126.150363 110.654201) + (xy 126.150363 110.654202) + (xy 126.13955 110.666679) + (xy 126.129612 110.676219) + (xy 126.11425 110.68847) + (xy 126.114247 110.688473) + (xy 126.093008 110.719625) + (xy 126.087711 110.726505) + (xy 126.065078 110.752627) + (xy 126.056719 110.770928) + (xy 126.050452 110.782043) + (xy 126.037344 110.80127) + (xy 126.037339 110.80128) + (xy 126.027287 110.833866) + (xy 126.023834 110.842935) + (xy 126.010978 110.871086) + (xy 126.010976 110.871094) + (xy 126.007598 110.894583) + (xy 126.005025 110.906038) + (xy 125.9971 110.931735) + (xy 125.9971 110.962228) + (xy 125.996335 110.972929) + (xy 125.992443 111) + (xy 125.996335 111.02707) + (xy 125.9971 111.037771) + (xy 125.9971 111.279998) + (xy 125.979507 111.328336) + (xy 125.975075 111.333172) + (xy 125.972239 111.336007) + (xy 125.972239 111.336008) + (xy 125.933172 111.375074) + (xy 125.886554 111.396814) + (xy 125.879999 111.3971) + (xy 125.516057 111.3971) + (xy 125.414997 111.412332) + (xy 125.414995 111.412332) + (xy 125.341051 111.447942) + (xy 125.289866 111.453064) + (xy 125.247365 111.424086) + (xy 125.233433 111.374569) + (xy 125.245895 111.338412) + (xy 125.292386 111.268834) + (xy 125.3079 111.190839) + (xy 125.307899 110.909162) + (xy 125.292386 110.831166) + (xy 125.233285 110.742715) + (xy 125.232198 110.741989) + (xy 125.203287 110.722671) + (xy 125.188104 110.712526) + (xy 125.157687 110.671044) + (xy 125.161051 110.619714) + (xy 125.188105 110.587473) + (xy 125.188107 110.587472) + (xy 125.233285 110.557285) + (xy 125.292386 110.468834) + (xy 125.3079 110.390839) + (xy 125.307899 110.109162) + (xy 125.292386 110.031166) + (xy 125.233285 109.942715) + (xy 125.232363 109.942099) + (xy 125.196763 109.918312) + (xy 125.187653 109.912224) + (xy 125.157237 109.870743) + (xy 125.1606 109.819413) + (xy 125.187654 109.787172) + (xy 125.232924 109.756924) + (xy 125.291913 109.668638) + (xy 125.291914 109.668636) + (xy 125.305567 109.6) + (xy 123.494434 109.6) + (xy 123.508086 109.668638) + (xy 123.567076 109.756923) + (xy 123.612345 109.787171) + (xy 123.642762 109.828654) + (xy 123.639398 109.879984) + (xy 123.612346 109.912224) + (xy 123.566713 109.942715) + (xy 123.536002 109.988679) + (xy 123.494519 110.019096) + (xy 123.473476 110.0221) + (xy 122.9831 110.0221) + (xy 122.934762 110.004507) + (xy 122.916096 109.98104) + (xy 122.91037 109.969803) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.310228 109.819984) + (xy 122.219984 109.910228) + (xy 122.162043 110.023943) + (xy 122.142078 110.149999) + (xy 122.142078 110.15) + (xy 122.162043 110.276056) + (xy 122.20154 110.353572) + (xy 122.219984 110.389771) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.777838 110.486095) + (xy 122.811978 110.4779) + (xy 123.473476 110.4779) + (xy 123.521814 110.495493) + (xy 123.536002 110.511321) + (xy 123.56586 110.556006) + (xy 123.566715 110.557285) + (xy 123.611894 110.587473) + (xy 123.642311 110.628955) + (xy 123.638948 110.680285) + (xy 123.611896 110.712525) + (xy 123.566713 110.742715) + (xy 123.536002 110.788679) + (xy 123.494519 110.819096) + (xy 123.473476 110.8221) + (xy 122.811978 110.8221) + (xy 122.777838 110.813904) + (xy 122.766749 110.808254) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.310228 110.819984) + (xy 122.219984 110.910228) + (xy 122.162043 111.023943) + (xy 122.142078 111.149999) + (xy 122.142078 111.15) + (xy 122.162043 111.276056) + (xy 122.213935 111.3779) + (xy 122.219984 111.389771) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.916096 111.31896) + (xy 122.953717 111.283878) + (xy 122.9831 111.2779) + (xy 123.473476 111.2779) + (xy 123.521814 111.295493) + (xy 123.536003 111.311321) + (xy 123.565269 111.355121) + (xy 123.577495 111.405087) + (xy 123.554744 111.451222) + (xy 123.50766 111.471939) + (xy 123.502742 111.4721) + (xy 123.413848 111.4721) + (xy 123.379708 111.463904) + (xy 123.376055 111.462043) + (xy 123.376057 111.462043) + (xy 123.25 111.442078) + (xy 123.123943 111.462043) + (xy 123.010228 111.519984) + (xy 122.919984 111.610228) + (xy 122.862043 111.723943) + (xy 122.842078 111.849999) + (xy 122.842078 111.85) + (xy 122.862043 111.976056) + (xy 122.904171 112.058736) + (xy 122.919984 112.089771) + (xy 123.010229 112.180016) + (xy 123.123943 112.237956) + (xy 123.123945 112.237957) + (xy 123.25 112.257922) + (xy 123.376055 112.237957) + (xy 123.378339 112.236793) + (xy 123.379708 112.236096) + (xy 123.413848 112.2279) + (xy 123.502742 112.2279) + (xy 123.55108 112.245493) + (xy 123.5768 112.290042) + (xy 123.567867 112.3407) + (xy 123.565269 112.344879) + (xy 123.536003 112.388679) + (xy 123.494519 112.419096) + (xy 123.473476 112.4221) + (xy 122.923036 112.4221) + (xy 122.874698 112.404507) + (xy 122.869862 112.400075) + (xy 122.833777 112.36399) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.310228 112.319984) + (xy 122.219984 112.410228) + (xy 122.162043 112.523943) + (xy 122.142078 112.649999) + (xy 122.142078 112.65) + (xy 115.157922 112.65) + (xy 115.137957 112.523945) + (xy 115.080016 112.410229) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.51023 112.319983) + (xy 114.430138 112.400075) + (xy 114.383518 112.421814) + (xy 114.376964 112.4221) + (xy 113.826524 112.4221) + (xy 113.778186 112.404507) + (xy 113.763998 112.388679) + (xy 113.733285 112.342715) + (xy 113.710511 112.327498) + (xy 113.687653 112.312224) + (xy 113.657237 112.270743) + (xy 113.6606 112.219413) + (xy 113.687654 112.187172) + (xy 113.732924 112.156924) + (xy 113.791913 112.068638) + (xy 113.791914 112.068636) + (xy 113.805567 112) + (xy 111.994434 112) + (xy 112.008086 112.068638) + (xy 112.067076 112.156923) + (xy 112.112345 112.187171) + (xy 112.142762 112.228654) + (xy 112.139398 112.279984) + (xy 112.112346 112.312224) + (xy 112.066714 112.342715) + (xy 112.007614 112.431166) + (xy 112.007613 112.431168) + (xy 111.9921 112.50916) + (xy 111.9921 112.790839) + (xy 100.963139 112.790839) + (xy 100.416758 112.244458) + (xy 100.414047 112.241602) + (xy 100.409089 112.236096) + (xy 100.386949 112.211506) + (xy 100.386945 112.211503) + (xy 100.364486 112.201504) + (xy 100.354116 112.195874) + (xy 100.333496 112.182483) + (xy 100.325064 112.181148) + (xy 100.306243 112.175573) + (xy 100.298442 112.1721) + (xy 100.273848 112.1721) + (xy 100.262084 112.171174) + (xy 100.237808 112.167329) + (xy 100.237804 112.167329) + (xy 100.22956 112.169538) + (xy 100.210099 112.1721) + (xy 99.857928 112.1721) + (xy 99.853991 112.171997) + (xy 99.813551 112.169876) + (xy 99.790597 112.178688) + (xy 99.779286 112.182039) + (xy 99.755235 112.187151) + (xy 99.755229 112.187154) + (xy 99.748324 112.192171) + (xy 99.731085 112.201532) + (xy 99.723104 112.204596) + (xy 99.705712 112.221987) + (xy 99.696746 112.229645) + (xy 99.676854 112.244098) + (xy 99.676852 112.244101) + (xy 99.672583 112.251495) + (xy 99.660634 112.267065) + (xy 99.077626 112.850074) + (xy 99.031006 112.871814) + (xy 99.024452 112.8721) + (xy 96.525547 112.8721) + (xy 96.477209 112.854507) + (xy 96.472373 112.850074) + (xy 95.899926 112.277626) + (xy 95.878186 112.231006) + (xy 95.8779 112.224452) + (xy 95.8779 111.570828) + (xy 95.895493 111.52249) + (xy 95.940042 111.49677) + (xy 95.9907 111.505703) + (xy 95.99546 111.508694) + (xy 96.001274 111.512658) + (xy 96.001276 111.512658) + (xy 96.002668 111.513329) + (xy 96.008406 111.515514) + (xy 96.00992 111.516205) + (xy 96.009924 111.516208) + (xy 96.009928 111.516209) + (xy 96.00993 111.51621) + (xy 96.022784 111.519984) + (xy 96.068949 111.533538) + (xy 96.06979 111.533791) + (xy 96.131736 111.5529) + (xy 96.134883 111.5529) + (xy 96.265117 111.5529) + (xy 96.479998 111.5529) + (xy 96.528336 111.570493) + (xy 96.533172 111.574925) + (xy 96.536007 111.57776) + (xy 96.536008 111.577761) + (xy 96.575074 111.616827) + (xy 96.596814 111.663446) + (xy 96.5971 111.670001) + (xy 96.5971 111.820345) + (xy 96.596627 111.828765) + (xy 96.592305 111.867121) + (xy 96.592305 111.86713) + (xy 96.603156 111.924479) + (xy 96.603627 111.927251) + (xy 96.612332 111.985003) + (xy 96.613993 111.990388) + (xy 96.613937 111.990405) + (xy 96.615768 111.99597) + (xy 96.615824 111.995951) + (xy 96.617685 112.00127) + (xy 96.617686 112.001273) + (xy 96.644975 112.052907) + (xy 96.646228 112.055389) + (xy 96.64784 112.058736) + (xy 96.671569 112.10801) + (xy 96.671574 112.108015) + (xy 96.674743 112.112664) + (xy 96.674693 112.112697) + (xy 96.678089 112.117482) + (xy 96.678137 112.117448) + (xy 96.681479 112.121977) + (xy 96.68148 112.121978) + (xy 96.681481 112.121979) + (xy 96.722775 112.163273) + (xy 96.724699 112.165271) + (xy 96.76443 112.208089) + (xy 96.764432 112.208091) + (xy 96.764433 112.208092) + (xy 96.76884 112.211606) + (xy 96.768802 112.211652) + (xy 96.778915 112.219412) + (xy 96.866408 112.306905) + (xy 96.870066 112.310833) + (xy 96.900364 112.345799) + (xy 96.940788 112.371777) + (xy 96.944772 112.374523) + (xy 96.985984 112.40494) + (xy 96.99168 112.406933) + (xy 97.007504 112.414653) + (xy 97.009923 112.416208) + (xy 97.029016 112.421814) + (xy 97.059459 112.430753) + (xy 97.063088 112.431919) + (xy 97.114849 112.450032) + (xy 97.117237 112.450121) + (xy 97.129851 112.452176) + (xy 97.13488 112.452899) + (xy 97.134883 112.4529) + (xy 97.190118 112.4529) + (xy 97.192927 112.452952) + (xy 97.211961 112.453665) + (xy 97.251279 112.455136) + (xy 97.251279 112.455135) + (xy 97.251281 112.455136) + (xy 97.251282 112.455135) + (xy 97.256881 112.454505) + (xy 97.256887 112.454563) + (xy 97.259866 112.454171) + (xy 97.259794 112.453665) + (xy 97.265113 112.4529) + (xy 97.265117 112.4529) + (xy 97.321589 112.436316) + (xy 97.323211 112.435861) + (xy 97.383157 112.4198) + (xy 97.383162 112.419796) + (xy 97.384643 112.419151) + (xy 97.389948 112.416289) + (xy 97.390072 112.416209) + (xy 97.390076 112.416208) + (xy 97.442641 112.382426) + (xy 97.44318 112.382084) + (xy 97.446472 112.380016) + (xy 97.498758 112.347163) + (xy 97.542926 112.295838) + (xy 97.584921 112.247374) + (xy 97.584922 112.24737) + (xy 97.587001 112.244972) + (xy 97.587812 112.243681) + (xy 97.587811 112.243681) + (xy 97.587813 112.24368) + (xy 97.613349 112.185148) + (xy 97.613825 112.184082) + (xy 97.639023 112.128909) + (xy 97.639023 112.128907) + (xy 97.640026 112.125492) + (xy 97.64201 112.12003) + (xy 97.642409 112.118542) + (xy 97.649151 112.058691) + (xy 97.649429 112.056525) + (xy 97.657557 112) + (xy 97.657557 111.999999) + (xy 97.657557 111.994617) + (xy 97.657804 111.994617) + (xy 97.657633 111.983425) + (xy 97.657633 111.983422) + (xy 97.657695 111.982875) + (xy 97.647171 111.927251) + (xy 97.64663 111.924001) + (xy 97.646622 111.923943) + (xy 97.639023 111.871091) + (xy 97.638584 111.87013) + (xy 97.633096 111.852866) + (xy 97.632314 111.848727) + (xy 97.607529 111.801833) + (xy 97.605638 111.797989) + (xy 97.584921 111.752626) + (xy 97.581841 111.749071) + (xy 97.572188 111.734964) + (xy 97.568517 111.728018) + (xy 97.5443 111.703802) + (xy 97.522559 111.657182) + (xy 97.535872 111.607495) + (xy 97.54429 111.597462) + (xy 97.563992 111.577761) + (xy 97.617551 111.468203) + (xy 97.6279 111.397174) + (xy 97.6279 111.25) + (xy 97.972601 111.25) + (xy 97.972601 111.397142) + (xy 97.982934 111.468059) + (xy 98.036421 111.577469) + (xy 98.12253 111.663578) + (xy 98.231941 111.717065) + (xy 98.23194 111.717065) + (xy 98.302863 111.727399) + (xy 98.4 111.727399) + (xy 98.4 111.25) + (xy 98.7 111.25) + (xy 98.7 111.727399) + (xy 98.79713 111.727399) + (xy 98.797142 111.727398) + (xy 98.868059 111.717065) + (xy 98.977469 111.663578) + (xy 99.063578 111.577469) + (xy 99.117065 111.468058) + (xy 99.127399 111.397141) + (xy 99.1274 111.397131) + (xy 99.1274 111.25) + (xy 98.7 111.25) + (xy 98.4 111.25) + (xy 97.972601 111.25) + (xy 97.6279 111.25) + (xy 97.6279 110.95) + (xy 97.9726 110.95) + (xy 98.4 110.95) + (xy 98.4 110.4726) + (xy 98.7 110.4726) + (xy 98.7 110.95) + (xy 99.127399 110.95) + (xy 99.127399 110.802869) + (xy 99.127398 110.802857) + (xy 99.117065 110.73194) + (xy 99.063578 110.62253) + (xy 98.977469 110.536421) + (xy 98.868058 110.482934) + (xy 98.868059 110.482934) + (xy 98.797141 110.4726) + (xy 98.7 110.4726) + (xy 98.4 110.4726) + (xy 98.30287 110.4726) + (xy 98.302856 110.472601) + (xy 98.23194 110.482934) + (xy 98.12253 110.536421) + (xy 98.036421 110.62253) + (xy 97.982934 110.731941) + (xy 97.9726 110.802858) + (xy 97.9726 110.95) + (xy 97.6279 110.95) + (xy 97.6279 110.802826) + (xy 97.627673 110.80127) + (xy 97.625442 110.785956) + (xy 97.617551 110.731797) + (xy 97.575493 110.645766) + (xy 97.57007 110.594617) + (xy 97.598795 110.551945) + (xy 97.608914 110.545738) + (xy 97.639771 110.530016) + (xy 97.730016 110.439771) + (xy 97.787957 110.326055) + (xy 97.801554 110.240201) + (xy 97.809529 110.218739) + (xy 97.809474 110.218718) + (xy 97.811036 110.214683) + (xy 97.811895 110.212374) + (xy 97.811988 110.212222) + (xy 97.811992 110.212218) + (xy 97.816599 110.200324) + (xy 97.824678 110.184997) + (xy 97.831892 110.174467) + (xy 97.838847 110.144892) + (xy 97.841926 110.134945) + (xy 97.8529 110.106622) + (xy 97.8529 110.093866) + (xy 97.854897 110.07665) + (xy 97.856199 110.071114) + (xy 97.857818 110.064231) + (xy 97.85362 110.034142) + (xy 97.8529 110.023753) + (xy 97.8529 110.016157) + (xy 97.870493 109.967819) + (xy 97.880393 109.958027) + (xy 97.9 109.941935) + (xy 97.9 108.183062) + (xy 97.880394 108.166973) + (xy 97.854189 108.122708) + (xy 97.8529 108.108842) + (xy 97.8529 108.061678) + (xy 97.854877 108.049563) + (xy 97.854229 108.049473) + (xy 97.855192 108.04257) + (xy 97.85298 107.994727) + (xy 97.8529 107.991254) + (xy 97.8529 107.971931) + (xy 97.8529 107.97193) + (xy 97.852566 107.970144) + (xy 97.851363 107.959779) + (xy 97.85106 107.953208) + (xy 97.851906 107.937979) + (xy 97.857922 107.9) + (xy 97.852464 107.865542) + (xy 97.862278 107.815049) + (xy 97.894956 107.785625) + (xy 97.919057 107.774388) + (xy 97.963979 107.742933) + (xy 97.997205 107.709705) + (xy 98.016232 107.695881) + (xy 98.063492 107.6718) + (xy 98.085859 107.664533) + (xy 98.138236 107.656238) + (xy 98.161762 107.656238) + (xy 98.214136 107.664532) + (xy 98.23651 107.671802) + (xy 98.257412 107.682453) + (xy 98.283764 107.69588) + (xy 98.302797 107.709709) + (xy 98.34029 107.747202) + (xy 98.354119 107.766235) + (xy 98.388582 107.833871) + (xy 98.388583 107.833873) + (xy 98.394502 107.843438) + (xy 98.402338 107.856102) + (xy 98.416866 107.875737) + (xy 98.426393 107.883384) + (xy 98.453077 107.927361) + (xy 98.44525 107.978202) + (xy 98.432495 107.995203) + (xy 98.394456 108.033242) + (xy 98.391602 108.035952) + (xy 98.361504 108.063052) + (xy 98.351507 108.085509) + (xy 98.345877 108.095878) + (xy 98.332485 108.116501) + (xy 98.332483 108.116506) + (xy 98.331148 108.124935) + (xy 98.325572 108.143759) + (xy 98.321793 108.152246) + (xy 98.286058 108.189247) + (xy 98.2349 108.194623) + (xy 98.220693 108.188333) + (xy 98.220571 108.188629) + (xy 98.213725 108.185793) + (xy 98.2 108.183062) + (xy 98.2 109.941935) + (xy 98.213727 109.939205) + (xy 98.25777 109.909776) + (xy 98.307735 109.897549) + (xy 98.341325 109.909774) + (xy 98.386078 109.939677) + (xy 98.452553 109.9529) + (xy 98.647446 109.952899) + (xy 98.647447 109.952899) + (xy 98.647447 109.952898) + (xy 98.713922 109.939677) + (xy 98.740656 109.921814) + (xy 98.758221 109.910078) + (xy 98.808187 109.897851) + (xy 98.841777 109.910076) + (xy 98.886078 109.939677) + (xy 98.952553 109.9529) + (xy 99.147446 109.952899) + (xy 99.147447 109.952899) + (xy 99.147447 109.952898) + (xy 99.213922 109.939677) + (xy 99.240656 109.921814) + (xy 99.258221 109.910078) + (xy 99.308187 109.897851) + (xy 99.341777 109.910076) + (xy 99.386078 109.939677) + (xy 99.452553 109.9529) + (xy 99.647446 109.952899) + (xy 99.647447 109.952899) + (xy 99.647447 109.952898) + (xy 99.713922 109.939677) + (xy 99.740656 109.921814) + (xy 99.758221 109.910078) + (xy 99.808187 109.897851) + (xy 99.841777 109.910076) + (xy 99.886078 109.939677) + (xy 99.952553 109.9529) + (xy 100.147446 109.952899) + (xy 100.147447 109.952899) + (xy 100.147447 109.952898) + (xy 100.213922 109.939677) + (xy 100.289307 109.889307) + (xy 100.339677 109.813922) + (xy 100.3529 109.747447) + (xy 100.3529 109.368103) + (xy 100.370493 109.319765) + (xy 100.415042 109.294045) + (xy 100.416333 109.293829) + (xy 100.423944 109.292622) + (xy 100.423948 109.292623) + (xy 100.446903 109.28381) + (xy 100.458222 109.280458) + (xy 100.482261 109.275349) + (xy 100.48226 109.275349) + (xy 100.482266 109.275348) + (xy 100.489174 109.270328) + (xy 100.506421 109.260964) + (xy 100.514396 109.257903) + (xy 100.531789 109.240509) + (xy 100.540749 109.232856) + (xy 100.560646 109.218401) + (xy 100.564914 109.211007) + (xy 100.576861 109.195436) + (xy 100.694817 109.077482) + (xy 100.722375 109.049925) + (xy 100.768996 109.028186) + (xy 100.775549 109.0279) + (xy 102.374453 109.0279) + (xy 102.422791 109.045493) + (xy 102.427627 109.049926) + (xy 103.033231 109.65553) + (xy 103.035942 109.658386) + (xy 103.04278 109.665981) + (xy 103.063051 109.688494) + (xy 103.085516 109.698495) + (xy 103.095887 109.704127) + (xy 103.116502 109.717516) + (xy 103.124928 109.71885) + (xy 103.143759 109.724428) + (xy 103.151553 109.727898) + (xy 103.151555 109.727898) + (xy 103.151558 109.7279) + (xy 103.176152 109.7279) + (xy 103.187915 109.728825) + (xy 103.212194 109.732671) + (xy 103.217253 109.731315) + (xy 103.22044 109.730462) + (xy 103.239901 109.7279) + (xy 105.474453 109.7279) + (xy 105.522791 109.745493) + (xy 105.527627 109.749926) + (xy 107.983231 112.20553) + (xy 107.985942 112.208386) + (xy 108.012566 112.237956) + (xy 108.013051 112.238494) + (xy 108.027601 112.244972) + (xy 108.035516 112.248496) + (xy 108.045884 112.254125) + (xy 108.066504 112.267516) + (xy 108.074936 112.268851) + (xy 108.093755 112.274426) + (xy 108.101558 112.2779) + (xy 108.126147 112.2779) + (xy 108.13791 112.278825) + (xy 108.162194 112.282672) + (xy 108.168459 112.280993) + (xy 108.170443 112.280462) + (xy 108.189904 112.2779) + (xy 108.576964 112.2779) + (xy 108.625302 112.295493) + (xy 108.630138 112.299925) + (xy 108.710229 112.380016) + (xy 108.823943 112.437956) + (xy 108.823945 112.437957) + (xy 108.95 112.457922) + (xy 109.076055 112.437957) + (xy 109.189771 112.380016) + (xy 109.280016 112.289771) + (xy 109.337957 112.176055) + (xy 109.357922 112.05) + (xy 109.337957 111.923945) + (xy 109.280016 111.810229) + (xy 109.189771 111.719984) + (xy 109.076055 111.662043) + (xy 109.076057 111.662043) + (xy 108.95 111.642078) + (xy 108.823943 111.662043) + (xy 108.71023 111.719983) + (xy 108.630138 111.800075) + (xy 108.583518 111.821814) + (xy 108.576964 111.8221) + (xy 108.275548 111.8221) + (xy 108.22721 111.804507) + (xy 108.222374 111.800074) + (xy 107.928574 111.506274) + (xy 107.906834 111.459654) + (xy 107.920148 111.409967) + (xy 107.962285 111.380462) + (xy 107.981748 111.3779) + (xy 109.326964 111.3779) + (xy 109.375302 111.395493) + (xy 109.380138 111.399925) + (xy 109.460229 111.480016) + (xy 109.573943 111.537956) + (xy 109.573945 111.537957) + (xy 109.7 111.557922) + (xy 109.826055 111.537957) + (xy 109.939771 111.480016) + (xy 110.030016 111.389771) + (xy 110.087957 111.276055) + (xy 110.107922 111.15) + (xy 110.087957 111.023945) + (xy 110.030016 110.910229) + (xy 109.939771 110.819984) + (xy 109.826055 110.762043) + (xy 109.826057 110.762043) + (xy 109.7 110.742078) + (xy 109.573943 110.762043) + (xy 109.46023 110.819983) + (xy 109.380138 110.900075) + (xy 109.333518 110.921814) + (xy 109.326964 110.9221) + (xy 107.825547 110.9221) + (xy 107.777209 110.904507) + (xy 107.772373 110.900074) + (xy 107.378573 110.506274) + (xy 107.356833 110.459654) + (xy 107.370147 110.409967) + (xy 107.412284 110.380462) + (xy 107.431747 110.3779) + (xy 109.326964 110.3779) + (xy 109.375302 110.395493) + (xy 109.380138 110.399925) + (xy 109.460229 110.480016) + (xy 109.573943 110.537956) + (xy 109.573945 110.537957) + (xy 109.7 110.557922) + (xy 109.826055 110.537957) + (xy 109.939771 110.480016) + (xy 110.030016 110.389771) + (xy 110.087957 110.276055) + (xy 110.107922 110.15) + (xy 110.087957 110.023945) + (xy 110.030016 109.910229) + (xy 109.939771 109.819984) + (xy 109.826055 109.762043) + (xy 109.826057 109.762043) + (xy 109.7 109.742078) + (xy 109.573943 109.762043) + (xy 109.46023 109.819983) + (xy 109.380138 109.900075) + (xy 109.333518 109.921814) + (xy 109.326964 109.9221) + (xy 107.250547 109.9221) + (xy 107.202209 109.904507) + (xy 107.197373 109.900074) + (xy 106.994481 109.697182) + (xy 110.2221 109.697182) + (xy 110.229425 109.747447) + (xy 110.232449 109.768203) + (xy 110.286008 109.877761) + (xy 110.286009 109.877762) + (xy 110.28601 109.877764) + (xy 110.375074 109.966828) + (xy 110.396814 110.013448) + (xy 110.3971 110.020002) + (xy 110.3971 110.412228) + (xy 110.396335 110.422929) + (xy 110.392443 110.45) + (xy 110.396493 110.478172) + (xy 110.397048 110.483251) + (xy 110.3971 110.483948) + (xy 110.40189 110.515726) + (xy 110.401964 110.51623) + (xy 110.411227 110.580646) + (xy 110.41183 110.583374) + (xy 110.412334 110.585008) + (xy 110.439639 110.641709) + (xy 110.44029 110.643095) + (xy 110.465079 110.697374) + (xy 110.467989 110.701901) + (xy 110.467399 110.702279) + (xy 110.468197 110.70348) + (xy 110.46839 110.703349) + (xy 110.471563 110.708003) + (xy 110.471568 110.708008) + (xy 110.471569 110.70801) + (xy 110.51194 110.751519) + (xy 110.513591 110.75336) + (xy 110.53956 110.78333) + (xy 110.550366 110.795801) + (xy 110.554428 110.799321) + (xy 110.554351 110.799409) + (xy 110.563423 110.807004) + (xy 110.563979 110.807603) + (xy 110.564432 110.808091) + (xy 110.609077 110.833866) + (xy 110.612671 110.835941) + (xy 110.615728 110.837805) + (xy 110.623711 110.842935) + (xy 110.659924 110.866208) + (xy 110.661818 110.866764) + (xy 110.678224 110.873789) + (xy 110.682668 110.876355) + (xy 110.68267 110.876355) + (xy 110.682671 110.876356) + (xy 110.699177 110.880123) + (xy 110.733478 110.887951) + (xy 110.73791 110.889107) + (xy 110.784883 110.9029) + (xy 110.790495 110.9029) + (xy 110.807229 110.904786) + (xy 110.815766 110.906734) + (xy 110.81577 110.906735) + (xy 110.815771 110.906734) + (xy 110.815772 110.906735) + (xy 110.864148 110.90311) + (xy 110.869767 110.9029) + (xy 110.915114 110.9029) + (xy 110.915117 110.9029) + (xy 110.923988 110.900294) + (xy 110.939552 110.897458) + (xy 110.951918 110.896533) + (xy 110.993753 110.880112) + (xy 111.000017 110.87797) + (xy 111.040071 110.86621) + (xy 111.040072 110.866209) + (xy 111.040076 110.866208) + (xy 111.050912 110.859243) + (xy 111.064083 110.85251) + (xy 111.079008 110.846653) + (xy 111.111318 110.820886) + (xy 111.117534 110.816429) + (xy 111.130255 110.808254) + (xy 111.149636 110.795799) + (xy 111.160444 110.783324) + (xy 111.170391 110.773777) + (xy 111.185749 110.76153) + (xy 111.190746 110.754201) + (xy 111.206993 110.73037) + (xy 111.212291 110.72349) + (xy 111.234918 110.697378) + (xy 111.234918 110.697377) + (xy 111.234921 110.697374) + (xy 111.243279 110.679069) + (xy 111.249543 110.66796) + (xy 111.262658 110.648726) + (xy 111.272713 110.616124) + (xy 111.276157 110.60708) + (xy 111.289023 110.578909) + (xy 111.292401 110.555407) + (xy 111.294973 110.54396) + (xy 111.3029 110.518264) + (xy 111.3029 110.487771) + (xy 111.303665 110.47707) + (xy 111.306119 110.459999) + (xy 111.307557 110.45) + (xy 111.303665 110.422929) + (xy 111.3029 110.412228) + (xy 111.3029 110.020002) + (xy 111.320493 109.971664) + (xy 111.324926 109.966828) + (xy 111.412828 109.878926) + (xy 111.459448 109.857186) + (xy 111.466002 109.8569) + (xy 111.73763 109.8569) + (xy 111.749392 109.857825) + (xy 111.75 109.857922) + (xy 111.750607 109.857825) + (xy 111.76237 109.8569) + (xy 111.78202 109.8569) + (xy 111.782024 109.8569) + (xy 111.877306 109.841809) + (xy 111.884868 109.837956) + (xy 111.888519 109.836096) + (xy 111.922659 109.8279) + (xy 112.002743 109.8279) + (xy 112.051081 109.845493) + (xy 112.076801 109.890042) + (xy 112.067868 109.9407) + (xy 112.065282 109.944858) + (xy 112.008098 110.030442) + (xy 112.007613 110.031168) + (xy 111.9921 110.10916) + (xy 111.9921 110.390839) + (xy 111.992101 110.39084) + (xy 112.002443 110.442835) + (xy 112.007614 110.468834) + (xy 112.066715 110.557285) + (xy 112.111894 110.587473) + (xy 112.142311 110.628955) + (xy 112.138948 110.680285) + (xy 112.111896 110.712525) + (xy 112.066714 110.742715) + (xy 112.007614 110.831166) + (xy 112.007613 110.831168) + (xy 111.9921 110.90916) + (xy 111.9921 111.190839) + (xy 111.992101 111.19084) + (xy 111.997207 111.216511) + (xy 112.007614 111.268834) + (xy 112.066715 111.357285) + (xy 112.112346 111.387774) + (xy 112.142762 111.429256) + (xy 112.139399 111.480585) + (xy 112.112347 111.512826) + (xy 112.067075 111.543076) + (xy 112.008086 111.631361) + (xy 112.008085 111.631363) + (xy 111.994432 111.699999) + (xy 111.994433 111.7) + (xy 113.805566 111.7) + (xy 113.791913 111.631361) + (xy 113.732923 111.543076) + (xy 113.687654 111.512828) + (xy 113.657237 111.471344) + (xy 113.660602 111.420015) + (xy 113.687652 111.387775) + (xy 113.733285 111.357285) + (xy 113.749397 111.333172) + (xy 113.763998 111.311321) + (xy 113.805481 111.280904) + (xy 113.826524 111.2779) + (xy 114.3169 111.2779) + (xy 114.365238 111.295493) + (xy 114.383904 111.31896) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.080016 111.389771) + (xy 115.137957 111.276055) + (xy 115.157922 111.15) + (xy 115.137957 111.023945) + (xy 115.080016 110.910229) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.543843 110.802857) + (xy 114.533251 110.808254) + (xy 114.522162 110.813904) + (xy 114.488022 110.8221) + (xy 113.826524 110.8221) + (xy 113.778186 110.804507) + (xy 113.763998 110.788679) + (xy 113.733285 110.742715) + (xy 113.723485 110.736167) + (xy 113.688104 110.712526) + (xy 113.657687 110.671044) + (xy 113.661051 110.619714) + (xy 113.688105 110.587473) + (xy 113.733285 110.557285) + (xy 113.745876 110.538441) + (xy 113.763998 110.511321) + (xy 113.805481 110.480904) + (xy 113.826524 110.4779) + (xy 114.488022 110.4779) + (xy 114.522161 110.486095) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.080016 110.389771) + (xy 115.137957 110.276055) + (xy 115.157922 110.15) + (xy 115.137957 110.023945) + (xy 115.080016 109.910229) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.51023 109.819983) + (xy 114.419984 109.910228) + (xy 114.383904 109.98104) + (xy 114.346283 110.016122) + (xy 114.3169 110.0221) + (xy 113.826524 110.0221) + (xy 113.778186 110.004507) + (xy 113.763997 109.988679) + (xy 113.734731 109.944879) + (xy 113.722505 109.894913) + (xy 113.745256 109.848778) + (xy 113.79234 109.828061) + (xy 113.797258 109.8279) + (xy 113.886152 109.8279) + (xy 113.920292 109.836096) + (xy 113.92166 109.836793) + (xy 113.923943 109.837956) + (xy 113.923945 109.837957) + (xy 114.05 109.857922) + (xy 114.176055 109.837957) + (xy 114.289771 109.780016) + (xy 114.380016 109.689771) + (xy 114.437957 109.576055) + (xy 114.457922 109.45) + (xy 114.437957 109.323945) + (xy 114.380016 109.210229) + (xy 114.289771 109.119984) + (xy 114.176055 109.062043) + (xy 114.176057 109.062043) + (xy 114.05 109.042078) + (xy 113.923943 109.062043) + (xy 113.920292 109.063904) + (xy 113.886152 109.0721) + (xy 113.797258 109.0721) + (xy 113.74892 109.054507) + (xy 113.7232 109.009958) + (xy 113.732133 108.9593) + (xy 113.734731 108.955121) + (xy 113.763997 108.911321) + (xy 113.805481 108.880904) + (xy 113.826524 108.8779) + (xy 114.3169 108.8779) + (xy 114.365238 108.895493) + (xy 114.383904 108.91896) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.080016 108.989771) + (xy 115.137957 108.876055) + (xy 115.157922 108.75) + (xy 122.142078 108.75) + (xy 122.162043 108.876056) + (xy 122.204458 108.9593) + (xy 122.219984 108.989771) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.916096 108.91896) + (xy 122.953717 108.883878) + (xy 122.9831 108.8779) + (xy 123.473476 108.8779) + (xy 123.521814 108.895493) + (xy 123.536002 108.911321) + (xy 123.566713 108.957284) + (xy 123.571121 108.960229) + (xy 123.612346 108.987774) + (xy 123.642762 109.029256) + (xy 123.639399 109.080585) + (xy 123.612347 109.112826) + (xy 123.567075 109.143076) + (xy 123.508086 109.231361) + (xy 123.508085 109.231363) + (xy 123.494432 109.299999) + (xy 123.494433 109.3) + (xy 125.305566 109.3) + (xy 125.291913 109.231361) + (xy 125.232923 109.143076) + (xy 125.187654 109.112828) + (xy 125.157237 109.071344) + (xy 125.160602 109.020015) + (xy 125.187652 108.987775) + (xy 125.233285 108.957285) + (xy 125.292386 108.868834) + (xy 125.3079 108.790839) + (xy 125.3079 108.7) + (xy 125.822601 108.7) + (xy 125.822601 108.797142) + (xy 125.832934 108.868059) + (xy 125.886421 108.977469) + (xy 125.97253 109.063578) + (xy 126.081941 109.117065) + (xy 126.08194 109.117065) + (xy 126.152863 109.127399) + (xy 126.3 109.127399) + (xy 126.3 108.7) + (xy 126.6 108.7) + (xy 126.6 109.127399) + (xy 126.74713 109.127399) + (xy 126.747142 109.127398) + (xy 126.818059 109.117065) + (xy 126.927469 109.063578) + (xy 127.013578 108.977469) + (xy 127.067065 108.868058) + (xy 127.077399 108.797141) + (xy 127.0774 108.797131) + (xy 127.0774 108.7) + (xy 126.6 108.7) + (xy 126.3 108.7) + (xy 125.822601 108.7) + (xy 125.3079 108.7) + (xy 125.307899 108.509162) + (xy 125.292386 108.431166) + (xy 125.271562 108.4) + (xy 125.8226 108.4) + (xy 126.3 108.4) + (xy 126.3 107.9726) + (xy 126.6 107.9726) + (xy 126.6 108.4) + (xy 127.077399 108.4) + (xy 127.077399 108.302869) + (xy 127.077398 108.302857) + (xy 127.067065 108.23194) + (xy 127.013578 108.12253) + (xy 126.927469 108.036421) + (xy 126.818058 107.982934) + (xy 126.818059 107.982934) + (xy 126.747141 107.9726) + (xy 126.6 107.9726) + (xy 126.3 107.9726) + (xy 126.15287 107.9726) + (xy 126.152857 107.972601) + (xy 126.08194 107.982934) + (xy 125.97253 108.036421) + (xy 125.886421 108.12253) + (xy 125.832934 108.231941) + (xy 125.8226 108.302858) + (xy 125.8226 108.4) + (xy 125.271562 108.4) + (xy 125.233285 108.342715) + (xy 125.188104 108.312526) + (xy 125.157687 108.271044) + (xy 125.161051 108.219714) + (xy 125.188105 108.187473) + (xy 125.188107 108.187472) + (xy 125.233285 108.157285) + (xy 125.292386 108.068834) + (xy 125.3079 107.990839) + (xy 125.307899 107.709162) + (xy 125.292386 107.631166) + (xy 125.234731 107.544879) + (xy 125.222505 107.494913) + (xy 125.245256 107.448778) + (xy 125.29234 107.428061) + (xy 125.297258 107.4279) + (xy 125.377341 107.4279) + (xy 125.411481 107.436096) + (xy 125.412119 107.436421) + (xy 125.422692 107.441808) + (xy 125.422694 107.441809) + (xy 125.517976 107.4569) + (xy 125.53763 107.4569) + (xy 125.549392 107.457825) + (xy 125.55 107.457922) + (xy 125.550607 107.457825) + (xy 125.56237 107.4569) + (xy 125.833998 107.4569) + (xy 125.882336 107.474493) + (xy 125.887172 107.478926) + (xy 125.972235 107.563989) + (xy 125.972236 107.563989) + (xy 125.972239 107.563992) + (xy 126.081797 107.617551) + (xy 126.133848 107.625135) + (xy 126.152817 107.627899) + (xy 126.152822 107.627899) + (xy 126.152826 107.6279) + (xy 126.152828 107.6279) + (xy 126.747172 107.6279) + (xy 126.747174 107.6279) + (xy 126.747178 107.627899) + (xy 126.747182 107.627899) + (xy 126.756151 107.626592) + (xy 126.818203 107.617551) + (xy 126.927761 107.563992) + (xy 126.947453 107.544299) + (xy 126.99407 107.522559) + (xy 127.043757 107.535871) + (xy 127.053801 107.544299) + (xy 127.116413 107.606911) + (xy 127.120071 107.610839) + (xy 127.150364 107.645799) + (xy 127.190766 107.671763) + (xy 127.194766 107.67452) + (xy 127.215304 107.689677) + (xy 127.235983 107.704939) + (xy 127.241682 107.706932) + (xy 127.257499 107.714649) + (xy 127.259924 107.716208) + (xy 127.293113 107.725953) + (xy 127.309457 107.730752) + (xy 127.313108 107.731926) + (xy 127.334762 107.739503) + (xy 127.364849 107.750031) + (xy 127.367234 107.75012) + (xy 127.379906 107.752184) + (xy 127.384881 107.7529) + (xy 127.384883 107.7529) + (xy 127.440145 107.7529) + (xy 127.442954 107.752952) + (xy 127.461988 107.753665) + (xy 127.501279 107.755135) + (xy 127.501279 107.755134) + (xy 127.501281 107.755135) + (xy 127.501282 107.755134) + (xy 127.506881 107.754504) + (xy 127.506887 107.754563) + (xy 127.509866 107.75417) + (xy 127.509794 107.753665) + (xy 127.515108 107.7529) + (xy 127.515117 107.7529) + (xy 127.549046 107.742937) + (xy 127.571571 107.736323) + (xy 127.573274 107.735844) + (xy 127.633157 107.7198) + (xy 127.633162 107.719796) + (xy 127.634643 107.719151) + (xy 127.639948 107.716289) + (xy 127.640072 107.716209) + (xy 127.640076 107.716208) + (xy 127.692672 107.682405) + (xy 127.693159 107.682096) + (xy 127.744242 107.65) + (xy 127.748754 107.647165) + (xy 127.748754 107.647164) + (xy 127.748757 107.647163) + (xy 127.79279 107.595995) + (xy 127.834921 107.547374) + (xy 127.834921 107.547372) + (xy 127.836994 107.544981) + (xy 127.837806 107.543685) + (xy 127.837813 107.543679) + (xy 127.863331 107.485187) + (xy 127.863834 107.484063) + (xy 127.889021 107.428913) + (xy 127.889023 107.428909) + (xy 127.889023 107.428903) + (xy 127.890025 107.425495) + (xy 127.892008 107.420037) + (xy 127.892409 107.418542) + (xy 127.892453 107.418152) + (xy 127.899152 107.358685) + (xy 127.89943 107.356519) + (xy 127.907557 107.3) + (xy 127.907557 107.294618) + (xy 127.907804 107.294618) + (xy 127.907633 107.283426) + (xy 127.90765 107.283264) + (xy 127.907695 107.282874) + (xy 127.897173 107.227268) + (xy 127.89663 107.224003) + (xy 127.889023 107.171091) + (xy 127.88858 107.170121) + (xy 127.883095 107.15286) + (xy 127.882313 107.148727) + (xy 127.857535 107.101846) + (xy 127.855637 107.09799) + (xy 127.834921 107.052626) + (xy 127.834918 107.052623) + (xy 127.834917 107.05262) + (xy 127.831838 107.049067) + (xy 127.82219 107.034969) + (xy 127.818518 107.028021) + (xy 127.783575 106.993078) + (xy 127.779931 106.989163) + (xy 127.749638 106.954203) + (xy 127.749636 106.954201) + (xy 127.746085 106.951919) + (xy 127.742612 106.949687) + (xy 127.730099 106.939602) + (xy 127.541223 106.750726) + (xy 127.535603 106.744438) + (xy 127.51153 106.714251) + (xy 127.463266 106.681345) + (xy 127.461033 106.679761) + (xy 127.414016 106.64506) + (xy 127.414015 106.645059) + (xy 127.414013 106.645058) + (xy 127.409038 106.642429) + (xy 127.409065 106.642377) + (xy 127.403826 106.639732) + (xy 127.403801 106.639786) + (xy 127.398728 106.637343) + (xy 127.398727 106.637342) + (xy 127.398726 106.637342) + (xy 127.355295 106.623945) + (xy 127.342954 106.620138) + (xy 127.340285 106.619259) + (xy 127.28515 106.599967) + (xy 127.279617 106.59892) + (xy 127.279627 106.598864) + (xy 127.273843 106.597882) + (xy 127.273835 106.597939) + (xy 127.268267 106.5971) + (xy 127.268264 106.5971) + (xy 127.209882 106.5971) + (xy 127.207072 106.597047) + (xy 127.204516 106.596951) + (xy 127.148719 106.594863) + (xy 127.143118 106.595495) + (xy 127.143111 106.595436) + (xy 127.130473 106.5971) + (xy 127.020001 106.5971) + (xy 126.971663 106.579507) + (xy 126.966837 106.575084) + (xy 126.927761 106.536008) + (xy 126.92776 106.536007) + (xy 126.924925 106.533172) + (xy 126.903186 106.486551) + (xy 126.9029 106.479998) + (xy 126.9029 106.237771) + (xy 126.903665 106.22707) + (xy 126.904114 106.223945) + (xy 126.907557 106.2) + (xy 126.903502 106.171801) + (xy 126.90295 106.16673) + (xy 126.9029 106.166072) + (xy 126.9029 106.166057) + (xy 126.898057 106.133928) + (xy 126.889023 106.071091) + (xy 126.889022 106.07109) + (xy 126.888771 106.06934) + (xy 126.888173 106.066638) + (xy 126.887668 106.065002) + (xy 126.887668 106.064997) + (xy 126.885365 106.060215) + (xy 126.860342 106.008254) + (xy 126.859727 106.006945) + (xy 126.835264 105.953377) + (xy 126.83492 105.952623) + (xy 126.832015 105.948104) + (xy 126.832549 105.94776) + (xy 126.831752 105.946543) + (xy 126.831604 105.946645) + (xy 126.82843 105.941989) + (xy 126.823941 105.937151) + (xy 126.788081 105.898504) + (xy 126.786392 105.89662) + (xy 126.749638 105.854203) + (xy 126.745574 105.850682) + (xy 126.745651 105.850592) + (xy 126.736574 105.842993) + (xy 126.73557 105.841911) + (xy 126.735569 105.84191) + (xy 126.735568 105.841909) + (xy 126.687322 105.814054) + (xy 126.684268 105.812192) + (xy 126.640079 105.783793) + (xy 126.640076 105.783792) + (xy 126.638179 105.783235) + (xy 126.621775 105.77621) + (xy 126.617332 105.773645) + (xy 126.61733 105.773644) + (xy 126.617329 105.773644) + (xy 126.566536 105.76205) + (xy 126.562087 105.76089) + (xy 126.515118 105.7471) + (xy 126.515117 105.7471) + (xy 126.509505 105.7471) + (xy 126.492771 105.745214) + (xy 126.484233 105.743265) + (xy 126.484229 105.743264) + (xy 126.435852 105.74689) + (xy 126.430233 105.7471) + (xy 126.384881 105.7471) + (xy 126.376011 105.749705) + (xy 126.360448 105.75254) + (xy 126.348083 105.753466) + (xy 126.306262 105.769879) + (xy 126.29998 105.772029) + (xy 126.259923 105.783792) + (xy 126.259921 105.783793) + (xy 126.249085 105.790756) + (xy 126.235914 105.797489) + (xy 126.220996 105.803344) + (xy 126.220993 105.803346) + (xy 126.188693 105.829103) + (xy 126.182468 105.833568) + (xy 126.150364 105.854201) + (xy 126.150363 105.854201) + (xy 126.150363 105.854202) + (xy 126.13955 105.866679) + (xy 126.129612 105.876219) + (xy 126.11425 105.88847) + (xy 126.114247 105.888473) + (xy 126.093008 105.919625) + (xy 126.087711 105.926505) + (xy 126.065078 105.952627) + (xy 126.056719 105.970928) + (xy 126.050452 105.982043) + (xy 126.037344 106.00127) + (xy 126.037339 106.00128) + (xy 126.027287 106.033866) + (xy 126.023834 106.042935) + (xy 126.010978 106.071086) + (xy 126.010976 106.071094) + (xy 126.007598 106.094583) + (xy 126.005025 106.106038) + (xy 125.9971 106.131735) + (xy 125.9971 106.162228) + (xy 125.996335 106.172929) + (xy 125.992443 106.2) + (xy 125.996335 106.22707) + (xy 125.9971 106.237771) + (xy 125.9971 106.479998) + (xy 125.979507 106.528336) + (xy 125.975074 106.533172) + (xy 125.887172 106.621074) + (xy 125.840552 106.642814) + (xy 125.833998 106.6431) + (xy 125.56237 106.6431) + (xy 125.550607 106.642174) + (xy 125.55 106.642078) + (xy 125.549392 106.642174) + (xy 125.53763 106.6431) + (xy 125.517973 106.6431) + (xy 125.422692 106.658191) + (xy 125.411481 106.663904) + (xy 125.377341 106.6721) + (xy 125.297257 106.6721) + (xy 125.248919 106.654507) + (xy 125.223199 106.609958) + (xy 125.232132 106.5593) + (xy 125.234717 106.555141) + (xy 125.292386 106.468834) + (xy 125.3079 106.390839) + (xy 125.307899 106.109162) + (xy 125.292386 106.031166) + (xy 125.233285 105.942715) + (xy 125.232198 105.941989) + (xy 125.188105 105.912527) + (xy 125.157687 105.871044) + (xy 125.161051 105.819714) + (xy 125.188105 105.787473) + (xy 125.188107 105.787472) + (xy 125.233285 105.757285) + (xy 125.292386 105.668834) + (xy 125.3079 105.590839) + (xy 125.307899 105.309162) + (xy 125.292386 105.231166) + (xy 125.233285 105.142715) + (xy 125.230269 105.1407) + (xy 125.1966 105.118203) + (xy 125.187653 105.112224) + (xy 125.157237 105.070743) + (xy 125.1606 105.019413) + (xy 125.187654 104.987172) + (xy 125.232924 104.956924) + (xy 125.291913 104.868638) + (xy 125.291914 104.868636) + (xy 125.305567 104.8) + (xy 123.494434 104.8) + (xy 123.508086 104.868638) + (xy 123.567076 104.956923) + (xy 123.612345 104.987171) + (xy 123.642762 105.028654) + (xy 123.639398 105.079984) + (xy 123.612346 105.112224) + (xy 123.566713 105.142715) + (xy 123.536002 105.188679) + (xy 123.494519 105.219096) + (xy 123.473476 105.2221) + (xy 122.9831 105.2221) + (xy 122.934762 105.204507) + (xy 122.916096 105.18104) + (xy 122.911541 105.1721) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.310228 105.019984) + (xy 122.219984 105.110228) + (xy 122.162043 105.223943) + (xy 122.142078 105.349999) + (xy 122.142078 105.35) + (xy 122.162043 105.476056) + (xy 122.216325 105.58259) + (xy 122.219984 105.589771) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.777838 105.686095) + (xy 122.811978 105.6779) + (xy 123.473476 105.6779) + (xy 123.521814 105.695493) + (xy 123.536002 105.711321) + (xy 123.566714 105.757284) + (xy 123.566715 105.757285) + (xy 123.611894 105.787473) + (xy 123.642311 105.828955) + (xy 123.638948 105.880285) + (xy 123.611896 105.912525) + (xy 123.566713 105.942715) + (xy 123.536002 105.988679) + (xy 123.494519 106.019096) + (xy 123.473476 106.0221) + (xy 122.811978 106.0221) + (xy 122.777838 106.013904) + (xy 122.766749 106.008254) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.310228 106.019984) + (xy 122.219984 106.110228) + (xy 122.162043 106.223943) + (xy 122.142078 106.349999) + (xy 122.142078 106.35) + (xy 122.162043 106.476056) + (xy 122.214754 106.579507) + (xy 122.219984 106.589771) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.916096 106.51896) + (xy 122.953717 106.483878) + (xy 122.9831 106.4779) + (xy 123.473476 106.4779) + (xy 123.521814 106.495493) + (xy 123.536003 106.511321) + (xy 123.565269 106.555121) + (xy 123.577495 106.605087) + (xy 123.554744 106.651222) + (xy 123.50766 106.671939) + (xy 123.502742 106.6721) + (xy 123.413848 106.6721) + (xy 123.379708 106.663904) + (xy 123.376055 106.662043) + (xy 123.376057 106.662043) + (xy 123.25 106.642078) + (xy 123.123943 106.662043) + (xy 123.010228 106.719984) + (xy 122.919984 106.810228) + (xy 122.862043 106.923943) + (xy 122.842078 107.049999) + (xy 122.842078 107.05) + (xy 122.862043 107.176056) + (xy 122.895683 107.242078) + (xy 122.919984 107.289771) + (xy 123.010229 107.380016) + (xy 123.123943 107.437956) + (xy 123.123945 107.437957) + (xy 123.25 107.457922) + (xy 123.376055 107.437957) + (xy 123.37907 107.436421) + (xy 123.379708 107.436096) + (xy 123.413848 107.4279) + (xy 123.502742 107.4279) + (xy 123.55108 107.445493) + (xy 123.5768 107.490042) + (xy 123.567867 107.5407) + (xy 123.565269 107.544879) + (xy 123.536003 107.588679) + (xy 123.494519 107.619096) + (xy 123.473476 107.6221) + (xy 122.9831 107.6221) + (xy 122.934762 107.604507) + (xy 122.916096 107.58104) + (xy 122.91157 107.572158) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.310228 107.419984) + (xy 122.219984 107.510228) + (xy 122.162043 107.623943) + (xy 122.142078 107.749999) + (xy 122.142078 107.75) + (xy 122.162043 107.876056) + (xy 122.219889 107.989584) + (xy 122.219984 107.989771) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.777838 108.086095) + (xy 122.811978 108.0779) + (xy 123.473476 108.0779) + (xy 123.521814 108.095493) + (xy 123.536002 108.111321) + (xy 123.562888 108.151558) + (xy 123.566715 108.157285) + (xy 123.611894 108.187473) + (xy 123.642311 108.228955) + (xy 123.638948 108.280285) + (xy 123.611896 108.312525) + (xy 123.566713 108.342715) + (xy 123.536002 108.388679) + (xy 123.494519 108.419096) + (xy 123.473476 108.4221) + (xy 122.811978 108.4221) + (xy 122.777838 108.413904) + (xy 122.765434 108.407584) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.310228 108.419984) + (xy 122.219984 108.510228) + (xy 122.162043 108.623943) + (xy 122.142078 108.749999) + (xy 122.142078 108.75) + (xy 115.157922 108.75) + (xy 115.137957 108.623945) + (xy 115.080016 108.510229) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.75 108.342078) + (xy 114.623943 108.362043) + (xy 114.522162 108.413904) + (xy 114.488022 108.4221) + (xy 113.826524 108.4221) + (xy 113.778186 108.404507) + (xy 113.763998 108.388679) + (xy 113.733285 108.342715) + (xy 113.688105 108.312527) + (xy 113.657687 108.271044) + (xy 113.661051 108.219714) + (xy 113.688105 108.187473) + (xy 113.733285 108.157285) + (xy 113.745255 108.13937) + (xy 113.763998 108.111321) + (xy 113.805481 108.080904) + (xy 113.826524 108.0779) + (xy 114.488022 108.0779) + (xy 114.522161 108.086095) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.080016 107.989771) + (xy 115.137957 107.876055) + (xy 115.157922 107.75) + (xy 115.157679 107.748468) + (xy 115.153139 107.7198) + (xy 115.137957 107.623945) + (xy 115.080016 107.510229) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.51023 107.419983) + (xy 114.419984 107.510228) + (xy 114.383904 107.58104) + (xy 114.346283 107.616122) + (xy 114.3169 107.6221) + (xy 113.826524 107.6221) + (xy 113.778186 107.604507) + (xy 113.763998 107.588679) + (xy 113.733285 107.542715) + (xy 113.723042 107.535871) + (xy 113.687653 107.512224) + (xy 113.657237 107.470743) + (xy 113.6606 107.419413) + (xy 113.687654 107.387172) + (xy 113.732924 107.356924) + (xy 113.791913 107.268638) + (xy 113.791914 107.268636) + (xy 113.805567 107.2) + (xy 111.994434 107.2) + (xy 112.008086 107.268638) + (xy 112.067076 107.356923) + (xy 112.112345 107.387171) + (xy 112.142762 107.428654) + (xy 112.139398 107.479984) + (xy 112.112346 107.512224) + (xy 112.066714 107.542715) + (xy 112.007614 107.631166) + (xy 112.007613 107.631168) + (xy 111.9921 107.70916) + (xy 111.9921 107.990839) + (xy 111.992101 107.99084) + (xy 112.001473 108.037957) + (xy 112.007614 108.068834) + (xy 112.066715 108.157285) + (xy 112.111894 108.187473) + (xy 112.142311 108.228955) + (xy 112.138948 108.280285) + (xy 112.111896 108.312525) + (xy 112.066714 108.342715) + (xy 112.007614 108.431166) + (xy 112.007613 108.431168) + (xy 111.9921 108.50916) + (xy 111.9921 108.790839) + (xy 111.992101 108.79084) + (xy 112.000038 108.830744) + (xy 112.007614 108.868834) + (xy 112.043205 108.9221) + (xy 112.065269 108.955121) + (xy 112.077495 109.005087) + (xy 112.054744 109.051222) + (xy 112.00766 109.071939) + (xy 112.002742 109.0721) + (xy 111.922659 109.0721) + (xy 111.888519 109.063904) + (xy 111.877306 109.058191) + (xy 111.877308 109.058191) + (xy 111.782026 109.0431) + (xy 111.782024 109.0431) + (xy 111.76237 109.0431) + (xy 111.750607 109.042174) + (xy 111.75 109.042078) + (xy 111.749392 109.042174) + (xy 111.73763 109.0431) + (xy 111.466002 109.0431) + (xy 111.417664 109.025507) + (xy 111.412828 109.021074) + (xy 111.327764 108.93601) + (xy 111.327762 108.936009) + (xy 111.327761 108.936008) + (xy 111.218203 108.882449) + (xy 111.147182 108.8721) + (xy 111.147174 108.8721) + (xy 110.552826 108.8721) + (xy 110.552817 108.8721) + (xy 110.481797 108.882449) + (xy 110.3763 108.934023) + (xy 110.372235 108.93601) + (xy 110.28601 109.022235) + (xy 110.286008 109.022238) + (xy 110.286008 109.022239) + (xy 110.246324 109.103415) + (xy 110.232449 109.131797) + (xy 110.2221 109.202817) + (xy 110.2221 109.697182) + (xy 106.994481 109.697182) + (xy 106.803573 109.506274) + (xy 106.781833 109.459654) + (xy 106.795147 109.409967) + (xy 106.837284 109.380462) + (xy 106.856747 109.3779) + (xy 108.5169 109.3779) + (xy 108.565238 109.395493) + (xy 108.583904 109.41896) + (xy 108.593311 109.437422) + (xy 108.619984 109.489771) + (xy 108.710229 109.580016) + (xy 108.823943 109.637956) + (xy 108.823945 109.637957) + (xy 108.95 109.657922) + (xy 109.076055 109.637957) + (xy 109.189771 109.580016) + (xy 109.280016 109.489771) + (xy 109.337957 109.376055) + (xy 109.357922 109.25) + (xy 109.337957 109.123945) + (xy 109.280016 109.010229) + (xy 109.189771 108.919984) + (xy 109.076055 108.862043) + (xy 109.076057 108.862043) + (xy 108.95 108.842078) + (xy 108.823943 108.862043) + (xy 108.722162 108.913904) + (xy 108.688022 108.9221) + (xy 106.675547 108.9221) + (xy 106.627209 108.904507) + (xy 106.622373 108.900074) + (xy 106.395334 108.673035) + (xy 106.166758 108.444458) + (xy 106.164047 108.441602) + (xy 106.136949 108.411506) + (xy 106.136945 108.411503) + (xy 106.114486 108.401504) + (xy 106.104116 108.395874) + (xy 106.083496 108.382483) + (xy 106.075064 108.381148) + (xy 106.056243 108.375573) + (xy 106.048442 108.3721) + (xy 106.023848 108.3721) + (xy 106.012084 108.371174) + (xy 105.987808 108.367329) + (xy 105.987804 108.367329) + (xy 105.97956 108.369538) + (xy 105.960099 108.3721) + (xy 103.775547 108.3721) + (xy 103.727209 108.354507) + (xy 103.722373 108.350074) + (xy 103.4723 108.1) + (xy 110.222601 108.1) + (xy 110.222601 108.197142) + (xy 110.232934 108.268059) + (xy 110.286421 108.377469) + (xy 110.37253 108.463578) + (xy 110.481941 108.517065) + (xy 110.48194 108.517065) + (xy 110.552863 108.527399) + (xy 110.7 108.527399) + (xy 110.7 108.1) + (xy 111 108.1) + (xy 111 108.527399) + (xy 111.14713 108.527399) + (xy 111.147142 108.527398) + (xy 111.218059 108.517065) + (xy 111.327469 108.463578) + (xy 111.413578 108.377469) + (xy 111.467065 108.268058) + (xy 111.477399 108.197141) + (xy 111.4774 108.197131) + (xy 111.4774 108.1) + (xy 111 108.1) + (xy 110.7 108.1) + (xy 110.222601 108.1) + (xy 103.4723 108.1) + (xy 103.278574 107.906274) + (xy 103.256834 107.859654) + (xy 103.270148 107.809967) + (xy 103.312285 107.780462) + (xy 103.331748 107.7779) + (xy 104.224452 107.7779) + (xy 104.27279 107.795493) + (xy 104.277624 107.799924) + (xy 104.3307 107.853) + (xy 104.383249 107.905549) + (xy 104.385959 107.908405) + (xy 104.390474 107.91342) + (xy 104.413051 107.938494) + (xy 104.435516 107.948495) + (xy 104.445885 107.954126) + (xy 104.466501 107.967515) + (xy 104.466502 107.967515) + (xy 104.466504 107.967516) + (xy 104.474936 107.968851) + (xy 104.493755 107.974426) + (xy 104.501558 107.9779) + (xy 104.526147 107.9779) + (xy 104.53791 107.978825) + (xy 104.562194 107.982672) + (xy 104.568459 107.980993) + (xy 104.570443 107.980462) + (xy 104.589904 107.9779) + (xy 108.688022 107.9779) + (xy 108.722161 107.986095) + (xy 108.823943 108.037956) + (xy 108.823945 108.037957) + (xy 108.95 108.057922) + (xy 109.076055 108.037957) + (xy 109.189771 107.980016) + (xy 109.280016 107.889771) + (xy 109.325756 107.8) + (xy 110.2226 107.8) + (xy 110.7 107.8) + (xy 110.7 107.3726) + (xy 111 107.3726) + (xy 111 107.8) + (xy 111.477399 107.8) + (xy 111.477399 107.702869) + (xy 111.477398 107.702857) + (xy 111.467065 107.63194) + (xy 111.413578 107.52253) + (xy 111.327469 107.436421) + (xy 111.218058 107.382934) + (xy 111.218059 107.382934) + (xy 111.147141 107.3726) + (xy 111 107.3726) + (xy 110.7 107.3726) + (xy 110.55287 107.3726) + (xy 110.552857 107.372601) + (xy 110.48194 107.382934) + (xy 110.37253 107.436421) + (xy 110.286421 107.52253) + (xy 110.232934 107.631941) + (xy 110.2226 107.702858) + (xy 110.2226 107.8) + (xy 109.325756 107.8) + (xy 109.337957 107.776055) + (xy 109.357922 107.65) + (xy 109.337957 107.523945) + (xy 109.280016 107.410229) + (xy 109.189771 107.319984) + (xy 109.076055 107.262043) + (xy 109.076057 107.262043) + (xy 108.95 107.242078) + (xy 108.823943 107.262043) + (xy 108.71023 107.319983) + (xy 108.619984 107.410228) + (xy 108.583904 107.48104) + (xy 108.546283 107.516122) + (xy 108.5169 107.5221) + (xy 104.675548 107.5221) + (xy 104.62721 107.504507) + (xy 104.622374 107.500074) + (xy 104.575473 107.453173) + (xy 104.553733 107.406553) + (xy 104.567047 107.356866) + (xy 104.575462 107.346837) + (xy 104.922375 106.999924) + (xy 104.968994 106.978186) + (xy 104.975548 106.9779) + (xy 109.326964 106.9779) + (xy 109.375302 106.995493) + (xy 109.380138 106.999925) + (xy 109.460229 107.080016) + (xy 109.573943 107.137956) + (xy 109.573945 107.137957) + (xy 109.7 107.157922) + (xy 109.826055 107.137957) + (xy 109.939771 107.080016) + (xy 110.030016 106.989771) + (xy 110.087957 106.876055) + (xy 110.107922 106.75) + (xy 110.106014 106.737956) + (xy 110.10226 106.71425) + (xy 110.087957 106.623945) + (xy 110.030016 106.510229) + (xy 109.939771 106.419984) + (xy 109.826055 106.362043) + (xy 109.826057 106.362043) + (xy 109.7 106.342078) + (xy 109.573943 106.362043) + (xy 109.46023 106.419983) + (xy 109.380138 106.500075) + (xy 109.333518 106.521814) + (xy 109.326964 106.5221) + (xy 107.431747 106.5221) + (xy 107.383409 106.504507) + (xy 107.357689 106.459958) + (xy 107.366622 106.4093) + (xy 107.378573 106.393726) + (xy 107.772373 105.999926) + (xy 107.818993 105.978186) + (xy 107.825547 105.9779) + (xy 109.326964 105.9779) + (xy 109.375302 105.995493) + (xy 109.380138 105.999925) + (xy 109.460229 106.080016) + (xy 109.573943 106.137956) + (xy 109.573945 106.137957) + (xy 109.7 106.157922) + (xy 109.826055 106.137957) + (xy 109.939771 106.080016) + (xy 110.030016 105.989771) + (xy 110.087957 105.876055) + (xy 110.107922 105.75) + (xy 110.107429 105.74689) + (xy 110.104164 105.726276) + (xy 110.087957 105.623945) + (xy 110.030016 105.510229) + (xy 109.939771 105.419984) + (xy 109.826055 105.362043) + (xy 109.826057 105.362043) + (xy 109.7 105.342078) + (xy 109.573943 105.362043) + (xy 109.46023 105.419983) + (xy 109.380138 105.500075) + (xy 109.333518 105.521814) + (xy 109.326964 105.5221) + (xy 107.981747 105.5221) + (xy 107.933409 105.504507) + (xy 107.907689 105.459958) + (xy 107.916622 105.4093) + (xy 107.928573 105.393726) + (xy 108.222373 105.099926) + (xy 108.268993 105.078186) + (xy 108.275547 105.0779) + (xy 108.576964 105.0779) + (xy 108.625302 105.095493) + (xy 108.630138 105.099925) + (xy 108.710229 105.180016) + (xy 108.823943 105.237956) + (xy 108.823945 105.237957) + (xy 108.95 105.257922) + (xy 109.076055 105.237957) + (xy 109.189771 105.180016) + (xy 109.280016 105.089771) + (xy 109.337957 104.976055) + (xy 109.357922 104.85) + (xy 109.337957 104.723945) + (xy 109.30028 104.65) + (xy 109.538028 104.65) + (xy 109.558191 104.777307) + (xy 109.616708 104.892152) + (xy 109.707847 104.983291) + (xy 109.707849 104.983292) + (xy 109.822692 105.041808) + (xy 109.822694 105.041809) + (xy 109.917976 105.0569) + (xy 109.93763 105.0569) + (xy 109.949392 105.057825) + (xy 109.95 105.057922) + (xy 109.950607 105.057825) + (xy 109.96237 105.0569) + (xy 110.10848 105.0569) + (xy 110.156818 105.074493) + (xy 110.17912 105.113122) + (xy 110.180726 105.112626) + (xy 110.182448 105.118201) + (xy 110.182449 105.118203) + (xy 110.236008 105.227761) + (xy 110.236009 105.227762) + (xy 110.23601 105.227764) + (xy 110.322237 105.313991) + (xy 110.322238 105.313991) + (xy 110.322239 105.313992) + (xy 110.354926 105.329971) + (xy 110.390625 105.367004) + (xy 110.3971 105.39753) + (xy 110.3971 105.612228) + (xy 110.396335 105.622929) + (xy 110.392443 105.65) + (xy 110.396493 105.678172) + (xy 110.397048 105.683251) + (xy 110.3971 105.683948) + (xy 110.40189 105.715726) + (xy 110.401964 105.71623) + (xy 110.411227 105.780646) + (xy 110.41183 105.783374) + (xy 110.412334 105.785008) + (xy 110.439639 105.841709) + (xy 110.44029 105.843095) + (xy 110.465079 105.897374) + (xy 110.467989 105.901901) + (xy 110.467399 105.902279) + (xy 110.468197 105.90348) + (xy 110.46839 105.903349) + (xy 110.471563 105.908003) + (xy 110.471568 105.908008) + (xy 110.471569 105.90801) + (xy 110.51194 105.951519) + (xy 110.513591 105.95336) + (xy 110.544002 105.988457) + (xy 110.550366 105.995801) + (xy 110.554428 105.999321) + (xy 110.554351 105.999409) + (xy 110.563423 106.007004) + (xy 110.564432 106.008091) + (xy 110.609077 106.033866) + (xy 110.612671 106.035941) + (xy 110.615728 106.037805) + (xy 110.616827 106.038511) + (xy 110.659924 106.066208) + (xy 110.661818 106.066764) + (xy 110.678224 106.073789) + (xy 110.682668 106.076355) + (xy 110.68267 106.076355) + (xy 110.682671 106.076356) + (xy 110.698708 106.080016) + (xy 110.733478 106.087951) + (xy 110.73791 106.089107) + (xy 110.784883 106.1029) + (xy 110.790495 106.1029) + (xy 110.807229 106.104786) + (xy 110.815766 106.106734) + (xy 110.81577 106.106735) + (xy 110.815771 106.106734) + (xy 110.815772 106.106735) + (xy 110.864148 106.10311) + (xy 110.869767 106.1029) + (xy 110.915114 106.1029) + (xy 110.915117 106.1029) + (xy 110.923988 106.100294) + (xy 110.939552 106.097458) + (xy 110.951918 106.096533) + (xy 110.993753 106.080112) + (xy 111.000017 106.07797) + (xy 111.040071 106.06621) + (xy 111.040072 106.066209) + (xy 111.040076 106.066208) + (xy 111.050912 106.059243) + (xy 111.064083 106.05251) + (xy 111.079008 106.046653) + (xy 111.111318 106.020886) + (xy 111.117534 106.016429) + (xy 111.130255 106.008254) + (xy 111.149636 105.995799) + (xy 111.160444 105.983324) + (xy 111.170391 105.973777) + (xy 111.185749 105.96153) + (xy 111.190476 105.954596) + (xy 111.206993 105.93037) + (xy 111.212291 105.92349) + (xy 111.213496 105.9221) + (xy 111.230671 105.902279) + (xy 111.234918 105.897378) + (xy 111.234918 105.897377) + (xy 111.234921 105.897374) + (xy 111.243279 105.879069) + (xy 111.249543 105.86796) + (xy 111.262658 105.848726) + (xy 111.272713 105.816124) + (xy 111.276157 105.80708) + (xy 111.289023 105.778909) + (xy 111.292401 105.755407) + (xy 111.294973 105.74396) + (xy 111.3029 105.718264) + (xy 111.3029 105.687771) + (xy 111.303665 105.67707) + (xy 111.304379 105.6721) + (xy 111.307557 105.65) + (xy 111.303665 105.622929) + (xy 111.3029 105.612228) + (xy 111.3029 105.320001) + (xy 111.320493 105.271663) + (xy 111.324915 105.266837) + (xy 111.363992 105.227761) + (xy 111.417551 105.118203) + (xy 111.417552 105.118194) + (xy 111.419274 105.112626) + (xy 111.421397 105.113282) + (xy 111.441487 105.07596) + (xy 111.489279 105.056933) + (xy 111.49152 105.0569) + (xy 111.73763 105.0569) + (xy 111.749392 105.057825) + (xy 111.75 105.057922) + (xy 111.750607 105.057825) + (xy 111.76237 105.0569) + (xy 111.78202 105.0569) + (xy 111.782024 105.0569) + (xy 111.877306 105.041809) + (xy 111.884868 105.037956) + (xy 111.888519 105.036096) + (xy 111.922659 105.0279) + (xy 112.002743 105.0279) + (xy 112.051081 105.045493) + (xy 112.076801 105.090042) + (xy 112.067868 105.1407) + (xy 112.065282 105.144858) + (xy 112.007614 105.231166) + (xy 112.007613 105.231168) + (xy 111.9921 105.30916) + (xy 111.9921 105.590839) + (xy 111.992101 105.59084) + (xy 111.999373 105.627399) + (xy 112.007614 105.668834) + (xy 112.066715 105.757285) + (xy 112.111894 105.787473) + (xy 112.142311 105.828955) + (xy 112.138948 105.880285) + (xy 112.111896 105.912525) + (xy 112.066714 105.942715) + (xy 112.007614 106.031166) + (xy 112.007613 106.031168) + (xy 111.9921 106.10916) + (xy 111.9921 106.390839) + (xy 111.992101 106.39084) + (xy 111.996263 106.411763) + (xy 112.007614 106.468834) + (xy 112.066715 106.557285) + (xy 112.112346 106.587774) + (xy 112.142762 106.629256) + (xy 112.139399 106.680585) + (xy 112.112347 106.712826) + (xy 112.067075 106.743076) + (xy 112.008086 106.831361) + (xy 112.008085 106.831363) + (xy 111.994432 106.899999) + (xy 111.994433 106.9) + (xy 113.805566 106.9) + (xy 113.791913 106.831361) + (xy 113.732923 106.743076) + (xy 113.687654 106.712828) + (xy 113.657237 106.671344) + (xy 113.660602 106.620015) + (xy 113.687652 106.587775) + (xy 113.733285 106.557285) + (xy 113.749397 106.533172) + (xy 113.763998 106.511321) + (xy 113.805481 106.480904) + (xy 113.826524 106.4779) + (xy 114.3169 106.4779) + (xy 114.365238 106.495493) + (xy 114.383904 106.51896) + (xy 114.411186 106.572503) + (xy 114.419984 106.589771) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.080016 106.589771) + (xy 115.137957 106.476055) + (xy 115.157922 106.35) + (xy 115.137957 106.223945) + (xy 115.080016 106.110229) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.546938 106.00128) + (xy 114.533251 106.008254) + (xy 114.522162 106.013904) + (xy 114.488022 106.0221) + (xy 113.826524 106.0221) + (xy 113.778186 106.004507) + (xy 113.763998 105.988679) + (xy 113.733285 105.942715) + (xy 113.709025 105.926505) + (xy 113.688104 105.912526) + (xy 113.657687 105.871044) + (xy 113.661051 105.819714) + (xy 113.688105 105.787473) + (xy 113.733285 105.757285) + (xy 113.745255 105.73937) + (xy 113.763998 105.711321) + (xy 113.805481 105.680904) + (xy 113.826524 105.6779) + (xy 114.488022 105.6779) + (xy 114.522161 105.686095) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.080016 105.589771) + (xy 115.137957 105.476055) + (xy 115.157922 105.35) + (xy 115.137957 105.223945) + (xy 115.080016 105.110229) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.51023 105.019983) + (xy 114.419984 105.110228) + (xy 114.383904 105.18104) + (xy 114.346283 105.216122) + (xy 114.3169 105.2221) + (xy 113.826524 105.2221) + (xy 113.778186 105.204507) + (xy 113.763997 105.188679) + (xy 113.734731 105.144879) + (xy 113.722505 105.094913) + (xy 113.745256 105.048778) + (xy 113.79234 105.028061) + (xy 113.797258 105.0279) + (xy 113.886152 105.0279) + (xy 113.920292 105.036096) + (xy 113.92166 105.036793) + (xy 113.923943 105.037956) + (xy 113.923945 105.037957) + (xy 114.05 105.057922) + (xy 114.176055 105.037957) + (xy 114.289771 104.980016) + (xy 114.380016 104.889771) + (xy 114.437957 104.776055) + (xy 114.450003 104.7) + (xy 125.822601 104.7) + (xy 125.822601 104.797142) + (xy 125.832934 104.868059) + (xy 125.886421 104.977469) + (xy 125.97253 105.063578) + (xy 126.081941 105.117065) + (xy 126.08194 105.117065) + (xy 126.152863 105.127399) + (xy 126.3 105.127399) + (xy 126.3 104.7) + (xy 126.6 104.7) + (xy 126.6 105.127399) + (xy 126.74713 105.127399) + (xy 126.747142 105.127398) + (xy 126.818059 105.117065) + (xy 126.927469 105.063578) + (xy 127.013578 104.977469) + (xy 127.067065 104.868058) + (xy 127.077399 104.797141) + (xy 127.0774 104.797131) + (xy 127.0774 104.7) + (xy 126.6 104.7) + (xy 126.3 104.7) + (xy 125.822601 104.7) + (xy 114.450003 104.7) + (xy 114.457922 104.65) + (xy 114.457474 104.647174) + (xy 114.446082 104.575247) + (xy 114.437957 104.523945) + (xy 114.380016 104.410229) + (xy 114.289771 104.319984) + (xy 114.176055 104.262043) + (xy 114.176057 104.262043) + (xy 114.05 104.242078) + (xy 113.923943 104.262043) + (xy 113.920292 104.263904) + (xy 113.886152 104.2721) + (xy 113.797258 104.2721) + (xy 113.74892 104.254507) + (xy 113.7232 104.209958) + (xy 113.732133 104.1593) + (xy 113.734731 104.155121) + (xy 113.763997 104.111321) + (xy 113.805481 104.080904) + (xy 113.826524 104.0779) + (xy 114.376964 104.0779) + (xy 114.425302 104.095493) + (xy 114.430138 104.099925) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.080016 104.089771) + (xy 115.137957 103.976055) + (xy 115.157922 103.85) + (xy 115.137957 103.723945) + (xy 115.080016 103.610229) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.51023 103.519983) + (xy 114.430138 103.600075) + (xy 114.383518 103.621814) + (xy 114.376964 103.6221) + (xy 113.826524 103.6221) + (xy 113.778186 103.604507) + (xy 113.763998 103.588679) + (xy 113.733285 103.542715) + (xy 113.723042 103.535871) + (xy 113.687653 103.512224) + (xy 113.657237 103.470743) + (xy 113.6606 103.419413) + (xy 113.687654 103.387172) + (xy 113.732924 103.356924) + (xy 113.791913 103.268638) + (xy 113.791914 103.268636) + (xy 113.805567 103.2) + (xy 111.994434 103.2) + (xy 112.008086 103.268638) + (xy 112.067076 103.356923) + (xy 112.112345 103.387171) + (xy 112.142762 103.428654) + (xy 112.139398 103.479984) + (xy 112.112346 103.512224) + (xy 112.066714 103.542715) + (xy 112.007614 103.631166) + (xy 112.007613 103.631168) + (xy 111.9921 103.70916) + (xy 111.9921 103.990839) + (xy 111.992101 103.99084) + (xy 111.999264 104.026851) + (xy 112.007614 104.068834) + (xy 112.056376 104.141812) + (xy 112.065269 104.155121) + (xy 112.077495 104.205087) + (xy 112.054744 104.251222) + (xy 112.00766 104.271939) + (xy 112.002742 104.2721) + (xy 111.922659 104.2721) + (xy 111.888519 104.263904) + (xy 111.877306 104.258191) + (xy 111.877308 104.258191) + (xy 111.782026 104.2431) + (xy 111.782024 104.2431) + (xy 111.76237 104.2431) + (xy 111.750607 104.242174) + (xy 111.75 104.242078) + (xy 111.749392 104.242174) + (xy 111.73763 104.2431) + (xy 111.207388 104.2431) + (xy 111.174361 104.235459) + (xy 111.168204 104.232449) + (xy 111.097182 104.2221) + (xy 111.097174 104.2221) + (xy 110.502826 104.2221) + (xy 110.502817 104.2221) + (xy 110.431796 104.232449) + (xy 110.431794 104.232449) + (xy 110.425639 104.235459) + (xy 110.392612 104.2431) + (xy 109.96237 104.2431) + (xy 109.950607 104.242174) + (xy 109.95 104.242078) + (xy 109.949392 104.242174) + (xy 109.93763 104.2431) + (xy 109.917973 104.2431) + (xy 109.822692 104.258191) + (xy 109.707847 104.316708) + (xy 109.616708 104.407847) + (xy 109.558191 104.522692) + (xy 109.538028 104.649999) + (xy 109.538028 104.65) + (xy 109.30028 104.65) + (xy 109.280016 104.610229) + (xy 109.189771 104.519984) + (xy 109.076055 104.462043) + (xy 109.076057 104.462043) + (xy 108.95 104.442078) + (xy 108.823943 104.462043) + (xy 108.71023 104.519983) + (xy 108.630138 104.600075) + (xy 108.583518 104.621814) + (xy 108.576964 104.6221) + (xy 108.157936 104.6221) + (xy 108.154 104.621997) + (xy 108.152283 104.621907) + (xy 108.113552 104.619876) + (xy 108.090588 104.628691) + (xy 108.079279 104.63204) + (xy 108.055236 104.637151) + (xy 108.055234 104.637151) + (xy 108.048327 104.64217) + (xy 108.031083 104.651532) + (xy 108.023104 104.654595) + (xy 108.00571 104.671989) + (xy 107.99674 104.67965) + (xy 107.976854 104.694098) + (xy 107.976851 104.694102) + (xy 107.972582 104.701495) + (xy 107.960635 104.717063) + (xy 106.777626 105.900074) + (xy 106.731006 105.921814) + (xy 106.724452 105.9221) + (xy 104.557936 105.9221) + (xy 104.554 105.921997) + (xy 104.552192 105.921902) + (xy 104.513551 105.919876) + (xy 104.51355 105.919876) + (xy 104.490597 105.928688) + (xy 104.479286 105.932039) + (xy 104.455235 105.937151) + (xy 104.455229 105.937154) + (xy 104.448324 105.942171) + (xy 104.431085 105.951532) + (xy 104.423104 105.954596) + (xy 104.405712 105.971987) + (xy 104.396746 105.979645) + (xy 104.376852 105.9941) + (xy 104.372581 106.001497) + (xy 104.360634 106.017064) + (xy 103.977625 106.400074) + (xy 103.931006 106.421814) + (xy 103.924452 106.4221) + (xy 103.625547 106.4221) + (xy 103.577209 106.404507) + (xy 103.572373 106.400074) + (xy 103.252422 106.080123) + (xy 102.916758 105.744458) + (xy 102.914047 105.741602) + (xy 102.886949 105.711506) + (xy 102.886945 105.711503) + (xy 102.864486 105.701504) + (xy 102.854116 105.695874) + (xy 102.833496 105.682483) + (xy 102.825064 105.681148) + (xy 102.806243 105.675573) + (xy 102.798442 105.6721) + (xy 102.773848 105.6721) + (xy 102.762084 105.671174) + (xy 102.737808 105.667329) + (xy 102.737804 105.667329) + (xy 102.72956 105.669538) + (xy 102.710099 105.6721) + (xy 102.657412 105.6721) + (xy 102.609074 105.654507) + (xy 102.583354 105.609958) + (xy 102.587938 105.568118) + (xy 102.589673 105.563926) + (xy 102.589677 105.563922) + (xy 102.6029 105.497447) + (xy 102.602899 105.302554) + (xy 102.589677 105.236078) + (xy 102.589086 105.235193) + (xy 102.565571 105.2) + (xy 103.122601 105.2) + (xy 103.122601 105.297142) + (xy 103.132934 105.368059) + (xy 103.186421 105.477469) + (xy 103.27253 105.563578) + (xy 103.381941 105.617065) + (xy 103.38194 105.617065) + (xy 103.452863 105.627399) + (xy 103.6 105.627399) + (xy 103.6 105.2) + (xy 103.9 105.2) + (xy 103.9 105.627399) + (xy 104.04713 105.627399) + (xy 104.047142 105.627398) + (xy 104.118059 105.617065) + (xy 104.227469 105.563578) + (xy 104.313578 105.477469) + (xy 104.367065 105.368058) + (xy 104.377399 105.297141) + (xy 104.3774 105.297131) + (xy 104.3774 105.2) + (xy 103.9 105.2) + (xy 103.6 105.2) + (xy 103.122601 105.2) + (xy 102.565571 105.2) + (xy 102.560077 105.191777) + (xy 102.547851 105.141812) + (xy 102.560075 105.108224) + (xy 102.589677 105.063922) + (xy 102.6029 104.997447) + (xy 102.602899 104.9) + (xy 103.1226 104.9) + (xy 103.6 104.9) + (xy 103.6 104.4726) + (xy 103.9 104.4726) + (xy 103.9 104.9) + (xy 104.377399 104.9) + (xy 104.377399 104.802869) + (xy 104.377397 104.802848) + (xy 104.367065 104.73194) + (xy 104.313578 104.62253) + (xy 104.227469 104.536421) + (xy 104.118058 104.482934) + (xy 104.118059 104.482934) + (xy 104.047141 104.4726) + (xy 103.9 104.4726) + (xy 103.6 104.4726) + (xy 103.45287 104.4726) + (xy 103.452857 104.472601) + (xy 103.38194 104.482934) + (xy 103.27253 104.536421) + (xy 103.186421 104.62253) + (xy 103.132934 104.731941) + (xy 103.122602 104.802848) + (xy 103.1226 104.802868) + (xy 103.1226 104.9) + (xy 102.602899 104.9) + (xy 102.602899 104.802554) + (xy 102.589677 104.736078) + (xy 102.581617 104.724015) + (xy 102.560077 104.691777) + (xy 102.547851 104.641812) + (xy 102.560075 104.608224) + (xy 102.589677 104.563922) + (xy 102.6029 104.497447) + (xy 102.602899 104.302554) + (xy 102.589677 104.236078) + (xy 102.589676 104.236076) + (xy 102.560077 104.191777) + (xy 102.547851 104.141812) + (xy 102.560075 104.108224) + (xy 102.589677 104.063922) + (xy 102.6029 103.997447) + (xy 102.6029 103.997446) + (xy 102.603262 103.993773) + (xy 102.604756 103.99392) + (xy 102.62047 103.950686) + (xy 102.665006 103.924946) + (xy 102.712238 103.931993) + (xy 102.723941 103.937955) + (xy 102.723942 103.937956) + (xy 102.723943 103.937956) + (xy 102.723945 103.937957) + (xy 102.818292 103.9529) + (xy 102.849999 103.957922) + (xy 102.849999 103.957921) + (xy 102.85 103.957922) + (xy 102.875861 103.953825) + (xy 102.887625 103.9529) + (xy 103.129998 103.9529) + (xy 103.178336 103.970493) + (xy 103.183172 103.974926) + (xy 103.272235 104.063989) + (xy 103.272236 104.063989) + (xy 103.272239 104.063992) + (xy 103.381797 104.117551) + (xy 103.433848 104.125135) + (xy 103.452817 104.127899) + (xy 103.452822 104.127899) + (xy 103.452826 104.1279) + (xy 103.452828 104.1279) + (xy 104.047172 104.1279) + (xy 104.047174 104.1279) + (xy 104.047178 104.127899) + (xy 104.047182 104.127899) + (xy 104.056151 104.126592) + (xy 104.118203 104.117551) + (xy 104.132542 104.11054) + (xy 104.16557 104.1029) + (xy 104.708829 104.1029) + (xy 104.718644 104.103543) + (xy 104.75 104.107671) + (xy 104.782217 104.103429) + (xy 104.786889 104.102963) + (xy 104.787796 104.1029) + (xy 104.787818 104.1029) + (xy 104.823659 104.097973) + (xy 104.894336 104.088669) + (xy 104.894339 104.088667) + (xy 104.895696 104.088489) + (xy 104.899255 104.08777) + (xy 104.900571 104.087402) + (xy 104.900573 104.087402) + (xy 104.965416 104.059236) + (xy 104.966443 104.0588) + (xy 105.028836 104.032957) + (xy 105.028842 104.032951) + (xy 105.033107 104.030491) + (xy 105.033363 104.030935) + (xy 105.03563 104.029597) + (xy 105.035585 104.029522) + (xy 105.039977 104.026851) + (xy 105.039977 104.02685) + (xy 105.039979 104.02685) + (xy 105.092027 103.984504) + (xy 105.093665 103.983211) + (xy 105.102991 103.976055) + (xy 105.144333 103.944333) + (xy 105.144336 103.944328) + (xy 105.14583 103.942835) + (xy 105.14699 103.942293) + (xy 105.148244 103.941332) + (xy 105.148457 103.941609) + (xy 105.192448 103.921091) + (xy 105.242137 103.934399) + (xy 105.259514 103.951347) + (xy 105.276134 103.973866) + (xy 105.360892 104.036421) + (xy 105.385982 104.054938) + (xy 105.385985 104.05494) + (xy 105.396951 104.058777) + (xy 105.51485 104.100031) + (xy 105.545435 104.102899) + (xy 105.545437 104.1029) + (xy 105.545444 104.1029) + (xy 106.454563 104.1029) + (xy 106.454563 104.102899) + (xy 106.48515 104.100031) + (xy 106.614017 104.054939) + (xy 106.723866 103.973866) + (xy 106.804939 103.864017) + (xy 106.850031 103.73515) + (xy 106.852899 103.704563) + (xy 106.8529 103.704563) + (xy 106.8529 103.5) + (xy 107.347601 103.5) + (xy 107.347601 103.704492) + (xy 107.350465 103.735056) + (xy 107.395506 103.863776) + (xy 107.476492 103.973507) + (xy 107.586223 104.054493) + (xy 107.586222 104.054493) + (xy 107.714941 104.099532) + (xy 107.71495 104.099534) + (xy 107.745499 104.102399) + (xy 108.05 104.102399) + (xy 108.05 103.5) + (xy 108.35 103.5) + (xy 108.35 104.102399) + (xy 108.654493 104.102399) + (xy 108.685056 104.099534) + (xy 108.813776 104.054493) + (xy 108.923507 103.973507) + (xy 109.004493 103.863776) + (xy 109.049532 103.735058) + (xy 109.049534 103.735049) + (xy 109.052399 103.704501) + (xy 109.0524 103.7045) + (xy 109.0524 103.5) + (xy 108.35 103.5) + (xy 108.05 103.5) + (xy 107.347601 103.5) + (xy 106.8529 103.5) + (xy 106.8529 103.45) + (xy 110.172601 103.45) + (xy 110.172601 103.547142) + (xy 110.182934 103.618059) + (xy 110.236421 103.727469) + (xy 110.32253 103.813578) + (xy 110.431941 103.867065) + (xy 110.43194 103.867065) + (xy 110.502863 103.877399) + (xy 110.65 103.877399) + (xy 110.65 103.45) + (xy 110.95 103.45) + (xy 110.95 103.877399) + (xy 111.09713 103.877399) + (xy 111.097142 103.877398) + (xy 111.168059 103.867065) + (xy 111.277469 103.813578) + (xy 111.363578 103.727469) + (xy 111.417065 103.618058) + (xy 111.427399 103.547141) + (xy 111.4274 103.547131) + (xy 111.4274 103.45) + (xy 110.95 103.45) + (xy 110.65 103.45) + (xy 110.172601 103.45) + (xy 106.8529 103.45) + (xy 106.8529 103.2) + (xy 107.3476 103.2) + (xy 108.05 103.2) + (xy 108.05 102.5976) + (xy 108.35 102.5976) + (xy 108.35 103.2) + (xy 109.052399 103.2) + (xy 109.052399 103.15) + (xy 110.1726 103.15) + (xy 110.65 103.15) + (xy 110.65 102.7226) + (xy 110.95 102.7226) + (xy 110.95 103.15) + (xy 111.427399 103.15) + (xy 111.427399 103.052869) + (xy 111.427398 103.052857) + (xy 111.417065 102.98194) + (xy 111.363578 102.87253) + (xy 111.277469 102.786421) + (xy 111.168058 102.732934) + (xy 111.168059 102.732934) + (xy 111.097141 102.7226) + (xy 110.95 102.7226) + (xy 110.65 102.7226) + (xy 110.50287 102.7226) + (xy 110.502857 102.722601) + (xy 110.43194 102.732934) + (xy 110.32253 102.786421) + (xy 110.236421 102.87253) + (xy 110.182934 102.981941) + (xy 110.1726 103.052858) + (xy 110.1726 103.15) + (xy 109.052399 103.15) + (xy 109.052399 102.995507) + (xy 109.049534 102.964943) + (xy 109.004493 102.836223) + (xy 108.923507 102.726492) + (xy 108.813776 102.645506) + (xy 108.813777 102.645506) + (xy 108.685058 102.600467) + (xy 108.685049 102.600465) + (xy 108.654501 102.5976) + (xy 108.35 102.5976) + (xy 108.05 102.5976) + (xy 107.745507 102.5976) + (xy 107.714943 102.600465) + (xy 107.586223 102.645506) + (xy 107.476492 102.726492) + (xy 107.395506 102.836223) + (xy 107.350467 102.964941) + (xy 107.350465 102.96495) + (xy 107.3476 102.995498) + (xy 107.3476 103.2) + (xy 106.8529 103.2) + (xy 106.8529 102.995436) + (xy 106.852899 102.995435) + (xy 106.850031 102.96485) + (xy 106.808514 102.846199) + (xy 106.80494 102.835985) + (xy 106.804938 102.835982) + (xy 106.797099 102.825361) + (xy 106.742016 102.750726) + (xy 106.723866 102.726133) + (xy 106.614017 102.645061) + (xy 106.614014 102.645059) + (xy 106.510022 102.608672) + (xy 106.48515 102.599969) + (xy 106.485145 102.599968) + (xy 106.485143 102.599968) + (xy 106.454564 102.5971) + (xy 106.454556 102.5971) + (xy 105.545444 102.5971) + (xy 105.545436 102.5971) + (xy 105.514856 102.599968) + (xy 105.514852 102.599968) + (xy 105.51485 102.599969) + (xy 105.514847 102.599969) + (xy 105.514847 102.59997) + (xy 105.385985 102.645059) + (xy 105.385982 102.645061) + (xy 105.322755 102.691725) + (xy 105.273415 102.706273) + (xy 105.226267 102.685702) + (xy 105.203373 102.639638) + (xy 105.2029 102.631219) + (xy 105.2029 102.36878) + (xy 105.220493 102.320442) + (xy 105.265042 102.294722) + (xy 105.3157 102.303655) + (xy 105.322752 102.308272) + (xy 105.38285 102.352627) + (xy 105.385982 102.354938) + (xy 105.385985 102.35494) + (xy 105.416919 102.365763) + (xy 105.51485 102.400031) + (xy 105.545435 102.402899) + (xy 105.545437 102.4029) + (xy 105.545444 102.4029) + (xy 106.454563 102.4029) + (xy 106.454563 102.402899) + (xy 106.48515 102.400031) + (xy 106.614017 102.354939) + (xy 106.723866 102.273866) + (xy 106.804939 102.164017) + (xy 106.850031 102.03515) + (xy 106.852899 102.004564) + (xy 107.3471 102.004564) + (xy 107.349968 102.035143) + (xy 107.349969 102.03515) + (xy 107.358757 102.060266) + (xy 107.395059 102.164014) + (xy 107.395061 102.164017) + (xy 107.476133 102.273866) + (xy 107.542167 102.322601) + (xy 107.58285 102.352627) + (xy 107.585982 102.354938) + (xy 107.585985 102.35494) + (xy 107.616919 102.365763) + (xy 107.71485 102.400031) + (xy 107.745435 102.402899) + (xy 107.745437 102.4029) + (xy 107.745444 102.4029) + (xy 108.654563 102.4029) + (xy 108.654563 102.402899) + (xy 108.68515 102.400031) + (xy 108.814017 102.354939) + (xy 108.923866 102.273866) + (xy 109.004939 102.164017) + (xy 109.050031 102.03515) + (xy 109.052899 102.004563) + (xy 109.0529 102.004563) + (xy 109.0529 101.295436) + (xy 109.052899 101.295435) + (xy 109.052455 101.2907) + (xy 109.050031 101.26485) + (xy 109.004948 101.136008) + (xy 109.00494 101.135985) + (xy 109.004938 101.135982) + (xy 109.004182 101.134958) + (xy 108.973175 101.092945) + (xy 108.923866 101.026133) + (xy 108.814017 100.945061) + (xy 108.814014 100.945059) + (xy 108.716086 100.910793) + (xy 108.68515 100.899969) + (xy 108.685145 100.899968) + (xy 108.685143 100.899968) + (xy 108.654564 100.8971) + (xy 108.654556 100.8971) + (xy 108.5031 100.8971) + (xy 108.454762 100.879507) + (xy 108.429042 100.834958) + (xy 108.4279 100.8219) + (xy 108.4279 100.358895) + (xy 108.445493 100.310557) + (xy 108.461319 100.29637) + (xy 108.482331 100.282331) + (xy 108.482331 100.28233) + (xy 108.483673 100.281434) + (xy 108.533639 100.269208) + (xy 108.567231 100.281435) + (xy 108.651518 100.337755) + (xy 108.7 100.347398) + (xy 108.7 99.85) + (xy 109 99.85) + (xy 109 100.347397) + (xy 109.048478 100.337756) + (xy 109.048483 100.337754) + (xy 109.131968 100.28197) + (xy 109.187755 100.198482) + (xy 109.187756 100.19848) + (xy 109.2024 100.124861) + (xy 109.2024 99.85) + (xy 109 99.85) + (xy 108.7 99.85) + (xy 108.7 99.052601) + (xy 108.651516 99.062244) + (xy 108.567229 99.118564) + (xy 108.517263 99.130791) + (xy 108.483671 99.118564) + (xy 108.39868 99.061774) + (xy 108.398675 99.061772) + (xy 108.352562 99.0526) + (xy 109 99.0526) + (xy 109 99.55) + (xy 109.202399 99.55) + (xy 109.202399 99.27514) + (xy 109.202398 99.275138) + (xy 109.187755 99.201518) + (xy 109.131969 99.11803) + (xy 109.04848 99.062243) + (xy 109 99.0526) + (xy 108.352562 99.0526) + (xy 108.324911 99.0471) + (xy 108.075088 99.0471) + (xy 108.075087 99.047101) + (xy 108.001326 99.061772) + (xy 108.001321 99.061774) + (xy 107.916326 99.118565) + (xy 107.86636 99.130791) + (xy 107.832768 99.118564) + (xy 107.74848 99.062243) + (xy 107.7 99.0526) + (xy 107.7 100.347397) + (xy 107.748478 100.337756) + (xy 107.748483 100.337754) + (xy 107.83277 100.281435) + (xy 107.882735 100.269208) + (xy 107.916327 100.281434) + (xy 107.917668 100.28233) + (xy 107.917669 100.282331) + (xy 107.938678 100.296369) + (xy 107.969095 100.337851) + (xy 107.9721 100.358895) + (xy 107.9721 100.8219) + (xy 107.954507 100.870238) + (xy 107.909958 100.895958) + (xy 107.8969 100.8971) + (xy 107.745436 100.8971) + (xy 107.714856 100.899968) + (xy 107.714852 100.899968) + (xy 107.71485 100.899969) + (xy 107.714847 100.899969) + (xy 107.714847 100.89997) + (xy 107.585985 100.945059) + (xy 107.585982 100.945061) + (xy 107.476133 101.026133) + (xy 107.395061 101.135982) + (xy 107.395059 101.135985) + (xy 107.34997 101.264847) + (xy 107.349968 101.264856) + (xy 107.3471 101.295435) + (xy 107.3471 102.004564) + (xy 106.852899 102.004564) + (xy 106.852899 102.004563) + (xy 106.8529 102.004563) + (xy 106.8529 101.295436) + (xy 106.852899 101.295435) + (xy 106.852455 101.2907) + (xy 106.850031 101.26485) + (xy 106.804948 101.136008) + (xy 106.80494 101.135985) + (xy 106.804938 101.135982) + (xy 106.804182 101.134958) + (xy 106.773175 101.092945) + (xy 106.723866 101.026133) + (xy 106.614017 100.945061) + (xy 106.614014 100.945059) + (xy 106.516086 100.910793) + (xy 106.48515 100.899969) + (xy 106.485145 100.899968) + (xy 106.485143 100.899968) + (xy 106.454564 100.8971) + (xy 106.454556 100.8971) + (xy 105.545444 100.8971) + (xy 105.545436 100.8971) + (xy 105.514856 100.899968) + (xy 105.514852 100.899968) + (xy 105.51485 100.899969) + (xy 105.514847 100.899969) + (xy 105.514847 100.89997) + (xy 105.385985 100.945059) + (xy 105.385982 100.945061) + (xy 105.276133 101.026133) + (xy 105.195061 101.135982) + (xy 105.195058 101.135987) + (xy 105.191298 101.146736) + (xy 105.158728 101.186551) + (xy 105.120318 101.1971) + (xy 104.910244 101.1971) + (xy 104.861906 101.179507) + (xy 104.836186 101.134958) + (xy 104.845119 101.0843) + (xy 104.866043 101.061062) + (xy 104.870364 101.057922) + (xy 104.873146 101.055901) + (xy 104.877416 101.048503) + (xy 104.889361 101.032937) + (xy 105.355557 100.566741) + (xy 105.358375 100.564066) + (xy 105.387494 100.537849) + (xy 105.388493 100.53695) + (xy 105.388493 100.536949) + (xy 105.388494 100.536949) + (xy 105.398496 100.51448) + (xy 105.40413 100.504107) + (xy 105.405395 100.502159) + (xy 105.417516 100.483496) + (xy 105.418851 100.475061) + (xy 105.424424 100.456248) + (xy 105.4279 100.448442) + (xy 105.4279 100.423852) + (xy 105.428826 100.412086) + (xy 105.432672 100.387806) + (xy 105.43046 100.379553) + (xy 105.4279 100.360095) + (xy 105.4279 100.112228) + (xy 105.445493 100.06389) + (xy 105.490042 100.03817) + (xy 105.536128 100.044669) + (xy 105.581941 100.067065) + (xy 105.652863 100.077399) + (xy 105.8 100.077399) + (xy 105.8 99.65) + (xy 106.1 99.65) + (xy 106.1 100.077399) + (xy 106.24713 100.077399) + (xy 106.247142 100.077398) + (xy 106.318059 100.067065) + (xy 106.427469 100.013578) + (xy 106.513578 99.927469) + (xy 106.55145 99.85) + (xy 107.197601 99.85) + (xy 107.197601 100.124861) + (xy 107.212244 100.198481) + (xy 107.26803 100.281969) + (xy 107.351518 100.337755) + (xy 107.4 100.347398) + (xy 107.4 99.85) + (xy 107.197601 99.85) + (xy 106.55145 99.85) + (xy 106.567065 99.818058) + (xy 106.577399 99.747141) + (xy 106.5774 99.747131) + (xy 106.5774 99.65) + (xy 106.1 99.65) + (xy 105.8 99.65) + (xy 105.3226 99.65) + (xy 105.300623 99.671977) + (xy 105.254002 99.693716) + (xy 105.204315 99.680402) + (xy 105.194275 99.671976) + (xy 105.072299 99.55) + (xy 107.1976 99.55) + (xy 107.4 99.55) + (xy 107.4 99.052601) + (xy 107.351518 99.062244) + (xy 107.26803 99.11803) + (xy 107.212244 99.201517) + (xy 107.212243 99.201519) + (xy 107.1976 99.275138) + (xy 107.1976 99.55) + (xy 105.072299 99.55) + (xy 105.054663 99.532364) + (xy 104.8723 99.35) + (xy 105.3226 99.35) + (xy 105.8 99.35) + (xy 105.8 98.9226) + (xy 106.1 98.9226) + (xy 106.1 99.35) + (xy 106.577399 99.35) + (xy 106.577399 99.252869) + (xy 106.577398 99.252857) + (xy 106.567065 99.18194) + (xy 106.513578 99.07253) + (xy 106.427469 98.986421) + (xy 106.318058 98.932934) + (xy 106.318059 98.932934) + (xy 106.247141 98.9226) + (xy 106.1 98.9226) + (xy 105.8 98.9226) + (xy 105.65287 98.9226) + (xy 105.652857 98.922601) + (xy 105.58194 98.932934) + (xy 105.47253 98.986421) + (xy 105.386421 99.07253) + (xy 105.332934 99.181941) + (xy 105.3226 99.252858) + (xy 105.3226 99.35) + (xy 104.8723 99.35) + (xy 104.799926 99.277626) + (xy 104.778186 99.231006) + (xy 104.7779 99.224452) + (xy 104.7779 98.247182) + (xy 105.3221 98.247182) + (xy 105.32999 98.301324) + (xy 105.332449 98.318203) + (xy 105.386008 98.427761) + (xy 105.386009 98.427762) + (xy 105.38601 98.427764) + (xy 105.472235 98.513989) + (xy 105.472236 98.513989) + (xy 105.472239 98.513992) + (xy 105.581797 98.567551) + (xy 105.633848 98.575135) + (xy 105.652817 98.577899) + (xy 105.652822 98.577899) + (xy 105.652826 98.5779) + (xy 105.652828 98.5779) + (xy 106.247172 98.5779) + (xy 106.247174 98.5779) + (xy 106.247178 98.577899) + (xy 106.247182 98.577899) + (xy 106.256529 98.576537) + (xy 106.318203 98.567551) + (xy 106.427761 98.513992) + (xy 106.470609 98.471144) + (xy 106.516828 98.424926) + (xy 106.563448 98.403186) + (xy 106.570002 98.4029) + (xy 106.912375 98.4029) + (xy 106.924138 98.403825) + (xy 106.95 98.407922) + (xy 107.076055 98.387957) + (xy 107.09077 98.380458) + (xy 107.141826 98.374188) + (xy 107.184968 98.402202) + (xy 107.198668 98.432791) + (xy 107.211528 98.497446) + (xy 107.211773 98.498677) + (xy 107.267669 98.582331) + (xy 107.351323 98.638227) + (xy 107.425089 98.6529) + (xy 107.67491 98.652899) + (xy 107.674911 98.652899) + (xy 107.674911 98.652898) + (xy 107.748677 98.638227) + (xy 107.832331 98.582331) + (xy 107.888227 98.498677) + (xy 107.9029 98.424911) + (xy 107.902899 98.017715) + (xy 107.903156 98.011505) + (xy 107.906558 97.970456) + (xy 107.905201 97.965097) + (xy 107.9029 97.946636) + (xy 107.9029 97.312912) + (xy 107.911097 97.278771) + (xy 107.937956 97.226058) + (xy 107.937956 97.226057) + (xy 107.937956 97.226056) + (xy 107.937957 97.226055) + (xy 107.957922 97.1) + (xy 107.954799 97.080285) + (xy 107.95191 97.062043) + (xy 107.937957 96.973945) + (xy 107.880016 96.860229) + (xy 107.789771 96.769984) + (xy 107.676055 96.712043) + (xy 107.676057 96.712043) + (xy 107.55 96.692078) + (xy 107.423943 96.712043) + (xy 107.310228 96.769984) + (xy 107.219984 96.860228) + (xy 107.162043 96.973943) + (xy 107.142078 97.099999) + (xy 107.142078 97.1) + (xy 107.162043 97.226057) + (xy 107.162043 97.226058) + (xy 107.188903 97.278771) + (xy 107.1971 97.312912) + (xy 107.1971 97.551002) + (xy 107.179507 97.59934) + (xy 107.134958 97.62506) + (xy 107.087762 97.618007) + (xy 107.076057 97.612043) + (xy 106.95 97.592078) + (xy 106.924139 97.596174) + (xy 106.912375 97.5971) + (xy 106.570002 97.5971) + (xy 106.521664 97.579507) + (xy 106.516828 97.575074) + (xy 106.427763 97.486009) + (xy 106.395072 97.470027) + (xy 106.359373 97.432991) + (xy 106.3529 97.402468) + (xy 106.3529 97.037624) + (xy 106.353826 97.02586) + (xy 106.3548 97.019714) + (xy 106.357922 97) + (xy 106.357517 96.997446) + (xy 106.342998 96.905776) + (xy 106.337957 96.873945) + (xy 106.280016 96.760229) + (xy 106.189771 96.669984) + (xy 106.076055 96.612043) + (xy 106.076057 96.612043) + (xy 105.95 96.592078) + (xy 105.823943 96.612043) + (xy 105.710228 96.669984) + (xy 105.619984 96.760228) + (xy 105.562043 96.873944) + (xy 105.562043 96.873945) + (xy 105.557002 96.905776) + (xy 105.542483 96.997446) + (xy 105.542078 97) + (xy 105.5452 97.019714) + (xy 105.546174 97.02586) + (xy 105.5471 97.037624) + (xy 105.5471 97.402468) + (xy 105.529507 97.450806) + (xy 105.504928 97.470027) + (xy 105.472237 97.486009) + (xy 105.472236 97.486009) + (xy 105.38601 97.572235) + (xy 105.386008 97.572238) + (xy 105.386008 97.572239) + (xy 105.361057 97.623278) + (xy 105.332449 97.681797) + (xy 105.3221 97.752817) + (xy 105.3221 98.247182) + (xy 104.7779 98.247182) + (xy 104.7779 96.425547) + (xy 104.795493 96.377209) + (xy 104.799926 96.372373) + (xy 104.958879 96.21342) + (xy 106.7221 96.21342) + (xy 106.722506 96.216501) + (xy 106.728386 96.261174) + (xy 106.728388 96.26118) + (xy 106.777254 96.365973) + (xy 106.77726 96.365981) + (xy 106.859018 96.447739) + (xy 106.859026 96.447745) + (xy 106.963819 96.496611) + (xy 106.963821 96.496611) + (xy 106.963824 96.496613) + (xy 107.01158 96.5029) + (xy 107.011582 96.5029) + (xy 107.688418 96.5029) + (xy 107.68842 96.5029) + (xy 107.736176 96.496613) + (xy 107.840977 96.447743) + (xy 107.922743 96.365977) + (xy 107.931296 96.347635) + (xy 107.966884 96.271319) + (xy 108.003257 96.234945) + (xy 108.035038 96.2279) + (xy 108.164962 96.2279) + (xy 108.2133 96.245493) + (xy 108.233116 96.271319) + (xy 108.277254 96.365973) + (xy 108.27726 96.365981) + (xy 108.359018 96.447739) + (xy 108.359026 96.447745) + (xy 108.463819 96.496611) + (xy 108.463821 96.496611) + (xy 108.463824 96.496613) + (xy 108.51158 96.5029) + (xy 108.5469 96.5029) + (xy 108.595238 96.520493) + (xy 108.620958 96.565042) + (xy 108.6221 96.5781) + (xy 108.6221 97.341104) + (xy 108.604507 97.389442) + (xy 108.58868 97.40363) + (xy 108.567668 97.41767) + (xy 108.511773 97.501323) + (xy 108.511772 97.501324) + (xy 108.4971 97.575088) + (xy 108.4971 98.424911) + (xy 108.497101 98.424912) + (xy 108.51114 98.495493) + (xy 108.511773 98.498677) + (xy 108.567669 98.582331) + (xy 108.651323 98.638227) + (xy 108.725089 98.6529) + (xy 108.97491 98.652899) + (xy 108.974911 98.652899) + (xy 108.974911 98.652898) + (xy 109.048677 98.638227) + (xy 109.132331 98.582331) + (xy 109.188227 98.498677) + (xy 109.2029 98.424911) + (xy 109.202899 97.57509) + (xy 109.188227 97.501323) + (xy 109.132331 97.417669) + (xy 109.111319 97.403629) + (xy 109.080904 97.362145) + (xy 109.0779 97.341104) + (xy 109.0779 96.5781) + (xy 109.095493 96.529762) + (xy 109.140042 96.504042) + (xy 109.1531 96.5029) + (xy 109.188418 96.5029) + (xy 109.18842 96.5029) + (xy 109.236176 96.496613) + (xy 109.340977 96.447743) + (xy 109.422743 96.365977) + (xy 109.471613 96.261176) + (xy 109.4779 96.21342) + (xy 109.4779 95.78658) + (xy 109.471613 95.738824) + (xy 109.467506 95.730016) + (xy 109.422745 95.634026) + (xy 109.422739 95.634018) + (xy 109.340981 95.55226) + (xy 109.340973 95.552254) + (xy 109.23618 95.503388) + (xy 109.236174 95.503386) + (xy 109.200992 95.498755) + (xy 109.18842 95.4971) + (xy 108.51158 95.4971) + (xy 108.50047 95.498562) + (xy 108.463825 95.503386) + (xy 108.463819 95.503388) + (xy 108.359026 95.552254) + (xy 108.359018 95.55226) + (xy 108.27726 95.634018) + (xy 108.277254 95.634026) + (xy 108.233116 95.728681) + (xy 108.196743 95.765055) + (xy 108.164962 95.7721) + (xy 108.035038 95.7721) + (xy 107.9867 95.754507) + (xy 107.966884 95.728681) + (xy 107.922745 95.634026) + (xy 107.922739 95.634018) + (xy 107.840981 95.55226) + (xy 107.840973 95.552254) + (xy 107.73618 95.503388) + (xy 107.736174 95.503386) + (xy 107.700992 95.498755) + (xy 107.68842 95.4971) + (xy 107.01158 95.4971) + (xy 107.00047 95.498562) + (xy 106.963825 95.503386) + (xy 106.963819 95.503388) + (xy 106.859026 95.552254) + (xy 106.859018 95.55226) + (xy 106.77726 95.634018) + (xy 106.777254 95.634026) + (xy 106.728388 95.738819) + (xy 106.728386 95.738825) + (xy 106.724491 95.768415) + (xy 106.7221 95.78658) + (xy 106.7221 96.21342) + (xy 104.958879 96.21342) + (xy 106.522374 94.649926) + (xy 106.568994 94.628186) + (xy 106.575548 94.6279) + (xy 106.664962 94.6279) + (xy 106.7133 94.645493) + (xy 106.733116 94.671319) + (xy 106.777254 94.765973) + (xy 106.77726 94.765981) + (xy 106.859018 94.847739) + (xy 106.859026 94.847745) + (xy 106.963819 94.896611) + (xy 106.963821 94.896611) + (xy 106.963824 94.896613) + (xy 107.01158 94.9029) + (xy 107.011582 94.9029) + (xy 107.688418 94.9029) + (xy 107.68842 94.9029) + (xy 107.736176 94.896613) + (xy 107.808295 94.862983) + (xy 107.840973 94.847745) + (xy 107.840973 94.847744) + (xy 107.840977 94.847743) + (xy 107.922743 94.765977) + (xy 107.927526 94.755721) + (xy 107.971611 94.66118) + (xy 107.971613 94.661176) + (xy 107.9779 94.61342) + (xy 108.2221 94.61342) + (xy 108.223224 94.621955) + (xy 108.228386 94.661174) + (xy 108.228388 94.66118) + (xy 108.277254 94.765973) + (xy 108.27726 94.765981) + (xy 108.359018 94.847739) + (xy 108.359026 94.847745) + (xy 108.463819 94.896611) + (xy 108.463821 94.896611) + (xy 108.463824 94.896613) + (xy 108.51158 94.9029) + (xy 108.511582 94.9029) + (xy 109.188418 94.9029) + (xy 109.18842 94.9029) + (xy 109.236176 94.896613) + (xy 109.308295 94.862983) + (xy 109.340973 94.847745) + (xy 109.340973 94.847744) + (xy 109.340977 94.847743) + (xy 109.422743 94.765977) + (xy 109.423679 94.76397) + (xy 109.466884 94.671319) + (xy 109.503257 94.634945) + (xy 109.535038 94.6279) + (xy 109.624453 94.6279) + (xy 109.672791 94.645493) + (xy 109.677627 94.649926) + (xy 110.000074 94.972373) + (xy 110.021814 95.018993) + (xy 110.0221 95.025547) + (xy 110.0221 100.042062) + (xy 110.021997 100.045998) + (xy 110.019876 100.086448) + (xy 110.028688 100.109402) + (xy 110.032039 100.120715) + (xy 110.037151 100.144763) + (xy 110.037152 100.144766) + (xy 110.042169 100.151671) + (xy 110.051536 100.168922) + (xy 110.054596 100.176895) + (xy 110.054597 100.176896) + (xy 110.071985 100.194284) + (xy 110.079644 100.203252) + (xy 110.094097 100.223144) + (xy 110.094099 100.223146) + (xy 110.101495 100.227416) + (xy 110.117066 100.239365) + (xy 110.683231 100.80553) + (xy 110.685942 100.808386) + (xy 110.701819 100.82602) + (xy 110.713051 100.838494) + (xy 110.735516 100.848495) + (xy 110.745885 100.854126) + (xy 110.748061 100.855539) + (xy 110.766502 100.867516) + (xy 110.774928 100.86885) + (xy 110.793759 100.874428) + (xy 110.801553 100.877898) + (xy 110.801555 100.877898) + (xy 110.801558 100.8779) + (xy 110.826152 100.8779) + (xy 110.837915 100.878825) + (xy 110.862194 100.882671) + (xy 110.867253 100.881315) + (xy 110.87044 100.880462) + (xy 110.889901 100.8779) + (xy 111.973476 100.8779) + (xy 112.021814 100.895493) + (xy 112.036002 100.911321) + (xy 112.06621 100.956529) + (xy 112.066715 100.957285) + (xy 112.111894 100.987473) + (xy 112.142311 101.028955) + (xy 112.138948 101.080285) + (xy 112.111896 101.112525) + (xy 112.066714 101.142715) + (xy 112.007614 101.231166) + (xy 112.007613 101.231168) + (xy 111.9921 101.30916) + (xy 111.9921 101.590839) + (xy 111.992101 101.59084) + (xy 112.007614 101.668834) + (xy 112.066715 101.757285) + (xy 112.111894 101.787473) + (xy 112.142311 101.828955) + (xy 112.138948 101.880285) + (xy 112.111896 101.912525) + (xy 112.066714 101.942715) + (xy 112.007614 102.031166) + (xy 112.007613 102.031168) + (xy 111.9921 102.10916) + (xy 111.9921 102.390839) + (xy 111.992101 102.39084) + (xy 112.000421 102.432668) + (xy 112.007614 102.468834) + (xy 112.066715 102.557285) + (xy 112.112346 102.587774) + (xy 112.142762 102.629256) + (xy 112.139399 102.680585) + (xy 112.112347 102.712826) + (xy 112.067075 102.743076) + (xy 112.008086 102.831361) + (xy 112.008085 102.831363) + (xy 111.994432 102.899999) + (xy 111.994433 102.9) + (xy 113.805566 102.9) + (xy 113.791913 102.831361) + (xy 113.732923 102.743076) + (xy 113.687654 102.712828) + (xy 113.657237 102.671344) + (xy 113.660602 102.620015) + (xy 113.687652 102.587775) + (xy 113.733285 102.557285) + (xy 113.792386 102.468834) + (xy 113.8079 102.390839) + (xy 113.807899 102.109162) + (xy 113.792386 102.031166) + (xy 113.733285 101.942715) + (xy 113.726993 101.938511) + (xy 113.704514 101.923491) + (xy 113.688104 101.912526) + (xy 113.657687 101.871044) + (xy 113.661051 101.819714) + (xy 113.688101 101.787475) + (xy 113.71447 101.769856) + (xy 113.764434 101.75763) + (xy 113.805033 101.777103) + (xy 113.805444 101.776539) + (xy 113.808529 101.77878) + (xy 113.809422 101.779209) + (xy 113.810229 101.780016) + (xy 113.923943 101.837956) + (xy 113.923945 101.837957) + (xy 114.05 101.857922) + (xy 114.176055 101.837957) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.437957 101.576055) + (xy 114.457922 101.45) + (xy 114.437957 101.323945) + (xy 114.380016 101.210229) + (xy 114.289771 101.119984) + (xy 114.176055 101.062043) + (xy 114.176057 101.062043) + (xy 114.05 101.042078) + (xy 113.923943 101.062043) + (xy 113.810226 101.119985) + (xy 113.809416 101.120796) + (xy 113.808564 101.121192) + (xy 113.80544 101.123463) + (xy 113.804998 101.122855) + (xy 113.762794 101.14253) + (xy 113.714468 101.130142) + (xy 113.701073 101.121192) + (xy 113.688104 101.112526) + (xy 113.657687 101.071043) + (xy 113.661052 101.019713) + (xy 113.688105 100.987473) + (xy 113.688107 100.987472) + (xy 113.733285 100.957285) + (xy 113.792386 100.868834) + (xy 113.8079 100.790839) + (xy 113.807899 100.509162) + (xy 113.792386 100.431166) + (xy 113.733285 100.342715) + (xy 113.725863 100.337756) + (xy 113.703465 100.32279) + (xy 113.688104 100.312526) + (xy 113.657687 100.271044) + (xy 113.661051 100.219714) + (xy 113.688105 100.187473) + (xy 113.733285 100.157285) + (xy 113.746573 100.137398) + (xy 113.763998 100.111321) + (xy 113.805481 100.080904) + (xy 113.826524 100.0779) + (xy 114.326964 100.0779) + (xy 114.375302 100.095493) + (xy 114.380138 100.099925) + (xy 114.460229 100.180016) + (xy 114.573943 100.237956) + (xy 114.573945 100.237957) + (xy 114.7 100.257922) + (xy 114.826055 100.237957) + (xy 114.939771 100.180016) + (xy 115.030016 100.089771) + (xy 115.087957 99.976055) + (xy 115.107922 99.85) + (xy 115.106642 99.841921) + (xy 115.101297 99.808172) + (xy 115.087957 99.723945) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.826057 99.462043) + (xy 114.7 99.442078) + (xy 114.573943 99.462043) + (xy 114.46023 99.519983) + (xy 114.380138 99.600075) + (xy 114.333518 99.621814) + (xy 114.326964 99.6221) + (xy 113.826524 99.6221) + (xy 113.778186 99.604507) + (xy 113.763998 99.588679) + (xy 113.733285 99.542715) + (xy 113.728271 99.539365) + (xy 113.688104 99.512526) + (xy 113.657687 99.471044) + (xy 113.661051 99.419714) + (xy 113.688101 99.387475) + (xy 113.71447 99.369856) + (xy 113.764434 99.35763) + (xy 113.805033 99.377103) + (xy 113.805444 99.376539) + (xy 113.808529 99.37878) + (xy 113.809422 99.379209) + (xy 113.810229 99.380016) + (xy 113.923943 99.437956) + (xy 113.923945 99.437957) + (xy 114.05 99.457922) + (xy 114.176055 99.437957) + (xy 114.289771 99.380016) + (xy 114.380016 99.289771) + (xy 114.437957 99.176055) + (xy 114.457922 99.05) + (xy 114.437957 98.923945) + (xy 114.380016 98.810229) + (xy 114.289771 98.719984) + (xy 114.176055 98.662043) + (xy 114.176057 98.662043) + (xy 114.05 98.642078) + (xy 113.923943 98.662043) + (xy 113.810226 98.719985) + (xy 113.809416 98.720796) + (xy 113.808564 98.721192) + (xy 113.80544 98.723463) + (xy 113.804998 98.722855) + (xy 113.762794 98.74253) + (xy 113.714468 98.730142) + (xy 113.714279 98.730016) + (xy 113.688104 98.712526) + (xy 113.657687 98.671043) + (xy 113.661052 98.619713) + (xy 113.688105 98.587473) + (xy 113.733285 98.557285) + (xy 113.747382 98.536187) + (xy 113.763998 98.511321) + (xy 113.805481 98.480904) + (xy 113.826524 98.4779) + (xy 114.326964 98.4779) + (xy 114.375302 98.495493) + (xy 114.380138 98.499925) + (xy 114.460229 98.580016) + (xy 114.573943 98.637956) + (xy 114.573945 98.637957) + (xy 114.7 98.657922) + (xy 114.826055 98.637957) + (xy 114.939771 98.580016) + (xy 115.030016 98.489771) + (xy 115.087957 98.376055) + (xy 115.107922 98.25) + (xy 115.107474 98.247174) + (xy 115.097392 98.183515) + (xy 115.087957 98.123945) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.826055 97.862043) + (xy 114.826057 97.862043) + (xy 114.7 97.842078) + (xy 114.573943 97.862043) + (xy 114.46023 97.919983) + (xy 114.380138 98.000075) + (xy 114.333518 98.021814) + (xy 114.326964 98.0221) + (xy 113.826524 98.0221) + (xy 113.778186 98.004507) + (xy 113.763998 97.988679) + (xy 113.733285 97.942715) + (xy 113.700472 97.92079) + (xy 113.688104 97.912526) + (xy 113.657687 97.871044) + (xy 113.661051 97.819714) + (xy 113.688101 97.787475) + (xy 113.71447 97.769856) + (xy 113.764434 97.75763) + (xy 113.805033 97.777103) + (xy 113.805444 97.776539) + (xy 113.808529 97.77878) + (xy 113.809422 97.779209) + (xy 113.810229 97.780016) + (xy 113.923943 97.837956) + (xy 113.923945 97.837957) + (xy 114.05 97.857922) + (xy 114.176055 97.837957) + (xy 114.289771 97.780016) + (xy 114.380016 97.689771) + (xy 114.437957 97.576055) + (xy 114.457922 97.45) + (xy 114.437957 97.323945) + (xy 114.380016 97.210229) + (xy 114.289771 97.119984) + (xy 114.176055 97.062043) + (xy 114.176057 97.062043) + (xy 114.05 97.042078) + (xy 113.923943 97.062043) + (xy 113.810226 97.119985) + (xy 113.809416 97.120796) + (xy 113.808564 97.121192) + (xy 113.80544 97.123463) + (xy 113.804998 97.122855) + (xy 113.762794 97.14253) + (xy 113.714468 97.130142) + (xy 113.701073 97.121192) + (xy 113.688104 97.112526) + (xy 113.657687 97.071043) + (xy 113.661052 97.019713) + (xy 113.688105 96.987473) + (xy 113.733285 96.957285) + (xy 113.75022 96.93194) + (xy 113.763998 96.911321) + (xy 113.805481 96.880904) + (xy 113.826524 96.8779) + (xy 114.326964 96.8779) + (xy 114.375302 96.895493) + (xy 114.380138 96.899925) + (xy 114.460229 96.980016) + (xy 114.573943 97.037956) + (xy 114.573945 97.037957) + (xy 114.7 97.057922) + (xy 114.826055 97.037957) + (xy 114.939771 96.980016) + (xy 115.030016 96.889771) + (xy 115.087957 96.776055) + (xy 115.107922 96.65) + (xy 115.087957 96.523945) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.826055 96.262043) + (xy 114.826057 96.262043) + (xy 114.7 96.242078) + (xy 114.573943 96.262043) + (xy 114.46023 96.319983) + (xy 114.380138 96.400075) + (xy 114.333518 96.421814) + (xy 114.326964 96.4221) + (xy 113.826524 96.4221) + (xy 113.778186 96.404507) + (xy 113.763998 96.388679) + (xy 113.733285 96.342715) + (xy 113.714468 96.330142) + (xy 113.688104 96.312526) + (xy 113.657687 96.271044) + (xy 113.661051 96.219714) + (xy 113.688101 96.187475) + (xy 113.71447 96.169856) + (xy 113.764434 96.15763) + (xy 113.805033 96.177103) + (xy 113.805444 96.176539) + (xy 113.808529 96.17878) + (xy 113.809422 96.179209) + (xy 113.810229 96.180016) + (xy 113.923943 96.237956) + (xy 113.923945 96.237957) + (xy 114.05 96.257922) + (xy 114.176055 96.237957) + (xy 114.289771 96.180016) + (xy 114.380016 96.089771) + (xy 114.437957 95.976055) + (xy 114.457922 95.85) + (xy 114.457679 95.848468) + (xy 114.451536 95.809681) + (xy 114.437957 95.723945) + (xy 114.380016 95.610229) + (xy 114.289771 95.519984) + (xy 114.176055 95.462043) + (xy 114.176057 95.462043) + (xy 114.05 95.442078) + (xy 113.923943 95.462043) + (xy 113.810226 95.519985) + (xy 113.809416 95.520796) + (xy 113.808564 95.521192) + (xy 113.80544 95.523463) + (xy 113.804998 95.522855) + (xy 113.762794 95.54253) + (xy 113.714468 95.530142) + (xy 113.708351 95.526055) + (xy 113.688104 95.512526) + (xy 113.657687 95.471043) + (xy 113.661052 95.419713) + (xy 113.688105 95.387473) + (xy 113.733285 95.357285) + (xy 113.749487 95.333037) + (xy 113.763998 95.311321) + (xy 113.805481 95.280904) + (xy 113.826524 95.2779) + (xy 114.326964 95.2779) + (xy 114.375302 95.295493) + (xy 114.380138 95.299925) + (xy 114.460229 95.380016) + (xy 114.573943 95.437956) + (xy 114.573945 95.437957) + (xy 114.7 95.457922) + (xy 114.826055 95.437957) + (xy 114.939771 95.380016) + (xy 115.030016 95.289771) + (xy 115.087957 95.176055) + (xy 115.107922 95.05) + (xy 115.106253 95.039465) + (xy 115.102513 95.015846) + (xy 115.087957 94.923945) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.826055 94.662043) + (xy 114.826057 94.662043) + (xy 114.7 94.642078) + (xy 114.573943 94.662043) + (xy 114.46023 94.719983) + (xy 114.380138 94.800075) + (xy 114.333518 94.821814) + (xy 114.326964 94.8221) + (xy 113.826524 94.8221) + (xy 113.778186 94.804507) + (xy 113.763998 94.788679) + (xy 113.733285 94.742715) + (xy 113.725091 94.73724) + (xy 113.688104 94.712526) + (xy 113.657687 94.671044) + (xy 113.661051 94.619714) + (xy 113.688101 94.587475) + (xy 113.71447 94.569856) + (xy 113.764434 94.55763) + (xy 113.805033 94.577103) + (xy 113.805444 94.576539) + (xy 113.808529 94.57878) + (xy 113.809422 94.579209) + (xy 113.810229 94.580016) + (xy 113.923943 94.637956) + (xy 113.923945 94.637957) + (xy 114.05 94.657922) + (xy 114.176055 94.637957) + (xy 114.289771 94.580016) + (xy 114.380016 94.489771) + (xy 114.437957 94.376055) + (xy 114.457922 94.25) + (xy 114.437957 94.123945) + (xy 114.380016 94.010229) + (xy 114.289771 93.919984) + (xy 114.176055 93.862043) + (xy 114.176057 93.862043) + (xy 114.05 93.842078) + (xy 113.923943 93.862043) + (xy 113.810226 93.919985) + (xy 113.809416 93.920796) + (xy 113.808564 93.921192) + (xy 113.80544 93.923463) + (xy 113.804998 93.922855) + (xy 113.762794 93.94253) + (xy 113.714468 93.930142) + (xy 113.701073 93.921192) + (xy 113.688104 93.912526) + (xy 113.657687 93.871043) + (xy 113.661052 93.819713) + (xy 113.688105 93.787473) + (xy 113.733285 93.757285) + (xy 113.747742 93.735649) + (xy 113.763998 93.711321) + (xy 113.805481 93.680904) + (xy 113.826524 93.6779) + (xy 114.326964 93.6779) + (xy 114.375302 93.695493) + (xy 114.380138 93.699925) + (xy 114.460229 93.780016) + (xy 114.573943 93.837956) + (xy 114.573945 93.837957) + (xy 114.7 93.857922) + (xy 114.826055 93.837957) + (xy 114.939771 93.780016) + (xy 115.030016 93.689771) + (xy 115.087957 93.576055) + (xy 115.107922 93.45) + (xy 121.542078 93.45) + (xy 121.562043 93.576056) + (xy 121.609316 93.668834) + (xy 121.619984 93.689771) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.269862 93.699925) + (xy 122.316482 93.678186) + (xy 122.323036 93.6779) + (xy 123.473476 93.6779) + (xy 123.521814 93.695493) + (xy 123.536002 93.711321) + (xy 123.561383 93.749305) + (xy 123.566715 93.757285) + (xy 123.611894 93.787473) + (xy 123.642311 93.828955) + (xy 123.638948 93.880285) + (xy 123.611896 93.912525) + (xy 123.566713 93.942715) + (xy 123.536002 93.988679) + (xy 123.494519 94.019096) + (xy 123.473476 94.0221) + (xy 122.973036 94.0221) + (xy 122.924698 94.004507) + (xy 122.919862 94.000075) + (xy 122.879886 93.960099) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.360228 93.919984) + (xy 122.269984 94.010228) + (xy 122.212043 94.123943) + (xy 122.192078 94.249999) + (xy 122.192078 94.25) + (xy 122.212043 94.376056) + (xy 122.259316 94.468834) + (xy 122.269984 94.489771) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.919862 94.499925) + (xy 122.966482 94.478186) + (xy 122.973036 94.4779) + (xy 123.473476 94.4779) + (xy 123.521814 94.495493) + (xy 123.536002 94.511321) + (xy 123.563319 94.552203) + (xy 123.566715 94.557285) + (xy 123.611894 94.587473) + (xy 123.642311 94.628955) + (xy 123.638948 94.680285) + (xy 123.611896 94.712525) + (xy 123.585531 94.730142) + (xy 123.535565 94.742369) + (xy 123.494966 94.722895) + (xy 123.494556 94.723461) + (xy 123.491468 94.721217) + (xy 123.490577 94.72079) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.010228 94.719984) + (xy 122.919984 94.810228) + (xy 122.862043 94.923943) + (xy 122.842078 95.049999) + (xy 122.842078 95.05) + (xy 122.862043 95.176056) + (xy 122.909316 95.268834) + (xy 122.919984 95.289771) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.490573 95.379213) + (xy 123.491419 95.378818) + (xy 123.49456 95.376537) + (xy 123.495003 95.377147) + (xy 123.53719 95.35747) + (xy 123.585529 95.369856) + (xy 123.611895 95.387473) + (xy 123.642312 95.428956) + (xy 123.638947 95.480286) + (xy 123.611896 95.512525) + (xy 123.566713 95.542715) + (xy 123.536002 95.588679) + (xy 123.494519 95.619096) + (xy 123.473476 95.6221) + (xy 122.973036 95.6221) + (xy 122.924698 95.604507) + (xy 122.919862 95.600075) + (xy 122.882237 95.56245) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.360228 95.519984) + (xy 122.269984 95.610228) + (xy 122.212043 95.723943) + (xy 122.192078 95.849999) + (xy 122.192078 95.85) + (xy 122.212043 95.976056) + (xy 122.259316 96.068834) + (xy 122.269984 96.089771) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.919862 96.099925) + (xy 122.966482 96.078186) + (xy 122.973036 96.0779) + (xy 123.473476 96.0779) + (xy 123.521814 96.095493) + (xy 123.536002 96.111321) + (xy 123.564406 96.15383) + (xy 123.566715 96.157285) + (xy 123.611894 96.187473) + (xy 123.642311 96.228955) + (xy 123.638948 96.280285) + (xy 123.611896 96.312525) + (xy 123.585531 96.330142) + (xy 123.535565 96.342369) + (xy 123.494966 96.322895) + (xy 123.494556 96.323461) + (xy 123.491468 96.321217) + (xy 123.490577 96.32079) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.010228 96.319984) + (xy 122.919984 96.410228) + (xy 122.862043 96.523943) + (xy 122.842078 96.649999) + (xy 122.842078 96.65) + (xy 122.862043 96.776056) + (xy 122.909316 96.868834) + (xy 122.919984 96.889771) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.490573 96.979213) + (xy 123.491419 96.978818) + (xy 123.49456 96.976537) + (xy 123.495003 96.977147) + (xy 123.53719 96.95747) + (xy 123.585529 96.969856) + (xy 123.611895 96.987473) + (xy 123.642312 97.028956) + (xy 123.638947 97.080286) + (xy 123.611896 97.112525) + (xy 123.566713 97.142715) + (xy 123.536002 97.188679) + (xy 123.494519 97.219096) + (xy 123.473476 97.2221) + (xy 122.973036 97.2221) + (xy 122.924698 97.204507) + (xy 122.919862 97.200075) + (xy 122.880015 97.160228) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.360228 97.119984) + (xy 122.269984 97.210228) + (xy 122.212043 97.323943) + (xy 122.192078 97.449999) + (xy 122.192078 97.45) + (xy 122.212043 97.576056) + (xy 122.260508 97.671174) + (xy 122.269984 97.689771) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.919862 97.699925) + (xy 122.966482 97.678186) + (xy 122.973036 97.6779) + (xy 123.473476 97.6779) + (xy 123.521814 97.695493) + (xy 123.536002 97.711321) + (xy 123.566714 97.757284) + (xy 123.566715 97.757285) + (xy 123.611894 97.787473) + (xy 123.642311 97.828955) + (xy 123.638948 97.880285) + (xy 123.611896 97.912525) + (xy 123.585531 97.930142) + (xy 123.535565 97.942369) + (xy 123.494966 97.922895) + (xy 123.494556 97.923461) + (xy 123.491468 97.921217) + (xy 123.490577 97.92079) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.010228 97.919984) + (xy 122.919984 98.010228) + (xy 122.862043 98.123943) + (xy 122.842078 98.249999) + (xy 122.842078 98.25) + (xy 122.862043 98.376056) + (xy 122.909316 98.468834) + (xy 122.919984 98.489771) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.490573 98.579213) + (xy 123.491419 98.578818) + (xy 123.49456 98.576537) + (xy 123.495003 98.577147) + (xy 123.53719 98.55747) + (xy 123.585529 98.569856) + (xy 123.611895 98.587473) + (xy 123.642312 98.628956) + (xy 123.638947 98.680286) + (xy 123.611896 98.712525) + (xy 123.566713 98.742715) + (xy 123.536002 98.788679) + (xy 123.494519 98.819096) + (xy 123.473476 98.8221) + (xy 122.973036 98.8221) + (xy 122.924698 98.804507) + (xy 122.919862 98.800075) + (xy 122.880073 98.760286) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.360228 98.719984) + (xy 122.269984 98.810228) + (xy 122.212043 98.923943) + (xy 122.192078 99.049999) + (xy 122.192078 99.05) + (xy 122.212043 99.176056) + (xy 122.259316 99.268834) + (xy 122.269984 99.289771) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.919862 99.299925) + (xy 122.966482 99.278186) + (xy 122.973036 99.2779) + (xy 123.473476 99.2779) + (xy 123.521814 99.295493) + (xy 123.536002 99.311321) + (xy 123.560962 99.348675) + (xy 123.566715 99.357285) + (xy 123.611894 99.387473) + (xy 123.642311 99.428955) + (xy 123.638948 99.480285) + (xy 123.611896 99.512525) + (xy 123.585531 99.530142) + (xy 123.535565 99.542369) + (xy 123.494966 99.522895) + (xy 123.494556 99.523461) + (xy 123.491468 99.521217) + (xy 123.490577 99.52079) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.010228 99.519984) + (xy 122.919984 99.610228) + (xy 122.862043 99.723943) + (xy 122.842078 99.849999) + (xy 122.842078 99.85) + (xy 122.862043 99.976056) + (xy 122.909316 100.068834) + (xy 122.919984 100.089771) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.490573 100.179213) + (xy 123.491419 100.178818) + (xy 123.49456 100.176537) + (xy 123.495003 100.177147) + (xy 123.53719 100.15747) + (xy 123.585529 100.169856) + (xy 123.611895 100.187473) + (xy 123.642312 100.228956) + (xy 123.638947 100.280286) + (xy 123.611896 100.312525) + (xy 123.566713 100.342715) + (xy 123.536002 100.388679) + (xy 123.494519 100.419096) + (xy 123.473476 100.4221) + (xy 122.973036 100.4221) + (xy 122.924698 100.404507) + (xy 122.919862 100.400075) + (xy 122.879882 100.360095) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.360228 100.319984) + (xy 122.269984 100.410228) + (xy 122.212043 100.523943) + (xy 122.192078 100.649999) + (xy 122.192078 100.65) + (xy 122.212043 100.776056) + (xy 122.260386 100.870933) + (xy 122.269984 100.889771) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.919862 100.899925) + (xy 122.966482 100.878186) + (xy 122.973036 100.8779) + (xy 123.473476 100.8779) + (xy 123.521814 100.895493) + (xy 123.536002 100.911321) + (xy 123.56621 100.956529) + (xy 123.566715 100.957285) + (xy 123.611894 100.987473) + (xy 123.642311 101.028955) + (xy 123.638948 101.080285) + (xy 123.611896 101.112525) + (xy 123.585531 101.130142) + (xy 123.535565 101.142369) + (xy 123.494966 101.122895) + (xy 123.494556 101.123461) + (xy 123.491468 101.121217) + (xy 123.490577 101.12079) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.010228 101.119984) + (xy 122.919984 101.210228) + (xy 122.862043 101.323943) + (xy 122.842078 101.449999) + (xy 122.842078 101.45) + (xy 122.862043 101.576056) + (xy 122.910467 101.671092) + (xy 122.919984 101.689771) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.490573 101.779213) + (xy 123.491419 101.778818) + (xy 123.49456 101.776537) + (xy 123.495003 101.777147) + (xy 123.53719 101.75747) + (xy 123.585529 101.769856) + (xy 123.611895 101.787473) + (xy 123.642312 101.828956) + (xy 123.638947 101.880286) + (xy 123.611896 101.912525) + (xy 123.566713 101.942715) + (xy 123.536002 101.988679) + (xy 123.494519 102.019096) + (xy 123.473476 102.0221) + (xy 122.973036 102.0221) + (xy 122.924698 102.004507) + (xy 122.919862 102.000075) + (xy 122.879875 101.960088) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.360228 101.919984) + (xy 122.269984 102.010228) + (xy 122.212043 102.123943) + (xy 122.192078 102.249999) + (xy 122.192078 102.25) + (xy 122.212043 102.376056) + (xy 122.260466 102.471091) + (xy 122.269984 102.489771) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.919862 102.499925) + (xy 122.966482 102.478186) + (xy 122.973036 102.4779) + (xy 123.473476 102.4779) + (xy 123.521814 102.495493) + (xy 123.536003 102.511321) + (xy 123.565269 102.555121) + (xy 123.577495 102.605087) + (xy 123.554744 102.651222) + (xy 123.50766 102.671939) + (xy 123.502742 102.6721) + (xy 123.413848 102.6721) + (xy 123.379708 102.663904) + (xy 123.376055 102.662043) + (xy 123.376057 102.662043) + (xy 123.25 102.642078) + (xy 123.123943 102.662043) + (xy 123.010228 102.719984) + (xy 122.919984 102.810228) + (xy 122.862043 102.923943) + (xy 122.842078 103.049999) + (xy 122.842078 103.05) + (xy 122.862043 103.176056) + (xy 122.888144 103.227282) + (xy 122.919984 103.289771) + (xy 123.010229 103.380016) + (xy 123.123943 103.437956) + (xy 123.123945 103.437957) + (xy 123.25 103.457922) + (xy 123.376055 103.437957) + (xy 123.378339 103.436793) + (xy 123.379708 103.436096) + (xy 123.413848 103.4279) + (xy 123.502742 103.4279) + (xy 123.55108 103.445493) + (xy 123.5768 103.490042) + (xy 123.567867 103.5407) + (xy 123.565269 103.544879) + (xy 123.536003 103.588679) + (xy 123.494519 103.619096) + (xy 123.473476 103.6221) + (xy 122.923036 103.6221) + (xy 122.874698 103.604507) + (xy 122.869862 103.600075) + (xy 122.833709 103.563922) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.310228 103.519984) + (xy 122.219984 103.610228) + (xy 122.162043 103.723943) + (xy 122.142078 103.849999) + (xy 122.142078 103.85) + (xy 122.162043 103.976056) + (xy 122.219836 104.089481) + (xy 122.219984 104.089771) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.869862 104.099925) + (xy 122.916482 104.078186) + (xy 122.923036 104.0779) + (xy 123.473476 104.0779) + (xy 123.521814 104.095493) + (xy 123.536002 104.111321) + (xy 123.566713 104.157284) + (xy 123.571815 104.160693) + (xy 123.612346 104.187774) + (xy 123.642762 104.229256) + (xy 123.639399 104.280585) + (xy 123.612347 104.312826) + (xy 123.567075 104.343076) + (xy 123.508086 104.431361) + (xy 123.508085 104.431363) + (xy 123.494432 104.499999) + (xy 123.494433 104.5) + (xy 125.305566 104.5) + (xy 125.291913 104.431361) + (xy 125.270958 104.4) + (xy 125.8226 104.4) + (xy 126.3 104.4) + (xy 126.3 103.9726) + (xy 126.6 103.9726) + (xy 126.6 104.4) + (xy 127.077399 104.4) + (xy 127.077399 104.302869) + (xy 127.077398 104.302857) + (xy 127.067065 104.23194) + (xy 127.013578 104.12253) + (xy 126.927469 104.036421) + (xy 126.818058 103.982934) + (xy 126.818059 103.982934) + (xy 126.747141 103.9726) + (xy 126.6 103.9726) + (xy 126.3 103.9726) + (xy 126.15287 103.9726) + (xy 126.152857 103.972601) + (xy 126.08194 103.982934) + (xy 125.97253 104.036421) + (xy 125.886421 104.12253) + (xy 125.832934 104.231941) + (xy 125.8226 104.302858) + (xy 125.8226 104.4) + (xy 125.270958 104.4) + (xy 125.232923 104.343076) + (xy 125.187654 104.312828) + (xy 125.157237 104.271344) + (xy 125.160602 104.220015) + (xy 125.187652 104.187775) + (xy 125.233285 104.157285) + (xy 125.292386 104.068834) + (xy 125.3079 103.990839) + (xy 125.307899 103.709162) + (xy 125.292386 103.631166) + (xy 125.234731 103.544879) + (xy 125.222505 103.494913) + (xy 125.245256 103.448778) + (xy 125.29234 103.428061) + (xy 125.297258 103.4279) + (xy 125.377341 103.4279) + (xy 125.411481 103.436096) + (xy 125.415132 103.437956) + (xy 125.422692 103.441808) + (xy 125.422694 103.441809) + (xy 125.517976 103.4569) + (xy 125.53763 103.4569) + (xy 125.549392 103.457825) + (xy 125.55 103.457922) + (xy 125.550607 103.457825) + (xy 125.56237 103.4569) + (xy 125.833998 103.4569) + (xy 125.882336 103.474493) + (xy 125.887172 103.478926) + (xy 125.972235 103.563989) + (xy 125.972236 103.563989) + (xy 125.972239 103.563992) + (xy 126.081797 103.617551) + (xy 126.133848 103.625135) + (xy 126.152817 103.627899) + (xy 126.152822 103.627899) + (xy 126.152826 103.6279) + (xy 126.152828 103.6279) + (xy 126.747172 103.6279) + (xy 126.747174 103.6279) + (xy 126.747178 103.627899) + (xy 126.747182 103.627899) + (xy 126.756151 103.626592) + (xy 126.818203 103.617551) + (xy 126.927761 103.563992) + (xy 126.947453 103.544299) + (xy 126.99407 103.522559) + (xy 127.043757 103.535871) + (xy 127.053801 103.544299) + (xy 127.116413 103.606911) + (xy 127.120071 103.610839) + (xy 127.150364 103.645799) + (xy 127.190766 103.671763) + (xy 127.194766 103.67452) + (xy 127.218149 103.691777) + (xy 127.235983 103.704939) + (xy 127.241682 103.706932) + (xy 127.257499 103.714649) + (xy 127.259924 103.716208) + (xy 127.298276 103.727469) + (xy 127.309457 103.730752) + (xy 127.313108 103.731926) + (xy 127.342286 103.742135) + (xy 127.364849 103.750031) + (xy 127.367234 103.75012) + (xy 127.379906 103.752184) + (xy 127.384881 103.7529) + (xy 127.384883 103.7529) + (xy 127.440145 103.7529) + (xy 127.442954 103.752952) + (xy 127.461988 103.753665) + (xy 127.501279 103.755135) + (xy 127.501279 103.755134) + (xy 127.501281 103.755135) + (xy 127.501282 103.755134) + (xy 127.506881 103.754504) + (xy 127.506887 103.754563) + (xy 127.509866 103.75417) + (xy 127.509794 103.753665) + (xy 127.515108 103.7529) + (xy 127.515117 103.7529) + (xy 127.54726 103.743461) + (xy 127.571571 103.736323) + (xy 127.573274 103.735844) + (xy 127.633157 103.7198) + (xy 127.633162 103.719796) + (xy 127.634643 103.719151) + (xy 127.639948 103.716289) + (xy 127.640072 103.716209) + (xy 127.640076 103.716208) + (xy 127.692672 103.682405) + (xy 127.693159 103.682096) + (xy 127.748092 103.647581) + (xy 127.748754 103.647165) + (xy 127.748754 103.647164) + (xy 127.748757 103.647163) + (xy 127.79279 103.595995) + (xy 127.834921 103.547374) + (xy 127.834921 103.547372) + (xy 127.836994 103.544981) + (xy 127.837806 103.543685) + (xy 127.837813 103.543679) + (xy 127.863331 103.485187) + (xy 127.863834 103.484063) + (xy 127.889021 103.428913) + (xy 127.889023 103.428909) + (xy 127.889023 103.428903) + (xy 127.890025 103.425495) + (xy 127.892008 103.420037) + (xy 127.892409 103.418542) + (xy 127.893004 103.41326) + (xy 127.899152 103.358685) + (xy 127.89943 103.356519) + (xy 127.907557 103.3) + (xy 127.907557 103.294618) + (xy 127.907804 103.294618) + (xy 127.907633 103.283426) + (xy 127.90765 103.283264) + (xy 127.907695 103.282874) + (xy 127.897173 103.227268) + (xy 127.89663 103.224003) + (xy 127.895842 103.218522) + (xy 127.889023 103.171091) + (xy 127.88858 103.170121) + (xy 127.883095 103.15286) + (xy 127.882313 103.148727) + (xy 127.881469 103.147131) + (xy 127.8771 103.138864) + (xy 127.857535 103.101846) + (xy 127.855637 103.09799) + (xy 127.834921 103.052626) + (xy 127.834918 103.052623) + (xy 127.834917 103.05262) + (xy 127.831838 103.049067) + (xy 127.82219 103.034969) + (xy 127.818518 103.028021) + (xy 127.818515 103.028018) + (xy 127.818514 103.028016) + (xy 127.783592 102.993094) + (xy 127.779934 102.989167) + (xy 127.775381 102.983913) + (xy 127.749636 102.954201) + (xy 127.742612 102.949687) + (xy 127.730099 102.939602) + (xy 127.541223 102.750726) + (xy 127.535603 102.744438) + (xy 127.523634 102.729429) + (xy 127.51153 102.714251) + (xy 127.463266 102.681345) + (xy 127.461033 102.679761) + (xy 127.414016 102.64506) + (xy 127.414015 102.645059) + (xy 127.414013 102.645058) + (xy 127.409038 102.642429) + (xy 127.409065 102.642377) + (xy 127.403826 102.639732) + (xy 127.403801 102.639786) + (xy 127.398728 102.637343) + (xy 127.398727 102.637342) + (xy 127.398726 102.637342) + (xy 127.356864 102.624429) + (xy 127.342954 102.620138) + (xy 127.340285 102.619259) + (xy 127.28515 102.599967) + (xy 127.279617 102.59892) + (xy 127.279627 102.598864) + (xy 127.273843 102.597882) + (xy 127.273835 102.597939) + (xy 127.268267 102.5971) + (xy 127.268264 102.5971) + (xy 127.209882 102.5971) + (xy 127.207072 102.597047) + (xy 127.204516 102.596951) + (xy 127.148719 102.594863) + (xy 127.143118 102.595495) + (xy 127.143111 102.595436) + (xy 127.130473 102.5971) + (xy 127.020001 102.5971) + (xy 126.971663 102.579507) + (xy 126.966837 102.575084) + (xy 126.927761 102.536008) + (xy 126.92776 102.536007) + (xy 126.924925 102.533172) + (xy 126.903186 102.486551) + (xy 126.9029 102.479998) + (xy 126.9029 102.137771) + (xy 126.903665 102.12707) + (xy 126.904114 102.123945) + (xy 126.907557 102.1) + (xy 126.903502 102.071801) + (xy 126.90295 102.06673) + (xy 126.9029 102.066072) + (xy 126.9029 102.066057) + (xy 126.898057 102.033928) + (xy 126.889023 101.971091) + (xy 126.889022 101.97109) + (xy 126.888771 101.96934) + (xy 126.888173 101.966638) + (xy 126.887668 101.965002) + (xy 126.887668 101.964997) + (xy 126.880823 101.950783) + (xy 126.860342 101.908254) + (xy 126.859727 101.906945) + (xy 126.84135 101.866703) + (xy 126.83492 101.852623) + (xy 126.832015 101.848104) + (xy 126.832549 101.84776) + (xy 126.831752 101.846543) + (xy 126.831604 101.846645) + (xy 126.82843 101.841989) + (xy 126.824043 101.837261) + (xy 126.788081 101.798504) + (xy 126.786392 101.79662) + (xy 126.749638 101.754203) + (xy 126.745574 101.750682) + (xy 126.745651 101.750592) + (xy 126.736574 101.742993) + (xy 126.73557 101.741911) + (xy 126.735569 101.74191) + (xy 126.735568 101.741909) + (xy 126.687322 101.714054) + (xy 126.684268 101.712192) + (xy 126.640079 101.683793) + (xy 126.640076 101.683792) + (xy 126.638179 101.683235) + (xy 126.621775 101.67621) + (xy 126.617332 101.673645) + (xy 126.61733 101.673644) + (xy 126.617329 101.673644) + (xy 126.566536 101.66205) + (xy 126.562087 101.66089) + (xy 126.515118 101.6471) + (xy 126.515117 101.6471) + (xy 126.509505 101.6471) + (xy 126.492771 101.645214) + (xy 126.484233 101.643265) + (xy 126.484229 101.643264) + (xy 126.435852 101.64689) + (xy 126.430233 101.6471) + (xy 126.384881 101.6471) + (xy 126.376011 101.649705) + (xy 126.360448 101.65254) + (xy 126.348083 101.653466) + (xy 126.306262 101.669879) + (xy 126.29998 101.672029) + (xy 126.259923 101.683792) + (xy 126.259921 101.683793) + (xy 126.249085 101.690756) + (xy 126.235914 101.697489) + (xy 126.220996 101.703344) + (xy 126.220993 101.703346) + (xy 126.188693 101.729103) + (xy 126.182468 101.733568) + (xy 126.16949 101.741909) + (xy 126.150364 101.754201) + (xy 126.150363 101.754201) + (xy 126.150363 101.754202) + (xy 126.13955 101.766679) + (xy 126.129612 101.776219) + (xy 126.11425 101.78847) + (xy 126.114247 101.788473) + (xy 126.093008 101.819625) + (xy 126.087711 101.826505) + (xy 126.065078 101.852627) + (xy 126.056719 101.870928) + (xy 126.050452 101.882043) + (xy 126.037344 101.90127) + (xy 126.037339 101.90128) + (xy 126.027287 101.933866) + (xy 126.023834 101.942935) + (xy 126.010978 101.971086) + (xy 126.010976 101.971094) + (xy 126.007598 101.994583) + (xy 126.005025 102.006038) + (xy 125.9971 102.031735) + (xy 125.9971 102.062228) + (xy 125.996335 102.072929) + (xy 125.992443 102.1) + (xy 125.996335 102.12707) + (xy 125.9971 102.137771) + (xy 125.9971 102.479998) + (xy 125.979507 102.528336) + (xy 125.975074 102.533172) + (xy 125.887172 102.621074) + (xy 125.840552 102.642814) + (xy 125.833998 102.6431) + (xy 125.56237 102.6431) + (xy 125.550607 102.642174) + (xy 125.55 102.642078) + (xy 125.549392 102.642174) + (xy 125.53763 102.6431) + (xy 125.517973 102.6431) + (xy 125.422692 102.658191) + (xy 125.411481 102.663904) + (xy 125.377341 102.6721) + (xy 125.297257 102.6721) + (xy 125.248919 102.654507) + (xy 125.223199 102.609958) + (xy 125.232132 102.5593) + (xy 125.234717 102.555141) + (xy 125.292386 102.468834) + (xy 125.3079 102.390839) + (xy 125.307899 102.109162) + (xy 125.292386 102.031166) + (xy 125.233285 101.942715) + (xy 125.226993 101.938511) + (xy 125.204514 101.923491) + (xy 125.188104 101.912526) + (xy 125.157687 101.871044) + (xy 125.161051 101.819714) + (xy 125.188105 101.787473) + (xy 125.188107 101.787472) + (xy 125.233285 101.757285) + (xy 125.292386 101.668834) + (xy 125.3079 101.590839) + (xy 125.307899 101.309162) + (xy 125.292386 101.231166) + (xy 125.233285 101.142715) + (xy 125.233008 101.14253) + (xy 125.201111 101.121217) + (xy 125.188104 101.112526) + (xy 125.157687 101.071044) + (xy 125.161051 101.019714) + (xy 125.188105 100.987473) + (xy 125.188107 100.987472) + (xy 125.233285 100.957285) + (xy 125.292386 100.868834) + (xy 125.3079 100.790839) + (xy 125.307899 100.509162) + (xy 125.292386 100.431166) + (xy 125.233285 100.342715) + (xy 125.225863 100.337756) + (xy 125.203465 100.32279) + (xy 125.188104 100.312526) + (xy 125.157687 100.271044) + (xy 125.161051 100.219714) + (xy 125.188105 100.187473) + (xy 125.188107 100.187472) + (xy 125.233285 100.157285) + (xy 125.292386 100.068834) + (xy 125.3079 99.990839) + (xy 125.307899 99.845) + (xy 134.595601 99.845) + (xy 134.595601 100.307118) + (xy 134.602164 100.356984) + (xy 134.602166 100.35699) + (xy 134.653193 100.466418) + (xy 134.653199 100.466426) + (xy 134.738573 100.5518) + (xy 134.738581 100.551806) + (xy 134.848008 100.602833) + (xy 134.848014 100.602835) + (xy 134.89788 100.609399) + (xy 134.978 100.609399) + (xy 134.978 99.845) + (xy 135.278 99.845) + (xy 135.278 100.609399) + (xy 135.358117 100.609399) + (xy 135.407984 100.602835) + (xy 135.40799 100.602833) + (xy 135.517418 100.551806) + (xy 135.517426 100.5518) + (xy 135.6028 100.466426) + (xy 135.602806 100.466418) + (xy 135.653833 100.356991) + (xy 135.653835 100.356985) + (xy 135.6604 100.307119) + (xy 135.6604 99.845) + (xy 135.865601 99.845) + (xy 135.865601 100.307118) + (xy 135.872164 100.356984) + (xy 135.872166 100.35699) + (xy 135.923193 100.466418) + (xy 135.923199 100.466426) + (xy 136.008573 100.5518) + (xy 136.008581 100.551806) + (xy 136.118008 100.602833) + (xy 136.118014 100.602835) + (xy 136.16788 100.609399) + (xy 136.248 100.609399) + (xy 136.248 99.845) + (xy 136.548 99.845) + (xy 136.548 100.609399) + (xy 136.628117 100.609399) + (xy 136.677984 100.602835) + (xy 136.67799 100.602833) + (xy 136.787418 100.551806) + (xy 136.787426 100.5518) + (xy 136.8728 100.466426) + (xy 136.872806 100.466418) + (xy 136.923833 100.356991) + (xy 136.923835 100.356985) + (xy 136.9304 100.307119) + (xy 136.9304 99.845) + (xy 136.548 99.845) + (xy 136.248 99.845) + (xy 135.865601 99.845) + (xy 135.6604 99.845) + (xy 135.278 99.845) + (xy 134.978 99.845) + (xy 134.595601 99.845) + (xy 125.307899 99.845) + (xy 125.307899 99.709162) + (xy 125.292386 99.631166) + (xy 125.234812 99.545) + (xy 134.5956 99.545) + (xy 134.978 99.545) + (xy 134.978 98.7806) + (xy 135.278 98.7806) + (xy 135.278 99.545) + (xy 135.660399 99.545) + (xy 135.8656 99.545) + (xy 136.248 99.545) + (xy 136.248 98.7806) + (xy 136.548 98.7806) + (xy 136.548 99.545) + (xy 136.930399 99.545) + (xy 136.930399 99.082881) + (xy 136.923835 99.033015) + (xy 136.923833 99.033009) + (xy 136.872806 98.923581) + (xy 136.8728 98.923573) + (xy 136.787426 98.838199) + (xy 136.787418 98.838193) + (xy 136.677991 98.787166) + (xy 136.677985 98.787164) + (xy 136.628119 98.7806) + (xy 136.548 98.7806) + (xy 136.248 98.7806) + (xy 136.167881 98.7806) + (xy 136.118015 98.787164) + (xy 136.118009 98.787166) + (xy 136.008581 98.838193) + (xy 136.008573 98.838199) + (xy 135.923199 98.923573) + (xy 135.923193 98.923581) + (xy 135.872166 99.033008) + (xy 135.872164 99.033014) + (xy 135.8656 99.08288) + (xy 135.8656 99.545) + (xy 135.660399 99.545) + (xy 135.660399 99.082881) + (xy 135.653835 99.033015) + (xy 135.653833 99.033009) + (xy 135.602806 98.923581) + (xy 135.6028 98.923573) + (xy 135.517426 98.838199) + (xy 135.517418 98.838193) + (xy 135.407991 98.787166) + (xy 135.407985 98.787164) + (xy 135.358119 98.7806) + (xy 135.278 98.7806) + (xy 134.978 98.7806) + (xy 134.897881 98.7806) + (xy 134.848015 98.787164) + (xy 134.848009 98.787166) + (xy 134.738581 98.838193) + (xy 134.738573 98.838199) + (xy 134.653199 98.923573) + (xy 134.653193 98.923581) + (xy 134.602166 99.033008) + (xy 134.602164 99.033014) + (xy 134.5956 99.08288) + (xy 134.5956 99.545) + (xy 125.234812 99.545) + (xy 125.233285 99.542715) + (xy 125.232767 99.542369) + (xy 125.200472 99.52079) + (xy 125.188104 99.512526) + (xy 125.157687 99.471044) + (xy 125.161051 99.419714) + (xy 125.188105 99.387473) + (xy 125.188107 99.387472) + (xy 125.233285 99.357285) + (xy 125.292386 99.268834) + (xy 125.3079 99.190839) + (xy 125.307899 98.909162) + (xy 125.292386 98.831166) + (xy 125.233285 98.742715) + (xy 125.233008 98.74253) + (xy 125.208352 98.726055) + (xy 125.188104 98.712526) + (xy 125.157687 98.671044) + (xy 125.161051 98.619714) + (xy 125.188105 98.587473) + (xy 125.188107 98.587472) + (xy 125.233285 98.557285) + (xy 125.292386 98.468834) + (xy 125.3079 98.390839) + (xy 125.307899 98.109162) + (xy 125.292386 98.031166) + (xy 125.233285 97.942715) + (xy 125.232767 97.942369) + (xy 125.200472 97.92079) + (xy 125.188104 97.912526) + (xy 125.157687 97.871044) + (xy 125.161051 97.819714) + (xy 125.188105 97.787473) + (xy 125.188107 97.787472) + (xy 125.233285 97.757285) + (xy 125.292386 97.668834) + (xy 125.3079 97.590839) + (xy 125.307899 97.309162) + (xy 125.292386 97.231166) + (xy 125.233285 97.142715) + (xy 125.233008 97.14253) + (xy 125.201988 97.121803) + (xy 125.188104 97.112526) + (xy 125.157687 97.071044) + (xy 125.161051 97.019714) + (xy 125.188105 96.987473) + (xy 125.188107 96.987472) + (xy 125.233285 96.957285) + (xy 125.292386 96.868834) + (xy 125.3079 96.790839) + (xy 125.307899 96.509162) + (xy 125.292386 96.431166) + (xy 125.233285 96.342715) + (xy 125.232767 96.342369) + (xy 125.199945 96.320438) + (xy 125.188104 96.312526) + (xy 125.157687 96.271044) + (xy 125.161051 96.219714) + (xy 125.188105 96.187473) + (xy 125.188107 96.187472) + (xy 125.233285 96.157285) + (xy 125.292386 96.068834) + (xy 125.3079 95.990839) + (xy 125.307899 95.709162) + (xy 125.292386 95.631166) + (xy 125.233285 95.542715) + (xy 125.233008 95.54253) + (xy 125.203562 95.522855) + (xy 125.188104 95.512526) + (xy 125.157687 95.471044) + (xy 125.161051 95.419714) + (xy 125.188105 95.387473) + (xy 125.188107 95.387472) + (xy 125.233285 95.357285) + (xy 125.292386 95.268834) + (xy 125.3079 95.190839) + (xy 125.307899 94.909162) + (xy 125.292386 94.831166) + (xy 125.233285 94.742715) + (xy 125.232767 94.742369) + (xy 125.200472 94.72079) + (xy 125.188104 94.712526) + (xy 125.157687 94.671044) + (xy 125.161051 94.619714) + (xy 125.188105 94.587473) + (xy 125.188107 94.587472) + (xy 125.233285 94.557285) + (xy 125.292386 94.468834) + (xy 125.3079 94.390839) + (xy 125.3079 94.3) + (xy 125.822601 94.3) + (xy 125.822601 94.397142) + (xy 125.832934 94.468059) + (xy 125.886421 94.577469) + (xy 125.97253 94.663578) + (xy 126.081941 94.717065) + (xy 126.08194 94.717065) + (xy 126.152863 94.727399) + (xy 126.3 94.727399) + (xy 126.3 94.3) + (xy 126.6 94.3) + (xy 126.6 94.727399) + (xy 126.74713 94.727399) + (xy 126.747142 94.727398) + (xy 126.818059 94.717065) + (xy 126.927469 94.663578) + (xy 127.013578 94.577469) + (xy 127.067065 94.468058) + (xy 127.077399 94.397141) + (xy 127.0774 94.397131) + (xy 127.0774 94.3) + (xy 126.6 94.3) + (xy 126.3 94.3) + (xy 125.822601 94.3) + (xy 125.3079 94.3) + (xy 125.307899 94.109162) + (xy 125.292386 94.031166) + (xy 125.271562 94) + (xy 125.8226 94) + (xy 126.3 94) + (xy 126.3 93.5726) + (xy 126.6 93.5726) + (xy 126.6 94) + (xy 127.077399 94) + (xy 127.077399 93.902869) + (xy 127.077398 93.902857) + (xy 127.067065 93.83194) + (xy 127.013578 93.72253) + (xy 126.927469 93.636421) + (xy 126.818058 93.582934) + (xy 126.818059 93.582934) + (xy 126.747141 93.5726) + (xy 126.6 93.5726) + (xy 126.3 93.5726) + (xy 126.15287 93.5726) + (xy 126.152857 93.572601) + (xy 126.08194 93.582934) + (xy 125.97253 93.636421) + (xy 125.886421 93.72253) + (xy 125.832934 93.831941) + (xy 125.8226 93.902858) + (xy 125.8226 94) + (xy 125.271562 94) + (xy 125.233285 93.942715) + (xy 125.233008 93.94253) + (xy 125.203465 93.92279) + (xy 125.188104 93.912526) + (xy 125.157687 93.871044) + (xy 125.161051 93.819714) + (xy 125.188105 93.787473) + (xy 125.188107 93.787472) + (xy 125.233285 93.757285) + (xy 125.292386 93.668834) + (xy 125.3079 93.590839) + (xy 125.307899 93.309162) + (xy 125.292386 93.231166) + (xy 125.234731 93.144879) + (xy 125.222505 93.094913) + (xy 125.245256 93.048778) + (xy 125.29234 93.028061) + (xy 125.297258 93.0279) + (xy 125.386152 93.0279) + (xy 125.420292 93.036096) + (xy 125.42166 93.036793) + (xy 125.423943 93.037956) + (xy 125.423945 93.037957) + (xy 125.512975 93.052058) + (xy 125.516107 93.052554) + (xy 125.549999 93.057922) + (xy 125.55 93.057922) + (xy 125.575861 93.053825) + (xy 125.587625 93.0529) + (xy 125.829998 93.0529) + (xy 125.878336 93.070493) + (xy 125.883172 93.074926) + (xy 125.972235 93.163989) + (xy 125.972236 93.163989) + (xy 125.972239 93.163992) + (xy 126.081797 93.217551) + (xy 126.133848 93.225135) + (xy 126.152817 93.227899) + (xy 126.152822 93.227899) + (xy 126.152826 93.2279) + (xy 126.152828 93.2279) + (xy 126.747172 93.2279) + (xy 126.747174 93.2279) + (xy 126.747178 93.227899) + (xy 126.747182 93.227899) + (xy 126.756151 93.226592) + (xy 126.818203 93.217551) + (xy 126.832542 93.21054) + (xy 126.86557 93.2029) + (xy 126.939834 93.2029) + (xy 126.988172 93.220493) + (xy 126.993008 93.224926) + (xy 127.029924 93.261842) + (xy 127.036409 93.269236) + (xy 127.055667 93.294333) + (xy 127.074989 93.309159) + (xy 127.081437 93.314107) + (xy 127.085077 93.317085) + (xy 127.085787 93.317704) + (xy 127.114481 93.339464) + (xy 127.114792 93.339701) + (xy 127.16608 93.379056) + (xy 127.172233 93.383777) + (xy 127.175303 93.385816) + (xy 127.176469 93.386472) + (xy 127.242154 93.412374) + (xy 127.243334 93.41285) + (xy 127.305664 93.438669) + (xy 127.305666 93.438669) + (xy 127.305668 93.43867) + (xy 127.310422 93.439944) + (xy 127.310291 93.44043) + (xy 127.312849 93.441091) + (xy 127.312869 93.441013) + (xy 127.317862 93.44223) + (xy 127.38462 93.449092) + (xy 127.386629 93.449327) + (xy 127.45 93.457671) + (xy 127.450001 93.45767) + (xy 127.450004 93.457671) + (xy 127.454932 93.457671) + (xy 127.454932 93.457697) + (xy 127.46669 93.45753) + (xy 127.469053 93.457773) + (xy 127.531624 93.446984) + (xy 127.53452 93.446543) + (xy 127.594336 93.438669) + (xy 127.596922 93.437597) + (xy 127.612923 93.432967) + (xy 127.61352 93.432863) + (xy 127.618833 93.431948) + (xy 127.672956 93.406206) + (xy 127.676409 93.404671) + (xy 127.728836 93.382957) + (xy 127.73393 93.379047) + (xy 127.747403 93.370802) + (xy 127.75609 93.366671) + (xy 127.79856 93.329638) + (xy 127.802148 93.326701) + (xy 127.844333 93.294333) + (xy 127.850436 93.286377) + (xy 127.860672 93.275479) + (xy 127.870646 93.266784) + (xy 127.899577 93.222671) + (xy 127.90278 93.218161) + (xy 127.932957 93.178836) + (xy 127.938176 93.166236) + (xy 127.944773 93.153766) + (xy 127.954004 93.139693) + (xy 127.967922 93.095838) + (xy 127.968875 93.092834) + (xy 127.971069 93.086823) + (xy 127.988669 93.044336) + (xy 127.990921 93.027227) + (xy 127.993796 93.014314) + (xy 127.999982 92.994826) + (xy 128.001537 92.949275) + (xy 128.002134 92.942046) + (xy 128.007671 92.9) + (xy 128.004949 92.879331) + (xy 128.00435 92.866957) + (xy 128.005172 92.842926) + (xy 127.995224 92.802106) + (xy 127.993734 92.794142) + (xy 127.988669 92.755664) + (xy 127.979314 92.73308) + (xy 127.97573 92.72211) + (xy 127.969187 92.695259) + (xy 127.964646 92.687182) + (xy 134.5951 92.687182) + (xy 134.596989 92.701531) + (xy 134.601673 92.737115) + (xy 134.601675 92.737121) + (xy 134.652777 92.846711) + (xy 134.652782 92.846718) + (xy 134.738281 92.932217) + (xy 134.738284 92.932219) + (xy 134.738286 92.932221) + (xy 134.847882 92.983326) + (xy 134.897818 92.9899) + (xy 134.89782 92.9899) + (xy 135.35818 92.9899) + (xy 135.358182 92.9899) + (xy 135.408118 92.983326) + (xy 135.517714 92.932221) + (xy 135.603221 92.846714) + (xy 135.654326 92.737118) + (xy 135.6609 92.687182) + (xy 135.8651 92.687182) + (xy 135.866989 92.701531) + (xy 135.871673 92.737115) + (xy 135.871675 92.737121) + (xy 135.922777 92.846711) + (xy 135.922782 92.846718) + (xy 136.008281 92.932217) + (xy 136.008284 92.932219) + (xy 136.008286 92.932221) + (xy 136.117882 92.983326) + (xy 136.167818 92.9899) + (xy 136.16782 92.9899) + (xy 136.62818 92.9899) + (xy 136.628182 92.9899) + (xy 136.678118 92.983326) + (xy 136.787714 92.932221) + (xy 136.873221 92.846714) + (xy 136.924326 92.737118) + (xy 136.9309 92.687182) + (xy 136.9309 91.462818) + (xy 136.924326 91.412882) + (xy 136.873221 91.303286) + (xy 136.873219 91.303284) + (xy 136.873217 91.303281) + (xy 136.822926 91.25299) + (xy 136.801186 91.20637) + (xy 136.8009 91.199816) + (xy 136.8009 90.969624) + (xy 136.801826 90.957859) + (xy 136.803759 90.945659) + (xy 136.805922 90.932) + (xy 136.805432 90.928909) + (xy 136.800216 90.895972) + (xy 136.785957 90.805945) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.158228 90.601984) + (xy 136.067984 90.692228) + (xy 136.010043 90.805943) + (xy 135.990567 90.92891) + (xy 135.990078 90.932) + (xy 135.992241 90.945659) + (xy 135.994174 90.957859) + (xy 135.9951 90.969624) + (xy 135.9951 91.199816) + (xy 135.977507 91.248154) + (xy 135.973074 91.25299) + (xy 135.922782 91.303281) + (xy 135.922777 91.303288) + (xy 135.871675 91.412878) + (xy 135.871673 91.412884) + (xy 135.866698 91.450682) + (xy 135.8651 91.462818) + (xy 135.8651 92.687182) + (xy 135.6609 92.687182) + (xy 135.6609 91.462818) + (xy 135.654326 91.412882) + (xy 135.603221 91.303286) + (xy 135.603219 91.303284) + (xy 135.603217 91.303281) + (xy 135.552926 91.25299) + (xy 135.531186 91.20637) + (xy 135.5309 91.199816) + (xy 135.5309 90.969624) + (xy 135.531826 90.957859) + (xy 135.533759 90.945659) + (xy 135.535922 90.932) + (xy 135.535432 90.928909) + (xy 135.530216 90.895972) + (xy 135.515957 90.805945) + (xy 135.458016 90.692229) + (xy 135.367771 90.601984) + (xy 135.254055 90.544043) + (xy 135.254057 90.544043) + (xy 135.128 90.524078) + (xy 135.001943 90.544043) + (xy 134.888228 90.601984) + (xy 134.797984 90.692228) + (xy 134.740043 90.805943) + (xy 134.720567 90.92891) + (xy 134.720078 90.932) + (xy 134.722241 90.945659) + (xy 134.724174 90.957859) + (xy 134.7251 90.969624) + (xy 134.7251 91.199816) + (xy 134.707507 91.248154) + (xy 134.703074 91.25299) + (xy 134.652782 91.303281) + (xy 134.652777 91.303288) + (xy 134.601675 91.412878) + (xy 134.601673 91.412884) + (xy 134.596698 91.450682) + (xy 134.5951 91.462818) + (xy 134.5951 92.687182) + (xy 127.964646 92.687182) + (xy 127.950351 92.661759) + (xy 127.946433 92.653699) + (xy 127.932957 92.621165) + (xy 127.932954 92.621161) + (xy 127.932953 92.621159) + (xy 127.915891 92.598922) + (xy 127.910008 92.59001) + (xy 127.894695 92.562776) + (xy 127.870071 92.538152) + (xy 127.863584 92.530756) + (xy 127.861182 92.527625) + (xy 127.844333 92.505667) + (xy 127.836946 92.499999) + (xy 127.819241 92.486413) + (xy 127.811846 92.479927) + (xy 127.598548 92.266629) + (xy 127.596763 92.264783) + (xy 127.552211 92.217079) + (xy 127.552204 92.217073) + (xy 127.514789 92.194321) + (xy 127.508424 92.189989) + (xy 127.47353 92.163528) + (xy 127.452838 92.155368) + (xy 127.441357 92.149665) + (xy 127.422352 92.138108) + (xy 127.422347 92.138106) + (xy 127.380177 92.12629) + (xy 127.37288 92.123836) + (xy 127.332138 92.10777) + (xy 127.310004 92.105494) + (xy 127.297408 92.1031) + (xy 127.292805 92.10181) + (xy 127.275994 92.0971) + (xy 127.275993 92.0971) + (xy 127.232204 92.0971) + (xy 127.224514 92.096706) + (xy 127.180943 92.092226) + (xy 127.159029 92.096006) + (xy 127.14625 92.0971) + (xy 126.9781 92.0971) + (xy 126.929762 92.079507) + (xy 126.904042 92.034958) + (xy 126.9029 92.0219) + (xy 126.9029 91.837771) + (xy 126.903665 91.82707) + (xy 126.904057 91.82434) + (xy 126.907557 91.8) + (xy 126.903502 91.771801) + (xy 126.90295 91.76673) + (xy 126.9029 91.766072) + (xy 126.9029 91.766057) + (xy 126.898057 91.733928) + (xy 126.889023 91.671091) + (xy 126.889022 91.67109) + (xy 126.888771 91.66934) + (xy 126.888173 91.666638) + (xy 126.887668 91.665002) + (xy 126.887668 91.664997) + (xy 126.880445 91.649998) + (xy 126.860342 91.608254) + (xy 126.859727 91.606945) + (xy 126.840503 91.564849) + (xy 126.83492 91.552623) + (xy 126.832015 91.548104) + (xy 126.832549 91.54776) + (xy 126.831752 91.546543) + (xy 126.831604 91.546645) + (xy 126.82843 91.541989) + (xy 126.819663 91.532541) + (xy 126.788081 91.498504) + (xy 126.786392 91.49662) + (xy 126.749638 91.454203) + (xy 126.745574 91.450682) + (xy 126.745651 91.450592) + (xy 126.736574 91.442993) + (xy 126.73557 91.441911) + (xy 126.735569 91.44191) + (xy 126.735568 91.441909) + (xy 126.687322 91.414054) + (xy 126.684268 91.412192) + (xy 126.640079 91.383793) + (xy 126.640076 91.383792) + (xy 126.638179 91.383235) + (xy 126.621775 91.37621) + (xy 126.617332 91.373645) + (xy 126.61733 91.373644) + (xy 126.617329 91.373644) + (xy 126.566536 91.36205) + (xy 126.562087 91.36089) + (xy 126.515118 91.3471) + (xy 126.515117 91.3471) + (xy 126.509505 91.3471) + (xy 126.492771 91.345214) + (xy 126.484233 91.343265) + (xy 126.484229 91.343264) + (xy 126.435852 91.34689) + (xy 126.430233 91.3471) + (xy 126.384881 91.3471) + (xy 126.376011 91.349705) + (xy 126.360448 91.35254) + (xy 126.348083 91.353466) + (xy 126.306262 91.369879) + (xy 126.29998 91.372029) + (xy 126.259923 91.383792) + (xy 126.259921 91.383793) + (xy 126.249085 91.390756) + (xy 126.235914 91.397489) + (xy 126.220996 91.403344) + (xy 126.220993 91.403346) + (xy 126.188693 91.429103) + (xy 126.182468 91.433568) + (xy 126.150364 91.454201) + (xy 126.150363 91.454201) + (xy 126.150363 91.454202) + (xy 126.13955 91.466679) + (xy 126.129612 91.476219) + (xy 126.11425 91.48847) + (xy 126.114247 91.488473) + (xy 126.093008 91.519625) + (xy 126.087711 91.526505) + (xy 126.065078 91.552627) + (xy 126.056719 91.570928) + (xy 126.050452 91.582043) + (xy 126.037344 91.60127) + (xy 126.037339 91.60128) + (xy 126.027287 91.633866) + (xy 126.023834 91.642935) + (xy 126.010978 91.671086) + (xy 126.010976 91.671094) + (xy 126.007598 91.694583) + (xy 126.005025 91.706038) + (xy 125.9971 91.731735) + (xy 125.9971 91.762228) + (xy 125.996335 91.772929) + (xy 125.992443 91.8) + (xy 125.996335 91.82707) + (xy 125.9971 91.837771) + (xy 125.9971 92.079998) + (xy 125.979507 92.128336) + (xy 125.975074 92.133172) + (xy 125.883172 92.225074) + (xy 125.836552 92.246814) + (xy 125.829998 92.2471) + (xy 125.587625 92.2471) + (xy 125.575861 92.246174) + (xy 125.556187 92.243058) + (xy 125.55 92.242078) + (xy 125.549999 92.242078) + (xy 125.518292 92.2471) + (xy 125.423943 92.262043) + (xy 125.420292 92.263904) + (xy 125.386152 92.2721) + (xy 125.094355 92.2721) + (xy 125.079684 92.270655) + (xy 125.06684 92.2681) + (xy 125.066839 92.2681) + (xy 124.873556 92.2681) + (xy 124.825218 92.250507) + (xy 124.799498 92.205958) + (xy 124.808431 92.1553) + (xy 124.811424 92.150537) + (xy 124.812083 92.149568) + (xy 124.812658 92.148726) + (xy 124.8529 92.018264) + (xy 124.8529 91.320001) + (xy 124.870493 91.271663) + (xy 124.874915 91.266837) + (xy 124.913992 91.227761) + (xy 124.913992 91.22776) + (xy 124.916828 91.224925) + (xy 124.963449 91.203186) + (xy 124.970002 91.2029) + (xy 125.315116 91.2029) + (xy 125.315117 91.2029) + (xy 125.343087 91.194686) + (xy 125.353051 91.192483) + (xy 125.385003 91.187668) + (xy 125.40761 91.17678) + (xy 125.419033 91.172386) + (xy 125.440076 91.166208) + (xy 125.467633 91.148498) + (xy 125.475643 91.144017) + (xy 125.50801 91.128431) + (xy 125.523743 91.113831) + (xy 125.534238 91.105694) + (xy 125.549633 91.095801) + (xy 125.549633 91.0958) + (xy 125.549636 91.095799) + (xy 125.573455 91.068308) + (xy 125.579122 91.062446) + (xy 125.608091 91.035568) + (xy 125.617011 91.020115) + (xy 125.625297 91.008478) + (xy 125.634921 90.997374) + (xy 125.651522 90.96102) + (xy 125.654789 90.954683) + (xy 125.676355 90.917332) + (xy 125.679517 90.903475) + (xy 125.684425 90.888975) + (xy 125.689023 90.878909) + (xy 125.695223 90.835782) + (xy 125.69634 90.829768) + (xy 125.706735 90.784228) + (xy 125.705944 90.773677) + (xy 125.706499 90.757351) + (xy 125.707557 90.75) + (xy 125.700845 90.703326) + (xy 125.700292 90.698259) + (xy 125.700155 90.696432) + (xy 125.696533 90.648082) + (xy 125.693992 90.64161) + (xy 125.689561 90.624837) + (xy 125.689207 90.622374) + (xy 125.689023 90.621091) + (xy 125.667929 90.574902) + (xy 125.666359 90.5712) + (xy 125.646653 90.520992) + (xy 125.644594 90.51841) + (xy 125.634981 90.502757) + (xy 125.634921 90.502626) + (xy 125.613744 90.478186) + (xy 125.599336 90.461558) + (xy 125.597376 90.4592) + (xy 125.584021 90.442454) + (xy 125.56153 90.414251) + (xy 125.561528 90.41425) + (xy 125.561527 90.414248) + (xy 125.559951 90.412785) + (xy 125.553947 90.407437) + (xy 125.5537 90.407723) + (xy 125.549638 90.404203) + (xy 125.549636 90.404201) + (xy 125.500897 90.372878) + (xy 125.499243 90.371783) + (xy 125.448726 90.337342) + (xy 125.448724 90.337341) + (xy 125.448722 90.33734) + (xy 125.447335 90.336671) + (xy 125.4416 90.334488) + (xy 125.440078 90.333793) + (xy 125.440077 90.333792) + (xy 125.440076 90.333792) + (xy 125.405893 90.323754) + (xy 125.381064 90.316464) + (xy 125.380086 90.316169) + (xy 125.318265 90.2971) + (xy 125.318264 90.2971) + (xy 124.970002 90.2971) + (xy 124.921664 90.279507) + (xy 124.916828 90.275074) + (xy 124.874926 90.233172) + (xy 124.853186 90.186552) + (xy 124.8529 90.179998) + (xy 124.8529 90.029654) + (xy 124.853373 90.021234) + (xy 124.853379 90.021174) + (xy 124.857695 89.982875) + (xy 124.846841 89.925511) + (xy 124.84637 89.922737) + (xy 124.84637 89.922735) + (xy 124.837668 89.864997) + (xy 124.837666 89.864993) + (xy 124.836006 89.859609) + (xy 124.836063 89.859591) + (xy 124.834232 89.854024) + (xy 124.834174 89.854045) + (xy 124.832313 89.848725) + (xy 124.805033 89.797111) + (xy 124.803765 89.794598) + (xy 124.778434 89.741995) + (xy 124.775257 89.737336) + (xy 124.775305 89.737302) + (xy 124.771913 89.73252) + (xy 124.771866 89.732555) + (xy 124.768522 89.728024) + (xy 124.761588 89.72109) + (xy 124.727222 89.686724) + (xy 124.725298 89.684726) + (xy 124.68557 89.64191) + (xy 124.681163 89.638396) + (xy 124.681199 89.638349) + (xy 124.671083 89.630586) + (xy 124.58359 89.543093) + (xy 124.579931 89.539164) + (xy 124.549636 89.504201) + (xy 124.509211 89.478222) + (xy 124.50523 89.475478) + (xy 124.464016 89.44506) + (xy 124.458311 89.443063) + (xy 124.442498 89.435348) + (xy 124.440081 89.433794) + (xy 124.440074 89.433791) + (xy 124.390536 89.419244) + (xy 124.386889 89.418071) + (xy 124.335154 89.399969) + (xy 124.335153 89.399968) + (xy 124.335151 89.399968) + (xy 124.33515 89.399967) + (xy 124.335147 89.399967) + (xy 124.332753 89.399878) + (xy 124.320046 89.397808) + (xy 124.315117 89.3971) + (xy 124.259882 89.3971) + (xy 124.257072 89.397047) + (xy 124.254516 89.396951) + (xy 124.198719 89.394863) + (xy 124.193118 89.395495) + (xy 124.193111 89.395436) + (xy 124.190135 89.395828) + (xy 124.190208 89.396334) + (xy 124.184889 89.397098) + (xy 124.12846 89.413666) + (xy 124.126741 89.414148) + (xy 124.066847 89.430198) + (xy 124.065374 89.43084) + (xy 124.060034 89.43372) + (xy 124.007458 89.467508) + (xy 124.006813 89.467918) + (xy 123.95124 89.502838) + (xy 123.907125 89.554099) + (xy 123.90696 89.554291) + (xy 123.863 89.605025) + (xy 123.862187 89.606319) + (xy 123.836675 89.66479) + (xy 123.836155 89.665954) + (xy 123.810976 89.72109) + (xy 123.809968 89.724525) + (xy 123.807988 89.729974) + (xy 123.807591 89.731457) + (xy 123.800854 89.791242) + (xy 123.800562 89.793521) + (xy 123.792443 89.849999) + (xy 123.792443 89.855376) + (xy 123.792199 89.855376) + (xy 123.792369 89.866546) + (xy 123.792304 89.867121) + (xy 123.792304 89.867125) + (xy 123.802825 89.922735) + (xy 123.80337 89.926011) + (xy 123.810975 89.978905) + (xy 123.810977 89.97891) + (xy 123.811417 89.979873) + (xy 123.816903 89.997133) + (xy 123.817686 90.001273) + (xy 123.817688 90.001277) + (xy 123.842458 90.048147) + (xy 123.844376 90.052043) + (xy 123.865079 90.097374) + (xy 123.86508 90.097375) + (xy 123.868157 90.100927) + (xy 123.877809 90.115031) + (xy 123.881482 90.121981) + (xy 123.905699 90.146197) + (xy 123.92744 90.192817) + (xy 123.914127 90.242504) + (xy 123.9057 90.252546) + (xy 123.88601 90.272235) + (xy 123.886008 90.272238) + (xy 123.886008 90.272239) + (xy 123.854182 90.33734) + (xy 123.832449 90.381797) + (xy 123.8221 90.452817) + (xy 123.8221 91.047182) + (xy 123.830626 91.105694) + (xy 123.832449 91.118203) + (xy 123.886008 91.227761) + (xy 123.925074 91.266827) + (xy 123.946814 91.313446) + (xy 123.9471 91.320001) + (xy 123.9471 91.983943) + (xy 123.961504 92.079507) + (xy 123.962332 92.085002) + (xy 123.962332 92.085004) + (xy 123.998579 92.160272) + (xy 124.003701 92.211457) + (xy 123.974723 92.253958) + (xy 123.930826 92.2681) + (xy 123.73316 92.2681) + (xy 123.733159 92.268101) + (xy 123.72032 92.270655) + (xy 123.70565 92.2721) + (xy 123.413848 92.2721) + (xy 123.379708 92.263904) + (xy 123.376055 92.262043) + (xy 123.376057 92.262043) + (xy 123.25 92.242078) + (xy 123.123943 92.262043) + (xy 123.010228 92.319984) + (xy 122.919984 92.410228) + (xy 122.862043 92.523943) + (xy 122.842078 92.649999) + (xy 122.842078 92.65) + (xy 122.862043 92.776056) + (xy 122.905614 92.861569) + (xy 122.919984 92.889771) + (xy 123.010229 92.980016) + (xy 123.123943 93.037956) + (xy 123.123945 93.037957) + (xy 123.25 93.057922) + (xy 123.376055 93.037957) + (xy 123.378339 93.036793) + (xy 123.379708 93.036096) + (xy 123.413848 93.0279) + (xy 123.502742 93.0279) + (xy 123.55108 93.045493) + (xy 123.5768 93.090042) + (xy 123.567867 93.1407) + (xy 123.565269 93.144879) + (xy 123.536003 93.188679) + (xy 123.494519 93.219096) + (xy 123.473476 93.2221) + (xy 122.323036 93.2221) + (xy 122.274698 93.204507) + (xy 122.269862 93.200075) + (xy 122.231256 93.161469) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.710228 93.119984) + (xy 121.619984 93.210228) + (xy 121.562043 93.323943) + (xy 121.542078 93.449999) + (xy 121.542078 93.45) + (xy 115.107922 93.45) + (xy 115.087957 93.323945) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.46023 93.119983) + (xy 114.380138 93.200075) + (xy 114.333518 93.221814) + (xy 114.326964 93.2221) + (xy 113.826524 93.2221) + (xy 113.778186 93.204507) + (xy 113.763998 93.188679) + (xy 113.733285 93.142715) + (xy 113.701621 93.121558) + (xy 113.687653 93.112224) + (xy 113.657237 93.070743) + (xy 113.6606 93.019413) + (xy 113.687654 92.987172) + (xy 113.732924 92.956924) + (xy 113.791913 92.868638) + (xy 113.791914 92.868636) + (xy 113.805567 92.8) + (xy 111.994434 92.8) + (xy 112.008086 92.868638) + (xy 112.067076 92.956923) + (xy 112.112345 92.987171) + (xy 112.142762 93.028654) + (xy 112.139398 93.079984) + (xy 112.112346 93.112224) + (xy 112.066714 93.142715) + (xy 112.007614 93.231166) + (xy 112.007613 93.231168) + (xy 111.9921 93.30916) + (xy 111.9921 93.590839) + (xy 111.992101 93.59084) + (xy 112.001167 93.636421) + (xy 112.007614 93.668834) + (xy 112.066715 93.757285) + (xy 112.111894 93.787473) + (xy 112.142311 93.828955) + (xy 112.138948 93.880285) + (xy 112.111896 93.912525) + (xy 112.066714 93.942715) + (xy 112.007614 94.031166) + (xy 112.007613 94.031168) + (xy 111.9921 94.10916) + (xy 111.9921 94.390839) + (xy 111.992101 94.39084) + (xy 111.996724 94.414081) + (xy 112.007614 94.468834) + (xy 112.066715 94.557285) + (xy 112.111894 94.587473) + (xy 112.142311 94.628955) + (xy 112.138948 94.680285) + (xy 112.111896 94.712525) + (xy 112.066714 94.742715) + (xy 112.007614 94.831166) + (xy 112.007613 94.831168) + (xy 111.9921 94.90916) + (xy 111.9921 95.190839) + (xy 111.992101 95.19084) + (xy 112.001099 95.236077) + (xy 112.007614 95.268834) + (xy 112.066715 95.357285) + (xy 112.111894 95.387473) + (xy 112.142311 95.428955) + (xy 112.138948 95.480285) + (xy 112.111896 95.512525) + (xy 112.066714 95.542715) + (xy 112.007614 95.631166) + (xy 112.007613 95.631168) + (xy 111.9921 95.70916) + (xy 111.9921 95.990839) + (xy 111.992101 95.99084) + (xy 112.000436 96.032743) + (xy 112.007614 96.068834) + (xy 112.066715 96.157285) + (xy 112.111894 96.187473) + (xy 112.142311 96.228955) + (xy 112.138948 96.280285) + (xy 112.111896 96.312525) + (xy 112.066714 96.342715) + (xy 112.007614 96.431166) + (xy 112.007613 96.431168) + (xy 111.9921 96.50916) + (xy 111.9921 96.790839) + (xy 111.992101 96.79084) + (xy 111.998404 96.82253) + (xy 112.007614 96.868834) + (xy 112.066715 96.957285) + (xy 112.111894 96.987473) + (xy 112.142311 97.028955) + (xy 112.138948 97.080285) + (xy 112.111896 97.112525) + (xy 112.066714 97.142715) + (xy 112.007614 97.231166) + (xy 112.007613 97.231168) + (xy 111.9921 97.30916) + (xy 111.9921 97.590839) + (xy 111.992101 97.59084) + (xy 112.002443 97.642835) + (xy 112.007614 97.668834) + (xy 112.066715 97.757285) + (xy 112.111894 97.787473) + (xy 112.142311 97.828955) + (xy 112.138948 97.880285) + (xy 112.111896 97.912525) + (xy 112.066714 97.942715) + (xy 112.007614 98.031166) + (xy 112.007613 98.031168) + (xy 111.9921 98.10916) + (xy 111.9921 98.390839) + (xy 111.992101 98.39084) + (xy 111.996154 98.411215) + (xy 112.007614 98.468834) + (xy 112.066715 98.557285) + (xy 112.111894 98.587473) + (xy 112.142311 98.628955) + (xy 112.138948 98.680285) + (xy 112.111896 98.712525) + (xy 112.066714 98.742715) + (xy 112.007614 98.831166) + (xy 112.007613 98.831168) + (xy 111.9921 98.90916) + (xy 111.9921 99.190839) + (xy 111.992101 99.19084) + (xy 111.995958 99.210229) + (xy 112.007614 99.268834) + (xy 112.066715 99.357285) + (xy 112.111894 99.387473) + (xy 112.142311 99.428955) + (xy 112.138948 99.480285) + (xy 112.111896 99.512525) + (xy 112.066714 99.542715) + (xy 112.007614 99.631166) + (xy 112.007613 99.631168) + (xy 111.9921 99.70916) + (xy 111.9921 99.990839) + (xy 111.992101 99.99084) + (xy 112.00007 100.030904) + (xy 112.007614 100.068834) + (xy 112.066715 100.157285) + (xy 112.111894 100.187473) + (xy 112.142311 100.228955) + (xy 112.138948 100.280285) + (xy 112.111896 100.312525) + (xy 112.066713 100.342715) + (xy 112.036002 100.388679) + (xy 111.994519 100.419096) + (xy 111.973476 100.4221) + (xy 110.975547 100.4221) + (xy 110.927209 100.404507) + (xy 110.922373 100.400074) + (xy 110.499926 99.977626) + (xy 110.478186 99.931006) + (xy 110.4779 99.924452) + (xy 110.4779 94.907936) + (xy 110.478003 94.903999) + (xy 110.480123 94.863555) + (xy 110.480122 94.863554) + (xy 110.480123 94.863552) + (xy 110.471306 94.840586) + (xy 110.46796 94.829288) + (xy 110.462848 94.805234) + (xy 110.45783 94.798327) + (xy 110.448463 94.781075) + (xy 110.446857 94.776892) + (xy 110.445403 94.773104) + (xy 110.428013 94.755714) + (xy 110.420354 94.746747) + (xy 110.418502 94.744198) + (xy 110.405901 94.726854) + (xy 110.4059 94.726853) + (xy 110.398506 94.722584) + (xy 110.382934 94.710634) + (xy 109.916758 94.244458) + (xy 109.914047 94.241602) + (xy 109.886949 94.211506) + (xy 109.886945 94.211503) + (xy 109.864486 94.201504) + (xy 109.854116 94.195874) + (xy 109.833496 94.182483) + (xy 109.825064 94.181148) + (xy 109.806243 94.175573) + (xy 109.798442 94.1721) + (xy 109.773848 94.1721) + (xy 109.762084 94.171174) + (xy 109.737808 94.167329) + (xy 109.737804 94.167329) + (xy 109.72956 94.169538) + (xy 109.710099 94.1721) + (xy 109.535038 94.1721) + (xy 109.4867 94.154507) + (xy 109.466884 94.128681) + (xy 109.422745 94.034026) + (xy 109.422739 94.034018) + (xy 109.340981 93.95226) + (xy 109.340973 93.952254) + (xy 109.23618 93.903388) + (xy 109.236174 93.903386) + (xy 109.200992 93.898755) + (xy 109.18842 93.8971) + (xy 108.51158 93.8971) + (xy 108.50047 93.898562) + (xy 108.463825 93.903386) + (xy 108.463819 93.903388) + (xy 108.359026 93.952254) + (xy 108.359018 93.95226) + (xy 108.27726 94.034018) + (xy 108.277254 94.034026) + (xy 108.228388 94.138819) + (xy 108.228386 94.138825) + (xy 108.224341 94.169555) + (xy 108.2221 94.18658) + (xy 108.2221 94.61342) + (xy 107.9779 94.61342) + (xy 107.9779 94.18658) + (xy 107.971613 94.138824) + (xy 107.971157 94.137846) + (xy 107.922745 94.034026) + (xy 107.922739 94.034018) + (xy 107.840981 93.95226) + (xy 107.840973 93.952254) + (xy 107.73618 93.903388) + (xy 107.736174 93.903386) + (xy 107.700992 93.898755) + (xy 107.68842 93.8971) + (xy 107.01158 93.8971) + (xy 107.00047 93.898562) + (xy 106.963825 93.903386) + (xy 106.963819 93.903388) + (xy 106.859026 93.952254) + (xy 106.859018 93.95226) + (xy 106.77726 94.034018) + (xy 106.777254 94.034026) + (xy 106.733116 94.128681) + (xy 106.696743 94.165055) + (xy 106.664962 94.1721) + (xy 106.457936 94.1721) + (xy 106.454 94.171997) + (xy 106.452192 94.171902) + (xy 106.413551 94.169876) + (xy 106.41355 94.169876) + (xy 106.390597 94.178688) + (xy 106.379286 94.182039) + (xy 106.355235 94.187151) + (xy 106.355229 94.187154) + (xy 106.348324 94.192171) + (xy 106.331085 94.201532) + (xy 106.323104 94.204596) + (xy 106.305712 94.221987) + (xy 106.296746 94.229645) + (xy 106.276854 94.244098) + (xy 106.276852 94.244101) + (xy 106.272583 94.251495) + (xy 106.260634 94.267065) + (xy 104.394456 96.133242) + (xy 104.391602 96.135952) + (xy 104.361504 96.163052) + (xy 104.351507 96.185509) + (xy 104.345877 96.195878) + (xy 104.332485 96.216501) + (xy 104.332483 96.216506) + (xy 104.331148 96.224935) + (xy 104.325574 96.243754) + (xy 104.3221 96.251557) + (xy 104.3221 96.276152) + (xy 104.321174 96.287916) + (xy 104.317329 96.312191) + (xy 104.317329 96.312194) + (xy 104.319538 96.320438) + (xy 104.3221 96.3399) + (xy 104.3221 99.342062) + (xy 104.321997 99.345998) + (xy 104.319876 99.386448) + (xy 104.328688 99.409402) + (xy 104.332039 99.420715) + (xy 104.337151 99.444763) + (xy 104.337152 99.444766) + (xy 104.342169 99.451671) + (xy 104.351536 99.468922) + (xy 104.354596 99.476895) + (xy 104.354597 99.476896) + (xy 104.371985 99.494284) + (xy 104.379644 99.503252) + (xy 104.394097 99.523144) + (xy 104.394099 99.523146) + (xy 104.401495 99.527416) + (xy 104.417066 99.539365) + (xy 104.950074 100.072373) + (xy 104.971814 100.118993) + (xy 104.9721 100.125547) + (xy 104.9721 100.274452) + (xy 104.954507 100.32279) + (xy 104.950074 100.327626) + (xy 104.627626 100.650074) + (xy 104.581006 100.671814) + (xy 104.574452 100.6721) + (xy 104.387785 100.6721) + (xy 104.339447 100.654507) + (xy 104.313727 100.609958) + (xy 104.320226 100.563873) + (xy 104.367065 100.468061) + (xy 104.367065 100.468059) + (xy 104.377399 100.397141) + (xy 104.3774 100.397131) + (xy 104.3774 100.3) + (xy 103.122601 100.3) + (xy 103.122601 100.397142) + (xy 103.132934 100.468059) + (xy 103.155331 100.513872) + (xy 103.160755 100.565025) + (xy 103.13203 100.607697) + (xy 103.087772 100.6221) + (xy 103.073848 100.6221) + (xy 103.062084 100.621174) + (xy 103.037808 100.617329) + (xy 103.037804 100.617329) + (xy 103.02956 100.619538) + (xy 103.010099 100.6221) + (xy 102.669225 100.6221) + (xy 102.620887 100.604507) + (xy 102.595167 100.559958) + (xy 102.595231 100.554015) + (xy 102.591936 100.55) + (xy 100.833063 100.55) + (xy 100.813104 100.574319) + (xy 100.768838 100.600522) + (xy 100.752841 100.600891) + (xy 100.752835 100.6016) + (xy 100.74791 100.601557) + (xy 100.747907 100.601557) + (xy 100.747905 100.601557) + (xy 100.697664 100.607725) + (xy 100.697661 100.607725) + (xy 100.697659 100.607726) + (xy 100.659026 100.619538) + (xy 100.645217 100.62376) + (xy 100.636513 100.628195) + (xy 100.636509 100.628197) + (xy 100.614136 100.635465) + (xy 100.561763 100.64376) + (xy 100.538237 100.64376) + (xy 100.512049 100.639612) + (xy 100.485863 100.635465) + (xy 100.463487 100.628195) + (xy 100.416235 100.604119) + (xy 100.397201 100.590289) + (xy 100.359709 100.552797) + (xy 100.345879 100.533763) + (xy 100.343699 100.529485) + (xy 100.321802 100.48651) + (xy 100.314534 100.464138) + (xy 100.306238 100.411755) + (xy 100.306238 100.38824) + (xy 100.314533 100.33586) + (xy 100.321802 100.313491) + (xy 100.326241 100.30478) + (xy 100.341716 100.256436) + (xy 100.348658 100.209992) + (xy 100.349499 100.198677) + (xy 100.349585 100.197526) + (xy 100.370713 100.150625) + (xy 100.417045 100.128278) + (xy 100.424578 100.1279) + (xy 100.768138 100.1279) + (xy 100.816476 100.145493) + (xy 100.842196 100.190042) + (xy 100.837615 100.231874) + (xy 100.835795 100.236268) + (xy 100.833064 100.25) + (xy 102.591935 100.25) + (xy 102.589205 100.236271) + (xy 102.559777 100.192229) + (xy 102.54755 100.142264) + (xy 102.559776 100.108672) + (xy 102.589677 100.063922) + (xy 102.6029 99.997447) + (xy 102.602899 99.848995) + (xy 102.620492 99.800659) + (xy 102.66504 99.774939) + (xy 102.712241 99.781994) + (xy 102.72394 99.787955) + (xy 102.723942 99.787956) + (xy 102.723943 99.787956) + (xy 102.723945 99.787957) + (xy 102.85 99.807922) + (xy 102.976055 99.787957) + (xy 102.976057 99.787956) + (xy 102.976058 99.787956) + (xy 103.022924 99.764076) + (xy 103.07398 99.757806) + (xy 103.117122 99.785822) + (xy 103.132162 99.835014) + (xy 103.131479 99.841921) + (xy 103.1226 99.902856) + (xy 103.1226 100) + (xy 103.6 100) + (xy 103.6 99.5726) + (xy 103.9 99.5726) + (xy 103.9 100) + (xy 104.377399 100) + (xy 104.377399 99.902869) + (xy 104.377398 99.902857) + (xy 104.367065 99.83194) + (xy 104.313578 99.72253) + (xy 104.227469 99.636421) + (xy 104.118058 99.582934) + (xy 104.118059 99.582934) + (xy 104.047141 99.5726) + (xy 103.9 99.5726) + (xy 103.6 99.5726) + (xy 103.45287 99.5726) + (xy 103.452857 99.572601) + (xy 103.381939 99.582934) + (xy 103.381938 99.582934) + (xy 103.346032 99.600488) + (xy 103.294879 99.605912) + (xy 103.252207 99.577186) + (xy 103.237983 99.527752) + (xy 103.238731 99.521165) + (xy 103.238791 99.52079) + (xy 103.257922 99.4) + (xy 103.254295 99.377103) + (xy 103.251157 99.357285) + (xy 103.237957 99.273945) + (xy 103.180016 99.160229) + (xy 103.089771 99.069984) + (xy 102.976055 99.012043) + (xy 102.976057 99.012043) + (xy 102.85 98.992078) + (xy 102.723942 99.012043) + (xy 102.723941 99.012043) + (xy 102.712235 99.018008) + (xy 102.661178 99.024274) + (xy 102.618039 98.996255) + (xy 102.602899 98.951004) + (xy 102.602899 98.848995) + (xy 102.620492 98.800658) + (xy 102.665041 98.774938) + (xy 102.712241 98.781994) + (xy 102.72394 98.787955) + (xy 102.723942 98.787956) + (xy 102.723943 98.787956) + (xy 102.723945 98.787957) + (xy 102.85 98.807922) + (xy 102.976055 98.787957) + (xy 103.089771 98.730016) + (xy 103.180016 98.639771) + (xy 103.237957 98.526055) + (xy 103.257922 98.4) + (xy 103.256014 98.387956) + (xy 103.252107 98.363287) + (xy 103.237957 98.273945) + (xy 103.180016 98.160229) + (xy 103.089771 98.069984) + (xy 102.976055 98.012043) + (xy 102.976057 98.012043) + (xy 102.85 97.992078) + (xy 102.723942 98.012043) + (xy 102.723941 98.012043) + (xy 102.712235 98.018008) + (xy 102.661178 98.024274) + (xy 102.618039 97.996255) + (xy 102.602899 97.951004) + (xy 102.602899 97.848995) + (xy 102.620492 97.800658) + (xy 102.665041 97.774938) + (xy 102.712241 97.781994) + (xy 102.72394 97.787955) + (xy 102.723942 97.787956) + (xy 102.723943 97.787956) + (xy 102.723945 97.787957) + (xy 102.85 97.807922) + (xy 102.976055 97.787957) + (xy 103.089771 97.730016) + (xy 103.180016 97.639771) + (xy 103.237957 97.526055) + (xy 103.257922 97.4) + (xy 103.256851 97.393241) + (xy 103.246554 97.328226) + (xy 103.237957 97.273945) + (xy 103.180016 97.160229) + (xy 103.089771 97.069984) + (xy 102.976055 97.012043) + (xy 102.976057 97.012043) + (xy 102.85 96.992078) + (xy 102.723942 97.012043) + (xy 102.723941 97.012043) + (xy 102.712235 97.018008) + (xy 102.661178 97.024274) + (xy 102.618039 96.996255) + (xy 102.602899 96.951004) + (xy 102.602899 96.848995) + (xy 102.620492 96.800658) + (xy 102.665041 96.774938) + (xy 102.712241 96.781994) + (xy 102.72394 96.787955) + (xy 102.723942 96.787956) + (xy 102.723943 96.787956) + (xy 102.723945 96.787957) + (xy 102.85 96.807922) + (xy 102.976055 96.787957) + (xy 103.089771 96.730016) + (xy 103.180016 96.639771) + (xy 103.237957 96.526055) + (xy 103.257922 96.4) + (xy 103.257683 96.398494) + (xy 103.251624 96.360238) + (xy 103.237957 96.273945) + (xy 103.180016 96.160229) + (xy 103.089771 96.069984) + (xy 102.976055 96.012043) + (xy 102.976057 96.012043) + (xy 102.85 95.992078) + (xy 102.723942 96.012043) + (xy 102.723941 96.012043) + (xy 102.712235 96.018008) + (xy 102.661178 96.024274) + (xy 102.618039 95.996255) + (xy 102.602899 95.951004) + (xy 102.602899 95.848995) + (xy 102.620492 95.800658) + (xy 102.665041 95.774938) + (xy 102.712241 95.781994) + (xy 102.72394 95.787955) + (xy 102.723942 95.787956) + (xy 102.723943 95.787956) + (xy 102.723945 95.787957) + (xy 102.85 95.807922) + (xy 102.976055 95.787957) + (xy 103.089771 95.730016) + (xy 103.180016 95.639771) + (xy 103.237957 95.526055) + (xy 103.257922 95.4) + (xy 103.257181 95.395324) + (xy 103.251157 95.357285) + (xy 103.237957 95.273945) + (xy 103.180016 95.160229) + (xy 103.089771 95.069984) + (xy 102.976055 95.012043) + (xy 102.976057 95.012043) + (xy 102.85 94.992078) + (xy 102.723943 95.012043) + (xy 102.610228 95.069984) + (xy 102.571082 95.109129) + (xy 102.524461 95.130867) + (xy 102.476131 95.11848) + (xy 102.463925 95.110324) + (xy 102.46392 95.110322) + (xy 102.397448 95.0971) + (xy 101.027552 95.0971) + (xy 101.027551 95.097101) + (xy 100.961078 95.110323) + (xy 100.885692 95.160693) + (xy 100.835323 95.236077) + (xy 100.835322 95.23608) + (xy 100.822098 95.302557) + (xy 100.822062 95.302931) + (xy 100.821997 95.303064) + (xy 100.821379 95.306176) + (xy 100.820581 95.306017) + (xy 100.79981 95.349309) + (xy 100.752952 95.370533) + (xy 100.703415 95.356672) + (xy 100.67907 95.32733) + (xy 100.671996 95.31216) + (xy 100.671996 95.312159) + (xy 100.640542 95.267238) + (xy 100.640541 95.267237) + (xy 100.640539 95.267234) + (xy 100.266459 94.893154) + (xy 100.236132 94.86946) + (xy 100.226299 94.863552) + (xy 100.205439 94.851018) + (xy 100.195798 94.845674) + (xy 100.171896 94.840594) + (xy 100.115845 94.828681) + (xy 100.114368 94.828759) + (xy 100.113513 94.828497) + (xy 100.110937 94.828295) + (xy 100.110981 94.827723) + (xy 100.065177 94.813717) + (xy 100.038914 94.776892) + (xy 100.037955 94.77394) + (xy 100.019255 94.73724) + (xy 100.012985 94.686184) + (xy 100.041001 94.643042) + (xy 100.086257 94.627899) + (xy 100.147446 94.627899) + (xy 100.147448 94.627899) + (xy 100.147448 94.627898) + (xy 100.213922 94.614677) + (xy 100.289307 94.564307) + (xy 100.339677 94.488922) + (xy 100.3529 94.422447) + (xy 100.352899 93.052554) + (xy 100.339677 92.986078) + (xy 100.339676 92.986076) + (xy 100.331519 92.973868) + (xy 100.319292 92.923903) + (xy 100.34087 92.878915) + (xy 100.380016 92.839771) + (xy 100.437957 92.726055) + (xy 100.457922 92.6) + (xy 100.456338 92.590002) + (xy 100.448126 92.538152) + (xy 100.442083 92.499999) + (xy 111.994432 92.499999) + (xy 111.994433 92.5) + (xy 112.75 92.5) + (xy 112.75 92.2686) + (xy 113.05 92.2686) + (xy 113.05 92.5) + (xy 113.805566 92.5) + (xy 113.791913 92.431361) + (xy 113.732923 92.343076) + (xy 113.644638 92.284086) + (xy 113.644636 92.284085) + (xy 113.566789 92.2686) + (xy 113.05 92.2686) + (xy 112.75 92.2686) + (xy 112.233212 92.2686) + (xy 112.233211 92.268601) + (xy 112.155361 92.284086) + (xy 112.067075 92.343076) + (xy 112.008086 92.431361) + (xy 112.008085 92.431363) + (xy 111.994432 92.499999) + (xy 100.442083 92.499999) + (xy 100.437957 92.473945) + (xy 100.380016 92.360229) + (xy 100.289771 92.269984) + (xy 100.176055 92.212043) + (xy 100.176057 92.212043) + (xy 100.05 92.192078) + (xy 99.923943 92.212043) + (xy 99.810228 92.269984) + (xy 99.719984 92.360228) + (xy 99.662043 92.473943) + (xy 99.642078 92.599999) + (xy 99.642078 92.6) + (xy 99.662043 92.726057) + (xy 99.668007 92.737762) + (xy 99.674274 92.788819) + (xy 99.646256 92.831959) + (xy 99.601002 92.8471) + (xy 99.452552 92.8471) + (xy 99.452551 92.847101) + (xy 99.386076 92.860323) + (xy 99.386074 92.860324) + (xy 99.341776 92.889922) + (xy 99.291811 92.902148) + (xy 99.258221 92.889922) + (xy 99.213922 92.860323) + (xy 99.213919 92.860322) + (xy 99.147448 92.8471) + (xy 98.952552 92.8471) + (xy 98.952551 92.847101) + (xy 98.886076 92.860323) + (xy 98.841327 92.890223) + (xy 98.791361 92.902449) + (xy 98.75777 92.890223) + (xy 98.713725 92.860793) + (xy 98.7 92.858062) + (xy 98.7 94.616935) + (xy 98.713728 94.614205) + (xy 98.718345 94.612293) + (xy 98.769736 94.610046) + (xy 98.810547 94.641359) + (xy 98.817331 94.654817) + (xy 98.828688 94.684402) + (xy 98.832039 94.695715) + (xy 98.836699 94.717635) + (xy 98.837152 94.719766) + (xy 98.839836 94.723461) + (xy 98.842169 94.726671) + (xy 98.851536 94.743922) + (xy 98.854596 94.751895) + (xy 98.854597 94.751896) + (xy 98.871985 94.769284) + (xy 98.879644 94.778252) + (xy 98.894096 94.798143) + (xy 98.897217 94.800953) + (xy 98.921368 94.846372) + (xy 98.9221 94.856839) + (xy 98.9221 95.026345) + (xy 98.904507 95.074683) + (xy 98.859958 95.100403) + (xy 98.85176 95.101084) + (xy 98.847906 95.101557) + (xy 98.847905 95.101557) + (xy 98.797664 95.107725) + (xy 98.797661 95.107725) + (xy 98.797659 95.107726) + (xy 98.756331 95.120362) + (xy 98.745217 95.12376) + (xy 98.736513 95.128195) + (xy 98.736509 95.128197) + (xy 98.714136 95.135465) + (xy 98.661763 95.14376) + (xy 98.638237 95.14376) + (xy 98.612049 95.139612) + (xy 98.585863 95.135465) + (xy 98.563487 95.128195) + (xy 98.516235 95.104119) + (xy 98.497203 95.090291) + (xy 98.463978 95.057066) + (xy 98.453821 95.047759) + (xy 98.446444 95.041569) + (xy 98.443939 95.039467) + (xy 98.443936 95.039465) + (xy 98.392086 95.012474) + (xy 98.357333 94.974549) + (xy 98.352535 94.934008) + (xy 98.357922 94.9) + (xy 98.353826 94.874138) + (xy 98.3529 94.862374) + (xy 98.3529 94.843862) + (xy 98.354897 94.826645) + (xy 98.355966 94.8221) + (xy 98.357817 94.814231) + (xy 98.357745 94.813717) + (xy 98.353621 94.784148) + (xy 98.3529 94.773759) + (xy 98.3529 94.691157) + (xy 98.370493 94.642819) + (xy 98.380393 94.633027) + (xy 98.4 94.616935) + (xy 98.4 92.858062) + (xy 98.38244 92.843652) + (xy 98.356235 92.799387) + (xy 98.355026 92.788993) + (xy 98.354976 92.787917) + (xy 98.35298 92.744726) + (xy 98.3529 92.741254) + (xy 98.3529 92.721931) + (xy 98.3529 92.72193) + (xy 98.352566 92.720144) + (xy 98.351364 92.709795) + (xy 98.349962 92.679449) + (xy 98.344811 92.667783) + (xy 98.339684 92.651227) + (xy 98.337339 92.638684) + (xy 98.332248 92.630462) + (xy 98.321338 92.612842) + (xy 98.316493 92.60365) + (xy 98.309305 92.587371) + (xy 98.3029 92.557001) + (xy 98.3029 92.320002) + (xy 98.320493 92.271664) + (xy 98.324926 92.266828) + (xy 98.416828 92.174926) + (xy 98.463448 92.153186) + (xy 98.470002 92.1529) + (xy 98.815116 92.1529) + (xy 98.815117 92.1529) + (xy 98.843087 92.144686) + (xy 98.853051 92.142483) + (xy 98.885003 92.137668) + (xy 98.90761 92.12678) + (xy 98.919033 92.122386) + (xy 98.940076 92.116208) + (xy 98.967633 92.098498) + (xy 98.975643 92.094017) + (xy 99.00801 92.078431) + (xy 99.023743 92.063831) + (xy 99.034238 92.055694) + (xy 99.049633 92.045801) + (xy 99.049633 92.0458) + (xy 99.049636 92.045799) + (xy 99.073455 92.018308) + (xy 99.079122 92.012446) + (xy 99.108091 91.985568) + (xy 99.117011 91.970115) + (xy 99.125297 91.958478) + (xy 99.134921 91.947374) + (xy 99.151522 91.91102) + (xy 99.154789 91.904683) + (xy 99.176355 91.867332) + (xy 99.179517 91.853475) + (xy 99.184425 91.838975) + (xy 99.189023 91.828909) + (xy 99.195223 91.785782) + (xy 99.19634 91.779768) + (xy 99.205152 91.741162) + (xy 99.206735 91.734229) + (xy 99.206735 91.734227) + (xy 99.205944 91.72368) + (xy 99.206499 91.707351) + (xy 99.207557 91.7) + (xy 99.200845 91.653326) + (xy 99.200292 91.648259) + (xy 99.199893 91.642935) + (xy 99.196533 91.598082) + (xy 99.193992 91.59161) + (xy 99.189561 91.574837) + (xy 99.189419 91.573847) + (xy 99.189023 91.571091) + (xy 99.167929 91.524902) + (xy 99.166359 91.5212) + (xy 99.146653 91.470992) + (xy 99.144594 91.46841) + (xy 99.134981 91.452757) + (xy 99.134921 91.452626) + (xy 99.111215 91.425268) + (xy 99.099336 91.411558) + (xy 99.097376 91.4092) + (xy 99.069021 91.373645) + (xy 99.06153 91.364251) + (xy 99.061528 91.36425) + (xy 99.061527 91.364248) + (xy 99.059951 91.362785) + (xy 99.053947 91.357437) + (xy 99.0537 91.357723) + (xy 99.049638 91.354203) + (xy 99.049636 91.354201) + (xy 99.047051 91.35254) + (xy 99.014999 91.331941) + (xy 99.000897 91.322878) + (xy 98.999243 91.321783) + (xy 98.948726 91.287342) + (xy 98.948724 91.287341) + (xy 98.948722 91.28734) + (xy 98.947335 91.286671) + (xy 98.9416 91.284488) + (xy 98.940078 91.283793) + (xy 98.940077 91.283792) + (xy 98.940076 91.283792) + (xy 98.898769 91.271663) + (xy 98.881064 91.266464) + (xy 98.880086 91.266169) + (xy 98.818265 91.2471) + (xy 98.818264 91.2471) + (xy 98.470002 91.2471) + (xy 98.421664 91.229507) + (xy 98.416828 91.225074) + (xy 98.374926 91.183172) + (xy 98.353186 91.136552) + (xy 98.3529 91.129998) + (xy 98.3529 90.979654) + (xy 98.353373 90.971234) + (xy 98.355239 90.954673) + (xy 98.357695 90.932875) + (xy 98.351475 90.9) + (xy 112.322601 90.9) + (xy 112.322601 91.047142) + (xy 112.332934 91.118059) + (xy 112.386421 91.227469) + (xy 112.47253 91.313578) + (xy 112.581941 91.367065) + (xy 112.58194 91.367065) + (xy 112.652863 91.377399) + (xy 112.75 91.377399) + (xy 112.75 90.9) + (xy 113.05 90.9) + (xy 113.05 91.377399) + (xy 113.14713 91.377399) + (xy 113.147142 91.377398) + (xy 113.218059 91.367065) + (xy 113.327469 91.313578) + (xy 113.413578 91.227469) + (xy 113.467065 91.118058) + (xy 113.477399 91.047141) + (xy 113.4774 91.047131) + (xy 113.4774 90.9) + (xy 113.05 90.9) + (xy 112.75 90.9) + (xy 112.322601 90.9) + (xy 98.351475 90.9) + (xy 98.346841 90.875511) + (xy 98.34637 90.872737) + (xy 98.340801 90.835788) + (xy 98.337668 90.814997) + (xy 98.337666 90.814993) + (xy 98.336006 90.809609) + (xy 98.336063 90.809591) + (xy 98.334232 90.804024) + (xy 98.334174 90.804045) + (xy 98.332313 90.798725) + (xy 98.319076 90.77368) + (xy 98.305023 90.747092) + (xy 98.303765 90.744598) + (xy 98.278434 90.691995) + (xy 98.275257 90.687336) + (xy 98.275305 90.687302) + (xy 98.271913 90.68252) + (xy 98.271866 90.682555) + (xy 98.268522 90.678024) + (xy 98.261588 90.67109) + (xy 98.227222 90.636724) + (xy 98.225298 90.634726) + (xy 98.193077 90.6) + (xy 112.3226 90.6) + (xy 112.75 90.6) + (xy 112.75 90.1226) + (xy 113.05 90.1226) + (xy 113.05 90.6) + (xy 113.477399 90.6) + (xy 113.477399 90.452869) + (xy 113.477398 90.452857) + (xy 113.467065 90.38194) + (xy 113.413578 90.27253) + (xy 113.327469 90.186421) + (xy 113.218058 90.132934) + (xy 113.218059 90.132934) + (xy 113.147141 90.1226) + (xy 113.05 90.1226) + (xy 112.75 90.1226) + (xy 112.65287 90.1226) + (xy 112.652856 90.122601) + (xy 112.58194 90.132934) + (xy 112.47253 90.186421) + (xy 112.386421 90.27253) + (xy 112.332934 90.381941) + (xy 112.3226 90.452858) + (xy 112.3226 90.6) + (xy 98.193077 90.6) + (xy 98.18557 90.59191) + (xy 98.181163 90.588396) + (xy 98.181199 90.588349) + (xy 98.171083 90.580586) + (xy 98.08359 90.493093) + (xy 98.079931 90.489164) + (xy 98.049636 90.454201) + (xy 98.009211 90.428222) + (xy 98.00523 90.425478) + (xy 97.964016 90.39506) + (xy 97.958311 90.393063) + (xy 97.942498 90.385348) + (xy 97.940081 90.383794) + (xy 97.940074 90.383791) + (xy 97.890536 90.369244) + (xy 97.886889 90.368071) + (xy 97.835154 90.349969) + (xy 97.835153 90.349968) + (xy 97.835151 90.349968) + (xy 97.83515 90.349967) + (xy 97.835147 90.349967) + (xy 97.832753 90.349878) + (xy 97.820046 90.347808) + (xy 97.815117 90.3471) + (xy 97.759882 90.3471) + (xy 97.757072 90.347047) + (xy 97.754516 90.346951) + (xy 97.698719 90.344863) + (xy 97.693118 90.345495) + (xy 97.693111 90.345436) + (xy 97.690135 90.345828) + (xy 97.690208 90.346334) + (xy 97.684889 90.347098) + (xy 97.62846 90.363666) + (xy 97.626741 90.364148) + (xy 97.566847 90.380198) + (xy 97.565374 90.38084) + (xy 97.560034 90.38372) + (xy 97.507458 90.417508) + (xy 97.506813 90.417918) + (xy 97.45124 90.452838) + (xy 97.407125 90.504099) + (xy 97.40696 90.504291) + (xy 97.363 90.555025) + (xy 97.362187 90.556319) + (xy 97.336675 90.61479) + (xy 97.336155 90.615954) + (xy 97.310976 90.67109) + (xy 97.309968 90.674525) + (xy 97.307988 90.679974) + (xy 97.307591 90.681457) + (xy 97.300854 90.741242) + (xy 97.300562 90.743521) + (xy 97.292443 90.799999) + (xy 97.292443 90.805376) + (xy 97.292199 90.805376) + (xy 97.292369 90.816546) + (xy 97.292304 90.817121) + (xy 97.292304 90.817125) + (xy 97.302825 90.872735) + (xy 97.30337 90.876011) + (xy 97.310975 90.928905) + (xy 97.310977 90.92891) + (xy 97.311417 90.929873) + (xy 97.316903 90.947133) + (xy 97.317686 90.951273) + (xy 97.317688 90.951277) + (xy 97.342458 90.998147) + (xy 97.344376 91.002043) + (xy 97.347298 91.00844) + (xy 97.365079 91.047374) + (xy 97.36508 91.047375) + (xy 97.368157 91.050927) + (xy 97.377808 91.06503) + (xy 97.379549 91.068324) + (xy 97.381482 91.071981) + (xy 97.405699 91.096197) + (xy 97.42744 91.142817) + (xy 97.414127 91.192504) + (xy 97.4057 91.202546) + (xy 97.38601 91.222235) + (xy 97.386008 91.222238) + (xy 97.386008 91.222239) + (xy 97.354182 91.28734) + (xy 97.332449 91.331797) + (xy 97.3221 91.402817) + (xy 97.3221 91.997182) + (xy 97.329872 92.050519) + (xy 97.332449 92.068203) + (xy 97.386008 92.177761) + (xy 97.386009 92.177762) + (xy 97.38601 92.177764) + (xy 97.475074 92.266828) + (xy 97.496814 92.313448) + (xy 97.4971 92.320002) + (xy 97.4971 92.562374) + (xy 97.496174 92.574138) + (xy 97.492078 92.599999) + (xy 97.492078 92.6) + (xy 97.512043 92.726057) + (xy 97.518006 92.737759) + (xy 97.524276 92.788815) + (xy 97.49626 92.831957) + (xy 97.456097 92.845405) + (xy 97.456229 92.846739) + (xy 97.452551 92.847101) + (xy 97.386076 92.860323) + (xy 97.386074 92.860324) + (xy 97.341776 92.889922) + (xy 97.291811 92.902148) + (xy 97.258221 92.889922) + (xy 97.213922 92.860323) + (xy 97.213919 92.860322) + (xy 97.147448 92.8471) + (xy 96.952552 92.8471) + (xy 96.952551 92.847101) + (xy 96.886076 92.860323) + (xy 96.886074 92.860324) + (xy 96.841776 92.889922) + (xy 96.791811 92.902148) + (xy 96.758221 92.889922) + (xy 96.713922 92.860323) + (xy 96.713919 92.860322) + (xy 96.647448 92.8471) + (xy 96.452552 92.8471) + (xy 96.452551 92.847101) + (xy 96.386076 92.860323) + (xy 96.386074 92.860324) + (xy 96.341776 92.889922) + (xy 96.291811 92.902148) + (xy 96.258221 92.889922) + (xy 96.213922 92.860323) + (xy 96.213919 92.860322) + (xy 96.147448 92.8471) + (xy 95.952552 92.8471) + (xy 95.952551 92.847101) + (xy 95.886076 92.860323) + (xy 95.886074 92.860324) + (xy 95.841776 92.889922) + (xy 95.791811 92.902148) + (xy 95.758221 92.889922) + (xy 95.713922 92.860323) + (xy 95.713919 92.860322) + (xy 95.647448 92.8471) + (xy 95.452552 92.8471) + (xy 95.452551 92.847101) + (xy 95.386077 92.860323) + (xy 95.381461 92.862235) + (xy 95.330069 92.864473) + (xy 95.289263 92.833154) + (xy 95.282485 92.819705) + (xy 95.271311 92.790595) + (xy 95.267959 92.77928) + (xy 95.262848 92.755235) + (xy 95.262848 92.755234) + (xy 95.25783 92.748328) + (xy 95.248462 92.731071) + (xy 95.246537 92.726056) + (xy 95.245404 92.723104) + (xy 95.228007 92.705707) + (xy 95.220354 92.696747) + (xy 95.205901 92.676854) + (xy 95.2059 92.676853) + (xy 95.205899 92.676852) + (xy 95.198506 92.672584) + (xy 95.182934 92.660634) + (xy 94.3723 91.85) + (xy 95.822601 91.85) + (xy 95.822601 91.997142) + (xy 95.832934 92.068059) + (xy 95.886421 92.177469) + (xy 95.97253 92.263578) + (xy 96.081941 92.317065) + (xy 96.08194 92.317065) + (xy 96.152863 92.327399) + (xy 96.25 92.327399) + (xy 96.25 91.85) + (xy 96.55 91.85) + (xy 96.55 92.327399) + (xy 96.64713 92.327399) + (xy 96.647142 92.327398) + (xy 96.718059 92.317065) + (xy 96.827469 92.263578) + (xy 96.913578 92.177469) + (xy 96.967065 92.068058) + (xy 96.977399 91.997141) + (xy 96.9774 91.997131) + (xy 96.9774 91.85) + (xy 96.55 91.85) + (xy 96.25 91.85) + (xy 95.822601 91.85) + (xy 94.3723 91.85) + (xy 94.299926 91.777626) + (xy 94.278186 91.731006) + (xy 94.2779 91.724452) + (xy 94.2779 91.55) + (xy 95.8226 91.55) + (xy 96.25 91.55) + (xy 96.25 91.0726) + (xy 96.55 91.0726) + (xy 96.55 91.55) + (xy 96.977399 91.55) + (xy 96.977399 91.402869) + (xy 96.977398 91.402857) + (xy 96.967065 91.33194) + (xy 96.913578 91.22253) + (xy 96.827469 91.136421) + (xy 96.718058 91.082934) + (xy 96.718059 91.082934) + (xy 96.647141 91.0726) + (xy 96.55 91.0726) + (xy 96.25 91.0726) + (xy 96.15287 91.0726) + (xy 96.152856 91.072601) + (xy 96.08194 91.082934) + (xy 95.97253 91.136421) + (xy 95.886421 91.22253) + (xy 95.832934 91.331941) + (xy 95.8226 91.402858) + (xy 95.8226 91.55) + (xy 94.2779 91.55) + (xy 94.2779 90.457928) + (xy 94.278003 90.453991) + (xy 94.278063 90.452838) + (xy 94.280123 90.413552) + (xy 94.277885 90.407723) + (xy 94.27131 90.390595) + (xy 94.26796 90.379288) + (xy 94.262848 90.355234) + (xy 94.25783 90.348327) + (xy 94.248463 90.331075) + (xy 94.245403 90.323104) + (xy 94.245402 90.323102) + (xy 94.228018 90.305718) + (xy 94.220355 90.296747) + (xy 94.205901 90.276854) + (xy 94.202818 90.275074) + (xy 94.198503 90.272582) + (xy 94.182933 90.260634) + (xy 93.777675 89.855376) + (xy 113.792199 89.855376) + (xy 113.792369 89.866546) + (xy 113.792304 89.867121) + (xy 113.792304 89.867125) + (xy 113.802825 89.922735) + (xy 113.80337 89.926011) + (xy 113.810975 89.978905) + (xy 113.810977 89.97891) + (xy 113.811417 89.979873) + (xy 113.816903 89.997133) + (xy 113.817686 90.001273) + (xy 113.817688 90.001277) + (xy 113.842458 90.048147) + (xy 113.844376 90.052043) + (xy 113.865079 90.097374) + (xy 113.86508 90.097375) + (xy 113.868157 90.100927) + (xy 113.877809 90.115031) + (xy 113.881482 90.121981) + (xy 113.905699 90.146197) + (xy 113.92744 90.192817) + (xy 113.914127 90.242504) + (xy 113.9057 90.252546) + (xy 113.88601 90.272235) + (xy 113.886008 90.272238) + (xy 113.886008 90.272239) + (xy 113.854182 90.33734) + (xy 113.832449 90.381797) + (xy 113.8221 90.452817) + (xy 113.8221 91.047182) + (xy 113.830626 91.105694) + (xy 113.832449 91.118203) + (xy 113.886008 91.227761) + (xy 113.905699 91.247452) + (xy 113.92744 91.294071) + (xy 113.914127 91.343759) + (xy 113.907552 91.351596) + (xy 113.907583 91.351623) + (xy 113.90575 91.353751) + (xy 113.897859 91.364443) + (xy 113.894192 91.369025) + (xy 113.865078 91.402627) + (xy 113.865077 91.402628) + (xy 113.860859 91.411863) + (xy 113.852967 91.425268) + (xy 113.84506 91.435982) + (xy 113.83052 91.477532) + (xy 113.827947 91.483929) + (xy 113.810976 91.521093) + (xy 113.809015 91.534732) + (xy 113.805562 91.548862) + (xy 113.799967 91.564852) + (xy 113.798456 91.605233) + (xy 113.797744 91.61312) + (xy 113.792443 91.649998) + (xy 113.792443 91.650004) + (xy 113.794919 91.667233) + (xy 113.795632 91.680738) + (xy 113.794864 91.701282) + (xy 113.794864 91.701285) + (xy 113.80439 91.73684) + (xy 113.806186 91.745596) + (xy 113.810976 91.778909) + (xy 113.810977 91.778911) + (xy 113.819711 91.798036) + (xy 113.823942 91.809804) + (xy 113.828476 91.826724) + (xy 113.8302 91.833158) + (xy 113.847866 91.861272) + (xy 113.852597 91.870043) + (xy 113.865077 91.897371) + (xy 113.86508 91.897376) + (xy 113.881212 91.915993) + (xy 113.888048 91.925223) + (xy 113.902837 91.948758) + (xy 113.925268 91.968061) + (xy 113.933046 91.975813) + (xy 113.940091 91.983943) + (xy 113.950364 91.995799) + (xy 113.964935 92.005163) + (xy 113.974124 92.011068) + (xy 113.982514 92.017326) + (xy 114.00632 92.037813) + (xy 114.030136 92.048203) + (xy 114.040717 92.053865) + (xy 114.056278 92.063865) + (xy 114.059924 92.066208) + (xy 114.075463 92.070771) + (xy 114.090487 92.075183) + (xy 114.099371 92.07841) + (xy 114.131457 92.092409) + (xy 114.153676 92.094912) + (xy 114.166445 92.097486) + (xy 114.169919 92.098506) + (xy 114.184883 92.1029) + (xy 114.220346 92.1029) + (xy 114.228766 92.103373) + (xy 114.267125 92.107695) + (xy 114.285539 92.10421) + (xy 114.299519 92.1029) + (xy 114.315115 92.1029) + (xy 114.315117 92.1029) + (xy 114.352597 92.091894) + (xy 114.35979 92.090162) + (xy 114.401273 92.082314) + (xy 114.414643 92.075247) + (xy 114.428598 92.069577) + (xy 114.433775 92.068058) + (xy 114.440074 92.066209) + (xy 114.440076 92.066208) + (xy 114.475959 92.043146) + (xy 114.481463 92.039931) + (xy 114.521979 92.018519) + (xy 114.530103 92.010394) + (xy 114.542621 92.000306) + (xy 114.549636 91.995799) + (xy 114.579942 91.960822) + (xy 114.583573 91.956923) + (xy 114.699282 91.841214) + (xy 114.70555 91.835612) + (xy 114.735749 91.81153) + (xy 114.76865 91.763271) + (xy 114.770248 91.761018) + (xy 114.80494 91.714016) + (xy 114.804941 91.71401) + (xy 114.807573 91.709033) + (xy 114.807627 91.709061) + (xy 114.810267 91.703831) + (xy 114.810212 91.703805) + (xy 114.812657 91.698728) + (xy 114.812658 91.698726) + (xy 114.829872 91.642917) + (xy 114.830726 91.640322) + (xy 114.850032 91.585151) + (xy 114.850032 91.585143) + (xy 114.85108 91.57961) + (xy 114.851138 91.579621) + (xy 114.852119 91.573847) + (xy 114.85206 91.573838) + (xy 114.8529 91.568265) + (xy 114.8529 91.509882) + (xy 114.852953 91.50707) + (xy 114.855136 91.44872) + (xy 114.854505 91.443119) + (xy 114.854563 91.443112) + (xy 114.8529 91.430473) + (xy 114.8529 91.320001) + (xy 114.870493 91.271663) + (xy 114.874915 91.266837) + (xy 114.913992 91.227761) + (xy 114.913992 91.22776) + (xy 114.916828 91.224925) + (xy 114.963449 91.203186) + (xy 114.970002 91.2029) + (xy 115.315116 91.2029) + (xy 115.315117 91.2029) + (xy 115.343087 91.194686) + (xy 115.353051 91.192483) + (xy 115.385003 91.187668) + (xy 115.40761 91.17678) + (xy 115.419033 91.172386) + (xy 115.440076 91.166208) + (xy 115.467633 91.148498) + (xy 115.475643 91.144017) + (xy 115.50801 91.128431) + (xy 115.523743 91.113831) + (xy 115.534238 91.105694) + (xy 115.549633 91.095801) + (xy 115.549633 91.0958) + (xy 115.549636 91.095799) + (xy 115.573455 91.068308) + (xy 115.579122 91.062446) + (xy 115.608091 91.035568) + (xy 115.617011 91.020115) + (xy 115.625297 91.008478) + (xy 115.634921 90.997374) + (xy 115.651522 90.96102) + (xy 115.654789 90.954683) + (xy 115.676355 90.917332) + (xy 115.679517 90.903475) + (xy 115.680693 90.9) + (xy 122.322601 90.9) + (xy 122.322601 91.047142) + (xy 122.332934 91.118059) + (xy 122.386421 91.227469) + (xy 122.47253 91.313578) + (xy 122.581941 91.367065) + (xy 122.58194 91.367065) + (xy 122.652863 91.377399) + (xy 122.75 91.377399) + (xy 122.75 90.9) + (xy 123.05 90.9) + (xy 123.05 91.377399) + (xy 123.14713 91.377399) + (xy 123.147142 91.377398) + (xy 123.218059 91.367065) + (xy 123.327469 91.313578) + (xy 123.413578 91.227469) + (xy 123.467065 91.118058) + (xy 123.477399 91.047141) + (xy 123.4774 91.047131) + (xy 123.4774 90.9) + (xy 123.05 90.9) + (xy 122.75 90.9) + (xy 122.322601 90.9) + (xy 115.680693 90.9) + (xy 115.684425 90.888975) + (xy 115.689023 90.878909) + (xy 115.695223 90.835782) + (xy 115.69634 90.829768) + (xy 115.706735 90.784228) + (xy 115.705944 90.773677) + (xy 115.706499 90.757351) + (xy 115.707557 90.75) + (xy 115.700845 90.703326) + (xy 115.700292 90.698259) + (xy 115.700155 90.696432) + (xy 115.696533 90.648082) + (xy 115.693992 90.64161) + (xy 115.689561 90.624837) + (xy 115.689207 90.622374) + (xy 115.689023 90.621091) + (xy 115.679391 90.6) + (xy 122.3226 90.6) + (xy 122.75 90.6) + (xy 122.75 90.1226) + (xy 123.05 90.1226) + (xy 123.05 90.6) + (xy 123.477399 90.6) + (xy 123.477399 90.452869) + (xy 123.477398 90.452857) + (xy 123.467065 90.38194) + (xy 123.413578 90.27253) + (xy 123.327469 90.186421) + (xy 123.218058 90.132934) + (xy 123.218059 90.132934) + (xy 123.147141 90.1226) + (xy 123.05 90.1226) + (xy 122.75 90.1226) + (xy 122.65287 90.1226) + (xy 122.652856 90.122601) + (xy 122.58194 90.132934) + (xy 122.47253 90.186421) + (xy 122.386421 90.27253) + (xy 122.332934 90.381941) + (xy 122.3226 90.452858) + (xy 122.3226 90.6) + (xy 115.679391 90.6) + (xy 115.667929 90.574902) + (xy 115.666359 90.5712) + (xy 115.646653 90.520992) + (xy 115.644594 90.51841) + (xy 115.634981 90.502757) + (xy 115.634921 90.502626) + (xy 115.613744 90.478186) + (xy 115.599336 90.461558) + (xy 115.597376 90.4592) + (xy 115.584021 90.442454) + (xy 115.56153 90.414251) + (xy 115.561528 90.41425) + (xy 115.561527 90.414248) + (xy 115.559951 90.412785) + (xy 115.553947 90.407437) + (xy 115.5537 90.407723) + (xy 115.549638 90.404203) + (xy 115.549636 90.404201) + (xy 115.500897 90.372878) + (xy 115.499243 90.371783) + (xy 115.448726 90.337342) + (xy 115.448724 90.337341) + (xy 115.448722 90.33734) + (xy 115.447335 90.336671) + (xy 115.4416 90.334488) + (xy 115.440078 90.333793) + (xy 115.440077 90.333792) + (xy 115.440076 90.333792) + (xy 115.405893 90.323754) + (xy 115.381064 90.316464) + (xy 115.380086 90.316169) + (xy 115.318265 90.2971) + (xy 115.318264 90.2971) + (xy 114.970002 90.2971) + (xy 114.921664 90.279507) + (xy 114.916828 90.275074) + (xy 114.874926 90.233172) + (xy 114.853186 90.186552) + (xy 114.8529 90.179998) + (xy 114.8529 90.029654) + (xy 114.853373 90.021234) + (xy 114.853379 90.021174) + (xy 114.857695 89.982875) + (xy 114.846841 89.925511) + (xy 114.84637 89.922737) + (xy 114.84637 89.922735) + (xy 114.837668 89.864997) + (xy 114.837666 89.864993) + (xy 114.836006 89.859609) + (xy 114.836063 89.859591) + (xy 114.834232 89.854024) + (xy 114.834174 89.854045) + (xy 114.832313 89.848725) + (xy 114.805033 89.797111) + (xy 114.803765 89.794598) + (xy 114.778434 89.741995) + (xy 114.775257 89.737336) + (xy 114.775305 89.737302) + (xy 114.771913 89.73252) + (xy 114.771866 89.732555) + (xy 114.768522 89.728024) + (xy 114.761588 89.72109) + (xy 114.727222 89.686724) + (xy 114.725298 89.684726) + (xy 114.68557 89.64191) + (xy 114.681163 89.638396) + (xy 114.681199 89.638349) + (xy 114.671083 89.630586) + (xy 114.58359 89.543093) + (xy 114.579931 89.539164) + (xy 114.549636 89.504201) + (xy 114.509211 89.478222) + (xy 114.50523 89.475478) + (xy 114.464016 89.44506) + (xy 114.458311 89.443063) + (xy 114.442498 89.435348) + (xy 114.440081 89.433794) + (xy 114.440074 89.433791) + (xy 114.390536 89.419244) + (xy 114.386889 89.418071) + (xy 114.335154 89.399969) + (xy 114.335153 89.399968) + (xy 114.335151 89.399968) + (xy 114.33515 89.399967) + (xy 114.335147 89.399967) + (xy 114.332753 89.399878) + (xy 114.320046 89.397808) + (xy 114.315117 89.3971) + (xy 114.259882 89.3971) + (xy 114.257072 89.397047) + (xy 114.254516 89.396951) + (xy 114.198719 89.394863) + (xy 114.193118 89.395495) + (xy 114.193111 89.395436) + (xy 114.190135 89.395828) + (xy 114.190208 89.396334) + (xy 114.184889 89.397098) + (xy 114.12846 89.413666) + (xy 114.126741 89.414148) + (xy 114.066847 89.430198) + (xy 114.065374 89.43084) + (xy 114.060034 89.43372) + (xy 114.007458 89.467508) + (xy 114.006813 89.467918) + (xy 113.95124 89.502838) + (xy 113.907125 89.554099) + (xy 113.90696 89.554291) + (xy 113.863 89.605025) + (xy 113.862187 89.606319) + (xy 113.836675 89.66479) + (xy 113.836155 89.665954) + (xy 113.810976 89.72109) + (xy 113.809968 89.724525) + (xy 113.807988 89.729974) + (xy 113.807591 89.731457) + (xy 113.800854 89.791242) + (xy 113.800562 89.793521) + (xy 113.792443 89.849999) + (xy 113.792443 89.855376) + (xy 113.792199 89.855376) + (xy 93.777675 89.855376) + (xy 92.216758 88.294458) + (xy 92.214047 88.291602) + (xy 92.186949 88.261506) + (xy 92.186945 88.261503) + (xy 92.164486 88.251504) + (xy 92.154116 88.245874) + (xy 92.133496 88.232483) + (xy 92.125064 88.231148) + (xy 92.106243 88.225573) + (xy 92.098442 88.2221) + (xy 92.073848 88.2221) + (xy 92.062084 88.221174) + (xy 92.037808 88.217329) + (xy 92.037804 88.217329) + (xy 92.02956 88.219538) + (xy 92.010099 88.2221) + (xy 88.232936 88.2221) + (xy 88.229 88.221997) + (xy 88.227192 88.221902) + (xy 88.188551 88.219876) + (xy 88.18855 88.219876) + (xy 88.165597 88.228688) + (xy 88.154286 88.232039) + (xy 88.130235 88.237151) + (xy 88.130229 88.237154) + (xy 88.123324 88.242171) + (xy 88.106085 88.251532) + (xy 88.098104 88.254596) + (xy 88.080712 88.271987) + (xy 88.071746 88.279645) + (xy 88.051854 88.294098) + (xy 88.051852 88.294101) + (xy 88.047583 88.301495) + (xy 88.035634 88.317065) + (xy 86.302626 90.050074) + (xy 86.256006 90.071814) + (xy 86.249452 90.0721) + (xy 75.607936 90.0721) + (xy 75.604 90.071997) + (xy 75.602192 90.071902) + (xy 75.563551 90.069876) + (xy 75.56355 90.069876) + (xy 75.540597 90.078688) + (xy 75.529286 90.082039) + (xy 75.505235 90.087151) + (xy 75.505229 90.087154) + (xy 75.498324 90.092171) + (xy 75.481085 90.101532) + (xy 75.473104 90.104596) + (xy 75.455712 90.121987) + (xy 75.446746 90.129645) + (xy 75.426854 90.144098) + (xy 75.426852 90.144101) + (xy 75.422583 90.151495) + (xy 75.410634 90.167065) + (xy 70.744456 94.833242) + (xy 70.741602 94.835952) + (xy 70.711504 94.863052) + (xy 70.703905 94.880123) + (xy 70.702177 94.884005) + (xy 70.701507 94.885509) + (xy 70.695877 94.895878) + (xy 70.682485 94.916501) + (xy 70.682483 94.916506) + (xy 70.681148 94.924935) + (xy 70.675574 94.943754) + (xy 70.6721 94.951557) + (xy 70.6721 94.976152) + (xy 70.671174 94.987916) + (xy 70.667329 95.012191) + (xy 70.667329 95.012194) + (xy 64.196724 95.012194) + (xy 64.205457 94.995055) + (xy 64.225422 94.869) + (xy 64.205457 94.742945) + (xy 64.147516 94.629229) + (xy 64.057271 94.538984) + (xy 63.943555 94.481043) + (xy 63.943557 94.481043) + (xy 63.8175 94.461078) + (xy 63.691443 94.481043) + (xy 63.577728 94.538984) + (xy 63.487484 94.629228) + (xy 63.429543 94.742943) + (xy 63.409578 94.868999) + (xy 63.409578 94.869) + (xy 63.429543 94.995057) + (xy 63.429543 94.995058) + (xy 63.462393 95.059527) + (xy 63.468663 95.110584) + (xy 63.440647 95.153725) + (xy 63.427172 95.161822) + (xy 63.326524 95.208755) + (xy 63.326518 95.20876) + (xy 63.24476 95.290518) + (xy 63.244754 95.290526) + (xy 63.195888 95.395319) + (xy 63.195886 95.395325) + (xy 63.1896 95.443081) + (xy 63.1896 95.869918) + (xy 62.5554 95.869918) + (xy 62.5554 95.587849) + (xy 62.552467 95.562567) + (xy 62.5068 95.459142) + (xy 62.506798 95.459139) + (xy 62.42686 95.379201) + (xy 62.426857 95.379199) + (xy 62.323432 95.333532) + (xy 62.29815 95.3306) + (xy 62.253 95.3306) + (xy 61.953 95.3306) + (xy 61.90785 95.3306) + (xy 61.882567 95.333532) + (xy 61.779142 95.379199) + (xy 61.779139 95.379201) + (xy 61.699201 95.459139) + (xy 61.699199 95.459142) + (xy 61.653532 95.562567) + (xy 61.6506 95.587849) + (xy 61.6506 96.308) + (xy 61.2859 96.308) + (xy 61.2859 95.587774) + (xy 61.282962 95.56245) + (xy 61.23722 95.458855) + (xy 61.237219 95.458854) + (xy 61.237217 95.458851) + (xy 61.157148 95.378782) + (xy 61.157141 95.378777) + (xy 61.105725 95.356075) + (xy 61.068613 95.320457) + (xy 61.0609 95.287283) + (xy 61.0609 95.2056) + (xy 61.078493 95.157262) + (xy 61.123042 95.131542) + (xy 61.1361 95.1304) + (xy 61.171418 95.1304) + (xy 61.17142 95.1304) + (xy 61.219176 95.124113) + (xy 61.284268 95.09376) + (xy 61.323973 95.075245) + (xy 61.323973 95.075244) + (xy 61.323977 95.075243) + (xy 61.405743 94.993477) + (xy 61.406396 94.992078) + (xy 61.433738 94.933443) + (xy 61.454613 94.888676) + (xy 61.4609 94.84092) + (xy 61.4609 94.41408) + (xy 61.454613 94.366324) + (xy 61.436119 94.326663) + (xy 61.405745 94.261526) + (xy 61.405739 94.261518) + (xy 61.323981 94.17976) + (xy 61.323973 94.179754) + (xy 61.21918 94.130888) + (xy 61.219174 94.130886) + (xy 61.183992 94.126255) + (xy 61.17142 94.1246) + (xy 60.49458 94.1246) + (xy 60.48347 94.126062) + (xy 60.446825 94.130886) + (xy 60.446819 94.130888) + (xy 60.342026 94.179754) + (xy 60.342018 94.17976) + (xy 60.26026 94.261518) + (xy 60.260254 94.261526) + (xy 60.211388 94.366319) + (xy 60.211386 94.366325) + (xy 60.207331 94.397131) + (xy 60.2051 94.41408) + (xy 60.2051 94.84092) + (xy 59.903813 94.84092) + (xy 59.893016 94.819729) + (xy 59.802771 94.729484) + (xy 59.689055 94.671543) + (xy 59.689057 94.671543) + (xy 59.563 94.651578) + (xy 59.436943 94.671543) + (xy 59.323228 94.729484) + (xy 59.232984 94.819728) + (xy 59.175043 94.933443) + (xy 59.155463 95.05707) + (xy 59.155078 95.0595) + (xy 59.158895 95.083602) + (xy 59.159174 95.085359) + (xy 59.1601 95.097124) + (xy 59.1601 95.440001) + (xy 59.153693 95.470376) + (xy 59.113037 95.562451) + (xy 59.1101 95.587776) + (xy 59.1101 97.328223) + (xy 58.7459 97.328223) + (xy 58.7459 95.587774) + (xy 58.742962 95.56245) + (xy 58.702306 95.470375) + (xy 58.6959 95.440001) + (xy 58.6959 95.097124) + (xy 58.696826 95.085359) + (xy 58.697105 95.083602) + (xy 58.700922 95.0595) + (xy 58.700536 95.057066) + (xy 58.696826 95.033638) + (xy 58.6959 95.021874) + (xy 58.6959 94.83153) + (xy 58.713493 94.783192) + (xy 58.738072 94.763971) + (xy 58.770761 94.747992) + (xy 58.809399 94.709354) + (xy 58.859828 94.658926) + (xy 58.906448 94.637186) + (xy 58.913002 94.6369) + (xy 59.055312 94.6369) + (xy 59.055313 94.6369) + (xy 59.080222 94.628805) + (xy 59.091673 94.626055) + (xy 59.117555 94.621957) + (xy 59.140897 94.610063) + (xy 59.151784 94.605554) + (xy 59.176693 94.597461) + (xy 59.197878 94.582068) + (xy 59.20793 94.575907) + (xy 59.231271 94.564016) + (xy 59.321516 94.473771) + (xy 59.327701 94.467586) + (xy 59.327708 94.467576) + (xy 59.415576 94.379708) + (xy 59.415586 94.379701) + (xy 59.512014 94.283273) + (xy 59.512016 94.283271) + (xy 59.523907 94.259931) + (xy 59.530067 94.249879) + (xy 59.545462 94.228692) + (xy 59.549239 94.217065) + (xy 59.553552 94.203791) + (xy 59.558069 94.192884) + (xy 59.569957 94.169555) + (xy 59.574055 94.143674) + (xy 59.576802 94.132234) + (xy 59.5849 94.107313) + (xy 59.5849 94.081124) + (xy 59.585826 94.069359) + (xy 59.589922 94.0435) + (xy 59.589922 94.043499) + (xy 59.585826 94.017638) + (xy 59.5849 94.005874) + (xy 59.5849 93.979688) + (xy 59.584899 93.979685) + (xy 59.576807 93.95478) + (xy 59.574052 93.943309) + (xy 59.569957 93.917445) + (xy 59.558068 93.894113) + (xy 59.553553 93.883211) + (xy 59.552602 93.880285) + (xy 59.545462 93.858307) + (xy 59.545182 93.857922) + (xy 59.530067 93.837118) + (xy 59.523903 93.82706) + (xy 59.512016 93.803729) + (xy 59.493497 93.78521) + (xy 59.485837 93.776241) + (xy 59.470445 93.755055) + (xy 59.449253 93.739658) + (xy 59.440285 93.731998) + (xy 59.421771 93.713484) + (xy 59.413815 93.70943) + (xy 59.398441 93.701596) + (xy 59.38838 93.695431) + (xy 59.367195 93.680039) + (xy 59.367193 93.680038) + (xy 59.342283 93.671944) + (xy 59.331384 93.667429) + (xy 59.30806 93.655545) + (xy 59.308052 93.655542) + (xy 59.282194 93.651447) + (xy 59.270723 93.648693) + (xy 59.245814 93.6406) + (xy 59.245813 93.6406) + (xy 59.219625 93.6406) + (xy 59.207861 93.639674) + (xy 59.187322 93.636421) + (xy 59.182 93.635578) + (xy 59.176678 93.636421) + (xy 59.156139 93.639674) + (xy 59.144375 93.6406) + (xy 59.118182 93.6406) + (xy 59.093281 93.648691) + (xy 59.081812 93.651445) + (xy 59.055943 93.655543) + (xy 59.032611 93.667431) + (xy 59.021714 93.671944) + (xy 58.996812 93.680036) + (xy 58.996805 93.680039) + (xy 58.975617 93.695433) + (xy 58.96556 93.701596) + (xy 58.942226 93.713485) + (xy 58.942225 93.713486) + (xy 58.906407 93.749305) + (xy 58.859787 93.771045) + (xy 58.8101 93.757731) + (xy 58.800059 93.749305) + (xy 58.770764 93.72001) + (xy 58.770762 93.720009) + (xy 58.770761 93.720008) + (xy 58.661203 93.666449) + (xy 58.590182 93.6561) + (xy 58.590174 93.6561) + (xy 57.995826 93.6561) + (xy 57.995817 93.6561) + (xy 57.924797 93.666449) + (xy 57.815235 93.72001) + (xy 57.72901 93.806235) + (xy 57.729008 93.806238) + (xy 57.729008 93.806239) + (xy 57.722421 93.819713) + (xy 57.675449 93.915797) + (xy 57.6651 93.986817) + (xy 57.6651 94.481182) + (xy 56.591116 94.481182) + (xy 56.905558 94.16674) + (xy 56.908375 94.164066) + (xy 56.938494 94.136949) + (xy 56.941427 94.130362) + (xy 56.948492 94.114491) + (xy 56.954126 94.104114) + (xy 56.954526 94.103499) + (xy 56.967516 94.083497) + (xy 56.968407 94.077867) + (xy 56.96885 94.075072) + (xy 56.97443 94.056234) + (xy 56.974739 94.05554) + (xy 56.9779 94.048442) + (xy 56.9779 94.023846) + (xy 56.978826 94.012081) + (xy 56.978872 94.011792) + (xy 56.982671 93.987806) + (xy 56.982129 93.985784) + (xy 56.980462 93.979559) + (xy 56.9779 93.960099) + (xy 56.9779 92.884) + (xy 57.665601 92.884) + (xy 57.665601 92.981142) + (xy 57.675934 93.052059) + (xy 57.729421 93.161469) + (xy 57.81553 93.247578) + (xy 57.924941 93.301065) + (xy 57.92494 93.301065) + (xy 57.995863 93.311399) + (xy 58.143 93.311399) + (xy 58.143 92.884) + (xy 58.443 92.884) + (xy 58.443 93.311399) + (xy 58.59013 93.311399) + (xy 58.590142 93.311398) + (xy 58.661059 93.301065) + (xy 58.770469 93.247578) + (xy 58.777129 93.240918) + (xy 60.2051 93.240918) + (xy 60.211386 93.288674) + (xy 60.211388 93.28868) + (xy 60.260254 93.393473) + (xy 60.26026 93.393481) + (xy 60.342018 93.475239) + (xy 60.342026 93.475245) + (xy 60.446819 93.524111) + (xy 60.446821 93.524111) + (xy 60.446824 93.524113) + (xy 60.49458 93.5304) + (xy 60.494582 93.5304) + (xy 61.171418 93.5304) + (xy 61.17142 93.5304) + (xy 61.219176 93.524113) + (xy 61.323977 93.475243) + (xy 61.405743 93.393477) + (xy 61.40901 93.386472) + (xy 61.449884 93.298819) + (xy 61.486257 93.262445) + (xy 61.518038 93.2554) + (xy 61.5884 93.2554) + (xy 61.636738 93.272993) + (xy 61.662458 93.317542) + (xy 61.6636 93.3306) + (xy 61.6636 93.36592) + (xy 61.664242 93.370798) + (xy 61.669886 93.413674) + (xy 61.669888 93.41368) + (xy 61.718754 93.518473) + (xy 61.71876 93.518481) + (xy 61.800518 93.600239) + (xy 61.800526 93.600245) + (xy 61.905319 93.649111) + (xy 61.905321 93.649111) + (xy 61.905324 93.649113) + (xy 61.95308 93.6554) + (xy 61.953082 93.6554) + (xy 62.379918 93.6554) + (xy 62.37992 93.6554) + (xy 62.427676 93.649113) + (xy 62.512295 93.609654) + (xy 62.532473 93.600245) + (xy 62.532473 93.600244) + (xy 62.532477 93.600243) + (xy 62.614243 93.518477) + (xy 62.618383 93.5096) + (xy 62.650864 93.439944) + (xy 62.663113 93.413676) + (xy 62.6694 93.36592) + (xy 62.6694 93.1775) + (xy 63.2641 93.1775) + (xy 63.2641 93.365864) + (xy 63.270376 93.413543) + (xy 63.270378 93.413549) + (xy 63.319169 93.518182) + (xy 63.319175 93.51819) + (xy 63.400809 93.599824) + (xy 63.400817 93.59983) + (xy 63.50545 93.648621) + (xy 63.505456 93.648623) + (xy 63.553136 93.6549) + (xy 63.6165 93.6549) + (xy 63.6165 93.1775) + (xy 63.9165 93.1775) + (xy 63.9165 93.6549) + (xy 63.979864 93.6549) + (xy 64.027543 93.648623) + (xy 64.027549 93.648621) + (xy 64.132182 93.59983) + (xy 64.13219 93.599824) + (xy 64.213824 93.51819) + (xy 64.21383 93.518182) + (xy 64.262621 93.413549) + (xy 64.262623 93.413543) + (xy 64.2689 93.365864) + (xy 64.2689 93.1775) + (xy 63.9165 93.1775) + (xy 63.6165 93.1775) + (xy 63.2641 93.1775) + (xy 62.6694 93.1775) + (xy 62.6694 92.8775) + (xy 63.2641 92.8775) + (xy 63.6165 92.8775) + (xy 63.6165 92.4001) + (xy 63.9165 92.4001) + (xy 63.9165 92.8775) + (xy 64.2689 92.8775) + (xy 64.2689 92.689135) + (xy 64.262623 92.641456) + (xy 64.262621 92.64145) + (xy 64.21383 92.536817) + (xy 64.213824 92.536809) + (xy 64.13219 92.455175) + (xy 64.132182 92.455169) + (xy 64.027549 92.406378) + (xy 64.027543 92.406376) + (xy 63.979864 92.4001) + (xy 63.9165 92.4001) + (xy 63.6165 92.4001) + (xy 63.553136 92.4001) + (xy 63.505456 92.406376) + (xy 63.50545 92.406378) + (xy 63.400817 92.455169) + (xy 63.400809 92.455175) + (xy 63.319175 92.536809) + (xy 63.319169 92.536817) + (xy 63.270378 92.64145) + (xy 63.270376 92.641456) + (xy 63.2641 92.689135) + (xy 63.2641 92.8775) + (xy 62.6694 92.8775) + (xy 62.6694 92.68908) + (xy 62.663113 92.641324) + (xy 62.661882 92.638684) + (xy 62.614245 92.536526) + (xy 62.614239 92.536518) + (xy 62.532481 92.45476) + (xy 62.532473 92.454754) + (xy 62.42768 92.405888) + (xy 62.427674 92.405886) + (xy 62.387736 92.400629) + (xy 62.37992 92.3996) + (xy 61.95308 92.3996) + (xy 61.945264 92.400629) + (xy 61.905325 92.405886) + (xy 61.905319 92.405888) + (xy 61.800526 92.454754) + (xy 61.800518 92.45476) + (xy 61.71876 92.536518) + (xy 61.718754 92.536526) + (xy 61.669888 92.641319) + (xy 61.669886 92.641325) + (xy 61.6636 92.689081) + (xy 61.6636 92.7244) + (xy 61.646007 92.772738) + (xy 61.601458 92.798458) + (xy 61.5884 92.7996) + (xy 61.518038 92.7996) + (xy 61.4697 92.782007) + (xy 61.449884 92.756181) + (xy 61.405745 92.661526) + (xy 61.405739 92.661518) + (xy 61.323981 92.57976) + (xy 61.323973 92.579754) + (xy 61.233827 92.537718) + (xy 61.197453 92.501344) + (xy 61.192971 92.4501) + (xy 61.198605 92.435423) + (xy 61.213655 92.405887) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240808 92.264783) + (xy 61.233253 92.217079) + (xy 61.220957 92.139445) + (xy 61.182446 92.063864) + (xy 61.176178 92.012809) + (xy 61.204194 91.969668) + (xy 61.216417 91.962169) + (xy 61.260761 91.940492) + (xy 61.346992 91.854261) + (xy 61.400551 91.744703) + (xy 61.4109 91.673674) + (xy 61.4109 91.079326) + (xy 61.400551 91.008297) + (xy 61.346992 90.898739) + (xy 61.346989 90.898736) + (xy 61.346989 90.898735) + (xy 61.260764 90.81251) + (xy 61.260762 90.812509) + (xy 61.260761 90.812508) + (xy 61.151203 90.758949) + (xy 61.080182 90.7486) + (xy 61.080174 90.7486) + (xy 60.585826 90.7486) + (xy 60.585817 90.7486) + (xy 60.514797 90.758949) + (xy 60.405235 90.81251) + (xy 60.31901 90.898735) + (xy 60.319008 90.898738) + (xy 60.319008 90.898739) + (xy 60.29607 90.945661) + (xy 60.265449 91.008297) + (xy 60.2551 91.079317) + (xy 60.2551 91.673682) + (xy 60.26356 91.731736) + (xy 60.265449 91.744703) + (xy 60.319008 91.854261) + (xy 60.319009 91.854262) + (xy 60.31901 91.854264) + (xy 60.405235 91.940489) + (xy 60.405237 91.94049) + (xy 60.405239 91.940492) + (xy 60.449575 91.962166) + (xy 60.485275 91.999201) + (xy 60.488816 92.050519) + (xy 60.483552 92.063865) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.467395 92.435424) + (xy 60.473664 92.48648) + (xy 60.445647 92.529621) + (xy 60.432173 92.537718) + (xy 60.342024 92.579755) + (xy 60.342018 92.57976) + (xy 60.26026 92.661518) + (xy 60.260254 92.661526) + (xy 60.211388 92.766319) + (xy 60.211386 92.766325) + (xy 60.2051 92.814081) + (xy 60.2051 93.240918) + (xy 58.777129 93.240918) + (xy 58.856578 93.161469) + (xy 58.910065 93.052058) + (xy 58.920399 92.981141) + (xy 58.9204 92.981131) + (xy 58.9204 92.884) + (xy 58.443 92.884) + (xy 58.143 92.884) + (xy 57.665601 92.884) + (xy 56.9779 92.884) + (xy 56.9779 92.584) + (xy 57.6656 92.584) + (xy 58.143 92.584) + (xy 58.143 92.1566) + (xy 58.443 92.1566) + (xy 58.443 92.584) + (xy 58.920399 92.584) + (xy 58.920399 92.486869) + (xy 58.920398 92.486857) + (xy 58.910065 92.41594) + (xy 58.856578 92.30653) + (xy 58.770469 92.220421) + (xy 58.661058 92.166934) + (xy 58.661059 92.166934) + (xy 58.590141 92.1566) + (xy 58.443 92.1566) + (xy 58.143 92.1566) + (xy 57.99587 92.1566) + (xy 57.995857 92.156601) + (xy 57.92494 92.166934) + (xy 57.81553 92.220421) + (xy 57.729421 92.30653) + (xy 57.675934 92.415941) + (xy 57.6656 92.486858) + (xy 57.6656 92.584) + (xy 56.9779 92.584) + (xy 56.9779 91.857936) + (xy 56.978003 91.853999) + (xy 56.980123 91.813551) + (xy 56.971311 91.790595) + (xy 56.967959 91.77928) + (xy 56.964562 91.763298) + (xy 56.962848 91.755234) + (xy 56.957831 91.74833) + (xy 56.948463 91.731074) + (xy 56.945404 91.723104) + (xy 56.937785 91.715485) + (xy 56.928007 91.705707) + (xy 56.920355 91.696749) + (xy 56.905901 91.676854) + (xy 56.9059 91.676853) + (xy 56.905899 91.676852) + (xy 56.898506 91.672584) + (xy 56.882934 91.660634) + (xy 56.7488 91.5265) + (xy 58.755601 91.5265) + (xy 58.755601 91.673642) + (xy 58.765934 91.744559) + (xy 58.819421 91.853969) + (xy 58.90553 91.940078) + (xy 59.014941 91.993565) + (xy 59.01494 91.993565) + (xy 59.085863 92.003899) + (xy 59.183 92.003899) + (xy 59.183 91.5265) + (xy 59.483 91.5265) + (xy 59.483 92.003899) + (xy 59.58013 92.003899) + (xy 59.580142 92.003898) + (xy 59.651059 91.993565) + (xy 59.760469 91.940078) + (xy 59.846578 91.853969) + (xy 59.900065 91.744558) + (xy 59.910399 91.673641) + (xy 59.9104 91.673631) + (xy 59.9104 91.5265) + (xy 59.483 91.5265) + (xy 59.183 91.5265) + (xy 58.755601 91.5265) + (xy 56.7488 91.5265) + (xy 56.722387 91.500087) + (xy 56.71712 91.494239) + (xy 56.708771 91.483929) + (xy 56.696627 91.468932) + (xy 56.696626 91.468931) + (xy 56.696625 91.46893) + (xy 56.695411 91.467948) + (xy 56.688883 91.463947) + (xy 56.686348 91.462105) + (xy 56.668732 91.454262) + (xy 56.658364 91.448632) + (xy 56.633498 91.432484) + (xy 56.633494 91.432483) + (xy 56.633059 91.432414) + (xy 56.610698 91.425149) + (xy 56.610303 91.424948) + (xy 56.610301 91.424947) + (xy 56.608323 91.424418) + (xy 56.602018 91.423588) + (xy 56.597844 91.422701) + (xy 56.597842 91.4227) + (xy 56.597839 91.4227) + (xy 56.577635 91.4227) + (xy 56.565872 91.421774) + (xy 56.537804 91.417328) + (xy 56.537801 91.417329) + (xy 56.537383 91.417441) + (xy 56.513996 91.419899) + (xy 56.513556 91.419875) + (xy 56.505747 91.421113) + (xy 56.505606 91.420226) + (xy 56.49226 91.4227) + (xy 56.3275 91.4227) + (xy 56.279162 91.405107) + (xy 56.253442 91.360558) + (xy 56.2523 91.3475) + (xy 56.2523 91.296036) + (xy 56.252299 91.296035) + (xy 56.252115 91.294071) + (xy 56.249431 91.26545) + (xy 56.235802 91.2265) + (xy 58.7556 91.2265) + (xy 59.183 91.2265) + (xy 59.183 90.7491) + (xy 59.483 90.7491) + (xy 59.483 91.2265) + (xy 59.910399 91.2265) + (xy 59.910399 91.079369) + (xy 59.910398 91.079357) + (xy 59.900065 91.00844) + (xy 59.846578 90.89903) + (xy 59.760469 90.812921) + (xy 59.651058 90.759434) + (xy 59.651059 90.759434) + (xy 59.580141 90.7491) + (xy 59.483 90.7491) + (xy 59.183 90.7491) + (xy 59.08587 90.7491) + (xy 59.085856 90.749101) + (xy 59.01494 90.759434) + (xy 58.90553 90.812921) + (xy 58.819421 90.89903) + (xy 58.765934 91.008441) + (xy 58.7556 91.079358) + (xy 58.7556 91.2265) + (xy 56.235802 91.2265) + (xy 56.206939 91.144014) + (xy 56.20434 91.136585) + (xy 56.204338 91.136582) + (xy 56.190667 91.118059) + (xy 56.162081 91.079326) + (xy 56.123266 91.026733) + (xy 56.013417 90.945661) + (xy 56.013414 90.945659) + (xy 55.896027 90.904585) + (xy 55.88455 90.900569) + (xy 55.884545 90.900568) + (xy 55.884543 90.900568) + (xy 55.853964 90.8977) + (xy 55.853956 90.8977) + (xy 55.7025 90.8977) + (xy 55.654162 90.880107) + (xy 55.628442 90.835558) + (xy 55.6273 90.8225) + (xy 55.6273 90.696432) + (xy 55.644893 90.648094) + (xy 55.689442 90.622374) + (xy 55.691626 90.622023) + (xy 55.718203 90.618151) + (xy 55.827761 90.564592) + (xy 55.913992 90.478361) + (xy 55.967551 90.368803) + (xy 55.9779 90.297774) + (xy 55.9779 89.703426) + (xy 55.967551 89.632397) + (xy 55.913992 89.522839) + (xy 55.913989 89.522836) + (xy 55.913989 89.522835) + (xy 55.827764 89.43661) + (xy 55.827762 89.436609) + (xy 55.827761 89.436608) + (xy 55.718203 89.383049) + (xy 55.647182 89.3727) + (xy 55.647174 89.3727) + (xy 55.152826 89.3727) + (xy 55.152817 89.3727) + (xy 55.081797 89.383049) + (xy 54.979356 89.433129) + (xy 54.972235 89.43661) + (xy 54.88601 89.522835) + (xy 54.832449 89.632397) + (xy 54.8221 89.703417) + (xy 54.8221 90.297782) + (xy 54.829705 90.349968) + (xy 54.832449 90.368803) + (xy 54.886008 90.478361) + (xy 54.886009 90.478362) + (xy 54.88601 90.478364) + (xy 54.972235 90.564589) + (xy 54.972236 90.564589) + (xy 54.972239 90.564592) + (xy 55.081797 90.618151) + (xy 55.10545 90.621597) + (xy 55.107143 90.621844) + (xy 55.152439 90.646223) + (xy 55.171467 90.694014) + (xy 55.1715 90.696258) + (xy 55.1715 90.8225) + (xy 55.153907 90.870838) + (xy 55.109358 90.896558) + (xy 55.0963 90.8977) + (xy 54.944836 90.8977) + (xy 54.914256 90.900568) + (xy 54.914252 90.900568) + (xy 54.91425 90.900569) + (xy 54.914247 90.900569) + (xy 54.914247 90.90057) + (xy 54.785385 90.945659) + (xy 54.785382 90.945661) + (xy 54.675533 91.026733) + (xy 54.594461 91.136582) + (xy 54.594459 91.136585) + (xy 54.54937 91.265447) + (xy 54.549368 91.265456) + (xy 54.5465 91.296035) + (xy 54.5465 92.005164) + (xy 54.54936 92.035656) + (xy 54.549369 92.03575) + (xy 54.54937 92.035752) + (xy 54.594459 92.164614) + (xy 54.594461 92.164617) + (xy 54.675533 92.274466) + (xy 54.747254 92.327398) + (xy 54.768496 92.343076) + (xy 54.785382 92.355538) + (xy 54.785385 92.35554) + (xy 54.798786 92.360229) + (xy 54.91425 92.400631) + (xy 54.944835 92.403499) + (xy 54.944837 92.4035) + (xy 54.944844 92.4035) + (xy 55.853963 92.4035) + (xy 55.853963 92.403499) + (xy 55.88455 92.400631) + (xy 56.013417 92.355539) + (xy 56.123266 92.274466) + (xy 56.204339 92.164617) + (xy 56.249431 92.03575) + (xy 56.252299 92.005163) + (xy 56.2523 92.005163) + (xy 56.2523 91.9537) + (xy 56.269893 91.905362) + (xy 56.314442 91.879642) + (xy 56.3275 91.8785) + (xy 56.425053 91.8785) + (xy 56.473391 91.896093) + (xy 56.478227 91.900526) + (xy 56.500074 91.922373) + (xy 56.521814 91.968993) + (xy 56.5221 91.975547) + (xy 56.5221 93.874452) + (xy 56.504507 93.92279) + (xy 56.500074 93.927626) + (xy 55.827026 94.600674) + (xy 55.780406 94.622414) + (xy 55.773852 94.6227) + (xy 54.699829 94.6227) + (xy 54.695892 94.622597) + (xy 54.655451 94.620476) + (xy 54.632497 94.629288) + (xy 54.621186 94.632639) + (xy 54.597135 94.637751) + (xy 54.597132 94.637753) + (xy 54.590224 94.642772) + (xy 54.572983 94.652133) + (xy 54.565007 94.655195) + (xy 54.565001 94.655199) + (xy 54.547609 94.672589) + (xy 54.538645 94.680245) + (xy 54.518754 94.694698) + (xy 54.518751 94.694701) + (xy 54.514483 94.702094) + (xy 54.502535 94.717664) + (xy 54.327448 94.89275) + (xy 54.324593 94.895459) + (xy 54.294507 94.922548) + (xy 54.294505 94.92255) + (xy 54.284506 94.94501) + (xy 54.278878 94.955376) + (xy 54.265484 94.976001) + (xy 54.265483 94.976006) + (xy 54.264148 94.984435) + (xy 54.258574 95.003254) + (xy 54.2551 95.011057) + (xy 54.2551 95.035652) + (xy 54.254174 95.047416) + (xy 54.250329 95.071691) + (xy 54.250329 95.071694) + (xy 54.252538 95.079938) + (xy 54.2551 95.0994) + (xy 54.2551 95.287283) + (xy 54.237507 95.335621) + (xy 54.210275 95.356075) + (xy 54.158858 95.378777) + (xy 54.158851 95.378782) + (xy 54.078782 95.458851) + (xy 54.07878 95.458854) + (xy 54.033037 95.562451) + (xy 54.0301 95.587776) + (xy 54.0301 97.328223) + (xy 53.6659 97.328223) + (xy 53.6659 95.587774) + (xy 53.662962 95.56245) + (xy 53.61722 95.458855) + (xy 53.617219 95.458854) + (xy 53.617217 95.458851) + (xy 53.537148 95.378782) + (xy 53.537141 95.378777) + (xy 53.485725 95.356075) + (xy 53.448613 95.320457) + (xy 53.4409 95.287283) + (xy 53.4409 94.1787) + (xy 53.458493 94.130362) + (xy 53.503042 94.104642) + (xy 53.5161 94.1035) + (xy 53.653963 94.1035) + (xy 53.653963 94.103499) + (xy 53.68455 94.100631) + (xy 53.813417 94.055539) + (xy 53.923266 93.974466) + (xy 54.004339 93.864617) + (xy 54.049431 93.73575) + (xy 54.052299 93.705163) + (xy 54.0523 93.705163) + (xy 54.0523 93.5006) + (xy 54.547001 93.5006) + (xy 54.547001 93.705092) + (xy 54.549865 93.735656) + (xy 54.594906 93.864376) + (xy 54.675892 93.974107) + (xy 54.785623 94.055093) + (xy 54.785622 94.055093) + (xy 54.914341 94.100132) + (xy 54.91435 94.100134) + (xy 54.944899 94.102999) + (xy 55.2494 94.102999) + (xy 55.2494 93.5006) + (xy 55.5494 93.5006) + (xy 55.5494 94.102999) + (xy 55.853893 94.102999) + (xy 55.884456 94.100134) + (xy 56.013176 94.055093) + (xy 56.122907 93.974107) + (xy 56.203893 93.864376) + (xy 56.248932 93.735658) + (xy 56.248934 93.735649) + (xy 56.251799 93.705101) + (xy 56.2518 93.7051) + (xy 56.2518 93.5006) + (xy 55.5494 93.5006) + (xy 55.2494 93.5006) + (xy 54.547001 93.5006) + (xy 54.0523 93.5006) + (xy 54.0523 93.2006) + (xy 54.547 93.2006) + (xy 55.2494 93.2006) + (xy 55.2494 92.5982) + (xy 55.5494 92.5982) + (xy 55.5494 93.2006) + (xy 56.251799 93.2006) + (xy 56.251799 92.996107) + (xy 56.248934 92.965543) + (xy 56.203893 92.836823) + (xy 56.122907 92.727092) + (xy 56.013176 92.646106) + (xy 56.013177 92.646106) + (xy 55.884458 92.601067) + (xy 55.884449 92.601065) + (xy 55.853901 92.5982) + (xy 55.5494 92.5982) + (xy 55.2494 92.5982) + (xy 54.944907 92.5982) + (xy 54.914343 92.601065) + (xy 54.785623 92.646106) + (xy 54.675892 92.727092) + (xy 54.594906 92.836823) + (xy 54.549867 92.965541) + (xy 54.549865 92.96555) + (xy 54.547 92.996098) + (xy 54.547 93.2006) + (xy 54.0523 93.2006) + (xy 54.0523 92.996036) + (xy 54.052299 92.996035) + (xy 54.051468 92.987171) + (xy 54.049431 92.96545) + (xy 54.011121 92.855965) + (xy 54.00434 92.836585) + (xy 54.004338 92.836582) + (xy 53.923266 92.726733) + (xy 53.813417 92.645661) + (xy 53.813414 92.645659) + (xy 53.706973 92.608415) + (xy 53.68455 92.600569) + (xy 53.684545 92.600568) + (xy 53.684543 92.600568) + (xy 53.653964 92.5977) + (xy 53.653956 92.5977) + (xy 52.744844 92.5977) + (xy 52.744836 92.5977) + (xy 52.714256 92.600568) + (xy 52.714252 92.600568) + (xy 52.71425 92.600569) + (xy 52.714247 92.600569) + (xy 52.714247 92.60057) + (xy 52.585385 92.645659) + (xy 52.585382 92.645661) + (xy 52.475533 92.726733) + (xy 52.394461 92.836582) + (xy 52.394459 92.836585) + (xy 52.34937 92.965447) + (xy 52.349368 92.965456) + (xy 52.3465 92.996035) + (xy 52.3465 93.0475) + (xy 52.328907 93.095838) + (xy 52.284358 93.121558) + (xy 52.2713 93.1227) + (xy 51.895145 93.1227) + (xy 51.846807 93.105107) + (xy 51.821087 93.060558) + (xy 51.820731 93.058342) + (xy 51.819888 93.052554) + (xy 51.816951 93.032397) + (xy 51.763392 92.922839) + (xy 51.763389 92.922836) + (xy 51.763389 92.922835) + (xy 51.677164 92.83661) + (xy 51.677162 92.836609) + (xy 51.677161 92.836608) + (xy 51.567603 92.783049) + (xy 51.496582 92.7727) + (xy 51.496574 92.7727) + (xy 50.902226 92.7727) + (xy 50.902217 92.7727) + (xy 50.831197 92.783049) + (xy 50.721635 92.83661) + (xy 50.63541 92.922835) + (xy 50.635408 92.922838) + (xy 50.635408 92.922839) + (xy 50.619688 92.954996) + (xy 50.581849 93.032397) + (xy 50.5715 93.103417) + (xy 50.5715 93.597782) + (xy 49.212995 93.597782) + (xy 49.212994 93.597774) + (xy 49.206751 93.5304) + (xy 49.194717 93.400531) + (xy 49.194715 93.400525) + (xy 49.194715 93.400522) + (xy 49.153424 93.2554) + (xy 49.140171 93.20882) + (xy 49.108332 93.144879) + (xy 49.051328 93.030399) + (xy 49.049562 93.028061) + (xy 49.008638 92.973868) + (xy 48.931212 92.871339) + (xy 48.931209 92.871336) + (xy 48.783912 92.737056) + (xy 48.61445 92.632129) + (xy 48.614447 92.632128) + (xy 48.614446 92.632127) + (xy 48.435432 92.562776) + (xy 48.428584 92.560123) + (xy 48.303901 92.536817) + (xy 48.23266 92.5235) + (xy 48.03334 92.5235) + (xy 47.973622 92.534663) + (xy 47.837415 92.560123) + (xy 47.651549 92.632129) + (xy 47.482087 92.737056) + (xy 47.33479 92.871336) + (xy 47.334787 92.871339) + (xy 47.214671 93.030399) + (xy 47.125832 93.208812) + (xy 47.125826 93.208828) + (xy 47.071284 93.400522) + (xy 47.071283 93.400531) + (xy 47.052892 93.598997) + (xy 47.052892 93.599002) + (xy 46.101737 93.599002) + (xy 46.10246 93.5917) + (xy 46.13392 93.4344) + (xy 46.226025 92.97387) + (xy 46.232501 92.954996) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 46.9374 92.0006) + (xy 50.572001 92.0006) + (xy 50.572001 92.097742) + (xy 50.582334 92.168659) + (xy 50.635821 92.278069) + (xy 50.72193 92.364178) + (xy 50.831341 92.417665) + (xy 50.83134 92.417665) + (xy 50.902263 92.427999) + (xy 51.0494 92.427999) + (xy 51.0494 92.0006) + (xy 51.3494 92.0006) + (xy 51.3494 92.427999) + (xy 51.49653 92.427999) + (xy 51.496542 92.427998) + (xy 51.567459 92.417665) + (xy 51.676869 92.364178) + (xy 51.762978 92.278069) + (xy 51.816465 92.168658) + (xy 51.826799 92.097741) + (xy 51.8268 92.097731) + (xy 51.8268 92.0006) + (xy 51.3494 92.0006) + (xy 51.0494 92.0006) + (xy 50.572001 92.0006) + (xy 46.9374 92.0006) + (xy 47.1374 91.8006) + (xy 52.347001 91.8006) + (xy 52.347001 92.005092) + (xy 52.349865 92.035656) + (xy 52.394906 92.164376) + (xy 52.475892 92.274107) + (xy 52.585623 92.355093) + (xy 52.585622 92.355093) + (xy 52.714341 92.400132) + (xy 52.71435 92.400134) + (xy 52.744899 92.402999) + (xy 53.0494 92.402999) + (xy 53.0494 91.8006) + (xy 53.3494 91.8006) + (xy 53.3494 92.402999) + (xy 53.653893 92.402999) + (xy 53.684456 92.400134) + (xy 53.813176 92.355093) + (xy 53.922907 92.274107) + (xy 54.003893 92.164376) + (xy 54.048932 92.035658) + (xy 54.048934 92.035649) + (xy 54.051799 92.005101) + (xy 54.0518 92.0051) + (xy 54.0518 91.8006) + (xy 53.3494 91.8006) + (xy 53.0494 91.8006) + (xy 52.347001 91.8006) + (xy 47.1374 91.8006) + (xy 47.2374 91.7006) + (xy 50.572 91.7006) + (xy 51.0494 91.7006) + (xy 51.0494 91.2732) + (xy 51.3494 91.2732) + (xy 51.3494 91.7006) + (xy 51.826799 91.7006) + (xy 51.826799 91.603469) + (xy 51.826798 91.603457) + (xy 51.816465 91.53254) + (xy 51.800851 91.5006) + (xy 52.347 91.5006) + (xy 53.0494 91.5006) + (xy 53.0494 90.8982) + (xy 53.3494 90.8982) + (xy 53.3494 91.5006) + (xy 54.051799 91.5006) + (xy 54.051799 91.296107) + (xy 54.048934 91.265543) + (xy 54.003893 91.136823) + (xy 53.922907 91.027092) + (xy 53.813176 90.946106) + (xy 53.813177 90.946106) + (xy 53.684458 90.901067) + (xy 53.684449 90.901065) + (xy 53.653901 90.8982) + (xy 53.3494 90.8982) + (xy 53.0494 90.8982) + (xy 52.744907 90.8982) + (xy 52.714343 90.901065) + (xy 52.585623 90.946106) + (xy 52.475892 91.027092) + (xy 52.394906 91.136823) + (xy 52.349867 91.265541) + (xy 52.349865 91.26555) + (xy 52.347 91.296098) + (xy 52.347 91.5006) + (xy 51.800851 91.5006) + (xy 51.762978 91.42313) + (xy 51.676869 91.337021) + (xy 51.567458 91.283534) + (xy 51.567459 91.283534) + (xy 51.496541 91.2732) + (xy 51.3494 91.2732) + (xy 51.0494 91.2732) + (xy 50.90227 91.2732) + (xy 50.902257 91.273201) + (xy 50.83134 91.283534) + (xy 50.72193 91.337021) + (xy 50.635821 91.42313) + (xy 50.582334 91.532541) + (xy 50.572 91.603458) + (xy 50.572 91.7006) + (xy 47.2374 91.7006) + (xy 48.7874 90.1506) + (xy 53.322601 90.1506) + (xy 53.322601 90.297742) + (xy 53.332934 90.368659) + (xy 53.386421 90.478069) + (xy 53.47253 90.564178) + (xy 53.581941 90.617665) + (xy 53.58194 90.617665) + (xy 53.652863 90.627999) + (xy 53.75 90.627999) + (xy 53.75 90.1506) + (xy 54.05 90.1506) + (xy 54.05 90.627999) + (xy 54.14713 90.627999) + (xy 54.147142 90.627998) + (xy 54.218059 90.617665) + (xy 54.327469 90.564178) + (xy 54.413578 90.478069) + (xy 54.467065 90.368658) + (xy 54.477399 90.297741) + (xy 54.4774 90.297731) + (xy 54.4774 90.1506) + (xy 54.05 90.1506) + (xy 53.75 90.1506) + (xy 53.322601 90.1506) + (xy 48.7874 90.1506) + (xy 49.0874 89.8506) + (xy 53.3226 89.8506) + (xy 53.75 89.8506) + (xy 53.75 89.3732) + (xy 54.05 89.3732) + (xy 54.05 89.8506) + (xy 54.477399 89.8506) + (xy 54.477399 89.703469) + (xy 54.477398 89.703457) + (xy 54.467065 89.63254) + (xy 54.413578 89.52313) + (xy 54.327469 89.437021) + (xy 54.218058 89.383534) + (xy 54.218059 89.383534) + (xy 54.147141 89.3732) + (xy 54.05 89.3732) + (xy 53.75 89.3732) + (xy 53.65287 89.3732) + (xy 53.652856 89.373201) + (xy 53.58194 89.383534) + (xy 53.47253 89.437021) + (xy 53.386421 89.52313) + (xy 53.332934 89.632541) + (xy 53.3226 89.703458) + (xy 53.3226 89.8506) + (xy 49.0874 89.8506) + (xy 54.274015 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.594379 85.421367) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.752014 84.31958) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274015 84.663985) + (xy 56.006998 82.931002) + (xy 57.720892 82.931002) + (xy 57.739283 83.129468) + (xy 57.739284 83.129477) + (xy 57.793826 83.321171) + (xy 57.793832 83.321187) + (xy 57.882671 83.4996) + (xy 58.002787 83.65866) + (xy 58.00279 83.658663) + (xy 58.150087 83.792943) + (xy 58.150089 83.792944) + (xy 58.15009 83.792945) + (xy 58.319554 83.897873) + (xy 58.505414 83.969876) + (xy 58.70134 84.0065) + (xy 58.701345 84.0065) + (xy 58.900655 84.0065) + (xy 58.90066 84.0065) + (xy 59.096586 83.969876) + (xy 59.282446 83.897873) + (xy 59.45191 83.792945) + (xy 59.599209 83.658664) + (xy 59.719326 83.499604) + (xy 59.808171 83.32118) + (xy 59.856688 83.15066) + (xy 59.862715 83.129477) + (xy 59.862715 83.129475) + (xy 59.862717 83.129469) + (xy 59.881108 82.931) + (xy 59.862717 82.732531) + (xy 59.862715 82.732525) + (xy 59.862715 82.732522) + (xy 59.808173 82.540828) + (xy 59.808171 82.54082) + (xy 59.753212 82.430448) + (xy 59.727091 82.37799) + (xy 139.631845 82.37799) + (xy 139.634286 82.423002) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.025631 83.129477) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.289715 82.423002) + (xy 141.921892 82.423002) + (xy 141.940283 82.621468) + (xy 141.940284 82.621477) + (xy 141.994826 82.813171) + (xy 141.994832 82.813187) + (xy 142.083671 82.9916) + (xy 142.203787 83.15066) + (xy 142.20379 83.150663) + (xy 142.351087 83.284943) + (xy 142.351089 83.284944) + (xy 142.35109 83.284945) + (xy 142.520554 83.389873) + (xy 142.706414 83.461876) + (xy 142.90234 83.4985) + (xy 142.902345 83.4985) + (xy 143.101655 83.4985) + (xy 143.10166 83.4985) + (xy 143.297586 83.461876) + (xy 143.483446 83.389873) + (xy 143.65291 83.284945) + (xy 143.800209 83.150664) + (xy 143.920326 82.991604) + (xy 144.009171 82.81318) + (xy 144.063717 82.621469) + (xy 144.082108 82.423) + (xy 144.063717 82.224531) + (xy 144.063715 82.224525) + (xy 144.063715 82.224522) + (xy 144.009173 82.032828) + (xy 144.009171 82.03282) + (xy 143.971139 81.956442) + (xy 143.920328 81.854399) + (xy 143.893915 81.819423) + (xy 143.849908 81.761148) + (xy 143.800212 81.695339) + (xy 143.800209 81.695336) + (xy 143.652912 81.561056) + (xy 143.48345 81.456129) + (xy 143.483447 81.456128) + (xy 143.483446 81.456127) + (xy 143.359539 81.408125) + (xy 143.297584 81.384123) + (xy 143.194225 81.364803) + (xy 143.10166 81.3475) + (xy 142.90234 81.3475) + (xy 142.854461 81.35645) + (xy 142.706415 81.384123) + (xy 142.520549 81.456129) + (xy 142.351087 81.561056) + (xy 142.20379 81.695336) + (xy 142.203787 81.695339) + (xy 142.083671 81.854399) + (xy 141.994832 82.032812) + (xy 141.994826 82.032828) + (xy 141.940284 82.224522) + (xy 141.940283 82.224531) + (xy 141.921892 82.422997) + (xy 141.921892 82.423002) + (xy 141.289715 82.423002) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 59.727091 82.37799) + (xy 59.719328 82.362399) + (xy 59.599212 82.203339) + (xy 59.599209 82.203336) + (xy 59.451912 82.069056) + (xy 59.28245 81.964129) + (xy 59.282447 81.964128) + (xy 59.282446 81.964127) + (xy 59.158539 81.916125) + (xy 59.096584 81.892123) + (xy 58.983114 81.870913) + (xy 58.90066 81.8555) + (xy 58.70134 81.8555) + (xy 58.639629 81.867035) + (xy 58.505415 81.892123) + (xy 58.319549 81.964129) + (xy 58.150087 82.069056) + (xy 58.00279 82.203336) + (xy 58.002787 82.203339) + (xy 57.882671 82.362399) + (xy 57.793832 82.540812) + (xy 57.793826 82.540828) + (xy 57.739284 82.732522) + (xy 57.739283 82.732531) + (xy 57.720892 82.930997) + (xy 57.720892 82.931002) + (xy 56.006998 82.931002) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.68387 80.516025) + (xy 59.3017 80.39246) + (xy 59.316448 80.391) + (xy 142.994552 80.391) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 82.960591 128.945493) + (xy 82.986311 128.990042) + (xy 82.977378 129.0407) + (xy 82.965427 129.056274) + (xy 81.124456 130.897242) + (xy 81.121602 130.899952) + (xy 81.091504 130.927052) + (xy 81.081507 130.949509) + (xy 81.075877 130.959878) + (xy 81.062485 130.980501) + (xy 81.062483 130.980506) + (xy 81.061148 130.988935) + (xy 81.055574 131.007754) + (xy 81.0521 131.015557) + (xy 81.0521 131.040152) + (xy 81.051174 131.051916) + (xy 81.047329 131.076191) + (xy 81.047329 131.076194) + (xy 81.049538 131.084438) + (xy 81.0521 131.1039) + (xy 81.0521 131.3839) + (xy 81.034507 131.432238) + (xy 80.989958 131.457958) + (xy 80.9769 131.4591) + (xy 80.857197 131.4591) + (xy 80.771402 131.469403) + (xy 80.634871 131.523244) + (xy 80.63487 131.523245) + (xy 80.590725 131.55672) + (xy 80.545288 131.572) + (xy 79.474712 131.572) + (xy 79.429274 131.55672) + (xy 79.38513 131.523245) + (xy 79.385128 131.523244) + (xy 79.248597 131.469403) + (xy 79.162803 131.4591) + (xy 79.162802 131.4591) + (xy 79.0431 131.4591) + (xy 78.994762 131.441507) + (xy 78.969042 131.396958) + (xy 78.9679 131.3839) + (xy 78.9679 131.316547) + (xy 78.985493 131.268209) + (xy 78.989926 131.263373) + (xy 81.303374 128.949926) + (xy 81.349994 128.928186) + (xy 81.356548 128.9279) + (xy 82.912253 128.9279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 84.566591 129.245493) + (xy 84.592311 129.290042) + (xy 84.583378 129.3407) + (xy 84.571431 129.356269) + (xy 83.794146 130.133553) + (xy 83.664456 130.263243) + (xy 83.661602 130.265952) + (xy 83.631504 130.293052) + (xy 83.621507 130.315509) + (xy 83.615877 130.325878) + (xy 83.602485 130.346501) + (xy 83.602483 130.346506) + (xy 83.601148 130.354935) + (xy 83.595574 130.373754) + (xy 83.5921 130.381557) + (xy 83.5921 130.406152) + (xy 83.591174 130.417916) + (xy 83.587329 130.442191) + (xy 83.587329 130.442194) + (xy 83.589538 130.450438) + (xy 83.5921 130.4699) + (xy 83.5921 131.3839) + (xy 83.574507 131.432238) + (xy 83.529958 131.457958) + (xy 83.5169 131.4591) + (xy 83.397197 131.4591) + (xy 83.311402 131.469403) + (xy 83.174871 131.523244) + (xy 83.17487 131.523245) + (xy 83.130725 131.55672) + (xy 83.085288 131.572) + (xy 82.014712 131.572) + (xy 81.969274 131.55672) + (xy 81.92513 131.523245) + (xy 81.925128 131.523244) + (xy 81.788597 131.469403) + (xy 81.702803 131.4591) + (xy 81.702802 131.4591) + (xy 81.5831 131.4591) + (xy 81.534762 131.441507) + (xy 81.509042 131.396958) + (xy 81.5079 131.3839) + (xy 81.5079 131.189547) + (xy 81.525493 131.141209) + (xy 81.529926 131.136373) + (xy 83.416374 129.249926) + (xy 83.462994 129.228186) + (xy 83.469548 129.2279) + (xy 84.518253 129.2279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 86.100035 129.410147) + (xy 86.129538 129.452285) + (xy 86.1321 129.471746) + (xy 86.1321 131.3839) + (xy 86.114507 131.432238) + (xy 86.069958 131.457958) + (xy 86.0569 131.4591) + (xy 85.937197 131.4591) + (xy 85.851402 131.469403) + (xy 85.714871 131.523244) + (xy 85.71487 131.523245) + (xy 85.670725 131.55672) + (xy 85.625288 131.572) + (xy 84.554712 131.572) + (xy 84.509274 131.55672) + (xy 84.46513 131.523245) + (xy 84.465128 131.523244) + (xy 84.328597 131.469403) + (xy 84.242803 131.4591) + (xy 84.242802 131.4591) + (xy 84.1231 131.4591) + (xy 84.074762 131.441507) + (xy 84.049042 131.396958) + (xy 84.0479 131.3839) + (xy 84.0479 130.555547) + (xy 84.065493 130.507209) + (xy 84.069926 130.502373) + (xy 85.022373 129.549926) + (xy 85.068993 129.528186) + (xy 85.075547 129.5279) + (xy 85.792064 129.5279) + (xy 85.796 129.528003) + (xy 85.836447 129.530123) + (xy 85.836447 129.530122) + (xy 85.836448 129.530123) + (xy 85.859403 129.52131) + (xy 85.870722 129.517958) + (xy 85.894761 129.512849) + (xy 85.89476 129.512849) + (xy 85.894766 129.512848) + (xy 85.901674 129.507828) + (xy 85.918921 129.498464) + (xy 85.926896 129.495403) + (xy 85.944289 129.478009) + (xy 85.953248 129.470357) + (xy 85.973146 129.455901) + (xy 85.977415 129.448505) + (xy 85.98936 129.432937) + (xy 86.003728 129.418569) + (xy 86.050348 129.396832) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 88.640033 127.520147) + (xy 88.669538 127.562284) + (xy 88.6721 127.581747) + (xy 88.6721 131.3839) + (xy 88.654507 131.432238) + (xy 88.609958 131.457958) + (xy 88.5969 131.4591) + (xy 88.477197 131.4591) + (xy 88.391402 131.469403) + (xy 88.254871 131.523244) + (xy 88.25487 131.523245) + (xy 88.210725 131.55672) + (xy 88.165288 131.572) + (xy 87.094712 131.572) + (xy 87.049274 131.55672) + (xy 87.00513 131.523245) + (xy 87.005128 131.523244) + (xy 86.868597 131.469403) + (xy 86.782803 131.4591) + (xy 86.782802 131.4591) + (xy 86.6631 131.4591) + (xy 86.614762 131.441507) + (xy 86.589042 131.396958) + (xy 86.5879 131.3839) + (xy 86.5879 129.515547) + (xy 86.605493 129.467209) + (xy 86.609926 129.462373) + (xy 88.543726 127.528573) + (xy 88.590346 127.506833) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 90.916779 125.767621) + (xy 90.938541 125.782162) + (xy 90.968958 125.823645) + (xy 90.971859 125.848624) + (xy 90.969876 125.886448) + (xy 90.978688 125.909402) + (xy 90.982039 125.920715) + (xy 90.986464 125.941531) + (xy 90.987152 125.944766) + (xy 90.991505 125.950758) + (xy 90.992169 125.951671) + (xy 91.001536 125.968922) + (xy 91.004596 125.976895) + (xy 91.004597 125.976896) + (xy 91.021985 125.994284) + (xy 91.029644 126.003252) + (xy 91.044097 126.023144) + (xy 91.044099 126.023146) + (xy 91.051495 126.027416) + (xy 91.067066 126.039365) + (xy 91.190074 126.162373) + (xy 91.211814 126.208993) + (xy 91.2121 126.215547) + (xy 91.2121 131.3839) + (xy 91.194507 131.432238) + (xy 91.149958 131.457958) + (xy 91.1369 131.4591) + (xy 91.017197 131.4591) + (xy 90.931402 131.469403) + (xy 90.794871 131.523244) + (xy 90.79487 131.523245) + (xy 90.750725 131.55672) + (xy 90.705288 131.572) + (xy 89.634712 131.572) + (xy 89.589274 131.55672) + (xy 89.54513 131.523245) + (xy 89.545128 131.523244) + (xy 89.408597 131.469403) + (xy 89.322803 131.4591) + (xy 89.322802 131.4591) + (xy 89.2031 131.4591) + (xy 89.154762 131.441507) + (xy 89.129042 131.396958) + (xy 89.1279 131.3839) + (xy 89.1279 127.625546) + (xy 89.145493 127.577208) + (xy 89.149915 127.572383) + (xy 90.705547 126.01675) + (xy 90.708365 126.014075) + (xy 90.738494 125.986949) + (xy 90.748496 125.96448) + (xy 90.754123 125.954117) + (xy 90.767516 125.933497) + (xy 90.76885 125.925072) + (xy 90.77443 125.906234) + (xy 90.7779 125.898442) + (xy 90.7779 125.873846) + (xy 90.778826 125.862081) + (xy 90.77902 125.86086) + (xy 90.782671 125.837806) + (xy 90.783908 125.829998) + (xy 90.787598 125.830582) + (xy 90.798004 125.796275) + (xy 90.816381 125.778872) + (xy 90.833223 125.767618) + (xy 90.883189 125.755394) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 91.7807 126.206622) + (xy 91.796274 126.218573) + (xy 93.730074 128.152373) + (xy 93.751814 128.198993) + (xy 93.7521 128.205547) + (xy 93.7521 131.3839) + (xy 93.734507 131.432238) + (xy 93.689958 131.457958) + (xy 93.6769 131.4591) + (xy 93.557197 131.4591) + (xy 93.471402 131.469403) + (xy 93.334871 131.523244) + (xy 93.33487 131.523245) + (xy 93.290725 131.55672) + (xy 93.245288 131.572) + (xy 92.174712 131.572) + (xy 92.129274 131.55672) + (xy 92.08513 131.523245) + (xy 92.085128 131.523244) + (xy 91.948597 131.469403) + (xy 91.862803 131.4591) + (xy 91.862802 131.4591) + (xy 91.7431 131.4591) + (xy 91.694762 131.441507) + (xy 91.669042 131.396958) + (xy 91.6679 131.3839) + (xy 91.6679 126.271747) + (xy 91.685493 126.223409) + (xy 91.730042 126.197689) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 94.3207 128.296622) + (xy 94.336274 128.308573) + (xy 96.270074 130.242373) + (xy 96.291814 130.288993) + (xy 96.2921 130.295547) + (xy 96.2921 131.3839) + (xy 96.274507 131.432238) + (xy 96.229958 131.457958) + (xy 96.2169 131.4591) + (xy 96.097197 131.4591) + (xy 96.011402 131.469403) + (xy 95.874871 131.523244) + (xy 95.87487 131.523245) + (xy 95.830725 131.55672) + (xy 95.785288 131.572) + (xy 94.714712 131.572) + (xy 94.669274 131.55672) + (xy 94.62513 131.523245) + (xy 94.625128 131.523244) + (xy 94.488597 131.469403) + (xy 94.402803 131.4591) + (xy 94.402802 131.4591) + (xy 94.2831 131.4591) + (xy 94.234762 131.441507) + (xy 94.209042 131.396958) + (xy 94.2079 131.3839) + (xy 94.2079 128.361747) + (xy 94.225493 128.313409) + (xy 94.270042 128.287689) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 99.4007 127.826622) + (xy 99.416274 127.838573) + (xy 101.350074 129.772373) + (xy 101.371814 129.818993) + (xy 101.3721 129.825547) + (xy 101.3721 131.3839) + (xy 101.354507 131.432238) + (xy 101.309958 131.457958) + (xy 101.2969 131.4591) + (xy 101.177197 131.4591) + (xy 101.091402 131.469403) + (xy 100.954871 131.523244) + (xy 100.95487 131.523245) + (xy 100.910725 131.55672) + (xy 100.865288 131.572) + (xy 99.794712 131.572) + (xy 99.749274 131.55672) + (xy 99.70513 131.523245) + (xy 99.705128 131.523244) + (xy 99.568597 131.469403) + (xy 99.482803 131.4591) + (xy 99.482802 131.4591) + (xy 99.3631 131.4591) + (xy 99.314762 131.441507) + (xy 99.289042 131.396958) + (xy 99.2879 131.3839) + (xy 99.2879 127.891747) + (xy 99.305493 127.843409) + (xy 99.350042 127.817689) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 101.822791 129.045493) + (xy 101.827627 129.049926) + (xy 103.890074 131.112373) + (xy 103.911814 131.158993) + (xy 103.9121 131.165547) + (xy 103.9121 131.3839) + (xy 103.894507 131.432238) + (xy 103.849958 131.457958) + (xy 103.8369 131.4591) + (xy 103.717197 131.4591) + (xy 103.631402 131.469403) + (xy 103.494871 131.523244) + (xy 103.49487 131.523245) + (xy 103.450725 131.55672) + (xy 103.405288 131.572) + (xy 102.334712 131.572) + (xy 102.289274 131.55672) + (xy 102.24513 131.523245) + (xy 102.245128 131.523244) + (xy 102.108597 131.469403) + (xy 102.022803 131.4591) + (xy 102.022802 131.4591) + (xy 101.9031 131.4591) + (xy 101.854762 131.441507) + (xy 101.829042 131.396958) + (xy 101.8279 131.3839) + (xy 101.8279 129.707936) + (xy 101.828003 129.703999) + (xy 101.829034 129.684339) + (xy 101.830123 129.663553) + (xy 101.821308 129.640592) + (xy 101.817958 129.629277) + (xy 101.812849 129.605237) + (xy 101.812848 129.605235) + (xy 101.812848 129.605234) + (xy 101.807829 129.598327) + (xy 101.798463 129.581073) + (xy 101.795405 129.573105) + (xy 101.793655 129.571355) + (xy 101.778007 129.555707) + (xy 101.770355 129.546749) + (xy 101.755901 129.526854) + (xy 101.7559 129.526853) + (xy 101.755899 129.526852) + (xy 101.748506 129.522584) + (xy 101.732934 129.510634) + (xy 101.378574 129.156274) + (xy 101.356834 129.109654) + (xy 101.370148 129.059967) + (xy 101.412285 129.030462) + (xy 101.431748 129.0279) + (xy 101.774453 129.0279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 104.222791 128.745493) + (xy 104.227627 128.749926) + (xy 106.430074 130.952373) + (xy 106.451814 130.998993) + (xy 106.4521 131.005547) + (xy 106.4521 131.3839) + (xy 106.434507 131.432238) + (xy 106.389958 131.457958) + (xy 106.3769 131.4591) + (xy 106.257197 131.4591) + (xy 106.171402 131.469403) + (xy 106.034871 131.523244) + (xy 106.03487 131.523245) + (xy 105.990725 131.55672) + (xy 105.945288 131.572) + (xy 104.874712 131.572) + (xy 104.829274 131.55672) + (xy 104.78513 131.523245) + (xy 104.785128 131.523244) + (xy 104.648597 131.469403) + (xy 104.562803 131.4591) + (xy 104.562802 131.4591) + (xy 104.4431 131.4591) + (xy 104.394762 131.441507) + (xy 104.369042 131.396958) + (xy 104.3679 131.3839) + (xy 104.3679 131.047928) + (xy 104.368003 131.043991) + (xy 104.370123 131.003552) + (xy 104.369751 131.002584) + (xy 104.36131 130.980595) + (xy 104.35796 130.969288) + (xy 104.352848 130.945234) + (xy 104.34783 130.938327) + (xy 104.338463 130.921075) + (xy 104.335403 130.913104) + (xy 104.335402 130.913102) + (xy 104.318018 130.895718) + (xy 104.310355 130.886747) + (xy 104.295901 130.866854) + (xy 104.288503 130.862582) + (xy 104.272933 130.850634) + (xy 102.278574 128.856274) + (xy 102.256834 128.809654) + (xy 102.270148 128.759967) + (xy 102.312285 128.730462) + (xy 102.331748 128.7279) + (xy 104.174453 128.7279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 106.172791 128.445493) + (xy 106.177627 128.449926) + (xy 108.970074 131.242373) + (xy 108.991814 131.288993) + (xy 108.9921 131.295547) + (xy 108.9921 131.3839) + (xy 108.974507 131.432238) + (xy 108.929958 131.457958) + (xy 108.9169 131.4591) + (xy 108.797197 131.4591) + (xy 108.711402 131.469403) + (xy 108.574871 131.523244) + (xy 108.57487 131.523245) + (xy 108.530725 131.55672) + (xy 108.485288 131.572) + (xy 107.414712 131.572) + (xy 107.369274 131.55672) + (xy 107.32513 131.523245) + (xy 107.325128 131.523244) + (xy 107.188597 131.469403) + (xy 107.102803 131.4591) + (xy 107.102802 131.4591) + (xy 106.9831 131.4591) + (xy 106.934762 131.441507) + (xy 106.909042 131.396958) + (xy 106.9079 131.3839) + (xy 106.9079 130.887928) + (xy 106.908003 130.883991) + (xy 106.910123 130.843551) + (xy 106.90131 130.820595) + (xy 106.89796 130.809288) + (xy 106.892848 130.785234) + (xy 106.88783 130.778327) + (xy 106.878463 130.761075) + (xy 106.875403 130.753104) + (xy 106.875402 130.753102) + (xy 106.858018 130.735718) + (xy 106.850355 130.726747) + (xy 106.835901 130.706854) + (xy 106.828503 130.702582) + (xy 106.812933 130.690634) + (xy 104.678574 128.556274) + (xy 104.656834 128.509654) + (xy 104.670148 128.459967) + (xy 104.712285 128.430462) + (xy 104.731748 128.4279) + (xy 106.124453 128.4279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 108.772791 128.145493) + (xy 108.777627 128.149926) + (xy 111.510074 130.882373) + (xy 111.531814 130.928993) + (xy 111.5321 130.935547) + (xy 111.5321 131.3839) + (xy 111.514507 131.432238) + (xy 111.469958 131.457958) + (xy 111.4569 131.4591) + (xy 111.337197 131.4591) + (xy 111.251402 131.469403) + (xy 111.114871 131.523244) + (xy 111.11487 131.523245) + (xy 111.070725 131.55672) + (xy 111.025288 131.572) + (xy 109.954712 131.572) + (xy 109.909274 131.55672) + (xy 109.86513 131.523245) + (xy 109.865128 131.523244) + (xy 109.728597 131.469403) + (xy 109.642803 131.4591) + (xy 109.642802 131.4591) + (xy 109.5231 131.4591) + (xy 109.474762 131.441507) + (xy 109.449042 131.396958) + (xy 109.4479 131.3839) + (xy 109.4479 131.177928) + (xy 109.448003 131.173991) + (xy 109.449074 131.153555) + (xy 109.450123 131.133552) + (xy 109.448987 131.130594) + (xy 109.44131 131.110595) + (xy 109.43796 131.099288) + (xy 109.432848 131.075234) + (xy 109.42783 131.068327) + (xy 109.418463 131.051075) + (xy 109.415403 131.043104) + (xy 109.415402 131.043102) + (xy 109.398018 131.025718) + (xy 109.390355 131.016747) + (xy 109.375901 130.996854) + (xy 109.370532 130.993754) + (xy 109.368503 130.992582) + (xy 109.352933 130.980634) + (xy 106.628574 128.256274) + (xy 106.606834 128.209654) + (xy 106.620148 128.159967) + (xy 106.662285 128.130462) + (xy 106.681748 128.1279) + (xy 108.724453 128.1279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 110.631791 127.845493) + (xy 110.636627 127.849926) + (xy 114.050074 131.263373) + (xy 114.071814 131.309993) + (xy 114.0721 131.316547) + (xy 114.0721 131.3839) + (xy 114.054507 131.432238) + (xy 114.009958 131.457958) + (xy 113.9969 131.4591) + (xy 113.877197 131.4591) + (xy 113.791402 131.469403) + (xy 113.654871 131.523244) + (xy 113.65487 131.523245) + (xy 113.610725 131.55672) + (xy 113.565288 131.572) + (xy 112.494712 131.572) + (xy 112.449274 131.55672) + (xy 112.40513 131.523245) + (xy 112.405128 131.523244) + (xy 112.268597 131.469403) + (xy 112.182803 131.4591) + (xy 112.182802 131.4591) + (xy 112.0631 131.4591) + (xy 112.014762 131.441507) + (xy 111.989042 131.396958) + (xy 111.9879 131.3839) + (xy 111.9879 130.817928) + (xy 111.988003 130.813991) + (xy 111.990123 130.773551) + (xy 111.98131 130.750595) + (xy 111.97796 130.739288) + (xy 111.972848 130.715234) + (xy 111.96783 130.708327) + (xy 111.958463 130.691075) + (xy 111.955403 130.683104) + (xy 111.955402 130.683102) + (xy 111.938018 130.665718) + (xy 111.930355 130.656747) + (xy 111.915901 130.636854) + (xy 111.908503 130.632582) + (xy 111.892933 130.620634) + (xy 109.228574 127.956274) + (xy 109.206834 127.909654) + (xy 109.220148 127.859967) + (xy 109.262285 127.830462) + (xy 109.281748 127.8279) + (xy 110.583453 127.8279) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 116.412791 131.085493) + (xy 116.417627 131.089926) + (xy 116.590074 131.262373) + (xy 116.611814 131.308993) + (xy 116.6121 131.315547) + (xy 116.6121 131.3839) + (xy 116.594507 131.432238) + (xy 116.549958 131.457958) + (xy 116.5369 131.4591) + (xy 116.417197 131.4591) + (xy 116.331402 131.469403) + (xy 116.194871 131.523244) + (xy 116.19487 131.523245) + (xy 116.150725 131.55672) + (xy 116.105288 131.572) + (xy 115.034712 131.572) + (xy 114.989274 131.55672) + (xy 114.94513 131.523245) + (xy 114.945128 131.523244) + (xy 114.808597 131.469403) + (xy 114.722803 131.4591) + (xy 114.722802 131.4591) + (xy 114.6031 131.4591) + (xy 114.554762 131.441507) + (xy 114.529042 131.396958) + (xy 114.5279 131.3839) + (xy 114.5279 131.198936) + (xy 114.528003 131.194999) + (xy 114.530537 131.146658) + (xy 114.531755 131.146721) + (xy 114.539988 131.104368) + (xy 114.579965 131.071997) + (xy 114.604447 131.0679) + (xy 116.364453 131.0679) + ) + ) + (filled_polygon + (layer "F.Cu") + (pts + (xy 118.662791 130.785493) + (xy 118.667627 130.789926) + (xy 119.130074 131.252373) + (xy 119.151814 131.298993) + (xy 119.1521 131.305547) + (xy 119.1521 131.3839) + (xy 119.134507 131.432238) + (xy 119.089958 131.457958) + (xy 119.0769 131.4591) + (xy 118.957197 131.4591) + (xy 118.871402 131.469403) + (xy 118.734871 131.523244) + (xy 118.73487 131.523245) + (xy 118.690725 131.55672) + (xy 118.645288 131.572) + (xy 117.574712 131.572) + (xy 117.529274 131.55672) + (xy 117.48513 131.523245) + (xy 117.485128 131.523244) + (xy 117.348597 131.469403) + (xy 117.262803 131.4591) + (xy 117.262802 131.4591) + (xy 117.1431 131.4591) + (xy 117.094762 131.441507) + (xy 117.069042 131.396958) + (xy 117.0679 131.3839) + (xy 117.0679 131.197936) + (xy 117.068003 131.193999) + (xy 117.070123 131.153555) + (xy 117.070122 131.153554) + (xy 117.070123 131.153552) + (xy 117.061306 131.130586) + (xy 117.05796 131.119288) + (xy 117.052848 131.095234) + (xy 117.04783 131.088327) + (xy 117.038463 131.071075) + (xy 117.037244 131.0679) + (xy 117.035403 131.063104) + (xy 117.018013 131.045714) + (xy 117.010354 131.036747) + (xy 116.995901 131.016854) + (xy 116.9959 131.016853) + (xy 116.988506 131.012584) + (xy 116.972934 131.000634) + (xy 116.868574 130.896274) + (xy 116.846834 130.849654) + (xy 116.860148 130.799967) + (xy 116.902285 130.770462) + (xy 116.921748 130.7679) + (xy 118.614453 130.7679) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 83.890033 102.270147) + (xy 83.919538 102.312284) + (xy 83.9221 102.331747) + (xy 83.9221 102.97445) + (xy 83.904507 103.022788) + (xy 83.900074 103.027625) + (xy 83.794447 103.133251) + (xy 83.791593 103.135959) + (xy 83.761505 103.16305) + (xy 83.751507 103.185509) + (xy 83.745877 103.195878) + (xy 83.72818 103.223131) + (xy 83.725922 103.221665) + (xy 83.700357 103.251056) + (xy 83.649548 103.259087) + (xy 83.610747 103.238447) + (xy 83.399926 103.027626) + (xy 83.378186 102.981006) + (xy 83.3779 102.974452) + (xy 83.3779 102.725547) + (xy 83.395493 102.677209) + (xy 83.399926 102.672373) + (xy 83.793726 102.278573) + (xy 83.840346 102.256833) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 105.305128 101.536013) + (xy 105.330353 101.552652) + (xy 105.783231 102.00553) + (xy 105.785942 102.008386) + (xy 105.813051 102.038494) + (xy 105.835516 102.048496) + (xy 105.845884 102.054125) + (xy 105.866504 102.067516) + (xy 105.874936 102.068851) + (xy 105.893755 102.074426) + (xy 105.901558 102.0779) + (xy 105.926147 102.0779) + (xy 105.93791 102.078825) + (xy 105.962194 102.082672) + (xy 105.968459 102.080993) + (xy 105.970443 102.080462) + (xy 105.989904 102.0779) + (xy 107.118253 102.0779) + (xy 107.166591 102.095493) + (xy 107.192311 102.140042) + (xy 107.183378 102.1907) + (xy 107.171427 102.206273) + (xy 106.142988 103.234712) + (xy 105.127626 104.250074) + (xy 105.081006 104.271814) + (xy 105.074452 104.2721) + (xy 101.136309 104.2721) + (xy 101.087971 104.254507) + (xy 101.062251 104.209958) + (xy 101.07118 104.159307) + (xy 101.074112 104.154228) + (xy 101.077414 104.148507) + (xy 101.089362 104.132935) + (xy 101.672298 103.55) + (xy 102.442078 103.55) + (xy 102.462043 103.676056) + (xy 102.483921 103.718993) + (xy 102.519984 103.789771) + (xy 102.610229 103.880016) + (xy 102.723943 103.937956) + (xy 102.723945 103.937957) + (xy 102.85 103.957922) + (xy 102.976055 103.937957) + (xy 103.089771 103.880016) + (xy 103.180016 103.789771) + (xy 103.237957 103.676055) + (xy 103.257922 103.55) + (xy 104.192329 103.55) + (xy 104.211331 103.694337) + (xy 104.224259 103.725547) + (xy 104.267043 103.828836) + (xy 104.267044 103.828838) + (xy 104.267045 103.828839) + (xy 104.355666 103.944333) + (xy 104.436089 104.006043) + (xy 104.471164 104.032957) + (xy 104.605664 104.088669) + (xy 104.75 104.107671) + (xy 104.894336 104.088669) + (xy 105.028836 104.032957) + (xy 105.144333 103.944333) + (xy 105.232957 103.828836) + (xy 105.288669 103.694336) + (xy 105.307671 103.55) + (xy 105.288669 103.405664) + (xy 105.232957 103.271165) + (xy 105.224565 103.260228) + (xy 105.144333 103.155666) + (xy 105.028839 103.067045) + (xy 105.028838 103.067044) + (xy 105.028836 103.067043) + (xy 104.941891 103.031029) + (xy 104.894337 103.011331) + (xy 104.75 102.992329) + (xy 104.605662 103.011331) + (xy 104.471163 103.067044) + (xy 104.471162 103.067044) + (xy 104.355666 103.155666) + (xy 104.267044 103.271162) + (xy 104.267044 103.271163) + (xy 104.267043 103.271164) + (xy 104.267043 103.271165) + (xy 104.264454 103.277415) + (xy 104.211331 103.405662) + (xy 104.192329 103.55) + (xy 103.257922 103.55) + (xy 103.257506 103.547376) + (xy 103.245871 103.473915) + (xy 103.237957 103.423945) + (xy 103.180016 103.310229) + (xy 103.089771 103.219984) + (xy 102.976055 103.162043) + (xy 102.976057 103.162043) + (xy 102.85 103.142078) + (xy 102.723943 103.162043) + (xy 102.610228 103.219984) + (xy 102.519984 103.310228) + (xy 102.462043 103.423943) + (xy 102.442078 103.549999) + (xy 102.442078 103.55) + (xy 101.672298 103.55) + (xy 102.722374 102.499926) + (xy 102.768994 102.478186) + (xy 102.775548 102.4779) + (xy 103.192064 102.4779) + (xy 103.195999 102.478003) + (xy 103.201294 102.47828) + (xy 103.226914 102.479623) + (xy 103.274265 102.499722) + (xy 103.297618 102.545555) + (xy 103.297414 102.56542) + (xy 103.292657 102.59851) + (xy 103.292443 102.6) + (xy 103.295744 102.622956) + (xy 103.310977 102.728911) + (xy 103.365078 102.847373) + (xy 103.36508 102.847376) + (xy 103.450366 102.945801) + (xy 103.505148 102.981006) + (xy 103.543417 103.0056) + (xy 103.559926 103.016209) + (xy 103.684883 103.0529) + (xy 103.815117 103.0529) + (xy 103.940073 103.016209) + (xy 103.940073 103.016208) + (xy 103.940076 103.016208) + (xy 104.049636 102.945799) + (xy 104.134921 102.847374) + (xy 104.189023 102.728909) + (xy 104.207557 102.6) + (xy 104.189023 102.471091) + (xy 104.188154 102.469189) + (xy 104.134921 102.352626) + (xy 104.134919 102.352623) + (xy 104.078187 102.287151) + (xy 104.049636 102.254201) + (xy 104.049635 102.2542) + (xy 104.049633 102.254198) + (xy 103.940073 102.18379) + (xy 103.815117 102.1471) + (xy 103.806747 102.1471) + (xy 103.758409 102.129507) + (xy 103.732689 102.084958) + (xy 103.741622 102.0343) + (xy 103.753573 102.018726) + (xy 103.958866 101.813433) + (xy 104.164827 101.607471) + (xy 104.211446 101.585732) + (xy 104.261133 101.599046) + (xy 104.290638 101.641183) + (xy 104.292435 101.649944) + (xy 104.310977 101.778911) + (xy 104.365078 101.897373) + (xy 104.36508 101.897376) + (xy 104.400414 101.938153) + (xy 104.44341 101.987774) + (xy 104.450366 101.995801) + (xy 104.528668 102.046121) + (xy 104.55301 102.061765) + (xy 104.559926 102.066209) + (xy 104.684883 102.1029) + (xy 104.815117 102.1029) + (xy 104.940073 102.066209) + (xy 104.940073 102.066208) + (xy 104.940076 102.066208) + (xy 105.049636 101.995799) + (xy 105.134921 101.897374) + (xy 105.189023 101.778909) + (xy 105.207557 101.65) + (xy 105.202744 101.616526) + (xy 105.21328 101.566179) + (xy 105.253714 101.534381) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 104.822791 99.945493) + (xy 104.827627 99.949926) + (xy 105.221427 100.343726) + (xy 105.243167 100.390346) + (xy 105.229853 100.440033) + (xy 105.187716 100.469538) + (xy 105.168253 100.4721) + (xy 104.307936 100.4721) + (xy 104.304 100.471997) + (xy 104.302192 100.471902) + (xy 104.263551 100.469876) + (xy 104.26355 100.469876) + (xy 104.240597 100.478688) + (xy 104.229286 100.482039) + (xy 104.205235 100.487151) + (xy 104.205229 100.487154) + (xy 104.198324 100.492171) + (xy 104.18108 100.501534) + (xy 104.170023 100.505779) + (xy 104.118591 100.506679) + (xy 104.078613 100.474309) + (xy 104.068795 100.423815) + (xy 104.089893 100.382405) + (xy 104.522374 99.949924) + (xy 104.568993 99.928186) + (xy 104.575547 99.9279) + (xy 104.774453 99.9279) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 107.269103 96.695493) + (xy 107.294823 96.740042) + (xy 107.28589 96.7907) + (xy 107.273939 96.806274) + (xy 107.219984 96.860228) + (xy 107.162043 96.973943) + (xy 107.142078 97.099999) + (xy 107.142078 97.1) + (xy 107.162043 97.226056) + (xy 107.203756 97.307922) + (xy 107.219984 97.339771) + (xy 107.310229 97.430016) + (xy 107.423943 97.487956) + (xy 107.423945 97.487957) + (xy 107.55 97.507922) + (xy 107.676055 97.487957) + (xy 107.789771 97.430016) + (xy 107.880016 97.339771) + (xy 107.937957 97.226055) + (xy 107.957922 97.1) + (xy 107.957921 97.099997) + (xy 107.958047 97.099206) + (xy 107.982985 97.054215) + (xy 108.031008 97.03578) + (xy 108.079646 97.052527) + (xy 108.085495 97.057795) + (xy 109.271426 98.243726) + (xy 109.293166 98.290346) + (xy 109.279852 98.340033) + (xy 109.237715 98.369538) + (xy 109.218252 98.3721) + (xy 107.329235 98.3721) + (xy 107.280897 98.354507) + (xy 107.255177 98.309958) + (xy 107.26411 98.2593) + (xy 107.276061 98.243726) + (xy 107.280016 98.239771) + (xy 107.337957 98.126055) + (xy 107.357922 98) + (xy 107.356409 97.99045) + (xy 107.347943 97.936995) + (xy 107.337957 97.873945) + (xy 107.280016 97.760229) + (xy 107.189771 97.669984) + (xy 107.076055 97.612043) + (xy 107.076057 97.612043) + (xy 106.95 97.592078) + (xy 106.823943 97.612043) + (xy 106.710228 97.669984) + (xy 106.619984 97.760228) + (xy 106.562043 97.873943) + (xy 106.542078 97.999999) + (xy 106.542078 98) + (xy 106.562043 98.126056) + (xy 106.619984 98.239771) + (xy 106.623939 98.243726) + (xy 106.645679 98.290346) + (xy 106.632365 98.340033) + (xy 106.590228 98.369538) + (xy 106.570765 98.3721) + (xy 106.075547 98.3721) + (xy 106.027209 98.354507) + (xy 106.022373 98.350074) + (xy 105.800485 98.128186) + (xy 105.566758 97.894458) + (xy 105.564047 97.891602) + (xy 105.536949 97.861506) + (xy 105.536945 97.861503) + (xy 105.514486 97.851504) + (xy 105.504116 97.845874) + (xy 105.483496 97.832483) + (xy 105.475064 97.831148) + (xy 105.456243 97.825573) + (xy 105.448442 97.8221) + (xy 105.423848 97.8221) + (xy 105.412084 97.821174) + (xy 105.387808 97.817329) + (xy 105.387804 97.817329) + (xy 105.37956 97.819538) + (xy 105.360099 97.8221) + (xy 104.606747 97.8221) + (xy 104.558409 97.804507) + (xy 104.532689 97.759958) + (xy 104.541622 97.7093) + (xy 104.553573 97.693726) + (xy 104.991743 97.255556) + (xy 105.4339 96.813398) + (xy 105.480519 96.791659) + (xy 105.530206 96.804973) + (xy 105.559711 96.84711) + (xy 105.561347 96.878337) + (xy 105.542078 96.999999) + (xy 105.542078 97) + (xy 105.562043 97.126056) + (xy 105.588459 97.1779) + (xy 105.619984 97.239771) + (xy 105.710229 97.330016) + (xy 105.823943 97.387956) + (xy 105.823945 97.387957) + (xy 105.95 97.407922) + (xy 106.076055 97.387957) + (xy 106.189771 97.330016) + (xy 106.280016 97.239771) + (xy 106.337957 97.126055) + (xy 106.357922 97) + (xy 106.337957 96.873945) + (xy 106.324284 96.84711) + (xy 106.293779 96.78724) + (xy 106.28751 96.736184) + (xy 106.315527 96.693043) + (xy 106.360783 96.6779) + (xy 107.220765 96.6779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 109.572791 94.895493) + (xy 109.577627 94.899926) + (xy 111.271427 96.593726) + (xy 111.293167 96.640346) + (xy 111.279853 96.690033) + (xy 111.237716 96.719538) + (xy 111.218253 96.7221) + (xy 110.675547 96.7221) + (xy 110.627209 96.704507) + (xy 110.622373 96.700074) + (xy 108.928574 95.006274) + (xy 108.906834 94.959654) + (xy 108.920148 94.909967) + (xy 108.962285 94.880462) + (xy 108.981748 94.8779) + (xy 109.524453 94.8779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 143.0093 80.39246) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 145.03254 82.4157) + (xy 145.034 82.430448) + (xy 145.034 129.532552) + (xy 145.03254 129.5473) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.0093 131.57054) + (xy 142.994552 131.572) + (xy 137.893885 131.572) + (xy 137.848447 131.55672) + (xy 137.804886 131.523687) + (xy 137.804879 131.523683) + (xy 137.66848 131.469894) + (xy 137.58276 131.4596) + (xy 137.31 131.4596) + (xy 137.31 131.572) + (xy 137.01 131.572) + (xy 137.01 131.4596) + (xy 136.73724 131.4596) + (xy 136.651519 131.469894) + (xy 136.51512 131.523683) + (xy 136.515113 131.523687) + (xy 136.471553 131.55672) + (xy 136.426115 131.572) + (xy 135.354712 131.572) + (xy 135.309274 131.55672) + (xy 135.26513 131.523245) + (xy 135.265128 131.523244) + (xy 135.128593 131.469401) + (xy 135.048696 131.459806) + (xy 135.002801 131.436575) + (xy 134.982577 131.389277) + (xy 134.99066 131.351002) + (xy 135.007956 131.317057) + (xy 135.007956 131.317056) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 135.007528 131.064104) + (xy 134.99867 131.046718) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.24934 131.351003) + (xy 134.255609 131.402059) + (xy 134.227592 131.4452) + (xy 134.191303 131.459806) + (xy 134.111406 131.469401) + (xy 133.974871 131.523244) + (xy 133.97487 131.523245) + (xy 133.930725 131.55672) + (xy 133.885288 131.572) + (xy 132.814712 131.572) + (xy 132.769274 131.55672) + (xy 132.72513 131.523245) + (xy 132.725128 131.523244) + (xy 132.588593 131.469401) + (xy 132.508696 131.459806) + (xy 132.462801 131.436575) + (xy 132.442577 131.389277) + (xy 132.45066 131.351002) + (xy 132.467956 131.317057) + (xy 132.467956 131.317056) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.467528 131.064104) + (xy 132.45867 131.046718) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.70934 131.351003) + (xy 131.715609 131.402059) + (xy 131.687592 131.4452) + (xy 131.651303 131.459806) + (xy 131.571406 131.469401) + (xy 131.434871 131.523244) + (xy 131.43487 131.523245) + (xy 131.390725 131.55672) + (xy 131.345288 131.572) + (xy 130.274712 131.572) + (xy 130.229274 131.55672) + (xy 130.18513 131.523245) + (xy 130.185128 131.523244) + (xy 130.048597 131.469403) + (xy 129.962803 131.4591) + (xy 129.962802 131.4591) + (xy 129.117198 131.4591) + (xy 129.117197 131.4591) + (xy 129.031402 131.469403) + (xy 128.894871 131.523244) + (xy 128.89487 131.523245) + (xy 128.850725 131.55672) + (xy 128.805288 131.572) + (xy 127.734712 131.572) + (xy 127.689274 131.55672) + (xy 127.64513 131.523245) + (xy 127.645128 131.523244) + (xy 127.508597 131.469403) + (xy 127.422803 131.4591) + (xy 127.422802 131.4591) + (xy 127.3031 131.4591) + (xy 127.254762 131.441507) + (xy 127.229042 131.396958) + (xy 127.2279 131.3839) + (xy 127.2279 129.794001) + (xy 136.24006 129.794001) + (xy 136.241966 129.812138) + (xy 136.242275 129.823931) + (xy 136.241321 129.842146) + (xy 136.24132 129.842149) + (xy 136.252306 129.911509) + (xy 136.25282 129.91541) + (xy 136.260163 129.985268) + (xy 136.260164 129.985273) + (xy 136.265797 130.002611) + (xy 136.26855 130.014076) + (xy 136.271405 130.032097) + (xy 136.271406 130.032099) + (xy 136.296582 130.097688) + (xy 136.297895 130.101397) + (xy 136.317608 130.162065) + (xy 136.319593 130.168173) + (xy 136.328711 130.183965) + (xy 136.33379 130.194615) + (xy 136.340326 130.211643) + (xy 136.378582 130.270552) + (xy 136.380631 130.273895) + (xy 136.415753 130.334727) + (xy 136.415756 130.33473) + (xy 136.415757 130.334732) + (xy 136.427957 130.348282) + (xy 136.435138 130.357641) + (xy 136.44507 130.372934) + (xy 136.445072 130.372936) + (xy 136.445073 130.372937) + (xy 136.494729 130.422593) + (xy 136.497421 130.42543) + (xy 136.512282 130.441934) + (xy 136.54444 130.477649) + (xy 136.559201 130.488373) + (xy 136.568165 130.496029) + (xy 136.576147 130.504011) + (xy 136.581064 130.508928) + (xy 136.639969 130.547181) + (xy 136.643195 130.549398) + (xy 136.70003 130.590691) + (xy 136.716687 130.598107) + (xy 136.727054 130.603735) + (xy 136.735908 130.609485) + (xy 136.742357 130.613674) + (xy 136.807923 130.638842) + (xy 136.811548 130.640342) + (xy 136.875723 130.668915) + (xy 136.89356 130.672705) + (xy 136.904865 130.676053) + (xy 136.921902 130.682594) + (xy 136.921906 130.682594) + (xy 136.921907 130.682595) + (xy 136.991263 130.693579) + (xy 136.995133 130.694295) + (xy 137.06384 130.7089) + (xy 137.082076 130.7089) + (xy 137.09384 130.709825) + (xy 137.111854 130.712679) + (xy 137.181998 130.709003) + (xy 137.185933 130.7089) + (xy 137.256159 130.7089) + (xy 137.25616 130.7089) + (xy 137.274002 130.705107) + (xy 137.285692 130.703568) + (xy 137.301205 130.702755) + (xy 137.303911 130.702614) + (xy 137.371751 130.684436) + (xy 137.375557 130.683521) + (xy 137.444277 130.668915) + (xy 137.460936 130.661496) + (xy 137.472057 130.657558) + (xy 137.489677 130.652838) + (xy 137.552272 130.620943) + (xy 137.555794 130.619263) + (xy 137.61997 130.590691) + (xy 137.634729 130.579966) + (xy 137.644779 130.573807) + (xy 137.661035 130.565526) + (xy 137.715633 130.521312) + (xy 137.718701 130.518958) + (xy 137.77556 130.477649) + (xy 137.822587 130.425418) + (xy 137.82526 130.422602) + (xy 138.89483 129.353032) + (xy 138.90379 129.345378) + (xy 138.91856 129.334649) + (xy 138.965578 129.282428) + (xy 138.968251 129.279611) + (xy 138.983839 129.264025) + (xy 138.997704 129.246901) + (xy 139.000234 129.243938) + (xy 139.047247 129.191727) + (xy 139.056366 129.175931) + (xy 139.063049 129.166206) + (xy 139.074526 129.152035) + (xy 139.106424 129.089429) + (xy 139.108291 129.085994) + (xy 139.112965 129.0779) + (xy 139.13248 129.044099) + (xy 139.143405 129.025177) + (xy 139.143408 129.025169) + (xy 139.149042 129.00783) + (xy 139.153556 128.99693) + (xy 139.161838 128.980677) + (xy 139.180018 128.912824) + (xy 139.181135 128.909054) + (xy 139.202837 128.842266) + (xy 139.204742 128.82413) + (xy 139.206893 128.812526) + (xy 139.207671 128.809622) + (xy 139.211614 128.79491) + (xy 139.21529 128.724753) + (xy 139.215594 128.720884) + (xy 139.2179 128.698952) + (xy 139.2179 128.676933) + (xy 139.218003 128.672998) + (xy 139.219134 128.651407) + (xy 139.221679 128.602854) + (xy 139.218825 128.58484) + (xy 139.2179 128.573076) + (xy 139.2179 128.220923) + (xy 139.218826 128.209157) + (xy 139.219369 128.205733) + (xy 139.221679 128.191146) + (xy 139.218003 128.121) + (xy 139.2179 128.117065) + (xy 139.2179 128.09505) + (xy 139.215903 128.076056) + (xy 139.215594 128.073118) + (xy 139.215289 128.069242) + (xy 139.211614 127.99909) + (xy 139.206892 127.981472) + (xy 139.204742 127.969866) + (xy 139.202837 127.951735) + (xy 139.202836 127.951732) + (xy 139.202273 127.95) + (xy 139.181135 127.884944) + (xy 139.180016 127.881167) + (xy 139.168197 127.837058) + (xy 139.161838 127.813323) + (xy 139.157041 127.803908) + (xy 139.15042 127.785074) + (xy 139.146374 127.765604) + (xy 139.076449 127.630656) + (xy 139.076447 127.630654) + (xy 139.076447 127.630653) + (xy 138.994902 127.54334) + (xy 138.991419 127.539336) + (xy 138.983839 127.529975) + (xy 138.96826 127.514396) + (xy 138.965567 127.511558) + (xy 138.961513 127.507056) + (xy 138.946692 127.490595) + (xy 138.918559 127.459349) + (xy 138.903801 127.448628) + (xy 138.894827 127.440963) + (xy 138.408854 126.95499) + (xy 142.171845 126.95499) + (xy 142.179284 127.092189) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.31388 127.466555) + (xy 142.313881 127.466556) + (xy 142.37475 127.538217) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.826808 127.077626) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.818118 126.849999) + (xy 143.796032 126.770452) + (xy 143.774327 126.692277) + (xy 143.75598 126.65767) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.689702 126.532954) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.216858 126.201008) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.924506 126.177053) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 138.408854 126.95499) + (xy 137.825279 126.371415) + (xy 137.822568 126.368559) + (xy 137.775558 126.316348) + (xy 137.718729 126.27506) + (xy 137.715623 126.272678) + (xy 137.661035 126.228474) + (xy 137.661033 126.228473) + (xy 137.661032 126.228472) + (xy 137.644784 126.220193) + (xy 137.634725 126.214029) + (xy 137.621528 126.204441) + (xy 137.61997 126.203309) + (xy 137.619969 126.203308) + (xy 137.619967 126.203307) + (xy 137.619966 126.203306) + (xy 137.555802 126.174738) + (xy 137.55225 126.173044) + (xy 137.489675 126.141161) + (xy 137.489672 126.141159) + (xy 137.472055 126.136439) + (xy 137.460933 126.132501) + (xy 137.444275 126.125084) + (xy 137.37557 126.110479) + (xy 137.371745 126.109561) + (xy 137.303911 126.091386) + (xy 137.303907 126.091385) + (xy 137.285689 126.09043) + (xy 137.273997 126.08889) + (xy 137.256166 126.0851) + (xy 137.25616 126.0851) + (xy 137.185933 126.0851) + (xy 137.181998 126.084997) + (xy 137.170433 126.08439) + (xy 137.111854 126.081321) + (xy 137.111853 126.081321) + (xy 137.111852 126.081321) + (xy 137.093841 126.084174) + (xy 137.082076 126.0851) + (xy 137.063838 126.0851) + (xy 136.99514 126.099701) + (xy 136.991271 126.100418) + (xy 136.9219 126.111405) + (xy 136.904871 126.117942) + (xy 136.893564 126.121292) + (xy 136.875723 126.125085) + (xy 136.811565 126.153648) + (xy 136.807931 126.155152) + (xy 136.742359 126.180324) + (xy 136.742355 126.180326) + (xy 136.727057 126.190261) + (xy 136.716693 126.195889) + (xy 136.702042 126.202412) + (xy 136.700035 126.203307) + (xy 136.700028 126.20331) + (xy 136.700026 126.203311) + (xy 136.643207 126.244591) + (xy 136.639966 126.246819) + (xy 136.581063 126.285073) + (xy 136.581056 126.285079) + (xy 136.568166 126.297968) + (xy 136.5592 126.305626) + (xy 136.544442 126.316349) + (xy 136.54444 126.316351) + (xy 136.497439 126.368549) + (xy 136.494731 126.371402) + (xy 136.445075 126.421059) + (xy 136.445074 126.42106) + (xy 136.435136 126.436362) + (xy 136.427959 126.445714) + (xy 136.415758 126.459265) + (xy 136.415753 126.459273) + (xy 136.380628 126.520108) + (xy 136.378572 126.523462) + (xy 136.340327 126.582354) + (xy 136.333788 126.599387) + (xy 136.328712 126.610028) + (xy 136.319594 126.625822) + (xy 136.297893 126.692609) + (xy 136.29658 126.696317) + (xy 136.271406 126.7619) + (xy 136.271405 126.761903) + (xy 136.26855 126.779924) + (xy 136.265797 126.79139) + (xy 136.260163 126.80873) + (xy 136.25282 126.878588) + (xy 136.252306 126.882489) + (xy 136.241321 126.95185) + (xy 136.241321 126.951853) + (xy 136.241321 126.951854) + (xy 136.242433 126.973078) + (xy 136.244997 127.021998) + (xy 136.2451 127.025933) + (xy 136.2451 129.742106) + (xy 136.244688 129.749966) + (xy 136.24006 129.793999) + (xy 136.24006 129.794001) + (xy 127.2279 129.794001) + (xy 127.2279 129.607928) + (xy 127.228003 129.603991) + (xy 127.22897 129.585547) + (xy 127.230123 129.563552) + (xy 127.221309 129.540594) + (xy 127.21796 129.529288) + (xy 127.212848 129.505234) + (xy 127.20783 129.498327) + (xy 127.198463 129.481075) + (xy 127.195403 129.473104) + (xy 127.195402 129.473102) + (xy 127.178018 129.455718) + (xy 127.170355 129.446747) + (xy 127.155901 129.426854) + (xy 127.15018 129.423551) + (xy 127.148503 129.422582) + (xy 127.132933 129.410634) + (xy 123.8223 126.1) + (xy 129.792329 126.1) + (xy 129.811331 126.244337) + (xy 129.82059 126.266689) + (xy 129.867043 126.378836) + (xy 129.867044 126.378838) + (xy 129.867045 126.378839) + (xy 129.955666 126.494333) + (xy 130.06008 126.574452) + (xy 130.071164 126.582957) + (xy 130.205664 126.638669) + (xy 130.35 126.657671) + (xy 130.494336 126.638669) + (xy 130.628836 126.582957) + (xy 130.744333 126.494333) + (xy 130.832957 126.378836) + (xy 130.888669 126.244336) + (xy 130.907671 126.1) + (xy 132.192329 126.1) + (xy 132.211331 126.244337) + (xy 132.22059 126.266689) + (xy 132.267043 126.378836) + (xy 132.267044 126.378838) + (xy 132.267045 126.378839) + (xy 132.355666 126.494333) + (xy 132.46008 126.574452) + (xy 132.471164 126.582957) + (xy 132.605664 126.638669) + (xy 132.75 126.657671) + (xy 132.894336 126.638669) + (xy 133.028836 126.582957) + (xy 133.144333 126.494333) + (xy 133.232957 126.378836) + (xy 133.288669 126.244336) + (xy 133.307671 126.1) + (xy 133.301088 126.05) + (xy 134.642329 126.05) + (xy 134.661331 126.194337) + (xy 134.67447 126.226056) + (xy 134.717043 126.328836) + (xy 134.717044 126.328838) + (xy 134.717045 126.328839) + (xy 134.805666 126.444333) + (xy 134.894101 126.512191) + (xy 134.921164 126.532957) + (xy 135.055664 126.588669) + (xy 135.2 126.607671) + (xy 135.344336 126.588669) + (xy 135.478836 126.532957) + (xy 135.594333 126.444333) + (xy 135.682957 126.328836) + (xy 135.738669 126.194336) + (xy 135.757671 126.05) + (xy 135.738669 125.905664) + (xy 135.682957 125.771165) + (xy 135.682051 125.769984) + (xy 135.594333 125.655666) + (xy 135.478839 125.567045) + (xy 135.478838 125.567044) + (xy 135.478836 125.567043) + (xy 135.391891 125.531029) + (xy 135.344337 125.511331) + (xy 135.2 125.492329) + (xy 135.055662 125.511331) + (xy 134.921163 125.567044) + (xy 134.921162 125.567044) + (xy 134.805666 125.655666) + (xy 134.717044 125.771162) + (xy 134.717044 125.771163) + (xy 134.661331 125.905662) + (xy 134.642329 126.05) + (xy 133.301088 126.05) + (xy 133.288669 125.955664) + (xy 133.232957 125.821165) + (xy 133.218257 125.802007) + (xy 133.144333 125.705666) + (xy 133.028839 125.617045) + (xy 133.028838 125.617044) + (xy 133.028836 125.617043) + (xy 132.920335 125.5721) + (xy 132.894337 125.561331) + (xy 132.75 125.542329) + (xy 132.605662 125.561331) + (xy 132.508679 125.601504) + (xy 132.484533 125.611506) + (xy 132.471163 125.617044) + (xy 132.471162 125.617044) + (xy 132.355666 125.705666) + (xy 132.267044 125.821162) + (xy 132.267044 125.821163) + (xy 132.211331 125.955662) + (xy 132.192329 126.1) + (xy 130.907671 126.1) + (xy 130.888669 125.955664) + (xy 130.832957 125.821165) + (xy 130.818257 125.802007) + (xy 130.744333 125.705666) + (xy 130.628839 125.617045) + (xy 130.628838 125.617044) + (xy 130.628836 125.617043) + (xy 130.520335 125.5721) + (xy 130.494337 125.561331) + (xy 130.35 125.542329) + (xy 130.205662 125.561331) + (xy 130.108679 125.601504) + (xy 130.084533 125.611506) + (xy 130.071163 125.617044) + (xy 130.071162 125.617044) + (xy 129.955666 125.705666) + (xy 129.867044 125.821162) + (xy 129.867044 125.821163) + (xy 129.811331 125.955662) + (xy 129.792329 126.1) + (xy 123.8223 126.1) + (xy 122.5723 124.85) + (xy 128.592329 124.85) + (xy 128.611331 124.994337) + (xy 128.618402 125.011407) + (xy 128.667043 125.128836) + (xy 128.667044 125.128838) + (xy 128.667045 125.128839) + (xy 128.755666 125.244333) + (xy 128.840401 125.309352) + (xy 128.871164 125.332957) + (xy 129.005664 125.388669) + (xy 129.15 125.407671) + (xy 129.294336 125.388669) + (xy 129.428836 125.332957) + (xy 129.544333 125.244333) + (xy 129.632957 125.128836) + (xy 129.688669 124.994336) + (xy 129.707671 124.85) + (xy 130.992329 124.85) + (xy 131.011331 124.994337) + (xy 131.018402 125.011407) + (xy 131.067043 125.128836) + (xy 131.067044 125.128838) + (xy 131.067045 125.128839) + (xy 131.155666 125.244333) + (xy 131.240401 125.309352) + (xy 131.271164 125.332957) + (xy 131.405664 125.388669) + (xy 131.55 125.407671) + (xy 131.694336 125.388669) + (xy 131.828836 125.332957) + (xy 131.944333 125.244333) + (xy 132.032957 125.128836) + (xy 132.088669 124.994336) + (xy 132.101088 124.9) + (xy 133.442329 124.9) + (xy 133.454748 124.994337) + (xy 133.461331 125.044336) + (xy 133.517043 125.178836) + (xy 133.517044 125.178838) + (xy 133.517045 125.178839) + (xy 133.605666 125.294333) + (xy 133.72116 125.382954) + (xy 133.721164 125.382957) + (xy 133.855664 125.438669) + (xy 134 125.457671) + (xy 134.144336 125.438669) + (xy 134.278836 125.382957) + (xy 134.394333 125.294333) + (xy 134.482957 125.178836) + (xy 134.538669 125.044336) + (xy 134.557671 124.9) + (xy 134.538669 124.755664) + (xy 134.482957 124.621165) + (xy 134.482444 124.620496) + (xy 134.394333 124.505666) + (xy 134.278839 124.417045) + (xy 134.278838 124.417044) + (xy 134.278836 124.417043) + (xy 134.178712 124.37557) + (xy 134.144337 124.361331) + (xy 134 124.342329) + (xy 133.855662 124.361331) + (xy 133.752528 124.404052) + (xy 133.722252 124.416593) + (xy 133.721163 124.417044) + (xy 133.721162 124.417044) + (xy 133.605666 124.505666) + (xy 133.517044 124.621162) + (xy 133.517044 124.621163) + (xy 133.517043 124.621164) + (xy 133.517043 124.621165) + (xy 133.507485 124.644238) + (xy 133.461331 124.755662) + (xy 133.442329 124.9) + (xy 132.101088 124.9) + (xy 132.107671 124.85) + (xy 132.088669 124.705664) + (xy 132.032957 124.571165) + (xy 132.032955 124.571162) + (xy 131.944333 124.455666) + (xy 131.828839 124.367045) + (xy 131.828838 124.367044) + (xy 131.828836 124.367043) + (xy 131.719024 124.321557) + (xy 131.694337 124.311331) + (xy 131.55 124.292329) + (xy 131.405662 124.311331) + (xy 131.271163 124.367044) + (xy 131.271162 124.367044) + (xy 131.155666 124.455666) + (xy 131.067044 124.571162) + (xy 131.067044 124.571163) + (xy 131.067043 124.571164) + (xy 131.067043 124.571165) + (xy 131.061888 124.583611) + (xy 131.011331 124.705662) + (xy 130.992329 124.85) + (xy 129.707671 124.85) + (xy 129.688669 124.705664) + (xy 129.632957 124.571165) + (xy 129.632955 124.571162) + (xy 129.544333 124.455666) + (xy 129.428839 124.367045) + (xy 129.428838 124.367044) + (xy 129.428836 124.367043) + (xy 129.319024 124.321557) + (xy 129.294337 124.311331) + (xy 129.15 124.292329) + (xy 129.005662 124.311331) + (xy 128.871163 124.367044) + (xy 128.871162 124.367044) + (xy 128.755666 124.455666) + (xy 128.667044 124.571162) + (xy 128.667044 124.571163) + (xy 128.667043 124.571164) + (xy 128.667043 124.571165) + (xy 128.661888 124.583611) + (xy 128.611331 124.705662) + (xy 128.592329 124.85) + (xy 122.5723 124.85) + (xy 121.916758 124.194458) + (xy 121.914047 124.191602) + (xy 121.897926 124.173698) + (xy 121.886949 124.161506) + (xy 121.886945 124.161503) + (xy 121.864486 124.151504) + (xy 121.854116 124.145874) + (xy 121.833496 124.132483) + (xy 121.825064 124.131148) + (xy 121.806243 124.125573) + (xy 121.798442 124.1221) + (xy 121.773848 124.1221) + (xy 121.762084 124.121174) + (xy 121.737808 124.117329) + (xy 121.737804 124.117329) + (xy 121.72956 124.119538) + (xy 121.710099 124.1221) + (xy 121.373036 124.1221) + (xy 121.324698 124.104507) + (xy 121.319862 124.100075) + (xy 121.289199 124.069412) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.760228 124.019984) + (xy 120.669984 124.110228) + (xy 120.612043 124.223943) + (xy 120.592078 124.349999) + (xy 120.592078 124.35) + (xy 120.612043 124.476056) + (xy 120.663935 124.5779) + (xy 120.669984 124.589771) + (xy 120.760229 124.680016) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.319862 124.599925) + (xy 121.366482 124.578186) + (xy 121.373036 124.5779) + (xy 121.624453 124.5779) + (xy 121.672791 124.595493) + (xy 121.677627 124.599926) + (xy 126.750074 129.672373) + (xy 126.771814 129.718993) + (xy 126.7721 129.725547) + (xy 126.7721 131.3839) + (xy 126.754507 131.432238) + (xy 126.709958 131.457958) + (xy 126.6969 131.4591) + (xy 126.577197 131.4591) + (xy 126.491402 131.469403) + (xy 126.354871 131.523244) + (xy 126.35487 131.523245) + (xy 126.310725 131.55672) + (xy 126.265288 131.572) + (xy 125.194712 131.572) + (xy 125.149274 131.55672) + (xy 125.10513 131.523245) + (xy 125.105128 131.523244) + (xy 124.968597 131.469403) + (xy 124.882803 131.4591) + (xy 124.882802 131.4591) + (xy 124.7631 131.4591) + (xy 124.714762 131.441507) + (xy 124.689042 131.396958) + (xy 124.6879 131.3839) + (xy 124.6879 129.467936) + (xy 124.688003 129.463999) + (xy 124.688437 129.455718) + (xy 124.690123 129.423552) + (xy 124.689751 129.422584) + (xy 124.681311 129.400595) + (xy 124.677959 129.38928) + (xy 124.672848 129.365235) + (xy 124.672848 129.365234) + (xy 124.668324 129.359008) + (xy 124.667831 129.35833) + (xy 124.658463 129.341074) + (xy 124.658025 129.339934) + (xy 124.655404 129.333104) + (xy 124.638007 129.315707) + (xy 124.630355 129.306749) + (xy 124.615901 129.286854) + (xy 124.6159 129.286853) + (xy 124.615899 129.286852) + (xy 124.608506 129.282584) + (xy 124.592934 129.270634) + (xy 120.966758 125.644458) + (xy 120.964047 125.641602) + (xy 120.936949 125.611506) + (xy 120.936945 125.611503) + (xy 120.914486 125.601504) + (xy 120.904116 125.595874) + (xy 120.883496 125.582483) + (xy 120.875064 125.581148) + (xy 120.856243 125.575573) + (xy 120.848442 125.5721) + (xy 120.823848 125.5721) + (xy 120.812084 125.571174) + (xy 120.787808 125.567329) + (xy 120.787804 125.567329) + (xy 120.77956 125.569538) + (xy 120.760099 125.5721) + (xy 116.723036 125.5721) + (xy 116.674698 125.554507) + (xy 116.669862 125.550075) + (xy 116.631118 125.511331) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.11023 125.469983) + (xy 116.030138 125.550075) + (xy 115.983518 125.571814) + (xy 115.976964 125.5721) + (xy 112.463547 125.5721) + (xy 112.415209 125.554507) + (xy 112.410373 125.550074) + (xy 111.092498 124.232199) + (xy 109.9603 123.1) + (xy 114.392078 123.1) + (xy 114.412043 123.226056) + (xy 114.449682 123.299926) + (xy 114.469984 123.339771) + (xy 114.560229 123.430016) + (xy 114.673943 123.487956) + (xy 114.673945 123.487957) + (xy 114.8 123.507922) + (xy 114.926055 123.487957) + (xy 115.039771 123.430016) + (xy 115.130016 123.339771) + (xy 115.187957 123.226055) + (xy 115.200003 123.15) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.163319 123.37669) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.900003 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.299682 123.299926) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.050003 123.15) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.863319 123.37669) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.439771 123.480016) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.607922 123.15) + (xy 121.587957 123.023945) + (xy 121.530016 122.910229) + (xy 121.439771 122.819984) + (xy 121.326055 122.762043) + (xy 121.326057 122.762043) + (xy 121.2 122.742078) + (xy 121.073943 122.762043) + (xy 120.960228 122.819984) + (xy 120.869984 122.910228) + (xy 120.812043 123.023943) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.050003 123.15) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 119.980016 122.860229) + (xy 119.889771 122.769984) + (xy 119.776055 122.712043) + (xy 119.776057 122.712043) + (xy 119.65 122.692078) + (xy 119.523943 122.712043) + (xy 119.410228 122.769984) + (xy 119.319984 122.860228) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 116.900003 123.1) + (xy 116.887957 123.023945) + (xy 116.830016 122.910229) + (xy 116.739771 122.819984) + (xy 116.626055 122.762043) + (xy 116.626057 122.762043) + (xy 116.5 122.742078) + (xy 116.373943 122.762043) + (xy 116.260228 122.819984) + (xy 116.169984 122.910228) + (xy 116.112043 123.023943) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 115.200003 123.15) + (xy 115.207922 123.1) + (xy 115.187957 122.973945) + (xy 115.130016 122.860229) + (xy 115.039771 122.769984) + (xy 114.926055 122.712043) + (xy 114.926057 122.712043) + (xy 114.8 122.692078) + (xy 114.673943 122.712043) + (xy 114.560228 122.769984) + (xy 114.469984 122.860228) + (xy 114.412043 122.973943) + (xy 114.392078 123.099999) + (xy 114.392078 123.1) + (xy 109.9603 123.1) + (xy 109.754758 122.894458) + (xy 109.752047 122.891602) + (xy 109.724949 122.861506) + (xy 109.724945 122.861503) + (xy 109.702486 122.851504) + (xy 109.692116 122.845874) + (xy 109.671496 122.832483) + (xy 109.663064 122.831148) + (xy 109.644243 122.825573) + (xy 109.636442 122.8221) + (xy 109.611848 122.8221) + (xy 109.600084 122.821174) + (xy 109.575808 122.817329) + (xy 109.575804 122.817329) + (xy 109.56756 122.819538) + (xy 109.548099 122.8221) + (xy 109.323036 122.8221) + (xy 109.274698 122.804507) + (xy 109.269862 122.800075) + (xy 109.23183 122.762043) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.612995 123.276055) + (xy 108.619984 123.289771) + (xy 108.710229 123.380016) + (xy 108.823943 123.437956) + (xy 108.823945 123.437957) + (xy 108.95 123.457922) + (xy 109.076055 123.437957) + (xy 109.189771 123.380016) + (xy 109.269862 123.299925) + (xy 109.316482 123.278186) + (xy 109.323036 123.2779) + (xy 109.462453 123.2779) + (xy 109.510791 123.295493) + (xy 109.515627 123.299926) + (xy 112.171231 125.95553) + (xy 112.173942 125.958386) + (xy 112.201051 125.988494) + (xy 112.223516 125.998495) + (xy 112.233887 126.004127) + (xy 112.254502 126.017516) + (xy 112.262928 126.01885) + (xy 112.281759 126.024428) + (xy 112.289553 126.027898) + (xy 112.289555 126.027898) + (xy 112.289558 126.0279) + (xy 112.314152 126.0279) + (xy 112.325915 126.028825) + (xy 112.350194 126.032671) + (xy 112.355253 126.031315) + (xy 112.35844 126.030462) + (xy 112.377901 126.0279) + (xy 115.976964 126.0279) + (xy 116.025302 126.045493) + (xy 116.030138 126.049925) + (xy 116.110229 126.130016) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.669862 126.049925) + (xy 116.716482 126.028186) + (xy 116.723036 126.0279) + (xy 120.674453 126.0279) + (xy 120.722791 126.045493) + (xy 120.727627 126.049926) + (xy 124.210074 129.532373) + (xy 124.231814 129.578993) + (xy 124.2321 129.585547) + (xy 124.2321 131.3839) + (xy 124.214507 131.432238) + (xy 124.169958 131.457958) + (xy 124.1569 131.4591) + (xy 124.037197 131.4591) + (xy 123.951402 131.469403) + (xy 123.814871 131.523244) + (xy 123.81487 131.523245) + (xy 123.770725 131.55672) + (xy 123.725288 131.572) + (xy 122.654712 131.572) + (xy 122.609274 131.55672) + (xy 122.56513 131.523245) + (xy 122.565128 131.523244) + (xy 122.428597 131.469403) + (xy 122.342803 131.4591) + (xy 122.342802 131.4591) + (xy 121.497198 131.4591) + (xy 121.497197 131.4591) + (xy 121.411402 131.469403) + (xy 121.274871 131.523244) + (xy 121.27487 131.523245) + (xy 121.230725 131.55672) + (xy 121.185288 131.572) + (xy 120.3701 131.572) + (xy 120.321762 131.554407) + (xy 120.296042 131.509858) + (xy 120.2949 131.4968) + (xy 120.2949 129.871923) + (xy 120.295826 129.860157) + (xy 120.298679 129.842146) + (xy 120.295003 129.772) + (xy 120.2949 129.768065) + (xy 120.2949 129.74605) + (xy 120.294899 129.746041) + (xy 120.292594 129.724118) + (xy 120.292289 129.720232) + (xy 120.288614 129.650089) + (xy 120.283892 129.632468) + (xy 120.281742 129.620865) + (xy 120.280175 129.605956) + (xy 120.279837 129.602734) + (xy 120.261825 129.5473) + (xy 120.258139 129.535955) + (xy 120.25702 129.53218) + (xy 120.238839 129.464327) + (xy 120.238839 129.464326) + (xy 120.238838 129.464323) + (xy 120.230556 129.448068) + (xy 120.226042 129.43717) + (xy 120.223123 129.428186) + (xy 120.220407 129.419827) + (xy 120.219141 129.417635) + (xy 120.196267 129.378016) + (xy 120.185288 129.359) + (xy 120.183414 129.355548) + (xy 120.182135 129.353038) + (xy 120.151526 129.292964) + (xy 120.151523 129.29296) + (xy 120.151521 129.292956) + (xy 120.14005 129.278792) + (xy 120.133367 129.269069) + (xy 120.124247 129.253273) + (xy 120.124246 129.253272) + (xy 120.124245 129.25327) + (xy 120.077252 129.20108) + (xy 120.074693 129.198084) + (xy 120.060843 129.180979) + (xy 120.06084 129.180976) + (xy 120.055795 129.175931) + (xy 120.04526 129.165396) + (xy 120.042567 129.162558) + (xy 119.995559 129.110349) + (xy 119.980801 129.099628) + (xy 119.97183 129.091966) + (xy 119.739025 128.859161) + (xy 119.732987 128.854272) + (xy 119.627041 128.768478) + (xy 119.627028 128.76847) + (xy 119.455682 128.681163) + (xy 119.455678 128.681162) + (xy 119.269908 128.631385) + (xy 119.106542 128.622824) + (xy 119.077854 128.621321) + (xy 119.077853 128.621321) + (xy 119.077851 128.621321) + (xy 118.887903 128.651405) + (xy 118.887897 128.651406) + (xy 118.708359 128.720325) + (xy 118.547063 128.825073) + (xy 118.547053 128.825081) + (xy 118.411081 128.961053) + (xy 118.411073 128.961063) + (xy 118.306325 129.122359) + (xy 118.237406 129.301897) + (xy 118.237405 129.301903) + (xy 118.207321 129.491851) + (xy 118.217385 129.683908) + (xy 118.267162 129.869678) + (xy 118.267163 129.869682) + (xy 118.35447 130.041028) + (xy 118.354478 130.041041) + (xy 118.425033 130.128169) + (xy 118.445161 130.153025) + (xy 118.445166 130.15303) + (xy 118.445788 130.153721) + (xy 118.445851 130.153877) + (xy 118.4464 130.154555) + (xy 118.446192 130.154722) + (xy 118.465054 130.201417) + (xy 118.4651 130.204036) + (xy 118.4651 131.4968) + (xy 118.447507 131.545138) + (xy 118.402958 131.570858) + (xy 118.3899 131.572) + (xy 117.8301 131.572) + (xy 117.781762 131.554407) + (xy 117.756042 131.509858) + (xy 117.7549 131.4968) + (xy 117.7549 130.204036) + (xy 117.772493 130.155698) + (xy 117.774212 130.153721) + (xy 117.774824 130.153039) + (xy 117.774839 130.153025) + (xy 117.865526 130.041036) + (xy 117.952838 129.869677) + (xy 118.002614 129.683911) + (xy 118.012679 129.491854) + (xy 117.984781 129.315714) + (xy 117.982593 129.301901) + (xy 117.913673 129.122359) + (xy 117.913674 129.122359) + (xy 117.905876 129.110351) + (xy 117.808927 128.961064) + (xy 117.808922 128.961059) + (xy 117.808918 128.961054) + (xy 117.672945 128.825081) + (xy 117.67294 128.825077) + (xy 117.672936 128.825073) + (xy 117.511644 128.720328) + (xy 117.511641 128.720327) + (xy 117.51164 128.720326) + (xy 117.332098 128.651406) + (xy 117.142149 128.621321) + (xy 117.142146 128.621321) + (xy 117.114818 128.622753) + (xy 116.95009 128.631385) + (xy 116.764323 128.681161) + (xy 116.592963 128.768474) + (xy 116.592957 128.768478) + (xy 116.480979 128.859157) + (xy 116.48097 128.859165) + (xy 116.248168 129.091966) + (xy 116.239198 129.099627) + (xy 116.224446 129.110345) + (xy 116.22444 129.110351) + (xy 116.177438 129.16255) + (xy 116.174733 129.165401) + (xy 116.159163 129.180972) + (xy 116.145299 129.198092) + (xy 116.142745 129.201082) + (xy 116.095755 129.253269) + (xy 116.08663 129.269073) + (xy 116.07995 129.278792) + (xy 116.068477 129.29296) + (xy 116.068474 129.292964) + (xy 116.036593 129.355532) + (xy 116.034716 129.358989) + (xy 115.999591 129.419828) + (xy 115.993953 129.437178) + (xy 115.989442 129.448068) + (xy 115.981164 129.464317) + (xy 115.98116 129.464326) + (xy 115.962983 129.532162) + (xy 115.961866 129.535934) + (xy 115.940163 129.602733) + (xy 115.938256 129.620872) + (xy 115.936107 129.632468) + (xy 115.931385 129.650091) + (xy 115.927709 129.720232) + (xy 115.9274 129.724153) + (xy 115.9251 129.746041) + (xy 115.9251 129.768065) + (xy 115.924997 129.772) + (xy 115.921321 129.842146) + (xy 115.924174 129.860158) + (xy 115.9251 129.871923) + (xy 115.9251 131.4968) + (xy 115.907507 131.545138) + (xy 115.862958 131.570858) + (xy 115.8499 131.572) + (xy 115.034712 131.572) + (xy 114.989274 131.55672) + (xy 114.94513 131.523245) + (xy 114.945128 131.523244) + (xy 114.808597 131.469403) + (xy 114.722803 131.4591) + (xy 114.722802 131.4591) + (xy 113.877198 131.4591) + (xy 113.877197 131.4591) + (xy 113.791402 131.469403) + (xy 113.654871 131.523244) + (xy 113.65487 131.523245) + (xy 113.610725 131.55672) + (xy 113.565288 131.572) + (xy 112.494712 131.572) + (xy 112.449274 131.55672) + (xy 112.40513 131.523245) + (xy 112.405128 131.523244) + (xy 112.268597 131.469403) + (xy 112.182803 131.4591) + (xy 112.182802 131.4591) + (xy 111.337198 131.4591) + (xy 111.337197 131.4591) + (xy 111.251402 131.469403) + (xy 111.114871 131.523244) + (xy 111.11487 131.523245) + (xy 111.070725 131.55672) + (xy 111.025288 131.572) + (xy 109.954712 131.572) + (xy 109.909274 131.55672) + (xy 109.86513 131.523245) + (xy 109.865128 131.523244) + (xy 109.728597 131.469403) + (xy 109.642803 131.4591) + (xy 109.642802 131.4591) + (xy 108.797198 131.4591) + (xy 108.797197 131.4591) + (xy 108.711402 131.469403) + (xy 108.574871 131.523244) + (xy 108.57487 131.523245) + (xy 108.530725 131.55672) + (xy 108.485288 131.572) + (xy 107.414712 131.572) + (xy 107.369274 131.55672) + (xy 107.32513 131.523245) + (xy 107.325128 131.523244) + (xy 107.188597 131.469403) + (xy 107.102803 131.4591) + (xy 107.102802 131.4591) + (xy 106.257198 131.4591) + (xy 106.257197 131.4591) + (xy 106.171402 131.469403) + (xy 106.034871 131.523244) + (xy 106.03487 131.523245) + (xy 105.990725 131.55672) + (xy 105.945288 131.572) + (xy 104.874712 131.572) + (xy 104.829274 131.55672) + (xy 104.78513 131.523245) + (xy 104.785128 131.523244) + (xy 104.648597 131.469403) + (xy 104.562803 131.4591) + (xy 104.562802 131.4591) + (xy 103.717198 131.4591) + (xy 103.717197 131.4591) + (xy 103.631402 131.469403) + (xy 103.494871 131.523244) + (xy 103.49487 131.523245) + (xy 103.450725 131.55672) + (xy 103.405288 131.572) + (xy 102.334712 131.572) + (xy 102.289274 131.55672) + (xy 102.24513 131.523245) + (xy 102.245128 131.523244) + (xy 102.108597 131.469403) + (xy 102.022803 131.4591) + (xy 102.022802 131.4591) + (xy 101.9031 131.4591) + (xy 101.854762 131.441507) + (xy 101.829042 131.396958) + (xy 101.8279 131.3839) + (xy 101.8279 131.316547) + (xy 101.845493 131.268209) + (xy 101.849926 131.263373) + (xy 102.561374 130.551926) + (xy 102.607994 130.530186) + (xy 102.614548 130.5299) + (xy 104.386064 130.5299) + (xy 104.39 130.530003) + (xy 104.430447 130.532123) + (xy 104.430447 130.532122) + (xy 104.430448 130.532123) + (xy 104.453403 130.52331) + (xy 104.464722 130.519958) + (xy 104.488761 130.514849) + (xy 104.48876 130.514849) + (xy 104.488766 130.514848) + (xy 104.495674 130.509828) + (xy 104.512921 130.500464) + (xy 104.520896 130.497403) + (xy 104.538289 130.480009) + (xy 104.547248 130.472357) + (xy 104.567146 130.457901) + (xy 104.571415 130.450505) + (xy 104.583362 130.434935) + (xy 107.955547 127.06275) + (xy 107.958365 127.060075) + (xy 107.988494 127.032949) + (xy 107.988493 127.032949) + (xy 107.99437 127.027659) + (xy 107.995251 127.028638) + (xy 108.030781 127.004666) + (xy 108.081939 127.010036) + (xy 108.102156 127.024455) + (xy 108.650445 127.572745) + (xy 109.18324 128.10554) + (xy 109.185951 128.108396) + (xy 109.197299 128.121) + (xy 109.213051 128.138494) + (xy 109.235523 128.148498) + (xy 109.245874 128.154119) + (xy 109.266503 128.167516) + (xy 109.274928 128.16885) + (xy 109.293759 128.174428) + (xy 109.301553 128.177898) + (xy 109.301555 128.177898) + (xy 109.301558 128.1779) + (xy 109.326152 128.1779) + (xy 109.337915 128.178825) + (xy 109.362194 128.182671) + (xy 109.367253 128.181315) + (xy 109.37044 128.180462) + (xy 109.389901 128.1779) + (xy 112.776964 128.1779) + (xy 112.825302 128.195493) + (xy 112.830138 128.199925) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.480016 128.189771) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.537957 127.823945) + (xy 113.480016 127.710229) + (xy 113.389771 127.619984) + (xy 113.276055 127.562043) + (xy 113.276057 127.562043) + (xy 113.15 127.542078) + (xy 113.023943 127.562043) + (xy 112.91023 127.619983) + (xy 112.830138 127.700075) + (xy 112.783518 127.721814) + (xy 112.776964 127.7221) + (xy 109.475547 127.7221) + (xy 109.427209 127.704507) + (xy 109.422373 127.700074) + (xy 108.349926 126.627626) + (xy 108.328186 126.581006) + (xy 108.3279 126.574452) + (xy 108.3279 124.267729) + (xy 108.345493 124.219391) + (xy 108.390042 124.193671) + (xy 108.391252 124.193469) + (xy 108.426055 124.187957) + (xy 108.539771 124.130016) + (xy 108.630016 124.039771) + (xy 108.687957 123.926055) + (xy 108.707922 123.8) + (xy 108.687957 123.673945) + (xy 108.630016 123.560229) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173942 123.412043) + (xy 108.17394 123.412044) + (xy 108.137239 123.430744) + (xy 108.086182 123.437012) + (xy 108.043042 123.408996) + (xy 108.0279 123.36374) + (xy 108.0279 123.213846) + (xy 108.036098 123.179703) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.312995 123.276055) + (xy 107.319984 123.289771) + (xy 107.410229 123.380016) + (xy 107.523945 123.437957) + (xy 107.529219 123.440644) + (xy 107.528061 123.442916) + (xy 107.56066 123.468368) + (xy 107.5721 123.508239) + (xy 107.5721 126.770452) + (xy 107.554507 126.81879) + (xy 107.550074 126.823626) + (xy 104.321626 130.052074) + (xy 104.275006 130.073814) + (xy 104.268452 130.0741) + (xy 102.496936 130.0741) + (xy 102.493 130.073997) + (xy 102.491192 130.073902) + (xy 102.452551 130.071876) + (xy 102.45255 130.071876) + (xy 102.429597 130.080688) + (xy 102.418286 130.084039) + (xy 102.394235 130.089151) + (xy 102.394229 130.089154) + (xy 102.387324 130.094171) + (xy 102.370085 130.103532) + (xy 102.362104 130.106596) + (xy 102.344712 130.123987) + (xy 102.335746 130.131645) + (xy 102.315854 130.146098) + (xy 102.315852 130.146101) + (xy 102.311583 130.153495) + (xy 102.299634 130.169065) + (xy 101.444456 131.024242) + (xy 101.441602 131.026952) + (xy 101.411504 131.054052) + (xy 101.401507 131.076509) + (xy 101.395877 131.086878) + (xy 101.382485 131.107501) + (xy 101.382483 131.107506) + (xy 101.381148 131.115935) + (xy 101.375574 131.134754) + (xy 101.3721 131.142557) + (xy 101.3721 131.167152) + (xy 101.371174 131.178916) + (xy 101.367329 131.203191) + (xy 101.367329 131.203194) + (xy 101.369538 131.211438) + (xy 101.3721 131.2309) + (xy 101.3721 131.3839) + (xy 101.354507 131.432238) + (xy 101.309958 131.457958) + (xy 101.2969 131.4591) + (xy 101.177197 131.4591) + (xy 101.091402 131.469403) + (xy 100.954871 131.523244) + (xy 100.95487 131.523245) + (xy 100.910725 131.55672) + (xy 100.865288 131.572) + (xy 99.794712 131.572) + (xy 99.749274 131.55672) + (xy 99.70513 131.523245) + (xy 99.705128 131.523244) + (xy 99.568597 131.469403) + (xy 99.482803 131.4591) + (xy 99.482802 131.4591) + (xy 99.3631 131.4591) + (xy 99.314762 131.441507) + (xy 99.289042 131.396958) + (xy 99.2879 131.3839) + (xy 99.2879 131.316547) + (xy 99.305493 131.268209) + (xy 99.309926 131.263373) + (xy 101.123373 129.449926) + (xy 101.169993 129.428186) + (xy 101.176547 129.4279) + (xy 102.313064 129.4279) + (xy 102.317 129.428003) + (xy 102.357447 129.430123) + (xy 102.357447 129.430122) + (xy 102.357448 129.430123) + (xy 102.380403 129.42131) + (xy 102.391722 129.417958) + (xy 102.415761 129.412849) + (xy 102.41576 129.412849) + (xy 102.415766 129.412848) + (xy 102.422674 129.407828) + (xy 102.439921 129.398464) + (xy 102.447896 129.395403) + (xy 102.465289 129.378009) + (xy 102.474248 129.370357) + (xy 102.494146 129.355901) + (xy 102.498415 129.348505) + (xy 102.510362 129.332935) + (xy 106.955547 124.88775) + (xy 106.958365 124.885075) + (xy 106.988494 124.857949) + (xy 106.998496 124.835481) + (xy 107.004121 124.825121) + (xy 107.017516 124.804496) + (xy 107.018851 124.796061) + (xy 107.024424 124.777248) + (xy 107.0279 124.769442) + (xy 107.0279 124.744852) + (xy 107.028826 124.733086) + (xy 107.031566 124.715787) + (xy 107.032672 124.708806) + (xy 107.03046 124.700553) + (xy 107.0279 124.681095) + (xy 107.0279 124.267729) + (xy 107.045493 124.219391) + (xy 107.090042 124.193671) + (xy 107.091252 124.193469) + (xy 107.126055 124.187957) + (xy 107.239771 124.130016) + (xy 107.330016 124.039771) + (xy 107.387957 123.926055) + (xy 107.407922 123.8) + (xy 107.387957 123.673945) + (xy 107.330016 123.560229) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873942 123.412043) + (xy 106.87394 123.412044) + (xy 106.837239 123.430744) + (xy 106.786182 123.437012) + (xy 106.743042 123.408996) + (xy 106.7279 123.36374) + (xy 106.7279 123.213846) + (xy 106.736098 123.179703) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.012995 123.276055) + (xy 106.019984 123.289771) + (xy 106.110229 123.380016) + (xy 106.223945 123.437957) + (xy 106.229219 123.440644) + (xy 106.228061 123.442916) + (xy 106.26066 123.468368) + (xy 106.2721 123.508239) + (xy 106.2721 124.424452) + (xy 106.254507 124.47279) + (xy 106.250074 124.477626) + (xy 103.596626 127.131074) + (xy 103.550006 127.152814) + (xy 103.543452 127.1531) + (xy 85.573036 127.1531) + (xy 85.524698 127.135507) + (xy 85.519862 127.131075) + (xy 85.480637 127.09185) + (xy 85.439771 127.050984) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380999) + (xy 84.792078 127.381) + (xy 84.812043 127.507056) + (xy 84.863935 127.6089) + (xy 84.869984 127.620771) + (xy 84.960229 127.711016) + (xy 85.073943 127.768956) + (xy 85.073945 127.768957) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.519862 127.630925) + (xy 85.566482 127.609186) + (xy 85.573036 127.6089) + (xy 103.408252 127.6089) + (xy 103.45659 127.626493) + (xy 103.48231 127.671042) + (xy 103.473377 127.7217) + (xy 103.461426 127.737274) + (xy 102.248626 128.950074) + (xy 102.202006 128.971814) + (xy 102.195452 128.9721) + (xy 101.058929 128.9721) + (xy 101.054992 128.971997) + (xy 101.014551 128.969876) + (xy 100.991597 128.978688) + (xy 100.980286 128.982039) + (xy 100.956235 128.987151) + (xy 100.956229 128.987154) + (xy 100.949324 128.992171) + (xy 100.932085 129.001532) + (xy 100.924104 129.004596) + (xy 100.906712 129.021987) + (xy 100.897746 129.029645) + (xy 100.877854 129.044098) + (xy 100.877852 129.044101) + (xy 100.873583 129.051495) + (xy 100.861634 129.067065) + (xy 98.904456 131.024242) + (xy 98.901602 131.026952) + (xy 98.871504 131.054052) + (xy 98.861507 131.076509) + (xy 98.855877 131.086878) + (xy 98.842485 131.107501) + (xy 98.842483 131.107506) + (xy 98.841148 131.115935) + (xy 98.835574 131.134754) + (xy 98.8321 131.142557) + (xy 98.8321 131.167152) + (xy 98.831174 131.178916) + (xy 98.827329 131.203191) + (xy 98.827329 131.203194) + (xy 98.829538 131.211438) + (xy 98.8321 131.2309) + (xy 98.8321 131.3839) + (xy 98.814507 131.432238) + (xy 98.769958 131.457958) + (xy 98.7569 131.4591) + (xy 98.637197 131.4591) + (xy 98.551402 131.469403) + (xy 98.414871 131.523244) + (xy 98.41487 131.523245) + (xy 98.370725 131.55672) + (xy 98.325288 131.572) + (xy 97.254712 131.572) + (xy 97.209274 131.55672) + (xy 97.16513 131.523245) + (xy 97.165128 131.523244) + (xy 97.028597 131.469403) + (xy 96.942803 131.4591) + (xy 96.942802 131.4591) + (xy 96.8231 131.4591) + (xy 96.774762 131.441507) + (xy 96.749042 131.396958) + (xy 96.7479 131.3839) + (xy 96.7479 131.198936) + (xy 96.748003 131.194999) + (xy 96.750123 131.154555) + (xy 96.750122 131.154554) + (xy 96.750123 131.154552) + (xy 96.741306 131.131586) + (xy 96.73796 131.120288) + (xy 96.732848 131.096234) + (xy 96.72783 131.089327) + (xy 96.718463 131.072075) + (xy 96.715403 131.064104) + (xy 96.715402 131.064102) + (xy 96.698018 131.046718) + (xy 96.690355 131.037747) + (xy 96.675901 131.017854) + (xy 96.671924 131.015558) + (xy 96.668503 131.013582) + (xy 96.652933 131.001634) + (xy 95.351225 129.699926) + (xy 94.045758 128.394458) + (xy 94.043047 128.391602) + (xy 94.015949 128.361506) + (xy 94.015945 128.361503) + (xy 93.993486 128.351504) + (xy 93.983116 128.345874) + (xy 93.962496 128.332483) + (xy 93.954064 128.331148) + (xy 93.935243 128.325573) + (xy 93.927442 128.3221) + (xy 93.902848 128.3221) + (xy 93.891084 128.321174) + (xy 93.866808 128.317329) + (xy 93.866804 128.317329) + (xy 93.85856 128.319538) + (xy 93.839099 128.3221) + (xy 85.125547 128.3221) + (xy 85.077209 128.304507) + (xy 85.072373 128.300074) + (xy 83.723308 126.951008) + (xy 83.701568 126.904388) + (xy 83.702207 126.886079) + (xy 83.707922 126.85) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.539771 126.519984) + (xy 83.426055 126.462043) + (xy 83.426057 126.462043) + (xy 83.3 126.442078) + (xy 83.173943 126.462043) + (xy 83.060228 126.519984) + (xy 83.006274 126.573939) + (xy 82.959654 126.595679) + (xy 82.909967 126.582365) + (xy 82.880462 126.540228) + (xy 82.8779 126.520765) + (xy 82.8779 126.473035) + (xy 82.895493 126.424697) + (xy 82.899926 126.419861) + (xy 82.934278 126.385509) + (xy 82.980016 126.339771) + (xy 83.037957 126.226055) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) + (xy 82.889771 125.769984) + (xy 82.776055 125.712043) + (xy 82.776057 125.712043) + (xy 82.65 125.692078) + (xy 82.523943 125.712043) + (xy 82.410228 125.769984) + (xy 82.319984 125.860228) + (xy 82.262043 125.973943) + (xy 82.242078 126.099999) + (xy 82.242078 126.1) + (xy 82.262043 126.226056) + (xy 82.319984 126.339771) + (xy 82.400074 126.419861) + (xy 82.421814 126.466481) + (xy 82.4221 126.473035) + (xy 82.4221 126.520765) + (xy 82.404507 126.569103) + (xy 82.359958 126.594823) + (xy 82.3093 126.58589) + (xy 82.293726 126.573939) + (xy 82.239771 126.519984) + (xy 82.126055 126.462043) + (xy 82.126057 126.462043) + (xy 82 126.442078) + (xy 81.873943 126.462043) + (xy 81.760228 126.519984) + (xy 81.706274 126.573939) + (xy 81.659654 126.595679) + (xy 81.609967 126.582365) + (xy 81.580462 126.540228) + (xy 81.5779 126.520765) + (xy 81.5779 126.473035) + (xy 81.595493 126.424697) + (xy 81.599926 126.419861) + (xy 81.634278 126.385509) + (xy 81.680016 126.339771) + (xy 81.737957 126.226055) + (xy 81.757922 126.1) + (xy 81.737957 125.973945) + (xy 81.680016 125.860229) + (xy 81.589771 125.769984) + (xy 81.476055 125.712043) + (xy 81.476057 125.712043) + (xy 81.35 125.692078) + (xy 81.223943 125.712043) + (xy 81.110228 125.769984) + (xy 81.019984 125.860228) + (xy 80.962043 125.973943) + (xy 80.942078 126.099999) + (xy 80.942078 126.1) + (xy 80.962043 126.226056) + (xy 81.019984 126.339771) + (xy 81.100074 126.419861) + (xy 81.121814 126.466481) + (xy 81.1221 126.473035) + (xy 81.1221 126.520765) + (xy 81.104507 126.569103) + (xy 81.059958 126.594823) + (xy 81.0093 126.58589) + (xy 80.993726 126.573939) + (xy 80.939771 126.519984) + (xy 80.826055 126.462043) + (xy 80.826057 126.462043) + (xy 80.7 126.442078) + (xy 80.573943 126.462043) + (xy 80.460228 126.519984) + (xy 80.406274 126.573939) + (xy 80.359654 126.595679) + (xy 80.309967 126.582365) + (xy 80.280462 126.540228) + (xy 80.2779 126.520765) + (xy 80.2779 126.473035) + (xy 80.295493 126.424697) + (xy 80.299926 126.419861) + (xy 80.334278 126.385509) + (xy 80.380016 126.339771) + (xy 80.437957 126.226055) + (xy 80.457922 126.1) + (xy 80.437957 125.973945) + (xy 80.380016 125.860229) + (xy 80.289771 125.769984) + (xy 80.176055 125.712043) + (xy 80.176057 125.712043) + (xy 80.05 125.692078) + (xy 79.923943 125.712043) + (xy 79.810228 125.769984) + (xy 79.719984 125.860228) + (xy 79.662043 125.973943) + (xy 79.642078 126.099999) + (xy 79.642078 126.1) + (xy 79.662043 126.226056) + (xy 79.719984 126.339771) + (xy 79.800074 126.419861) + (xy 79.821814 126.466481) + (xy 79.8221 126.473035) + (xy 79.8221 126.520765) + (xy 79.804507 126.569103) + (xy 79.759958 126.594823) + (xy 79.7093 126.58589) + (xy 79.693726 126.573939) + (xy 79.639771 126.519984) + (xy 79.526055 126.462043) + (xy 79.526057 126.462043) + (xy 79.4 126.442078) + (xy 79.273943 126.462043) + (xy 79.160228 126.519984) + (xy 79.069984 126.610228) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.055511 127.061367) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.436071 127.252208) + (xy 79.486564 127.262022) + (xy 79.501009 127.273308) + (xy 81.030074 128.802373) + (xy 81.051814 128.848993) + (xy 81.0521 128.855547) + (xy 81.0521 131.3839) + (xy 81.034507 131.432238) + (xy 80.989958 131.457958) + (xy 80.9769 131.4591) + (xy 80.857197 131.4591) + (xy 80.771402 131.469403) + (xy 80.634871 131.523244) + (xy 80.63487 131.523245) + (xy 80.590725 131.55672) + (xy 80.545288 131.572) + (xy 79.474712 131.572) + (xy 79.429274 131.55672) + (xy 79.38513 131.523245) + (xy 79.385128 131.523244) + (xy 79.248597 131.469403) + (xy 79.162803 131.4591) + (xy 79.162802 131.4591) + (xy 79.0531 131.4591) + (xy 79.004762 131.441507) + (xy 78.979042 131.396958) + (xy 78.9779 131.3839) + (xy 78.9779 127.557928) + (xy 78.978003 127.553991) + (xy 78.980123 127.513551) + (xy 78.97131 127.490595) + (xy 78.96796 127.479288) + (xy 78.962848 127.455234) + (xy 78.95783 127.448327) + (xy 78.948463 127.431075) + (xy 78.945403 127.423105) + (xy 78.945403 127.423104) + (xy 78.928013 127.405714) + (xy 78.920354 127.396747) + (xy 78.917619 127.392983) + (xy 78.905901 127.376854) + (xy 78.9059 127.376853) + (xy 78.898506 127.372584) + (xy 78.882934 127.360634) + (xy 78.599926 127.077626) + (xy 78.578186 127.031006) + (xy 78.5779 127.024452) + (xy 78.5779 126.625547) + (xy 78.595493 126.577209) + (xy 78.599916 126.572382) + (xy 78.648991 126.523306) + (xy 78.695609 126.501568) + (xy 78.713924 126.502207) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.080016 126.339771) + (xy 79.137957 126.226055) + (xy 79.157922 126.1) + (xy 79.137957 125.973945) + (xy 79.080016 125.860229) + (xy 78.989771 125.769984) + (xy 78.876055 125.712043) + (xy 78.876057 125.712043) + (xy 78.75 125.692078) + (xy 78.623943 125.712043) + (xy 78.510228 125.769984) + (xy 78.419984 125.860228) + (xy 78.362043 125.973943) + (xy 78.342078 126.099998) + (xy 78.342078 126.100003) + (xy 78.34779 126.136072) + (xy 78.337974 126.186566) + (xy 78.32669 126.201008) + (xy 78.194456 126.333242) + (xy 78.191602 126.335952) + (xy 78.161504 126.363052) + (xy 78.151507 126.385509) + (xy 78.145877 126.395878) + (xy 78.132485 126.416501) + (xy 78.132483 126.416506) + (xy 78.131148 126.424935) + (xy 78.125574 126.443754) + (xy 78.1221 126.451557) + (xy 78.1221 126.476152) + (xy 78.121174 126.487915) + (xy 78.121098 126.488393) + (xy 78.117329 126.512191) + (xy 78.117329 126.512194) + (xy 78.119538 126.520438) + (xy 78.1221 126.5399) + (xy 78.1221 127.142062) + (xy 78.121997 127.145998) + (xy 78.119876 127.186448) + (xy 78.128688 127.209402) + (xy 78.132039 127.220715) + (xy 78.137151 127.244763) + (xy 78.137152 127.244766) + (xy 78.142169 127.251671) + (xy 78.151536 127.268922) + (xy 78.154596 127.276895) + (xy 78.154597 127.276896) + (xy 78.171985 127.294284) + (xy 78.179644 127.303252) + (xy 78.194097 127.323144) + (xy 78.194099 127.323146) + (xy 78.201495 127.327416) + (xy 78.217066 127.339365) + (xy 78.500074 127.622373) + (xy 78.521814 127.668993) + (xy 78.5221 127.675547) + (xy 78.5221 131.3839) + (xy 78.504507 131.432238) + (xy 78.459958 131.457958) + (xy 78.4469 131.4591) + (xy 78.317197 131.4591) + (xy 78.231402 131.469403) + (xy 78.094871 131.523244) + (xy 78.09487 131.523245) + (xy 78.050725 131.55672) + (xy 78.005288 131.572) + (xy 77.1901 131.572) + (xy 77.141762 131.554407) + (xy 77.116042 131.509858) + (xy 77.1149 131.4968) + (xy 77.1149 129.823111) + (xy 77.132493 129.774773) + (xy 77.136915 129.769948) + (xy 77.971602 128.93526) + (xy 77.974418 128.932587) + (xy 78.026649 128.88556) + (xy 78.067958 128.828701) + (xy 78.070312 128.825633) + (xy 78.114526 128.771035) + (xy 78.122807 128.754779) + (xy 78.128966 128.744729) + (xy 78.139691 128.72997) + (xy 78.168263 128.665794) + (xy 78.169943 128.662272) + (xy 78.201838 128.599677) + (xy 78.206558 128.582057) + (xy 78.210497 128.570936) + (xy 78.217915 128.554277) + (xy 78.232521 128.485557) + (xy 78.233436 128.481751) + (xy 78.251614 128.413911) + (xy 78.252568 128.395696) + (xy 78.254107 128.384002) + (xy 78.2579 128.36616) + (xy 78.2579 128.295933) + (xy 78.258003 128.291998) + (xy 78.258631 128.280015) + (xy 78.261679 128.221854) + (xy 78.258825 128.20384) + (xy 78.2579 128.192076) + (xy 78.2579 128.173841) + (xy 78.2579 128.17384) + (xy 78.243289 128.105102) + (xy 78.24258 128.101274) + (xy 78.231593 128.031902) + (xy 78.225056 128.014872) + (xy 78.221708 128.003572) + (xy 78.217915 127.985723) + (xy 78.189332 127.921526) + (xy 78.18785 127.91795) + (xy 78.162672 127.852356) + (xy 78.16267 127.852354) + (xy 78.16267 127.852352) + (xy 78.152738 127.837058) + (xy 78.147107 127.826687) + (xy 78.139691 127.81003) + (xy 78.123579 127.787854) + (xy 78.098395 127.75319) + (xy 78.09619 127.749983) + (xy 78.057927 127.691063) + (xy 78.045029 127.678165) + (xy 78.037373 127.669201) + (xy 78.026649 127.65444) + (xy 77.988382 127.619984) + (xy 77.97443 127.607421) + (xy 77.971593 127.604729) + (xy 77.921937 127.555073) + (xy 77.921936 127.555072) + (xy 77.906642 127.54514) + (xy 77.897281 127.537957) + (xy 77.888416 127.529975) + (xy 77.883727 127.525753) + (xy 77.822886 127.490626) + (xy 77.819543 127.488577) + (xy 77.78569 127.466593) + (xy 77.760644 127.450328) + (xy 77.743616 127.443791) + (xy 77.732972 127.438715) + (xy 77.717173 127.429593) + (xy 77.717171 127.429592) + (xy 77.717169 127.429591) + (xy 77.650369 127.407887) + (xy 77.646658 127.406573) + (xy 77.581098 127.381407) + (xy 77.581095 127.381406) + (xy 77.58109 127.381405) + (xy 77.563082 127.378552) + (xy 77.551615 127.375799) + (xy 77.534267 127.370163) + (xy 77.464407 127.36282) + (xy 77.460504 127.362306) + (xy 77.391149 127.351321) + (xy 77.391146 127.351321) + (xy 77.32916 127.354569) + (xy 77.321002 127.354997) + (xy 77.317067 127.3551) + (xy 76.404923 127.3551) + (xy 76.39316 127.354174) + (xy 76.381996 127.352405) + (xy 76.375147 127.351321) + (xy 76.375146 127.351321) + (xy 76.324857 127.353956) + (xy 76.305002 127.354997) + (xy 76.301067 127.3551) + (xy 76.279041 127.3551) + (xy 76.257153 127.3574) + (xy 76.253232 127.357709) + (xy 76.183091 127.361385) + (xy 76.165468 127.366107) + (xy 76.153872 127.368256) + (xy 76.135733 127.370163) + (xy 76.068934 127.391866) + (xy 76.065162 127.392983) + (xy 75.997326 127.41116) + (xy 75.997317 127.411164) + (xy 75.981068 127.419442) + (xy 75.970178 127.423953) + (xy 75.952828 127.429591) + (xy 75.891989 127.464716) + (xy 75.888532 127.466593) + (xy 75.825964 127.498474) + (xy 75.82596 127.498477) + (xy 75.811792 127.50995) + (xy 75.802073 127.51663) + (xy 75.786269 127.525755) + (xy 75.734082 127.572745) + (xy 75.731092 127.575299) + (xy 75.713972 127.589163) + (xy 75.698401 127.604733) + (xy 75.69555 127.607438) + (xy 75.643351 127.65444) + (xy 75.643345 127.654446) + (xy 75.632624 127.669202) + (xy 75.624962 127.678172) + (xy 75.608172 127.694962) + (xy 75.599202 127.702624) + (xy 75.584446 127.713345) + (xy 75.58444 127.713351) + (xy 75.537438 127.76555) + (xy 75.534733 127.768401) + (xy 75.519163 127.783972) + (xy 75.505299 127.801092) + (xy 75.502745 127.804082) + (xy 75.455755 127.856269) + (xy 75.44663 127.872073) + (xy 75.43995 127.881792) + (xy 75.428477 127.89596) + (xy 75.428474 127.895964) + (xy 75.396593 127.958532) + (xy 75.394716 127.961989) + (xy 75.359591 128.022828) + (xy 75.353953 128.040178) + (xy 75.349442 128.051068) + (xy 75.341164 128.067317) + (xy 75.34116 128.067326) + (xy 75.322983 128.135162) + (xy 75.321866 128.138934) + (xy 75.300163 128.205733) + (xy 75.298256 128.223872) + (xy 75.296107 128.235468) + (xy 75.291385 128.253091) + (xy 75.287709 128.323232) + (xy 75.2874 128.327153) + (xy 75.2851 128.349041) + (xy 75.2851 128.371065) + (xy 75.284997 128.375) + (xy 75.281321 128.445146) + (xy 75.284174 128.463158) + (xy 75.2851 128.474923) + (xy 75.2851 129.387065) + (xy 75.284997 129.391) + (xy 75.281321 129.461146) + (xy 75.284174 129.479158) + (xy 75.2851 129.490923) + (xy 75.2851 131.4968) + (xy 75.267507 131.545138) + (xy 75.222958 131.570858) + (xy 75.2099 131.572) + (xy 48.140448 131.572) + (xy 48.1257 131.57054) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.474958 130.668915) + (xy 46.474957 130.668913) + (xy 46.469278 130.657555) + (xy 46.446247 130.611493) + (xy 46.435846 130.590691) + (xy 46.434617 130.588233) + (xy 46.430488 130.579975) + (xy 46.423261 130.565521) + (xy 46.422538 130.564075) + (xy 46.414091 130.547181) + (xy 46.406562 130.532123) + (xy 46.399971 130.518941) + (xy 46.38875 130.496499) + (xy 46.384685 130.488369) + (xy 46.376674 130.472347) + (xy 46.369451 130.457901) + (xy 46.368526 130.456051) + (xy 46.319321 130.357641) + (xy 46.31012 130.339239) + (xy 46.241209 130.201417) + (xy 46.237808 130.194615) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.224139 130.155698) + (xy 46.10246 129.5473) + (xy 46.101 129.532552) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.310284 127.092189) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.44488 127.466555) + (xy 47.444881 127.466556) + (xy 47.50575 127.538217) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.957808 127.077626) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.949118 126.849999) + (xy 48.927032 126.770452) + (xy 48.905327 126.692277) + (xy 48.88698 126.65767) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.820702 126.532954) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.347858 126.201008) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.055506 126.177053) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 102.8065) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.882984 103.046271) + (xy 52.963074 103.126361) + (xy 52.984814 103.172981) + (xy 52.9851 103.179535) + (xy 52.9851 117.975062) + (xy 52.984997 117.978998) + (xy 52.982876 118.019448) + (xy 52.991688 118.042402) + (xy 52.995039 118.053715) + (xy 53.000151 118.077763) + (xy 53.000152 118.077766) + (xy 53.005169 118.084671) + (xy 53.014536 118.101922) + (xy 53.017596 118.109895) + (xy 53.017597 118.109896) + (xy 53.034985 118.127284) + (xy 53.042644 118.136252) + (xy 53.057097 118.156144) + (xy 53.057099 118.156146) + (xy 53.064495 118.160416) + (xy 53.080066 118.172365) + (xy 61.936231 127.02853) + (xy 61.938942 127.031386) + (xy 61.966051 127.061494) + (xy 61.981567 127.068402) + (xy 61.988516 127.071496) + (xy 61.998884 127.077125) + (xy 62.019504 127.090516) + (xy 62.027936 127.091851) + (xy 62.046755 127.097426) + (xy 62.054558 127.1009) + (xy 62.079147 127.1009) + (xy 62.09091 127.101825) + (xy 62.115194 127.105672) + (xy 62.121459 127.103993) + (xy 62.123443 127.103462) + (xy 62.142904 127.1009) + (xy 71.747064 127.1009) + (xy 71.751 127.101003) + (xy 71.791447 127.103123) + (xy 71.791447 127.103122) + (xy 71.791448 127.103123) + (xy 71.814403 127.09431) + (xy 71.825722 127.090958) + (xy 71.849761 127.085849) + (xy 71.84976 127.085849) + (xy 71.849766 127.085848) + (xy 71.856674 127.080828) + (xy 71.873921 127.071464) + (xy 71.881896 127.068403) + (xy 71.899289 127.051009) + (xy 71.908248 127.043357) + (xy 71.928146 127.028901) + (xy 71.932415 127.021505) + (xy 71.944362 127.005935) + (xy 74.133047 124.81725) + (xy 74.135865 124.814575) + (xy 74.165994 124.787449) + (xy 74.175996 124.764981) + (xy 74.181621 124.754621) + (xy 74.195016 124.733996) + (xy 74.196351 124.725561) + (xy 74.201924 124.706748) + (xy 74.2054 124.698942) + (xy 74.2054 124.674352) + (xy 74.206326 124.662586) + (xy 74.210172 124.638306) + (xy 74.20796 124.630053) + (xy 74.2054 124.610595) + (xy 74.2054 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.759902 124.019984) + (xy 77.769984 124.039771) + (xy 77.860229 124.130016) + (xy 77.973943 124.187956) + (xy 77.973945 124.187957) + (xy 78.1 124.207922) + (xy 78.226055 124.187957) + (xy 78.339771 124.130016) + (xy 78.430016 124.039771) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 86.892078 123.8) + (xy 86.912043 123.926056) + (xy 86.959902 124.019984) + (xy 86.969984 124.039771) + (xy 87.060229 124.130016) + (xy 87.173943 124.187956) + (xy 87.173945 124.187957) + (xy 87.3 124.207922) + (xy 87.426055 124.187957) + (xy 87.539771 124.130016) + (xy 87.630016 124.039771) + (xy 87.687957 123.926055) + (xy 87.707922 123.8) + (xy 96.092078 123.8) + (xy 96.112043 123.926056) + (xy 96.159902 124.019984) + (xy 96.169984 124.039771) + (xy 96.260229 124.130016) + (xy 96.373943 124.187956) + (xy 96.373945 124.187957) + (xy 96.5 124.207922) + (xy 96.626055 124.187957) + (xy 96.739771 124.130016) + (xy 96.830016 124.039771) + (xy 96.887957 123.926055) + (xy 96.907922 123.8) + (xy 105.292078 123.8) + (xy 105.312043 123.926056) + (xy 105.359902 124.019984) + (xy 105.369984 124.039771) + (xy 105.460229 124.130016) + (xy 105.573943 124.187956) + (xy 105.573945 124.187957) + (xy 105.7 124.207922) + (xy 105.826055 124.187957) + (xy 105.939771 124.130016) + (xy 106.030016 124.039771) + (xy 106.087957 123.926055) + (xy 106.107922 123.8) + (xy 106.087957 123.673945) + (xy 106.030016 123.560229) + (xy 105.939771 123.469984) + (xy 105.826055 123.412043) + (xy 105.826057 123.412043) + (xy 105.7 123.392078) + (xy 105.573943 123.412043) + (xy 105.460228 123.469984) + (xy 105.369984 123.560228) + (xy 105.312043 123.673943) + (xy 105.292078 123.799999) + (xy 105.292078 123.8) + (xy 96.907922 123.8) + (xy 96.887957 123.673945) + (xy 96.830016 123.560229) + (xy 96.739771 123.469984) + (xy 96.626055 123.412043) + (xy 96.626057 123.412043) + (xy 96.5 123.392078) + (xy 96.373943 123.412043) + (xy 96.260228 123.469984) + (xy 96.169984 123.560228) + (xy 96.112043 123.673943) + (xy 96.092078 123.799999) + (xy 96.092078 123.8) + (xy 87.707922 123.8) + (xy 87.687957 123.673945) + (xy 87.630016 123.560229) + (xy 87.539771 123.469984) + (xy 87.426055 123.412043) + (xy 87.426057 123.412043) + (xy 87.3 123.392078) + (xy 87.173943 123.412043) + (xy 87.060228 123.469984) + (xy 86.969984 123.560228) + (xy 86.912043 123.673943) + (xy 86.892078 123.799999) + (xy 86.892078 123.8) + (xy 78.507922 123.8) + (xy 78.502208 123.763927) + (xy 78.512022 123.713433) + (xy 78.523304 123.698993) + (xy 80.022297 122.2) + (xy 115.142078 122.2) + (xy 115.162043 122.326056) + (xy 115.200269 122.401078) + (xy 115.219984 122.439771) + (xy 115.310229 122.530016) + (xy 115.423943 122.587956) + (xy 115.423945 122.587957) + (xy 115.55 122.607922) + (xy 115.676055 122.587957) + (xy 115.789771 122.530016) + (xy 115.880016 122.439771) + (xy 115.937957 122.326055) + (xy 115.957922 122.2) + (xy 116.942078 122.2) + (xy 116.962043 122.326056) + (xy 117.000269 122.401078) + (xy 117.019984 122.439771) + (xy 117.110229 122.530016) + (xy 117.223943 122.587956) + (xy 117.223945 122.587957) + (xy 117.35 122.607922) + (xy 117.476055 122.587957) + (xy 117.589771 122.530016) + (xy 117.680016 122.439771) + (xy 117.737957 122.326055) + (xy 117.757922 122.2) + (xy 119.842078 122.2) + (xy 119.862043 122.326056) + (xy 119.900269 122.401078) + (xy 119.919984 122.439771) + (xy 120.010229 122.530016) + (xy 120.123943 122.587956) + (xy 120.123945 122.587957) + (xy 120.25 122.607922) + (xy 120.376055 122.587957) + (xy 120.489771 122.530016) + (xy 120.580016 122.439771) + (xy 120.637957 122.326055) + (xy 120.657922 122.2) + (xy 121.642078 122.2) + (xy 121.662043 122.326056) + (xy 121.700269 122.401078) + (xy 121.719984 122.439771) + (xy 121.810229 122.530016) + (xy 121.923943 122.587956) + (xy 121.923945 122.587957) + (xy 122.05 122.607922) + (xy 122.176055 122.587957) + (xy 122.289771 122.530016) + (xy 122.380016 122.439771) + (xy 122.437957 122.326055) + (xy 122.457922 122.2) + (xy 122.437957 122.073945) + (xy 122.380016 121.960229) + (xy 122.289771 121.869984) + (xy 122.176055 121.812043) + (xy 122.176057 121.812043) + (xy 122.05 121.792078) + (xy 121.923943 121.812043) + (xy 121.810228 121.869984) + (xy 121.719984 121.960228) + (xy 121.662043 122.073943) + (xy 121.642078 122.199999) + (xy 121.642078 122.2) + (xy 120.657922 122.2) + (xy 120.637957 122.073945) + (xy 120.580016 121.960229) + (xy 120.489771 121.869984) + (xy 120.376055 121.812043) + (xy 120.376057 121.812043) + (xy 120.25 121.792078) + (xy 120.123943 121.812043) + (xy 120.010228 121.869984) + (xy 119.919984 121.960228) + (xy 119.862043 122.073943) + (xy 119.842078 122.199999) + (xy 119.842078 122.2) + (xy 117.757922 122.2) + (xy 117.737957 122.073945) + (xy 117.680016 121.960229) + (xy 117.589771 121.869984) + (xy 117.476055 121.812043) + (xy 117.476057 121.812043) + (xy 117.35 121.792078) + (xy 117.223943 121.812043) + (xy 117.110228 121.869984) + (xy 117.019984 121.960228) + (xy 116.962043 122.073943) + (xy 116.942078 122.199999) + (xy 116.942078 122.2) + (xy 115.957922 122.2) + (xy 115.937957 122.073945) + (xy 115.880016 121.960229) + (xy 115.789771 121.869984) + (xy 115.676055 121.812043) + (xy 115.676057 121.812043) + (xy 115.55 121.792078) + (xy 115.423943 121.812043) + (xy 115.310228 121.869984) + (xy 115.219984 121.960228) + (xy 115.162043 122.073943) + (xy 115.142078 122.199999) + (xy 115.142078 122.2) + (xy 80.022297 122.2) + (xy 82.072297 120.15) + (xy 86.892078 120.15) + (xy 86.912043 120.276056) + (xy 86.961309 120.372745) + (xy 86.969984 120.389771) + (xy 87.060229 120.480016) + (xy 87.173943 120.537956) + (xy 87.173945 120.537957) + (xy 87.3 120.557922) + (xy 87.426055 120.537957) + (xy 87.539771 120.480016) + (xy 87.630016 120.389771) + (xy 87.687957 120.276055) + (xy 87.707922 120.15) + (xy 96.092078 120.15) + (xy 96.112043 120.276056) + (xy 96.161309 120.372745) + (xy 96.169984 120.389771) + (xy 96.260229 120.480016) + (xy 96.373943 120.537956) + (xy 96.373945 120.537957) + (xy 96.5 120.557922) + (xy 96.626055 120.537957) + (xy 96.739771 120.480016) + (xy 96.830016 120.389771) + (xy 96.887957 120.276055) + (xy 96.907922 120.15) + (xy 105.292078 120.15) + (xy 105.312043 120.276056) + (xy 105.361309 120.372745) + (xy 105.369984 120.389771) + (xy 105.460229 120.480016) + (xy 105.573943 120.537956) + (xy 105.573945 120.537957) + (xy 105.7 120.557922) + (xy 105.826055 120.537957) + (xy 105.939771 120.480016) + (xy 106.030016 120.389771) + (xy 106.087957 120.276055) + (xy 106.107922 120.15) + (xy 106.087957 120.023945) + (xy 106.030016 119.910229) + (xy 105.939771 119.819984) + (xy 105.826055 119.762043) + (xy 105.826057 119.762043) + (xy 105.7 119.742078) + (xy 105.573943 119.762043) + (xy 105.460228 119.819984) + (xy 105.369984 119.910228) + (xy 105.312043 120.023943) + (xy 105.292078 120.149999) + (xy 105.292078 120.15) + (xy 96.907922 120.15) + (xy 96.887957 120.023945) + (xy 96.830016 119.910229) + (xy 96.739771 119.819984) + (xy 96.626055 119.762043) + (xy 96.626057 119.762043) + (xy 96.5 119.742078) + (xy 96.373943 119.762043) + (xy 96.260228 119.819984) + (xy 96.169984 119.910228) + (xy 96.112043 120.023943) + (xy 96.092078 120.149999) + (xy 96.092078 120.15) + (xy 87.707922 120.15) + (xy 87.687957 120.023945) + (xy 87.630016 119.910229) + (xy 87.539771 119.819984) + (xy 87.426055 119.762043) + (xy 87.426057 119.762043) + (xy 87.3 119.742078) + (xy 87.173943 119.762043) + (xy 87.060228 119.819984) + (xy 86.969984 119.910228) + (xy 86.912043 120.023943) + (xy 86.892078 120.149999) + (xy 86.892078 120.15) + (xy 82.072297 120.15) + (xy 83.072297 119.15) + (xy 84.542078 119.15) + (xy 84.562043 119.276056) + (xy 84.606144 119.362609) + (xy 84.619984 119.389771) + (xy 84.710229 119.480016) + (xy 84.823943 119.537956) + (xy 84.823945 119.537957) + (xy 84.95 119.557922) + (xy 85.076055 119.537957) + (xy 85.189771 119.480016) + (xy 85.280016 119.389771) + (xy 85.337957 119.276055) + (xy 85.357922 119.15) + (xy 85.342084 119.05) + (xy 86.267078 119.05) + (xy 86.287043 119.176056) + (xy 86.334902 119.269984) + (xy 86.344984 119.289771) + (xy 86.435229 119.380016) + (xy 86.548943 119.437956) + (xy 86.548945 119.437957) + (xy 86.675 119.457922) + (xy 86.801055 119.437957) + (xy 86.914771 119.380016) + (xy 87.005016 119.289771) + (xy 87.062957 119.176055) + (xy 87.067084 119.15) + (xy 93.742078 119.15) + (xy 93.762043 119.276056) + (xy 93.806144 119.362609) + (xy 93.819984 119.389771) + (xy 93.910229 119.480016) + (xy 94.023943 119.537956) + (xy 94.023945 119.537957) + (xy 94.15 119.557922) + (xy 94.276055 119.537957) + (xy 94.389771 119.480016) + (xy 94.480016 119.389771) + (xy 94.537957 119.276055) + (xy 94.557922 119.15) + (xy 94.542084 119.05) + (xy 95.467078 119.05) + (xy 95.487043 119.176056) + (xy 95.534902 119.269984) + (xy 95.544984 119.289771) + (xy 95.635229 119.380016) + (xy 95.748943 119.437956) + (xy 95.748945 119.437957) + (xy 95.875 119.457922) + (xy 96.001055 119.437957) + (xy 96.114771 119.380016) + (xy 96.205016 119.289771) + (xy 96.262957 119.176055) + (xy 96.267084 119.15) + (xy 102.942078 119.15) + (xy 102.962043 119.276056) + (xy 103.006144 119.362609) + (xy 103.019984 119.389771) + (xy 103.110229 119.480016) + (xy 103.223943 119.537956) + (xy 103.223945 119.537957) + (xy 103.35 119.557922) + (xy 103.476055 119.537957) + (xy 103.589771 119.480016) + (xy 103.680016 119.389771) + (xy 103.737957 119.276055) + (xy 103.757922 119.15) + (xy 103.742084 119.05) + (xy 104.667078 119.05) + (xy 104.687043 119.176056) + (xy 104.734902 119.269984) + (xy 104.744984 119.289771) + (xy 104.835229 119.380016) + (xy 104.948943 119.437956) + (xy 104.948945 119.437957) + (xy 105.075 119.457922) + (xy 105.201055 119.437957) + (xy 105.314771 119.380016) + (xy 105.405016 119.289771) + (xy 105.462957 119.176055) + (xy 105.482922 119.05) + (xy 105.462957 118.923945) + (xy 105.405016 118.810229) + (xy 105.314771 118.719984) + (xy 105.201055 118.662043) + (xy 105.201057 118.662043) + (xy 105.075 118.642078) + (xy 104.948943 118.662043) + (xy 104.835228 118.719984) + (xy 104.744984 118.810228) + (xy 104.687043 118.923943) + (xy 104.667078 119.049999) + (xy 104.667078 119.05) + (xy 103.742084 119.05) + (xy 103.737957 119.023945) + (xy 103.680016 118.910229) + (xy 103.589771 118.819984) + (xy 103.476055 118.762043) + (xy 103.476057 118.762043) + (xy 103.35 118.742078) + (xy 103.223943 118.762043) + (xy 103.110228 118.819984) + (xy 103.019984 118.910228) + (xy 102.962043 119.023943) + (xy 102.942078 119.149999) + (xy 102.942078 119.15) + (xy 96.267084 119.15) + (xy 96.282922 119.05) + (xy 96.262957 118.923945) + (xy 96.205016 118.810229) + (xy 96.114771 118.719984) + (xy 96.001055 118.662043) + (xy 96.001057 118.662043) + (xy 95.875 118.642078) + (xy 95.748943 118.662043) + (xy 95.635228 118.719984) + (xy 95.544984 118.810228) + (xy 95.487043 118.923943) + (xy 95.467078 119.049999) + (xy 95.467078 119.05) + (xy 94.542084 119.05) + (xy 94.537957 119.023945) + (xy 94.480016 118.910229) + (xy 94.389771 118.819984) + (xy 94.276055 118.762043) + (xy 94.276057 118.762043) + (xy 94.15 118.742078) + (xy 94.023943 118.762043) + (xy 93.910228 118.819984) + (xy 93.819984 118.910228) + (xy 93.762043 119.023943) + (xy 93.742078 119.149999) + (xy 93.742078 119.15) + (xy 87.067084 119.15) + (xy 87.082922 119.05) + (xy 87.062957 118.923945) + (xy 87.005016 118.810229) + (xy 86.914771 118.719984) + (xy 86.801055 118.662043) + (xy 86.801057 118.662043) + (xy 86.675 118.642078) + (xy 86.548943 118.662043) + (xy 86.435228 118.719984) + (xy 86.344984 118.810228) + (xy 86.287043 118.923943) + (xy 86.267078 119.049999) + (xy 86.267078 119.05) + (xy 85.342084 119.05) + (xy 85.337957 119.023945) + (xy 85.280016 118.910229) + (xy 85.189771 118.819984) + (xy 85.076055 118.762043) + (xy 85.076057 118.762043) + (xy 84.95 118.742078) + (xy 84.823943 118.762043) + (xy 84.710228 118.819984) + (xy 84.619984 118.910228) + (xy 84.562043 119.023943) + (xy 84.542078 119.149999) + (xy 84.542078 119.15) + (xy 83.072297 119.15) + (xy 84.122297 118.1) + (xy 85.242329 118.1) + (xy 85.261331 118.244337) + (xy 85.27611 118.280015) + (xy 85.317043 118.378836) + (xy 85.317044 118.378838) + (xy 85.317045 118.378839) + (xy 85.405666 118.494333) + (xy 85.472741 118.545801) + (xy 85.521164 118.582957) + (xy 85.655664 118.638669) + (xy 85.8 118.657671) + (xy 85.944336 118.638669) + (xy 86.078836 118.582957) + (xy 86.194333 118.494333) + (xy 86.282957 118.378836) + (xy 86.338669 118.244336) + (xy 86.357671 118.1) + (xy 86.338669 117.955664) + (xy 86.336323 117.95) + (xy 86.892078 117.95) + (xy 86.912043 118.076056) + (xy 86.969984 118.189771) + (xy 87.060229 118.280016) + (xy 87.173943 118.337956) + (xy 87.173945 118.337957) + (xy 87.3 118.357922) + (xy 87.426055 118.337957) + (xy 87.539771 118.280016) + (xy 87.630016 118.189771) + (xy 87.675756 118.1) + (xy 94.442329 118.1) + (xy 94.461331 118.244337) + (xy 94.47611 118.280015) + (xy 94.517043 118.378836) + (xy 94.517044 118.378838) + (xy 94.517045 118.378839) + (xy 94.605666 118.494333) + (xy 94.672741 118.545801) + (xy 94.721164 118.582957) + (xy 94.855664 118.638669) + (xy 95 118.657671) + (xy 95.144336 118.638669) + (xy 95.278836 118.582957) + (xy 95.394333 118.494333) + (xy 95.482957 118.378836) + (xy 95.538669 118.244336) + (xy 95.557671 118.1) + (xy 95.538669 117.955664) + (xy 95.536323 117.95) + (xy 96.092078 117.95) + (xy 96.112043 118.076056) + (xy 96.169984 118.189771) + (xy 96.260229 118.280016) + (xy 96.373943 118.337956) + (xy 96.373945 118.337957) + (xy 96.5 118.357922) + (xy 96.626055 118.337957) + (xy 96.739771 118.280016) + (xy 96.830016 118.189771) + (xy 96.875756 118.1) + (xy 103.642329 118.1) + (xy 103.661331 118.244337) + (xy 103.67611 118.280015) + (xy 103.717043 118.378836) + (xy 103.717044 118.378838) + (xy 103.717045 118.378839) + (xy 103.805666 118.494333) + (xy 103.872741 118.545801) + (xy 103.921164 118.582957) + (xy 104.055664 118.638669) + (xy 104.2 118.657671) + (xy 104.344336 118.638669) + (xy 104.478836 118.582957) + (xy 104.594333 118.494333) + (xy 104.682957 118.378836) + (xy 104.738669 118.244336) + (xy 104.757671 118.1) + (xy 104.738669 117.955664) + (xy 104.736323 117.95) + (xy 105.292078 117.95) + (xy 105.312043 118.076056) + (xy 105.369984 118.189771) + (xy 105.460229 118.280016) + (xy 105.573943 118.337956) + (xy 105.573945 118.337957) + (xy 105.7 118.357922) + (xy 105.826055 118.337957) + (xy 105.939771 118.280016) + (xy 106.030016 118.189771) + (xy 106.087957 118.076055) + (xy 106.107922 117.95) + (xy 106.087957 117.823945) + (xy 106.030016 117.710229) + (xy 105.939771 117.619984) + (xy 105.826055 117.562043) + (xy 105.826057 117.562043) + (xy 105.7 117.542078) + (xy 105.573943 117.562043) + (xy 105.460228 117.619984) + (xy 105.369984 117.710228) + (xy 105.312043 117.823943) + (xy 105.292078 117.949999) + (xy 105.292078 117.95) + (xy 104.736323 117.95) + (xy 104.682957 117.821165) + (xy 104.682015 117.819937) + (xy 104.594333 117.705666) + (xy 104.478839 117.617045) + (xy 104.478838 117.617044) + (xy 104.478836 117.617043) + (xy 104.391891 117.581029) + (xy 104.344337 117.561331) + (xy 104.2 117.542329) + (xy 104.055662 117.561331) + (xy 103.921163 117.617044) + (xy 103.921162 117.617044) + (xy 103.805666 117.705666) + (xy 103.717044 117.821162) + (xy 103.717044 117.821163) + (xy 103.717043 117.821164) + (xy 103.717043 117.821165) + (xy 103.713024 117.830867) + (xy 103.661331 117.955662) + (xy 103.642329 118.1) + (xy 96.875756 118.1) + (xy 96.887957 118.076055) + (xy 96.907922 117.95) + (xy 96.887957 117.823945) + (xy 96.830016 117.710229) + (xy 96.739771 117.619984) + (xy 96.626055 117.562043) + (xy 96.626057 117.562043) + (xy 96.5 117.542078) + (xy 96.373943 117.562043) + (xy 96.260228 117.619984) + (xy 96.169984 117.710228) + (xy 96.112043 117.823943) + (xy 96.092078 117.949999) + (xy 96.092078 117.95) + (xy 95.536323 117.95) + (xy 95.482957 117.821165) + (xy 95.482015 117.819937) + (xy 95.394333 117.705666) + (xy 95.278839 117.617045) + (xy 95.278838 117.617044) + (xy 95.278836 117.617043) + (xy 95.191891 117.581029) + (xy 95.144337 117.561331) + (xy 95 117.542329) + (xy 94.855662 117.561331) + (xy 94.721163 117.617044) + (xy 94.721162 117.617044) + (xy 94.605666 117.705666) + (xy 94.517044 117.821162) + (xy 94.517044 117.821163) + (xy 94.517043 117.821164) + (xy 94.517043 117.821165) + (xy 94.513024 117.830867) + (xy 94.461331 117.955662) + (xy 94.442329 118.1) + (xy 87.675756 118.1) + (xy 87.687957 118.076055) + (xy 87.707922 117.95) + (xy 87.687957 117.823945) + (xy 87.630016 117.710229) + (xy 87.539771 117.619984) + (xy 87.426055 117.562043) + (xy 87.426057 117.562043) + (xy 87.3 117.542078) + (xy 87.173943 117.562043) + (xy 87.060228 117.619984) + (xy 86.969984 117.710228) + (xy 86.912043 117.823943) + (xy 86.892078 117.949999) + (xy 86.892078 117.95) + (xy 86.336323 117.95) + (xy 86.282957 117.821165) + (xy 86.282015 117.819937) + (xy 86.194333 117.705666) + (xy 86.078839 117.617045) + (xy 86.078838 117.617044) + (xy 86.078836 117.617043) + (xy 85.991891 117.581029) + (xy 85.944337 117.561331) + (xy 85.8 117.542329) + (xy 85.655662 117.561331) + (xy 85.521163 117.617044) + (xy 85.521162 117.617044) + (xy 85.405666 117.705666) + (xy 85.317044 117.821162) + (xy 85.317044 117.821163) + (xy 85.317043 117.821164) + (xy 85.317043 117.821165) + (xy 85.313024 117.830867) + (xy 85.261331 117.955662) + (xy 85.242329 118.1) + (xy 84.122297 118.1) + (xy 87.055547 115.16675) + (xy 87.058365 115.164075) + (xy 87.088494 115.136949) + (xy 87.098496 115.11448) + (xy 87.104123 115.104117) + (xy 87.117516 115.083497) + (xy 87.11885 115.075072) + (xy 87.12443 115.056234) + (xy 87.1279 115.048442) + (xy 87.1279 115.023846) + (xy 87.128826 115.012081) + (xy 87.130074 115.004201) + (xy 87.132671 114.987806) + (xy 87.132201 114.986055) + (xy 87.130462 114.979559) + (xy 87.1279 114.960099) + (xy 87.1279 110.612194) + (xy 88.067329 110.612194) + (xy 88.069538 110.620438) + (xy 88.0721 110.6399) + (xy 88.0721 115.192062) + (xy 88.071997 115.195998) + (xy 88.069876 115.236448) + (xy 88.078688 115.259402) + (xy 88.082039 115.270715) + (xy 88.087151 115.294763) + (xy 88.087152 115.294766) + (xy 88.092169 115.301671) + (xy 88.101536 115.318922) + (xy 88.104596 115.326895) + (xy 88.104597 115.326896) + (xy 88.121985 115.344284) + (xy 88.129644 115.353252) + (xy 88.144097 115.373144) + (xy 88.144099 115.373146) + (xy 88.151495 115.377416) + (xy 88.167066 115.389365) + (xy 89.026691 116.24899) + (xy 89.048431 116.29561) + (xy 89.047791 116.313928) + (xy 89.042078 116.349999) + (xy 89.042078 116.35) + (xy 89.062043 116.476056) + (xy 89.097228 116.54511) + (xy 89.119984 116.589771) + (xy 89.210229 116.680016) + (xy 89.323943 116.737956) + (xy 89.323945 116.737957) + (xy 89.45 116.757922) + (xy 89.576055 116.737957) + (xy 89.689771 116.680016) + (xy 89.780016 116.589771) + (xy 89.837957 116.476055) + (xy 89.857922 116.35) + (xy 89.837957 116.223945) + (xy 89.780016 116.110229) + (xy 89.689771 116.019984) + (xy 89.576055 115.962043) + (xy 89.576057 115.962043) + (xy 89.450001 115.942078) + (xy 89.449996 115.942078) + (xy 89.413926 115.94779) + (xy 89.363431 115.937974) + (xy 89.34899 115.92669) + (xy 88.549926 115.127626) + (xy 88.528186 115.081006) + (xy 88.5279 115.074452) + (xy 88.5279 112) + (xy 89.742443 112) + (xy 89.760977 112.128911) + (xy 89.815078 112.247373) + (xy 89.81508 112.247376) + (xy 89.900366 112.345801) + (xy 89.953607 112.380016) + (xy 90.00062 112.410229) + (xy 90.009926 112.416209) + (xy 90.134883 112.4529) + (xy 90.265117 112.4529) + (xy 90.390073 112.416209) + (xy 90.390073 112.416208) + (xy 90.390076 112.416208) + (xy 90.499636 112.345799) + (xy 90.584921 112.247374) + (xy 90.639023 112.128909) + (xy 90.657557 112) + (xy 90.639023 111.871091) + (xy 90.631449 111.854507) + (xy 90.584921 111.752626) + (xy 90.584919 111.752623) + (xy 90.499633 111.654198) + (xy 90.390073 111.58379) + (xy 90.265117 111.5471) + (xy 90.134883 111.5471) + (xy 90.009926 111.58379) + (xy 89.900366 111.654198) + (xy 89.81508 111.752623) + (xy 89.815078 111.752626) + (xy 89.760977 111.871088) + (xy 89.742443 112) + (xy 88.5279 112) + (xy 88.5279 111.1) + (xy 88.742443 111.1) + (xy 88.760977 111.228911) + (xy 88.815078 111.347373) + (xy 88.81508 111.347376) + (xy 88.851816 111.389771) + (xy 88.898324 111.443445) + (xy 88.900366 111.445801) + (xy 89.009926 111.516209) + (xy 89.134883 111.5529) + (xy 89.265117 111.5529) + (xy 89.390073 111.516209) + (xy 89.390073 111.516208) + (xy 89.390076 111.516208) + (xy 89.499636 111.445799) + (xy 89.584921 111.347374) + (xy 89.639023 111.228909) + (xy 89.657557 111.1) + (xy 89.639023 110.971091) + (xy 89.611227 110.910228) + (xy 89.584921 110.852626) + (xy 89.584919 110.852623) + (xy 89.52453 110.782931) + (xy 89.499636 110.754201) + (xy 89.499635 110.7542) + (xy 89.499633 110.754198) + (xy 89.390073 110.68379) + (xy 89.265117 110.6471) + (xy 89.134883 110.6471) + (xy 89.009926 110.68379) + (xy 88.900366 110.754198) + (xy 88.81508 110.852623) + (xy 88.815078 110.852626) + (xy 88.760977 110.971088) + (xy 88.742443 111.1) + (xy 88.5279 111.1) + (xy 88.5279 110.725547) + (xy 88.545493 110.677209) + (xy 88.549926 110.672373) + (xy 89.022299 110.2) + (xy 89.992078 110.2) + (xy 90.012043 110.326056) + (xy 90.063202 110.426461) + (xy 90.069984 110.439771) + (xy 90.160229 110.530016) + (xy 90.273943 110.587956) + (xy 90.273945 110.587957) + (xy 90.4 110.607922) + (xy 90.526055 110.587957) + (xy 90.639771 110.530016) + (xy 90.730016 110.439771) + (xy 90.787957 110.326055) + (xy 90.807922 110.2) + (xy 90.787957 110.073945) + (xy 90.730016 109.960229) + (xy 90.639771 109.869984) + (xy 90.526055 109.812043) + (xy 90.526057 109.812043) + (xy 90.4 109.792078) + (xy 90.273943 109.812043) + (xy 90.160228 109.869984) + (xy 90.069984 109.960228) + (xy 90.012043 110.073943) + (xy 89.992078 110.199999) + (xy 89.992078 110.2) + (xy 89.022299 110.2) + (xy 89.872373 109.349926) + (xy 89.918993 109.328186) + (xy 89.925547 109.3279) + (xy 90.892064 109.3279) + (xy 90.896 109.328003) + (xy 90.936447 109.330123) + (xy 90.936447 109.330122) + (xy 90.936448 109.330123) + (xy 90.959403 109.32131) + (xy 90.970722 109.317958) + (xy 90.994761 109.312849) + (xy 90.99476 109.312849) + (xy 90.994766 109.312848) + (xy 91.001674 109.307828) + (xy 91.018921 109.298464) + (xy 91.026896 109.295403) + (xy 91.044289 109.278009) + (xy 91.053248 109.270357) + (xy 91.073146 109.255901) + (xy 91.077415 109.248505) + (xy 91.089362 109.232935) + (xy 91.805547 108.51675) + (xy 91.808365 108.514075) + (xy 91.835712 108.489453) + (xy 91.838493 108.48695) + (xy 91.838493 108.486949) + (xy 91.838494 108.486949) + (xy 91.848496 108.46448) + (xy 91.854123 108.454117) + (xy 91.867516 108.433497) + (xy 91.86885 108.425072) + (xy 91.87443 108.406234) + (xy 91.8779 108.398442) + (xy 91.8779 108.373846) + (xy 91.878826 108.362081) + (xy 91.8794 108.358458) + (xy 91.882671 108.337806) + (xy 91.881126 108.332039) + (xy 91.880462 108.329559) + (xy 91.8779 108.310099) + (xy 91.8779 107.161977) + (xy 91.886097 107.127837) + (xy 91.937955 107.026061) + (xy 91.937956 107.026057) + (xy 91.937956 107.026056) + (xy 91.937957 107.026055) + (xy 91.957922 106.9) + (xy 91.957686 106.898513) + (xy 91.952149 106.863552) + (xy 91.943357 106.80804) + (xy 91.953172 106.757547) + (xy 91.993148 106.725175) + (xy 92.04458 106.726073) + (xy 92.070805 106.743104) + (xy 93.000074 107.672373) + (xy 93.021814 107.718993) + (xy 93.0221 107.725547) + (xy 93.0221 112.542062) + (xy 93.021997 112.545998) + (xy 93.019876 112.586448) + (xy 93.028688 112.609402) + (xy 93.032039 112.620715) + (xy 93.037151 112.644763) + (xy 93.037152 112.644766) + (xy 93.042169 112.651671) + (xy 93.051536 112.668922) + (xy 93.054596 112.676895) + (xy 93.054597 112.676896) + (xy 93.071985 112.694284) + (xy 93.079644 112.703252) + (xy 93.094097 112.723144) + (xy 93.094099 112.723146) + (xy 93.101495 112.727416) + (xy 93.117066 112.739365) + (xy 97.483231 117.10553) + (xy 97.485942 117.108386) + (xy 97.513051 117.138494) + (xy 97.535516 117.148496) + (xy 97.545884 117.154125) + (xy 97.566504 117.167516) + (xy 97.574936 117.168851) + (xy 97.593755 117.174426) + (xy 97.601558 117.1779) + (xy 97.626147 117.1779) + (xy 97.63791 117.178825) + (xy 97.662194 117.182672) + (xy 97.668459 117.180993) + (xy 97.670443 117.180462) + (xy 97.689904 117.1779) + (xy 106.124453 117.1779) + (xy 106.172791 117.195493) + (xy 106.177626 117.199925) + (xy 108.165676 119.187976) + (xy 110.13324 121.15554) + (xy 110.135951 121.158396) + (xy 110.163051 121.188494) + (xy 110.185523 121.198498) + (xy 110.195874 121.204119) + (xy 110.216503 121.217516) + (xy 110.224928 121.21885) + (xy 110.243759 121.224428) + (xy 110.251553 121.227898) + (xy 110.251555 121.227898) + (xy 110.251558 121.2279) + (xy 110.276152 121.2279) + (xy 110.287915 121.228825) + (xy 110.312194 121.232671) + (xy 110.317253 121.231315) + (xy 110.32044 121.230462) + (xy 110.339901 121.2279) + (xy 113.592064 121.2279) + (xy 113.596 121.228003) + (xy 113.636447 121.230123) + (xy 113.636447 121.230122) + (xy 113.636448 121.230123) + (xy 113.659403 121.22131) + (xy 113.670722 121.217958) + (xy 113.694761 121.212849) + (xy 113.69476 121.212849) + (xy 113.694766 121.212848) + (xy 113.701674 121.207828) + (xy 113.718921 121.198464) + (xy 113.726896 121.195403) + (xy 113.744289 121.178009) + (xy 113.753248 121.170357) + (xy 113.773146 121.155901) + (xy 113.777415 121.148505) + (xy 113.789362 121.132935) + (xy 114.898991 120.023307) + (xy 114.94561 120.001568) + (xy 114.963921 120.002207) + (xy 115 120.007922) + (xy 115.126055 119.987957) + (xy 115.239771 119.930016) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 115.407921 119.599996) + (xy 115.402208 119.563926) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.596751 119.629507) + (xy 114.597791 119.63607) + (xy 114.587976 119.686565) + (xy 114.576691 119.701008) + (xy 113.527626 120.750074) + (xy 113.481006 120.771814) + (xy 113.474452 120.7721) + (xy 110.425547 120.7721) + (xy 110.377209 120.754507) + (xy 110.372373 120.750074) + (xy 106.416758 116.794458) + (xy 106.414047 116.791602) + (xy 106.386949 116.761506) + (xy 106.386945 116.761503) + (xy 106.364486 116.751504) + (xy 106.354116 116.745874) + (xy 106.333496 116.732483) + (xy 106.325064 116.731148) + (xy 106.306243 116.725573) + (xy 106.298442 116.7221) + (xy 106.273848 116.7221) + (xy 106.262084 116.721174) + (xy 106.237808 116.717329) + (xy 106.237804 116.717329) + (xy 106.22956 116.719538) + (xy 106.210099 116.7221) + (xy 97.775548 116.7221) + (xy 97.72721 116.704507) + (xy 97.722374 116.700074) + (xy 93.499926 112.477626) + (xy 93.478186 112.431006) + (xy 93.4779 112.424452) + (xy 93.4779 107.607936) + (xy 93.478003 107.603999) + (xy 93.479254 107.580138) + (xy 93.480123 107.563552) + (xy 93.476685 107.554597) + (xy 93.471311 107.540595) + (xy 93.467959 107.52928) + (xy 93.462848 107.505235) + (xy 93.462848 107.505234) + (xy 93.46232 107.504507) + (xy 93.457831 107.498329) + (xy 93.448463 107.481074) + (xy 93.445404 107.473104) + (xy 93.445402 107.473102) + (xy 93.428007 107.455707) + (xy 93.420354 107.446747) + (xy 93.405901 107.426854) + (xy 93.4059 107.426853) + (xy 93.405899 107.426852) + (xy 93.398506 107.422584) + (xy 93.382934 107.410634) + (xy 92.116758 106.144458) + (xy 92.114047 106.141602) + (xy 92.086949 106.111506) + (xy 92.086945 106.111503) + (xy 92.064486 106.101504) + (xy 92.054116 106.095874) + (xy 92.033496 106.082483) + (xy 92.025064 106.081148) + (xy 92.006243 106.075573) + (xy 91.998442 106.0721) + (xy 91.973848 106.0721) + (xy 91.962084 106.071174) + (xy 91.937808 106.067329) + (xy 91.937804 106.067329) + (xy 91.92956 106.069538) + (xy 91.910099 106.0721) + (xy 90.657929 106.0721) + (xy 90.653992 106.071997) + (xy 90.613551 106.069876) + (xy 90.590597 106.078688) + (xy 90.579286 106.082039) + (xy 90.555235 106.087151) + (xy 90.555229 106.087154) + (xy 90.548324 106.092171) + (xy 90.531085 106.101532) + (xy 90.523104 106.104596) + (xy 90.505712 106.121987) + (xy 90.496746 106.129645) + (xy 90.476852 106.1441) + (xy 90.472581 106.151497) + (xy 90.460634 106.167064) + (xy 90.151008 106.47669) + (xy 90.104388 106.49843) + (xy 90.086072 106.49779) + (xy 90.050003 106.492078) + (xy 90.049999 106.492078) + (xy 89.923943 106.512043) + (xy 89.810228 106.569984) + (xy 89.719984 106.660228) + (xy 89.662043 106.773943) + (xy 89.642078 106.899999) + (xy 89.642078 106.9) + (xy 89.662043 107.026056) + (xy 89.703709 107.10783) + (xy 89.719984 107.139771) + (xy 89.810229 107.230016) + (xy 89.923943 107.287956) + (xy 89.923945 107.287957) + (xy 90.05 107.307922) + (xy 90.176055 107.287957) + (xy 90.289771 107.230016) + (xy 90.380016 107.139771) + (xy 90.437957 107.026055) + (xy 90.457922 106.9) + (xy 90.452208 106.863928) + (xy 90.462022 106.813435) + (xy 90.473304 106.798993) + (xy 90.722374 106.549925) + (xy 90.768994 106.528186) + (xy 90.775548 106.5279) + (xy 91.170765 106.5279) + (xy 91.219103 106.545493) + (xy 91.244823 106.590042) + (xy 91.23589 106.6407) + (xy 91.223939 106.656274) + (xy 91.219984 106.660228) + (xy 91.162043 106.773943) + (xy 91.142078 106.899999) + (xy 91.142078 106.9) + (xy 91.162043 107.026056) + (xy 91.203709 107.10783) + (xy 91.219984 107.139771) + (xy 91.310229 107.230016) + (xy 91.38104 107.266095) + (xy 91.416122 107.303715) + (xy 91.4221 107.333099) + (xy 91.4221 108.224452) + (xy 91.404507 108.27279) + (xy 91.400074 108.277626) + (xy 90.827626 108.850074) + (xy 90.781006 108.871814) + (xy 90.774452 108.8721) + (xy 89.807929 108.8721) + (xy 89.803992 108.871997) + (xy 89.763551 108.869876) + (xy 89.740597 108.878688) + (xy 89.729286 108.882039) + (xy 89.705235 108.887151) + (xy 89.705229 108.887154) + (xy 89.698324 108.892171) + (xy 89.681085 108.901532) + (xy 89.673104 108.904596) + (xy 89.655712 108.921987) + (xy 89.646746 108.929645) + (xy 89.626854 108.944098) + (xy 89.626852 108.944101) + (xy 89.622583 108.951495) + (xy 89.610634 108.967065) + (xy 88.144456 110.433242) + (xy 88.141602 110.435952) + (xy 88.111504 110.463052) + (xy 88.101507 110.485509) + (xy 88.095877 110.495878) + (xy 88.082485 110.516501) + (xy 88.082483 110.516506) + (xy 88.081148 110.524935) + (xy 88.075574 110.543754) + (xy 88.0721 110.551557) + (xy 88.0721 110.576152) + (xy 88.071174 110.587915) + (xy 88.071098 110.588393) + (xy 88.067329 110.612191) + (xy 88.067329 110.612194) + (xy 87.1279 110.612194) + (xy 87.1279 107.9) + (xy 88.642078 107.9) + (xy 88.662043 108.026056) + (xy 88.699719 108.099999) + (xy 88.719984 108.139771) + (xy 88.810229 108.230016) + (xy 88.923943 108.287956) + (xy 88.923945 108.287957) + (xy 89.05 108.307922) + (xy 89.176055 108.287957) + (xy 89.289771 108.230016) + (xy 89.380016 108.139771) + (xy 89.437957 108.026055) + (xy 89.457922 107.9) + (xy 90.042078 107.9) + (xy 90.062043 108.026056) + (xy 90.099719 108.099999) + (xy 90.119984 108.139771) + (xy 90.210229 108.230016) + (xy 90.323943 108.287956) + (xy 90.323945 108.287957) + (xy 90.45 108.307922) + (xy 90.576055 108.287957) + (xy 90.689771 108.230016) + (xy 90.780016 108.139771) + (xy 90.837957 108.026055) + (xy 90.857922 107.9) + (xy 90.837957 107.773945) + (xy 90.780016 107.660229) + (xy 90.689771 107.569984) + (xy 90.576055 107.512043) + (xy 90.576057 107.512043) + (xy 90.45 107.492078) + (xy 90.323943 107.512043) + (xy 90.210228 107.569984) + (xy 90.119984 107.660228) + (xy 90.062043 107.773943) + (xy 90.042078 107.899999) + (xy 90.042078 107.9) + (xy 89.457922 107.9) + (xy 89.437957 107.773945) + (xy 89.380016 107.660229) + (xy 89.299925 107.580138) + (xy 89.278186 107.533518) + (xy 89.2779 107.526964) + (xy 89.2779 106.775547) + (xy 89.295493 106.727209) + (xy 89.299926 106.722373) + (xy 90.272373 105.749926) + (xy 90.318993 105.728186) + (xy 90.325547 105.7279) + (xy 92.374453 105.7279) + (xy 92.422791 105.745493) + (xy 92.427627 105.749926) + (xy 94.050074 107.372373) + (xy 94.071814 107.418993) + (xy 94.0721 107.425547) + (xy 94.0721 111.892062) + (xy 94.071997 111.895998) + (xy 94.069876 111.936448) + (xy 94.078688 111.959402) + (xy 94.082039 111.970715) + (xy 94.087151 111.994763) + (xy 94.087152 111.994766) + (xy 94.092169 112.001671) + (xy 94.101536 112.018922) + (xy 94.104596 112.026895) + (xy 94.104597 112.026896) + (xy 94.121985 112.044284) + (xy 94.129644 112.053252) + (xy 94.144097 112.073144) + (xy 94.144099 112.073146) + (xy 94.151495 112.077416) + (xy 94.167066 112.089365) + (xy 98.48324 116.40554) + (xy 98.485951 116.408396) + (xy 98.513051 116.438494) + (xy 98.535523 116.448498) + (xy 98.545874 116.454119) + (xy 98.566503 116.467516) + (xy 98.574928 116.46885) + (xy 98.593759 116.474428) + (xy 98.601553 116.477898) + (xy 98.601555 116.477898) + (xy 98.601558 116.4779) + (xy 98.626152 116.4779) + (xy 98.637915 116.478825) + (xy 98.662194 116.482671) + (xy 98.667253 116.481315) + (xy 98.67044 116.480462) + (xy 98.689901 116.4779) + (xy 106.774453 116.4779) + (xy 106.822791 116.495493) + (xy 106.827627 116.499926) + (xy 109.133231 118.80553) + (xy 109.135942 118.808386) + (xy 109.163051 118.838494) + (xy 109.175636 118.844097) + (xy 109.185516 118.848496) + (xy 109.195884 118.854125) + (xy 109.216504 118.867516) + (xy 109.224936 118.868851) + (xy 109.243755 118.874426) + (xy 109.251558 118.8779) + (xy 109.276147 118.8779) + (xy 109.28791 118.878825) + (xy 109.312194 118.882672) + (xy 109.318459 118.880993) + (xy 109.320443 118.880462) + (xy 109.339904 118.8779) + (xy 118.574453 118.8779) + (xy 118.622791 118.895493) + (xy 118.627627 118.899926) + (xy 119.22669 119.49899) + (xy 119.24843 119.54561) + (xy 119.24779 119.563926) + (xy 119.242078 119.599996) + (xy 119.242078 119.600001) + (xy 119.262043 119.726056) + (xy 119.309902 119.819984) + (xy 119.319984 119.839771) + (xy 119.410229 119.930016) + (xy 119.523943 119.987956) + (xy 119.523945 119.987957) + (xy 119.65 120.007922) + (xy 119.776055 119.987957) + (xy 119.889771 119.930016) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.057921 119.599996) + (xy 120.052208 119.563926) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.649999 119.192078) + (xy 119.613928 119.197791) + (xy 119.563433 119.187976) + (xy 119.54899 119.176691) + (xy 119.216396 118.844097) + (xy 118.866758 118.494458) + (xy 118.864047 118.491602) + (xy 118.836949 118.461506) + (xy 118.836945 118.461503) + (xy 118.814486 118.451504) + (xy 118.804116 118.445874) + (xy 118.783496 118.432483) + (xy 118.775064 118.431148) + (xy 118.756243 118.425573) + (xy 118.748442 118.4221) + (xy 118.723848 118.4221) + (xy 118.712084 118.421174) + (xy 118.687808 118.417329) + (xy 118.687804 118.417329) + (xy 118.67956 118.419538) + (xy 118.660099 118.4221) + (xy 109.425548 118.4221) + (xy 109.37721 118.404507) + (xy 109.372374 118.400074) + (xy 107.066758 116.094458) + (xy 107.064047 116.091602) + (xy 107.036949 116.061506) + (xy 107.036945 116.061503) + (xy 107.014486 116.051504) + (xy 107.004116 116.045874) + (xy 106.983496 116.032483) + (xy 106.975064 116.031148) + (xy 106.956243 116.025573) + (xy 106.948442 116.0221) + (xy 106.923848 116.0221) + (xy 106.912084 116.021174) + (xy 106.887808 116.017329) + (xy 106.887804 116.017329) + (xy 106.87956 116.019538) + (xy 106.860099 116.0221) + (xy 98.775547 116.0221) + (xy 98.727209 116.004507) + (xy 98.722373 116.000074) + (xy 94.7223 112) + (xy 96.742443 112) + (xy 96.760977 112.128911) + (xy 96.815078 112.247373) + (xy 96.81508 112.247376) + (xy 96.900366 112.345801) + (xy 96.953607 112.380016) + (xy 97.00062 112.410229) + (xy 97.009926 112.416209) + (xy 97.134883 112.4529) + (xy 97.265117 112.4529) + (xy 97.390073 112.416209) + (xy 97.390073 112.416208) + (xy 97.390076 112.416208) + (xy 97.499636 112.345799) + (xy 97.584921 112.247374) + (xy 97.639023 112.128909) + (xy 97.657557 112) + (xy 97.639023 111.871091) + (xy 97.631449 111.854507) + (xy 97.584921 111.752626) + (xy 97.584919 111.752623) + (xy 97.499633 111.654198) + (xy 97.390073 111.58379) + (xy 97.265117 111.5471) + (xy 97.134883 111.5471) + (xy 97.009926 111.58379) + (xy 96.900366 111.654198) + (xy 96.81508 111.752623) + (xy 96.815078 111.752626) + (xy 96.760977 111.871088) + (xy 96.742443 112) + (xy 94.7223 112) + (xy 94.549926 111.827626) + (xy 94.528186 111.781006) + (xy 94.5279 111.774452) + (xy 94.5279 111.1) + (xy 95.742443 111.1) + (xy 95.760977 111.228911) + (xy 95.815078 111.347373) + (xy 95.81508 111.347376) + (xy 95.851816 111.389771) + (xy 95.898324 111.443445) + (xy 95.900366 111.445801) + (xy 96.009926 111.516209) + (xy 96.134883 111.5529) + (xy 96.265117 111.5529) + (xy 96.390073 111.516209) + (xy 96.390073 111.516208) + (xy 96.390076 111.516208) + (xy 96.499636 111.445799) + (xy 96.584921 111.347374) + (xy 96.639023 111.228909) + (xy 96.657557 111.1) + (xy 96.639023 110.971091) + (xy 96.611227 110.910228) + (xy 96.584921 110.852626) + (xy 96.584919 110.852623) + (xy 96.52453 110.782931) + (xy 96.499636 110.754201) + (xy 96.499635 110.7542) + (xy 96.499633 110.754198) + (xy 96.390073 110.68379) + (xy 96.265117 110.6471) + (xy 96.134883 110.6471) + (xy 96.009926 110.68379) + (xy 95.900366 110.754198) + (xy 95.81508 110.852623) + (xy 95.815078 110.852626) + (xy 95.760977 110.971088) + (xy 95.742443 111.1) + (xy 94.5279 111.1) + (xy 94.5279 110.2) + (xy 96.992078 110.2) + (xy 97.012043 110.326056) + (xy 97.063202 110.426461) + (xy 97.069984 110.439771) + (xy 97.160229 110.530016) + (xy 97.273943 110.587956) + (xy 97.273945 110.587957) + (xy 97.4 110.607922) + (xy 97.526055 110.587957) + (xy 97.639771 110.530016) + (xy 97.730016 110.439771) + (xy 97.787957 110.326055) + (xy 97.807922 110.2) + (xy 97.787957 110.073945) + (xy 97.730016 109.960229) + (xy 97.639771 109.869984) + (xy 97.526055 109.812043) + (xy 97.526057 109.812043) + (xy 97.4 109.792078) + (xy 97.273943 109.812043) + (xy 97.160228 109.869984) + (xy 97.069984 109.960228) + (xy 97.012043 110.073943) + (xy 96.992078 110.199999) + (xy 96.992078 110.2) + (xy 94.5279 110.2) + (xy 94.5279 107.9) + (xy 97.042078 107.9) + (xy 97.062043 108.026056) + (xy 97.099719 108.099999) + (xy 97.119984 108.139771) + (xy 97.210229 108.230016) + (xy 97.323943 108.287956) + (xy 97.323945 108.287957) + (xy 97.45 108.307922) + (xy 97.576055 108.287957) + (xy 97.689771 108.230016) + (xy 97.780016 108.139771) + (xy 97.837957 108.026055) + (xy 97.857922 107.9) + (xy 97.837957 107.773945) + (xy 97.780016 107.660229) + (xy 97.689771 107.569984) + (xy 97.576055 107.512043) + (xy 97.576057 107.512043) + (xy 97.45 107.492078) + (xy 97.323943 107.512043) + (xy 97.210228 107.569984) + (xy 97.119984 107.660228) + (xy 97.062043 107.773943) + (xy 97.042078 107.899999) + (xy 97.042078 107.9) + (xy 94.5279 107.9) + (xy 94.5279 107.307928) + (xy 94.528003 107.303991) + (xy 94.530123 107.263551) + (xy 94.52131 107.240595) + (xy 94.51796 107.229288) + (xy 94.512848 107.205234) + (xy 94.50783 107.198327) + (xy 94.498463 107.181075) + (xy 94.495403 107.173104) + (xy 94.495402 107.173102) + (xy 94.478018 107.155718) + (xy 94.470355 107.146747) + (xy 94.455901 107.126854) + (xy 94.448503 107.122582) + (xy 94.432933 107.110634) + (xy 94.272299 106.95) + (xy 97.392078 106.95) + (xy 97.412043 107.076056) + (xy 97.460466 107.171091) + (xy 97.469984 107.189771) + (xy 97.560229 107.280016) + (xy 97.673943 107.337956) + (xy 97.673945 107.337957) + (xy 97.8 107.357922) + (xy 97.9224 107.338535) + (xy 97.972892 107.34835) + (xy 97.987336 107.359635) + (xy 99.800074 109.172373) + (xy 99.821814 109.218993) + (xy 99.8221 109.225547) + (xy 99.8221 112.526964) + (xy 99.804507 112.575302) + (xy 99.800075 112.580138) + (xy 99.719983 112.66023) + (xy 99.662043 112.773943) + (xy 99.642078 112.899999) + (xy 99.642078 112.9) + (xy 99.662043 113.026056) + (xy 99.69065 113.0822) + (xy 99.719984 113.139771) + (xy 99.810229 113.230016) + (xy 99.923943 113.287956) + (xy 99.923945 113.287957) + (xy 100.05 113.307922) + (xy 100.176055 113.287957) + (xy 100.289771 113.230016) + (xy 100.380016 113.139771) + (xy 100.437957 113.026055) + (xy 100.457922 112.9) + (xy 100.437957 112.773945) + (xy 100.380016 112.660229) + (xy 100.299925 112.580138) + (xy 100.278186 112.533518) + (xy 100.2779 112.526964) + (xy 100.2779 109.107928) + (xy 100.278003 109.103991) + (xy 100.280123 109.063551) + (xy 100.27131 109.040595) + (xy 100.26796 109.029288) + (xy 100.262848 109.005234) + (xy 100.25783 108.998327) + (xy 100.248463 108.981075) + (xy 100.245403 108.973104) + (xy 100.245402 108.973102) + (xy 100.228018 108.955718) + (xy 100.220355 108.946747) + (xy 100.205901 108.926854) + (xy 100.204715 108.926169) + (xy 100.198503 108.922582) + (xy 100.182933 108.910634) + (xy 98.222493 106.950193) + (xy 98.201393 106.908783) + (xy 98.187957 106.823945) + (xy 98.130016 106.710229) + (xy 98.039771 106.619984) + (xy 97.926055 106.562043) + (xy 97.926057 106.562043) + (xy 97.8 106.542078) + (xy 97.673943 106.562043) + (xy 97.560228 106.619984) + (xy 97.469984 106.710228) + (xy 97.412043 106.823943) + (xy 97.392078 106.949999) + (xy 97.392078 106.95) + (xy 94.272299 106.95) + (xy 93.552315 106.230016) + (xy 92.666758 105.344458) + (xy 92.664047 105.341602) + (xy 92.636949 105.311506) + (xy 92.636945 105.311503) + (xy 92.614486 105.301504) + (xy 92.604116 105.295874) + (xy 92.583496 105.282483) + (xy 92.575064 105.281148) + (xy 92.556243 105.275573) + (xy 92.548442 105.2721) + (xy 92.523848 105.2721) + (xy 92.512084 105.271174) + (xy 92.487808 105.267329) + (xy 92.487804 105.267329) + (xy 92.47956 105.269538) + (xy 92.460099 105.2721) + (xy 90.207936 105.2721) + (xy 90.204 105.271997) + (xy 90.202283 105.271907) + (xy 90.163552 105.269876) + (xy 90.140588 105.278691) + (xy 90.129279 105.28204) + (xy 90.105236 105.287151) + (xy 90.105234 105.287151) + (xy 90.098327 105.29217) + (xy 90.081083 105.301532) + (xy 90.073104 105.304595) + (xy 90.05571 105.321989) + (xy 90.04674 105.32965) + (xy 90.026854 105.344098) + (xy 90.026851 105.344102) + (xy 90.022582 105.351495) + (xy 90.010635 105.367063) + (xy 88.894456 106.483242) + (xy 88.891601 106.485952) + (xy 88.862626 106.512043) + (xy 88.861504 106.513053) + (xy 88.854767 106.528186) + (xy 88.851894 106.534641) + (xy 88.851508 106.535507) + (xy 88.845878 106.545877) + (xy 88.832485 106.566501) + (xy 88.832484 106.566503) + (xy 88.831148 106.574935) + (xy 88.825574 106.593754) + (xy 88.8221 106.601557) + (xy 88.8221 106.626152) + (xy 88.821174 106.637916) + (xy 88.817329 106.662191) + (xy 88.817329 106.662194) + (xy 88.819538 106.670438) + (xy 88.8221 106.6899) + (xy 88.8221 107.526964) + (xy 88.804507 107.575302) + (xy 88.800075 107.580138) + (xy 88.719983 107.66023) + (xy 88.662043 107.773943) + (xy 88.642078 107.899999) + (xy 88.642078 107.9) + (xy 87.1279 107.9) + (xy 87.1279 106.907936) + (xy 87.128003 106.903999) + (xy 87.130123 106.863555) + (xy 87.130122 106.863554) + (xy 87.130123 106.863552) + (xy 87.121306 106.840586) + (xy 87.11796 106.829288) + (xy 87.112848 106.805234) + (xy 87.10783 106.798327) + (xy 87.098463 106.781075) + (xy 87.095403 106.773105) + (xy 87.095403 106.773104) + (xy 87.078013 106.755714) + (xy 87.070354 106.746747) + (xy 87.067707 106.743104) + (xy 87.055901 106.726854) + (xy 87.0559 106.726853) + (xy 87.048506 106.722584) + (xy 87.032934 106.710634) + (xy 86.649926 106.327626) + (xy 86.628186 106.281006) + (xy 86.6279 106.274452) + (xy 86.6279 104.675546) + (xy 86.645493 104.627208) + (xy 86.649915 104.622383) + (xy 87.44899 103.823307) + (xy 87.495609 103.801568) + (xy 87.513925 103.802207) + (xy 87.55 103.807922) + (xy 87.676055 103.787957) + (xy 87.789771 103.730016) + (xy 87.880016 103.639771) + (xy 87.937957 103.526055) + (xy 87.957922 103.4) + (xy 87.937957 103.273945) + (xy 87.880016 103.160229) + (xy 87.789771 103.069984) + (xy 87.676055 103.012043) + (xy 87.676057 103.012043) + (xy 87.55 102.992078) + (xy 87.423943 103.012043) + (xy 87.310228 103.069984) + (xy 87.219984 103.160228) + (xy 87.162043 103.273943) + (xy 87.142078 103.399998) + (xy 87.142078 103.400003) + (xy 87.14779 103.436072) + (xy 87.137974 103.486566) + (xy 87.12669 103.501008) + (xy 86.244456 104.383242) + (xy 86.241602 104.385952) + (xy 86.211504 104.413052) + (xy 86.201507 104.435509) + (xy 86.195877 104.445878) + (xy 86.182485 104.466501) + (xy 86.182483 104.466506) + (xy 86.181148 104.474935) + (xy 86.175574 104.493754) + (xy 86.1721 104.501557) + (xy 86.1721 104.526152) + (xy 86.171174 104.537916) + (xy 86.167329 104.562191) + (xy 86.167329 104.562194) + (xy 86.169538 104.570438) + (xy 86.1721 104.5899) + (xy 86.1721 106.392062) + (xy 86.171997 106.395998) + (xy 86.169876 106.436448) + (xy 86.178688 106.459402) + (xy 86.182039 106.470715) + (xy 86.187151 106.494763) + (xy 86.187152 106.494766) + (xy 86.191008 106.500074) + (xy 86.192169 106.501671) + (xy 86.201536 106.518922) + (xy 86.204596 106.526895) + (xy 86.204597 106.526896) + (xy 86.221985 106.544284) + (xy 86.229644 106.553252) + (xy 86.244096 106.573143) + (xy 86.244098 106.573145) + (xy 86.244099 106.573146) + (xy 86.251493 106.577415) + (xy 86.267065 106.589365) + (xy 86.464923 106.787223) + (xy 86.650074 106.972373) + (xy 86.671814 107.018993) + (xy 86.6721 107.025547) + (xy 86.6721 114.874451) + (xy 86.654507 114.922789) + (xy 86.650074 114.927625) + (xy 78.201008 123.37669) + (xy 78.154388 123.39843) + (xy 78.136072 123.39779) + (xy 78.100003 123.392078) + (xy 78.099999 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 74.2054 123.8) + (xy 74.2054 121.737436) + (xy 74.205503 121.733499) + (xy 74.207623 121.693055) + (xy 74.207622 121.693054) + (xy 74.207623 121.693052) + (xy 74.198806 121.670086) + (xy 74.19546 121.658788) + (xy 74.190348 121.634734) + (xy 74.18533 121.627827) + (xy 74.175963 121.610575) + (xy 74.172903 121.602604) + (xy 74.172902 121.602602) + (xy 74.155518 121.585218) + (xy 74.147855 121.576247) + (xy 74.133401 121.556354) + (xy 74.126942 121.552625) + (xy 74.126003 121.552082) + (xy 74.110433 121.540134) + (xy 72.7203 120.15) + (xy 77.692078 120.15) + (xy 77.712043 120.276056) + (xy 77.761309 120.372745) + (xy 77.769984 120.389771) + (xy 77.860229 120.480016) + (xy 77.973943 120.537956) + (xy 77.973945 120.537957) + (xy 78.1 120.557922) + (xy 78.226055 120.537957) + (xy 78.339771 120.480016) + (xy 78.430016 120.389771) + (xy 78.487957 120.276055) + (xy 78.507922 120.15) + (xy 78.487957 120.023945) + (xy 78.430016 119.910229) + (xy 78.339771 119.819984) + (xy 78.226055 119.762043) + (xy 78.226057 119.762043) + (xy 78.1 119.742078) + (xy 77.973943 119.762043) + (xy 77.860228 119.819984) + (xy 77.769984 119.910228) + (xy 77.712043 120.023943) + (xy 77.692078 120.149999) + (xy 77.692078 120.15) + (xy 72.7203 120.15) + (xy 72.239258 119.668958) + (xy 72.236547 119.666102) + (xy 72.209449 119.636006) + (xy 72.209445 119.636003) + (xy 72.186986 119.626004) + (xy 72.176616 119.620374) + (xy 72.155996 119.606983) + (xy 72.147564 119.605648) + (xy 72.128743 119.600073) + (xy 72.120942 119.5966) + (xy 72.096348 119.5966) + (xy 72.084584 119.595674) + (xy 72.060308 119.591829) + (xy 72.060304 119.591829) + (xy 72.05206 119.594038) + (xy 72.032599 119.5966) + (xy 70.746937 119.5966) + (xy 70.743001 119.596497) + (xy 70.741366 119.596411) + (xy 70.702554 119.594376) + (xy 70.679592 119.60319) + (xy 70.668284 119.606539) + (xy 70.644234 119.611651) + (xy 70.644228 119.611654) + (xy 70.637326 119.616669) + (xy 70.620083 119.626032) + (xy 70.612104 119.629095) + (xy 70.59471 119.646489) + (xy 70.58574 119.65415) + (xy 70.565854 119.668598) + (xy 70.565851 119.668602) + (xy 70.561582 119.675995) + (xy 70.549635 119.691563) + (xy 70.26576 119.975438) + (xy 70.21914 119.997178) + (xy 70.169453 119.983864) + (xy 70.139948 119.941727) + (xy 70.143111 119.893485) + (xy 70.191543 119.776563) + (xy 70.210311 119.634) + (xy 70.191543 119.491438) + (xy 70.139597 119.366032) + (xy 69.787874 119.717757) + (xy 69.741254 119.739497) + (xy 69.691567 119.726183) + (xy 69.662062 119.684046) + (xy 69.6595 119.664583) + (xy 69.6595 119.453016) + (xy 69.677093 119.404678) + (xy 69.681526 119.399842) + (xy 69.881367 119.2) + (xy 75.242443 119.2) + (xy 75.260977 119.328911) + (xy 75.315078 119.447373) + (xy 75.31508 119.447376) + (xy 75.400366 119.545801) + (xy 75.471989 119.591829) + (xy 75.495569 119.606983) + (xy 75.509926 119.616209) + (xy 75.634883 119.6529) + (xy 75.765117 119.6529) + (xy 75.890073 119.616209) + (xy 75.890073 119.616208) + (xy 75.890076 119.616208) + (xy 75.999636 119.545799) + (xy 76.084921 119.447374) + (xy 76.139023 119.328909) + (xy 76.157557 119.2) + (xy 76.139023 119.071091) + (xy 76.136274 119.065072) + (xy 76.129391 119.05) + (xy 77.067078 119.05) + (xy 77.087043 119.176056) + (xy 77.134902 119.269984) + (xy 77.144984 119.289771) + (xy 77.235229 119.380016) + (xy 77.348943 119.437956) + (xy 77.348945 119.437957) + (xy 77.475 119.457922) + (xy 77.601055 119.437957) + (xy 77.714771 119.380016) + (xy 77.805016 119.289771) + (xy 77.862957 119.176055) + (xy 77.882922 119.05) + (xy 77.862957 118.923945) + (xy 77.805016 118.810229) + (xy 77.714771 118.719984) + (xy 77.601055 118.662043) + (xy 77.601057 118.662043) + (xy 77.475 118.642078) + (xy 77.348943 118.662043) + (xy 77.235228 118.719984) + (xy 77.144984 118.810228) + (xy 77.087043 118.923943) + (xy 77.067078 119.049999) + (xy 77.067078 119.05) + (xy 76.129391 119.05) + (xy 76.084921 118.952626) + (xy 76.084919 118.952623) + (xy 76.011173 118.867516) + (xy 75.999636 118.854201) + (xy 75.999635 118.8542) + (xy 75.999633 118.854198) + (xy 75.890073 118.78379) + (xy 75.765117 118.7471) + (xy 75.634883 118.7471) + (xy 75.509926 118.78379) + (xy 75.400366 118.854198) + (xy 75.31508 118.952623) + (xy 75.315078 118.952626) + (xy 75.260977 119.071088) + (xy 75.242443 119.2) + (xy 69.881367 119.2) + (xy 69.927466 119.153901) + (xy 69.802062 119.101956) + (xy 69.724884 119.091796) + (xy 69.679257 119.068043) + (xy 69.659572 119.020519) + (xy 69.6595 119.017239) + (xy 69.6595 118.981265) + (xy 69.677093 118.932927) + (xy 69.721642 118.907207) + (xy 69.724845 118.906713) + (xy 69.802191 118.896531) + (xy 69.935159 118.841455) + (xy 70.04934 118.75384) + (xy 70.136955 118.639659) + (xy 70.192031 118.506691) + (xy 70.210817 118.364) + (xy 70.192031 118.221309) + (xy 70.173765 118.177212) + (xy 70.169687 118.132795) + (xy 70.1704 118.129441) + (xy 70.1704 118.104846) + (xy 70.171326 118.093081) + (xy 70.175171 118.068806) + (xy 70.174701 118.067055) + (xy 70.172962 118.060559) + (xy 70.1704 118.041099) + (xy 70.1704 117.836123) + (xy 70.187993 117.787785) + (xy 70.232542 117.762065) + (xy 70.2832 117.770998) + (xy 70.311736 117.800332) + (xy 70.356569 117.883177) + (xy 70.502938 118.071233) + (xy 70.502942 118.071237) + (xy 70.588156 118.149681) + (xy 70.678266 118.232633) + (xy 70.85241 118.346407) + (xy 70.877768 118.362974) + (xy 70.962347 118.400074) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.74265 118.522116) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.486183 118.2) + (xy 76.142443 118.2) + (xy 76.160977 118.328911) + (xy 76.215078 118.447373) + (xy 76.21508 118.447376) + (xy 76.300366 118.545801) + (xy 76.409926 118.616209) + (xy 76.534883 118.6529) + (xy 76.665117 118.6529) + (xy 76.790073 118.616209) + (xy 76.790073 118.616208) + (xy 76.790076 118.616208) + (xy 76.899636 118.545799) + (xy 76.984921 118.447374) + (xy 77.039023 118.328909) + (xy 77.057557 118.2) + (xy 77.039023 118.071091) + (xy 77.037979 118.068806) + (xy 76.984921 117.952626) + (xy 76.984919 117.952623) + (xy 76.982646 117.95) + (xy 77.692078 117.95) + (xy 77.712043 118.076056) + (xy 77.769984 118.189771) + (xy 77.860229 118.280016) + (xy 77.973943 118.337956) + (xy 77.973945 118.337957) + (xy 78.1 118.357922) + (xy 78.226055 118.337957) + (xy 78.339771 118.280016) + (xy 78.430016 118.189771) + (xy 78.487957 118.076055) + (xy 78.507922 117.95) + (xy 78.487957 117.823945) + (xy 78.430016 117.710229) + (xy 78.339771 117.619984) + (xy 78.226055 117.562043) + (xy 78.226057 117.562043) + (xy 78.1 117.542078) + (xy 77.973943 117.562043) + (xy 77.860228 117.619984) + (xy 77.769984 117.710228) + (xy 77.712043 117.823943) + (xy 77.692078 117.949999) + (xy 77.692078 117.95) + (xy 76.982646 117.95) + (xy 76.927662 117.886545) + (xy 76.899636 117.854201) + (xy 76.899635 117.8542) + (xy 76.899633 117.854198) + (xy 76.790073 117.78379) + (xy 76.665117 117.7471) + (xy 76.534883 117.7471) + (xy 76.409926 117.78379) + (xy 76.300366 117.854198) + (xy 76.21508 117.952623) + (xy 76.215078 117.952626) + (xy 76.160977 118.071088) + (xy 76.142443 118.2) + (xy 72.486183 118.2) + (xy 72.62606 118.071235) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.311736 116.387667) + (xy 70.273257 116.421805) + (xy 70.221837 116.423223) + (xy 70.181536 116.391256) + (xy 70.1704 116.351876) + (xy 70.1704 115.350436) + (xy 70.170503 115.346499) + (xy 70.172623 115.306055) + (xy 70.172622 115.306054) + (xy 70.172623 115.306052) + (xy 70.163806 115.283086) + (xy 70.16046 115.271788) + (xy 70.155348 115.247734) + (xy 70.15033 115.240827) + (xy 70.140963 115.223575) + (xy 70.137903 115.215604) + (xy 70.137902 115.215602) + (xy 70.120518 115.198218) + (xy 70.112855 115.189247) + (xy 70.098401 115.169354) + (xy 70.093924 115.166769) + (xy 70.091003 115.165082) + (xy 70.075433 115.153134) + (xy 68.773308 113.851008) + (xy 68.751568 113.804388) + (xy 68.752207 113.786079) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.386071 114.152208) + (xy 68.436564 114.162022) + (xy 68.451009 114.173308) + (xy 69.692574 115.414873) + (xy 69.714314 115.461493) + (xy 69.7146 115.468047) + (xy 69.7146 116.54511) + (xy 69.697007 116.593448) + (xy 69.652458 116.619168) + (xy 69.6018 116.610235) + (xy 69.579081 116.590017) + (xy 69.570669 116.578718) + (xy 69.570667 116.578716) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.585449 117.699166) + (xy 68.611169 117.743714) + (xy 68.602236 117.794372) + (xy 68.562831 117.827437) + (xy 68.527296 117.831329) + (xy 68.3895 117.813188) + (xy 68.246937 117.831956) + (xy 68.121532 117.883901) + (xy 68.389499 118.151868) + (xy 68.3895 118.151868) + (xy 68.657465 117.883901) + (xy 68.656626 117.879682) + (xy 68.66445 117.828841) + (xy 68.703124 117.794924) + (xy 68.754552 117.7938) + (xy 68.764126 117.797806) + (xy 68.767685 117.799594) + (xy 68.937329 117.8398) + (xy 69.067943 117.8398) + (xy 69.067945 117.839799) + (xy 69.080916 117.838282) + (xy 69.197664 117.824637) + (xy 69.246206 117.806969) + (xy 69.297643 117.806969) + (xy 69.337049 117.840033) + (xy 69.345982 117.890691) + (xy 69.320262 117.93524) + (xy 69.317704 117.937294) + (xy 69.269658 117.974161) + (xy 69.182046 118.08834) + (xy 69.182043 118.088346) + (xy 69.12697 118.221305) + (xy 69.126969 118.221307) + (xy 69.126969 118.221309) + (xy 69.121342 118.264051) + (xy 69.116791 118.298617) + (xy 69.093038 118.344244) + (xy 69.045513 118.363928) + (xy 69.042234 118.364) + (xy 69.006261 118.364) + (xy 68.957923 118.346407) + (xy 68.932203 118.301858) + (xy 68.931704 118.298616) + (xy 68.921543 118.221437) + (xy 68.869597 118.096033) + (xy 68.623658 118.341974) + (xy 68.577037 118.363714) + (xy 68.570483 118.364) + (xy 68.3895 118.364) + (xy 68.3895 118.544982) + (xy 68.371907 118.59332) + (xy 68.367474 118.598156) + (xy 68.121532 118.844097) + (xy 68.246937 118.896043) + (xy 68.324115 118.906203) + (xy 68.369743 118.929955) + (xy 68.389428 118.977479) + (xy 68.3895 118.98076) + (xy 68.3895 119.016734) + (xy 68.371907 119.065072) + (xy 68.327358 119.090792) + (xy 68.324116 119.091291) + (xy 68.246809 119.101469) + (xy 68.246807 119.101469) + (xy 68.246805 119.10147) + (xy 68.113846 119.156543) + (xy 68.11384 119.156546) + (xy 67.999659 119.244159) + (xy 67.912046 119.358339) + (xy 67.911493 119.359675) + (xy 67.910903 119.360318) + (xy 67.909581 119.362609) + (xy 67.909073 119.362315) + (xy 67.876742 119.397602) + (xy 67.842016 119.4061) + (xy 67.686429 119.4061) + (xy 67.682492 119.405997) + (xy 67.642051 119.403876) + (xy 67.619097 119.412688) + (xy 67.607786 119.416039) + (xy 67.583735 119.421151) + (xy 67.583729 119.421154) + (xy 67.576824 119.426171) + (xy 67.559585 119.435532) + (xy 67.551604 119.438596) + (xy 67.534212 119.455987) + (xy 67.525246 119.463645) + (xy 67.505354 119.478098) + (xy 67.505352 119.478101) + (xy 67.501083 119.485495) + (xy 67.489134 119.501065) + (xy 66.780626 120.209574) + (xy 66.734006 120.231314) + (xy 66.727452 120.2316) + (xy 65.403548 120.2316) + (xy 65.35521 120.214007) + (xy 65.350373 120.209574) + (xy 65.019925 119.879125) + (xy 64.998186 119.832505) + (xy 64.9979 119.825951) + (xy 64.9979 119.634) + (xy 65.886078 119.634) + (xy 65.906043 119.760056) + (xy 65.942958 119.832505) + (xy 65.963984 119.873771) + (xy 66.054229 119.964016) + (xy 66.167943 120.021956) + (xy 66.167945 120.021957) + (xy 66.294 120.041922) + (xy 66.420055 120.021957) + (xy 66.533771 119.964016) + (xy 66.624016 119.873771) + (xy 66.681957 119.760055) + (xy 66.701922 119.634) + (xy 66.70121 119.629507) + (xy 66.697573 119.606539) + (xy 66.681957 119.507945) + (xy 66.624016 119.394229) + (xy 66.533771 119.303984) + (xy 66.420055 119.246043) + (xy 66.420057 119.246043) + (xy 66.294 119.226078) + (xy 66.167943 119.246043) + (xy 66.054228 119.303984) + (xy 65.963984 119.394228) + (xy 65.906043 119.507943) + (xy 65.886078 119.633999) + (xy 65.886078 119.634) + (xy 64.9979 119.634) + (xy 64.9979 118.308428) + (xy 64.998003 118.304491) + (xy 65.000123 118.264051) + (xy 64.99131 118.241095) + (xy 64.98796 118.229788) + (xy 64.982848 118.205734) + (xy 64.97783 118.198827) + (xy 64.968463 118.181575) + (xy 64.965403 118.173604) + (xy 64.965402 118.173602) + (xy 64.948018 118.156218) + (xy 64.940355 118.147247) + (xy 64.925901 118.127354) + (xy 64.925769 118.127278) + (xy 64.918503 118.123082) + (xy 64.902933 118.111134) + (xy 64.004954 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.1255 117.563923) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.422938 118.071233) + (xy 65.422942 118.071237) + (xy 65.508156 118.149681) + (xy 65.598266 118.232633) + (xy 65.77241 118.346407) + (xy 65.797768 118.362974) + (xy 65.882347 118.400074) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.66265 118.522116) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.168893 118.364) + (xy 67.838688 118.364) + (xy 67.857456 118.506561) + (xy 67.909401 118.631965) + (xy 68.177368 118.363999) + (xy 67.909401 118.096032) + (xy 67.857456 118.221437) + (xy 67.838688 118.364) + (xy 67.168893 118.364) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 64.004954 117.213155) + (xy 62.289426 115.497626) + (xy 62.267686 115.451006) + (xy 62.2674 115.444452) + (xy 62.2674 110.922182) + (xy 62.284993 110.873844) + (xy 62.329542 110.848124) + (xy 62.37674 110.855178) + (xy 62.38612 110.859958) + (xy 62.421442 110.877956) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.939451 110.590835) + (xy 62.964389 110.545846) + (xy 63.012412 110.527411) + (xy 63.013725 110.5274) + (xy 63.893317 110.5274) + (xy 63.941655 110.544993) + (xy 63.967375 110.589542) + (xy 63.960321 110.63674) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.956667 110.971088) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.713457 110.933553) + (xy 64.714655 110.929868) + (xy 64.74632 110.88933) + (xy 64.786176 110.8779) + (xy 83.892064 110.8779) + (xy 83.896 110.878003) + (xy 83.936447 110.880123) + (xy 83.936447 110.880122) + (xy 83.936448 110.880123) + (xy 83.959403 110.87131) + (xy 83.970722 110.867958) + (xy 83.994761 110.862849) + (xy 83.99476 110.862849) + (xy 83.994766 110.862848) + (xy 84.001674 110.857828) + (xy 84.018921 110.848464) + (xy 84.026896 110.845403) + (xy 84.044289 110.828009) + (xy 84.053248 110.820357) + (xy 84.073146 110.805901) + (xy 84.077415 110.798505) + (xy 84.089362 110.782935) + (xy 85.105547 109.76675) + (xy 85.108365 109.764075) + (xy 85.138494 109.736949) + (xy 85.148496 109.71448) + (xy 85.154126 109.704114) + (xy 85.167516 109.683497) + (xy 85.16885 109.675072) + (xy 85.17443 109.656234) + (xy 85.1779 109.648442) + (xy 85.1779 109.623846) + (xy 85.178826 109.612081) + (xy 85.180007 109.604626) + (xy 85.182671 109.587806) + (xy 85.181126 109.582039) + (xy 85.180462 109.579559) + (xy 85.1779 109.560099) + (xy 85.1779 107.383122) + (xy 85.195493 107.334784) + (xy 85.240042 107.309064) + (xy 85.246175 107.308527) + (xy 85.249997 107.307921) + (xy 85.25 107.307922) + (xy 85.286071 107.302208) + (xy 85.336564 107.312022) + (xy 85.351009 107.323308) + (xy 85.700074 107.672373) + (xy 85.721814 107.718993) + (xy 85.7221 107.725547) + (xy 85.7221 109.474452) + (xy 85.704507 109.52279) + (xy 85.700074 109.527626) + (xy 83.377626 111.850074) + (xy 83.331006 111.871814) + (xy 83.324452 111.8721) + (xy 71.254936 111.8721) + (xy 71.251 111.871997) + (xy 71.249283 111.871907) + (xy 71.210552 111.869876) + (xy 71.187588 111.878691) + (xy 71.176279 111.88204) + (xy 71.152236 111.887151) + (xy 71.152234 111.887151) + (xy 71.145327 111.89217) + (xy 71.128083 111.901532) + (xy 71.120104 111.904595) + (xy 71.10271 111.921989) + (xy 71.09374 111.92965) + (xy 71.073854 111.944098) + (xy 71.073851 111.944102) + (xy 71.069582 111.951495) + (xy 71.057635 111.967063) + (xy 69.995673 113.029025) + (xy 69.949053 113.050765) + (xy 69.899366 113.037451) + (xy 69.889325 113.029025) + (xy 68.804758 111.944458) + (xy 68.802047 111.941602) + (xy 68.774949 111.911506) + (xy 68.774945 111.911503) + (xy 68.752486 111.901504) + (xy 68.742116 111.895874) + (xy 68.721496 111.882483) + (xy 68.713064 111.881148) + (xy 68.694243 111.875573) + (xy 68.686442 111.8721) + (xy 68.661848 111.8721) + (xy 68.650084 111.871174) + (xy 68.625808 111.867329) + (xy 68.625804 111.867329) + (xy 68.61756 111.869538) + (xy 68.598099 111.8721) + (xy 64.092548 111.8721) + (xy 64.04421 111.854507) + (xy 64.039373 111.850074) + (xy 63.859808 111.670508) + (xy 63.838069 111.623888) + (xy 63.838709 111.60557) + (xy 63.844422 111.5695) + (xy 63.844422 111.569499) + (xy 63.836579 111.519984) + (xy 63.824457 111.443445) + (xy 63.766516 111.329729) + (xy 63.676271 111.239484) + (xy 63.562555 111.181543) + (xy 63.562557 111.181543) + (xy 63.4365 111.161578) + (xy 63.310443 111.181543) + (xy 63.196728 111.239484) + (xy 63.106484 111.329728) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.06099 111.719984) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.472571 111.971708) + (xy 63.523064 111.981522) + (xy 63.537509 111.992808) + (xy 63.800231 112.25553) + (xy 63.802942 112.258386) + (xy 63.830051 112.288494) + (xy 63.852516 112.298495) + (xy 63.862887 112.304127) + (xy 63.883502 112.317516) + (xy 63.891928 112.31885) + (xy 63.910759 112.324428) + (xy 63.918553 112.327898) + (xy 63.918555 112.327898) + (xy 63.918558 112.3279) + (xy 63.943152 112.3279) + (xy 63.954915 112.328825) + (xy 63.979194 112.332671) + (xy 63.984253 112.331315) + (xy 63.98744 112.330462) + (xy 64.006901 112.3279) + (xy 68.512453 112.3279) + (xy 68.560791 112.345493) + (xy 68.565627 112.349926) + (xy 69.619783 113.404082) + (xy 69.641523 113.450702) + (xy 69.628209 113.500389) + (xy 69.620194 113.50994) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.280016 113.989771) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.272717 113.50293) + (xy 70.250977 113.45631) + (xy 70.264291 113.406623) + (xy 70.272717 113.396582) + (xy 71.319373 112.349926) + (xy 71.365993 112.328186) + (xy 71.372547 112.3279) + (xy 83.442064 112.3279) + (xy 83.446 112.328003) + (xy 83.486447 112.330123) + (xy 83.486447 112.330122) + (xy 83.486448 112.330123) + (xy 83.509403 112.32131) + (xy 83.520722 112.317958) + (xy 83.544761 112.312849) + (xy 83.54476 112.312849) + (xy 83.544766 112.312848) + (xy 83.551674 112.307828) + (xy 83.568921 112.298464) + (xy 83.576896 112.295403) + (xy 83.594289 112.278009) + (xy 83.603248 112.270357) + (xy 83.623146 112.255901) + (xy 83.627415 112.248505) + (xy 83.639362 112.232935) + (xy 86.105558 109.76674) + (xy 86.108387 109.764056) + (xy 86.138494 109.736949) + (xy 86.148492 109.71449) + (xy 86.154126 109.704114) + (xy 86.154133 109.704104) + (xy 86.167516 109.683497) + (xy 86.16885 109.675072) + (xy 86.17443 109.656234) + (xy 86.1779 109.648442) + (xy 86.1779 109.623846) + (xy 86.178826 109.612081) + (xy 86.180007 109.604626) + (xy 86.182671 109.587806) + (xy 86.181126 109.582039) + (xy 86.180462 109.579559) + (xy 86.1779 109.560099) + (xy 86.1779 107.607928) + (xy 86.178003 107.603991) + (xy 86.180123 107.563551) + (xy 86.17131 107.540595) + (xy 86.167958 107.52928) + (xy 86.162848 107.505234) + (xy 86.15783 107.498327) + (xy 86.148463 107.481075) + (xy 86.145403 107.473104) + (xy 86.145402 107.473102) + (xy 86.128018 107.455718) + (xy 86.120355 107.446747) + (xy 86.105901 107.426854) + (xy 86.103637 107.425547) + (xy 86.098503 107.422582) + (xy 86.082933 107.410634) + (xy 85.870628 107.198329) + (xy 85.673307 107.001007) + (xy 85.651568 106.954389) + (xy 85.652207 106.936078) + (xy 85.657922 106.9) + (xy 85.637957 106.773945) + (xy 85.637528 106.773104) + (xy 85.628671 106.755721) + (xy 85.580016 106.660229) + (xy 85.489771 106.569984) + (xy 85.489768 106.569982) + (xy 85.391564 106.519944) + (xy 85.356483 106.482324) + (xy 85.353791 106.430954) + (xy 85.372529 106.399769) + (xy 85.405557 106.366741) + (xy 85.408375 106.364066) + (xy 85.438494 106.336949) + (xy 85.448496 106.31448) + (xy 85.45413 106.304107) + (xy 85.455825 106.301497) + (xy 85.467516 106.283496) + (xy 85.468851 106.275061) + (xy 85.474425 106.256246) + (xy 85.477494 106.249351) + (xy 85.493015 106.226772) + (xy 85.532631 106.187156) + (xy 85.580016 106.139771) + (xy 85.637957 106.026055) + (xy 85.657922 105.9) + (xy 85.657683 105.898494) + (xy 85.648462 105.840271) + (xy 85.637957 105.773945) + (xy 85.580016 105.660229) + (xy 85.489771 105.569984) + (xy 85.376055 105.512043) + (xy 85.376057 105.512043) + (xy 85.25 105.492078) + (xy 85.123943 105.512043) + (xy 85.010228 105.569984) + (xy 84.919984 105.660228) + (xy 84.862043 105.773943) + (xy 84.842078 105.899999) + (xy 84.842078 105.9) + (xy 84.862043 106.026056) + (xy 84.885504 106.0721) + (xy 84.913053 106.126169) + (xy 84.918114 106.1361) + (xy 84.924383 106.187156) + (xy 84.904284 106.223414) + (xy 84.594456 106.533242) + (xy 84.591602 106.535952) + (xy 84.561504 106.563052) + (xy 84.551507 106.585509) + (xy 84.545877 106.595878) + (xy 84.532485 106.616501) + (xy 84.532484 106.616503) + (xy 84.531148 106.624935) + (xy 84.525574 106.643754) + (xy 84.5221 106.651557) + (xy 84.5221 106.676152) + (xy 84.521174 106.687916) + (xy 84.517329 106.712191) + (xy 84.517329 106.712194) + (xy 84.519538 106.720438) + (xy 84.5221 106.7399) + (xy 84.5221 106.931288) + (xy 84.504507 106.979626) + (xy 84.459958 107.005346) + (xy 84.435136 107.005562) + (xy 84.350001 106.992078) + (xy 84.35 106.992078) + (xy 84.223943 107.012043) + (xy 84.110228 107.069984) + (xy 84.019984 107.160228) + (xy 83.962043 107.273943) + (xy 83.942078 107.399999) + (xy 83.942078 107.4) + (xy 83.962043 107.526056) + (xy 84.008414 107.617064) + (xy 84.019984 107.639771) + (xy 84.110229 107.730016) + (xy 84.223943 107.787956) + (xy 84.223945 107.787957) + (xy 84.35 107.807922) + (xy 84.355846 107.808848) + (xy 84.355497 107.81105) + (xy 84.395238 107.825515) + (xy 84.420958 107.870064) + (xy 84.4221 107.883122) + (xy 84.4221 107.96374) + (xy 84.404507 108.012078) + (xy 84.359958 108.037798) + (xy 84.312761 108.030744) + (xy 84.276059 108.012044) + (xy 84.276057 108.012043) + (xy 84.15 107.992078) + (xy 84.023943 108.012043) + (xy 83.910228 108.069984) + (xy 83.819984 108.160228) + (xy 83.762043 108.273943) + (xy 83.742078 108.399999) + (xy 83.742078 108.4) + (xy 83.762043 108.526056) + (xy 83.79089 108.582671) + (xy 83.819984 108.639771) + (xy 83.910229 108.730016) + (xy 84.023945 108.787957) + (xy 84.058664 108.793455) + (xy 84.103653 108.818392) + (xy 84.122089 108.866415) + (xy 84.1221 108.867729) + (xy 84.1221 109.174452) + (xy 84.104507 109.22279) + (xy 84.100074 109.227626) + (xy 83.595626 109.732074) + (xy 83.549006 109.753814) + (xy 83.542452 109.7541) + (xy 83.232574 109.7541) + (xy 83.199505 109.742064) + (xy 83.181775 109.752718) + (xy 83.167426 109.7541) + (xy 82.232574 109.7541) + (xy 82.199505 109.742064) + (xy 82.181775 109.752718) + (xy 82.167426 109.7541) + (xy 65.109225 109.7541) + (xy 65.060887 109.736507) + (xy 65.035167 109.691958) + (xy 65.034951 109.690664) + (xy 65.033816 109.683497) + (xy 65.030957 109.665445) + (xy 65.030876 109.665287) + (xy 65.001795 109.608212) + (xy 64.973016 109.551729) + (xy 64.882771 109.461484) + (xy 64.769055 109.403543) + (xy 64.769057 109.403543) + (xy 64.643 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.251049 109.690664) + (xy 64.226111 109.735654) + (xy 64.178088 109.754089) + (xy 64.176775 109.7541) + (xy 62.364936 109.7541) + (xy 62.361 109.753997) + (xy 62.359192 109.753902) + (xy 62.320551 109.751876) + (xy 62.32055 109.751876) + (xy 62.297597 109.760688) + (xy 62.286286 109.764039) + (xy 62.262235 109.769151) + (xy 62.262229 109.769154) + (xy 62.255324 109.774171) + (xy 62.238085 109.783532) + (xy 62.230104 109.786596) + (xy 62.212712 109.803987) + (xy 62.203746 109.811644) + (xy 62.183855 109.826096) + (xy 62.183852 109.8261) + (xy 62.179584 109.833493) + (xy 62.167636 109.849063) + (xy 61.883946 110.132752) + (xy 61.881092 110.135461) + (xy 61.851004 110.162552) + (xy 61.841007 110.185009) + (xy 61.835377 110.195378) + (xy 61.821985 110.216001) + (xy 61.821983 110.216006) + (xy 61.820648 110.224435) + (xy 61.815074 110.243254) + (xy 61.8116 110.251057) + (xy 61.8116 110.275652) + (xy 61.810674 110.287416) + (xy 61.806829 110.311691) + (xy 61.806829 110.311694) + (xy 61.809038 110.319938) + (xy 61.8116 110.3394) + (xy 61.8116 115.562062) + (xy 61.811497 115.565998) + (xy 61.809376 115.606448) + (xy 61.818188 115.629402) + (xy 61.821539 115.640715) + (xy 61.826651 115.664763) + (xy 61.826652 115.664766) + (xy 61.831669 115.671671) + (xy 61.841036 115.688922) + (xy 61.844096 115.696895) + (xy 61.844097 115.696896) + (xy 61.861485 115.714284) + (xy 61.869144 115.723252) + (xy 61.883597 115.743144) + (xy 61.883599 115.743146) + (xy 61.890995 115.747416) + (xy 61.906566 115.759365) + (xy 64.520074 118.372873) + (xy 64.541814 118.419493) + (xy 64.5421 118.426047) + (xy 64.5421 119.943562) + (xy 64.541997 119.947498) + (xy 64.539876 119.987948) + (xy 64.548688 120.010902) + (xy 64.552039 120.022215) + (xy 64.557151 120.046263) + (xy 64.557152 120.046266) + (xy 64.561816 120.052686) + (xy 64.562169 120.053171) + (xy 64.571536 120.070422) + (xy 64.574596 120.078395) + (xy 64.574597 120.078396) + (xy 64.591985 120.095784) + (xy 64.599644 120.104752) + (xy 64.614097 120.124644) + (xy 64.614099 120.124646) + (xy 64.621495 120.128916) + (xy 64.637066 120.140865) + (xy 65.111231 120.61503) + (xy 65.113942 120.617886) + (xy 65.140494 120.647376) + (xy 65.141051 120.647994) + (xy 65.163516 120.657995) + (xy 65.173887 120.663627) + (xy 65.194502 120.677016) + (xy 65.202928 120.67835) + (xy 65.221759 120.683928) + (xy 65.229553 120.687398) + (xy 65.229555 120.687398) + (xy 65.229558 120.6874) + (xy 65.254152 120.6874) + (xy 65.265915 120.688325) + (xy 65.290194 120.692171) + (xy 65.295253 120.690815) + (xy 65.29844 120.689962) + (xy 65.317901 120.6874) + (xy 66.845064 120.6874) + (xy 66.849 120.687503) + (xy 66.889447 120.689623) + (xy 66.889447 120.689622) + (xy 66.889448 120.689623) + (xy 66.912403 120.68081) + (xy 66.923722 120.677458) + (xy 66.947761 120.672349) + (xy 66.94776 120.672349) + (xy 66.947766 120.672348) + (xy 66.954674 120.667328) + (xy 66.971921 120.657964) + (xy 66.979896 120.654903) + (xy 66.997289 120.637509) + (xy 67.006248 120.629857) + (xy 67.026146 120.615401) + (xy 67.030415 120.608005) + (xy 67.042359 120.592438) + (xy 67.750874 119.883924) + (xy 67.797493 119.862186) + (xy 67.804047 119.8619) + (xy 67.842015 119.8619) + (xy 67.890353 119.879493) + (xy 67.909414 119.905488) + (xy 67.909581 119.905392) + (xy 67.910459 119.906913) + (xy 67.91149 119.908319) + (xy 67.912046 119.909661) + (xy 67.999659 120.02384) + (xy 68.113839 120.111454) + (xy 68.11384 120.111454) + (xy 68.113841 120.111455) + (xy 68.246809 120.166531) + (xy 68.324118 120.176709) + (xy 68.369743 120.200461) + (xy 68.389428 120.247985) + (xy 68.3895 120.251265) + (xy 68.3895 120.286734) + (xy 68.371907 120.335072) + (xy 68.327358 120.360792) + (xy 68.324116 120.361291) + (xy 68.246809 120.371469) + (xy 68.246807 120.371469) + (xy 68.246805 120.37147) + (xy 68.113846 120.426543) + (xy 68.11384 120.426546) + (xy 67.999659 120.514159) + (xy 67.912046 120.62834) + (xy 67.912043 120.628346) + (xy 67.857881 120.759107) + (xy 67.856969 120.761309) + (xy 67.838183 120.904) + (xy 67.856969 121.046691) + (xy 67.856969 121.046693) + (xy 67.85697 121.046694) + (xy 67.912045 121.17966) + (xy 67.999659 121.29384) + (xy 68.113839 121.381454) + (xy 68.11384 121.381454) + (xy 68.113841 121.381455) + (xy 68.246809 121.436531) + (xy 68.324118 121.446709) + (xy 68.369743 121.470461) + (xy 68.389428 121.517985) + (xy 68.3895 121.521265) + (xy 68.3895 121.556734) + (xy 68.371907 121.605072) + (xy 68.327358 121.630792) + (xy 68.324116 121.631291) + (xy 68.246809 121.641469) + (xy 68.246807 121.641469) + (xy 68.246805 121.64147) + (xy 68.113846 121.696543) + (xy 68.11384 121.696546) + (xy 67.999659 121.784159) + (xy 67.912046 121.89834) + (xy 67.912043 121.898346) + (xy 67.856968 122.031308) + (xy 67.856269 122.036623) + (xy 67.832514 122.08225) + (xy 67.784988 122.101932) + (xy 67.73593 122.08646) + (xy 67.715577 122.062595) + (xy 67.692429 122.019821) + (xy 67.601426 121.9029) + (xy 67.546061 121.831766) + (xy 67.546057 121.831762) + (xy 67.370734 121.670367) + (xy 67.171231 121.540025) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.124515 123.276055) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.422938 123.786233) + (xy 65.422942 123.786237) + (xy 65.47844 123.837326) + (xy 65.598266 123.947633) + (xy 65.797766 124.077973) + (xy 65.797768 124.077974) + (xy 65.952564 124.145874) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.953002 124.173699) + (xy 67.171234 124.077973) + (xy 67.370734 123.947633) + (xy 67.54606 123.786235) + (xy 67.692429 123.598179) + (xy 67.715577 123.555405) + (xy 67.754055 123.521267) + (xy 67.805475 123.519849) + (xy 67.845777 123.551815) + (xy 67.856269 123.581377) + (xy 67.856968 123.586691) + (xy 67.912045 123.71966) + (xy 67.999659 123.83384) + (xy 67.999992 123.834095) + (xy 68.000128 123.834309) + (xy 68.003145 123.837326) + (xy 68.002476 123.837994) + (xy 68.02763 123.877479) + (xy 68.020916 123.928478) + (xy 67.982991 123.963231) + (xy 67.962944 123.968446) + (xy 67.835337 123.983362) + (xy 67.671509 124.042991) + (xy 67.671508 124.042991) + (xy 67.671507 124.042992) + (xy 67.525846 124.138795) + (xy 67.525845 124.138795) + (xy 67.525845 124.138796) + (xy 67.406204 124.265606) + (xy 67.319032 124.416593) + (xy 67.269031 124.583609) + (xy 67.269031 124.583611) + (xy 67.258893 124.75766) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.070159 125.45767) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.697967 125.011407) + (xy 68.747969 124.844388) + (xy 68.758107 124.67034) + (xy 68.727832 124.498646) + (xy 68.718087 124.476055) + (xy 68.658779 124.338562) + (xy 68.576918 124.228604) + (xy 68.554668 124.198717) + (xy 68.554667 124.198716) + (xy 68.45366 124.11396) + (xy 68.42794 124.069412) + (xy 68.436873 124.018754) + (xy 68.476279 123.985689) + (xy 68.492171 123.981799) + (xy 68.532191 123.976531) + (xy 68.665159 123.921455) + (xy 68.77934 123.83384) + (xy 68.866955 123.719659) + (xy 68.922031 123.586691) + (xy 68.932209 123.509383) + (xy 68.955962 123.463756) + (xy 69.003487 123.444072) + (xy 69.006766 123.444) + (xy 69.042234 123.444) + (xy 69.090572 123.461593) + (xy 69.116292 123.506142) + (xy 69.116791 123.509383) + (xy 69.126969 123.586691) + (xy 69.126969 123.586693) + (xy 69.12697 123.586694) + (xy 69.182045 123.71966) + (xy 69.269659 123.83384) + (xy 69.383839 123.921454) + (xy 69.38384 123.921454) + (xy 69.383841 123.921455) + (xy 69.516809 123.976531) + (xy 69.556269 123.981726) + (xy 69.601894 124.005478) + (xy 69.621579 124.053002) + (xy 69.606111 124.102061) + (xy 69.587775 124.11911) + (xy 69.557846 124.138794) + (xy 69.557845 124.138795) + (xy 69.438204 124.265606) + (xy 69.351032 124.416593) + (xy 69.301031 124.583609) + (xy 69.301031 124.583611) + (xy 69.290893 124.75766) + (xy 69.321168 124.929356) + (xy 69.39022 125.089437) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.102159 125.45767) + (xy 70.213664 125.444637) + (xy 70.363291 125.390177) + (xy 70.414729 125.390177) + (xy 70.454134 125.423242) + (xy 70.463067 125.4739) + (xy 70.442184 125.514016) + (xy 70.158625 125.797574) + (xy 70.112006 125.819314) + (xy 70.105452 125.8196) + (xy 65.467047 125.8196) + (xy 65.418709 125.802007) + (xy 65.413873 125.797574) + (xy 62.4253 122.809) + (xy 63.028578 122.809) + (xy 63.048543 122.935056) + (xy 63.099588 123.035236) + (xy 63.106484 123.048771) + (xy 63.196729 123.139016) + (xy 63.310443 123.196956) + (xy 63.310445 123.196957) + (xy 63.4365 123.216922) + (xy 63.562555 123.196957) + (xy 63.676271 123.139016) + (xy 63.766516 123.048771) + (xy 63.824457 122.935055) + (xy 63.844422 122.809) + (xy 63.84371 122.804507) + (xy 63.840664 122.785276) + (xy 63.824457 122.682945) + (xy 63.766516 122.569229) + (xy 63.676271 122.478984) + (xy 63.562555 122.421043) + (xy 63.562557 122.421043) + (xy 63.4365 122.401078) + (xy 63.310443 122.421043) + (xy 63.196728 122.478984) + (xy 63.106484 122.569228) + (xy 63.048543 122.682943) + (xy 63.028578 122.808999) + (xy 63.028578 122.809) + (xy 62.4253 122.809) + (xy 59.812926 120.196626) + (xy 59.791186 120.150006) + (xy 59.7909 120.143452) + (xy 59.7909 109.0295) + (xy 70.775578 109.0295) + (xy 70.795543 109.155556) + (xy 70.841322 109.245402) + (xy 70.853484 109.269271) + (xy 70.943729 109.359516) + (xy 71.057443 109.417456) + (xy 71.057445 109.417457) + (xy 71.1835 109.437422) + (xy 71.309555 109.417457) + (xy 71.423271 109.359516) + (xy 71.503362 109.279425) + (xy 71.549982 109.257686) + (xy 71.556536 109.2574) + (xy 79.712564 109.2574) + (xy 79.7165 109.257503) + (xy 79.756947 109.259623) + (xy 79.756947 109.259622) + (xy 79.756948 109.259623) + (xy 79.779903 109.25081) + (xy 79.791222 109.247458) + (xy 79.815261 109.242349) + (xy 79.81526 109.242349) + (xy 79.815266 109.242348) + (xy 79.822174 109.237328) + (xy 79.839421 109.227964) + (xy 79.847396 109.224903) + (xy 79.864789 109.207509) + (xy 79.873581 109.2) + (xy 81.792078 109.2) + (xy 81.812043 109.326056) + (xy 81.841352 109.383578) + (xy 81.869984 109.439771) + (xy 81.960229 109.530016) + (xy 82.073943 109.587956) + (xy 82.073945 109.587957) + (xy 82.179007 109.604597) + (xy 82.17919 109.604626) + (xy 82.198439 109.615295) + (xy 82.206854 109.608235) + (xy 82.22081 109.604626) + (xy 82.220993 109.604597) + (xy 82.326055 109.587957) + (xy 82.439771 109.530016) + (xy 82.530016 109.439771) + (xy 82.587957 109.326055) + (xy 82.607922 109.2) + (xy 82.587957 109.073945) + (xy 82.530016 108.960229) + (xy 82.449925 108.880138) + (xy 82.428186 108.833518) + (xy 82.4279 108.826964) + (xy 82.4279 108.736259) + (xy 82.445493 108.687921) + (xy 82.490042 108.662201) + (xy 82.53724 108.669255) + (xy 82.573943 108.687956) + (xy 82.573945 108.687957) + (xy 82.7 108.707922) + (xy 82.826055 108.687957) + (xy 82.86276 108.669255) + (xy 82.913816 108.662986) + (xy 82.956957 108.691002) + (xy 82.9721 108.736259) + (xy 82.9721 108.826964) + (xy 82.954507 108.875302) + (xy 82.950075 108.880138) + (xy 82.869983 108.96023) + (xy 82.812043 109.073943) + (xy 82.792078 109.199999) + (xy 82.792078 109.2) + (xy 82.812043 109.326056) + (xy 82.841352 109.383578) + (xy 82.869984 109.439771) + (xy 82.960229 109.530016) + (xy 83.073943 109.587956) + (xy 83.073945 109.587957) + (xy 83.179007 109.604597) + (xy 83.17919 109.604626) + (xy 83.198439 109.615295) + (xy 83.206854 109.608235) + (xy 83.22081 109.604626) + (xy 83.220993 109.604597) + (xy 83.326055 109.587957) + (xy 83.439771 109.530016) + (xy 83.530016 109.439771) + (xy 83.587957 109.326055) + (xy 83.607922 109.2) + (xy 83.587957 109.073945) + (xy 83.530016 108.960229) + (xy 83.449925 108.880138) + (xy 83.428186 108.833518) + (xy 83.4279 108.826964) + (xy 83.4279 108.107936) + (xy 83.428003 108.103999) + (xy 83.430123 108.063551) + (xy 83.421311 108.040595) + (xy 83.417959 108.02928) + (xy 83.412848 108.005235) + (xy 83.412848 108.005234) + (xy 83.407831 107.99833) + (xy 83.398463 107.981074) + (xy 83.395404 107.973104) + (xy 83.392161 107.969861) + (xy 83.378007 107.955707) + (xy 83.370355 107.946749) + (xy 83.355901 107.926854) + (xy 83.3559 107.926853) + (xy 83.355899 107.926852) + (xy 83.348506 107.922584) + (xy 83.332934 107.910634) + (xy 83.249926 107.827626) + (xy 83.228186 107.781006) + (xy 83.2279 107.774452) + (xy 83.2279 107.325547) + (xy 83.245493 107.277209) + (xy 83.249926 107.272373) + (xy 83.822374 106.699925) + (xy 84.405558 106.11674) + (xy 84.408375 106.114066) + (xy 84.438494 106.086949) + (xy 84.448492 106.06449) + (xy 84.454126 106.054114) + (xy 84.467516 106.033497) + (xy 84.467516 106.033495) + (xy 84.467706 106.032299) + (xy 84.46885 106.025072) + (xy 84.47443 106.006234) + (xy 84.4779 105.998442) + (xy 84.4779 105.973846) + (xy 84.478826 105.962081) + (xy 84.478832 105.962043) + (xy 84.482671 105.937806) + (xy 84.482129 105.935784) + (xy 84.480462 105.929559) + (xy 84.4779 105.910099) + (xy 84.4779 104.675547) + (xy 84.495493 104.627209) + (xy 84.499926 104.622373) + (xy 86.372374 102.749926) + (xy 86.418994 102.728186) + (xy 86.425548 102.7279) + (xy 87.7669 102.7279) + (xy 87.815238 102.745493) + (xy 87.833904 102.76896) + (xy 87.869984 102.839771) + (xy 87.960229 102.930016) + (xy 88.073943 102.987956) + (xy 88.073945 102.987957) + (xy 88.2 103.007922) + (xy 88.326055 102.987957) + (xy 88.439771 102.930016) + (xy 88.530016 102.839771) + (xy 88.587957 102.726055) + (xy 88.607922 102.6) + (xy 88.587957 102.473945) + (xy 88.530016 102.360229) + (xy 88.439771 102.269984) + (xy 88.326055 102.212043) + (xy 88.326057 102.212043) + (xy 88.2 102.192078) + (xy 88.073943 102.212043) + (xy 87.972162 102.263904) + (xy 87.938022 102.2721) + (xy 86.307936 102.2721) + (xy 86.304 102.271997) + (xy 86.302192 102.271902) + (xy 86.263551 102.269876) + (xy 86.26355 102.269876) + (xy 86.240597 102.278688) + (xy 86.229286 102.282039) + (xy 86.205235 102.287151) + (xy 86.205229 102.287154) + (xy 86.198324 102.292171) + (xy 86.181085 102.301532) + (xy 86.173104 102.304596) + (xy 86.155712 102.321987) + (xy 86.146746 102.329645) + (xy 86.126854 102.344098) + (xy 86.126852 102.344101) + (xy 86.122583 102.351495) + (xy 86.110634 102.367065) + (xy 84.306274 104.171426) + (xy 84.259654 104.193166) + (xy 84.209967 104.179852) + (xy 84.180462 104.137715) + (xy 84.1779 104.118252) + (xy 84.1779 103.425547) + (xy 84.195493 103.377209) + (xy 84.199914 103.372384) + (xy 84.305576 103.266722) + (xy 84.308374 103.264067) + (xy 84.338494 103.236949) + (xy 84.348496 103.21448) + (xy 84.35413 103.204107) + (xy 84.355712 103.201671) + (xy 84.367516 103.183496) + (xy 84.368851 103.175061) + (xy 84.374424 103.156248) + (xy 84.3779 103.148442) + (xy 84.3779 103.123852) + (xy 84.378826 103.112086) + (xy 84.379207 103.109681) + (xy 84.382672 103.087806) + (xy 84.38046 103.079553) + (xy 84.3779 103.060095) + (xy 84.3779 101.438086) + (xy 84.693202 101.438086) + (xy 84.693203 101.438089) + (xy 84.724124 101.586892) + (xy 84.724125 101.586895) + (xy 84.724126 101.586896) + (xy 84.767395 101.6704) + (xy 84.794052 101.721846) + (xy 84.897792 101.832924) + (xy 84.897793 101.832925) + (xy 84.981492 101.883823) + (xy 85.020746 101.907694) + (xy 85.027655 101.911895) + (xy 85.174004 101.9529) + (xy 85.174006 101.9529) + (xy 87.424006 101.9529) + (xy 93.789834 101.9529) + (xy 93.838172 101.970493) + (xy 93.843008 101.974926) + (xy 95.636268 103.768187) + (xy 95.641427 103.773903) + (xy 95.669068 103.807878) + (xy 95.687243 103.820707) + (xy 95.697052 103.82897) + (xy 98.679924 106.811842) + (xy 98.686409 106.819236) + (xy 98.705667 106.844333) + (xy 98.705671 106.844336) + (xy 98.705673 106.844338) + (xy 98.730761 106.863589) + (xy 98.738157 106.870075) + (xy 109.75145 117.883369) + (xy 109.753235 117.885215) + (xy 109.797791 117.932923) + (xy 109.797796 117.932926) + (xy 109.835213 117.955679) + (xy 109.841576 117.96001) + (xy 109.876471 117.986472) + (xy 109.876474 117.986473) + (xy 109.89716 117.994631) + (xy 109.908646 118.000336) + (xy 109.927653 118.011894) + (xy 109.969832 118.023711) + (xy 109.9771 118.026155) + (xy 110.017862 118.04223) + (xy 110.039989 118.044503) + (xy 110.052581 118.046896) + (xy 110.074006 118.0529) + (xy 110.117796 118.0529) + (xy 110.125485 118.053293) + (xy 110.169054 118.057773) + (xy 110.169054 118.057772) + (xy 110.169056 118.057773) + (xy 110.190971 118.053994) + (xy 110.20375 118.0529) + (xy 122.539834 118.0529) + (xy 122.588172 118.070493) + (xy 122.593007 118.074925) + (xy 123.87642 119.358339) + (xy 125.10145 120.583369) + (xy 125.103235 120.585215) + (xy 125.147791 120.632923) + (xy 125.148274 120.633217) + (xy 125.162377 120.644295) + (xy 125.288551 120.77047) + (xy 125.401464 120.883383) + (xy 125.403248 120.885229) + (xy 125.447791 120.932923) + (xy 125.448278 120.933219) + (xy 125.462377 120.944296) + (xy 125.851449 121.333368) + (xy 125.853234 121.335214) + (xy 125.897791 121.382923) + (xy 125.898281 121.383221) + (xy 125.912379 121.394297) + (xy 126.251436 121.733354) + (xy 126.253221 121.7352) + (xy 126.254016 121.736051) + (xy 126.297791 121.782923) + (xy 126.335209 121.805677) + (xy 126.341575 121.81001) + (xy 126.376467 121.836469) + (xy 126.37647 121.836471) + (xy 126.397163 121.844631) + (xy 126.408638 121.85033) + (xy 126.427653 121.861894) + (xy 126.469828 121.87371) + (xy 126.477123 121.876163) + (xy 126.517862 121.89223) + (xy 126.539992 121.894505) + (xy 126.55259 121.896899) + (xy 126.574006 121.9029) + (xy 126.617795 121.9029) + (xy 126.625484 121.903293) + (xy 126.669053 121.907773) + (xy 126.669053 121.907772) + (xy 126.669055 121.907773) + (xy 126.69097 121.903994) + (xy 126.703749 121.9029) + (xy 130.274006 121.9029) + (xy 134.989285 121.9029) + (xy 134.991851 121.902943) + (xy 135.002098 121.903294) + (xy 135.05707 121.905172) + (xy 135.057072 121.905172) + (xy 135.057072 121.905171) + (xy 135.057073 121.905172) + (xy 135.099627 121.894801) + (xy 135.107178 121.893366) + (xy 135.150573 121.887402) + (xy 135.170976 121.878538) + (xy 135.183115 121.874456) + (xy 135.204741 121.869187) + (xy 135.242927 121.847714) + (xy 135.249807 121.844297) + (xy 135.289979 121.82685) + (xy 135.307241 121.812805) + (xy 135.317833 121.805596) + (xy 135.337223 121.794695) + (xy 135.368196 121.763721) + (xy 135.373891 121.758581) + (xy 135.407878 121.730932) + (xy 135.420706 121.712757) + (xy 135.428963 121.702953) + (xy 135.575801 121.556116) + (xy 135.579541 121.552625) + (xy 135.620646 121.516784) + (xy 135.650575 121.471151) + (xy 135.653514 121.466988) + (xy 135.686472 121.423529) + (xy 135.690662 121.4129) + (xy 135.697741 121.399243) + (xy 135.704004 121.389696) + (xy 135.704007 121.38969) + (xy 135.720505 121.337703) + (xy 135.722216 121.332887) + (xy 135.74223 121.282138) + (xy 135.743397 121.270775) + (xy 135.746529 121.255706) + (xy 135.749983 121.244827) + (xy 135.751844 121.190331) + (xy 135.752194 121.185208) + (xy 135.755814 121.15) + (xy 136.842443 121.15) + (xy 136.860977 121.278911) + (xy 136.915078 121.397373) + (xy 136.91508 121.397376) + (xy 136.949008 121.436531) + (xy 136.980545 121.472927) + (xy 137.000366 121.495801) + (xy 137.078668 121.546121) + (xy 137.100284 121.560013) + (xy 137.109926 121.566209) + (xy 137.234883 121.6029) + (xy 137.365117 121.6029) + (xy 137.490073 121.566209) + (xy 137.490073 121.566208) + (xy 137.490076 121.566208) + (xy 137.599636 121.495799) + (xy 137.684921 121.397374) + (xy 137.739023 121.278909) + (xy 137.757557 121.15) + (xy 137.739023 121.021091) + (xy 137.698756 120.932921) + (xy 137.684921 120.902626) + (xy 137.684919 120.902623) + (xy 137.626581 120.835297) + (xy 137.599636 120.804201) + (xy 137.599635 120.8042) + (xy 137.599633 120.804198) + (xy 137.490073 120.73379) + (xy 137.365117 120.6971) + (xy 137.234883 120.6971) + (xy 137.109926 120.73379) + (xy 137.000366 120.804198) + (xy 136.91508 120.902623) + (xy 136.915078 120.902626) + (xy 136.860977 121.021088) + (xy 136.842443 121.15) + (xy 135.755814 121.15) + (xy 135.757773 121.130946) + (xy 135.755831 121.119688) + (xy 135.754782 121.104339) + (xy 135.754881 121.101457) + (xy 135.755172 121.092927) + (xy 135.742254 121.039918) + (xy 135.741216 121.034918) + (xy 135.731948 120.981167) + (xy 135.727042 120.970852) + (xy 135.72189 120.956352) + (xy 135.719188 120.945261) + (xy 135.718645 120.944296) + (xy 135.71225 120.932921) + (xy 135.692452 120.89771) + (xy 135.69009 120.893151) + (xy 135.67508 120.86159) + (xy 135.666672 120.84391) + (xy 135.659162 120.835297) + (xy 135.65029 120.822728) + (xy 135.644696 120.812779) + (xy 135.644695 120.812777) + (xy 135.606126 120.774208) + (xy 135.602635 120.77047) + (xy 135.566784 120.729353) + (xy 135.56678 120.72935) + (xy 135.557225 120.723083) + (xy 135.545295 120.713377) + (xy 135.508768 120.67685) + (xy 135.398534 120.566615) + (xy 135.39675 120.564769) + (xy 135.352211 120.517079) + (xy 135.35221 120.517078) + (xy 135.352209 120.517077) + (xy 135.351719 120.516779) + (xy 135.33762 120.505702) + (xy 135.231918 120.4) + (xy 137.942443 120.4) + (xy 137.960977 120.528911) + (xy 138.015078 120.647373) + (xy 138.01508 120.647376) + (xy 138.053895 120.692171) + (xy 138.086114 120.729354) + (xy 138.100366 120.745801) + (xy 138.178668 120.796121) + (xy 138.204588 120.812779) + (xy 138.209926 120.816209) + (xy 138.334883 120.8529) + (xy 138.465117 120.8529) + (xy 138.590073 120.816209) + (xy 138.590073 120.816208) + (xy 138.590076 120.816208) + (xy 138.699636 120.745799) + (xy 138.784921 120.647374) + (xy 138.839023 120.528909) + (xy 138.857557 120.4) + (xy 138.839023 120.271091) + (xy 138.83624 120.264998) + (xy 138.784921 120.152626) + (xy 138.784919 120.152623) + (xy 138.782646 120.15) + (xy 140.792329 120.15) + (xy 140.811331 120.294337) + (xy 140.824257 120.325543) + (xy 140.867043 120.428836) + (xy 140.867044 120.428838) + (xy 140.867045 120.428839) + (xy 140.955666 120.544333) + (xy 141.065155 120.628346) + (xy 141.071164 120.632957) + (xy 141.205664 120.688669) + (xy 141.35 120.707671) + (xy 141.494336 120.688669) + (xy 141.628836 120.632957) + (xy 141.744333 120.544333) + (xy 141.832957 120.428836) + (xy 141.888669 120.294336) + (xy 141.907671 120.15) + (xy 141.888669 120.005664) + (xy 141.832957 119.871165) + (xy 141.832955 119.871162) + (xy 141.744333 119.755666) + (xy 141.628839 119.667045) + (xy 141.628838 119.667044) + (xy 141.628836 119.667043) + (xy 141.52976 119.626004) + (xy 141.494337 119.611331) + (xy 141.35 119.592329) + (xy 141.205662 119.611331) + (xy 141.071163 119.667044) + (xy 141.071162 119.667044) + (xy 140.955666 119.755666) + (xy 140.867044 119.871162) + (xy 140.867044 119.871163) + (xy 140.811331 120.005662) + (xy 140.792329 120.15) + (xy 138.782646 120.15) + (xy 138.713691 120.070422) + (xy 138.699636 120.054201) + (xy 138.699635 120.0542) + (xy 138.699633 120.054198) + (xy 138.590073 119.98379) + (xy 138.465117 119.9471) + (xy 138.334883 119.9471) + (xy 138.209926 119.98379) + (xy 138.100366 120.054198) + (xy 138.01508 120.152623) + (xy 138.015078 120.152626) + (xy 137.960977 120.271088) + (xy 137.942443 120.4) + (xy 135.231918 120.4) + (xy 134.948548 120.116629) + (xy 134.946763 120.114782) + (xy 134.902213 120.06708) + (xy 134.902208 120.067076) + (xy 134.901718 120.066778) + (xy 134.887622 120.055703) + (xy 134.648548 119.816629) + (xy 134.646763 119.814783) + (xy 134.602211 119.767079) + (xy 134.602204 119.767073) + (xy 134.564789 119.744321) + (xy 134.558424 119.739989) + (xy 134.52353 119.713528) + (xy 134.502838 119.705368) + (xy 134.491357 119.699665) + (xy 134.472352 119.688108) + (xy 134.472347 119.688106) + (xy 134.430177 119.67629) + (xy 134.42288 119.673836) + (xy 134.382138 119.65777) + (xy 134.360004 119.655494) + (xy 134.347408 119.6531) + (xy 134.338742 119.650672) + (xy 134.325994 119.6471) + (xy 134.325993 119.6471) + (xy 134.282204 119.6471) + (xy 134.274514 119.646706) + (xy 134.230943 119.642226) + (xy 134.209029 119.646006) + (xy 134.19625 119.6471) + (xy 131.591171 119.6471) + (xy 131.581356 119.646457) + (xy 131.55 119.642329) + (xy 131.518644 119.646457) + (xy 131.508829 119.6471) + (xy 129.191171 119.6471) + (xy 129.181356 119.646457) + (xy 129.15 119.642329) + (xy 129.118644 119.646457) + (xy 129.108829 119.6471) + (xy 125.760166 119.6471) + (xy 125.711828 119.629507) + (xy 125.706992 119.625074) + (xy 124.681918 118.6) + (xy 126.042329 118.6) + (xy 126.061331 118.744337) + (xy 126.077673 118.78379) + (xy 126.117043 118.878836) + (xy 126.117044 118.878838) + (xy 126.117045 118.878839) + (xy 126.205666 118.994333) + (xy 126.305696 119.071088) + (xy 126.321164 119.082957) + (xy 126.455664 119.138669) + (xy 126.6 119.157671) + (xy 126.744336 119.138669) + (xy 126.878836 119.082957) + (xy 126.994333 118.994333) + (xy 127.082957 118.878836) + (xy 127.138669 118.744336) + (xy 127.157671 118.6) + (xy 127.138669 118.455664) + (xy 127.082957 118.321165) + (xy 127.073184 118.308428) + (xy 126.994333 118.205666) + (xy 126.878839 118.117045) + (xy 126.878838 118.117044) + (xy 126.878836 118.117043) + (xy 126.791891 118.081029) + (xy 126.744337 118.061331) + (xy 126.6 118.042329) + (xy 126.455662 118.061331) + (xy 126.372447 118.095801) + (xy 126.335431 118.111134) + (xy 126.321163 118.117044) + (xy 126.321162 118.117044) + (xy 126.205666 118.205666) + (xy 126.117044 118.321162) + (xy 126.117044 118.321163) + (xy 126.117043 118.321164) + (xy 126.117043 118.321165) + (xy 126.110088 118.337956) + (xy 126.061331 118.455662) + (xy 126.042329 118.6) + (xy 124.681918 118.6) + (xy 124.460757 118.378839) + (xy 123.731919 117.65) + (xy 127.292329 117.65) + (xy 127.311331 117.794337) + (xy 127.326463 117.830867) + (xy 127.367043 117.928836) + (xy 127.367044 117.928838) + (xy 127.367045 117.928839) + (xy 127.455666 118.044333) + (xy 127.558297 118.123084) + (xy 127.571164 118.132957) + (xy 127.705664 118.188669) + (xy 127.85 118.207671) + (xy 127.994336 118.188669) + (xy 128.128836 118.132957) + (xy 128.244333 118.044333) + (xy 128.332957 117.928836) + (xy 128.388669 117.794336) + (xy 128.394506 117.75) + (xy 136.842443 117.75) + (xy 136.860977 117.878911) + (xy 136.915078 117.997373) + (xy 136.91508 117.997376) + (xy 136.977594 118.069521) + (xy 136.984738 118.077766) + (xy 137.000366 118.095801) + (xy 137.109926 118.166209) + (xy 137.234883 118.2029) + (xy 137.365117 118.2029) + (xy 137.490073 118.166209) + (xy 137.490073 118.166208) + (xy 137.490076 118.166208) + (xy 137.599272 118.096033) + (xy 137.599633 118.095801) + (xy 137.599633 118.0958) + (xy 137.599636 118.095799) + (xy 137.684921 117.997374) + (xy 137.739023 117.878909) + (xy 137.757557 117.75) + (xy 137.739023 117.621091) + (xy 137.738517 117.619984) + (xy 137.684921 117.502626) + (xy 137.684919 117.502623) + (xy 137.599633 117.404198) + (xy 137.490073 117.33379) + (xy 137.365117 117.2971) + (xy 137.234883 117.2971) + (xy 137.109926 117.33379) + (xy 137.000366 117.404198) + (xy 136.91508 117.502623) + (xy 136.915078 117.502626) + (xy 136.860977 117.621088) + (xy 136.842443 117.75) + (xy 128.394506 117.75) + (xy 128.407671 117.65) + (xy 128.388669 117.505664) + (xy 128.332957 117.371165) + (xy 128.30428 117.333792) + (xy 128.244333 117.255666) + (xy 128.128839 117.167045) + (xy 128.128838 117.167044) + (xy 128.128836 117.167043) + (xy 128.041891 117.131029) + (xy 127.994337 117.111331) + (xy 127.85 117.092329) + (xy 127.705662 117.111331) + (xy 127.571163 117.167044) + (xy 127.571162 117.167044) + (xy 127.455666 117.255666) + (xy 127.367044 117.371162) + (xy 127.367044 117.371163) + (xy 127.311331 117.505662) + (xy 127.292329 117.65) + (xy 123.731919 117.65) + (xy 123.198548 117.116629) + (xy 123.196763 117.114783) + (xy 123.152211 117.067079) + (xy 123.152204 117.067073) + (xy 123.114789 117.044321) + (xy 123.108424 117.039989) + (xy 123.07353 117.013528) + (xy 123.052838 117.005368) + (xy 123.041357 116.999665) + (xy 123.022352 116.988108) + (xy 123.022347 116.988106) + (xy 122.980177 116.97629) + (xy 122.97288 116.973836) + (xy 122.932138 116.95777) + (xy 122.910004 116.955494) + (xy 122.897408 116.9531) + (xy 122.892805 116.95181) + (xy 122.875994 116.9471) + (xy 122.875993 116.9471) + (xy 122.832204 116.9471) + (xy 122.824514 116.946706) + (xy 122.780943 116.942226) + (xy 122.759029 116.946006) + (xy 122.74625 116.9471) + (xy 110.410166 116.9471) + (xy 110.361828 116.929507) + (xy 110.356992 116.925074) + (xy 109.681918 116.25) + (xy 113.792443 116.25) + (xy 113.810977 116.378911) + (xy 113.865078 116.497373) + (xy 113.86508 116.497376) + (xy 113.88364 116.518795) + (xy 113.935562 116.578717) + (xy 113.950366 116.595801) + (xy 114.059926 116.666209) + (xy 114.184883 116.7029) + (xy 114.315117 116.7029) + (xy 114.440073 116.666209) + (xy 114.440073 116.666208) + (xy 114.440076 116.666208) + (xy 114.549636 116.595799) + (xy 114.634921 116.497374) + (xy 114.689023 116.378909) + (xy 114.707557 116.25) + (xy 123.792443 116.25) + (xy 123.810977 116.378911) + (xy 123.865078 116.497373) + (xy 123.86508 116.497376) + (xy 123.88364 116.518795) + (xy 123.935562 116.578717) + (xy 123.950366 116.595801) + (xy 124.059926 116.666209) + (xy 124.184883 116.7029) + (xy 124.315117 116.7029) + (xy 124.440073 116.666209) + (xy 124.440073 116.666208) + (xy 124.440076 116.666208) + (xy 124.549636 116.595799) + (xy 124.634921 116.497374) + (xy 124.689023 116.378909) + (xy 124.707557 116.25) + (xy 124.689023 116.121091) + (xy 124.687047 116.116765) + (xy 124.634921 116.002626) + (xy 124.634919 116.002623) + (xy 124.5789 115.937974) + (xy 124.549636 115.904201) + (xy 124.549635 115.9042) + (xy 124.549633 115.904198) + (xy 124.440073 115.83379) + (xy 124.315117 115.7971) + (xy 124.184883 115.7971) + (xy 124.059926 115.83379) + (xy 123.950366 115.904198) + (xy 123.86508 116.002623) + (xy 123.865078 116.002626) + (xy 123.810977 116.121088) + (xy 123.792443 116.25) + (xy 114.707557 116.25) + (xy 114.689023 116.121091) + (xy 114.687047 116.116765) + (xy 114.634921 116.002626) + (xy 114.634919 116.002623) + (xy 114.5789 115.937974) + (xy 114.549636 115.904201) + (xy 114.549635 115.9042) + (xy 114.549633 115.904198) + (xy 114.440073 115.83379) + (xy 114.315117 115.7971) + (xy 114.184883 115.7971) + (xy 114.059926 115.83379) + (xy 113.950366 115.904198) + (xy 113.86508 116.002623) + (xy 113.865078 116.002626) + (xy 113.810977 116.121088) + (xy 113.792443 116.25) + (xy 109.681918 116.25) + (xy 108.781918 115.35) + (xy 114.792443 115.35) + (xy 114.810977 115.478911) + (xy 114.865078 115.597373) + (xy 114.86508 115.597376) + (xy 114.950366 115.695801) + (xy 115.059926 115.766209) + (xy 115.184883 115.8029) + (xy 115.315117 115.8029) + (xy 115.440073 115.766209) + (xy 115.440073 115.766208) + (xy 115.440076 115.766208) + (xy 115.549636 115.695799) + (xy 115.634921 115.597374) + (xy 115.689023 115.478909) + (xy 115.707557 115.35) + (xy 124.792443 115.35) + (xy 124.810977 115.478911) + (xy 124.865078 115.597373) + (xy 124.86508 115.597376) + (xy 124.950366 115.695801) + (xy 125.059926 115.766209) + (xy 125.184883 115.8029) + (xy 125.315117 115.8029) + (xy 125.440073 115.766209) + (xy 125.440073 115.766208) + (xy 125.440076 115.766208) + (xy 125.549636 115.695799) + (xy 125.634921 115.597374) + (xy 125.689023 115.478909) + (xy 125.707557 115.35) + (xy 125.689023 115.221091) + (xy 125.686516 115.215602) + (xy 125.634921 115.102626) + (xy 125.634919 115.102623) + (xy 125.549633 115.004198) + (xy 125.440073 114.93379) + (xy 125.315117 114.8971) + (xy 125.184883 114.8971) + (xy 125.059926 114.93379) + (xy 124.950366 115.004198) + (xy 124.86508 115.102623) + (xy 124.865078 115.102626) + (xy 124.810977 115.221088) + (xy 124.792443 115.35) + (xy 115.707557 115.35) + (xy 115.689023 115.221091) + (xy 115.686516 115.215602) + (xy 115.634921 115.102626) + (xy 115.634919 115.102623) + (xy 115.549633 115.004198) + (xy 115.440073 114.93379) + (xy 115.315117 114.8971) + (xy 115.184883 114.8971) + (xy 115.059926 114.93379) + (xy 114.950366 115.004198) + (xy 114.86508 115.102623) + (xy 114.865078 115.102626) + (xy 114.810977 115.221088) + (xy 114.792443 115.35) + (xy 108.781918 115.35) + (xy 107.881919 114.45) + (xy 113.792443 114.45) + (xy 113.810977 114.578911) + (xy 113.865078 114.697373) + (xy 113.86508 114.697376) + (xy 113.950366 114.795801) + (xy 114.059926 114.866209) + (xy 114.184883 114.9029) + (xy 114.315117 114.9029) + (xy 114.440073 114.866209) + (xy 114.440073 114.866208) + (xy 114.440076 114.866208) + (xy 114.549636 114.795799) + (xy 114.634921 114.697374) + (xy 114.689023 114.578909) + (xy 114.707557 114.45) + (xy 114.689023 114.321091) + (xy 114.674719 114.289771) + (xy 114.634921 114.202626) + (xy 114.634919 114.202623) + (xy 114.549633 114.104198) + (xy 114.465297 114.05) + (xy 123.992078 114.05) + (xy 124.012043 114.176056) + (xy 124.069984 114.289771) + (xy 124.160229 114.380016) + (xy 124.273943 114.437956) + (xy 124.273945 114.437957) + (xy 124.4 114.457922) + (xy 124.526055 114.437957) + (xy 124.639771 114.380016) + (xy 124.730016 114.289771) + (xy 124.787957 114.176055) + (xy 124.807922 114.05) + (xy 124.787957 113.923945) + (xy 124.730016 113.810229) + (xy 124.639771 113.719984) + (xy 124.526055 113.662043) + (xy 124.526057 113.662043) + (xy 124.4 113.642078) + (xy 124.273943 113.662043) + (xy 124.160228 113.719984) + (xy 124.069984 113.810228) + (xy 124.012043 113.923943) + (xy 123.992078 114.049999) + (xy 123.992078 114.05) + (xy 114.465297 114.05) + (xy 114.440073 114.03379) + (xy 114.315117 113.9971) + (xy 114.184883 113.9971) + (xy 114.059926 114.03379) + (xy 113.950366 114.104198) + (xy 113.86508 114.202623) + (xy 113.865078 114.202626) + (xy 113.810977 114.321088) + (xy 113.792443 114.45) + (xy 107.881919 114.45) + (xy 106.881919 113.45) + (xy 122.842078 113.45) + (xy 122.862043 113.576056) + (xy 122.886443 113.623943) + (xy 122.919984 113.689771) + (xy 123.010229 113.780016) + (xy 123.123943 113.837956) + (xy 123.123945 113.837957) + (xy 123.25 113.857922) + (xy 123.376055 113.837957) + (xy 123.489771 113.780016) + (xy 123.580016 113.689771) + (xy 123.637957 113.576055) + (xy 123.657922 113.45) + (xy 123.657883 113.449756) + (xy 123.643991 113.362043) + (xy 123.637957 113.323945) + (xy 123.580016 113.210229) + (xy 123.489771 113.119984) + (xy 123.376055 113.062043) + (xy 123.376057 113.062043) + (xy 123.25 113.042078) + (xy 123.123943 113.062043) + (xy 123.010228 113.119984) + (xy 122.919984 113.210228) + (xy 122.862043 113.323943) + (xy 122.842078 113.449999) + (xy 122.842078 113.45) + (xy 106.881919 113.45) + (xy 105.481919 112.05) + (xy 108.542078 112.05) + (xy 108.562043 112.176056) + (xy 108.619333 112.288493) + (xy 108.619984 112.289771) + (xy 108.710229 112.380016) + (xy 108.823943 112.437956) + (xy 108.823945 112.437957) + (xy 108.95 112.457922) + (xy 108.986071 112.452208) + (xy 109.036564 112.462022) + (xy 109.051009 112.473308) + (xy 109.383231 112.80553) + (xy 109.385942 112.808386) + (xy 109.413051 112.838494) + (xy 109.435516 112.848495) + (xy 109.445887 112.854127) + (xy 109.466502 112.867516) + (xy 109.474928 112.86885) + (xy 109.493759 112.874428) + (xy 109.501553 112.877898) + (xy 109.501555 112.877898) + (xy 109.501558 112.8779) + (xy 109.526152 112.8779) + (xy 109.537915 112.878825) + (xy 109.562194 112.882671) + (xy 109.567253 112.881315) + (xy 109.57044 112.880462) + (xy 109.589901 112.8779) + (xy 114.376964 112.8779) + (xy 114.425302 112.895493) + (xy 114.430138 112.899925) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.069862 112.899925) + (xy 115.116482 112.878186) + (xy 115.123036 112.8779) + (xy 122.176964 112.8779) + (xy 122.225302 112.895493) + (xy 122.230138 112.899925) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.880016 112.889771) + (xy 122.937957 112.776055) + (xy 122.957922 112.65) + (xy 122.937957 112.523945) + (xy 122.880016 112.410229) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.31023 112.319983) + (xy 122.230138 112.400075) + (xy 122.183518 112.421814) + (xy 122.176964 112.4221) + (xy 115.123036 112.4221) + (xy 115.074698 112.404507) + (xy 115.069862 112.400075) + (xy 115.049802 112.380015) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.51023 112.319983) + (xy 114.430138 112.400075) + (xy 114.383518 112.421814) + (xy 114.376964 112.4221) + (xy 109.675548 112.4221) + (xy 109.62721 112.404507) + (xy 109.622373 112.400074) + (xy 109.373308 112.151008) + (xy 109.351569 112.104388) + (xy 109.352209 112.08607) + (xy 109.357922 112.05) + (xy 109.357922 112.049999) + (xy 109.346427 111.977422) + (xy 109.337957 111.923945) + (xy 109.30028 111.85) + (xy 122.842078 111.85) + (xy 122.862043 111.976056) + (xy 122.896804 112.044278) + (xy 122.919984 112.089771) + (xy 123.010229 112.180016) + (xy 123.123943 112.237956) + (xy 123.123945 112.237957) + (xy 123.25 112.257922) + (xy 123.376055 112.237957) + (xy 123.489771 112.180016) + (xy 123.580016 112.089771) + (xy 123.637957 111.976055) + (xy 123.657922 111.85) + (xy 125.142078 111.85) + (xy 125.162043 111.976056) + (xy 125.196804 112.044278) + (xy 125.219984 112.089771) + (xy 125.310229 112.180016) + (xy 125.423943 112.237956) + (xy 125.423945 112.237957) + (xy 125.55 112.257922) + (xy 125.676055 112.237957) + (xy 125.789771 112.180016) + (xy 125.880016 112.089771) + (xy 125.925756 112) + (xy 126.892443 112) + (xy 126.910977 112.128911) + (xy 126.965078 112.247373) + (xy 126.96508 112.247376) + (xy 127.050366 112.345801) + (xy 127.103607 112.380016) + (xy 127.15062 112.410229) + (xy 127.159926 112.416209) + (xy 127.284883 112.4529) + (xy 127.415117 112.4529) + (xy 127.540073 112.416209) + (xy 127.540073 112.416208) + (xy 127.540076 112.416208) + (xy 127.649636 112.345799) + (xy 127.734921 112.247374) + (xy 127.789023 112.128909) + (xy 127.807557 112) + (xy 127.789023 111.871091) + (xy 127.781449 111.854507) + (xy 127.734921 111.752626) + (xy 127.734919 111.752623) + (xy 127.649633 111.654198) + (xy 127.540073 111.58379) + (xy 127.415117 111.5471) + (xy 127.284883 111.5471) + (xy 127.159926 111.58379) + (xy 127.050366 111.654198) + (xy 126.96508 111.752623) + (xy 126.965078 111.752626) + (xy 126.910977 111.871088) + (xy 126.892443 112) + (xy 125.925756 112) + (xy 125.937957 111.976055) + (xy 125.957922 111.85) + (xy 125.937957 111.723945) + (xy 125.880016 111.610229) + (xy 125.789771 111.519984) + (xy 125.676055 111.462043) + (xy 125.676057 111.462043) + (xy 125.55 111.442078) + (xy 125.423943 111.462043) + (xy 125.310228 111.519984) + (xy 125.219984 111.610228) + (xy 125.162043 111.723943) + (xy 125.142078 111.849999) + (xy 125.142078 111.85) + (xy 123.657922 111.85) + (xy 123.637957 111.723945) + (xy 123.580016 111.610229) + (xy 123.489771 111.519984) + (xy 123.376055 111.462043) + (xy 123.376057 111.462043) + (xy 123.25 111.442078) + (xy 123.123943 111.462043) + (xy 123.010228 111.519984) + (xy 122.919984 111.610228) + (xy 122.862043 111.723943) + (xy 122.842078 111.849999) + (xy 122.842078 111.85) + (xy 109.30028 111.85) + (xy 109.280016 111.810229) + (xy 109.189771 111.719984) + (xy 109.076055 111.662043) + (xy 109.076057 111.662043) + (xy 108.95 111.642078) + (xy 108.823943 111.662043) + (xy 108.710228 111.719984) + (xy 108.619984 111.810228) + (xy 108.562043 111.923943) + (xy 108.542078 112.049999) + (xy 108.542078 112.05) + (xy 105.481919 112.05) + (xy 104.581919 111.15) + (xy 109.292078 111.15) + (xy 109.312043 111.276056) + (xy 109.339391 111.329729) + (xy 109.369984 111.389771) + (xy 109.460229 111.480016) + (xy 109.573943 111.537956) + (xy 109.573945 111.537957) + (xy 109.7 111.557922) + (xy 109.826055 111.537957) + (xy 109.939771 111.480016) + (xy 110.019862 111.399925) + (xy 110.066482 111.378186) + (xy 110.073036 111.3779) + (xy 114.376964 111.3779) + (xy 114.425302 111.395493) + (xy 114.430138 111.399925) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.069862 111.399925) + (xy 115.116482 111.378186) + (xy 115.123036 111.3779) + (xy 118.35156 111.3779) + (xy 122.176964 111.3779) + (xy 122.225302 111.395493) + (xy 122.230138 111.399925) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.937957 111.276055) + (xy 122.957922 111.15) + (xy 122.937957 111.023945) + (xy 122.925756 111) + (xy 125.992443 111) + (xy 126.010977 111.128911) + (xy 126.065078 111.247373) + (xy 126.06508 111.247376) + (xy 126.150366 111.345801) + (xy 126.259926 111.416209) + (xy 126.384883 111.4529) + (xy 126.515117 111.4529) + (xy 126.640073 111.416209) + (xy 126.640073 111.416208) + (xy 126.640076 111.416208) + (xy 126.749636 111.345799) + (xy 126.834921 111.247374) + (xy 126.889023 111.128909) + (xy 126.907557 111) + (xy 126.889023 110.871091) + (xy 126.888154 110.869189) + (xy 126.834921 110.752626) + (xy 126.834919 110.752623) + (xy 126.78379 110.693618) + (xy 126.749636 110.654201) + (xy 126.749635 110.6542) + (xy 126.749633 110.654198) + (xy 126.640073 110.58379) + (xy 126.515117 110.5471) + (xy 126.384883 110.5471) + (xy 126.259926 110.58379) + (xy 126.150366 110.654198) + (xy 126.06508 110.752623) + (xy 126.065078 110.752626) + (xy 126.010977 110.871088) + (xy 125.992443 111) + (xy 122.925756 111) + (xy 122.880016 110.910229) + (xy 122.789771 110.819984) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.31023 110.819983) + (xy 122.230138 110.900075) + (xy 122.183518 110.921814) + (xy 122.176964 110.9221) + (xy 115.123036 110.9221) + (xy 115.074698 110.904507) + (xy 115.069862 110.900075) + (xy 115.032635 110.862848) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.51023 110.819983) + (xy 114.430138 110.900075) + (xy 114.383518 110.921814) + (xy 114.376964 110.9221) + (xy 111.20486 110.9221) + (xy 111.156522 110.904507) + (xy 111.130802 110.859958) + (xy 111.139735 110.8093) + (xy 111.148028 110.797654) + (xy 111.149634 110.795799) + (xy 111.149636 110.795799) + (xy 111.234921 110.697374) + (xy 111.289023 110.578909) + (xy 111.304422 110.471799) + (xy 111.328714 110.426461) + (xy 111.376469 110.407342) + (xy 111.425341 110.423392) + (xy 111.43203 110.42933) + (xy 111.45824 110.45554) + (xy 111.460951 110.458396) + (xy 111.488051 110.488494) + (xy 111.510516 110.498495) + (xy 111.520885 110.504126) + (xy 111.541501 110.517515) + (xy 111.541502 110.517515) + (xy 111.541504 110.517516) + (xy 111.549936 110.518851) + (xy 111.568755 110.524426) + (xy 111.576558 110.5279) + (xy 111.601147 110.5279) + (xy 111.61291 110.528825) + (xy 111.637194 110.532672) + (xy 111.643459 110.530993) + (xy 111.645443 110.530462) + (xy 111.664904 110.5279) + (xy 114.586152 110.5279) + (xy 114.620292 110.536096) + (xy 114.621719 110.536823) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.069862 110.399925) + (xy 115.116482 110.378186) + (xy 115.123036 110.3779) + (xy 122.176964 110.3779) + (xy 122.225302 110.395493) + (xy 122.230138 110.399925) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.789771 110.480016) + (xy 122.880016 110.389771) + (xy 122.937957 110.276055) + (xy 122.957922 110.15) + (xy 122.937957 110.023945) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.31023 109.819983) + (xy 122.230138 109.900075) + (xy 122.183518 109.921814) + (xy 122.176964 109.9221) + (xy 115.123036 109.9221) + (xy 115.074698 109.904507) + (xy 115.069862 109.900075) + (xy 115.039771 109.869984) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.510228 109.819984) + (xy 114.419984 109.910228) + (xy 114.359356 110.029218) + (xy 114.357087 110.028062) + (xy 114.33161 110.060673) + (xy 114.29176 110.0721) + (xy 111.750547 110.0721) + (xy 111.702209 110.054507) + (xy 111.697373 110.050074) + (xy 111.622809 109.97551) + (xy 111.601069 109.92889) + (xy 111.614383 109.879203) + (xy 111.65652 109.849698) + (xy 111.687746 109.848062) + (xy 111.75 109.857922) + (xy 111.876055 109.837957) + (xy 111.989771 109.780016) + (xy 112.080016 109.689771) + (xy 112.137957 109.576055) + (xy 112.157922 109.45) + (xy 113.642078 109.45) + (xy 113.662043 109.576056) + (xy 113.716785 109.683493) + (xy 113.719984 109.689771) + (xy 113.810229 109.780016) + (xy 113.923943 109.837956) + (xy 113.923945 109.837957) + (xy 114.05 109.857922) + (xy 114.176055 109.837957) + (xy 114.289771 109.780016) + (xy 114.380016 109.689771) + (xy 114.437957 109.576055) + (xy 114.457922 109.45) + (xy 114.437957 109.323945) + (xy 114.380016 109.210229) + (xy 114.289771 109.119984) + (xy 114.176055 109.062043) + (xy 114.176057 109.062043) + (xy 114.05 109.042078) + (xy 113.923943 109.062043) + (xy 113.810228 109.119984) + (xy 113.719984 109.210228) + (xy 113.662043 109.323943) + (xy 113.642078 109.449999) + (xy 113.642078 109.45) + (xy 112.157922 109.45) + (xy 112.137957 109.323945) + (xy 112.080016 109.210229) + (xy 111.989771 109.119984) + (xy 111.876055 109.062043) + (xy 111.876057 109.062043) + (xy 111.75 109.042078) + (xy 111.623943 109.062043) + (xy 111.510228 109.119984) + (xy 111.419984 109.210228) + (xy 111.362043 109.323943) + (xy 111.342078 109.449999) + (xy 111.342078 109.45) + (xy 111.354011 109.525345) + (xy 111.344196 109.57584) + (xy 111.304219 109.608212) + (xy 111.252787 109.607314) + (xy 111.249149 109.605807) + (xy 111.239485 109.601504) + (xy 111.229116 109.595874) + (xy 111.208496 109.582483) + (xy 111.200064 109.581148) + (xy 111.181243 109.575573) + (xy 111.173442 109.5721) + (xy 111.148848 109.5721) + (xy 111.137084 109.571174) + (xy 111.112808 109.567329) + (xy 111.112804 109.567329) + (xy 111.10456 109.569538) + (xy 111.085099 109.5721) + (xy 110.057936 109.5721) + (xy 110.054 109.571997) + (xy 110.052192 109.571902) + (xy 110.013551 109.569876) + (xy 110.01355 109.569876) + (xy 109.990597 109.578688) + (xy 109.979286 109.582039) + (xy 109.955235 109.587151) + (xy 109.955229 109.587154) + (xy 109.948324 109.592171) + (xy 109.931085 109.601532) + (xy 109.923104 109.604596) + (xy 109.905712 109.621987) + (xy 109.896746 109.629645) + (xy 109.876852 109.6441) + (xy 109.872581 109.651497) + (xy 109.860634 109.667064) + (xy 109.801008 109.72669) + (xy 109.754388 109.74843) + (xy 109.736072 109.74779) + (xy 109.700003 109.742078) + (xy 109.699999 109.742078) + (xy 109.573943 109.762043) + (xy 109.460228 109.819984) + (xy 109.369984 109.910228) + (xy 109.312043 110.023943) + (xy 109.292078 110.149999) + (xy 109.292078 110.15) + (xy 109.312043 110.276056) + (xy 109.339274 110.3295) + (xy 109.369984 110.389771) + (xy 109.460229 110.480016) + (xy 109.573943 110.537956) + (xy 109.573945 110.537957) + (xy 109.7 110.557922) + (xy 109.826055 110.537957) + (xy 109.939771 110.480016) + (xy 110.030016 110.389771) + (xy 110.087957 110.276055) + (xy 110.107922 110.15) + (xy 110.102357 110.114863) + (xy 110.112172 110.064369) + (xy 110.152148 110.031997) + (xy 110.176631 110.0279) + (xy 110.451814 110.0279) + (xy 110.500152 110.045493) + (xy 110.525872 110.090042) + (xy 110.516939 110.1407) + (xy 110.508646 110.152345) + (xy 110.465083 110.202619) + (xy 110.465078 110.202626) + (xy 110.410977 110.321088) + (xy 110.392443 110.45) + (xy 110.410977 110.578911) + (xy 110.465078 110.697373) + (xy 110.46508 110.697376) + (xy 110.493151 110.729771) + (xy 110.550364 110.795799) + (xy 110.550365 110.795799) + (xy 110.551972 110.797654) + (xy 110.570331 110.845707) + (xy 110.553506 110.894317) + (xy 110.509372 110.920741) + (xy 110.49514 110.9221) + (xy 110.073036 110.9221) + (xy 110.024698 110.904507) + (xy 110.019862 110.900075) + (xy 109.982635 110.862848) + (xy 109.939771 110.819984) + (xy 109.826055 110.762043) + (xy 109.826057 110.762043) + (xy 109.7 110.742078) + (xy 109.573943 110.762043) + (xy 109.460228 110.819984) + (xy 109.369984 110.910228) + (xy 109.312043 111.023943) + (xy 109.292078 111.149999) + (xy 109.292078 111.15) + (xy 104.581919 111.15) + (xy 102.681919 109.25) + (xy 108.542078 109.25) + (xy 108.562043 109.376056) + (xy 108.583138 109.417457) + (xy 108.619984 109.489771) + (xy 108.710229 109.580016) + (xy 108.823943 109.637956) + (xy 108.823945 109.637957) + (xy 108.95 109.657922) + (xy 109.076055 109.637957) + (xy 109.189771 109.580016) + (xy 109.280016 109.489771) + (xy 109.337957 109.376055) + (xy 109.343456 109.341336) + (xy 109.368395 109.296345) + (xy 109.416418 109.277911) + (xy 109.41773 109.2779) + (xy 110.642064 109.2779) + (xy 110.646 109.278003) + (xy 110.686447 109.280123) + (xy 110.686447 109.280122) + (xy 110.686448 109.280123) + (xy 110.709403 109.27131) + (xy 110.720722 109.267958) + (xy 110.744761 109.262849) + (xy 110.74476 109.262849) + (xy 110.744766 109.262848) + (xy 110.751674 109.257828) + (xy 110.768921 109.248464) + (xy 110.776896 109.245403) + (xy 110.794289 109.228009) + (xy 110.803249 109.220356) + (xy 110.823146 109.205901) + (xy 110.827416 109.198503) + (xy 110.839358 109.18294) + (xy 111.222375 108.799924) + (xy 111.268994 108.778186) + (xy 111.275548 108.7779) + (xy 114.28227 108.7779) + (xy 114.330608 108.795493) + (xy 114.356328 108.840042) + (xy 114.356544 108.841336) + (xy 114.362043 108.876056) + (xy 114.396713 108.944099) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.069862 108.999925) + (xy 115.116482 108.978186) + (xy 115.123036 108.9779) + (xy 120.84222 108.9779) + (xy 122.176964 108.9779) + (xy 122.225302 108.995493) + (xy 122.230138 108.999925) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.937957 108.876055) + (xy 122.957922 108.75) + (xy 122.957675 108.748443) + (xy 122.951916 108.712081) + (xy 122.937957 108.623945) + (xy 122.880016 108.510229) + (xy 122.789771 108.419984) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.31023 108.419983) + (xy 122.230138 108.500075) + (xy 122.183518 108.521814) + (xy 122.176964 108.5221) + (xy 115.123036 108.5221) + (xy 115.074698 108.504507) + (xy 115.069862 108.500075) + (xy 115.034269 108.464482) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.750001 108.342078) + (xy 114.749997 108.342078) + (xy 114.696725 108.350514) + (xy 114.646231 108.340698) + (xy 114.644008 108.339309) + (xy 114.633497 108.332483) + (xy 114.625064 108.331148) + (xy 114.606243 108.325573) + (xy 114.598442 108.3221) + (xy 114.573848 108.3221) + (xy 114.562084 108.321174) + (xy 114.537808 108.317329) + (xy 114.537804 108.317329) + (xy 114.52956 108.319538) + (xy 114.510099 108.3221) + (xy 111.157936 108.3221) + (xy 111.154 108.321997) + (xy 111.152192 108.321902) + (xy 111.113551 108.319876) + (xy 111.11355 108.319876) + (xy 111.090597 108.328688) + (xy 111.079286 108.332039) + (xy 111.055235 108.337151) + (xy 111.055229 108.337154) + (xy 111.048324 108.342171) + (xy 111.031085 108.351532) + (xy 111.023104 108.354596) + (xy 111.005712 108.371987) + (xy 110.996746 108.379645) + (xy 110.976852 108.3941) + (xy 110.972581 108.401497) + (xy 110.960634 108.417064) + (xy 110.577625 108.800074) + (xy 110.531006 108.821814) + (xy 110.524452 108.8221) + (xy 109.157936 108.8221) + (xy 109.154 108.821997) + (xy 109.152283 108.821907) + (xy 109.113552 108.819876) + (xy 109.090588 108.828691) + (xy 109.079279 108.83204) + (xy 109.055236 108.837151) + (xy 109.048016 108.840366) + (xy 109.047426 108.839042) + (xy 109.006573 108.850749) + (xy 109.000068 108.850007) + (xy 108.950002 108.842078) + (xy 108.949999 108.842078) + (xy 108.823943 108.862043) + (xy 108.710228 108.919984) + (xy 108.619984 109.010228) + (xy 108.562043 109.123943) + (xy 108.542078 109.249999) + (xy 108.542078 109.25) + (xy 102.681919 109.25) + (xy 101.081919 107.65) + (xy 108.542078 107.65) + (xy 108.562043 107.776056) + (xy 108.604932 107.860229) + (xy 108.619984 107.889771) + (xy 108.710229 107.980016) + (xy 108.823943 108.037956) + (xy 108.823945 108.037957) + (xy 108.95 108.057922) + (xy 108.986071 108.052208) + (xy 109.036564 108.062022) + (xy 109.051009 108.073308) + (xy 109.483231 108.50553) + (xy 109.485942 108.508386) + (xy 109.513051 108.538494) + (xy 109.535516 108.548495) + (xy 109.545887 108.554127) + (xy 109.566502 108.567516) + (xy 109.574928 108.56885) + (xy 109.593759 108.574428) + (xy 109.601553 108.577898) + (xy 109.601555 108.577898) + (xy 109.601558 108.5779) + (xy 109.626152 108.5779) + (xy 109.637915 108.578825) + (xy 109.662194 108.582671) + (xy 109.667253 108.581315) + (xy 109.67044 108.580462) + (xy 109.689901 108.5779) + (xy 110.242064 108.5779) + (xy 110.246 108.578003) + (xy 110.286447 108.580123) + (xy 110.286447 108.580122) + (xy 110.286448 108.580123) + (xy 110.309403 108.57131) + (xy 110.320722 108.567958) + (xy 110.344761 108.562849) + (xy 110.34476 108.562849) + (xy 110.344766 108.562848) + (xy 110.351674 108.557828) + (xy 110.368921 108.548464) + (xy 110.376896 108.545403) + (xy 110.394289 108.528009) + (xy 110.403248 108.520357) + (xy 110.423146 108.505901) + (xy 110.427415 108.498505) + (xy 110.439359 108.482938) + (xy 110.922374 107.999924) + (xy 110.968993 107.978186) + (xy 110.975547 107.9779) + (xy 114.376964 107.9779) + (xy 114.425302 107.995493) + (xy 114.430138 107.999925) + (xy 114.510229 108.080016) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.069862 107.999925) + (xy 115.116482 107.978186) + (xy 115.123036 107.9779) + (xy 116.25156 107.9779) + (xy 122.176964 107.9779) + (xy 122.225302 107.995493) + (xy 122.230138 107.999925) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.789771 108.080016) + (xy 122.880016 107.989771) + (xy 122.937957 107.876055) + (xy 122.957922 107.75) + (xy 122.937957 107.623945) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.31023 107.419983) + (xy 122.230138 107.500075) + (xy 122.183518 107.521814) + (xy 122.176964 107.5221) + (xy 115.123036 107.5221) + (xy 115.074698 107.504507) + (xy 115.069862 107.500075) + (xy 115.042889 107.473102) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.51023 107.419983) + (xy 114.430138 107.500075) + (xy 114.383518 107.521814) + (xy 114.376964 107.5221) + (xy 110.857929 107.5221) + (xy 110.853992 107.521997) + (xy 110.813551 107.519876) + (xy 110.790597 107.528688) + (xy 110.779286 107.532039) + (xy 110.755235 107.537151) + (xy 110.755229 107.537154) + (xy 110.748324 107.542171) + (xy 110.731085 107.551532) + (xy 110.723104 107.554596) + (xy 110.705712 107.571987) + (xy 110.696746 107.579645) + (xy 110.676852 107.5941) + (xy 110.672581 107.601497) + (xy 110.660634 107.617064) + (xy 110.177625 108.100074) + (xy 110.131006 108.121814) + (xy 110.124452 108.1221) + (xy 109.775547 108.1221) + (xy 109.727209 108.104507) + (xy 109.722373 108.100074) + (xy 109.549151 107.926852) + (xy 109.373307 107.751007) + (xy 109.351568 107.704389) + (xy 109.352207 107.686078) + (xy 109.357922 107.65) + (xy 109.337957 107.523945) + (xy 109.280016 107.410229) + (xy 109.189771 107.319984) + (xy 109.076055 107.262043) + (xy 109.076057 107.262043) + (xy 108.95 107.242078) + (xy 108.823943 107.262043) + (xy 108.710228 107.319984) + (xy 108.619984 107.410228) + (xy 108.562043 107.523943) + (xy 108.542078 107.649999) + (xy 108.542078 107.65) + (xy 101.081919 107.65) + (xy 99.520071 106.088152) + (xy 99.513584 106.080756) + (xy 99.513015 106.080015) + (xy 99.494333 106.055667) + (xy 99.469241 106.036413) + (xy 99.461846 106.029927) + (xy 96.624926 103.193007) + (xy 96.603186 103.146387) + (xy 96.6029 103.139833) + (xy 96.6029 102.34117) + (xy 96.603543 102.331355) + (xy 96.604776 102.321987) + (xy 96.607671 102.3) + (xy 96.604949 102.279333) + (xy 96.60435 102.266957) + (xy 96.605172 102.242926) + (xy 96.60324 102.235) + (xy 96.595228 102.202122) + (xy 96.593733 102.194139) + (xy 96.588669 102.155664) + (xy 96.588493 102.154325) + (xy 96.587768 102.150736) + (xy 96.587402 102.149429) + (xy 96.587402 102.149427) + (xy 96.578537 102.129019) + (xy 96.574456 102.116882) + (xy 96.569187 102.095259) + (xy 96.550351 102.061759) + (xy 96.546433 102.053699) + (xy 96.532957 102.021165) + (xy 96.532952 102.021158) + (xy 96.530492 102.016897) + (xy 96.530931 102.016642) + (xy 96.529594 102.014373) + (xy 96.529523 102.014417) + (xy 96.526852 102.010024) + (xy 96.52685 102.010021) + (xy 96.512808 101.992762) + (xy 96.505596 101.982164) + (xy 96.494693 101.962773) + (xy 96.470072 101.938153) + (xy 96.463584 101.930756) + (xy 96.44433 101.905663) + (xy 96.440851 101.902184) + (xy 96.440868 101.902166) + (xy 96.432439 101.893973) + (xy 96.430936 101.892127) + (xy 96.430932 101.892122) + (xy 96.419175 101.883823) + (xy 96.412759 101.879294) + (xy 96.402951 101.871032) + (xy 95.548548 101.016629) + (xy 95.546763 101.014783) + (xy 95.502211 100.967079) + (xy 95.502204 100.967073) + (xy 95.464789 100.944321) + (xy 95.458424 100.939989) + (xy 95.42353 100.913528) + (xy 95.402838 100.905368) + (xy 95.391357 100.899665) + (xy 95.372352 100.888108) + (xy 95.372347 100.888106) + (xy 95.330177 100.87629) + (xy 95.32288 100.873836) + (xy 95.282138 100.85777) + (xy 95.260004 100.855494) + (xy 95.247408 100.8531) + (xy 95.242805 100.85181) + (xy 95.225994 100.8471) + (xy 95.225993 100.8471) + (xy 95.182204 100.8471) + (xy 95.174514 100.846706) + (xy 95.130943 100.842226) + (xy 95.109029 100.846006) + (xy 95.09625 100.8471) + (xy 94.082204 100.8471) + (xy 94.074514 100.846706) + (xy 94.030943 100.842226) + (xy 94.009029 100.846006) + (xy 93.99625 100.8471) + (xy 87.575994 100.8471) + (xy 85.212182 100.8471) + (xy 85.099427 100.862598) + (xy 85.099425 100.862598) + (xy 85.099422 100.862599) + (xy 84.960019 100.92315) + (xy 84.842121 101.019068) + (xy 84.842119 101.01907) + (xy 84.754477 101.143231) + (xy 84.754473 101.143239) + (xy 84.703575 101.28645) + (xy 84.693202 101.438086) + (xy 84.3779 101.438086) + (xy 84.3779 100.925546) + (xy 84.395493 100.877208) + (xy 84.399915 100.872383) + (xy 84.855548 100.416749) + (xy 84.858364 100.414075) + (xy 84.888494 100.386949) + (xy 84.898496 100.36448) + (xy 84.904123 100.354117) + (xy 84.917516 100.333497) + (xy 84.91885 100.325072) + (xy 84.92443 100.306234) + (xy 84.925429 100.303991) + (xy 84.9279 100.298442) + (xy 84.9279 100.273846) + (xy 84.928826 100.262081) + (xy 84.929485 100.257922) + (xy 84.932671 100.237806) + (xy 84.930584 100.230016) + (xy 84.930462 100.229559) + (xy 84.9279 100.210099) + (xy 84.9279 99.760782) + (xy 84.945493 99.712444) + (xy 84.990042 99.686724) + (xy 85.03724 99.693778) + (xy 85.052388 99.701497) + (xy 85.123942 99.737956) + (xy 85.123943 99.737956) + (xy 85.123945 99.737957) + (xy 85.25 99.757922) + (xy 85.376055 99.737957) + (xy 85.489771 99.680016) + (xy 85.580016 99.589771) + (xy 85.637957 99.476055) + (xy 85.657922 99.35) + (xy 87.092078 99.35) + (xy 87.112043 99.476056) + (xy 87.159902 99.569984) + (xy 87.169984 99.589771) + (xy 87.260229 99.680016) + (xy 87.373943 99.737956) + (xy 87.373945 99.737957) + (xy 87.5 99.757922) + (xy 87.626055 99.737957) + (xy 87.739771 99.680016) + (xy 87.830016 99.589771) + (xy 87.887957 99.476055) + (xy 87.907922 99.35) + (xy 87.887957 99.223945) + (xy 87.830016 99.110229) + (xy 87.739771 99.019984) + (xy 87.626055 98.962043) + (xy 87.626057 98.962043) + (xy 87.5 98.942078) + (xy 87.373943 98.962043) + (xy 87.260228 99.019984) + (xy 87.169984 99.110228) + (xy 87.112043 99.223943) + (xy 87.092078 99.349999) + (xy 87.092078 99.35) + (xy 85.657922 99.35) + (xy 85.637957 99.223945) + (xy 85.580016 99.110229) + (xy 85.489771 99.019984) + (xy 85.453555 99.001531) + (xy 85.391566 98.969946) + (xy 85.356484 98.932325) + (xy 85.353792 98.880955) + (xy 85.372531 98.849768) + (xy 85.422375 98.799925) + (xy 85.468996 98.778186) + (xy 85.475549 98.7779) + (xy 87.774453 98.7779) + (xy 87.822791 98.795493) + (xy 87.827627 98.799926) + (xy 88.250074 99.222373) + (xy 88.271814 99.268993) + (xy 88.2721 99.275547) + (xy 88.2721 99.276964) + (xy 88.254507 99.325302) + (xy 88.250075 99.330138) + (xy 88.169983 99.41023) + (xy 88.112043 99.523943) + (xy 88.092078 99.649999) + (xy 88.092078 99.65) + (xy 88.112043 99.776056) + (xy 88.149719 99.849999) + (xy 88.169984 99.889771) + (xy 88.260229 99.980016) + (xy 88.373943 100.037956) + (xy 88.373945 100.037957) + (xy 88.5 100.057922) + (xy 88.626055 100.037957) + (xy 88.739771 99.980016) + (xy 88.830016 99.889771) + (xy 88.887957 99.776055) + (xy 88.907922 99.65) + (xy 88.887957 99.523945) + (xy 88.830016 99.410229) + (xy 88.749925 99.330138) + (xy 88.728186 99.283518) + (xy 88.7279 99.276964) + (xy 88.7279 99.157928) + (xy 88.728003 99.153991) + (xy 88.728216 99.149925) + (xy 88.730123 99.113552) + (xy 88.728847 99.110229) + (xy 88.72131 99.090595) + (xy 88.71796 99.079288) + (xy 88.712848 99.055234) + (xy 88.70783 99.048327) + (xy 88.698463 99.031075) + (xy 88.695403 99.023105) + (xy 88.695403 99.023104) + (xy 88.678013 99.005714) + (xy 88.670354 98.996747) + (xy 88.655901 98.976854) + (xy 88.6559 98.976853) + (xy 88.648506 98.972584) + (xy 88.632934 98.960634) + (xy 88.572531 98.900231) + (xy 88.550791 98.853611) + (xy 88.564105 98.803924) + (xy 88.591563 98.780054) + (xy 88.689771 98.730016) + (xy 88.780016 98.639771) + (xy 88.837957 98.526055) + (xy 88.857922 98.4) + (xy 88.857675 98.398443) + (xy 88.850717 98.354507) + (xy 88.837957 98.273945) + (xy 88.780016 98.160229) + (xy 88.689771 98.069984) + (xy 88.576055 98.012043) + (xy 88.576057 98.012043) + (xy 88.45 97.992078) + (xy 88.323943 98.012043) + (xy 88.318323 98.013869) + (xy 88.295633 98.015653) + (xy 88.2957 98.016915) + (xy 88.287804 98.017329) + (xy 88.27956 98.019538) + (xy 88.260099 98.0221) + (xy 85.207936 98.0221) + (xy 85.204 98.021997) + (xy 85.202192 98.021902) + (xy 85.163551 98.019876) + (xy 85.16355 98.019876) + (xy 85.140597 98.028688) + (xy 85.129286 98.032039) + (xy 85.105235 98.037151) + (xy 85.105229 98.037154) + (xy 85.098324 98.042171) + (xy 85.081085 98.051532) + (xy 85.073104 98.054596) + (xy 85.055712 98.071987) + (xy 85.046746 98.079645) + (xy 85.026854 98.094098) + (xy 85.026852 98.094101) + (xy 85.022583 98.101495) + (xy 85.010634 98.117065) + (xy 84.244456 98.883242) + (xy 84.241602 98.885952) + (xy 84.211504 98.913052) + (xy 84.201507 98.935509) + (xy 84.195877 98.945878) + (xy 84.182485 98.966501) + (xy 84.182483 98.966506) + (xy 84.181148 98.974935) + (xy 84.175574 98.993754) + (xy 84.1721 99.001557) + (xy 84.1721 99.026152) + (xy 84.171174 99.037916) + (xy 84.167329 99.062191) + (xy 84.167329 99.062194) + (xy 84.169538 99.070438) + (xy 84.1721 99.0899) + (xy 84.1721 99.974451) + (xy 84.154507 100.022789) + (xy 84.150074 100.027625) + (xy 83.694456 100.483242) + (xy 83.691602 100.485952) + (xy 83.661504 100.513052) + (xy 83.651507 100.535509) + (xy 83.645877 100.545878) + (xy 83.632485 100.566501) + (xy 83.632483 100.566506) + (xy 83.631148 100.574935) + (xy 83.625574 100.593754) + (xy 83.6221 100.601557) + (xy 83.6221 100.626152) + (xy 83.621174 100.637916) + (xy 83.617329 100.662191) + (xy 83.617329 100.662194) + (xy 83.619538 100.670438) + (xy 83.6221 100.6899) + (xy 83.6221 101.774451) + (xy 83.604507 101.822789) + (xy 83.600074 101.827625) + (xy 82.994456 102.433242) + (xy 82.991602 102.435952) + (xy 82.961504 102.463052) + (xy 82.951507 102.485509) + (xy 82.945877 102.495878) + (xy 82.932485 102.516501) + (xy 82.932483 102.516506) + (xy 82.931148 102.524935) + (xy 82.925574 102.543754) + (xy 82.9221 102.551557) + (xy 82.9221 102.576152) + (xy 82.921174 102.587916) + (xy 82.917329 102.612191) + (xy 82.917329 102.612194) + (xy 82.919538 102.620438) + (xy 82.9221 102.6399) + (xy 82.9221 103.092062) + (xy 82.921997 103.095998) + (xy 82.919876 103.136448) + (xy 82.928688 103.159402) + (xy 82.932039 103.170715) + (xy 82.937151 103.194763) + (xy 82.937152 103.194766) + (xy 82.942169 103.201671) + (xy 82.951534 103.21892) + (xy 82.952523 103.221494) + (xy 82.954596 103.226895) + (xy 82.954597 103.226896) + (xy 82.971985 103.244284) + (xy 82.979644 103.253252) + (xy 82.994096 103.273143) + (xy 82.994098 103.273145) + (xy 82.994099 103.273146) + (xy 82.995483 103.273945) + (xy 83.001493 103.277415) + (xy 83.017065 103.289365) + (xy 83.214266 103.486566) + (xy 83.400074 103.672373) + (xy 83.421814 103.718993) + (xy 83.4221 103.725547) + (xy 83.4221 105.524451) + (xy 83.404507 105.572789) + (xy 83.400074 105.577625) + (xy 82.244456 106.733242) + (xy 82.241602 106.735952) + (xy 82.211504 106.763052) + (xy 82.201507 106.785509) + (xy 82.195877 106.795878) + (xy 82.182485 106.816501) + (xy 82.182483 106.816506) + (xy 82.181148 106.824935) + (xy 82.175574 106.843754) + (xy 82.1721 106.851557) + (xy 82.1721 106.876152) + (xy 82.171174 106.887916) + (xy 82.167329 106.912191) + (xy 82.167329 106.912194) + (xy 82.169538 106.920438) + (xy 82.1721 106.9399) + (xy 82.1721 107.77445) + (xy 82.154507 107.822788) + (xy 82.150074 107.827625) + (xy 82.044447 107.933251) + (xy 82.041593 107.935959) + (xy 82.011505 107.96305) + (xy 82.001507 107.985509) + (xy 81.995877 107.995878) + (xy 81.982485 108.016501) + (xy 81.982483 108.016506) + (xy 81.981148 108.024935) + (xy 81.975574 108.043754) + (xy 81.9721 108.051557) + (xy 81.9721 108.076152) + (xy 81.971174 108.087916) + (xy 81.967329 108.112191) + (xy 81.967329 108.112194) + (xy 81.969538 108.120438) + (xy 81.9721 108.1399) + (xy 81.9721 108.826964) + (xy 81.954507 108.875302) + (xy 81.950075 108.880138) + (xy 81.869983 108.96023) + (xy 81.812043 109.073943) + (xy 81.792078 109.199999) + (xy 81.792078 109.2) + (xy 79.873581 109.2) + (xy 79.873748 109.199857) + (xy 79.893646 109.185401) + (xy 79.897915 109.178005) + (xy 79.909862 109.162435) + (xy 80.205547 108.86675) + (xy 80.208365 108.864075) + (xy 80.238494 108.836949) + (xy 80.248496 108.81448) + (xy 80.254123 108.804117) + (xy 80.267516 108.783497) + (xy 80.26885 108.775072) + (xy 80.27443 108.756234) + (xy 80.277206 108.75) + (xy 80.2779 108.748442) + (xy 80.2779 108.723846) + (xy 80.278826 108.712081) + (xy 80.280821 108.699484) + (xy 80.282671 108.687806) + (xy 80.282201 108.686055) + (xy 80.280462 108.679559) + (xy 80.2779 108.660099) + (xy 80.2779 108.023035) + (xy 80.295493 107.974697) + (xy 80.299926 107.969861) + (xy 80.336536 107.933251) + (xy 80.380016 107.889771) + (xy 80.437957 107.776055) + (xy 80.457922 107.65) + (xy 80.437957 107.523945) + (xy 80.380016 107.410229) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.719984 107.889771) + (xy 79.800074 107.969861) + (xy 79.821814 108.016481) + (xy 79.8221 108.023035) + (xy 79.8221 108.574452) + (xy 79.804507 108.62279) + (xy 79.800074 108.627626) + (xy 79.648126 108.779574) + (xy 79.601506 108.801314) + (xy 79.594952 108.8016) + (xy 71.556536 108.8016) + (xy 71.508198 108.784007) + (xy 71.503362 108.779575) + (xy 71.472228 108.748441) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029499) + (xy 70.775578 109.0295) + (xy 59.7909 109.0295) + (xy 59.7909 108.1405) + (xy 69.886578 108.1405) + (xy 69.906543 108.266556) + (xy 69.951374 108.354541) + (xy 69.964484 108.380271) + (xy 70.054729 108.470516) + (xy 70.168443 108.528456) + (xy 70.168445 108.528457) + (xy 70.2945 108.548422) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.614362 108.390425) + (xy 70.660982 108.368686) + (xy 70.667536 108.3684) + (xy 72.191564 108.3684) + (xy 72.1955 108.368503) + (xy 72.235947 108.370623) + (xy 72.235947 108.370622) + (xy 72.235948 108.370623) + (xy 72.258903 108.36181) + (xy 72.270222 108.358458) + (xy 72.294261 108.353349) + (xy 72.29426 108.353349) + (xy 72.294266 108.353348) + (xy 72.301174 108.348328) + (xy 72.318421 108.338964) + (xy 72.326396 108.335903) + (xy 72.343789 108.318509) + (xy 72.352748 108.310857) + (xy 72.372646 108.296401) + (xy 72.376915 108.289005) + (xy 72.388862 108.273435) + (xy 77.11499 103.547307) + (xy 77.161609 103.525568) + (xy 77.179925 103.526207) + (xy 77.216 103.531922) + (xy 77.342055 103.511957) + (xy 77.455771 103.454016) + (xy 77.546016 103.363771) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.14487 103.341958) + (xy 78.155984 103.363771) + (xy 78.246229 103.454016) + (xy 78.359943 103.511956) + (xy 78.359945 103.511957) + (xy 78.486 103.531922) + (xy 78.522071 103.526208) + (xy 78.572564 103.536022) + (xy 78.587009 103.547308) + (xy 78.850074 103.810373) + (xy 78.871814 103.856993) + (xy 78.8721 103.863547) + (xy 78.8721 107.726964) + (xy 78.854507 107.775302) + (xy 78.850075 107.780138) + (xy 78.769983 107.86023) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.75991 108.319999) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.973943 108.487956) + (xy 78.973945 108.487957) + (xy 79.1 108.507922) + (xy 79.226055 108.487957) + (xy 79.339771 108.430016) + (xy 79.430016 108.339771) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.487528 107.973104) + (xy 79.461787 107.922584) + (xy 79.430016 107.860229) + (xy 79.349925 107.780138) + (xy 79.328186 107.733518) + (xy 79.3279 107.726964) + (xy 79.3279 105.6005) + (xy 80.618078 105.6005) + (xy 80.638043 105.726556) + (xy 80.690813 105.830123) + (xy 80.695984 105.840271) + (xy 80.786229 105.930516) + (xy 80.899943 105.988456) + (xy 80.899945 105.988457) + (xy 81.026 106.008422) + (xy 81.152055 105.988457) + (xy 81.265771 105.930516) + (xy 81.356016 105.840271) + (xy 81.413957 105.726555) + (xy 81.433922 105.6005) + (xy 81.413957 105.474445) + (xy 81.356016 105.360729) + (xy 81.265771 105.270484) + (xy 81.152055 105.212543) + (xy 81.152057 105.212543) + (xy 81.072862 105.2) + (xy 82.242078 105.2) + (xy 82.262043 105.326056) + (xy 82.285358 105.371814) + (xy 82.319984 105.439771) + (xy 82.410229 105.530016) + (xy 82.523943 105.587956) + (xy 82.523945 105.587957) + (xy 82.65 105.607922) + (xy 82.776055 105.587957) + (xy 82.889771 105.530016) + (xy 82.980016 105.439771) + (xy 83.037957 105.326055) + (xy 83.057922 105.2) + (xy 83.056746 105.192578) + (xy 83.047968 105.137151) + (xy 83.037957 105.073945) + (xy 82.980016 104.960229) + (xy 82.889771 104.869984) + (xy 82.776055 104.812043) + (xy 82.776057 104.812043) + (xy 82.65 104.792078) + (xy 82.523943 104.812043) + (xy 82.410228 104.869984) + (xy 82.319984 104.960228) + (xy 82.262043 105.073943) + (xy 82.242078 105.199999) + (xy 82.242078 105.2) + (xy 81.072862 105.2) + (xy 81.026 105.192578) + (xy 80.899943 105.212543) + (xy 80.786228 105.270484) + (xy 80.695984 105.360728) + (xy 80.638043 105.474443) + (xy 80.618078 105.600499) + (xy 80.618078 105.6005) + (xy 79.3279 105.6005) + (xy 79.3279 104.35) + (xy 81.392078 104.35) + (xy 81.412043 104.476056) + (xy 81.460133 104.570438) + (xy 81.469984 104.589771) + (xy 81.560229 104.680016) + (xy 81.673943 104.737956) + (xy 81.673945 104.737957) + (xy 81.8 104.757922) + (xy 81.926055 104.737957) + (xy 82.039771 104.680016) + (xy 82.130016 104.589771) + (xy 82.187957 104.476055) + (xy 82.207922 104.35) + (xy 82.187957 104.223945) + (xy 82.130016 104.110229) + (xy 82.039771 104.019984) + (xy 81.926055 103.962043) + (xy 81.926057 103.962043) + (xy 81.8 103.942078) + (xy 81.673943 103.962043) + (xy 81.560228 104.019984) + (xy 81.469984 104.110228) + (xy 81.412043 104.223943) + (xy 81.392078 104.349999) + (xy 81.392078 104.35) + (xy 79.3279 104.35) + (xy 79.3279 103.745928) + (xy 79.328003 103.741991) + (xy 79.330123 103.701551) + (xy 79.32131 103.678595) + (xy 79.31796 103.667288) + (xy 79.312848 103.643234) + (xy 79.30783 103.636327) + (xy 79.298463 103.619075) + (xy 79.295403 103.611104) + (xy 79.295402 103.611102) + (xy 79.278018 103.593718) + (xy 79.270355 103.584747) + (xy 79.255901 103.564854) + (xy 79.248507 103.560585) + (xy 79.232934 103.548635) + (xy 78.909308 103.225008) + (xy 78.887569 103.178388) + (xy 78.888209 103.16007) + (xy 78.893922 103.124) + (xy 78.893922 103.123999) + (xy 78.886883 103.079555) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 76.813791 103.16007) + (xy 76.803976 103.210565) + (xy 76.792691 103.225008) + (xy 72.127126 107.890574) + (xy 72.080506 107.912314) + (xy 72.073952 107.9126) + (xy 70.667536 107.9126) + (xy 70.619198 107.895007) + (xy 70.614362 107.890575) + (xy 70.584016 107.860229) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140499) + (xy 69.886578 108.1405) + (xy 59.7909 108.1405) + (xy 59.7909 106.934) + (xy 69.632578 106.934) + (xy 69.652543 107.060056) + (xy 69.703584 107.160229) + (xy 69.710484 107.173771) + (xy 69.800729 107.264016) + (xy 69.914443 107.321956) + (xy 69.914445 107.321957) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.360362 107.183925) + (xy 70.406982 107.162186) + (xy 70.413536 107.1619) + (xy 72.001064 107.1619) + (xy 72.005 107.162003) + (xy 72.045447 107.164123) + (xy 72.045447 107.164122) + (xy 72.045448 107.164123) + (xy 72.068403 107.15531) + (xy 72.079722 107.151958) + (xy 72.103761 107.146849) + (xy 72.10376 107.146849) + (xy 72.103766 107.146848) + (xy 72.110674 107.141828) + (xy 72.127921 107.132464) + (xy 72.135896 107.129403) + (xy 72.153289 107.112009) + (xy 72.162248 107.104357) + (xy 72.182146 107.089901) + (xy 72.186415 107.082505) + (xy 72.198362 107.066935) + (xy 81.245047 98.02025) + (xy 81.247865 98.017575) + (xy 81.276185 97.992078) + (xy 81.277993 97.99045) + (xy 81.277993 97.990449) + (xy 81.277994 97.990449) + (xy 81.287996 97.96798) + (xy 81.293623 97.957617) + (xy 81.307016 97.936997) + (xy 81.30835 97.928572) + (xy 81.31393 97.909734) + (xy 81.3174 97.901942) + (xy 81.3174 97.877346) + (xy 81.318326 97.865581) + (xy 81.322171 97.841306) + (xy 81.321274 97.837957) + (xy 81.319962 97.833059) + (xy 81.3174 97.813599) + (xy 81.3174 96.067535) + (xy 81.334993 96.019197) + (xy 81.339426 96.014361) + (xy 81.377732 95.976055) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.497422 95.6945) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.759484 95.934271) + (xy 80.839574 96.014361) + (xy 80.861314 96.060981) + (xy 80.8616 96.067535) + (xy 80.8616 97.727952) + (xy 80.844007 97.77629) + (xy 80.839574 97.781126) + (xy 71.936626 106.684074) + (xy 71.890006 106.705814) + (xy 71.883452 106.7061) + (xy 70.413536 106.7061) + (xy 70.365198 106.688507) + (xy 70.360362 106.684075) + (xy 70.327844 106.651557) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 59.7909 106.934) + (xy 59.7909 105.664) + (xy 69.632578 105.664) + (xy 69.652543 105.790056) + (xy 69.697361 105.878016) + (xy 69.710484 105.903771) + (xy 69.800729 105.994016) + (xy 69.914443 106.051956) + (xy 69.914445 106.051957) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.360362 105.913925) + (xy 70.406982 105.892186) + (xy 70.413536 105.8919) + (xy 71.937564 105.8919) + (xy 71.9415 105.892003) + (xy 71.981947 105.894123) + (xy 71.981947 105.894122) + (xy 71.981948 105.894123) + (xy 72.004903 105.88531) + (xy 72.016222 105.881958) + (xy 72.040261 105.876849) + (xy 72.04026 105.876849) + (xy 72.040266 105.876848) + (xy 72.047174 105.871828) + (xy 72.064421 105.862464) + (xy 72.072396 105.859403) + (xy 72.089789 105.842009) + (xy 72.098748 105.834357) + (xy 72.118646 105.819901) + (xy 72.122915 105.812505) + (xy 72.134862 105.796935) + (xy 80.165547 97.76625) + (xy 80.168365 97.763575) + (xy 80.198494 97.736449) + (xy 80.208496 97.71398) + (xy 80.214123 97.703617) + (xy 80.227516 97.682997) + (xy 80.22885 97.674572) + (xy 80.23443 97.655734) + (xy 80.2379 97.647942) + (xy 80.2379 97.623346) + (xy 80.238826 97.611581) + (xy 80.242671 97.587306) + (xy 80.241268 97.582069) + (xy 80.240462 97.579059) + (xy 80.2379 97.559599) + (xy 80.2379 96.067535) + (xy 80.255493 96.019197) + (xy 80.259926 96.014361) + (xy 80.298232 95.976055) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694499) + (xy 79.602078 95.6945) + (xy 79.622043 95.820556) + (xy 79.679984 95.934271) + (xy 79.760074 96.014361) + (xy 79.781814 96.060981) + (xy 79.7821 96.067535) + (xy 79.7821 97.473952) + (xy 79.764507 97.52229) + (xy 79.760074 97.527126) + (xy 71.873126 105.414074) + (xy 71.826506 105.435814) + (xy 71.819952 105.4361) + (xy 70.413536 105.4361) + (xy 70.365198 105.418507) + (xy 70.360362 105.414075) + (xy 70.348913 105.402626) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663999) + (xy 69.632578 105.664) + (xy 59.7909 105.664) + (xy 59.7909 104.394) + (xy 69.632578 104.394) + (xy 69.652543 104.520056) + (xy 69.710056 104.632931) + (xy 69.710484 104.633771) + (xy 69.800729 104.724016) + (xy 69.914443 104.781956) + (xy 69.914445 104.781957) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.360362 104.643925) + (xy 70.406982 104.622186) + (xy 70.413536 104.6219) + (xy 71.810564 104.6219) + (xy 71.8145 104.622003) + (xy 71.854947 104.624123) + (xy 71.854947 104.624122) + (xy 71.854948 104.624123) + (xy 71.877903 104.61531) + (xy 71.889222 104.611958) + (xy 71.913261 104.606849) + (xy 71.91326 104.606849) + (xy 71.913266 104.606848) + (xy 71.920174 104.601828) + (xy 71.937421 104.592464) + (xy 71.945396 104.589403) + (xy 71.962789 104.572009) + (xy 71.971748 104.564357) + (xy 71.991646 104.549901) + (xy 71.995915 104.542505) + (xy 72.007862 104.526935) + (xy 79.276547 97.25825) + (xy 79.279365 97.255575) + (xy 79.309494 97.228449) + (xy 79.319496 97.205981) + (xy 79.325121 97.195621) + (xy 79.338516 97.174996) + (xy 79.339851 97.166561) + (xy 79.345424 97.147748) + (xy 79.3489 97.139942) + (xy 79.3489 97.115352) + (xy 79.349826 97.103586) + (xy 79.351388 97.093725) + (xy 79.353672 97.079306) + (xy 79.35146 97.071053) + (xy 79.3489 97.051595) + (xy 79.3489 95.248548) + (xy 79.366493 95.20021) + (xy 79.370926 95.195373) + (xy 79.510875 95.055425) + (xy 79.557495 95.033686) + (xy 79.564049 95.0334) + (xy 81.408453 95.0334) + (xy 81.456791 95.050993) + (xy 81.461627 95.055426) + (xy 81.650074 95.243873) + (xy 81.671814 95.290493) + (xy 81.6721 95.297047) + (xy 81.6721 98.224451) + (xy 81.654507 98.272789) + (xy 81.650074 98.277625) + (xy 79.600456 100.327242) + (xy 79.597602 100.329952) + (xy 79.567504 100.357052) + (xy 79.557507 100.379509) + (xy 79.551877 100.389878) + (xy 79.538485 100.410501) + (xy 79.538483 100.410506) + (xy 79.537148 100.418935) + (xy 79.531574 100.437754) + (xy 79.5281 100.445557) + (xy 79.5281 100.470152) + (xy 79.527174 100.481916) + (xy 79.523329 100.506191) + (xy 79.523329 100.506194) + (xy 79.525538 100.514438) + (xy 79.5281 100.5339) + (xy 79.5281 102.750964) + (xy 79.510507 102.799302) + (xy 79.506075 102.804138) + (xy 79.425983 102.88423) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.41487 103.341958) + (xy 79.425984 103.363771) + (xy 79.516229 103.454016) + (xy 79.629943 103.511956) + (xy 79.629945 103.511957) + (xy 79.756 103.531922) + (xy 79.882055 103.511957) + (xy 79.995771 103.454016) + (xy 80.086016 103.363771) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 80.005925 102.804138) + (xy 79.984186 102.757518) + (xy 79.9839 102.750964) + (xy 79.9839 100.619547) + (xy 80.001493 100.571209) + (xy 80.005926 100.566373) + (xy 81.030697 99.541602) + (xy 82.055557 98.516741) + (xy 82.058375 98.514066) + (xy 82.088494 98.486949) + (xy 82.098496 98.46448) + (xy 82.104124 98.454115) + (xy 82.117516 98.433496) + (xy 82.11885 98.425071) + (xy 82.12443 98.406234) + (xy 82.127206 98.4) + (xy 82.1279 98.398442) + (xy 82.1279 98.373846) + (xy 82.128826 98.362081) + (xy 82.132671 98.337806) + (xy 82.130584 98.330016) + (xy 82.130462 98.329559) + (xy 82.1279 98.310099) + (xy 82.1279 97.612194) + (xy 82.367329 97.612194) + (xy 82.369527 97.620399) + (xy 82.369538 97.620438) + (xy 82.3721 97.6399) + (xy 82.3721 99.092062) + (xy 82.371997 99.095998) + (xy 82.369876 99.136448) + (xy 82.378688 99.159402) + (xy 82.382039 99.170715) + (xy 82.387151 99.194763) + (xy 82.387152 99.194766) + (xy 82.392169 99.201671) + (xy 82.401536 99.218922) + (xy 82.404596 99.226895) + (xy 82.404597 99.226896) + (xy 82.421985 99.244284) + (xy 82.429644 99.253252) + (xy 82.444096 99.273143) + (xy 82.444098 99.273145) + (xy 82.444099 99.273146) + (xy 82.450712 99.276964) + (xy 82.451493 99.277415) + (xy 82.467065 99.289365) + (xy 82.52669 99.34899) + (xy 82.54843 99.39561) + (xy 82.54779 99.413926) + (xy 82.542078 99.449996) + (xy 82.542078 99.450001) + (xy 82.562043 99.576056) + (xy 82.602062 99.654597) + (xy 82.619984 99.689771) + (xy 82.710229 99.780016) + (xy 82.823943 99.837956) + (xy 82.823945 99.837957) + (xy 82.95 99.857922) + (xy 83.076055 99.837957) + (xy 83.189771 99.780016) + (xy 83.280016 99.689771) + (xy 83.337957 99.576055) + (xy 83.357922 99.45) + (xy 83.357921 99.449996) + (xy 83.350003 99.399999) + (xy 83.337957 99.323945) + (xy 83.280016 99.210229) + (xy 83.189771 99.119984) + (xy 83.076055 99.062043) + (xy 83.076057 99.062043) + (xy 82.950001 99.042078) + (xy 82.949997 99.042078) + (xy 82.914862 99.047642) + (xy 82.864368 99.037826) + (xy 82.831996 98.997849) + (xy 82.8279 98.973368) + (xy 82.8279 98.6) + (xy 83.092078 98.6) + (xy 83.112043 98.726056) + (xy 83.149682 98.799926) + (xy 83.169984 98.839771) + (xy 83.260229 98.930016) + (xy 83.373943 98.987956) + (xy 83.373945 98.987957) + (xy 83.5 99.007922) + (xy 83.626055 98.987957) + (xy 83.739771 98.930016) + (xy 83.830016 98.839771) + (xy 83.887957 98.726055) + (xy 83.907922 98.6) + (xy 83.887957 98.473945) + (xy 83.830016 98.360229) + (xy 83.739771 98.269984) + (xy 83.626055 98.212043) + (xy 83.626057 98.212043) + (xy 83.5 98.192078) + (xy 83.373943 98.212043) + (xy 83.260228 98.269984) + (xy 83.169984 98.360228) + (xy 83.112043 98.473943) + (xy 83.092078 98.599999) + (xy 83.092078 98.6) + (xy 82.8279 98.6) + (xy 82.8279 97.725547) + (xy 82.845493 97.677209) + (xy 82.849926 97.672373) + (xy 83.622374 96.899926) + (xy 83.668994 96.878186) + (xy 83.675548 96.8779) + (xy 85.274453 96.8779) + (xy 85.322791 96.895493) + (xy 85.327627 96.899926) + (xy 85.983231 97.55553) + (xy 85.985942 97.558386) + (xy 86.01253 97.587916) + (xy 86.013051 97.588494) + (xy 86.035516 97.598495) + (xy 86.045887 97.604127) + (xy 86.066502 97.617516) + (xy 86.074928 97.61885) + (xy 86.093759 97.624428) + (xy 86.101553 97.627898) + (xy 86.101555 97.627898) + (xy 86.101558 97.6279) + (xy 86.126152 97.6279) + (xy 86.137915 97.628825) + (xy 86.162194 97.632671) + (xy 86.167253 97.631315) + (xy 86.17044 97.630462) + (xy 86.189901 97.6279) + (xy 88.076964 97.6279) + (xy 88.125302 97.645493) + (xy 88.130138 97.649925) + (xy 88.210229 97.730016) + (xy 88.323943 97.787956) + (xy 88.323945 97.787957) + (xy 88.45 97.807922) + (xy 88.576055 97.787957) + (xy 88.689771 97.730016) + (xy 88.780016 97.639771) + (xy 88.837957 97.526055) + (xy 88.857922 97.4) + (xy 88.856014 97.387956) + (xy 88.854038 97.375478) + (xy 88.837957 97.273945) + (xy 88.780016 97.160229) + (xy 88.689771 97.069984) + (xy 88.576055 97.012043) + (xy 88.576057 97.012043) + (xy 88.45 96.992078) + (xy 88.323943 97.012043) + (xy 88.21023 97.069983) + (xy 88.130138 97.150075) + (xy 88.083518 97.171814) + (xy 88.076964 97.1721) + (xy 86.275547 97.1721) + (xy 86.227209 97.154507) + (xy 86.222373 97.150074) + (xy 85.566758 96.494458) + (xy 85.564047 96.491602) + (xy 85.536949 96.461506) + (xy 85.536945 96.461503) + (xy 85.514486 96.451504) + (xy 85.504116 96.445874) + (xy 85.483496 96.432483) + (xy 85.475064 96.431148) + (xy 85.456243 96.425573) + (xy 85.448442 96.4221) + (xy 85.423848 96.4221) + (xy 85.412084 96.421174) + (xy 85.387808 96.417329) + (xy 85.387804 96.417329) + (xy 85.37956 96.419538) + (xy 85.360099 96.4221) + (xy 83.557936 96.4221) + (xy 83.554 96.421997) + (xy 83.552192 96.421902) + (xy 83.513551 96.419876) + (xy 83.51355 96.419876) + (xy 83.490597 96.428688) + (xy 83.479286 96.432039) + (xy 83.455235 96.437151) + (xy 83.455229 96.437154) + (xy 83.448324 96.442171) + (xy 83.431085 96.451532) + (xy 83.423104 96.454596) + (xy 83.405712 96.471987) + (xy 83.396746 96.479645) + (xy 83.376854 96.494098) + (xy 83.376852 96.494101) + (xy 83.372583 96.501495) + (xy 83.360634 96.517065) + (xy 82.444456 97.433242) + (xy 82.441602 97.435952) + (xy 82.411504 97.463052) + (xy 82.401507 97.485509) + (xy 82.395877 97.495878) + (xy 82.382485 97.516501) + (xy 82.382483 97.516506) + (xy 82.381148 97.524935) + (xy 82.375574 97.543754) + (xy 82.3721 97.551557) + (xy 82.3721 97.576152) + (xy 82.371174 97.587916) + (xy 82.367353 97.612043) + (xy 82.367329 97.612194) + (xy 82.1279 97.612194) + (xy 82.1279 95.6) + (xy 88.592078 95.6) + (xy 88.612043 95.726056) + (xy 88.661919 95.823943) + (xy 88.669984 95.839771) + (xy 88.760229 95.930016) + (xy 88.873943 95.987956) + (xy 88.873945 95.987957) + (xy 89 96.007922) + (xy 89.126055 95.987957) + (xy 89.239771 95.930016) + (xy 89.330016 95.839771) + (xy 89.387957 95.726055) + (xy 89.407922 95.6) + (xy 89.402208 95.563927) + (xy 89.412022 95.513433) + (xy 89.423304 95.498993) + (xy 89.528177 95.39412) + (xy 89.574796 95.372381) + (xy 89.624483 95.385695) + (xy 89.653988 95.427832) + (xy 89.655624 95.43553) + (xy 89.662043 95.476056) + (xy 89.710834 95.571814) + (xy 89.719984 95.589771) + (xy 89.810229 95.680016) + (xy 89.923943 95.737956) + (xy 89.923945 95.737957) + (xy 90.05 95.757922) + (xy 90.176055 95.737957) + (xy 90.289771 95.680016) + (xy 90.380016 95.589771) + (xy 90.437957 95.476055) + (xy 90.457922 95.35) + (xy 90.437957 95.223945) + (xy 90.380016 95.110229) + (xy 90.299925 95.030138) + (xy 90.278186 94.983518) + (xy 90.2779 94.976964) + (xy 90.2779 94.85) + (xy 90.592078 94.85) + (xy 90.612043 94.976056) + (xy 90.649719 95.049999) + (xy 90.669984 95.089771) + (xy 90.760229 95.180016) + (xy 90.873943 95.237956) + (xy 90.873945 95.237957) + (xy 91 95.257922) + (xy 91.050018 95.25) + (xy 95.542078 95.25) + (xy 95.562043 95.376056) + (xy 95.598424 95.447457) + (xy 95.619984 95.489771) + (xy 95.710229 95.580016) + (xy 95.823943 95.637956) + (xy 95.823945 95.637957) + (xy 95.95 95.657922) + (xy 96.076055 95.637957) + (xy 96.11276 95.619255) + (xy 96.163816 95.612986) + (xy 96.206957 95.641002) + (xy 96.2221 95.686259) + (xy 96.2221 95.692062) + (xy 96.221997 95.695998) + (xy 96.219876 95.736448) + (xy 96.228688 95.759402) + (xy 96.232039 95.770715) + (xy 96.237151 95.794763) + (xy 96.237152 95.794766) + (xy 96.242169 95.801671) + (xy 96.251536 95.818922) + (xy 96.254596 95.826895) + (xy 96.254597 95.826896) + (xy 96.271984 95.844283) + (xy 96.279643 95.853251) + (xy 96.284123 95.859417) + (xy 96.298303 95.908864) + (xy 96.29756 95.915382) + (xy 96.292078 95.949997) + (xy 96.292078 95.950001) + (xy 96.312043 96.076056) + (xy 96.344508 96.139771) + (xy 96.369984 96.189771) + (xy 96.460229 96.280016) + (xy 96.573943 96.337956) + (xy 96.573945 96.337957) + (xy 96.7 96.357922) + (xy 96.826055 96.337957) + (xy 96.939771 96.280016) + (xy 97.030016 96.189771) + (xy 97.087957 96.076055) + (xy 97.107922 95.95) + (xy 97.087957 95.823945) + (xy 97.030016 95.710229) + (xy 96.939771 95.619984) + (xy 96.826055 95.562043) + (xy 96.826057 95.562043) + (xy 96.741335 95.548624) + (xy 96.696345 95.523685) + (xy 96.677911 95.475662) + (xy 96.6779 95.47435) + (xy 96.6779 95.436259) + (xy 96.695493 95.387921) + (xy 96.740042 95.362201) + (xy 96.78724 95.369255) + (xy 96.823943 95.387956) + (xy 96.823945 95.387957) + (xy 96.95 95.407922) + (xy 97.076055 95.387957) + (xy 97.189771 95.330016) + (xy 97.280016 95.239771) + (xy 97.337957 95.126055) + (xy 97.357922 95) + (xy 97.352208 94.963928) + (xy 97.362022 94.913435) + (xy 97.373304 94.898993) + (xy 97.414504 94.857793) + (xy 97.461125 94.836055) + (xy 97.510812 94.849369) + (xy 97.540316 94.891507) + (xy 97.541952 94.899205) + (xy 97.562043 95.026056) + (xy 97.603067 95.10657) + (xy 97.619984 95.139771) + (xy 97.710229 95.230016) + (xy 97.823943 95.287956) + (xy 97.823945 95.287957) + (xy 97.95 95.307922) + (xy 98.076055 95.287957) + (xy 98.189771 95.230016) + (xy 98.280016 95.139771) + (xy 98.337957 95.026055) + (xy 98.357922 94.9) + (xy 98.337957 94.773945) + (xy 98.319255 94.73724) + (xy 98.312986 94.686184) + (xy 98.341002 94.643043) + (xy 98.386259 94.6279) + (xy 99.213741 94.6279) + (xy 99.262079 94.645493) + (xy 99.287799 94.690042) + (xy 99.280745 94.73724) + (xy 99.262043 94.773943) + (xy 99.242078 94.899999) + (xy 99.242078 94.9) + (xy 99.262043 95.026056) + (xy 99.303067 95.10657) + (xy 99.319984 95.139771) + (xy 99.410229 95.230016) + (xy 99.523945 95.287957) + (xy 99.523949 95.287957) + (xy 99.529569 95.289784) + (xy 99.528925 95.291764) + (xy 99.56629 95.312474) + (xy 99.584726 95.360496) + (xy 99.567981 95.409134) + (xy 99.562713 95.414986) + (xy 99.427626 95.550074) + (xy 99.381005 95.571814) + (xy 99.374451 95.5721) + (xy 98.957929 95.5721) + (xy 98.953992 95.571997) + (xy 98.913551 95.569876) + (xy 98.890597 95.578688) + (xy 98.879286 95.582039) + (xy 98.855235 95.587151) + (xy 98.855229 95.587154) + (xy 98.848324 95.592171) + (xy 98.831085 95.601532) + (xy 98.823104 95.604596) + (xy 98.805712 95.621987) + (xy 98.796746 95.629645) + (xy 98.776852 95.6441) + (xy 98.772581 95.651497) + (xy 98.760634 95.667064) + (xy 98.390291 96.037407) + (xy 98.343671 96.059147) + (xy 98.302978 96.051237) + (xy 98.226055 96.012043) + (xy 98.226057 96.012043) + (xy 98.1 95.992078) + (xy 97.973943 96.012043) + (xy 97.860228 96.069984) + (xy 97.769984 96.160228) + (xy 97.712043 96.273943) + (xy 97.692078 96.399999) + (xy 97.692078 96.4) + (xy 97.712043 96.526056) + (xy 97.750744 96.602011) + (xy 97.769984 96.639771) + (xy 97.860229 96.730016) + (xy 97.973943 96.787956) + (xy 97.973945 96.787957) + (xy 98.1 96.807922) + (xy 98.226055 96.787957) + (xy 98.339771 96.730016) + (xy 98.430016 96.639771) + (xy 98.433614 96.632709) + (xy 98.471228 96.597627) + (xy 98.473626 96.596658) + (xy 98.476896 96.595403) + (xy 98.494289 96.578009) + (xy 98.503248 96.570357) + (xy 98.523146 96.555901) + (xy 98.527415 96.548505) + (xy 98.539362 96.532935) + (xy 98.649768 96.422529) + (xy 98.696387 96.40079) + (xy 98.746074 96.414104) + (xy 98.769945 96.441564) + (xy 98.804848 96.510064) + (xy 98.819984 96.539771) + (xy 98.910229 96.630016) + (xy 99.023943 96.687956) + (xy 99.023945 96.687957) + (xy 99.15 96.707922) + (xy 99.276055 96.687957) + (xy 99.389771 96.630016) + (xy 99.480016 96.539771) + (xy 99.480016 96.53977) + (xy 99.480367 96.53942) + (xy 99.506596 96.522387) + (xy 99.50942 96.521303) + (xy 99.520722 96.517958) + (xy 99.544761 96.512849) + (xy 99.54476 96.512849) + (xy 99.544766 96.512848) + (xy 99.551674 96.507828) + (xy 99.568921 96.498464) + (xy 99.576896 96.495403) + (xy 99.594289 96.478009) + (xy 99.603248 96.470357) + (xy 99.623146 96.455901) + (xy 99.627415 96.448505) + (xy 99.639362 96.432935) + (xy 100.049768 96.022529) + (xy 100.096387 96.00079) + (xy 100.146074 96.014104) + (xy 100.169945 96.041564) + (xy 100.200179 96.100901) + (xy 100.219984 96.139771) + (xy 100.310229 96.230016) + (xy 100.423943 96.287956) + (xy 100.423945 96.287957) + (xy 100.55 96.307922) + (xy 100.676055 96.287957) + (xy 100.789771 96.230016) + (xy 100.880016 96.139771) + (xy 100.937957 96.026055) + (xy 100.957922 95.9) + (xy 100.958848 95.894154) + (xy 100.96105 95.894502) + (xy 100.975515 95.854762) + (xy 101.020064 95.829042) + (xy 101.033122 95.8279) + (xy 102.224452 95.8279) + (xy 102.27279 95.845493) + (xy 102.277625 95.849924) + (xy 102.403755 95.976055) + (xy 102.48325 96.05555) + (xy 102.485959 96.058405) + (xy 102.506237 96.080926) + (xy 102.525507 96.128621) + (xy 102.517357 96.165385) + (xy 102.462043 96.273943) + (xy 102.442078 96.399999) + (xy 102.442078 96.4) + (xy 102.462043 96.526056) + (xy 102.480745 96.56276) + (xy 102.487014 96.613816) + (xy 102.458998 96.656957) + (xy 102.413741 96.6721) + (xy 100.923036 96.6721) + (xy 100.874698 96.654507) + (xy 100.869862 96.650075) + (xy 100.830721 96.610934) + (xy 100.789771 96.569984) + (xy 100.676055 96.512043) + (xy 100.676057 96.512043) + (xy 100.55 96.492078) + (xy 100.423943 96.512043) + (xy 100.310228 96.569984) + (xy 100.219984 96.660228) + (xy 100.162043 96.773943) + (xy 100.142078 96.899999) + (xy 100.142078 96.9) + (xy 100.162043 97.026056) + (xy 100.209902 97.119984) + (xy 100.219984 97.139771) + (xy 100.310229 97.230016) + (xy 100.423943 97.287956) + (xy 100.423945 97.287957) + (xy 100.55 97.307922) + (xy 100.676055 97.287957) + (xy 100.789771 97.230016) + (xy 100.869862 97.149925) + (xy 100.916482 97.128186) + (xy 100.923036 97.1279) + (xy 102.413741 97.1279) + (xy 102.462079 97.145493) + (xy 102.487799 97.190042) + (xy 102.480745 97.23724) + (xy 102.462043 97.273943) + (xy 102.442078 97.399999) + (xy 102.442078 97.4) + (xy 102.462043 97.526056) + (xy 102.480745 97.56276) + (xy 102.487014 97.613816) + (xy 102.458998 97.656957) + (xy 102.413741 97.6721) + (xy 100.923036 97.6721) + (xy 100.874698 97.654507) + (xy 100.869862 97.650075) + (xy 100.831368 97.611581) + (xy 100.789771 97.569984) + (xy 100.676055 97.512043) + (xy 100.676057 97.512043) + (xy 100.55 97.492078) + (xy 100.423943 97.512043) + (xy 100.310228 97.569984) + (xy 100.219984 97.660228) + (xy 100.162043 97.773943) + (xy 100.142078 97.899999) + (xy 100.142078 97.9) + (xy 100.162043 98.026056) + (xy 100.204848 98.110065) + (xy 100.219984 98.139771) + (xy 100.310229 98.230016) + (xy 100.423943 98.287956) + (xy 100.423945 98.287957) + (xy 100.55 98.307922) + (xy 100.676055 98.287957) + (xy 100.789771 98.230016) + (xy 100.869862 98.149925) + (xy 100.916482 98.128186) + (xy 100.923036 98.1279) + (xy 102.413741 98.1279) + (xy 102.462079 98.145493) + (xy 102.487799 98.190042) + (xy 102.480745 98.23724) + (xy 102.462043 98.273943) + (xy 102.442078 98.399999) + (xy 102.442078 98.4) + (xy 102.462043 98.526056) + (xy 102.480745 98.56276) + (xy 102.487014 98.613816) + (xy 102.458998 98.656957) + (xy 102.413741 98.6721) + (xy 100.923036 98.6721) + (xy 100.874698 98.654507) + (xy 100.869862 98.650075) + (xy 100.833603 98.613816) + (xy 100.789771 98.569984) + (xy 100.676055 98.512043) + (xy 100.676057 98.512043) + (xy 100.55 98.492078) + (xy 100.423943 98.512043) + (xy 100.310228 98.569984) + (xy 100.219984 98.660228) + (xy 100.162043 98.773943) + (xy 100.142078 98.899999) + (xy 100.142078 98.9) + (xy 100.162043 99.026056) + (xy 100.212166 99.124428) + (xy 100.219984 99.139771) + (xy 100.310229 99.230016) + (xy 100.423943 99.287956) + (xy 100.423945 99.287957) + (xy 100.55 99.307922) + (xy 100.676055 99.287957) + (xy 100.789771 99.230016) + (xy 100.869862 99.149925) + (xy 100.916482 99.128186) + (xy 100.923036 99.1279) + (xy 102.413741 99.1279) + (xy 102.462079 99.145493) + (xy 102.487799 99.190042) + (xy 102.480745 99.23724) + (xy 102.462043 99.273943) + (xy 102.442078 99.399999) + (xy 102.442078 99.4) + (xy 102.462043 99.526057) + (xy 102.462043 99.526058) + (xy 102.475649 99.552759) + (xy 102.481919 99.603816) + (xy 102.453903 99.646957) + (xy 102.408646 99.6621) + (xy 100.013036 99.6621) + (xy 99.964698 99.644507) + (xy 99.959862 99.640075) + (xy 99.930015 99.610228) + (xy 99.889771 99.569984) + (xy 99.776055 99.512043) + (xy 99.776057 99.512043) + (xy 99.65 99.492078) + (xy 99.523943 99.512043) + (xy 99.410228 99.569984) + (xy 99.319984 99.660228) + (xy 99.262043 99.773943) + (xy 99.242078 99.899999) + (xy 99.242078 99.9) + (xy 99.262043 100.026056) + (xy 99.309973 100.120123) + (xy 99.319984 100.139771) + (xy 99.410229 100.230016) + (xy 99.523943 100.287956) + (xy 99.523945 100.287957) + (xy 99.65 100.307922) + (xy 99.776055 100.287957) + (xy 99.889771 100.230016) + (xy 99.979862 100.139925) + (xy 100.026482 100.118186) + (xy 100.033036 100.1179) + (xy 103.077064 100.1179) + (xy 103.081 100.118003) + (xy 103.121447 100.120123) + (xy 103.121447 100.120122) + (xy 103.121448 100.120123) + (xy 103.144403 100.11131) + (xy 103.155722 100.107958) + (xy 103.179761 100.102849) + (xy 103.17976 100.102849) + (xy 103.179766 100.102848) + (xy 103.186674 100.097828) + (xy 103.203921 100.088464) + (xy 103.211896 100.085403) + (xy 103.229289 100.068009) + (xy 103.238248 100.060357) + (xy 103.258146 100.045901) + (xy 103.262415 100.038505) + (xy 103.274362 100.022935) + (xy 104.697374 98.599926) + (xy 104.743994 98.578186) + (xy 104.750548 98.5779) + (xy 105.124453 98.5779) + (xy 105.172791 98.595493) + (xy 105.177627 98.599926) + (xy 105.633231 99.05553) + (xy 105.635942 99.058386) + (xy 105.646793 99.070438) + (xy 105.663051 99.088494) + (xy 105.685516 99.098495) + (xy 105.695887 99.104127) + (xy 105.716502 99.117516) + (xy 105.724928 99.11885) + (xy 105.743759 99.124428) + (xy 105.751553 99.127898) + (xy 105.751555 99.127898) + (xy 105.751558 99.1279) + (xy 105.776152 99.1279) + (xy 105.787915 99.128825) + (xy 105.812194 99.132671) + (xy 105.817253 99.131315) + (xy 105.82044 99.130462) + (xy 105.839901 99.1279) + (xy 109.224452 99.1279) + (xy 109.27279 99.145493) + (xy 109.277626 99.149925) + (xy 109.4056 99.2779) + (xy 109.53325 99.40555) + (xy 109.53596 99.408406) + (xy 109.562566 99.437956) + (xy 109.563051 99.438494) + (xy 109.585516 99.448495) + (xy 109.595885 99.454126) + (xy 109.616501 99.467515) + (xy 109.616502 99.467515) + (xy 109.616504 99.467516) + (xy 109.624936 99.468851) + (xy 109.643755 99.474426) + (xy 109.651558 99.4779) + (xy 109.676147 99.4779) + (xy 109.68791 99.478825) + (xy 109.712194 99.482672) + (xy 109.718459 99.480993) + (xy 109.720443 99.480462) + (xy 109.739904 99.4779) + (xy 112.774453 99.4779) + (xy 112.822791 99.495493) + (xy 112.827627 99.499926) + (xy 113.321427 99.993726) + (xy 113.343167 100.040346) + (xy 113.329853 100.090033) + (xy 113.287716 100.119538) + (xy 113.268253 100.1221) + (xy 110.007929 100.1221) + (xy 110.003992 100.121997) + (xy 109.963551 100.119876) + (xy 109.940597 100.128688) + (xy 109.929286 100.132039) + (xy 109.905235 100.137151) + (xy 109.905229 100.137154) + (xy 109.898324 100.142171) + (xy 109.881085 100.151532) + (xy 109.873104 100.154596) + (xy 109.855712 100.171987) + (xy 109.846746 100.179645) + (xy 109.826854 100.194098) + (xy 109.826852 100.194101) + (xy 109.822583 100.201495) + (xy 109.810634 100.217065) + (xy 109.027626 101.000074) + (xy 108.981006 101.021814) + (xy 108.974452 101.0221) + (xy 106.375547 101.0221) + (xy 106.327209 101.004507) + (xy 106.322373 101.000074) + (xy 105.749926 100.427626) + (xy 105.728186 100.381006) + (xy 105.7279 100.374452) + (xy 105.7279 100.307928) + (xy 105.728003 100.303991) + (xy 105.730123 100.263551) + (xy 105.72131 100.240595) + (xy 105.71796 100.229288) + (xy 105.712848 100.205234) + (xy 105.70783 100.198327) + (xy 105.698463 100.181075) + (xy 105.697629 100.178905) + (xy 105.695403 100.173104) + (xy 105.678013 100.155714) + (xy 105.670354 100.146747) + (xy 105.655901 100.126854) + (xy 105.6559 100.126853) + (xy 105.648506 100.122584) + (xy 105.632934 100.110634) + (xy 105.066758 99.544458) + (xy 105.064047 99.541602) + (xy 105.036949 99.511506) + (xy 105.036945 99.511503) + (xy 105.014486 99.501504) + (xy 105.004116 99.495874) + (xy 104.983496 99.482483) + (xy 104.975064 99.481148) + (xy 104.956243 99.475573) + (xy 104.948442 99.4721) + (xy 104.923848 99.4721) + (xy 104.912084 99.471174) + (xy 104.887808 99.467329) + (xy 104.887804 99.467329) + (xy 104.87956 99.469538) + (xy 104.860099 99.4721) + (xy 104.457936 99.4721) + (xy 104.454 99.471997) + (xy 104.452283 99.471907) + (xy 104.413552 99.469876) + (xy 104.390588 99.478691) + (xy 104.379279 99.48204) + (xy 104.355236 99.487151) + (xy 104.355234 99.487151) + (xy 104.348327 99.49217) + (xy 104.331083 99.501532) + (xy 104.323104 99.504595) + (xy 104.30571 99.521989) + (xy 104.29674 99.52965) + (xy 104.276854 99.544098) + (xy 104.276851 99.544102) + (xy 104.272582 99.551495) + (xy 104.260635 99.567063) + (xy 103.227626 100.600074) + (xy 103.181006 100.621814) + (xy 103.174452 100.6221) + (xy 101.057936 100.6221) + (xy 101.054 100.621997) + (xy 101.052283 100.621907) + (xy 101.013552 100.619876) + (xy 100.990588 100.628691) + (xy 100.979279 100.63204) + (xy 100.955236 100.637151) + (xy 100.955234 100.637151) + (xy 100.948327 100.64217) + (xy 100.931083 100.651532) + (xy 100.923104 100.654595) + (xy 100.916474 100.658901) + (xy 100.914666 100.656117) + (xy 100.881005 100.671814) + (xy 100.874451 100.6721) + (xy 99.757936 100.6721) + (xy 99.754 100.671997) + (xy 99.752283 100.671907) + (xy 99.713552 100.669876) + (xy 99.690588 100.678691) + (xy 99.679279 100.68204) + (xy 99.655236 100.687151) + (xy 99.655234 100.687151) + (xy 99.648327 100.69217) + (xy 99.631083 100.701532) + (xy 99.623104 100.704595) + (xy 99.60571 100.721989) + (xy 99.59674 100.72965) + (xy 99.576854 100.744098) + (xy 99.576851 100.744102) + (xy 99.572582 100.751495) + (xy 99.560635 100.767063) + (xy 98.851008 101.47669) + (xy 98.804388 101.49843) + (xy 98.786072 101.49779) + (xy 98.750003 101.492078) + (xy 98.749999 101.492078) + (xy 98.623943 101.512043) + (xy 98.510228 101.569984) + (xy 98.419984 101.660228) + (xy 98.362043 101.773943) + (xy 98.342078 101.899999) + (xy 98.342078 101.9) + (xy 98.362043 102.026056) + (xy 98.397304 102.095259) + (xy 98.419984 102.139771) + (xy 98.510229 102.230016) + (xy 98.623943 102.287956) + (xy 98.623945 102.287957) + (xy 98.75 102.307922) + (xy 98.876055 102.287957) + (xy 98.989771 102.230016) + (xy 99.080016 102.139771) + (xy 99.137957 102.026055) + (xy 99.157922 101.9) + (xy 99.152208 101.863927) + (xy 99.162022 101.813433) + (xy 99.1733 101.798997) + (xy 99.822374 101.149924) + (xy 99.868993 101.128186) + (xy 99.875547 101.1279) + (xy 100.082191 101.1279) + (xy 100.130529 101.145493) + (xy 100.156249 101.190042) + (xy 100.150591 101.234348) + (xy 100.148479 101.238971) + (xy 100.145206 101.24532) + (xy 100.123645 101.282667) + (xy 100.120481 101.296527) + (xy 100.115574 101.311022) + (xy 100.110978 101.321086) + (xy 100.110975 101.321096) + (xy 100.104778 101.364196) + (xy 100.103659 101.370223) + (xy 100.093265 101.415768) + (xy 100.093264 101.415774) + (xy 100.094055 101.426328) + (xy 100.0935 101.442639) + (xy 100.092443 101.449995) + (xy 100.092443 101.449999) + (xy 100.099152 101.496665) + (xy 100.099707 101.501746) + (xy 100.103467 101.551919) + (xy 100.106003 101.558381) + (xy 100.110436 101.575151) + (xy 100.110976 101.578908) + (xy 100.132052 101.625058) + (xy 100.133649 101.628822) + (xy 100.153346 101.679006) + (xy 100.153347 101.679008) + (xy 100.15541 101.681595) + (xy 100.165012 101.697229) + (xy 100.165075 101.697367) + (xy 100.165077 101.697371) + (xy 100.165079 101.697374) + (xy 100.186282 101.721844) + (xy 100.200652 101.738428) + (xy 100.202612 101.740786) + (xy 100.238467 101.785746) + (xy 100.240097 101.787259) + (xy 100.246053 101.792563) + (xy 100.246301 101.792278) + (xy 100.250366 101.795801) + (xy 100.299074 101.827103) + (xy 100.300779 101.828232) + (xy 100.35127 101.862656) + (xy 100.352668 101.863329) + (xy 100.358406 101.865514) + (xy 100.35992 101.866205) + (xy 100.359924 101.866208) + (xy 100.359928 101.866209) + (xy 100.35993 101.86621) + (xy 100.376353 101.871032) + (xy 100.418949 101.883538) + (xy 100.41979 101.883791) + (xy 100.481736 101.9029) + (xy 100.484883 101.9029) + (xy 100.615117 101.9029) + (xy 101.170346 101.9029) + (xy 101.178766 101.903373) + (xy 101.217125 101.907695) + (xy 101.274504 101.896837) + (xy 101.277262 101.89637) + (xy 101.335003 101.887668) + (xy 101.335013 101.887662) + (xy 101.340388 101.886006) + (xy 101.340406 101.886065) + (xy 101.34597 101.884234) + (xy 101.34595 101.884177) + (xy 101.35127 101.882314) + (xy 101.351273 101.882314) + (xy 101.372619 101.871032) + (xy 101.390437 101.861615) + (xy 101.425576 101.8529) + (xy 102.093251 101.8529) + (xy 102.141589 101.870493) + (xy 102.167309 101.915042) + (xy 102.158376 101.9657) + (xy 102.146425 101.981274) + (xy 102.077625 102.050074) + (xy 102.031005 102.071814) + (xy 102.024451 102.0721) + (xy 99.757936 102.0721) + (xy 99.754 102.071997) + (xy 99.752283 102.071907) + (xy 99.713552 102.069876) + (xy 99.690588 102.078691) + (xy 99.679279 102.08204) + (xy 99.655236 102.087151) + (xy 99.648016 102.090366) + (xy 99.647426 102.089042) + (xy 99.606573 102.100749) + (xy 99.600068 102.100007) + (xy 99.550002 102.092078) + (xy 99.549999 102.092078) + (xy 99.423943 102.112043) + (xy 99.310228 102.169984) + (xy 99.219984 102.260228) + (xy 99.162043 102.373943) + (xy 99.142078 102.499999) + (xy 99.142078 102.5) + (xy 99.162043 102.626056) + (xy 99.212995 102.726055) + (xy 99.219984 102.739771) + (xy 99.310229 102.830016) + (xy 99.423943 102.887956) + (xy 99.423945 102.887957) + (xy 99.55 102.907922) + (xy 99.676055 102.887957) + (xy 99.789771 102.830016) + (xy 99.880016 102.739771) + (xy 99.937957 102.626055) + (xy 99.943456 102.591336) + (xy 99.968395 102.546345) + (xy 100.016418 102.527911) + (xy 100.01773 102.5279) + (xy 101.868253 102.5279) + (xy 101.916591 102.545493) + (xy 101.942311 102.590042) + (xy 101.933378 102.6407) + (xy 101.921427 102.656273) + (xy 101.703626 102.874074) + (xy 101.078659 103.49904) + (xy 101.032039 103.52078) + (xy 100.982352 103.507466) + (xy 100.952847 103.465329) + (xy 100.951211 103.45763) + (xy 100.950639 103.454016) + (xy 100.937957 103.373945) + (xy 100.880016 103.260229) + (xy 100.789771 103.169984) + (xy 100.676055 103.112043) + (xy 100.676057 103.112043) + (xy 100.55 103.092078) + (xy 100.423943 103.112043) + (xy 100.310228 103.169984) + (xy 100.219984 103.260228) + (xy 100.162043 103.373943) + (xy 100.142078 103.499999) + (xy 100.142078 103.5) + (xy 100.162043 103.626056) + (xy 100.180745 103.66276) + (xy 100.187014 103.713816) + (xy 100.158998 103.756957) + (xy 100.113741 103.7721) + (xy 99.973036 103.7721) + (xy 99.924698 103.754507) + (xy 99.919862 103.750075) + (xy 99.879849 103.710062) + (xy 99.839771 103.669984) + (xy 99.726055 103.612043) + (xy 99.726057 103.612043) + (xy 99.6 103.592078) + (xy 99.473943 103.612043) + (xy 99.360228 103.669984) + (xy 99.269984 103.760228) + (xy 99.212043 103.873943) + (xy 99.192078 103.999999) + (xy 99.192078 104) + (xy 99.212043 104.126056) + (xy 99.256266 104.212848) + (xy 99.269984 104.239771) + (xy 99.360229 104.330016) + (xy 99.473943 104.387956) + (xy 99.473945 104.387957) + (xy 99.6 104.407922) + (xy 99.726055 104.387957) + (xy 99.839771 104.330016) + (xy 99.919862 104.249925) + (xy 99.966482 104.228186) + (xy 99.973036 104.2279) + (xy 100.063741 104.2279) + (xy 100.112079 104.245493) + (xy 100.137799 104.290042) + (xy 100.130745 104.33724) + (xy 100.112043 104.373943) + (xy 100.092078 104.499999) + (xy 100.092078 104.5) + (xy 100.112043 104.626056) + (xy 100.159946 104.72007) + (xy 100.169984 104.739771) + (xy 100.260229 104.830016) + (xy 100.373943 104.887956) + (xy 100.373945 104.887957) + (xy 100.5 104.907922) + (xy 100.626055 104.887957) + (xy 100.739771 104.830016) + (xy 100.819862 104.749925) + (xy 100.866482 104.728186) + (xy 100.873036 104.7279) + (xy 105.192064 104.7279) + (xy 105.196 104.728003) + (xy 105.236447 104.730123) + (xy 105.236447 104.730122) + (xy 105.236448 104.730123) + (xy 105.259403 104.72131) + (xy 105.270722 104.717958) + (xy 105.294761 104.712849) + (xy 105.29476 104.712849) + (xy 105.294766 104.712848) + (xy 105.301674 104.707828) + (xy 105.318921 104.698464) + (xy 105.326896 104.695403) + (xy 105.344289 104.678009) + (xy 105.353248 104.670357) + (xy 105.373146 104.655901) + (xy 105.377415 104.648505) + (xy 105.389362 104.632935) + (xy 107.622374 102.399926) + (xy 107.668994 102.378186) + (xy 107.675548 102.3779) + (xy 108.018253 102.3779) + (xy 108.066591 102.395493) + (xy 108.092311 102.440042) + (xy 108.083378 102.4907) + (xy 108.071427 102.506273) + (xy 106.639744 103.937956) + (xy 105.227626 105.350074) + (xy 105.181006 105.371814) + (xy 105.174452 105.3721) + (xy 104.575548 105.3721) + (xy 104.52721 105.354507) + (xy 104.522373 105.350074) + (xy 104.454783 105.282484) + (xy 104.366748 105.194448) + (xy 104.364038 105.191592) + (xy 104.336949 105.161506) + (xy 104.336945 105.161503) + (xy 104.314486 105.151504) + (xy 104.304116 105.145874) + (xy 104.283496 105.132483) + (xy 104.275064 105.131148) + (xy 104.256243 105.125573) + (xy 104.248442 105.1221) + (xy 104.223848 105.1221) + (xy 104.212084 105.121174) + (xy 104.187808 105.117329) + (xy 104.187804 105.117329) + (xy 104.17956 105.119538) + (xy 104.160099 105.1221) + (xy 103.457936 105.1221) + (xy 103.454 105.121997) + (xy 103.452192 105.121902) + (xy 103.413551 105.119876) + (xy 103.41355 105.119876) + (xy 103.390597 105.128688) + (xy 103.379286 105.132039) + (xy 103.355235 105.137151) + (xy 103.355229 105.137154) + (xy 103.348324 105.142171) + (xy 103.331085 105.151532) + (xy 103.323104 105.154596) + (xy 103.305712 105.171987) + (xy 103.296746 105.179645) + (xy 103.276852 105.1941) + (xy 103.276851 105.1941) + (xy 103.272583 105.201493) + (xy 103.260637 105.217061) + (xy 103.027626 105.450074) + (xy 102.981005 105.471814) + (xy 102.974451 105.4721) + (xy 102.825548 105.4721) + (xy 102.77721 105.454507) + (xy 102.772373 105.450074) + (xy 102.651949 105.32965) + (xy 102.516748 105.194448) + (xy 102.514038 105.191592) + (xy 102.486949 105.161506) + (xy 102.486945 105.161503) + (xy 102.464486 105.151504) + (xy 102.454116 105.145874) + (xy 102.433496 105.132483) + (xy 102.425064 105.131148) + (xy 102.406243 105.125573) + (xy 102.398442 105.1221) + (xy 102.373848 105.1221) + (xy 102.362084 105.121174) + (xy 102.337808 105.117329) + (xy 102.337804 105.117329) + (xy 102.32956 105.119538) + (xy 102.310099 105.1221) + (xy 99.675547 105.1221) + (xy 99.627209 105.104507) + (xy 99.622373 105.100074) + (xy 97.449926 102.927626) + (xy 97.428186 102.881006) + (xy 97.4279 102.874452) + (xy 97.4279 97.573035) + (xy 97.445493 97.524697) + (xy 97.449926 97.519861) + (xy 97.484278 97.485509) + (xy 97.530016 97.439771) + (xy 97.587957 97.326055) + (xy 97.607922 97.2) + (xy 97.587957 97.073945) + (xy 97.530016 96.960229) + (xy 97.439771 96.869984) + (xy 97.326055 96.812043) + (xy 97.326057 96.812043) + (xy 97.2 96.792078) + (xy 97.073943 96.812043) + (xy 96.960228 96.869984) + (xy 96.869984 96.960228) + (xy 96.812043 97.073943) + (xy 96.792078 97.199999) + (xy 96.792078 97.2) + (xy 96.812043 97.326056) + (xy 96.869984 97.439771) + (xy 96.950074 97.519861) + (xy 96.971814 97.566481) + (xy 96.9721 97.573035) + (xy 96.9721 102.992062) + (xy 96.971997 102.995998) + (xy 96.969876 103.036448) + (xy 96.978688 103.059402) + (xy 96.982039 103.070715) + (xy 96.987151 103.094763) + (xy 96.987152 103.094766) + (xy 96.992169 103.101671) + (xy 97.001536 103.118922) + (xy 97.004596 103.126895) + (xy 97.004597 103.126896) + (xy 97.021985 103.144284) + (xy 97.029644 103.153252) + (xy 97.044097 103.173144) + (xy 97.044099 103.173146) + (xy 97.051495 103.177416) + (xy 97.067066 103.189365) + (xy 99.38324 105.50554) + (xy 99.385951 105.508396) + (xy 99.397381 105.521091) + (xy 99.413051 105.538494) + (xy 99.435516 105.548495) + (xy 99.445883 105.554124) + (xy 99.466504 105.567516) + (xy 99.474934 105.56885) + (xy 99.493758 105.574427) + (xy 99.501555 105.577899) + (xy 99.501556 105.577899) + (xy 99.501558 105.5779) + (xy 99.526152 105.5779) + (xy 99.537915 105.578825) + (xy 99.562194 105.582671) + (xy 99.567253 105.581315) + (xy 99.57044 105.580462) + (xy 99.589901 105.5779) + (xy 102.224452 105.5779) + (xy 102.27279 105.595493) + (xy 102.277626 105.599925) + (xy 102.4056 105.7279) + (xy 102.53325 105.85555) + (xy 102.53596 105.858406) + (xy 102.546712 105.870348) + (xy 102.563051 105.888494) + (xy 102.585516 105.898495) + (xy 102.595885 105.904126) + (xy 102.616501 105.917515) + (xy 102.616502 105.917515) + (xy 102.616504 105.917516) + (xy 102.624936 105.918851) + (xy 102.643755 105.924426) + (xy 102.651558 105.9279) + (xy 102.676147 105.9279) + (xy 102.68791 105.928825) + (xy 102.712194 105.932672) + (xy 102.720241 105.930516) + (xy 102.720443 105.930462) + (xy 102.739904 105.9279) + (xy 103.092064 105.9279) + (xy 103.096 105.928003) + (xy 103.136447 105.930123) + (xy 103.136447 105.930122) + (xy 103.136448 105.930123) + (xy 103.159403 105.92131) + (xy 103.170722 105.917958) + (xy 103.194761 105.912849) + (xy 103.19476 105.912849) + (xy 103.194766 105.912848) + (xy 103.201674 105.907828) + (xy 103.218921 105.898464) + (xy 103.226896 105.895403) + (xy 103.244289 105.878009) + (xy 103.253249 105.870356) + (xy 103.273146 105.855901) + (xy 103.277415 105.848506) + (xy 103.28936 105.832938) + (xy 103.522375 105.599925) + (xy 103.568995 105.578186) + (xy 103.575549 105.5779) + (xy 104.074453 105.5779) + (xy 104.122791 105.595493) + (xy 104.127627 105.599926) + (xy 104.283231 105.75553) + (xy 104.285942 105.758386) + (xy 104.308815 105.78379) + (xy 104.313051 105.788494) + (xy 104.335516 105.798495) + (xy 104.345887 105.804127) + (xy 104.366502 105.817516) + (xy 104.374928 105.81885) + (xy 104.393759 105.824428) + (xy 104.401553 105.827898) + (xy 104.401555 105.827898) + (xy 104.401558 105.8279) + (xy 104.426152 105.8279) + (xy 104.437915 105.828825) + (xy 104.462194 105.832671) + (xy 104.467253 105.831315) + (xy 104.47044 105.830462) + (xy 104.489901 105.8279) + (xy 105.292064 105.8279) + (xy 105.296 105.828003) + (xy 105.336447 105.830123) + (xy 105.336447 105.830122) + (xy 105.336448 105.830123) + (xy 105.359403 105.82131) + (xy 105.370722 105.817958) + (xy 105.394761 105.812849) + (xy 105.39476 105.812849) + (xy 105.394766 105.812848) + (xy 105.401674 105.807828) + (xy 105.418921 105.798464) + (xy 105.426896 105.795403) + (xy 105.444289 105.778009) + (xy 105.453248 105.770357) + (xy 105.473146 105.755901) + (xy 105.477415 105.748505) + (xy 105.489362 105.732935) + (xy 106.372298 104.85) + (xy 108.542078 104.85) + (xy 108.562043 104.976056) + (xy 108.609343 105.068886) + (xy 108.619984 105.089771) + (xy 108.710229 105.180016) + (xy 108.823943 105.237956) + (xy 108.823945 105.237957) + (xy 108.95 105.257922) + (xy 109.076055 105.237957) + (xy 109.189771 105.180016) + (xy 109.280016 105.089771) + (xy 109.337957 104.976055) + (xy 109.357922 104.85) + (xy 109.352208 104.813927) + (xy 109.362022 104.763433) + (xy 109.373304 104.748993) + (xy 109.428177 104.69412) + (xy 109.474796 104.672381) + (xy 109.524483 104.685695) + (xy 109.553988 104.727832) + (xy 109.555624 104.73553) + (xy 109.562043 104.776056) + (xy 109.58134 104.813928) + (xy 109.619984 104.889771) + (xy 109.710229 104.980016) + (xy 109.823943 105.037956) + (xy 109.823945 105.037957) + (xy 109.907629 105.051211) + (xy 109.952619 105.076149) + (xy 109.971054 105.124173) + (xy 109.954306 105.17281) + (xy 109.949039 105.178659) + (xy 109.801008 105.32669) + (xy 109.754388 105.34843) + (xy 109.736072 105.34779) + (xy 109.700003 105.342078) + (xy 109.699999 105.342078) + (xy 109.573943 105.362043) + (xy 109.460228 105.419984) + (xy 109.369984 105.510228) + (xy 109.312043 105.623943) + (xy 109.292078 105.749999) + (xy 109.292078 105.75) + (xy 109.312043 105.876056) + (xy 109.343507 105.937807) + (xy 109.369984 105.989771) + (xy 109.460229 106.080016) + (xy 109.573943 106.137956) + (xy 109.573945 106.137957) + (xy 109.7 106.157922) + (xy 109.826055 106.137957) + (xy 109.939771 106.080016) + (xy 110.030016 105.989771) + (xy 110.087957 105.876055) + (xy 110.107922 105.75) + (xy 110.102208 105.713928) + (xy 110.112022 105.663435) + (xy 110.123308 105.64899) + (xy 110.218173 105.554125) + (xy 110.278043 105.494255) + (xy 110.324662 105.472516) + (xy 110.374349 105.48583) + (xy 110.403854 105.527967) + (xy 110.405651 105.558131) + (xy 110.392443 105.649999) + (xy 110.410977 105.778911) + (xy 110.465078 105.897373) + (xy 110.46508 105.897376) + (xy 110.521147 105.962081) + (xy 110.544001 105.988456) + (xy 110.550366 105.995801) + (xy 110.587997 106.019984) + (xy 110.659924 106.066208) + (xy 110.679384 106.071922) + (xy 110.689001 106.074746) + (xy 110.730423 106.105245) + (xy 110.742551 106.155235) + (xy 110.719708 106.201325) + (xy 110.672583 106.221949) + (xy 110.667814 106.2221) + (xy 110.507936 106.2221) + (xy 110.504 106.221997) + (xy 110.502192 106.221902) + (xy 110.463551 106.219876) + (xy 110.46355 106.219876) + (xy 110.440597 106.228688) + (xy 110.429286 106.232039) + (xy 110.405235 106.237151) + (xy 110.405229 106.237154) + (xy 110.398324 106.242171) + (xy 110.381085 106.251532) + (xy 110.373104 106.254596) + (xy 110.355712 106.271987) + (xy 110.346746 106.279644) + (xy 110.326855 106.294096) + (xy 110.326854 106.294098) + (xy 110.322582 106.301497) + (xy 110.310635 106.317065) + (xy 110.127625 106.500074) + (xy 110.081006 106.521814) + (xy 110.074452 106.5221) + (xy 110.073036 106.5221) + (xy 110.024698 106.504507) + (xy 110.019862 106.500075) + (xy 109.984716 106.464929) + (xy 109.939771 106.419984) + (xy 109.826055 106.362043) + (xy 109.826057 106.362043) + (xy 109.7 106.342078) + (xy 109.573943 106.362043) + (xy 109.460228 106.419984) + (xy 109.369984 106.510228) + (xy 109.312043 106.623943) + (xy 109.292078 106.749999) + (xy 109.292078 106.75) + (xy 109.312043 106.876056) + (xy 109.35887 106.967958) + (xy 109.369984 106.989771) + (xy 109.460229 107.080016) + (xy 109.573943 107.137956) + (xy 109.573945 107.137957) + (xy 109.7 107.157922) + (xy 109.826055 107.137957) + (xy 109.939771 107.080016) + (xy 109.969787 107.05) + (xy 122.842078 107.05) + (xy 122.862043 107.176056) + (xy 122.911119 107.272373) + (xy 122.919984 107.289771) + (xy 123.010229 107.380016) + (xy 123.123943 107.437956) + (xy 123.123945 107.437957) + (xy 123.25 107.457922) + (xy 123.376055 107.437957) + (xy 123.489771 107.380016) + (xy 123.580016 107.289771) + (xy 123.637957 107.176055) + (xy 123.657922 107.05) + (xy 125.142078 107.05) + (xy 125.162043 107.176056) + (xy 125.211119 107.272373) + (xy 125.219984 107.289771) + (xy 125.310229 107.380016) + (xy 125.423943 107.437956) + (xy 125.423945 107.437957) + (xy 125.55 107.457922) + (xy 125.676055 107.437957) + (xy 125.789771 107.380016) + (xy 125.869787 107.3) + (xy 126.992443 107.3) + (xy 127.010977 107.428911) + (xy 127.065078 107.547373) + (xy 127.06508 107.547376) + (xy 127.150366 107.645801) + (xy 127.259926 107.716209) + (xy 127.384883 107.7529) + (xy 127.515117 107.7529) + (xy 127.640073 107.716209) + (xy 127.640073 107.716208) + (xy 127.640076 107.716208) + (xy 127.749636 107.645799) + (xy 127.834921 107.547374) + (xy 127.889023 107.428909) + (xy 127.907557 107.3) + (xy 127.889023 107.171091) + (xy 127.884956 107.162186) + (xy 127.834921 107.052626) + (xy 127.834919 107.052623) + (xy 127.757129 106.962849) + (xy 127.749636 106.954201) + (xy 127.749635 106.9542) + (xy 127.749633 106.954198) + (xy 127.640073 106.88379) + (xy 127.515117 106.8471) + (xy 127.384883 106.8471) + (xy 127.259926 106.88379) + (xy 127.150366 106.954198) + (xy 127.06508 107.052623) + (xy 127.065078 107.052626) + (xy 127.010977 107.171088) + (xy 126.992443 107.3) + (xy 125.869787 107.3) + (xy 125.880016 107.289771) + (xy 125.937957 107.176055) + (xy 125.957922 107.05) + (xy 125.937957 106.923945) + (xy 125.880016 106.810229) + (xy 125.789771 106.719984) + (xy 125.676055 106.662043) + (xy 125.676057 106.662043) + (xy 125.55 106.642078) + (xy 125.423943 106.662043) + (xy 125.310228 106.719984) + (xy 125.219984 106.810228) + (xy 125.162043 106.923943) + (xy 125.142078 107.049999) + (xy 125.142078 107.05) + (xy 123.657922 107.05) + (xy 123.637957 106.923945) + (xy 123.580016 106.810229) + (xy 123.489771 106.719984) + (xy 123.376055 106.662043) + (xy 123.376057 106.662043) + (xy 123.25 106.642078) + (xy 123.123943 106.662043) + (xy 123.010228 106.719984) + (xy 122.919984 106.810228) + (xy 122.862043 106.923943) + (xy 122.842078 107.049999) + (xy 122.842078 107.05) + (xy 109.969787 107.05) + (xy 110.019862 106.999925) + (xy 110.066482 106.978186) + (xy 110.073036 106.9779) + (xy 110.192064 106.9779) + (xy 110.196 106.978003) + (xy 110.236447 106.980123) + (xy 110.236447 106.980122) + (xy 110.236448 106.980123) + (xy 110.259403 106.97131) + (xy 110.270722 106.967958) + (xy 110.294761 106.962849) + (xy 110.29476 106.962849) + (xy 110.294766 106.962848) + (xy 110.301674 106.957828) + (xy 110.318921 106.948464) + (xy 110.326896 106.945403) + (xy 110.344289 106.928009) + (xy 110.353249 106.920356) + (xy 110.373146 106.905901) + (xy 110.377414 106.898507) + (xy 110.389361 106.882936) + (xy 110.572375 106.699925) + (xy 110.618996 106.678186) + (xy 110.625549 106.6779) + (xy 114.488022 106.6779) + (xy 114.522161 106.686095) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.069862 106.599925) + (xy 115.116482 106.578186) + (xy 115.123036 106.5779) + (xy 122.176964 106.5779) + (xy 122.225302 106.595493) + (xy 122.230138 106.599925) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.937957 106.476055) + (xy 122.957922 106.35) + (xy 122.937957 106.223945) + (xy 122.925756 106.2) + (xy 125.992443 106.2) + (xy 126.010977 106.328911) + (xy 126.065078 106.447373) + (xy 126.06508 106.447376) + (xy 126.121988 106.513051) + (xy 126.146135 106.540919) + (xy 126.150366 106.545801) + (xy 126.259926 106.616209) + (xy 126.384883 106.6529) + (xy 126.515117 106.6529) + (xy 126.640073 106.616209) + (xy 126.640073 106.616208) + (xy 126.640076 106.616208) + (xy 126.749636 106.545799) + (xy 126.834921 106.447374) + (xy 126.889023 106.328909) + (xy 126.907557 106.2) + (xy 126.889023 106.071091) + (xy 126.880284 106.051956) + (xy 126.834921 105.952626) + (xy 126.834919 105.952623) + (xy 126.773687 105.881958) + (xy 126.749636 105.854201) + (xy 126.749635 105.8542) + (xy 126.749633 105.854198) + (xy 126.640073 105.78379) + (xy 126.515117 105.7471) + (xy 126.384883 105.7471) + (xy 126.259926 105.78379) + (xy 126.150366 105.854198) + (xy 126.06508 105.952623) + (xy 126.065078 105.952626) + (xy 126.010977 106.071088) + (xy 125.992443 106.2) + (xy 122.925756 106.2) + (xy 122.880016 106.110229) + (xy 122.789771 106.019984) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.31023 106.019983) + (xy 122.230138 106.100075) + (xy 122.183518 106.121814) + (xy 122.176964 106.1221) + (xy 115.123036 106.1221) + (xy 115.074698 106.104507) + (xy 115.069862 106.100075) + (xy 115.034278 106.064491) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.51023 106.019983) + (xy 114.419984 106.110228) + (xy 114.383904 106.18104) + (xy 114.346283 106.216122) + (xy 114.3169 106.2221) + (xy 111.032186 106.2221) + (xy 110.983848 106.204507) + (xy 110.958128 106.159958) + (xy 110.967061 106.1093) + (xy 111.006466 106.076235) + (xy 111.010999 106.074746) + (xy 111.02001 106.0721) + (xy 111.040076 106.066208) + (xy 111.149636 105.995799) + (xy 111.234921 105.897374) + (xy 111.289023 105.778909) + (xy 111.307557 105.65) + (xy 111.289023 105.521091) + (xy 111.285849 105.514141) + (xy 111.28177 105.462863) + (xy 111.311607 105.42096) + (xy 111.361397 105.408039) + (xy 111.407426 105.429725) + (xy 111.483231 105.50553) + (xy 111.485942 105.508386) + (xy 111.497381 105.521091) + (xy 111.513051 105.538494) + (xy 111.535516 105.548495) + (xy 111.545887 105.554127) + (xy 111.566502 105.567516) + (xy 111.574928 105.56885) + (xy 111.593759 105.574428) + (xy 111.601553 105.577898) + (xy 111.601555 105.577898) + (xy 111.601558 105.5779) + (xy 111.626152 105.5779) + (xy 111.637915 105.578825) + (xy 111.662194 105.582671) + (xy 111.667253 105.581315) + (xy 111.67044 105.580462) + (xy 111.689901 105.5779) + (xy 114.376964 105.5779) + (xy 114.425302 105.595493) + (xy 114.430138 105.599925) + (xy 114.510229 105.680016) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.069862 105.599925) + (xy 115.116482 105.578186) + (xy 115.123036 105.5779) + (xy 122.176964 105.5779) + (xy 122.225302 105.595493) + (xy 122.230138 105.599925) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.789771 105.680016) + (xy 122.880016 105.589771) + (xy 122.937957 105.476055) + (xy 122.957922 105.35) + (xy 122.937957 105.223945) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.31023 105.019983) + (xy 122.230138 105.100075) + (xy 122.183518 105.121814) + (xy 122.176964 105.1221) + (xy 115.123036 105.1221) + (xy 115.074698 105.104507) + (xy 115.069862 105.100075) + (xy 115.038673 105.068886) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.51023 105.019983) + (xy 114.430138 105.100075) + (xy 114.383518 105.121814) + (xy 114.376964 105.1221) + (xy 114.324146 105.1221) + (xy 114.275808 105.104507) + (xy 114.250088 105.059958) + (xy 114.259021 105.0093) + (xy 114.285482 104.984188) + (xy 114.28498 104.983497) + (xy 114.289766 104.980018) + (xy 114.289771 104.980016) + (xy 114.380016 104.889771) + (xy 114.437957 104.776055) + (xy 114.457922 104.65) + (xy 114.437957 104.523945) + (xy 114.380016 104.410229) + (xy 114.289771 104.319984) + (xy 114.176055 104.262043) + (xy 114.176057 104.262043) + (xy 114.05 104.242078) + (xy 113.923943 104.262043) + (xy 113.810228 104.319984) + (xy 113.719984 104.410228) + (xy 113.662043 104.523943) + (xy 113.642078 104.649999) + (xy 113.642078 104.65) + (xy 113.662043 104.776056) + (xy 113.719984 104.889771) + (xy 113.810228 104.980015) + (xy 113.81502 104.983497) + (xy 113.814289 104.984502) + (xy 113.845076 105.017517) + (xy 113.847768 105.068886) + (xy 113.816811 105.109968) + (xy 113.775854 105.1221) + (xy 112.024146 105.1221) + (xy 111.975808 105.104507) + (xy 111.950088 105.059958) + (xy 111.959021 105.0093) + (xy 111.985482 104.984188) + (xy 111.98498 104.983497) + (xy 111.989766 104.980018) + (xy 111.989771 104.980016) + (xy 112.080016 104.889771) + (xy 112.137957 104.776055) + (xy 112.157922 104.65) + (xy 112.137957 104.523945) + (xy 112.080016 104.410229) + (xy 111.989771 104.319984) + (xy 111.876055 104.262043) + (xy 111.876057 104.262043) + (xy 111.75 104.242078) + (xy 111.623943 104.262043) + (xy 111.510228 104.319984) + (xy 111.419984 104.410228) + (xy 111.362043 104.523943) + (xy 111.341152 104.655846) + (xy 111.338569 104.655436) + (xy 111.324485 104.694134) + (xy 111.279936 104.719854) + (xy 111.255114 104.72007) + (xy 111.237808 104.717329) + (xy 111.237804 104.717329) + (xy 111.22956 104.719538) + (xy 111.210099 104.7221) + (xy 110.507936 104.7221) + (xy 110.504 104.721997) + (xy 110.502192 104.721902) + (xy 110.463551 104.719876) + (xy 110.46355 104.719876) + (xy 110.460067 104.721214) + (xy 110.408635 104.722108) + (xy 110.368661 104.689734) + (xy 110.359246 104.655782) + (xy 110.358848 104.655846) + (xy 110.358294 104.652348) + (xy 110.357922 104.651007) + (xy 110.357922 104.649999) + (xy 110.351623 104.610228) + (xy 110.337957 104.523945) + (xy 110.280016 104.410229) + (xy 110.189771 104.319984) + (xy 110.076055 104.262043) + (xy 110.076057 104.262043) + (xy 110.04937 104.257816) + (xy 110.035531 104.255624) + (xy 109.990542 104.230687) + (xy 109.972107 104.182663) + (xy 109.988855 104.134026) + (xy 109.994123 104.128176) + (xy 110.022375 104.099925) + (xy 110.068995 104.078186) + (xy 110.075548 104.0779) + (xy 114.376964 104.0779) + (xy 114.425302 104.095493) + (xy 114.430138 104.099925) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.069862 104.099925) + (xy 115.116482 104.078186) + (xy 115.123036 104.0779) + (xy 122.176964 104.0779) + (xy 122.225302 104.095493) + (xy 122.230138 104.099925) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.880016 104.089771) + (xy 122.937957 103.976055) + (xy 122.957922 103.85) + (xy 122.937957 103.723945) + (xy 122.880016 103.610229) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.31023 103.519983) + (xy 122.230138 103.600075) + (xy 122.183518 103.621814) + (xy 122.176964 103.6221) + (xy 115.123036 103.6221) + (xy 115.074698 103.604507) + (xy 115.069862 103.600075) + (xy 115.030372 103.560585) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.51023 103.519983) + (xy 114.430138 103.600075) + (xy 114.383518 103.621814) + (xy 114.376964 103.6221) + (xy 109.957936 103.6221) + (xy 109.954 103.621997) + (xy 109.952283 103.621907) + (xy 109.913552 103.619876) + (xy 109.890588 103.628691) + (xy 109.879279 103.63204) + (xy 109.855236 103.637151) + (xy 109.855234 103.637151) + (xy 109.848327 103.64217) + (xy 109.831083 103.651532) + (xy 109.823104 103.654595) + (xy 109.80571 103.671989) + (xy 109.79674 103.67965) + (xy 109.776854 103.694098) + (xy 109.776851 103.694102) + (xy 109.772582 103.701495) + (xy 109.760635 103.717063) + (xy 109.051008 104.42669) + (xy 109.004388 104.44843) + (xy 108.986072 104.44779) + (xy 108.950003 104.442078) + (xy 108.949999 104.442078) + (xy 108.823943 104.462043) + (xy 108.710228 104.519984) + (xy 108.619984 104.610228) + (xy 108.562043 104.723943) + (xy 108.542078 104.849999) + (xy 108.542078 104.85) + (xy 106.372298 104.85) + (xy 108.1723 103.05) + (xy 122.842078 103.05) + (xy 122.862043 103.176056) + (xy 122.908259 103.26676) + (xy 122.919984 103.289771) + (xy 123.010229 103.380016) + (xy 123.123943 103.437956) + (xy 123.123945 103.437957) + (xy 123.25 103.457922) + (xy 123.376055 103.437957) + (xy 123.489771 103.380016) + (xy 123.580016 103.289771) + (xy 123.637957 103.176055) + (xy 123.657922 103.05) + (xy 125.142078 103.05) + (xy 125.162043 103.176056) + (xy 125.208259 103.26676) + (xy 125.219984 103.289771) + (xy 125.310229 103.380016) + (xy 125.423943 103.437956) + (xy 125.423945 103.437957) + (xy 125.55 103.457922) + (xy 125.676055 103.437957) + (xy 125.789771 103.380016) + (xy 125.869787 103.3) + (xy 126.992443 103.3) + (xy 127.010977 103.428911) + (xy 127.065078 103.547373) + (xy 127.06508 103.547376) + (xy 127.126078 103.617771) + (xy 127.148141 103.643234) + (xy 127.150366 103.645801) + (xy 127.201396 103.678595) + (xy 127.247175 103.708015) + (xy 127.259926 103.716209) + (xy 127.384883 103.7529) + (xy 127.515117 103.7529) + (xy 127.640073 103.716209) + (xy 127.640073 103.716208) + (xy 127.640076 103.716208) + (xy 127.749636 103.645799) + (xy 127.834921 103.547374) + (xy 127.889023 103.428909) + (xy 127.907557 103.3) + (xy 127.889023 103.171091) + (xy 127.888851 103.170715) + (xy 127.834921 103.052626) + (xy 127.834919 103.052623) + (xy 127.749633 102.954198) + (xy 127.640073 102.88379) + (xy 127.515117 102.8471) + (xy 127.384883 102.8471) + (xy 127.259926 102.88379) + (xy 127.150366 102.954198) + (xy 127.06508 103.052623) + (xy 127.065078 103.052626) + (xy 127.010977 103.171088) + (xy 126.992443 103.3) + (xy 125.869787 103.3) + (xy 125.880016 103.289771) + (xy 125.937957 103.176055) + (xy 125.957922 103.05) + (xy 125.957331 103.046271) + (xy 125.951797 103.011331) + (xy 125.937957 102.923945) + (xy 125.880016 102.810229) + (xy 125.789771 102.719984) + (xy 125.676055 102.662043) + (xy 125.676057 102.662043) + (xy 125.55 102.642078) + (xy 125.423943 102.662043) + (xy 125.310228 102.719984) + (xy 125.219984 102.810228) + (xy 125.162043 102.923943) + (xy 125.142078 103.049999) + (xy 125.142078 103.05) + (xy 123.657922 103.05) + (xy 123.657331 103.046271) + (xy 123.651797 103.011331) + (xy 123.637957 102.923945) + (xy 123.580016 102.810229) + (xy 123.489771 102.719984) + (xy 123.376055 102.662043) + (xy 123.376057 102.662043) + (xy 123.25 102.642078) + (xy 123.123943 102.662043) + (xy 123.010228 102.719984) + (xy 122.919984 102.810228) + (xy 122.862043 102.923943) + (xy 122.842078 103.049999) + (xy 122.842078 103.05) + (xy 108.1723 103.05) + (xy 108.522374 102.699926) + (xy 108.568994 102.678186) + (xy 108.575548 102.6779) + (xy 109.692064 102.6779) + (xy 109.696 102.678003) + (xy 109.736447 102.680123) + (xy 109.736447 102.680122) + (xy 109.736448 102.680123) + (xy 109.759403 102.67131) + (xy 109.770722 102.667958) + (xy 109.794761 102.662849) + (xy 109.79476 102.662849) + (xy 109.794766 102.662848) + (xy 109.801674 102.657828) + (xy 109.818921 102.648464) + (xy 109.826896 102.645403) + (xy 109.844289 102.628009) + (xy 109.853248 102.620357) + (xy 109.873146 102.605901) + (xy 109.877415 102.598505) + (xy 109.889362 102.582935) + (xy 110.672373 101.799926) + (xy 110.718993 101.778186) + (xy 110.725547 101.7779) + (xy 113.324453 101.7779) + (xy 113.372791 101.795493) + (xy 113.377627 101.799926) + (xy 113.983231 102.40553) + (xy 113.985942 102.408386) + (xy 114.013051 102.438494) + (xy 114.035516 102.448495) + (xy 114.045887 102.454127) + (xy 114.066502 102.467516) + (xy 114.074928 102.46885) + (xy 114.093759 102.474428) + (xy 114.101553 102.477898) + (xy 114.101555 102.477898) + (xy 114.101558 102.4779) + (xy 114.126152 102.4779) + (xy 114.137915 102.478825) + (xy 114.162194 102.482671) + (xy 114.167253 102.481315) + (xy 114.17044 102.480462) + (xy 114.189901 102.4779) + (xy 122.226964 102.4779) + (xy 122.275302 102.495493) + (xy 122.280138 102.499925) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.930016 102.489771) + (xy 122.987957 102.376055) + (xy 123.007922 102.25) + (xy 123.006801 102.242925) + (xy 123.00191 102.212043) + (xy 122.987957 102.123945) + (xy 122.975756 102.1) + (xy 125.992443 102.1) + (xy 126.010977 102.228911) + (xy 126.065078 102.347373) + (xy 126.06508 102.347376) + (xy 126.106774 102.395493) + (xy 126.144033 102.438493) + (xy 126.150366 102.445801) + (xy 126.259926 102.516209) + (xy 126.384883 102.5529) + (xy 126.515117 102.5529) + (xy 126.640073 102.516209) + (xy 126.640073 102.516208) + (xy 126.640076 102.516208) + (xy 126.745442 102.448494) + (xy 126.749633 102.445801) + (xy 126.749633 102.4458) + (xy 126.749636 102.445799) + (xy 126.834921 102.347374) + (xy 126.889023 102.228909) + (xy 126.907557 102.1) + (xy 126.889023 101.971091) + (xy 126.885224 101.962773) + (xy 126.834921 101.852626) + (xy 126.834919 101.852623) + (xy 126.77697 101.785746) + (xy 126.749636 101.754201) + (xy 126.749635 101.7542) + (xy 126.749633 101.754198) + (xy 126.640073 101.68379) + (xy 126.515117 101.6471) + (xy 126.384883 101.6471) + (xy 126.259926 101.68379) + (xy 126.150366 101.754198) + (xy 126.06508 101.852623) + (xy 126.065078 101.852626) + (xy 126.010977 101.971088) + (xy 125.992443 102.1) + (xy 122.975756 102.1) + (xy 122.930016 102.010229) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.36023 101.919983) + (xy 122.280138 102.000075) + (xy 122.233518 102.021814) + (xy 122.226964 102.0221) + (xy 114.275548 102.0221) + (xy 114.22721 102.004507) + (xy 114.222373 102.000074) + (xy 114.172531 101.950231) + (xy 114.150792 101.90361) + (xy 114.164106 101.853923) + (xy 114.191563 101.830054) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.430054 101.591564) + (xy 114.467673 101.556483) + (xy 114.519043 101.553791) + (xy 114.550231 101.572531) + (xy 114.58324 101.60554) + (xy 114.585951 101.608396) + (xy 114.613051 101.638494) + (xy 114.635516 101.648495) + (xy 114.645885 101.654126) + (xy 114.666501 101.667515) + (xy 114.666502 101.667515) + (xy 114.666504 101.667516) + (xy 114.674936 101.668851) + (xy 114.693755 101.674426) + (xy 114.701558 101.6779) + (xy 114.726147 101.6779) + (xy 114.73791 101.678825) + (xy 114.762194 101.682672) + (xy 114.768459 101.680993) + (xy 114.770443 101.680462) + (xy 114.789904 101.6779) + (xy 122.876964 101.6779) + (xy 122.925302 101.695493) + (xy 122.930138 101.699925) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.580016 101.689771) + (xy 123.637957 101.576055) + (xy 123.657922 101.45) + (xy 123.656035 101.438089) + (xy 123.648864 101.392808) + (xy 123.637957 101.323945) + (xy 123.580016 101.210229) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.01023 101.119983) + (xy 122.930138 101.200075) + (xy 122.883518 101.221814) + (xy 122.876964 101.2221) + (xy 114.875548 101.2221) + (xy 114.82721 101.204507) + (xy 114.822373 101.200074) + (xy 114.628574 101.006274) + (xy 114.606835 100.959654) + (xy 114.620149 100.909967) + (xy 114.662286 100.880462) + (xy 114.681749 100.8779) + (xy 122.226964 100.8779) + (xy 122.275302 100.895493) + (xy 122.280138 100.899925) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.930016 100.889771) + (xy 122.987957 100.776055) + (xy 123.007922 100.65) + (xy 122.987957 100.523945) + (xy 122.930016 100.410229) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.36023 100.319983) + (xy 122.280138 100.400075) + (xy 122.233518 100.421814) + (xy 122.226964 100.4221) + (xy 115.331748 100.4221) + (xy 115.28341 100.404507) + (xy 115.25769 100.359958) + (xy 115.266623 100.3093) + (xy 115.278574 100.293725) + (xy 115.330222 100.242078) + (xy 115.472375 100.099925) + (xy 115.518995 100.078186) + (xy 115.525549 100.0779) + (xy 122.876964 100.0779) + (xy 122.925302 100.095493) + (xy 122.930138 100.099925) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.580016 100.089771) + (xy 123.637957 99.976055) + (xy 123.657922 99.85) + (xy 123.656014 99.837956) + (xy 123.65379 99.82391) + (xy 123.637957 99.723945) + (xy 123.580016 99.610229) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.01023 99.519983) + (xy 122.930138 99.600075) + (xy 122.883518 99.621814) + (xy 122.876964 99.6221) + (xy 115.407936 99.6221) + (xy 115.404 99.621997) + (xy 115.402192 99.621902) + (xy 115.363551 99.619876) + (xy 115.36355 99.619876) + (xy 115.340597 99.628688) + (xy 115.329286 99.632039) + (xy 115.305235 99.637151) + (xy 115.305229 99.637154) + (xy 115.298324 99.642171) + (xy 115.281085 99.651532) + (xy 115.273104 99.654596) + (xy 115.255712 99.671987) + (xy 115.246746 99.679645) + (xy 115.226852 99.6941) + (xy 115.222581 99.701497) + (xy 115.210634 99.717064) + (xy 115.200231 99.727467) + (xy 115.153611 99.749207) + (xy 115.103924 99.735893) + (xy 115.080053 99.708433) + (xy 115.07275 99.6941) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.820431 99.460216) + (xy 114.821073 99.458238) + (xy 114.783698 99.437513) + (xy 114.765271 99.389487) + (xy 114.782026 99.340853) + (xy 114.787271 99.335027) + (xy 114.822376 99.299924) + (xy 114.868997 99.278186) + (xy 114.875549 99.2779) + (xy 122.226964 99.2779) + (xy 122.275302 99.295493) + (xy 122.280137 99.299924) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.930016 99.289771) + (xy 122.987957 99.176055) + (xy 123.007922 99.05) + (xy 122.987957 98.923945) + (xy 122.930016 98.810229) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.36023 98.719983) + (xy 122.280138 98.800075) + (xy 122.233518 98.821814) + (xy 122.226964 98.8221) + (xy 115.331748 98.8221) + (xy 115.28341 98.804507) + (xy 115.25769 98.759958) + (xy 115.266623 98.7093) + (xy 115.278574 98.693725) + (xy 115.330222 98.642078) + (xy 115.472375 98.499925) + (xy 115.518995 98.478186) + (xy 115.525549 98.4779) + (xy 122.876964 98.4779) + (xy 122.925302 98.495493) + (xy 122.930138 98.499925) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.580016 98.489771) + (xy 123.637957 98.376055) + (xy 123.657922 98.25) + (xy 123.637957 98.123945) + (xy 123.580016 98.010229) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.01023 97.919983) + (xy 122.930138 98.000075) + (xy 122.883518 98.021814) + (xy 122.876964 98.0221) + (xy 115.407936 98.0221) + (xy 115.404 98.021997) + (xy 115.402192 98.021902) + (xy 115.363551 98.019876) + (xy 115.36355 98.019876) + (xy 115.340597 98.028688) + (xy 115.329286 98.032039) + (xy 115.305235 98.037151) + (xy 115.305229 98.037154) + (xy 115.298324 98.042171) + (xy 115.281085 98.051532) + (xy 115.273104 98.054596) + (xy 115.255712 98.071987) + (xy 115.246746 98.079645) + (xy 115.226852 98.0941) + (xy 115.222581 98.101497) + (xy 115.210634 98.117064) + (xy 115.200231 98.127467) + (xy 115.153611 98.149207) + (xy 115.103924 98.135893) + (xy 115.080053 98.108433) + (xy 115.073587 98.095743) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.919654 97.909734) + (xy 114.841566 97.869946) + (xy 114.806484 97.832325) + (xy 114.803792 97.780955) + (xy 114.822531 97.749768) + (xy 114.872375 97.699925) + (xy 114.918996 97.678186) + (xy 114.925549 97.6779) + (xy 122.226964 97.6779) + (xy 122.275302 97.695493) + (xy 122.280138 97.699925) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.930016 97.689771) + (xy 122.987957 97.576055) + (xy 123.007922 97.45) + (xy 122.987957 97.323945) + (xy 122.930016 97.210229) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.36023 97.119983) + (xy 122.280138 97.200075) + (xy 122.233518 97.221814) + (xy 122.226964 97.2221) + (xy 115.331748 97.2221) + (xy 115.28341 97.204507) + (xy 115.25769 97.159958) + (xy 115.266623 97.1093) + (xy 115.278574 97.093725) + (xy 115.330222 97.042078) + (xy 115.472375 96.899925) + (xy 115.518995 96.878186) + (xy 115.525549 96.8779) + (xy 122.876964 96.8779) + (xy 122.925302 96.895493) + (xy 122.930138 96.899925) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.580016 96.889771) + (xy 123.637957 96.776055) + (xy 123.657922 96.65) + (xy 123.637957 96.523945) + (xy 123.580016 96.410229) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.01023 96.319983) + (xy 122.930138 96.400075) + (xy 122.883518 96.421814) + (xy 122.876964 96.4221) + (xy 115.407936 96.4221) + (xy 115.404 96.421997) + (xy 115.402192 96.421902) + (xy 115.363551 96.419876) + (xy 115.36355 96.419876) + (xy 115.340597 96.428688) + (xy 115.329286 96.432039) + (xy 115.305235 96.437151) + (xy 115.305229 96.437154) + (xy 115.298324 96.442171) + (xy 115.281085 96.451532) + (xy 115.273104 96.454596) + (xy 115.255712 96.471987) + (xy 115.246746 96.479645) + (xy 115.226852 96.4941) + (xy 115.222581 96.501497) + (xy 115.210634 96.517064) + (xy 115.200231 96.527467) + (xy 115.153611 96.549207) + (xy 115.103924 96.535893) + (xy 115.080053 96.508433) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.916098 96.307922) + (xy 114.841566 96.269946) + (xy 114.806484 96.232325) + (xy 114.803792 96.180955) + (xy 114.822531 96.149768) + (xy 114.872375 96.099925) + (xy 114.918996 96.078186) + (xy 114.925549 96.0779) + (xy 122.226964 96.0779) + (xy 122.275302 96.095493) + (xy 122.280138 96.099925) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.930016 96.089771) + (xy 122.987957 95.976055) + (xy 123.007922 95.85) + (xy 123.007679 95.848468) + (xy 122.997258 95.782672) + (xy 122.987957 95.723945) + (xy 122.930016 95.610229) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.36023 95.519983) + (xy 122.280138 95.600075) + (xy 122.233518 95.621814) + (xy 122.226964 95.6221) + (xy 115.331748 95.6221) + (xy 115.28341 95.604507) + (xy 115.25769 95.559958) + (xy 115.266623 95.5093) + (xy 115.278574 95.493725) + (xy 115.324843 95.447457) + (xy 115.472375 95.299925) + (xy 115.518995 95.278186) + (xy 115.525549 95.2779) + (xy 122.876964 95.2779) + (xy 122.925302 95.295493) + (xy 122.930138 95.299925) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.580016 95.289771) + (xy 123.637957 95.176055) + (xy 123.657922 95.05) + (xy 123.656259 95.039503) + (xy 123.651623 95.010229) + (xy 123.637957 94.923945) + (xy 123.580016 94.810229) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.01023 94.719983) + (xy 122.930138 94.800075) + (xy 122.883518 94.821814) + (xy 122.876964 94.8221) + (xy 115.407936 94.8221) + (xy 115.404 94.821997) + (xy 115.402192 94.821902) + (xy 115.363551 94.819876) + (xy 115.36355 94.819876) + (xy 115.340597 94.828688) + (xy 115.329286 94.832039) + (xy 115.305235 94.837151) + (xy 115.305229 94.837154) + (xy 115.298324 94.842171) + (xy 115.281085 94.851532) + (xy 115.273104 94.854596) + (xy 115.255712 94.871987) + (xy 115.246746 94.879645) + (xy 115.226852 94.8941) + (xy 115.222581 94.901497) + (xy 115.210634 94.917064) + (xy 115.200231 94.927467) + (xy 115.153611 94.949207) + (xy 115.103924 94.935893) + (xy 115.080053 94.908433) + (xy 115.075756 94.899999) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.924476 94.712191) + (xy 114.841566 94.669946) + (xy 114.806484 94.632325) + (xy 114.803792 94.580955) + (xy 114.822531 94.549768) + (xy 114.872375 94.499925) + (xy 114.918996 94.478186) + (xy 114.925549 94.4779) + (xy 122.226964 94.4779) + (xy 122.275302 94.495493) + (xy 122.280138 94.499925) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.930016 94.489771) + (xy 122.987957 94.376055) + (xy 123.007922 94.25) + (xy 122.987957 94.123945) + (xy 122.930016 94.010229) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.36023 93.919983) + (xy 122.280138 94.000075) + (xy 122.233518 94.021814) + (xy 122.226964 94.0221) + (xy 115.331748 94.0221) + (xy 115.28341 94.004507) + (xy 115.25769 93.959958) + (xy 115.266623 93.9093) + (xy 115.278574 93.893725) + (xy 115.330222 93.842078) + (xy 115.472375 93.699925) + (xy 115.518995 93.678186) + (xy 115.525549 93.6779) + (xy 121.576964 93.6779) + (xy 121.625302 93.695493) + (xy 121.630138 93.699925) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.280016 93.689771) + (xy 122.337957 93.576055) + (xy 122.357922 93.45) + (xy 122.337957 93.323945) + (xy 122.280016 93.210229) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.71023 93.119983) + (xy 121.630138 93.200075) + (xy 121.583518 93.221814) + (xy 121.576964 93.2221) + (xy 115.407936 93.2221) + (xy 115.404 93.221997) + (xy 115.402192 93.221902) + (xy 115.363551 93.219876) + (xy 115.36355 93.219876) + (xy 115.340597 93.228688) + (xy 115.329286 93.232039) + (xy 115.305235 93.237151) + (xy 115.305229 93.237154) + (xy 115.298324 93.242171) + (xy 115.281085 93.251532) + (xy 115.273104 93.254596) + (xy 115.255712 93.271987) + (xy 115.246746 93.279645) + (xy 115.226852 93.2941) + (xy 115.222581 93.301497) + (xy 115.210634 93.317064) + (xy 115.200231 93.327467) + (xy 115.153611 93.349207) + (xy 115.103924 93.335893) + (xy 115.080053 93.308433) + (xy 115.07275 93.2941) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.46023 93.119983) + (xy 114.380138 93.200075) + (xy 114.333518 93.221814) + (xy 114.326964 93.2221) + (xy 112.125547 93.2221) + (xy 112.077209 93.204507) + (xy 112.072373 93.200074) + (xy 111.526687 92.654388) + (xy 111.522299 92.65) + (xy 122.842078 92.65) + (xy 122.862043 92.776056) + (xy 122.910834 92.871814) + (xy 122.919984 92.889771) + (xy 123.010229 92.980016) + (xy 123.123943 93.037956) + (xy 123.123945 93.037957) + (xy 123.25 93.057922) + (xy 123.376055 93.037957) + (xy 123.489771 92.980016) + (xy 123.580016 92.889771) + (xy 123.637957 92.776055) + (xy 123.657922 92.65) + (xy 125.142078 92.65) + (xy 125.162043 92.776056) + (xy 125.210834 92.871814) + (xy 125.219984 92.889771) + (xy 125.310229 92.980016) + (xy 125.423943 93.037956) + (xy 125.423945 93.037957) + (xy 125.55 93.057922) + (xy 125.676055 93.037957) + (xy 125.789771 92.980016) + (xy 125.869787 92.9) + (xy 126.892329 92.9) + (xy 126.911331 93.044337) + (xy 126.931029 93.091891) + (xy 126.967043 93.178836) + (xy 126.967044 93.178838) + (xy 126.967045 93.178839) + (xy 127.055666 93.294333) + (xy 127.168366 93.38081) + (xy 127.171164 93.382957) + (xy 127.305664 93.438669) + (xy 127.45 93.457671) + (xy 127.594336 93.438669) + (xy 127.728836 93.382957) + (xy 127.844333 93.294333) + (xy 127.932957 93.178836) + (xy 127.988669 93.044336) + (xy 128.007671 92.9) + (xy 127.988669 92.755664) + (xy 127.932957 92.621165) + (xy 127.916716 92.599999) + (xy 127.844333 92.505666) + (xy 127.728839 92.417045) + (xy 127.728838 92.417044) + (xy 127.728836 92.417043) + (xy 127.641891 92.381029) + (xy 127.594337 92.361331) + (xy 127.45 92.342329) + (xy 127.305662 92.361331) + (xy 127.171163 92.417044) + (xy 127.171162 92.417044) + (xy 127.055666 92.505666) + (xy 126.967044 92.621162) + (xy 126.967044 92.621163) + (xy 126.911331 92.755662) + (xy 126.892329 92.9) + (xy 125.869787 92.9) + (xy 125.880016 92.889771) + (xy 125.937957 92.776055) + (xy 125.957922 92.65) + (xy 125.937957 92.523945) + (xy 125.880016 92.410229) + (xy 125.789771 92.319984) + (xy 125.676055 92.262043) + (xy 125.676057 92.262043) + (xy 125.55 92.242078) + (xy 125.423943 92.262043) + (xy 125.310228 92.319984) + (xy 125.219984 92.410228) + (xy 125.162043 92.523943) + (xy 125.142078 92.649999) + (xy 125.142078 92.65) + (xy 123.657922 92.65) + (xy 123.637957 92.523945) + (xy 123.580016 92.410229) + (xy 123.489771 92.319984) + (xy 123.376055 92.262043) + (xy 123.376057 92.262043) + (xy 123.25 92.242078) + (xy 123.123943 92.262043) + (xy 123.010228 92.319984) + (xy 122.919984 92.410228) + (xy 122.862043 92.523943) + (xy 122.842078 92.649999) + (xy 122.842078 92.65) + (xy 111.522299 92.65) + (xy 110.966758 92.094458) + (xy 110.964047 92.091602) + (xy 110.936949 92.061506) + (xy 110.936945 92.061503) + (xy 110.914486 92.051504) + (xy 110.904116 92.045874) + (xy 110.883496 92.032483) + (xy 110.875064 92.031148) + (xy 110.856243 92.025573) + (xy 110.848442 92.0221) + (xy 110.823848 92.0221) + (xy 110.812084 92.021174) + (xy 110.787808 92.017329) + (xy 110.787804 92.017329) + (xy 110.77956 92.019538) + (xy 110.760099 92.0221) + (xy 103.157937 92.0221) + (xy 103.154001 92.021997) + (xy 103.152366 92.021911) + (xy 103.113554 92.019876) + (xy 103.090592 92.02869) + (xy 103.079284 92.032039) + (xy 103.055234 92.037151) + (xy 103.055228 92.037154) + (xy 103.048326 92.042169) + (xy 103.031083 92.051532) + (xy 103.023104 92.054595) + (xy 103.00571 92.071989) + (xy 102.99674 92.07965) + (xy 102.976854 92.094098) + (xy 102.976851 92.094102) + (xy 102.972582 92.101495) + (xy 102.960635 92.117063) + (xy 102.227625 92.850074) + (xy 102.181006 92.871814) + (xy 102.174452 92.8721) + (xy 101.757928 92.8721) + (xy 101.753991 92.871997) + (xy 101.713551 92.869876) + (xy 101.690597 92.878688) + (xy 101.679286 92.882039) + (xy 101.655235 92.887151) + (xy 101.655229 92.887154) + (xy 101.648324 92.892171) + (xy 101.631085 92.901532) + (xy 101.623104 92.904596) + (xy 101.605712 92.921987) + (xy 101.596746 92.929645) + (xy 101.576852 92.9441) + (xy 101.576851 92.9441) + (xy 101.572583 92.951493) + (xy 101.560637 92.967061) + (xy 101.277626 93.250074) + (xy 101.231005 93.271814) + (xy 101.224451 93.2721) + (xy 101.075547 93.2721) + (xy 101.027209 93.254507) + (xy 101.022373 93.250074) + (xy 100.473308 92.701008) + (xy 100.451568 92.654388) + (xy 100.452207 92.636079) + (xy 100.457922 92.6) + (xy 100.437957 92.473945) + (xy 100.380016 92.360229) + (xy 100.289771 92.269984) + (xy 100.176055 92.212043) + (xy 100.176057 92.212043) + (xy 100.05 92.192078) + (xy 99.923943 92.212043) + (xy 99.810228 92.269984) + (xy 99.719984 92.360228) + (xy 99.662043 92.473943) + (xy 99.642078 92.599999) + (xy 99.642078 92.6) + (xy 99.662043 92.726056) + (xy 99.719984 92.839771) + (xy 99.810229 92.930016) + (xy 99.923943 92.987956) + (xy 99.923945 92.987957) + (xy 100.05 93.007922) + (xy 100.086071 93.002208) + (xy 100.136564 93.012022) + (xy 100.151009 93.023308) + (xy 100.571427 93.443726) + (xy 100.593167 93.490346) + (xy 100.579853 93.540033) + (xy 100.537716 93.569538) + (xy 100.518253 93.5721) + (xy 96.957929 93.5721) + (xy 96.953992 93.571997) + (xy 96.913551 93.569876) + (xy 96.890597 93.578688) + (xy 96.879286 93.582039) + (xy 96.855235 93.587151) + (xy 96.855229 93.587154) + (xy 96.848324 93.592171) + (xy 96.831085 93.601532) + (xy 96.823104 93.604596) + (xy 96.805712 93.621987) + (xy 96.796746 93.629645) + (xy 96.776854 93.644098) + (xy 96.776852 93.644101) + (xy 96.772583 93.651495) + (xy 96.760634 93.667065) + (xy 95.894456 94.533242) + (xy 95.891602 94.535952) + (xy 95.861504 94.563052) + (xy 95.851507 94.585509) + (xy 95.845877 94.595878) + (xy 95.832485 94.616501) + (xy 95.832483 94.616506) + (xy 95.831148 94.624935) + (xy 95.825574 94.643754) + (xy 95.8221 94.651557) + (xy 95.8221 94.676152) + (xy 95.821174 94.687916) + (xy 95.817329 94.712191) + (xy 95.817329 94.712194) + (xy 95.819538 94.720438) + (xy 95.8221 94.7399) + (xy 95.8221 94.8169) + (xy 95.804507 94.865238) + (xy 95.78104 94.883904) + (xy 95.710228 94.919984) + (xy 95.619983 95.01023) + (xy 95.562043 95.123943) + (xy 95.542078 95.249999) + (xy 95.542078 95.25) + (xy 91.050018 95.25) + (xy 91.126055 95.237957) + (xy 91.239771 95.180016) + (xy 91.330016 95.089771) + (xy 91.387957 94.976055) + (xy 91.407922 94.85) + (xy 91.387957 94.723945) + (xy 91.330016 94.610229) + (xy 91.239771 94.519984) + (xy 91.126055 94.462043) + (xy 91.126057 94.462043) + (xy 91 94.442078) + (xy 90.873943 94.462043) + (xy 90.760228 94.519984) + (xy 90.669984 94.610228) + (xy 90.612043 94.723943) + (xy 90.592078 94.849999) + (xy 90.592078 94.85) + (xy 90.2779 94.85) + (xy 90.2779 94.675547) + (xy 90.295493 94.627209) + (xy 90.299926 94.622373) + (xy 90.772374 94.149926) + (xy 90.818994 94.128186) + (xy 90.825548 94.1279) + (xy 92.542064 94.1279) + (xy 92.546 94.128003) + (xy 92.586447 94.130123) + (xy 92.586447 94.130122) + (xy 92.586448 94.130123) + (xy 92.609403 94.12131) + (xy 92.620722 94.117958) + (xy 92.644761 94.112849) + (xy 92.64476 94.112849) + (xy 92.644766 94.112848) + (xy 92.651674 94.107828) + (xy 92.668921 94.098464) + (xy 92.676896 94.095403) + (xy 92.694289 94.078009) + (xy 92.703248 94.070357) + (xy 92.723146 94.055901) + (xy 92.727415 94.048505) + (xy 92.739362 94.032935) + (xy 94.172297 92.6) + (xy 97.492078 92.6) + (xy 97.512043 92.726056) + (xy 97.569984 92.839771) + (xy 97.660229 92.930016) + (xy 97.773943 92.987956) + (xy 97.773945 92.987957) + (xy 97.9 93.007922) + (xy 98.026055 92.987957) + (xy 98.139771 92.930016) + (xy 98.230016 92.839771) + (xy 98.287957 92.726055) + (xy 98.307922 92.6) + (xy 98.287957 92.473945) + (xy 98.230016 92.360229) + (xy 98.139771 92.269984) + (xy 98.026055 92.212043) + (xy 98.026057 92.212043) + (xy 97.9 92.192078) + (xy 97.773943 92.212043) + (xy 97.660228 92.269984) + (xy 97.569984 92.360228) + (xy 97.512043 92.473943) + (xy 97.492078 92.599999) + (xy 97.492078 92.6) + (xy 94.172297 92.6) + (xy 95.072298 91.7) + (xy 98.292443 91.7) + (xy 98.310977 91.828911) + (xy 98.365078 91.947373) + (xy 98.36508 91.947376) + (xy 98.367354 91.95) + (xy 98.442871 92.037152) + (xy 98.450366 92.045801) + (xy 98.559926 92.116209) + (xy 98.684883 92.1529) + (xy 98.815117 92.1529) + (xy 98.940073 92.116209) + (xy 98.940073 92.116208) + (xy 98.940076 92.116208) + (xy 99.049636 92.045799) + (xy 99.134921 91.947374) + (xy 99.189023 91.828909) + (xy 99.207557 91.7) + (xy 99.200368 91.65) + (xy 113.792443 91.65) + (xy 113.810977 91.778911) + (xy 113.865078 91.897373) + (xy 113.86508 91.897376) + (xy 113.950366 91.995801) + (xy 114.01471 92.037151) + (xy 114.052607 92.061506) + (xy 114.059926 92.066209) + (xy 114.184883 92.1029) + (xy 114.315117 92.1029) + (xy 114.440073 92.066209) + (xy 114.440073 92.066208) + (xy 114.440076 92.066208) + (xy 114.549636 91.995799) + (xy 114.589321 91.95) + (xy 123.992078 91.95) + (xy 124.012043 92.076056) + (xy 124.047579 92.145799) + (xy 124.069984 92.189771) + (xy 124.160229 92.280016) + (xy 124.273943 92.337956) + (xy 124.273945 92.337957) + (xy 124.4 92.357922) + (xy 124.526055 92.337957) + (xy 124.639771 92.280016) + (xy 124.730016 92.189771) + (xy 124.787957 92.076055) + (xy 124.807922 91.95) + (xy 124.807506 91.947376) + (xy 124.796446 91.877543) + (xy 124.787957 91.823945) + (xy 124.775756 91.8) + (xy 125.992443 91.8) + (xy 126.010977 91.928911) + (xy 126.065078 92.047373) + (xy 126.06508 92.047376) + (xy 126.150366 92.145801) + (xy 126.259926 92.216209) + (xy 126.384883 92.2529) + (xy 126.515117 92.2529) + (xy 126.640073 92.216209) + (xy 126.640073 92.216208) + (xy 126.640076 92.216208) + (xy 126.749636 92.145799) + (xy 126.834921 92.047374) + (xy 126.889023 91.928909) + (xy 126.907557 91.8) + (xy 126.889023 91.671091) + (xy 126.843352 91.571088) + (xy 126.834921 91.552626) + (xy 126.834919 91.552623) + (xy 126.749633 91.454198) + (xy 126.640073 91.38379) + (xy 126.515117 91.3471) + (xy 126.384883 91.3471) + (xy 126.259926 91.38379) + (xy 126.150366 91.454198) + (xy 126.06508 91.552623) + (xy 126.065078 91.552626) + (xy 126.010977 91.671088) + (xy 125.992443 91.8) + (xy 124.775756 91.8) + (xy 124.730016 91.710229) + (xy 124.639771 91.619984) + (xy 124.526055 91.562043) + (xy 124.526057 91.562043) + (xy 124.4 91.542078) + (xy 124.273943 91.562043) + (xy 124.160228 91.619984) + (xy 124.069984 91.710228) + (xy 124.012043 91.823943) + (xy 123.992078 91.949999) + (xy 123.992078 91.95) + (xy 114.589321 91.95) + (xy 114.634921 91.897374) + (xy 114.689023 91.778909) + (xy 114.707557 91.65) + (xy 114.689023 91.521091) + (xy 114.634921 91.402626) + (xy 114.634919 91.402623) + (xy 114.580588 91.339922) + (xy 114.549636 91.304201) + (xy 114.549635 91.3042) + (xy 114.549633 91.304198) + (xy 114.440073 91.23379) + (xy 114.315117 91.1971) + (xy 114.184883 91.1971) + (xy 114.059926 91.23379) + (xy 113.950366 91.304198) + (xy 113.86508 91.402623) + (xy 113.865078 91.402626) + (xy 113.810977 91.521088) + (xy 113.792443 91.65) + (xy 99.200368 91.65) + (xy 99.189023 91.571091) + (xy 99.166188 91.521091) + (xy 99.134921 91.452626) + (xy 99.134919 91.452623) + (xy 99.08379 91.393618) + (xy 99.049636 91.354201) + (xy 99.049635 91.3542) + (xy 99.049633 91.354198) + (xy 98.940073 91.28379) + (xy 98.815117 91.2471) + (xy 98.684883 91.2471) + (xy 98.559926 91.28379) + (xy 98.450366 91.354198) + (xy 98.36508 91.452623) + (xy 98.365078 91.452626) + (xy 98.310977 91.571088) + (xy 98.292443 91.7) + (xy 95.072298 91.7) + (xy 95.972298 90.8) + (xy 97.292443 90.8) + (xy 97.310977 90.928911) + (xy 97.365078 91.047373) + (xy 97.36508 91.047376) + (xy 97.450366 91.145801) + (xy 97.559926 91.216209) + (xy 97.684883 91.2529) + (xy 97.815117 91.2529) + (xy 97.940073 91.216209) + (xy 97.940073 91.216208) + (xy 97.940076 91.216208) + (xy 98.049636 91.145799) + (xy 98.134921 91.047374) + (xy 98.189023 90.928909) + (xy 98.207557 90.8) + (xy 98.200368 90.75) + (xy 114.792443 90.75) + (xy 114.810977 90.878911) + (xy 114.865078 90.997373) + (xy 114.86508 90.997376) + (xy 114.950366 91.095801) + (xy 115.013116 91.136127) + (xy 115.057532 91.164671) + (xy 115.059926 91.166209) + (xy 115.184883 91.2029) + (xy 115.315117 91.2029) + (xy 115.440073 91.166209) + (xy 115.440073 91.166208) + (xy 115.440076 91.166208) + (xy 115.549636 91.095799) + (xy 115.634921 90.997374) + (xy 115.689023 90.878909) + (xy 115.707557 90.75) + (xy 124.792443 90.75) + (xy 124.810977 90.878911) + (xy 124.865078 90.997373) + (xy 124.86508 90.997376) + (xy 124.950366 91.095801) + (xy 125.013116 91.136127) + (xy 125.057532 91.164671) + (xy 125.059926 91.166209) + (xy 125.184883 91.2029) + (xy 125.315117 91.2029) + (xy 125.440073 91.166209) + (xy 125.440073 91.166208) + (xy 125.440076 91.166208) + (xy 125.549636 91.095799) + (xy 125.634921 90.997374) + (xy 125.689023 90.878909) + (xy 125.707557 90.75) + (xy 125.689023 90.621091) + (xy 125.634921 90.502626) + (xy 125.634919 90.502623) + (xy 125.549633 90.404198) + (xy 125.440073 90.33379) + (xy 125.315117 90.2971) + (xy 125.184883 90.2971) + (xy 125.059926 90.33379) + (xy 124.950366 90.404198) + (xy 124.86508 90.502623) + (xy 124.865078 90.502626) + (xy 124.810977 90.621088) + (xy 124.792443 90.75) + (xy 115.707557 90.75) + (xy 115.689023 90.621091) + (xy 115.634921 90.502626) + (xy 115.634919 90.502623) + (xy 115.549633 90.404198) + (xy 115.440073 90.33379) + (xy 115.315117 90.2971) + (xy 115.184883 90.2971) + (xy 115.059926 90.33379) + (xy 114.950366 90.404198) + (xy 114.86508 90.502623) + (xy 114.865078 90.502626) + (xy 114.810977 90.621088) + (xy 114.792443 90.75) + (xy 98.200368 90.75) + (xy 98.189023 90.671091) + (xy 98.166188 90.621091) + (xy 98.134921 90.552626) + (xy 98.134919 90.552623) + (xy 98.049633 90.454198) + (xy 97.940073 90.38379) + (xy 97.815117 90.3471) + (xy 97.684883 90.3471) + (xy 97.559926 90.38379) + (xy 97.450366 90.454198) + (xy 97.36508 90.552623) + (xy 97.365078 90.552626) + (xy 97.310977 90.671088) + (xy 97.292443 90.8) + (xy 95.972298 90.8) + (xy 96.922298 89.85) + (xy 113.792443 89.85) + (xy 113.810977 89.978911) + (xy 113.865078 90.097373) + (xy 113.86508 90.097376) + (xy 113.950366 90.195801) + (xy 114.059926 90.266209) + (xy 114.184883 90.3029) + (xy 114.315117 90.3029) + (xy 114.440073 90.266209) + (xy 114.440073 90.266208) + (xy 114.440076 90.266208) + (xy 114.549636 90.195799) + (xy 114.634921 90.097374) + (xy 114.689023 89.978909) + (xy 114.707557 89.85) + (xy 123.792443 89.85) + (xy 123.810977 89.978911) + (xy 123.865078 90.097373) + (xy 123.86508 90.097376) + (xy 123.950366 90.195801) + (xy 124.059926 90.266209) + (xy 124.184883 90.3029) + (xy 124.315117 90.3029) + (xy 124.440073 90.266209) + (xy 124.440073 90.266208) + (xy 124.440076 90.266208) + (xy 124.549636 90.195799) + (xy 124.634921 90.097374) + (xy 124.689023 89.978909) + (xy 124.707557 89.85) + (xy 124.689023 89.721091) + (xy 124.634921 89.602626) + (xy 124.634919 89.602623) + (xy 124.549633 89.504198) + (xy 124.440073 89.43379) + (xy 124.315117 89.3971) + (xy 124.184883 89.3971) + (xy 124.059926 89.43379) + (xy 123.950366 89.504198) + (xy 123.86508 89.602623) + (xy 123.865078 89.602626) + (xy 123.810977 89.721088) + (xy 123.792443 89.85) + (xy 114.707557 89.85) + (xy 114.689023 89.721091) + (xy 114.634921 89.602626) + (xy 114.634919 89.602623) + (xy 114.549633 89.504198) + (xy 114.440073 89.43379) + (xy 114.315117 89.3971) + (xy 114.184883 89.3971) + (xy 114.059926 89.43379) + (xy 113.950366 89.504198) + (xy 113.86508 89.602623) + (xy 113.865078 89.602626) + (xy 113.810977 89.721088) + (xy 113.792443 89.85) + (xy 96.922298 89.85) + (xy 98.772373 87.999926) + (xy 98.818993 87.978186) + (xy 98.825547 87.9779) + (xy 132.074453 87.9779) + (xy 132.122791 87.995493) + (xy 132.127627 87.999926) + (xy 134.766757 90.639056) + (xy 134.788497 90.685676) + (xy 134.780587 90.726369) + (xy 134.740044 90.805941) + (xy 134.740043 90.805942) + (xy 134.720078 90.931999) + (xy 134.720078 90.932) + (xy 134.740043 91.058056) + (xy 134.79515 91.166209) + (xy 134.797984 91.171771) + (xy 134.888229 91.262016) + (xy 135.001943 91.319956) + (xy 135.001945 91.319957) + (xy 135.128 91.339922) + (xy 135.254055 91.319957) + (xy 135.367771 91.262016) + (xy 135.458016 91.171771) + (xy 135.509405 91.070914) + (xy 135.547025 91.035833) + (xy 135.598394 91.033141) + (xy 135.629582 91.051881) + (xy 135.665231 91.08753) + (xy 135.667942 91.090386) + (xy 135.695051 91.120494) + (xy 135.717516 91.130496) + (xy 135.727884 91.136125) + (xy 135.748504 91.149516) + (xy 135.756936 91.150851) + (xy 135.775755 91.156426) + (xy 135.783558 91.1599) + (xy 135.808147 91.1599) + (xy 135.81991 91.160825) + (xy 135.844194 91.164672) + (xy 135.850459 91.162993) + (xy 135.852443 91.162462) + (xy 135.871904 91.1599) + (xy 136.024964 91.1599) + (xy 136.073302 91.177493) + (xy 136.078138 91.181925) + (xy 136.158229 91.262016) + (xy 136.271943 91.319956) + (xy 136.271945 91.319957) + (xy 136.398 91.339922) + (xy 136.524055 91.319957) + (xy 136.637771 91.262016) + (xy 136.728016 91.171771) + (xy 136.785957 91.058055) + (xy 136.805922 90.932) + (xy 136.805432 90.928909) + (xy 136.802164 90.908276) + (xy 136.785957 90.805945) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.15823 90.601983) + (xy 136.078138 90.682075) + (xy 136.031518 90.703814) + (xy 136.024964 90.7041) + (xy 135.957548 90.7041) + (xy 135.90921 90.686507) + (xy 135.904374 90.682074) + (xy 132.516758 87.294458) + (xy 132.514047 87.291602) + (xy 132.486949 87.261506) + (xy 132.486945 87.261503) + (xy 132.464486 87.251504) + (xy 132.454116 87.245874) + (xy 132.433496 87.232483) + (xy 132.425064 87.231148) + (xy 132.406243 87.225573) + (xy 132.398442 87.2221) + (xy 132.373848 87.2221) + (xy 132.362084 87.221174) + (xy 132.337808 87.217329) + (xy 132.337804 87.217329) + (xy 132.32956 87.219538) + (xy 132.310099 87.2221) + (xy 98.557928 87.2221) + (xy 98.553991 87.221997) + (xy 98.513551 87.219876) + (xy 98.490597 87.228688) + (xy 98.479286 87.232039) + (xy 98.455235 87.237151) + (xy 98.455229 87.237154) + (xy 98.448324 87.242171) + (xy 98.431085 87.251532) + (xy 98.423104 87.254596) + (xy 98.405712 87.271987) + (xy 98.396746 87.279645) + (xy 98.376854 87.294098) + (xy 98.376852 87.294101) + (xy 98.372583 87.301495) + (xy 98.360634 87.317065) + (xy 92.327626 93.350074) + (xy 92.281006 93.371814) + (xy 92.274452 93.3721) + (xy 90.557936 93.3721) + (xy 90.554 93.371997) + (xy 90.552192 93.371902) + (xy 90.513551 93.369876) + (xy 90.51355 93.369876) + (xy 90.490597 93.378688) + (xy 90.479286 93.382039) + (xy 90.455235 93.387151) + (xy 90.455229 93.387154) + (xy 90.448324 93.392171) + (xy 90.431085 93.401532) + (xy 90.423104 93.404596) + (xy 90.405712 93.421987) + (xy 90.396746 93.429645) + (xy 90.376854 93.444098) + (xy 90.376852 93.444101) + (xy 90.372583 93.451495) + (xy 90.360634 93.467065) + (xy 89.594456 94.233242) + (xy 89.591602 94.235952) + (xy 89.561504 94.263052) + (xy 89.551507 94.285509) + (xy 89.545877 94.295878) + (xy 89.532485 94.316501) + (xy 89.532483 94.316506) + (xy 89.531148 94.324935) + (xy 89.525574 94.343754) + (xy 89.5221 94.351557) + (xy 89.5221 94.376152) + (xy 89.521174 94.387916) + (xy 89.517329 94.412191) + (xy 89.517329 94.412194) + (xy 89.519538 94.420438) + (xy 89.5221 94.4399) + (xy 89.5221 94.724451) + (xy 89.504507 94.772789) + (xy 89.500074 94.777625) + (xy 89.101008 95.17669) + (xy 89.054388 95.19843) + (xy 89.036072 95.19779) + (xy 89.000003 95.192078) + (xy 88.999999 95.192078) + (xy 88.873943 95.212043) + (xy 88.760228 95.269984) + (xy 88.669984 95.360228) + (xy 88.612043 95.473943) + (xy 88.592078 95.599999) + (xy 88.592078 95.6) + (xy 82.1279 95.6) + (xy 82.1279 95.179428) + (xy 82.128003 95.175491) + (xy 82.130123 95.135051) + (xy 82.12131 95.112095) + (xy 82.11796 95.100788) + (xy 82.112848 95.076734) + (xy 82.10783 95.069827) + (xy 82.098463 95.052575) + (xy 82.095403 95.044605) + (xy 82.095403 95.044604) + (xy 82.078013 95.027214) + (xy 82.070354 95.018247) + (xy 82.067221 95.013935) + (xy 82.055901 94.998354) + (xy 82.0559 94.998353) + (xy 82.048506 94.994084) + (xy 82.032934 94.982134) + (xy 81.700758 94.649958) + (xy 81.698047 94.647102) + (xy 81.670949 94.617006) + (xy 81.670945 94.617003) + (xy 81.648486 94.607004) + (xy 81.638116 94.601374) + (xy 81.617496 94.587983) + (xy 81.609064 94.586648) + (xy 81.590243 94.581073) + (xy 81.582442 94.5776) + (xy 81.557848 94.5776) + (xy 81.546084 94.576674) + (xy 81.521808 94.572829) + (xy 81.521804 94.572829) + (xy 81.51356 94.575038) + (xy 81.494099 94.5776) + (xy 79.446436 94.5776) + (xy 79.4425 94.577497) + (xy 79.440692 94.577402) + (xy 79.402051 94.575376) + (xy 79.40205 94.575376) + (xy 79.379097 94.584188) + (xy 79.367786 94.587539) + (xy 79.343735 94.592651) + (xy 79.343729 94.592654) + (xy 79.336824 94.597671) + (xy 79.319585 94.607032) + (xy 79.311604 94.610096) + (xy 79.294212 94.627487) + (xy 79.285246 94.635144) + (xy 79.265355 94.649596) + (xy 79.265352 94.6496) + (xy 79.261084 94.656993) + (xy 79.249136 94.672563) + (xy 78.965446 94.956252) + (xy 78.962592 94.958961) + (xy 78.932504 94.986052) + (xy 78.922507 95.008509) + (xy 78.916877 95.018878) + (xy 78.903485 95.039501) + (xy 78.903483 95.039506) + (xy 78.902148 95.047935) + (xy 78.896574 95.066754) + (xy 78.8931 95.074557) + (xy 78.8931 95.099152) + (xy 78.892174 95.110916) + (xy 78.888329 95.135191) + (xy 78.888329 95.135194) + (xy 78.890538 95.143438) + (xy 78.8931 95.1629) + (xy 78.8931 96.965952) + (xy 78.875507 97.01429) + (xy 78.871074 97.019126) + (xy 71.746126 104.144074) + (xy 71.699506 104.165814) + (xy 71.692952 104.1661) + (xy 70.413536 104.1661) + (xy 70.365198 104.148507) + (xy 70.360362 104.144075) + (xy 70.323957 104.10767) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393999) + (xy 69.632578 104.394) + (xy 59.7909 104.394) + (xy 59.7909 103.179535) + (xy 59.808493 103.131197) + (xy 59.812926 103.126361) + (xy 59.851481 103.087806) + (xy 59.893016 103.046271) + (xy 59.950957 102.932555) + (xy 59.970922 102.8065) + (xy 61.695078 102.8065) + (xy 61.715043 102.932556) + (xy 61.755544 103.012043) + (xy 61.772984 103.046271) + (xy 61.863229 103.136516) + (xy 61.976943 103.194456) + (xy 61.976945 103.194457) + (xy 62.103 103.214422) + (xy 62.229055 103.194457) + (xy 62.342771 103.136516) + (xy 62.355287 103.124) + (xy 69.632578 103.124) + (xy 69.652543 103.250056) + (xy 69.69937 103.341958) + (xy 69.710484 103.363771) + (xy 69.800729 103.454016) + (xy 69.914443 103.511956) + (xy 69.914445 103.511957) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.360362 103.373925) + (xy 70.406982 103.352186) + (xy 70.413536 103.3519) + (xy 71.693064 103.3519) + (xy 71.697 103.352003) + (xy 71.737447 103.354123) + (xy 71.737447 103.354122) + (xy 71.737448 103.354123) + (xy 71.760403 103.34531) + (xy 71.771722 103.341958) + (xy 71.795761 103.336849) + (xy 71.79576 103.336849) + (xy 71.795766 103.336848) + (xy 71.802674 103.331828) + (xy 71.819921 103.322464) + (xy 71.827896 103.319403) + (xy 71.845289 103.302009) + (xy 71.854248 103.294357) + (xy 71.874146 103.279901) + (xy 71.878415 103.272505) + (xy 71.890362 103.256935) + (xy 78.387557 96.759741) + (xy 78.390375 96.757066) + (xy 78.420494 96.729949) + (xy 78.430496 96.70748) + (xy 78.43613 96.697107) + (xy 78.449516 96.676496) + (xy 78.450851 96.668061) + (xy 78.456424 96.649248) + (xy 78.4599 96.641442) + (xy 78.4599 96.616852) + (xy 78.460826 96.605086) + (xy 78.461313 96.602011) + (xy 78.464672 96.580806) + (xy 78.46246 96.572553) + (xy 78.4599 96.553095) + (xy 78.4599 96.067535) + (xy 78.477493 96.019197) + (xy 78.481926 96.014361) + (xy 78.520232 95.976055) + (xy 78.562016 95.934271) + (xy 78.619957 95.820555) + (xy 78.639922 95.6945) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.901984 95.934271) + (xy 77.982074 96.014361) + (xy 78.003814 96.060981) + (xy 78.0041 96.067535) + (xy 78.0041 96.467452) + (xy 77.986507 96.51579) + (xy 77.982074 96.520626) + (xy 71.628626 102.874074) + (xy 71.582006 102.895814) + (xy 71.575452 102.8961) + (xy 70.413536 102.8961) + (xy 70.365198 102.878507) + (xy 70.360362 102.874075) + (xy 70.326058 102.839771) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124) + (xy 62.355287 103.124) + (xy 62.433016 103.046271) + (xy 62.490957 102.932555) + (xy 62.510922 102.8065) + (xy 62.490957 102.680445) + (xy 62.433016 102.566729) + (xy 62.342771 102.476484) + (xy 62.229055 102.418543) + (xy 62.229057 102.418543) + (xy 62.103 102.398578) + (xy 61.976943 102.418543) + (xy 61.863228 102.476484) + (xy 61.772984 102.566728) + (xy 61.715043 102.680443) + (xy 61.695078 102.806499) + (xy 61.695078 102.8065) + (xy 59.970922 102.8065) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.802771 102.476484) + (xy 59.689055 102.418543) + (xy 59.689057 102.418543) + (xy 59.563 102.398578) + (xy 59.436943 102.418543) + (xy 59.323228 102.476484) + (xy 59.232984 102.566728) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.232984 103.046271) + (xy 59.313074 103.126361) + (xy 59.334814 103.172981) + (xy 59.3351 103.179535) + (xy 59.3351 120.261062) + (xy 59.334997 120.264998) + (xy 59.332876 120.305448) + (xy 59.341688 120.328402) + (xy 59.345039 120.339715) + (xy 59.350151 120.363763) + (xy 59.350152 120.363766) + (xy 59.355169 120.370671) + (xy 59.364536 120.387922) + (xy 59.367596 120.395895) + (xy 59.367597 120.395896) + (xy 59.384985 120.413284) + (xy 59.392644 120.422252) + (xy 59.407097 120.442144) + (xy 59.407099 120.442146) + (xy 59.414495 120.446416) + (xy 59.430066 120.458365) + (xy 65.17474 126.20304) + (xy 65.177451 126.205896) + (xy 65.183078 126.212146) + (xy 65.204551 126.235994) + (xy 65.227023 126.245998) + (xy 65.237374 126.251619) + (xy 65.258003 126.265016) + (xy 65.266428 126.26635) + (xy 65.285259 126.271928) + (xy 65.293053 126.275398) + (xy 65.293055 126.275398) + (xy 65.293058 126.2754) + (xy 65.317652 126.2754) + (xy 65.329415 126.276325) + (xy 65.353694 126.280171) + (xy 65.358753 126.278815) + (xy 65.36194 126.277962) + (xy 65.381401 126.2754) + (xy 70.223064 126.2754) + (xy 70.227 126.275503) + (xy 70.267447 126.277623) + (xy 70.267447 126.277622) + (xy 70.267448 126.277623) + (xy 70.290403 126.26881) + (xy 70.301722 126.265458) + (xy 70.325761 126.260349) + (xy 70.32576 126.260349) + (xy 70.325766 126.260348) + (xy 70.332674 126.255328) + (xy 70.349921 126.245964) + (xy 70.357896 126.242903) + (xy 70.375289 126.225509) + (xy 70.384248 126.217857) + (xy 70.404146 126.203401) + (xy 70.408415 126.196005) + (xy 70.420362 126.180435) + (xy 71.148557 125.452241) + (xy 71.151375 125.449566) + (xy 71.181494 125.422449) + (xy 71.191496 125.39998) + (xy 71.19713 125.389607) + (xy 71.210516 125.368996) + (xy 71.211851 125.360561) + (xy 71.217424 125.341748) + (xy 71.2209 125.333942) + (xy 71.2209 125.309352) + (xy 71.221826 125.297586) + (xy 71.225672 125.273306) + (xy 71.22346 125.265053) + (xy 71.2209 125.245595) + (xy 71.2209 124.448436) + (xy 71.221003 124.444499) + (xy 71.223123 124.404055) + (xy 71.223122 124.404054) + (xy 71.223123 124.404052) + (xy 71.214306 124.381086) + (xy 71.21096 124.369788) + (xy 71.205848 124.345734) + (xy 71.20083 124.338827) + (xy 71.191456 124.321557) + (xy 71.19086 124.320003) + (xy 71.189977 124.26857) + (xy 71.222361 124.228604) + (xy 71.272859 124.218803) + (xy 71.279502 124.220168) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.580362 121.37105) + (xy 71.532024 121.353457) + (xy 71.506304 121.308908) + (xy 71.515237 121.25825) + (xy 71.527183 121.24268) + (xy 71.635015 121.134848) + (xy 71.676421 121.113752) + (xy 71.754055 121.101457) + (xy 71.867771 121.043516) + (xy 71.958016 120.953271) + (xy 72.015957 120.839555) + (xy 72.035922 120.7135) + (xy 72.015957 120.587445) + (xy 71.958016 120.473729) + (xy 71.867771 120.383484) + (xy 71.754055 120.325543) + (xy 71.754057 120.325543) + (xy 71.628 120.305578) + (xy 71.501943 120.325543) + (xy 71.388228 120.383484) + (xy 71.297984 120.473728) + (xy 71.240043 120.587443) + (xy 71.227747 120.665076) + (xy 71.206647 120.706486) + (xy 71.058159 120.854974) + (xy 71.043516 120.866379) + (xy 70.159147 121.394039) + (xy 70.150994 121.398251) + (xy 70.145215 121.400803) + (xy 70.09389 121.404236) + (xy 70.052366 121.373874) + (xy 70.040073 121.323925) + (xy 70.055177 121.286233) + (xy 70.136955 121.179659) + (xy 70.192031 121.046691) + (xy 70.210817 120.904) + (xy 70.192031 120.761309) + (xy 70.191479 120.759978) + (xy 70.191441 120.759107) + (xy 70.190755 120.756544) + (xy 70.191322 120.756391) + (xy 70.18923 120.708589) + (xy 70.207774 120.678023) + (xy 70.811374 120.074424) + (xy 70.857993 120.052686) + (xy 70.864547 120.0524) + (xy 71.946953 120.0524) + (xy 71.995291 120.069993) + (xy 72.000127 120.074426) + (xy 73.727574 121.801873) + (xy 73.749314 121.848493) + (xy 73.7496 121.855047) + (xy 73.7496 124.524952) + (xy 73.732007 124.57329) + (xy 73.727574 124.578126) + (xy 71.682626 126.623074) + (xy 71.636006 126.644814) + (xy 71.629452 126.6451) + (xy 62.228548 126.6451) + (xy 62.18021 126.627507) + (xy 62.175374 126.623074) + (xy 53.462926 117.910626) + (xy 53.441186 117.864006) + (xy 53.4409 117.857452) + (xy 53.4409 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.057157 103.584747) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.530055 103.765957) + (xy 57.643771 103.708016) + (xy 57.734016 103.617771) + (xy 57.791957 103.504055) + (xy 57.811922 103.378) + (xy 57.811279 103.373943) + (xy 57.807833 103.352186) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.653925 103.058138) + (xy 57.632186 103.011518) + (xy 57.6319 103.004964) + (xy 57.6319 102.235) + (xy 63.409578 102.235) + (xy 63.429543 102.361056) + (xy 63.452204 102.40553) + (xy 63.487484 102.474771) + (xy 63.577729 102.565016) + (xy 63.691443 102.622956) + (xy 63.691445 102.622957) + (xy 63.8175 102.642922) + (xy 63.943555 102.622957) + (xy 64.057271 102.565016) + (xy 64.147516 102.474771) + (xy 64.205457 102.361055) + (xy 64.225422 102.235) + (xy 64.224632 102.230015) + (xy 64.218624 102.192078) + (xy 64.205457 102.108945) + (xy 64.147516 101.995229) + (xy 64.057271 101.904984) + (xy 63.943555 101.847043) + (xy 63.943557 101.847043) + (xy 63.8175 101.827078) + (xy 63.691443 101.847043) + (xy 63.577728 101.904984) + (xy 63.487484 101.995228) + (xy 63.429543 102.108943) + (xy 63.409578 102.234999) + (xy 63.409578 102.235) + (xy 57.6319 102.235) + (xy 57.6319 101.566796) + (xy 57.649493 101.518458) + (xy 57.653915 101.513633) + (xy 57.758048 101.4095) + (xy 62.393578 101.4095) + (xy 62.413543 101.535556) + (xy 62.449924 101.606957) + (xy 62.471484 101.649271) + (xy 62.561729 101.739516) + (xy 62.675443 101.797456) + (xy 62.675445 101.797457) + (xy 62.8015 101.817422) + (xy 62.927555 101.797457) + (xy 63.041271 101.739516) + (xy 63.131516 101.649271) + (xy 63.189457 101.535555) + (xy 63.209422 101.4095) + (xy 63.189457 101.283445) + (xy 63.156621 101.219) + (xy 64.298578 101.219) + (xy 64.318543 101.345056) + (xy 64.365946 101.438089) + (xy 64.376484 101.458771) + (xy 64.466729 101.549016) + (xy 64.580443 101.606956) + (xy 64.580445 101.606957) + (xy 64.7065 101.626922) + (xy 64.832555 101.606957) + (xy 64.946271 101.549016) + (xy 65.036516 101.458771) + (xy 65.094457 101.345055) + (xy 65.114422 101.219) + (xy 65.094457 101.092945) + (xy 65.036516 100.979229) + (xy 64.946271 100.888984) + (xy 64.832555 100.831043) + (xy 64.832557 100.831043) + (xy 64.7065 100.811078) + (xy 64.580443 100.831043) + (xy 64.466728 100.888984) + (xy 64.376484 100.979228) + (xy 64.318543 101.092943) + (xy 64.298578 101.218999) + (xy 64.298578 101.219) + (xy 63.156621 101.219) + (xy 63.131516 101.169729) + (xy 63.041271 101.079484) + (xy 62.927555 101.021543) + (xy 62.927557 101.021543) + (xy 62.8015 101.001578) + (xy 62.675443 101.021543) + (xy 62.561728 101.079484) + (xy 62.471484 101.169728) + (xy 62.413543 101.283443) + (xy 62.393578 101.409499) + (xy 62.393578 101.4095) + (xy 57.758048 101.4095) + (xy 59.155048 100.0125) + (xy 61.695078 100.0125) + (xy 61.715043 100.138556) + (xy 61.76422 100.23507) + (xy 61.772984 100.252271) + (xy 61.863229 100.342516) + (xy 61.976943 100.400456) + (xy 61.976945 100.400457) + (xy 62.103 100.420422) + (xy 62.229055 100.400457) + (xy 62.342771 100.342516) + (xy 62.433016 100.252271) + (xy 62.490957 100.138555) + (xy 62.510922 100.0125) + (xy 62.490957 99.886445) + (xy 62.433016 99.772729) + (xy 62.342771 99.682484) + (xy 62.229055 99.624543) + (xy 62.229057 99.624543) + (xy 62.103 99.604578) + (xy 61.976943 99.624543) + (xy 61.863228 99.682484) + (xy 61.772984 99.772728) + (xy 61.715043 99.886443) + (xy 61.695078 100.012499) + (xy 61.695078 100.0125) + (xy 59.155048 100.0125) + (xy 60.988547 98.179) + (xy 60.991365 98.176325) + (xy 61.021494 98.149199) + (xy 61.031496 98.12673) + (xy 61.037123 98.116367) + (xy 61.050516 98.095747) + (xy 61.05185 98.087322) + (xy 61.05743 98.068484) + (xy 61.0609 98.060692) + (xy 61.0609 98.036096) + (xy 61.061826 98.024331) + (xy 61.065671 98.000056) + (xy 61.065201 97.998305) + (xy 61.063462 97.991809) + (xy 61.0609 97.972349) + (xy 61.0609 94.869) + (xy 63.409578 94.869) + (xy 63.429543 94.995056) + (xy 63.458851 95.052575) + (xy 63.487484 95.108771) + (xy 63.577729 95.199016) + (xy 63.691443 95.256956) + (xy 63.691445 95.256957) + (xy 63.8175 95.276922) + (xy 63.943555 95.256957) + (xy 64.057271 95.199016) + (xy 64.147516 95.108771) + (xy 64.205457 94.995055) + (xy 64.225422 94.869) + (xy 64.205457 94.742945) + (xy 64.147516 94.629229) + (xy 64.057271 94.538984) + (xy 63.943555 94.481043) + (xy 63.943557 94.481043) + (xy 63.8175 94.461078) + (xy 63.691443 94.481043) + (xy 63.577728 94.538984) + (xy 63.487484 94.629228) + (xy 63.429543 94.742943) + (xy 63.409578 94.868999) + (xy 63.409578 94.869) + (xy 61.0609 94.869) + (xy 61.0609 92.638535) + (xy 61.074925 92.6) + (xy 90.492078 92.6) + (xy 90.512043 92.726056) + (xy 90.569984 92.839771) + (xy 90.660229 92.930016) + (xy 90.773943 92.987956) + (xy 90.773945 92.987957) + (xy 90.9 93.007922) + (xy 91.026055 92.987957) + (xy 91.139771 92.930016) + (xy 91.230016 92.839771) + (xy 91.287957 92.726055) + (xy 91.307922 92.6) + (xy 91.287957 92.473945) + (xy 91.230016 92.360229) + (xy 91.139771 92.269984) + (xy 91.026055 92.212043) + (xy 91.026057 92.212043) + (xy 90.9 92.192078) + (xy 90.773943 92.212043) + (xy 90.660228 92.269984) + (xy 90.569984 92.360228) + (xy 90.512043 92.473943) + (xy 90.492078 92.599999) + (xy 90.492078 92.6) + (xy 61.074925 92.6) + (xy 61.078493 92.590197) + (xy 61.082926 92.585361) + (xy 61.163016 92.505271) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240374 92.262043) + (xy 61.229293 92.192078) + (xy 61.220957 92.139445) + (xy 61.163016 92.025729) + (xy 61.072771 91.935484) + (xy 60.959055 91.877543) + (xy 60.959057 91.877543) + (xy 60.833 91.857578) + (xy 60.706943 91.877543) + (xy 60.593228 91.935484) + (xy 60.502984 92.025728) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.502984 92.505271) + (xy 60.583074 92.585361) + (xy 60.604814 92.631981) + (xy 60.6051 92.638535) + (xy 60.6051 97.886701) + (xy 60.587507 97.935039) + (xy 60.583074 97.939875) + (xy 57.248456 101.274492) + (xy 57.245602 101.277202) + (xy 57.215504 101.304302) + (xy 57.205507 101.326759) + (xy 57.199877 101.337128) + (xy 57.186485 101.357751) + (xy 57.186483 101.357756) + (xy 57.185148 101.366185) + (xy 57.179574 101.385004) + (xy 57.1761 101.392807) + (xy 57.1761 101.417402) + (xy 57.175174 101.429166) + (xy 57.171329 101.453441) + (xy 57.171329 101.453444) + (xy 57.173538 101.461688) + (xy 57.1761 101.48115) + (xy 57.1761 103.004964) + (xy 57.158507 103.053302) + (xy 57.154075 103.058138) + (xy 57.073983 103.13823) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 53.4409 103.378) + (xy 53.4409 103.179535) + (xy 53.458493 103.131197) + (xy 53.462926 103.126361) + (xy 53.501481 103.087806) + (xy 53.543016 103.046271) + (xy 53.600957 102.932555) + (xy 53.620922 102.8065) + (xy 54.075078 102.8065) + (xy 54.095043 102.932556) + (xy 54.135544 103.012043) + (xy 54.152984 103.046271) + (xy 54.243229 103.136516) + (xy 54.356943 103.194456) + (xy 54.356945 103.194457) + (xy 54.483 103.214422) + (xy 54.609055 103.194457) + (xy 54.722771 103.136516) + (xy 54.813016 103.046271) + (xy 54.870957 102.932555) + (xy 54.890922 102.8065) + (xy 55.345078 102.8065) + (xy 55.365043 102.932556) + (xy 55.405544 103.012043) + (xy 55.422984 103.046271) + (xy 55.513229 103.136516) + (xy 55.626943 103.194456) + (xy 55.626945 103.194457) + (xy 55.753 103.214422) + (xy 55.879055 103.194457) + (xy 55.992771 103.136516) + (xy 56.083016 103.046271) + (xy 56.140957 102.932555) + (xy 56.160922 102.8065) + (xy 56.140957 102.680445) + (xy 56.083016 102.566729) + (xy 55.992771 102.476484) + (xy 55.879055 102.418543) + (xy 55.879057 102.418543) + (xy 55.753 102.398578) + (xy 55.626943 102.418543) + (xy 55.513228 102.476484) + (xy 55.422984 102.566728) + (xy 55.365043 102.680443) + (xy 55.345078 102.806499) + (xy 55.345078 102.8065) + (xy 54.890922 102.8065) + (xy 54.870957 102.680445) + (xy 54.813016 102.566729) + (xy 54.722771 102.476484) + (xy 54.609055 102.418543) + (xy 54.609057 102.418543) + (xy 54.483 102.398578) + (xy 54.356943 102.418543) + (xy 54.243228 102.476484) + (xy 54.152984 102.566728) + (xy 54.095043 102.680443) + (xy 54.075078 102.806499) + (xy 54.075078 102.8065) + (xy 53.620922 102.8065) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.452771 102.476484) + (xy 53.339055 102.418543) + (xy 53.339057 102.418543) + (xy 53.213 102.398578) + (xy 53.086943 102.418543) + (xy 52.973228 102.476484) + (xy 52.882984 102.566728) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 46.101 102.8065) + (xy 46.101 97.8535) + (xy 57.885078 97.8535) + (xy 57.905043 97.979556) + (xy 57.93439 98.037152) + (xy 57.962984 98.093271) + (xy 58.053229 98.183516) + (xy 58.166943 98.241456) + (xy 58.166945 98.241457) + (xy 58.293 98.261422) + (xy 58.419055 98.241457) + (xy 58.532771 98.183516) + (xy 58.623016 98.093271) + (xy 58.680957 97.979555) + (xy 58.700922 97.8535) + (xy 59.155078 97.8535) + (xy 59.175043 97.979556) + (xy 59.20439 98.037152) + (xy 59.232984 98.093271) + (xy 59.323229 98.183516) + (xy 59.436943 98.241456) + (xy 59.436945 98.241457) + (xy 59.563 98.261422) + (xy 59.689055 98.241457) + (xy 59.802771 98.183516) + (xy 59.893016 98.093271) + (xy 59.950957 97.979555) + (xy 59.970922 97.8535) + (xy 59.967684 97.833059) + (xy 59.966499 97.825573) + (xy 59.950957 97.727445) + (xy 59.893016 97.613729) + (xy 59.802771 97.523484) + (xy 59.689055 97.465543) + (xy 59.689057 97.465543) + (xy 59.563 97.445578) + (xy 59.436943 97.465543) + (xy 59.323228 97.523484) + (xy 59.232984 97.613728) + (xy 59.175043 97.727443) + (xy 59.155078 97.853499) + (xy 59.155078 97.8535) + (xy 58.700922 97.8535) + (xy 58.697684 97.833059) + (xy 58.696499 97.825573) + (xy 58.680957 97.727445) + (xy 58.623016 97.613729) + (xy 58.532771 97.523484) + (xy 58.419055 97.465543) + (xy 58.419057 97.465543) + (xy 58.293 97.445578) + (xy 58.166943 97.465543) + (xy 58.053228 97.523484) + (xy 57.962984 97.613728) + (xy 57.905043 97.727443) + (xy 57.885078 97.853499) + (xy 57.885078 97.8535) + (xy 46.101 97.8535) + (xy 46.101 95.0595) + (xy 57.885078 95.0595) + (xy 57.905043 95.185556) + (xy 57.95815 95.289784) + (xy 57.962984 95.299271) + (xy 58.053229 95.389516) + (xy 58.166943 95.447456) + (xy 58.166945 95.447457) + (xy 58.293 95.467422) + (xy 58.419055 95.447457) + (xy 58.532771 95.389516) + (xy 58.623016 95.299271) + (xy 58.680957 95.185555) + (xy 58.700922 95.0595) + (xy 59.155078 95.0595) + (xy 59.175043 95.185556) + (xy 59.22815 95.289784) + (xy 59.232984 95.299271) + (xy 59.323229 95.389516) + (xy 59.436943 95.447456) + (xy 59.436945 95.447457) + (xy 59.563 95.467422) + (xy 59.689055 95.447457) + (xy 59.802771 95.389516) + (xy 59.893016 95.299271) + (xy 59.950957 95.185555) + (xy 59.970922 95.0595) + (xy 59.967754 95.039501) + (xy 59.966272 95.030138) + (xy 59.950957 94.933445) + (xy 59.893016 94.819729) + (xy 59.802771 94.729484) + (xy 59.689055 94.671543) + (xy 59.689057 94.671543) + (xy 59.563 94.651578) + (xy 59.436943 94.671543) + (xy 59.323228 94.729484) + (xy 59.232984 94.819728) + (xy 59.175043 94.933443) + (xy 59.155078 95.059499) + (xy 59.155078 95.0595) + (xy 58.700922 95.0595) + (xy 58.697754 95.039501) + (xy 58.696272 95.030138) + (xy 58.680957 94.933445) + (xy 58.623016 94.819729) + (xy 58.532771 94.729484) + (xy 58.419055 94.671543) + (xy 58.419057 94.671543) + (xy 58.293 94.651578) + (xy 58.166943 94.671543) + (xy 58.053228 94.729484) + (xy 57.962984 94.819728) + (xy 57.905043 94.933443) + (xy 57.885078 95.059499) + (xy 57.885078 95.0595) + (xy 46.101 95.0595) + (xy 46.101 94.0435) + (xy 58.774078 94.0435) + (xy 58.794043 94.169556) + (xy 58.841681 94.263051) + (xy 58.851984 94.283271) + (xy 58.942229 94.373516) + (xy 59.055943 94.431456) + (xy 59.055945 94.431457) + (xy 59.182 94.451422) + (xy 59.308055 94.431457) + (xy 59.421771 94.373516) + (xy 59.512016 94.283271) + (xy 59.569957 94.169555) + (xy 59.589922 94.0435) + (xy 59.569957 93.917445) + (xy 59.512016 93.803729) + (xy 59.421771 93.713484) + (xy 59.308055 93.655543) + (xy 59.308057 93.655543) + (xy 59.182 93.635578) + (xy 59.055943 93.655543) + (xy 58.942228 93.713484) + (xy 58.851984 93.803728) + (xy 58.794043 93.917443) + (xy 58.774078 94.043499) + (xy 58.774078 94.0435) + (xy 46.101 94.0435) + (xy 46.101 93.606448) + (xy 46.10246 93.5917) + (xy 46.134871 93.429645) + (xy 46.226025 92.97387) + (xy 46.232501 92.954996) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 48.138 90.8) + (xy 90.292443 90.8) + (xy 90.310977 90.928911) + (xy 90.365078 91.047373) + (xy 90.36508 91.047376) + (xy 90.450366 91.145801) + (xy 90.559926 91.216209) + (xy 90.684883 91.2529) + (xy 90.815117 91.2529) + (xy 90.940073 91.216209) + (xy 90.940073 91.216208) + (xy 90.940076 91.216208) + (xy 91.049636 91.145799) + (xy 91.134921 91.047374) + (xy 91.189023 90.928909) + (xy 91.207557 90.8) + (xy 91.189023 90.671091) + (xy 91.166188 90.621091) + (xy 91.134921 90.552626) + (xy 91.134919 90.552623) + (xy 91.049633 90.454198) + (xy 90.940073 90.38379) + (xy 90.815117 90.3471) + (xy 90.684883 90.3471) + (xy 90.559926 90.38379) + (xy 90.450366 90.454198) + (xy 90.36508 90.552623) + (xy 90.365078 90.552626) + (xy 90.310977 90.671088) + (xy 90.292443 90.8) + (xy 48.138 90.8) + (xy 54.274015 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.594379 85.421367) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.752014 84.31958) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274015 84.663985) + (xy 56.56001 82.37799) + (xy 139.631845 82.37799) + (xy 139.634689 82.430448) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.033379 83.135367) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 56.56001 82.37799) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.68387 80.516025) + (xy 59.3017 80.39246) + (xy 59.316448 80.391) + (xy 142.994552 80.391) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 81.6207 128.809622) + (xy 81.636274 128.821573) + (xy 83.570074 130.755373) + (xy 83.591814 130.801993) + (xy 83.5921 130.808547) + (xy 83.5921 131.3839) + (xy 83.574507 131.432238) + (xy 83.529958 131.457958) + (xy 83.5169 131.4591) + (xy 83.397197 131.4591) + (xy 83.311402 131.469403) + (xy 83.174871 131.523244) + (xy 83.17487 131.523245) + (xy 83.130725 131.55672) + (xy 83.085288 131.572) + (xy 82.014712 131.572) + (xy 81.969274 131.55672) + (xy 81.92513 131.523245) + (xy 81.925128 131.523244) + (xy 81.788597 131.469403) + (xy 81.702803 131.4591) + (xy 81.702802 131.4591) + (xy 81.5831 131.4591) + (xy 81.534762 131.441507) + (xy 81.509042 131.396958) + (xy 81.5079 131.3839) + (xy 81.5079 128.874747) + (xy 81.525493 128.826409) + (xy 81.570042 128.800689) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 85.540291 129.995493) + (xy 85.545127 129.999926) + (xy 86.110074 130.564873) + (xy 86.131814 130.611493) + (xy 86.1321 130.618047) + (xy 86.1321 131.3839) + (xy 86.114507 131.432238) + (xy 86.069958 131.457958) + (xy 86.0569 131.4591) + (xy 85.937197 131.4591) + (xy 85.851402 131.469403) + (xy 85.714871 131.523244) + (xy 85.71487 131.523245) + (xy 85.670725 131.55672) + (xy 85.625288 131.572) + (xy 84.554712 131.572) + (xy 84.509274 131.55672) + (xy 84.46513 131.523245) + (xy 84.465128 131.523244) + (xy 84.328597 131.469403) + (xy 84.242803 131.4591) + (xy 84.242802 131.4591) + (xy 84.1231 131.4591) + (xy 84.074762 131.441507) + (xy 84.049042 131.396958) + (xy 84.0479 131.3839) + (xy 84.0479 130.690928) + (xy 84.048003 130.686991) + (xy 84.048951 130.668913) + (xy 84.050123 130.646552) + (xy 84.047741 130.640348) + (xy 84.04131 130.623595) + (xy 84.03796 130.612288) + (xy 84.032848 130.588234) + (xy 84.02783 130.581327) + (xy 84.018463 130.564075) + (xy 84.015403 130.556104) + (xy 84.015402 130.556102) + (xy 83.998018 130.538718) + (xy 83.990355 130.529747) + (xy 83.975901 130.509854) + (xy 83.974295 130.508927) + (xy 83.968503 130.505582) + (xy 83.952933 130.493634) + (xy 83.569878 130.110578) + (xy 83.548138 130.063958) + (xy 83.561452 130.014271) + (xy 83.603589 129.984766) + (xy 83.605503 129.984463) + (xy 83.612193 129.98267) + (xy 83.612194 129.982671) + (xy 83.615017 129.981914) + (xy 83.62044 129.980462) + (xy 83.639901 129.9779) + (xy 85.491953 129.9779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 87.081791 129.695493) + (xy 87.086627 129.699926) + (xy 88.650074 131.263373) + (xy 88.671814 131.309993) + (xy 88.6721 131.316547) + (xy 88.6721 131.3839) + (xy 88.654507 131.432238) + (xy 88.609958 131.457958) + (xy 88.5969 131.4591) + (xy 88.477197 131.4591) + (xy 88.391402 131.469403) + (xy 88.254871 131.523244) + (xy 88.25487 131.523245) + (xy 88.210725 131.55672) + (xy 88.165288 131.572) + (xy 87.094712 131.572) + (xy 87.049274 131.55672) + (xy 87.00513 131.523245) + (xy 87.005128 131.523244) + (xy 86.868597 131.469403) + (xy 86.782803 131.4591) + (xy 86.782802 131.4591) + (xy 86.6631 131.4591) + (xy 86.614762 131.441507) + (xy 86.589042 131.396958) + (xy 86.5879 131.3839) + (xy 86.5879 130.500436) + (xy 86.588003 130.496499) + (xy 86.590123 130.456051) + (xy 86.581311 130.433095) + (xy 86.577959 130.42178) + (xy 86.572848 130.397735) + (xy 86.572848 130.397734) + (xy 86.567831 130.39083) + (xy 86.558463 130.373574) + (xy 86.555404 130.365604) + (xy 86.546892 130.357092) + (xy 86.538007 130.348207) + (xy 86.530355 130.339249) + (xy 86.515901 130.319354) + (xy 86.5159 130.319353) + (xy 86.515899 130.319352) + (xy 86.508506 130.315084) + (xy 86.492934 130.303134) + (xy 85.996074 129.806274) + (xy 85.974334 129.759654) + (xy 85.987648 129.709967) + (xy 86.029785 129.680462) + (xy 86.049248 129.6779) + (xy 87.033453 129.6779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 89.321791 129.395493) + (xy 89.326627 129.399926) + (xy 91.190074 131.263373) + (xy 91.211814 131.309993) + (xy 91.2121 131.316547) + (xy 91.2121 131.3839) + (xy 91.194507 131.432238) + (xy 91.149958 131.457958) + (xy 91.1369 131.4591) + (xy 91.017197 131.4591) + (xy 90.931402 131.469403) + (xy 90.794871 131.523244) + (xy 90.79487 131.523245) + (xy 90.750725 131.55672) + (xy 90.705288 131.572) + (xy 89.634712 131.572) + (xy 89.589274 131.55672) + (xy 89.54513 131.523245) + (xy 89.545128 131.523244) + (xy 89.408597 131.469403) + (xy 89.322803 131.4591) + (xy 89.322802 131.4591) + (xy 89.2031 131.4591) + (xy 89.154762 131.441507) + (xy 89.129042 131.396958) + (xy 89.1279 131.3839) + (xy 89.1279 131.198936) + (xy 89.128003 131.194999) + (xy 89.130123 131.154555) + (xy 89.130122 131.154554) + (xy 89.130123 131.154552) + (xy 89.121306 131.131586) + (xy 89.11796 131.120288) + (xy 89.112848 131.096234) + (xy 89.10783 131.089327) + (xy 89.098463 131.072075) + (xy 89.095403 131.064104) + (xy 89.095402 131.064102) + (xy 89.078018 131.046718) + (xy 89.070355 131.037747) + (xy 89.055901 131.017854) + (xy 89.051924 131.015558) + (xy 89.048503 131.013582) + (xy 89.032933 131.001634) + (xy 87.537574 129.506274) + (xy 87.515834 129.459654) + (xy 87.529148 129.409967) + (xy 87.571285 129.380462) + (xy 87.590748 129.3779) + (xy 89.273453 129.3779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 91.561791 129.095493) + (xy 91.566627 129.099926) + (xy 93.730074 131.263373) + (xy 93.751814 131.309993) + (xy 93.7521 131.316547) + (xy 93.7521 131.3839) + (xy 93.734507 131.432238) + (xy 93.689958 131.457958) + (xy 93.6769 131.4591) + (xy 93.557197 131.4591) + (xy 93.471402 131.469403) + (xy 93.334871 131.523244) + (xy 93.33487 131.523245) + (xy 93.290725 131.55672) + (xy 93.245288 131.572) + (xy 92.174712 131.572) + (xy 92.129274 131.55672) + (xy 92.08513 131.523245) + (xy 92.085128 131.523244) + (xy 91.948597 131.469403) + (xy 91.862803 131.4591) + (xy 91.862802 131.4591) + (xy 91.7431 131.4591) + (xy 91.694762 131.441507) + (xy 91.669042 131.396958) + (xy 91.6679 131.3839) + (xy 91.6679 131.198936) + (xy 91.668003 131.194999) + (xy 91.670123 131.154555) + (xy 91.670122 131.154554) + (xy 91.670123 131.154552) + (xy 91.661306 131.131586) + (xy 91.65796 131.120288) + (xy 91.652848 131.096234) + (xy 91.64783 131.089327) + (xy 91.638463 131.072075) + (xy 91.635403 131.064104) + (xy 91.635402 131.064102) + (xy 91.618018 131.046718) + (xy 91.610355 131.037747) + (xy 91.595901 131.017854) + (xy 91.591924 131.015558) + (xy 91.588503 131.013582) + (xy 91.572933 131.001634) + (xy 89.777574 129.206274) + (xy 89.755834 129.159654) + (xy 89.769148 129.109967) + (xy 89.811285 129.080462) + (xy 89.830748 129.0779) + (xy 91.513453 129.0779) + ) + ) + (filled_polygon + (layer "B.Cu") + (pts + (xy 93.801791 128.795493) + (xy 93.806627 128.799926) + (xy 96.270074 131.263373) + (xy 96.291814 131.309993) + (xy 96.2921 131.316547) + (xy 96.2921 131.3839) + (xy 96.274507 131.432238) + (xy 96.229958 131.457958) + (xy 96.2169 131.4591) + (xy 96.097197 131.4591) + (xy 96.011402 131.469403) + (xy 95.874871 131.523244) + (xy 95.87487 131.523245) + (xy 95.830725 131.55672) + (xy 95.785288 131.572) + (xy 94.714712 131.572) + (xy 94.669274 131.55672) + (xy 94.62513 131.523245) + (xy 94.625128 131.523244) + (xy 94.488597 131.469403) + (xy 94.402803 131.4591) + (xy 94.402802 131.4591) + (xy 94.2831 131.4591) + (xy 94.234762 131.441507) + (xy 94.209042 131.396958) + (xy 94.2079 131.3839) + (xy 94.2079 131.198936) + (xy 94.208003 131.194999) + (xy 94.210123 131.154555) + (xy 94.210122 131.154554) + (xy 94.210123 131.154552) + (xy 94.201306 131.131586) + (xy 94.19796 131.120288) + (xy 94.192848 131.096234) + (xy 94.18783 131.089327) + (xy 94.178463 131.072075) + (xy 94.175403 131.064104) + (xy 94.175402 131.064102) + (xy 94.158018 131.046718) + (xy 94.150355 131.037747) + (xy 94.135901 131.017854) + (xy 94.131924 131.015558) + (xy 94.128503 131.013582) + (xy 94.112933 131.001634) + (xy 92.017574 128.906274) + (xy 91.995834 128.859654) + (xy 92.009148 128.809967) + (xy 92.051285 128.780462) + (xy 92.070748 128.7779) + (xy 93.753453 128.7779) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 00481e03-a8c1-4c12-a45a-92bbdffbe9b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 136.398) + (xy 119.507 136.779) + (xy 119.888 136.779) + (xy 119.888 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 03d5c37d-b0ba-4cc1-92fe-f0d8b18b3fe6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 133.858) + (xy 116.332 134.239) + (xy 116.713 134.239) + (xy 116.713 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 05a0ff7b-af03-4775-91ed-6220551a0214) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 133.223) + (xy 76.327 133.604) + (xy 76.708 133.604) + (xy 76.708 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 0b1fbb84-75ee-4802-b68a-b109ed41c4f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 138.303) + (xy 118.872 138.684) + (xy 119.253 138.684) + (xy 119.253 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 146e3493-7616-452e-a58d-a320db1fc0b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 132.588) + (xy 119.507 132.969) + (xy 119.888 132.969) + (xy 119.888 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 1bba28b6-f5ba-4d10-8f0a-1f416a0c4805) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 134.493) + (xy 118.872 134.874) + (xy 119.253 134.874) + (xy 119.253 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 1eb440ab-84d5-4d0a-8530-184dcf5f74c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 132.588) + (xy 118.872 132.969) + (xy 119.253 132.969) + (xy 119.253 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 20915998-8f2c-4dff-86f6-eb901b5634a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 137.033) + (xy 116.967 137.414) + (xy 117.348 137.414) + (xy 117.348 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 25393e6b-0418-4d3f-bc15-885b4b527a41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 133.223) + (xy 116.967 133.604) + (xy 117.348 133.604) + (xy 117.348 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 2af3e1fc-2cef-493f-8976-634695aa32f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 136.398) + (xy 116.332 136.779) + (xy 116.713 136.779) + (xy 116.713 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 30c708ac-bcd4-4fac-915d-2cfb2ed54882) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 135.128) + (xy 118.872 135.509) + (xy 119.253 135.509) + (xy 119.253 135.128) + ) + ) + ) + (zone (net 2) (net_name "GND") (layer "In1.Cu") (tstamp 382d8bed-959d-4a06-b653-17604b8f54fa) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 143.637 80.518) + (xy 144.272 80.899) + (xy 144.653 81.28) + (xy 144.907 81.788) + (xy 145.034 82.423) + (xy 145.034 129.54) + (xy 144.907 130.175) + (xy 144.653 130.683) + (xy 144.145 131.191) + (xy 143.637 131.445) + (xy 143.002 131.572) + (xy 138.938 131.572) + (xy 138.938 139.192) + (xy 74.422 139.192) + (xy 74.422 131.572) + (xy 48.133 131.572) + (xy 47.498 131.445) + (xy 46.99 131.191) + (xy 46.482 130.683) + (xy 46.228 130.175) + (xy 46.101 129.54) + (xy 46.101 93.599) + (xy 46.228 92.964) + (xy 46.482 92.456) + (xy 58.166 80.772) + (xy 58.674 80.518) + (xy 59.309 80.391) + (xy 143.002 80.391) + ) + ) + (filled_polygon + (layer "In1.Cu") + (pts + (xy 143.0093 80.39246) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 145.03254 82.4157) + (xy 145.034 82.430448) + (xy 145.034 129.532552) + (xy 145.03254 129.5473) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.0093 131.57054) + (xy 142.994552 131.572) + (xy 138.938 131.572) + (xy 138.938 139.1168) + (xy 138.920407 139.165138) + (xy 138.875858 139.190858) + (xy 138.8628 139.192) + (xy 74.4972 139.192) + (xy 74.448862 139.174407) + (xy 74.423142 139.129858) + (xy 74.422 139.1168) + (xy 74.422 138.303) + (xy 75.692 138.303) + (xy 75.692 138.684) + (xy 76.073 138.684) + (xy 76.073 138.303) + (xy 76.327 138.303) + (xy 76.327 138.684) + (xy 76.708 138.684) + (xy 76.708 138.303) + (xy 78.232 138.303) + (xy 78.232 138.684) + (xy 78.613 138.684) + (xy 78.613 138.303) + (xy 78.867 138.303) + (xy 78.867 138.684) + (xy 79.248 138.684) + (xy 79.248 138.303) + (xy 80.772 138.303) + (xy 80.772 138.684) + (xy 81.153 138.684) + (xy 81.153 138.303) + (xy 81.407 138.303) + (xy 81.407 138.684) + (xy 81.788 138.684) + (xy 81.788 138.303) + (xy 83.312 138.303) + (xy 83.312 138.684) + (xy 83.693 138.684) + (xy 83.693 138.303) + (xy 83.947 138.303) + (xy 83.947 138.684) + (xy 84.328 138.684) + (xy 84.328 138.303) + (xy 85.852 138.303) + (xy 85.852 138.684) + (xy 86.233 138.684) + (xy 86.233 138.303) + (xy 86.487 138.303) + (xy 86.487 138.684) + (xy 86.868 138.684) + (xy 86.868 138.303) + (xy 88.392 138.303) + (xy 88.392 138.684) + (xy 88.773 138.684) + (xy 88.773 138.303) + (xy 89.027 138.303) + (xy 89.027 138.684) + (xy 89.408 138.684) + (xy 89.408 138.303) + (xy 90.932 138.303) + (xy 90.932 138.684) + (xy 91.313 138.684) + (xy 91.313 138.303) + (xy 91.567 138.303) + (xy 91.567 138.684) + (xy 91.948 138.684) + (xy 91.948 138.303) + (xy 93.472 138.303) + (xy 93.472 138.684) + (xy 93.853 138.684) + (xy 93.853 138.303) + (xy 94.107 138.303) + (xy 94.107 138.684) + (xy 94.488 138.684) + (xy 94.488 138.303) + (xy 96.012 138.303) + (xy 96.012 138.684) + (xy 96.393 138.684) + (xy 96.393 138.303) + (xy 96.647 138.303) + (xy 96.647 138.684) + (xy 97.028 138.684) + (xy 97.028 138.303) + (xy 98.552 138.303) + (xy 98.552 138.684) + (xy 98.933 138.684) + (xy 98.933 138.303) + (xy 99.187 138.303) + (xy 99.187 138.684) + (xy 99.568 138.684) + (xy 99.568 138.303) + (xy 101.092 138.303) + (xy 101.092 138.684) + (xy 101.473 138.684) + (xy 101.473 138.303) + (xy 101.727 138.303) + (xy 101.727 138.684) + (xy 102.108 138.684) + (xy 102.108 138.303) + (xy 103.632 138.303) + (xy 103.632 138.684) + (xy 104.013 138.684) + (xy 104.013 138.303) + (xy 104.267 138.303) + (xy 104.267 138.684) + (xy 104.648 138.684) + (xy 104.648 138.303) + (xy 106.172 138.303) + (xy 106.172 138.684) + (xy 106.553 138.684) + (xy 106.553 138.303) + (xy 106.807 138.303) + (xy 106.807 138.684) + (xy 107.188 138.684) + (xy 107.188 138.303) + (xy 108.712 138.303) + (xy 108.712 138.684) + (xy 109.093 138.684) + (xy 109.093 138.303) + (xy 109.347 138.303) + (xy 109.347 138.684) + (xy 109.728 138.684) + (xy 109.728 138.303) + (xy 111.252 138.303) + (xy 111.252 138.684) + (xy 111.633 138.684) + (xy 111.633 138.303) + (xy 111.887 138.303) + (xy 111.887 138.684) + (xy 112.268 138.684) + (xy 112.268 138.303) + (xy 113.792 138.303) + (xy 113.792 138.684) + (xy 114.173 138.684) + (xy 114.173 138.303) + (xy 114.427 138.303) + (xy 114.427 138.684) + (xy 114.808 138.684) + (xy 114.808 138.303) + (xy 116.332 138.303) + (xy 116.332 138.684) + (xy 116.713 138.684) + (xy 116.713 138.303) + (xy 116.967 138.303) + (xy 116.967 138.684) + (xy 117.348 138.684) + (xy 117.348 138.303) + (xy 118.872 138.303) + (xy 118.872 138.684) + (xy 119.253 138.684) + (xy 119.253 138.303) + (xy 119.507 138.303) + (xy 119.507 138.684) + (xy 119.888 138.684) + (xy 119.888 138.303) + (xy 121.412 138.303) + (xy 121.412 138.684) + (xy 121.793 138.684) + (xy 121.793 138.303) + (xy 122.047 138.303) + (xy 122.047 138.684) + (xy 122.428 138.684) + (xy 122.428 138.303) + (xy 123.952 138.303) + (xy 123.952 138.684) + (xy 124.333 138.684) + (xy 124.333 138.303) + (xy 124.587 138.303) + (xy 124.587 138.684) + (xy 124.968 138.684) + (xy 124.968 138.303) + (xy 126.492 138.303) + (xy 126.492 138.684) + (xy 126.873 138.684) + (xy 126.873 138.303) + (xy 127.127 138.303) + (xy 127.127 138.684) + (xy 127.508 138.684) + (xy 127.508 138.303) + (xy 129.032 138.303) + (xy 129.032 138.684) + (xy 129.413 138.684) + (xy 129.413 138.303) + (xy 129.667 138.303) + (xy 129.667 138.684) + (xy 130.048 138.684) + (xy 130.048 138.303) + (xy 131.572 138.303) + (xy 131.572 138.684) + (xy 131.953 138.684) + (xy 131.953 138.303) + (xy 132.207 138.303) + (xy 132.207 138.684) + (xy 132.588 138.684) + (xy 132.588 138.303) + (xy 134.112 138.303) + (xy 134.112 138.684) + (xy 134.493 138.684) + (xy 134.493 138.303) + (xy 134.747 138.303) + (xy 134.747 138.684) + (xy 135.128 138.684) + (xy 135.128 138.303) + (xy 134.747 138.303) + (xy 134.493 138.303) + (xy 134.112 138.303) + (xy 132.588 138.303) + (xy 132.207 138.303) + (xy 131.953 138.303) + (xy 131.572 138.303) + (xy 130.048 138.303) + (xy 129.667 138.303) + (xy 129.413 138.303) + (xy 129.032 138.303) + (xy 127.508 138.303) + (xy 127.127 138.303) + (xy 126.873 138.303) + (xy 126.492 138.303) + (xy 124.968 138.303) + (xy 124.587 138.303) + (xy 124.333 138.303) + (xy 123.952 138.303) + (xy 122.428 138.303) + (xy 122.047 138.303) + (xy 121.793 138.303) + (xy 121.412 138.303) + (xy 119.888 138.303) + (xy 119.507 138.303) + (xy 119.253 138.303) + (xy 118.872 138.303) + (xy 117.348 138.303) + (xy 116.967 138.303) + (xy 116.713 138.303) + (xy 116.332 138.303) + (xy 114.808 138.303) + (xy 114.427 138.303) + (xy 114.173 138.303) + (xy 113.792 138.303) + (xy 112.268 138.303) + (xy 111.887 138.303) + (xy 111.633 138.303) + (xy 111.252 138.303) + (xy 109.728 138.303) + (xy 109.347 138.303) + (xy 109.093 138.303) + (xy 108.712 138.303) + (xy 107.188 138.303) + (xy 106.807 138.303) + (xy 106.553 138.303) + (xy 106.172 138.303) + (xy 104.648 138.303) + (xy 104.267 138.303) + (xy 104.013 138.303) + (xy 103.632 138.303) + (xy 102.108 138.303) + (xy 101.727 138.303) + (xy 101.473 138.303) + (xy 101.092 138.303) + (xy 99.568 138.303) + (xy 99.187 138.303) + (xy 98.933 138.303) + (xy 98.552 138.303) + (xy 97.028 138.303) + (xy 96.647 138.303) + (xy 96.393 138.303) + (xy 96.012 138.303) + (xy 94.488 138.303) + (xy 94.107 138.303) + (xy 93.853 138.303) + (xy 93.472 138.303) + (xy 91.948 138.303) + (xy 91.567 138.303) + (xy 91.313 138.303) + (xy 90.932 138.303) + (xy 89.408 138.303) + (xy 89.027 138.303) + (xy 88.773 138.303) + (xy 88.392 138.303) + (xy 86.868 138.303) + (xy 86.487 138.303) + (xy 86.233 138.303) + (xy 85.852 138.303) + (xy 84.328 138.303) + (xy 83.947 138.303) + (xy 83.693 138.303) + (xy 83.312 138.303) + (xy 81.788 138.303) + (xy 81.407 138.303) + (xy 81.153 138.303) + (xy 80.772 138.303) + (xy 79.248 138.303) + (xy 78.867 138.303) + (xy 78.613 138.303) + (xy 78.232 138.303) + (xy 76.708 138.303) + (xy 76.327 138.303) + (xy 76.073 138.303) + (xy 75.692 138.303) + (xy 74.422 138.303) + (xy 74.422 137.668) + (xy 75.692 137.668) + (xy 75.692 138.049) + (xy 76.073 138.049) + (xy 76.073 137.668) + (xy 76.327 137.668) + (xy 76.327 138.049) + (xy 76.708 138.049) + (xy 76.708 137.668) + (xy 78.232 137.668) + (xy 78.232 138.049) + (xy 78.613 138.049) + (xy 78.613 137.668) + (xy 78.867 137.668) + (xy 78.867 138.049) + (xy 79.248 138.049) + (xy 79.248 137.668) + (xy 80.772 137.668) + (xy 80.772 138.049) + (xy 81.153 138.049) + (xy 81.153 137.668) + (xy 81.407 137.668) + (xy 81.407 138.049) + (xy 81.788 138.049) + (xy 81.788 137.668) + (xy 83.312 137.668) + (xy 83.312 138.049) + (xy 83.693 138.049) + (xy 83.693 137.668) + (xy 83.947 137.668) + (xy 83.947 138.049) + (xy 84.328 138.049) + (xy 84.328 137.668) + (xy 85.852 137.668) + (xy 85.852 138.049) + (xy 86.233 138.049) + (xy 86.233 137.668) + (xy 86.487 137.668) + (xy 86.487 138.049) + (xy 86.868 138.049) + (xy 86.868 137.668) + (xy 88.392 137.668) + (xy 88.392 138.049) + (xy 88.773 138.049) + (xy 88.773 137.668) + (xy 89.027 137.668) + (xy 89.027 138.049) + (xy 89.408 138.049) + (xy 89.408 137.668) + (xy 90.932 137.668) + (xy 90.932 138.049) + (xy 91.313 138.049) + (xy 91.313 137.668) + (xy 91.567 137.668) + (xy 91.567 138.049) + (xy 91.948 138.049) + (xy 91.948 137.668) + (xy 93.472 137.668) + (xy 93.472 138.049) + (xy 93.853 138.049) + (xy 93.853 137.668) + (xy 94.107 137.668) + (xy 94.107 138.049) + (xy 94.488 138.049) + (xy 94.488 137.668) + (xy 96.012 137.668) + (xy 96.012 138.049) + (xy 96.393 138.049) + (xy 96.393 137.668) + (xy 96.647 137.668) + (xy 96.647 138.049) + (xy 97.028 138.049) + (xy 97.028 137.668) + (xy 98.552 137.668) + (xy 98.552 138.049) + (xy 98.933 138.049) + (xy 98.933 137.668) + (xy 99.187 137.668) + (xy 99.187 138.049) + (xy 99.568 138.049) + (xy 99.568 137.668) + (xy 101.092 137.668) + (xy 101.092 138.049) + (xy 101.473 138.049) + (xy 101.473 137.668) + (xy 101.727 137.668) + (xy 101.727 138.049) + (xy 102.108 138.049) + (xy 102.108 137.668) + (xy 103.632 137.668) + (xy 103.632 138.049) + (xy 104.013 138.049) + (xy 104.013 137.668) + (xy 104.267 137.668) + (xy 104.267 138.049) + (xy 104.648 138.049) + (xy 104.648 137.668) + (xy 106.172 137.668) + (xy 106.172 138.049) + (xy 106.553 138.049) + (xy 106.553 137.668) + (xy 106.807 137.668) + (xy 106.807 138.049) + (xy 107.188 138.049) + (xy 107.188 137.668) + (xy 108.712 137.668) + (xy 108.712 138.049) + (xy 109.093 138.049) + (xy 109.093 137.668) + (xy 109.347 137.668) + (xy 109.347 138.049) + (xy 109.728 138.049) + (xy 109.728 137.668) + (xy 111.252 137.668) + (xy 111.252 138.049) + (xy 111.633 138.049) + (xy 111.633 137.668) + (xy 111.887 137.668) + (xy 111.887 138.049) + (xy 112.268 138.049) + (xy 112.268 137.668) + (xy 113.792 137.668) + (xy 113.792 138.049) + (xy 114.173 138.049) + (xy 114.173 137.668) + (xy 114.427 137.668) + (xy 114.427 138.049) + (xy 114.808 138.049) + (xy 114.808 137.668) + (xy 116.332 137.668) + (xy 116.332 138.049) + (xy 116.713 138.049) + (xy 116.713 137.668) + (xy 116.967 137.668) + (xy 116.967 138.049) + (xy 117.348 138.049) + (xy 117.348 137.668) + (xy 118.872 137.668) + (xy 118.872 138.049) + (xy 119.253 138.049) + (xy 119.253 137.668) + (xy 119.507 137.668) + (xy 119.507 138.049) + (xy 119.888 138.049) + (xy 119.888 137.668) + (xy 121.412 137.668) + (xy 121.412 138.049) + (xy 121.793 138.049) + (xy 121.793 137.668) + (xy 122.047 137.668) + (xy 122.047 138.049) + (xy 122.428 138.049) + (xy 122.428 137.668) + (xy 123.952 137.668) + (xy 123.952 138.049) + (xy 124.333 138.049) + (xy 124.333 137.668) + (xy 124.587 137.668) + (xy 124.587 138.049) + (xy 124.968 138.049) + (xy 124.968 137.668) + (xy 126.492 137.668) + (xy 126.492 138.049) + (xy 126.873 138.049) + (xy 126.873 137.668) + (xy 127.127 137.668) + (xy 127.127 138.049) + (xy 127.508 138.049) + (xy 127.508 137.668) + (xy 129.032 137.668) + (xy 129.032 138.049) + (xy 129.413 138.049) + (xy 129.413 137.668) + (xy 129.667 137.668) + (xy 129.667 138.049) + (xy 130.048 138.049) + (xy 130.048 137.668) + (xy 131.572 137.668) + (xy 131.572 138.049) + (xy 131.953 138.049) + (xy 131.953 137.668) + (xy 132.207 137.668) + (xy 132.207 138.049) + (xy 132.588 138.049) + (xy 132.588 137.668) + (xy 134.112 137.668) + (xy 134.112 138.049) + (xy 134.493 138.049) + (xy 134.493 137.668) + (xy 134.747 137.668) + (xy 134.747 138.049) + (xy 135.128 138.049) + (xy 135.128 137.668) + (xy 134.747 137.668) + (xy 134.493 137.668) + (xy 134.112 137.668) + (xy 132.588 137.668) + (xy 132.207 137.668) + (xy 131.953 137.668) + (xy 131.572 137.668) + (xy 130.048 137.668) + (xy 129.667 137.668) + (xy 129.413 137.668) + (xy 129.032 137.668) + (xy 127.508 137.668) + (xy 127.127 137.668) + (xy 126.873 137.668) + (xy 126.492 137.668) + (xy 124.968 137.668) + (xy 124.587 137.668) + (xy 124.333 137.668) + (xy 123.952 137.668) + (xy 122.428 137.668) + (xy 122.047 137.668) + (xy 121.793 137.668) + (xy 121.412 137.668) + (xy 119.888 137.668) + (xy 119.507 137.668) + (xy 119.253 137.668) + (xy 118.872 137.668) + (xy 117.348 137.668) + (xy 116.967 137.668) + (xy 116.713 137.668) + (xy 116.332 137.668) + (xy 114.808 137.668) + (xy 114.427 137.668) + (xy 114.173 137.668) + (xy 113.792 137.668) + (xy 112.268 137.668) + (xy 111.887 137.668) + (xy 111.633 137.668) + (xy 111.252 137.668) + (xy 109.728 137.668) + (xy 109.347 137.668) + (xy 109.093 137.668) + (xy 108.712 137.668) + (xy 107.188 137.668) + (xy 106.807 137.668) + (xy 106.553 137.668) + (xy 106.172 137.668) + (xy 104.648 137.668) + (xy 104.267 137.668) + (xy 104.013 137.668) + (xy 103.632 137.668) + (xy 102.108 137.668) + (xy 101.727 137.668) + (xy 101.473 137.668) + (xy 101.092 137.668) + (xy 99.568 137.668) + (xy 99.187 137.668) + (xy 98.933 137.668) + (xy 98.552 137.668) + (xy 97.028 137.668) + (xy 96.647 137.668) + (xy 96.393 137.668) + (xy 96.012 137.668) + (xy 94.488 137.668) + (xy 94.107 137.668) + (xy 93.853 137.668) + (xy 93.472 137.668) + (xy 91.948 137.668) + (xy 91.567 137.668) + (xy 91.313 137.668) + (xy 90.932 137.668) + (xy 89.408 137.668) + (xy 89.027 137.668) + (xy 88.773 137.668) + (xy 88.392 137.668) + (xy 86.868 137.668) + (xy 86.487 137.668) + (xy 86.233 137.668) + (xy 85.852 137.668) + (xy 84.328 137.668) + (xy 83.947 137.668) + (xy 83.693 137.668) + (xy 83.312 137.668) + (xy 81.788 137.668) + (xy 81.407 137.668) + (xy 81.153 137.668) + (xy 80.772 137.668) + (xy 79.248 137.668) + (xy 78.867 137.668) + (xy 78.613 137.668) + (xy 78.232 137.668) + (xy 76.708 137.668) + (xy 76.327 137.668) + (xy 76.073 137.668) + (xy 75.692 137.668) + (xy 74.422 137.668) + (xy 74.422 137.033) + (xy 75.692 137.033) + (xy 75.692 137.414) + (xy 76.073 137.414) + (xy 76.073 137.033) + (xy 76.327 137.033) + (xy 76.327 137.414) + (xy 76.708 137.414) + (xy 76.708 137.033) + (xy 78.232 137.033) + (xy 78.232 137.414) + (xy 78.613 137.414) + (xy 78.613 137.033) + (xy 78.867 137.033) + (xy 78.867 137.414) + (xy 79.248 137.414) + (xy 79.248 137.033) + (xy 80.772 137.033) + (xy 80.772 137.414) + (xy 81.153 137.414) + (xy 81.153 137.033) + (xy 81.407 137.033) + (xy 81.407 137.414) + (xy 81.788 137.414) + (xy 81.788 137.033) + (xy 83.312 137.033) + (xy 83.312 137.414) + (xy 83.693 137.414) + (xy 83.693 137.033) + (xy 83.947 137.033) + (xy 83.947 137.414) + (xy 84.328 137.414) + (xy 84.328 137.033) + (xy 85.852 137.033) + (xy 85.852 137.414) + (xy 86.233 137.414) + (xy 86.233 137.033) + (xy 86.487 137.033) + (xy 86.487 137.414) + (xy 86.868 137.414) + (xy 86.868 137.033) + (xy 88.392 137.033) + (xy 88.392 137.414) + (xy 88.773 137.414) + (xy 88.773 137.033) + (xy 89.027 137.033) + (xy 89.027 137.414) + (xy 89.408 137.414) + (xy 89.408 137.033) + (xy 90.932 137.033) + (xy 90.932 137.414) + (xy 91.313 137.414) + (xy 91.313 137.033) + (xy 91.567 137.033) + (xy 91.567 137.414) + (xy 91.948 137.414) + (xy 91.948 137.033) + (xy 93.472 137.033) + (xy 93.472 137.414) + (xy 93.853 137.414) + (xy 93.853 137.033) + (xy 94.107 137.033) + (xy 94.107 137.414) + (xy 94.488 137.414) + (xy 94.488 137.033) + (xy 96.012 137.033) + (xy 96.012 137.414) + (xy 96.393 137.414) + (xy 96.393 137.033) + (xy 96.647 137.033) + (xy 96.647 137.414) + (xy 97.028 137.414) + (xy 97.028 137.033) + (xy 98.552 137.033) + (xy 98.552 137.414) + (xy 98.933 137.414) + (xy 98.933 137.033) + (xy 99.187 137.033) + (xy 99.187 137.414) + (xy 99.568 137.414) + (xy 99.568 137.033) + (xy 101.092 137.033) + (xy 101.092 137.414) + (xy 101.473 137.414) + (xy 101.473 137.033) + (xy 101.727 137.033) + (xy 101.727 137.414) + (xy 102.108 137.414) + (xy 102.108 137.033) + (xy 103.632 137.033) + (xy 103.632 137.414) + (xy 104.013 137.414) + (xy 104.013 137.033) + (xy 104.267 137.033) + (xy 104.267 137.414) + (xy 104.648 137.414) + (xy 104.648 137.033) + (xy 106.172 137.033) + (xy 106.172 137.414) + (xy 106.553 137.414) + (xy 106.553 137.033) + (xy 106.807 137.033) + (xy 106.807 137.414) + (xy 107.188 137.414) + (xy 107.188 137.033) + (xy 108.712 137.033) + (xy 108.712 137.414) + (xy 109.093 137.414) + (xy 109.093 137.033) + (xy 109.347 137.033) + (xy 109.347 137.414) + (xy 109.728 137.414) + (xy 109.728 137.033) + (xy 111.252 137.033) + (xy 111.252 137.414) + (xy 111.633 137.414) + (xy 111.633 137.033) + (xy 111.887 137.033) + (xy 111.887 137.414) + (xy 112.268 137.414) + (xy 112.268 137.033) + (xy 113.792 137.033) + (xy 113.792 137.414) + (xy 114.173 137.414) + (xy 114.173 137.033) + (xy 114.427 137.033) + (xy 114.427 137.414) + (xy 114.808 137.414) + (xy 114.808 137.033) + (xy 116.332 137.033) + (xy 116.332 137.414) + (xy 116.713 137.414) + (xy 116.713 137.033) + (xy 116.967 137.033) + (xy 116.967 137.414) + (xy 117.348 137.414) + (xy 117.348 137.033) + (xy 118.872 137.033) + (xy 118.872 137.414) + (xy 119.253 137.414) + (xy 119.253 137.033) + (xy 119.507 137.033) + (xy 119.507 137.414) + (xy 119.888 137.414) + (xy 119.888 137.033) + (xy 121.412 137.033) + (xy 121.412 137.414) + (xy 121.793 137.414) + (xy 121.793 137.033) + (xy 122.047 137.033) + (xy 122.047 137.414) + (xy 122.428 137.414) + (xy 122.428 137.033) + (xy 123.952 137.033) + (xy 123.952 137.414) + (xy 124.333 137.414) + (xy 124.333 137.033) + (xy 124.587 137.033) + (xy 124.587 137.414) + (xy 124.968 137.414) + (xy 124.968 137.033) + (xy 126.492 137.033) + (xy 126.492 137.414) + (xy 126.873 137.414) + (xy 126.873 137.033) + (xy 127.127 137.033) + (xy 127.127 137.414) + (xy 127.508 137.414) + (xy 127.508 137.033) + (xy 129.032 137.033) + (xy 129.032 137.414) + (xy 129.413 137.414) + (xy 129.413 137.033) + (xy 129.667 137.033) + (xy 129.667 137.414) + (xy 130.048 137.414) + (xy 130.048 137.033) + (xy 131.572 137.033) + (xy 131.572 137.414) + (xy 131.953 137.414) + (xy 131.953 137.033) + (xy 132.207 137.033) + (xy 132.207 137.414) + (xy 132.588 137.414) + (xy 132.588 137.033) + (xy 134.112 137.033) + (xy 134.112 137.414) + (xy 134.493 137.414) + (xy 134.493 137.033) + (xy 134.747 137.033) + (xy 134.747 137.414) + (xy 135.128 137.414) + (xy 135.128 137.033) + (xy 134.747 137.033) + (xy 134.493 137.033) + (xy 134.112 137.033) + (xy 132.588 137.033) + (xy 132.207 137.033) + (xy 131.953 137.033) + (xy 131.572 137.033) + (xy 130.048 137.033) + (xy 129.667 137.033) + (xy 129.413 137.033) + (xy 129.032 137.033) + (xy 127.508 137.033) + (xy 127.127 137.033) + (xy 126.873 137.033) + (xy 126.492 137.033) + (xy 124.968 137.033) + (xy 124.587 137.033) + (xy 124.333 137.033) + (xy 123.952 137.033) + (xy 122.428 137.033) + (xy 122.047 137.033) + (xy 121.793 137.033) + (xy 121.412 137.033) + (xy 119.888 137.033) + (xy 119.507 137.033) + (xy 119.253 137.033) + (xy 118.872 137.033) + (xy 117.348 137.033) + (xy 116.967 137.033) + (xy 116.713 137.033) + (xy 116.332 137.033) + (xy 114.808 137.033) + (xy 114.427 137.033) + (xy 114.173 137.033) + (xy 113.792 137.033) + (xy 112.268 137.033) + (xy 111.887 137.033) + (xy 111.633 137.033) + (xy 111.252 137.033) + (xy 109.728 137.033) + (xy 109.347 137.033) + (xy 109.093 137.033) + (xy 108.712 137.033) + (xy 107.188 137.033) + (xy 106.807 137.033) + (xy 106.553 137.033) + (xy 106.172 137.033) + (xy 104.648 137.033) + (xy 104.267 137.033) + (xy 104.013 137.033) + (xy 103.632 137.033) + (xy 102.108 137.033) + (xy 101.727 137.033) + (xy 101.473 137.033) + (xy 101.092 137.033) + (xy 99.568 137.033) + (xy 99.187 137.033) + (xy 98.933 137.033) + (xy 98.552 137.033) + (xy 97.028 137.033) + (xy 96.647 137.033) + (xy 96.393 137.033) + (xy 96.012 137.033) + (xy 94.488 137.033) + (xy 94.107 137.033) + (xy 93.853 137.033) + (xy 93.472 137.033) + (xy 91.948 137.033) + (xy 91.567 137.033) + (xy 91.313 137.033) + (xy 90.932 137.033) + (xy 89.408 137.033) + (xy 89.027 137.033) + (xy 88.773 137.033) + (xy 88.392 137.033) + (xy 86.868 137.033) + (xy 86.487 137.033) + (xy 86.233 137.033) + (xy 85.852 137.033) + (xy 84.328 137.033) + (xy 83.947 137.033) + (xy 83.693 137.033) + (xy 83.312 137.033) + (xy 81.788 137.033) + (xy 81.407 137.033) + (xy 81.153 137.033) + (xy 80.772 137.033) + (xy 79.248 137.033) + (xy 78.867 137.033) + (xy 78.613 137.033) + (xy 78.232 137.033) + (xy 76.708 137.033) + (xy 76.327 137.033) + (xy 76.073 137.033) + (xy 75.692 137.033) + (xy 74.422 137.033) + (xy 74.422 136.398) + (xy 75.692 136.398) + (xy 75.692 136.779) + (xy 76.073 136.779) + (xy 76.073 136.398) + (xy 76.327 136.398) + (xy 76.327 136.779) + (xy 76.708 136.779) + (xy 76.708 136.398) + (xy 78.232 136.398) + (xy 78.232 136.779) + (xy 78.613 136.779) + (xy 78.613 136.398) + (xy 78.867 136.398) + (xy 78.867 136.779) + (xy 79.248 136.779) + (xy 79.248 136.398) + (xy 80.772 136.398) + (xy 80.772 136.779) + (xy 81.153 136.779) + (xy 81.153 136.398) + (xy 81.407 136.398) + (xy 81.407 136.779) + (xy 81.788 136.779) + (xy 81.788 136.398) + (xy 83.312 136.398) + (xy 83.312 136.779) + (xy 83.693 136.779) + (xy 83.693 136.398) + (xy 83.947 136.398) + (xy 83.947 136.779) + (xy 84.328 136.779) + (xy 84.328 136.398) + (xy 85.852 136.398) + (xy 85.852 136.779) + (xy 86.233 136.779) + (xy 86.233 136.398) + (xy 86.487 136.398) + (xy 86.487 136.779) + (xy 86.868 136.779) + (xy 86.868 136.398) + (xy 88.392 136.398) + (xy 88.392 136.779) + (xy 88.773 136.779) + (xy 88.773 136.398) + (xy 89.027 136.398) + (xy 89.027 136.779) + (xy 89.408 136.779) + (xy 89.408 136.398) + (xy 90.932 136.398) + (xy 90.932 136.779) + (xy 91.313 136.779) + (xy 91.313 136.398) + (xy 91.567 136.398) + (xy 91.567 136.779) + (xy 91.948 136.779) + (xy 91.948 136.398) + (xy 93.472 136.398) + (xy 93.472 136.779) + (xy 93.853 136.779) + (xy 93.853 136.398) + (xy 94.107 136.398) + (xy 94.107 136.779) + (xy 94.488 136.779) + (xy 94.488 136.398) + (xy 96.012 136.398) + (xy 96.012 136.779) + (xy 96.393 136.779) + (xy 96.393 136.398) + (xy 96.647 136.398) + (xy 96.647 136.779) + (xy 97.028 136.779) + (xy 97.028 136.398) + (xy 98.552 136.398) + (xy 98.552 136.779) + (xy 98.933 136.779) + (xy 98.933 136.398) + (xy 99.187 136.398) + (xy 99.187 136.779) + (xy 99.568 136.779) + (xy 99.568 136.398) + (xy 101.092 136.398) + (xy 101.092 136.779) + (xy 101.473 136.779) + (xy 101.473 136.398) + (xy 101.727 136.398) + (xy 101.727 136.779) + (xy 102.108 136.779) + (xy 102.108 136.398) + (xy 103.632 136.398) + (xy 103.632 136.779) + (xy 104.013 136.779) + (xy 104.013 136.398) + (xy 104.267 136.398) + (xy 104.267 136.779) + (xy 104.648 136.779) + (xy 104.648 136.398) + (xy 106.172 136.398) + (xy 106.172 136.779) + (xy 106.553 136.779) + (xy 106.553 136.398) + (xy 106.807 136.398) + (xy 106.807 136.779) + (xy 107.188 136.779) + (xy 107.188 136.398) + (xy 108.712 136.398) + (xy 108.712 136.779) + (xy 109.093 136.779) + (xy 109.093 136.398) + (xy 109.347 136.398) + (xy 109.347 136.779) + (xy 109.728 136.779) + (xy 109.728 136.398) + (xy 111.252 136.398) + (xy 111.252 136.779) + (xy 111.633 136.779) + (xy 111.633 136.398) + (xy 111.887 136.398) + (xy 111.887 136.779) + (xy 112.268 136.779) + (xy 112.268 136.398) + (xy 113.792 136.398) + (xy 113.792 136.779) + (xy 114.173 136.779) + (xy 114.173 136.398) + (xy 114.427 136.398) + (xy 114.427 136.779) + (xy 114.808 136.779) + (xy 114.808 136.398) + (xy 116.332 136.398) + (xy 116.332 136.779) + (xy 116.713 136.779) + (xy 116.713 136.398) + (xy 116.967 136.398) + (xy 116.967 136.779) + (xy 117.348 136.779) + (xy 117.348 136.398) + (xy 118.872 136.398) + (xy 118.872 136.779) + (xy 119.253 136.779) + (xy 119.253 136.398) + (xy 119.507 136.398) + (xy 119.507 136.779) + (xy 119.888 136.779) + (xy 119.888 136.398) + (xy 121.412 136.398) + (xy 121.412 136.779) + (xy 121.793 136.779) + (xy 121.793 136.398) + (xy 122.047 136.398) + (xy 122.047 136.779) + (xy 122.428 136.779) + (xy 122.428 136.398) + (xy 123.952 136.398) + (xy 123.952 136.779) + (xy 124.333 136.779) + (xy 124.333 136.398) + (xy 124.587 136.398) + (xy 124.587 136.779) + (xy 124.968 136.779) + (xy 124.968 136.398) + (xy 126.492 136.398) + (xy 126.492 136.779) + (xy 126.873 136.779) + (xy 126.873 136.398) + (xy 127.127 136.398) + (xy 127.127 136.779) + (xy 127.508 136.779) + (xy 127.508 136.398) + (xy 129.032 136.398) + (xy 129.032 136.779) + (xy 129.413 136.779) + (xy 129.413 136.398) + (xy 129.667 136.398) + (xy 129.667 136.779) + (xy 130.048 136.779) + (xy 130.048 136.398) + (xy 131.572 136.398) + (xy 131.572 136.779) + (xy 131.953 136.779) + (xy 131.953 136.398) + (xy 132.207 136.398) + (xy 132.207 136.779) + (xy 132.588 136.779) + (xy 132.588 136.398) + (xy 134.112 136.398) + (xy 134.112 136.779) + (xy 134.493 136.779) + (xy 134.493 136.398) + (xy 134.747 136.398) + (xy 134.747 136.779) + (xy 135.128 136.779) + (xy 135.128 136.398) + (xy 134.747 136.398) + (xy 134.493 136.398) + (xy 134.112 136.398) + (xy 132.588 136.398) + (xy 132.207 136.398) + (xy 131.953 136.398) + (xy 131.572 136.398) + (xy 130.048 136.398) + (xy 129.667 136.398) + (xy 129.413 136.398) + (xy 129.032 136.398) + (xy 127.508 136.398) + (xy 127.127 136.398) + (xy 126.873 136.398) + (xy 126.492 136.398) + (xy 124.968 136.398) + (xy 124.587 136.398) + (xy 124.333 136.398) + (xy 123.952 136.398) + (xy 122.428 136.398) + (xy 122.047 136.398) + (xy 121.793 136.398) + (xy 121.412 136.398) + (xy 119.888 136.398) + (xy 119.507 136.398) + (xy 119.253 136.398) + (xy 118.872 136.398) + (xy 117.348 136.398) + (xy 116.967 136.398) + (xy 116.713 136.398) + (xy 116.332 136.398) + (xy 114.808 136.398) + (xy 114.427 136.398) + (xy 114.173 136.398) + (xy 113.792 136.398) + (xy 112.268 136.398) + (xy 111.887 136.398) + (xy 111.633 136.398) + (xy 111.252 136.398) + (xy 109.728 136.398) + (xy 109.347 136.398) + (xy 109.093 136.398) + (xy 108.712 136.398) + (xy 107.188 136.398) + (xy 106.807 136.398) + (xy 106.553 136.398) + (xy 106.172 136.398) + (xy 104.648 136.398) + (xy 104.267 136.398) + (xy 104.013 136.398) + (xy 103.632 136.398) + (xy 102.108 136.398) + (xy 101.727 136.398) + (xy 101.473 136.398) + (xy 101.092 136.398) + (xy 99.568 136.398) + (xy 99.187 136.398) + (xy 98.933 136.398) + (xy 98.552 136.398) + (xy 97.028 136.398) + (xy 96.647 136.398) + (xy 96.393 136.398) + (xy 96.012 136.398) + (xy 94.488 136.398) + (xy 94.107 136.398) + (xy 93.853 136.398) + (xy 93.472 136.398) + (xy 91.948 136.398) + (xy 91.567 136.398) + (xy 91.313 136.398) + (xy 90.932 136.398) + (xy 89.408 136.398) + (xy 89.027 136.398) + (xy 88.773 136.398) + (xy 88.392 136.398) + (xy 86.868 136.398) + (xy 86.487 136.398) + (xy 86.233 136.398) + (xy 85.852 136.398) + (xy 84.328 136.398) + (xy 83.947 136.398) + (xy 83.693 136.398) + (xy 83.312 136.398) + (xy 81.788 136.398) + (xy 81.407 136.398) + (xy 81.153 136.398) + (xy 80.772 136.398) + (xy 79.248 136.398) + (xy 78.867 136.398) + (xy 78.613 136.398) + (xy 78.232 136.398) + (xy 76.708 136.398) + (xy 76.327 136.398) + (xy 76.073 136.398) + (xy 75.692 136.398) + (xy 74.422 136.398) + (xy 74.422 135.763) + (xy 75.692 135.763) + (xy 75.692 136.144) + (xy 76.073 136.144) + (xy 76.073 135.763) + (xy 76.327 135.763) + (xy 76.327 136.144) + (xy 76.708 136.144) + (xy 76.708 135.763) + (xy 78.232 135.763) + (xy 78.232 136.144) + (xy 78.613 136.144) + (xy 78.613 135.763) + (xy 78.867 135.763) + (xy 78.867 136.144) + (xy 79.248 136.144) + (xy 79.248 135.763) + (xy 80.772 135.763) + (xy 80.772 136.144) + (xy 81.153 136.144) + (xy 81.153 135.763) + (xy 81.407 135.763) + (xy 81.407 136.144) + (xy 81.788 136.144) + (xy 81.788 135.763) + (xy 83.312 135.763) + (xy 83.312 136.144) + (xy 83.693 136.144) + (xy 83.693 135.763) + (xy 83.947 135.763) + (xy 83.947 136.144) + (xy 84.328 136.144) + (xy 84.328 135.763) + (xy 85.852 135.763) + (xy 85.852 136.144) + (xy 86.233 136.144) + (xy 86.233 135.763) + (xy 86.487 135.763) + (xy 86.487 136.144) + (xy 86.868 136.144) + (xy 86.868 135.763) + (xy 88.392 135.763) + (xy 88.392 136.144) + (xy 88.773 136.144) + (xy 88.773 135.763) + (xy 89.027 135.763) + (xy 89.027 136.144) + (xy 89.408 136.144) + (xy 89.408 135.763) + (xy 90.932 135.763) + (xy 90.932 136.144) + (xy 91.313 136.144) + (xy 91.313 135.763) + (xy 91.567 135.763) + (xy 91.567 136.144) + (xy 91.948 136.144) + (xy 91.948 135.763) + (xy 93.472 135.763) + (xy 93.472 136.144) + (xy 93.853 136.144) + (xy 93.853 135.763) + (xy 94.107 135.763) + (xy 94.107 136.144) + (xy 94.488 136.144) + (xy 94.488 135.763) + (xy 96.012 135.763) + (xy 96.012 136.144) + (xy 96.393 136.144) + (xy 96.393 135.763) + (xy 96.647 135.763) + (xy 96.647 136.144) + (xy 97.028 136.144) + (xy 97.028 135.763) + (xy 98.552 135.763) + (xy 98.552 136.144) + (xy 98.933 136.144) + (xy 98.933 135.763) + (xy 99.187 135.763) + (xy 99.187 136.144) + (xy 99.568 136.144) + (xy 99.568 135.763) + (xy 101.092 135.763) + (xy 101.092 136.144) + (xy 101.473 136.144) + (xy 101.473 135.763) + (xy 101.727 135.763) + (xy 101.727 136.144) + (xy 102.108 136.144) + (xy 102.108 135.763) + (xy 103.632 135.763) + (xy 103.632 136.144) + (xy 104.013 136.144) + (xy 104.013 135.763) + (xy 104.267 135.763) + (xy 104.267 136.144) + (xy 104.648 136.144) + (xy 104.648 135.763) + (xy 106.172 135.763) + (xy 106.172 136.144) + (xy 106.553 136.144) + (xy 106.553 135.763) + (xy 106.807 135.763) + (xy 106.807 136.144) + (xy 107.188 136.144) + (xy 107.188 135.763) + (xy 108.712 135.763) + (xy 108.712 136.144) + (xy 109.093 136.144) + (xy 109.093 135.763) + (xy 109.347 135.763) + (xy 109.347 136.144) + (xy 109.728 136.144) + (xy 109.728 135.763) + (xy 111.252 135.763) + (xy 111.252 136.144) + (xy 111.633 136.144) + (xy 111.633 135.763) + (xy 111.887 135.763) + (xy 111.887 136.144) + (xy 112.268 136.144) + (xy 112.268 135.763) + (xy 113.792 135.763) + (xy 113.792 136.144) + (xy 114.173 136.144) + (xy 114.173 135.763) + (xy 114.427 135.763) + (xy 114.427 136.144) + (xy 114.808 136.144) + (xy 114.808 135.763) + (xy 116.332 135.763) + (xy 116.332 136.144) + (xy 116.713 136.144) + (xy 116.713 135.763) + (xy 116.967 135.763) + (xy 116.967 136.144) + (xy 117.348 136.144) + (xy 117.348 135.763) + (xy 118.872 135.763) + (xy 118.872 136.144) + (xy 119.253 136.144) + (xy 119.253 135.763) + (xy 119.507 135.763) + (xy 119.507 136.144) + (xy 119.888 136.144) + (xy 119.888 135.763) + (xy 121.412 135.763) + (xy 121.412 136.144) + (xy 121.793 136.144) + (xy 121.793 135.763) + (xy 122.047 135.763) + (xy 122.047 136.144) + (xy 122.428 136.144) + (xy 122.428 135.763) + (xy 123.952 135.763) + (xy 123.952 136.144) + (xy 124.333 136.144) + (xy 124.333 135.763) + (xy 124.587 135.763) + (xy 124.587 136.144) + (xy 124.968 136.144) + (xy 124.968 135.763) + (xy 126.492 135.763) + (xy 126.492 136.144) + (xy 126.873 136.144) + (xy 126.873 135.763) + (xy 127.127 135.763) + (xy 127.127 136.144) + (xy 127.508 136.144) + (xy 127.508 135.763) + (xy 129.032 135.763) + (xy 129.032 136.144) + (xy 129.413 136.144) + (xy 129.413 135.763) + (xy 129.667 135.763) + (xy 129.667 136.144) + (xy 130.048 136.144) + (xy 130.048 135.763) + (xy 131.572 135.763) + (xy 131.572 136.144) + (xy 131.953 136.144) + (xy 131.953 135.763) + (xy 132.207 135.763) + (xy 132.207 136.144) + (xy 132.588 136.144) + (xy 132.588 135.763) + (xy 134.112 135.763) + (xy 134.112 136.144) + (xy 134.493 136.144) + (xy 134.493 135.763) + (xy 134.747 135.763) + (xy 134.747 136.144) + (xy 135.128 136.144) + (xy 135.128 135.763) + (xy 134.747 135.763) + (xy 134.493 135.763) + (xy 134.112 135.763) + (xy 132.588 135.763) + (xy 132.207 135.763) + (xy 131.953 135.763) + (xy 131.572 135.763) + (xy 130.048 135.763) + (xy 129.667 135.763) + (xy 129.413 135.763) + (xy 129.032 135.763) + (xy 127.508 135.763) + (xy 127.127 135.763) + (xy 126.873 135.763) + (xy 126.492 135.763) + (xy 124.968 135.763) + (xy 124.587 135.763) + (xy 124.333 135.763) + (xy 123.952 135.763) + (xy 122.428 135.763) + (xy 122.047 135.763) + (xy 121.793 135.763) + (xy 121.412 135.763) + (xy 119.888 135.763) + (xy 119.507 135.763) + (xy 119.253 135.763) + (xy 118.872 135.763) + (xy 117.348 135.763) + (xy 116.967 135.763) + (xy 116.713 135.763) + (xy 116.332 135.763) + (xy 114.808 135.763) + (xy 114.427 135.763) + (xy 114.173 135.763) + (xy 113.792 135.763) + (xy 112.268 135.763) + (xy 111.887 135.763) + (xy 111.633 135.763) + (xy 111.252 135.763) + (xy 109.728 135.763) + (xy 109.347 135.763) + (xy 109.093 135.763) + (xy 108.712 135.763) + (xy 107.188 135.763) + (xy 106.807 135.763) + (xy 106.553 135.763) + (xy 106.172 135.763) + (xy 104.648 135.763) + (xy 104.267 135.763) + (xy 104.013 135.763) + (xy 103.632 135.763) + (xy 102.108 135.763) + (xy 101.727 135.763) + (xy 101.473 135.763) + (xy 101.092 135.763) + (xy 99.568 135.763) + (xy 99.187 135.763) + (xy 98.933 135.763) + (xy 98.552 135.763) + (xy 97.028 135.763) + (xy 96.647 135.763) + (xy 96.393 135.763) + (xy 96.012 135.763) + (xy 94.488 135.763) + (xy 94.107 135.763) + (xy 93.853 135.763) + (xy 93.472 135.763) + (xy 91.948 135.763) + (xy 91.567 135.763) + (xy 91.313 135.763) + (xy 90.932 135.763) + (xy 89.408 135.763) + (xy 89.027 135.763) + (xy 88.773 135.763) + (xy 88.392 135.763) + (xy 86.868 135.763) + (xy 86.487 135.763) + (xy 86.233 135.763) + (xy 85.852 135.763) + (xy 84.328 135.763) + (xy 83.947 135.763) + (xy 83.693 135.763) + (xy 83.312 135.763) + (xy 81.788 135.763) + (xy 81.407 135.763) + (xy 81.153 135.763) + (xy 80.772 135.763) + (xy 79.248 135.763) + (xy 78.867 135.763) + (xy 78.613 135.763) + (xy 78.232 135.763) + (xy 76.708 135.763) + (xy 76.327 135.763) + (xy 76.073 135.763) + (xy 75.692 135.763) + (xy 74.422 135.763) + (xy 74.422 135.128) + (xy 75.692 135.128) + (xy 75.692 135.509) + (xy 76.073 135.509) + (xy 76.073 135.128) + (xy 76.327 135.128) + (xy 76.327 135.509) + (xy 76.708 135.509) + (xy 76.708 135.128) + (xy 78.232 135.128) + (xy 78.232 135.509) + (xy 78.613 135.509) + (xy 78.613 135.128) + (xy 78.867 135.128) + (xy 78.867 135.509) + (xy 79.248 135.509) + (xy 79.248 135.128) + (xy 80.772 135.128) + (xy 80.772 135.509) + (xy 81.153 135.509) + (xy 81.153 135.128) + (xy 81.407 135.128) + (xy 81.407 135.509) + (xy 81.788 135.509) + (xy 81.788 135.128) + (xy 83.312 135.128) + (xy 83.312 135.509) + (xy 83.693 135.509) + (xy 83.693 135.128) + (xy 83.947 135.128) + (xy 83.947 135.509) + (xy 84.328 135.509) + (xy 84.328 135.128) + (xy 85.852 135.128) + (xy 85.852 135.509) + (xy 86.233 135.509) + (xy 86.233 135.128) + (xy 86.487 135.128) + (xy 86.487 135.509) + (xy 86.868 135.509) + (xy 86.868 135.128) + (xy 88.392 135.128) + (xy 88.392 135.509) + (xy 88.773 135.509) + (xy 88.773 135.128) + (xy 89.027 135.128) + (xy 89.027 135.509) + (xy 89.408 135.509) + (xy 89.408 135.128) + (xy 90.932 135.128) + (xy 90.932 135.509) + (xy 91.313 135.509) + (xy 91.313 135.128) + (xy 91.567 135.128) + (xy 91.567 135.509) + (xy 91.948 135.509) + (xy 91.948 135.128) + (xy 93.472 135.128) + (xy 93.472 135.509) + (xy 93.853 135.509) + (xy 93.853 135.128) + (xy 94.107 135.128) + (xy 94.107 135.509) + (xy 94.488 135.509) + (xy 94.488 135.128) + (xy 96.012 135.128) + (xy 96.012 135.509) + (xy 96.393 135.509) + (xy 96.393 135.128) + (xy 96.647 135.128) + (xy 96.647 135.509) + (xy 97.028 135.509) + (xy 97.028 135.128) + (xy 98.552 135.128) + (xy 98.552 135.509) + (xy 98.933 135.509) + (xy 98.933 135.128) + (xy 99.187 135.128) + (xy 99.187 135.509) + (xy 99.568 135.509) + (xy 99.568 135.128) + (xy 101.092 135.128) + (xy 101.092 135.509) + (xy 101.473 135.509) + (xy 101.473 135.128) + (xy 101.727 135.128) + (xy 101.727 135.509) + (xy 102.108 135.509) + (xy 102.108 135.128) + (xy 103.632 135.128) + (xy 103.632 135.509) + (xy 104.013 135.509) + (xy 104.013 135.128) + (xy 104.267 135.128) + (xy 104.267 135.509) + (xy 104.648 135.509) + (xy 104.648 135.128) + (xy 106.172 135.128) + (xy 106.172 135.509) + (xy 106.553 135.509) + (xy 106.553 135.128) + (xy 106.807 135.128) + (xy 106.807 135.509) + (xy 107.188 135.509) + (xy 107.188 135.128) + (xy 108.712 135.128) + (xy 108.712 135.509) + (xy 109.093 135.509) + (xy 109.093 135.128) + (xy 109.347 135.128) + (xy 109.347 135.509) + (xy 109.728 135.509) + (xy 109.728 135.128) + (xy 111.252 135.128) + (xy 111.252 135.509) + (xy 111.633 135.509) + (xy 111.633 135.128) + (xy 111.887 135.128) + (xy 111.887 135.509) + (xy 112.268 135.509) + (xy 112.268 135.128) + (xy 113.792 135.128) + (xy 113.792 135.509) + (xy 114.173 135.509) + (xy 114.173 135.128) + (xy 114.427 135.128) + (xy 114.427 135.509) + (xy 114.808 135.509) + (xy 114.808 135.128) + (xy 116.332 135.128) + (xy 116.332 135.509) + (xy 116.713 135.509) + (xy 116.713 135.128) + (xy 116.967 135.128) + (xy 116.967 135.509) + (xy 117.348 135.509) + (xy 117.348 135.128) + (xy 118.872 135.128) + (xy 118.872 135.509) + (xy 119.253 135.509) + (xy 119.253 135.128) + (xy 119.507 135.128) + (xy 119.507 135.509) + (xy 119.888 135.509) + (xy 119.888 135.128) + (xy 121.412 135.128) + (xy 121.412 135.509) + (xy 121.793 135.509) + (xy 121.793 135.128) + (xy 122.047 135.128) + (xy 122.047 135.509) + (xy 122.428 135.509) + (xy 122.428 135.128) + (xy 123.952 135.128) + (xy 123.952 135.509) + (xy 124.333 135.509) + (xy 124.333 135.128) + (xy 124.587 135.128) + (xy 124.587 135.509) + (xy 124.968 135.509) + (xy 124.968 135.128) + (xy 126.492 135.128) + (xy 126.492 135.509) + (xy 126.873 135.509) + (xy 126.873 135.128) + (xy 127.127 135.128) + (xy 127.127 135.509) + (xy 127.508 135.509) + (xy 127.508 135.128) + (xy 129.032 135.128) + (xy 129.032 135.509) + (xy 129.413 135.509) + (xy 129.413 135.128) + (xy 129.667 135.128) + (xy 129.667 135.509) + (xy 130.048 135.509) + (xy 130.048 135.128) + (xy 131.572 135.128) + (xy 131.572 135.509) + (xy 131.953 135.509) + (xy 131.953 135.128) + (xy 132.207 135.128) + (xy 132.207 135.509) + (xy 132.588 135.509) + (xy 132.588 135.128) + (xy 134.112 135.128) + (xy 134.112 135.509) + (xy 134.493 135.509) + (xy 134.493 135.128) + (xy 134.747 135.128) + (xy 134.747 135.509) + (xy 135.128 135.509) + (xy 135.128 135.128) + (xy 134.747 135.128) + (xy 134.493 135.128) + (xy 134.112 135.128) + (xy 132.588 135.128) + (xy 132.207 135.128) + (xy 131.953 135.128) + (xy 131.572 135.128) + (xy 130.048 135.128) + (xy 129.667 135.128) + (xy 129.413 135.128) + (xy 129.032 135.128) + (xy 127.508 135.128) + (xy 127.127 135.128) + (xy 126.873 135.128) + (xy 126.492 135.128) + (xy 124.968 135.128) + (xy 124.587 135.128) + (xy 124.333 135.128) + (xy 123.952 135.128) + (xy 122.428 135.128) + (xy 122.047 135.128) + (xy 121.793 135.128) + (xy 121.412 135.128) + (xy 119.888 135.128) + (xy 119.507 135.128) + (xy 119.253 135.128) + (xy 118.872 135.128) + (xy 117.348 135.128) + (xy 116.967 135.128) + (xy 116.713 135.128) + (xy 116.332 135.128) + (xy 114.808 135.128) + (xy 114.427 135.128) + (xy 114.173 135.128) + (xy 113.792 135.128) + (xy 112.268 135.128) + (xy 111.887 135.128) + (xy 111.633 135.128) + (xy 111.252 135.128) + (xy 109.728 135.128) + (xy 109.347 135.128) + (xy 109.093 135.128) + (xy 108.712 135.128) + (xy 107.188 135.128) + (xy 106.807 135.128) + (xy 106.553 135.128) + (xy 106.172 135.128) + (xy 104.648 135.128) + (xy 104.267 135.128) + (xy 104.013 135.128) + (xy 103.632 135.128) + (xy 102.108 135.128) + (xy 101.727 135.128) + (xy 101.473 135.128) + (xy 101.092 135.128) + (xy 99.568 135.128) + (xy 99.187 135.128) + (xy 98.933 135.128) + (xy 98.552 135.128) + (xy 97.028 135.128) + (xy 96.647 135.128) + (xy 96.393 135.128) + (xy 96.012 135.128) + (xy 94.488 135.128) + (xy 94.107 135.128) + (xy 93.853 135.128) + (xy 93.472 135.128) + (xy 91.948 135.128) + (xy 91.567 135.128) + (xy 91.313 135.128) + (xy 90.932 135.128) + (xy 89.408 135.128) + (xy 89.027 135.128) + (xy 88.773 135.128) + (xy 88.392 135.128) + (xy 86.868 135.128) + (xy 86.487 135.128) + (xy 86.233 135.128) + (xy 85.852 135.128) + (xy 84.328 135.128) + (xy 83.947 135.128) + (xy 83.693 135.128) + (xy 83.312 135.128) + (xy 81.788 135.128) + (xy 81.407 135.128) + (xy 81.153 135.128) + (xy 80.772 135.128) + (xy 79.248 135.128) + (xy 78.867 135.128) + (xy 78.613 135.128) + (xy 78.232 135.128) + (xy 76.708 135.128) + (xy 76.327 135.128) + (xy 76.073 135.128) + (xy 75.692 135.128) + (xy 74.422 135.128) + (xy 74.422 134.493) + (xy 75.692 134.493) + (xy 75.692 134.874) + (xy 76.073 134.874) + (xy 76.073 134.493) + (xy 76.327 134.493) + (xy 76.327 134.874) + (xy 76.708 134.874) + (xy 76.708 134.493) + (xy 78.232 134.493) + (xy 78.232 134.874) + (xy 78.613 134.874) + (xy 78.613 134.493) + (xy 78.867 134.493) + (xy 78.867 134.874) + (xy 79.248 134.874) + (xy 79.248 134.493) + (xy 80.772 134.493) + (xy 80.772 134.874) + (xy 81.153 134.874) + (xy 81.153 134.493) + (xy 81.407 134.493) + (xy 81.407 134.874) + (xy 81.788 134.874) + (xy 81.788 134.493) + (xy 83.312 134.493) + (xy 83.312 134.874) + (xy 83.693 134.874) + (xy 83.693 134.493) + (xy 83.947 134.493) + (xy 83.947 134.874) + (xy 84.328 134.874) + (xy 84.328 134.493) + (xy 85.852 134.493) + (xy 85.852 134.874) + (xy 86.233 134.874) + (xy 86.233 134.493) + (xy 86.487 134.493) + (xy 86.487 134.874) + (xy 86.868 134.874) + (xy 86.868 134.493) + (xy 88.392 134.493) + (xy 88.392 134.874) + (xy 88.773 134.874) + (xy 88.773 134.493) + (xy 89.027 134.493) + (xy 89.027 134.874) + (xy 89.408 134.874) + (xy 89.408 134.493) + (xy 90.932 134.493) + (xy 90.932 134.874) + (xy 91.313 134.874) + (xy 91.313 134.493) + (xy 91.567 134.493) + (xy 91.567 134.874) + (xy 91.948 134.874) + (xy 91.948 134.493) + (xy 93.472 134.493) + (xy 93.472 134.874) + (xy 93.853 134.874) + (xy 93.853 134.493) + (xy 94.107 134.493) + (xy 94.107 134.874) + (xy 94.488 134.874) + (xy 94.488 134.493) + (xy 96.012 134.493) + (xy 96.012 134.874) + (xy 96.393 134.874) + (xy 96.393 134.493) + (xy 96.647 134.493) + (xy 96.647 134.874) + (xy 97.028 134.874) + (xy 97.028 134.493) + (xy 98.552 134.493) + (xy 98.552 134.874) + (xy 98.933 134.874) + (xy 98.933 134.493) + (xy 99.187 134.493) + (xy 99.187 134.874) + (xy 99.568 134.874) + (xy 99.568 134.493) + (xy 101.092 134.493) + (xy 101.092 134.874) + (xy 101.473 134.874) + (xy 101.473 134.493) + (xy 101.727 134.493) + (xy 101.727 134.874) + (xy 102.108 134.874) + (xy 102.108 134.493) + (xy 103.632 134.493) + (xy 103.632 134.874) + (xy 104.013 134.874) + (xy 104.013 134.493) + (xy 104.267 134.493) + (xy 104.267 134.874) + (xy 104.648 134.874) + (xy 104.648 134.493) + (xy 106.172 134.493) + (xy 106.172 134.874) + (xy 106.553 134.874) + (xy 106.553 134.493) + (xy 106.807 134.493) + (xy 106.807 134.874) + (xy 107.188 134.874) + (xy 107.188 134.493) + (xy 108.712 134.493) + (xy 108.712 134.874) + (xy 109.093 134.874) + (xy 109.093 134.493) + (xy 109.347 134.493) + (xy 109.347 134.874) + (xy 109.728 134.874) + (xy 109.728 134.493) + (xy 111.252 134.493) + (xy 111.252 134.874) + (xy 111.633 134.874) + (xy 111.633 134.493) + (xy 111.887 134.493) + (xy 111.887 134.874) + (xy 112.268 134.874) + (xy 112.268 134.493) + (xy 113.792 134.493) + (xy 113.792 134.874) + (xy 114.173 134.874) + (xy 114.173 134.493) + (xy 114.427 134.493) + (xy 114.427 134.874) + (xy 114.808 134.874) + (xy 114.808 134.493) + (xy 116.332 134.493) + (xy 116.332 134.874) + (xy 116.713 134.874) + (xy 116.713 134.493) + (xy 116.967 134.493) + (xy 116.967 134.874) + (xy 117.348 134.874) + (xy 117.348 134.493) + (xy 118.872 134.493) + (xy 118.872 134.874) + (xy 119.253 134.874) + (xy 119.253 134.493) + (xy 119.507 134.493) + (xy 119.507 134.874) + (xy 119.888 134.874) + (xy 119.888 134.493) + (xy 121.412 134.493) + (xy 121.412 134.874) + (xy 121.793 134.874) + (xy 121.793 134.493) + (xy 122.047 134.493) + (xy 122.047 134.874) + (xy 122.428 134.874) + (xy 122.428 134.493) + (xy 123.952 134.493) + (xy 123.952 134.874) + (xy 124.333 134.874) + (xy 124.333 134.493) + (xy 124.587 134.493) + (xy 124.587 134.874) + (xy 124.968 134.874) + (xy 124.968 134.493) + (xy 126.492 134.493) + (xy 126.492 134.874) + (xy 126.873 134.874) + (xy 126.873 134.493) + (xy 127.127 134.493) + (xy 127.127 134.874) + (xy 127.508 134.874) + (xy 127.508 134.493) + (xy 129.032 134.493) + (xy 129.032 134.874) + (xy 129.413 134.874) + (xy 129.413 134.493) + (xy 129.667 134.493) + (xy 129.667 134.874) + (xy 130.048 134.874) + (xy 130.048 134.493) + (xy 131.572 134.493) + (xy 131.572 134.874) + (xy 131.953 134.874) + (xy 131.953 134.493) + (xy 132.207 134.493) + (xy 132.207 134.874) + (xy 132.588 134.874) + (xy 132.588 134.493) + (xy 134.112 134.493) + (xy 134.112 134.874) + (xy 134.493 134.874) + (xy 134.493 134.493) + (xy 134.747 134.493) + (xy 134.747 134.874) + (xy 135.128 134.874) + (xy 135.128 134.493) + (xy 134.747 134.493) + (xy 134.493 134.493) + (xy 134.112 134.493) + (xy 132.588 134.493) + (xy 132.207 134.493) + (xy 131.953 134.493) + (xy 131.572 134.493) + (xy 130.048 134.493) + (xy 129.667 134.493) + (xy 129.413 134.493) + (xy 129.032 134.493) + (xy 127.508 134.493) + (xy 127.127 134.493) + (xy 126.873 134.493) + (xy 126.492 134.493) + (xy 124.968 134.493) + (xy 124.587 134.493) + (xy 124.333 134.493) + (xy 123.952 134.493) + (xy 122.428 134.493) + (xy 122.047 134.493) + (xy 121.793 134.493) + (xy 121.412 134.493) + (xy 119.888 134.493) + (xy 119.507 134.493) + (xy 119.253 134.493) + (xy 118.872 134.493) + (xy 117.348 134.493) + (xy 116.967 134.493) + (xy 116.713 134.493) + (xy 116.332 134.493) + (xy 114.808 134.493) + (xy 114.427 134.493) + (xy 114.173 134.493) + (xy 113.792 134.493) + (xy 112.268 134.493) + (xy 111.887 134.493) + (xy 111.633 134.493) + (xy 111.252 134.493) + (xy 109.728 134.493) + (xy 109.347 134.493) + (xy 109.093 134.493) + (xy 108.712 134.493) + (xy 107.188 134.493) + (xy 106.807 134.493) + (xy 106.553 134.493) + (xy 106.172 134.493) + (xy 104.648 134.493) + (xy 104.267 134.493) + (xy 104.013 134.493) + (xy 103.632 134.493) + (xy 102.108 134.493) + (xy 101.727 134.493) + (xy 101.473 134.493) + (xy 101.092 134.493) + (xy 99.568 134.493) + (xy 99.187 134.493) + (xy 98.933 134.493) + (xy 98.552 134.493) + (xy 97.028 134.493) + (xy 96.647 134.493) + (xy 96.393 134.493) + (xy 96.012 134.493) + (xy 94.488 134.493) + (xy 94.107 134.493) + (xy 93.853 134.493) + (xy 93.472 134.493) + (xy 91.948 134.493) + (xy 91.567 134.493) + (xy 91.313 134.493) + (xy 90.932 134.493) + (xy 89.408 134.493) + (xy 89.027 134.493) + (xy 88.773 134.493) + (xy 88.392 134.493) + (xy 86.868 134.493) + (xy 86.487 134.493) + (xy 86.233 134.493) + (xy 85.852 134.493) + (xy 84.328 134.493) + (xy 83.947 134.493) + (xy 83.693 134.493) + (xy 83.312 134.493) + (xy 81.788 134.493) + (xy 81.407 134.493) + (xy 81.153 134.493) + (xy 80.772 134.493) + (xy 79.248 134.493) + (xy 78.867 134.493) + (xy 78.613 134.493) + (xy 78.232 134.493) + (xy 76.708 134.493) + (xy 76.327 134.493) + (xy 76.073 134.493) + (xy 75.692 134.493) + (xy 74.422 134.493) + (xy 74.422 133.858) + (xy 75.692 133.858) + (xy 75.692 134.239) + (xy 76.073 134.239) + (xy 76.073 133.858) + (xy 76.327 133.858) + (xy 76.327 134.239) + (xy 76.708 134.239) + (xy 76.708 133.858) + (xy 78.232 133.858) + (xy 78.232 134.239) + (xy 78.613 134.239) + (xy 78.613 133.858) + (xy 78.867 133.858) + (xy 78.867 134.239) + (xy 79.248 134.239) + (xy 79.248 133.858) + (xy 80.772 133.858) + (xy 80.772 134.239) + (xy 81.153 134.239) + (xy 81.153 133.858) + (xy 81.407 133.858) + (xy 81.407 134.239) + (xy 81.788 134.239) + (xy 81.788 133.858) + (xy 83.312 133.858) + (xy 83.312 134.239) + (xy 83.693 134.239) + (xy 83.693 133.858) + (xy 83.947 133.858) + (xy 83.947 134.239) + (xy 84.328 134.239) + (xy 84.328 133.858) + (xy 85.852 133.858) + (xy 85.852 134.239) + (xy 86.233 134.239) + (xy 86.233 133.858) + (xy 86.487 133.858) + (xy 86.487 134.239) + (xy 86.868 134.239) + (xy 86.868 133.858) + (xy 88.392 133.858) + (xy 88.392 134.239) + (xy 88.773 134.239) + (xy 88.773 133.858) + (xy 89.027 133.858) + (xy 89.027 134.239) + (xy 89.408 134.239) + (xy 89.408 133.858) + (xy 90.932 133.858) + (xy 90.932 134.239) + (xy 91.313 134.239) + (xy 91.313 133.858) + (xy 91.567 133.858) + (xy 91.567 134.239) + (xy 91.948 134.239) + (xy 91.948 133.858) + (xy 93.472 133.858) + (xy 93.472 134.239) + (xy 93.853 134.239) + (xy 93.853 133.858) + (xy 94.107 133.858) + (xy 94.107 134.239) + (xy 94.488 134.239) + (xy 94.488 133.858) + (xy 96.012 133.858) + (xy 96.012 134.239) + (xy 96.393 134.239) + (xy 96.393 133.858) + (xy 96.647 133.858) + (xy 96.647 134.239) + (xy 97.028 134.239) + (xy 97.028 133.858) + (xy 98.552 133.858) + (xy 98.552 134.239) + (xy 98.933 134.239) + (xy 98.933 133.858) + (xy 99.187 133.858) + (xy 99.187 134.239) + (xy 99.568 134.239) + (xy 99.568 133.858) + (xy 101.092 133.858) + (xy 101.092 134.239) + (xy 101.473 134.239) + (xy 101.473 133.858) + (xy 101.727 133.858) + (xy 101.727 134.239) + (xy 102.108 134.239) + (xy 102.108 133.858) + (xy 103.632 133.858) + (xy 103.632 134.239) + (xy 104.013 134.239) + (xy 104.013 133.858) + (xy 104.267 133.858) + (xy 104.267 134.239) + (xy 104.648 134.239) + (xy 104.648 133.858) + (xy 106.172 133.858) + (xy 106.172 134.239) + (xy 106.553 134.239) + (xy 106.553 133.858) + (xy 106.807 133.858) + (xy 106.807 134.239) + (xy 107.188 134.239) + (xy 107.188 133.858) + (xy 108.712 133.858) + (xy 108.712 134.239) + (xy 109.093 134.239) + (xy 109.093 133.858) + (xy 109.347 133.858) + (xy 109.347 134.239) + (xy 109.728 134.239) + (xy 109.728 133.858) + (xy 111.252 133.858) + (xy 111.252 134.239) + (xy 111.633 134.239) + (xy 111.633 133.858) + (xy 111.887 133.858) + (xy 111.887 134.239) + (xy 112.268 134.239) + (xy 112.268 133.858) + (xy 113.792 133.858) + (xy 113.792 134.239) + (xy 114.173 134.239) + (xy 114.173 133.858) + (xy 114.427 133.858) + (xy 114.427 134.239) + (xy 114.808 134.239) + (xy 114.808 133.858) + (xy 116.332 133.858) + (xy 116.332 134.239) + (xy 116.713 134.239) + (xy 116.713 133.858) + (xy 116.967 133.858) + (xy 116.967 134.239) + (xy 117.348 134.239) + (xy 117.348 133.858) + (xy 118.872 133.858) + (xy 118.872 134.239) + (xy 119.253 134.239) + (xy 119.253 133.858) + (xy 119.507 133.858) + (xy 119.507 134.239) + (xy 119.888 134.239) + (xy 119.888 133.858) + (xy 121.412 133.858) + (xy 121.412 134.239) + (xy 121.793 134.239) + (xy 121.793 133.858) + (xy 122.047 133.858) + (xy 122.047 134.239) + (xy 122.428 134.239) + (xy 122.428 133.858) + (xy 123.952 133.858) + (xy 123.952 134.239) + (xy 124.333 134.239) + (xy 124.333 133.858) + (xy 124.587 133.858) + (xy 124.587 134.239) + (xy 124.968 134.239) + (xy 124.968 133.858) + (xy 126.492 133.858) + (xy 126.492 134.239) + (xy 126.873 134.239) + (xy 126.873 133.858) + (xy 127.127 133.858) + (xy 127.127 134.239) + (xy 127.508 134.239) + (xy 127.508 133.858) + (xy 129.032 133.858) + (xy 129.032 134.239) + (xy 129.413 134.239) + (xy 129.413 133.858) + (xy 129.667 133.858) + (xy 129.667 134.239) + (xy 130.048 134.239) + (xy 130.048 133.858) + (xy 131.572 133.858) + (xy 131.572 134.239) + (xy 131.953 134.239) + (xy 131.953 133.858) + (xy 132.207 133.858) + (xy 132.207 134.239) + (xy 132.588 134.239) + (xy 132.588 133.858) + (xy 134.112 133.858) + (xy 134.112 134.239) + (xy 134.493 134.239) + (xy 134.493 133.858) + (xy 134.747 133.858) + (xy 134.747 134.239) + (xy 135.128 134.239) + (xy 135.128 133.858) + (xy 134.747 133.858) + (xy 134.493 133.858) + (xy 134.112 133.858) + (xy 132.588 133.858) + (xy 132.207 133.858) + (xy 131.953 133.858) + (xy 131.572 133.858) + (xy 130.048 133.858) + (xy 129.667 133.858) + (xy 129.413 133.858) + (xy 129.032 133.858) + (xy 127.508 133.858) + (xy 127.127 133.858) + (xy 126.873 133.858) + (xy 126.492 133.858) + (xy 124.968 133.858) + (xy 124.587 133.858) + (xy 124.333 133.858) + (xy 123.952 133.858) + (xy 122.428 133.858) + (xy 122.047 133.858) + (xy 121.793 133.858) + (xy 121.412 133.858) + (xy 119.888 133.858) + (xy 119.507 133.858) + (xy 119.253 133.858) + (xy 118.872 133.858) + (xy 117.348 133.858) + (xy 116.967 133.858) + (xy 116.713 133.858) + (xy 116.332 133.858) + (xy 114.808 133.858) + (xy 114.427 133.858) + (xy 114.173 133.858) + (xy 113.792 133.858) + (xy 112.268 133.858) + (xy 111.887 133.858) + (xy 111.633 133.858) + (xy 111.252 133.858) + (xy 109.728 133.858) + (xy 109.347 133.858) + (xy 109.093 133.858) + (xy 108.712 133.858) + (xy 107.188 133.858) + (xy 106.807 133.858) + (xy 106.553 133.858) + (xy 106.172 133.858) + (xy 104.648 133.858) + (xy 104.267 133.858) + (xy 104.013 133.858) + (xy 103.632 133.858) + (xy 102.108 133.858) + (xy 101.727 133.858) + (xy 101.473 133.858) + (xy 101.092 133.858) + (xy 99.568 133.858) + (xy 99.187 133.858) + (xy 98.933 133.858) + (xy 98.552 133.858) + (xy 97.028 133.858) + (xy 96.647 133.858) + (xy 96.393 133.858) + (xy 96.012 133.858) + (xy 94.488 133.858) + (xy 94.107 133.858) + (xy 93.853 133.858) + (xy 93.472 133.858) + (xy 91.948 133.858) + (xy 91.567 133.858) + (xy 91.313 133.858) + (xy 90.932 133.858) + (xy 89.408 133.858) + (xy 89.027 133.858) + (xy 88.773 133.858) + (xy 88.392 133.858) + (xy 86.868 133.858) + (xy 86.487 133.858) + (xy 86.233 133.858) + (xy 85.852 133.858) + (xy 84.328 133.858) + (xy 83.947 133.858) + (xy 83.693 133.858) + (xy 83.312 133.858) + (xy 81.788 133.858) + (xy 81.407 133.858) + (xy 81.153 133.858) + (xy 80.772 133.858) + (xy 79.248 133.858) + (xy 78.867 133.858) + (xy 78.613 133.858) + (xy 78.232 133.858) + (xy 76.708 133.858) + (xy 76.327 133.858) + (xy 76.073 133.858) + (xy 75.692 133.858) + (xy 74.422 133.858) + (xy 74.422 133.223) + (xy 75.692 133.223) + (xy 75.692 133.604) + (xy 76.073 133.604) + (xy 76.073 133.223) + (xy 76.327 133.223) + (xy 76.327 133.604) + (xy 76.708 133.604) + (xy 76.708 133.223) + (xy 78.232 133.223) + (xy 78.232 133.604) + (xy 78.613 133.604) + (xy 78.613 133.223) + (xy 78.867 133.223) + (xy 78.867 133.604) + (xy 79.248 133.604) + (xy 79.248 133.223) + (xy 80.772 133.223) + (xy 80.772 133.604) + (xy 81.153 133.604) + (xy 81.153 133.223) + (xy 81.407 133.223) + (xy 81.407 133.604) + (xy 81.788 133.604) + (xy 81.788 133.223) + (xy 83.312 133.223) + (xy 83.312 133.604) + (xy 83.693 133.604) + (xy 83.693 133.223) + (xy 83.947 133.223) + (xy 83.947 133.604) + (xy 84.328 133.604) + (xy 84.328 133.223) + (xy 85.852 133.223) + (xy 85.852 133.604) + (xy 86.233 133.604) + (xy 86.233 133.223) + (xy 86.487 133.223) + (xy 86.487 133.604) + (xy 86.868 133.604) + (xy 86.868 133.223) + (xy 88.392 133.223) + (xy 88.392 133.604) + (xy 88.773 133.604) + (xy 88.773 133.223) + (xy 89.027 133.223) + (xy 89.027 133.604) + (xy 89.408 133.604) + (xy 89.408 133.223) + (xy 90.932 133.223) + (xy 90.932 133.604) + (xy 91.313 133.604) + (xy 91.313 133.223) + (xy 91.567 133.223) + (xy 91.567 133.604) + (xy 91.948 133.604) + (xy 91.948 133.223) + (xy 93.472 133.223) + (xy 93.472 133.604) + (xy 93.853 133.604) + (xy 93.853 133.223) + (xy 94.107 133.223) + (xy 94.107 133.604) + (xy 94.488 133.604) + (xy 94.488 133.223) + (xy 96.012 133.223) + (xy 96.012 133.604) + (xy 96.393 133.604) + (xy 96.393 133.223) + (xy 96.647 133.223) + (xy 96.647 133.604) + (xy 97.028 133.604) + (xy 97.028 133.223) + (xy 98.552 133.223) + (xy 98.552 133.604) + (xy 98.933 133.604) + (xy 98.933 133.223) + (xy 99.187 133.223) + (xy 99.187 133.604) + (xy 99.568 133.604) + (xy 99.568 133.223) + (xy 101.092 133.223) + (xy 101.092 133.604) + (xy 101.473 133.604) + (xy 101.473 133.223) + (xy 101.727 133.223) + (xy 101.727 133.604) + (xy 102.108 133.604) + (xy 102.108 133.223) + (xy 103.632 133.223) + (xy 103.632 133.604) + (xy 104.013 133.604) + (xy 104.013 133.223) + (xy 104.267 133.223) + (xy 104.267 133.604) + (xy 104.648 133.604) + (xy 104.648 133.223) + (xy 106.172 133.223) + (xy 106.172 133.604) + (xy 106.553 133.604) + (xy 106.553 133.223) + (xy 106.807 133.223) + (xy 106.807 133.604) + (xy 107.188 133.604) + (xy 107.188 133.223) + (xy 108.712 133.223) + (xy 108.712 133.604) + (xy 109.093 133.604) + (xy 109.093 133.223) + (xy 109.347 133.223) + (xy 109.347 133.604) + (xy 109.728 133.604) + (xy 109.728 133.223) + (xy 111.252 133.223) + (xy 111.252 133.604) + (xy 111.633 133.604) + (xy 111.633 133.223) + (xy 111.887 133.223) + (xy 111.887 133.604) + (xy 112.268 133.604) + (xy 112.268 133.223) + (xy 113.792 133.223) + (xy 113.792 133.604) + (xy 114.173 133.604) + (xy 114.173 133.223) + (xy 114.427 133.223) + (xy 114.427 133.604) + (xy 114.808 133.604) + (xy 114.808 133.223) + (xy 116.332 133.223) + (xy 116.332 133.604) + (xy 116.713 133.604) + (xy 116.713 133.223) + (xy 116.967 133.223) + (xy 116.967 133.604) + (xy 117.348 133.604) + (xy 117.348 133.223) + (xy 118.872 133.223) + (xy 118.872 133.604) + (xy 119.253 133.604) + (xy 119.253 133.223) + (xy 119.507 133.223) + (xy 119.507 133.604) + (xy 119.888 133.604) + (xy 119.888 133.223) + (xy 121.412 133.223) + (xy 121.412 133.604) + (xy 121.793 133.604) + (xy 121.793 133.223) + (xy 122.047 133.223) + (xy 122.047 133.604) + (xy 122.428 133.604) + (xy 122.428 133.223) + (xy 123.952 133.223) + (xy 123.952 133.604) + (xy 124.333 133.604) + (xy 124.333 133.223) + (xy 124.587 133.223) + (xy 124.587 133.604) + (xy 124.968 133.604) + (xy 124.968 133.223) + (xy 126.492 133.223) + (xy 126.492 133.604) + (xy 126.873 133.604) + (xy 126.873 133.223) + (xy 127.127 133.223) + (xy 127.127 133.604) + (xy 127.508 133.604) + (xy 127.508 133.223) + (xy 129.032 133.223) + (xy 129.032 133.604) + (xy 129.413 133.604) + (xy 129.413 133.223) + (xy 129.667 133.223) + (xy 129.667 133.604) + (xy 130.048 133.604) + (xy 130.048 133.223) + (xy 131.572 133.223) + (xy 131.572 133.604) + (xy 131.953 133.604) + (xy 131.953 133.223) + (xy 132.207 133.223) + (xy 132.207 133.604) + (xy 132.588 133.604) + (xy 132.588 133.223) + (xy 134.112 133.223) + (xy 134.112 133.604) + (xy 134.493 133.604) + (xy 134.493 133.223) + (xy 134.747 133.223) + (xy 134.747 133.604) + (xy 135.128 133.604) + (xy 135.128 133.223) + (xy 134.747 133.223) + (xy 134.493 133.223) + (xy 134.112 133.223) + (xy 132.588 133.223) + (xy 132.207 133.223) + (xy 131.953 133.223) + (xy 131.572 133.223) + (xy 130.048 133.223) + (xy 129.667 133.223) + (xy 129.413 133.223) + (xy 129.032 133.223) + (xy 127.508 133.223) + (xy 127.127 133.223) + (xy 126.873 133.223) + (xy 126.492 133.223) + (xy 124.968 133.223) + (xy 124.587 133.223) + (xy 124.333 133.223) + (xy 123.952 133.223) + (xy 122.428 133.223) + (xy 122.047 133.223) + (xy 121.793 133.223) + (xy 121.412 133.223) + (xy 119.888 133.223) + (xy 119.507 133.223) + (xy 119.253 133.223) + (xy 118.872 133.223) + (xy 117.348 133.223) + (xy 116.967 133.223) + (xy 116.713 133.223) + (xy 116.332 133.223) + (xy 114.808 133.223) + (xy 114.427 133.223) + (xy 114.173 133.223) + (xy 113.792 133.223) + (xy 112.268 133.223) + (xy 111.887 133.223) + (xy 111.633 133.223) + (xy 111.252 133.223) + (xy 109.728 133.223) + (xy 109.347 133.223) + (xy 109.093 133.223) + (xy 108.712 133.223) + (xy 107.188 133.223) + (xy 106.807 133.223) + (xy 106.553 133.223) + (xy 106.172 133.223) + (xy 104.648 133.223) + (xy 104.267 133.223) + (xy 104.013 133.223) + (xy 103.632 133.223) + (xy 102.108 133.223) + (xy 101.727 133.223) + (xy 101.473 133.223) + (xy 101.092 133.223) + (xy 99.568 133.223) + (xy 99.187 133.223) + (xy 98.933 133.223) + (xy 98.552 133.223) + (xy 97.028 133.223) + (xy 96.647 133.223) + (xy 96.393 133.223) + (xy 96.012 133.223) + (xy 94.488 133.223) + (xy 94.107 133.223) + (xy 93.853 133.223) + (xy 93.472 133.223) + (xy 91.948 133.223) + (xy 91.567 133.223) + (xy 91.313 133.223) + (xy 90.932 133.223) + (xy 89.408 133.223) + (xy 89.027 133.223) + (xy 88.773 133.223) + (xy 88.392 133.223) + (xy 86.868 133.223) + (xy 86.487 133.223) + (xy 86.233 133.223) + (xy 85.852 133.223) + (xy 84.328 133.223) + (xy 83.947 133.223) + (xy 83.693 133.223) + (xy 83.312 133.223) + (xy 81.788 133.223) + (xy 81.407 133.223) + (xy 81.153 133.223) + (xy 80.772 133.223) + (xy 79.248 133.223) + (xy 78.867 133.223) + (xy 78.613 133.223) + (xy 78.232 133.223) + (xy 76.708 133.223) + (xy 76.327 133.223) + (xy 76.073 133.223) + (xy 75.692 133.223) + (xy 74.422 133.223) + (xy 74.422 132.588) + (xy 75.692 132.588) + (xy 75.692 132.969) + (xy 76.073 132.969) + (xy 76.073 132.588) + (xy 76.327 132.588) + (xy 76.327 132.969) + (xy 76.708 132.969) + (xy 76.708 132.588) + (xy 78.232 132.588) + (xy 78.232 132.969) + (xy 78.613 132.969) + (xy 78.613 132.588) + (xy 78.867 132.588) + (xy 78.867 132.969) + (xy 79.248 132.969) + (xy 79.248 132.588) + (xy 80.772 132.588) + (xy 80.772 132.969) + (xy 81.153 132.969) + (xy 81.153 132.588) + (xy 81.407 132.588) + (xy 81.407 132.969) + (xy 81.788 132.969) + (xy 81.788 132.588) + (xy 83.312 132.588) + (xy 83.312 132.969) + (xy 83.693 132.969) + (xy 83.693 132.588) + (xy 83.947 132.588) + (xy 83.947 132.969) + (xy 84.328 132.969) + (xy 84.328 132.588) + (xy 85.852 132.588) + (xy 85.852 132.969) + (xy 86.233 132.969) + (xy 86.233 132.588) + (xy 86.487 132.588) + (xy 86.487 132.969) + (xy 86.868 132.969) + (xy 86.868 132.588) + (xy 88.392 132.588) + (xy 88.392 132.969) + (xy 88.773 132.969) + (xy 88.773 132.588) + (xy 89.027 132.588) + (xy 89.027 132.969) + (xy 89.408 132.969) + (xy 89.408 132.588) + (xy 90.932 132.588) + (xy 90.932 132.969) + (xy 91.313 132.969) + (xy 91.313 132.588) + (xy 91.567 132.588) + (xy 91.567 132.969) + (xy 91.948 132.969) + (xy 91.948 132.588) + (xy 93.472 132.588) + (xy 93.472 132.969) + (xy 93.853 132.969) + (xy 93.853 132.588) + (xy 94.107 132.588) + (xy 94.107 132.969) + (xy 94.488 132.969) + (xy 94.488 132.588) + (xy 96.012 132.588) + (xy 96.012 132.969) + (xy 96.393 132.969) + (xy 96.393 132.588) + (xy 96.647 132.588) + (xy 96.647 132.969) + (xy 97.028 132.969) + (xy 97.028 132.588) + (xy 98.552 132.588) + (xy 98.552 132.969) + (xy 98.933 132.969) + (xy 98.933 132.588) + (xy 99.187 132.588) + (xy 99.187 132.969) + (xy 99.568 132.969) + (xy 99.568 132.588) + (xy 101.092 132.588) + (xy 101.092 132.969) + (xy 101.473 132.969) + (xy 101.473 132.588) + (xy 101.727 132.588) + (xy 101.727 132.969) + (xy 102.108 132.969) + (xy 102.108 132.588) + (xy 103.632 132.588) + (xy 103.632 132.969) + (xy 104.013 132.969) + (xy 104.013 132.588) + (xy 104.267 132.588) + (xy 104.267 132.969) + (xy 104.648 132.969) + (xy 104.648 132.588) + (xy 106.172 132.588) + (xy 106.172 132.969) + (xy 106.553 132.969) + (xy 106.553 132.588) + (xy 106.807 132.588) + (xy 106.807 132.969) + (xy 107.188 132.969) + (xy 107.188 132.588) + (xy 108.712 132.588) + (xy 108.712 132.969) + (xy 109.093 132.969) + (xy 109.093 132.588) + (xy 109.347 132.588) + (xy 109.347 132.969) + (xy 109.728 132.969) + (xy 109.728 132.588) + (xy 111.252 132.588) + (xy 111.252 132.969) + (xy 111.633 132.969) + (xy 111.633 132.588) + (xy 111.887 132.588) + (xy 111.887 132.969) + (xy 112.268 132.969) + (xy 112.268 132.588) + (xy 113.792 132.588) + (xy 113.792 132.969) + (xy 114.173 132.969) + (xy 114.173 132.588) + (xy 114.427 132.588) + (xy 114.427 132.969) + (xy 114.808 132.969) + (xy 114.808 132.588) + (xy 116.332 132.588) + (xy 116.332 132.969) + (xy 116.713 132.969) + (xy 116.713 132.588) + (xy 116.967 132.588) + (xy 116.967 132.969) + (xy 117.348 132.969) + (xy 117.348 132.588) + (xy 118.872 132.588) + (xy 118.872 132.969) + (xy 119.253 132.969) + (xy 119.253 132.588) + (xy 119.507 132.588) + (xy 119.507 132.969) + (xy 119.888 132.969) + (xy 119.888 132.588) + (xy 121.412 132.588) + (xy 121.412 132.969) + (xy 121.793 132.969) + (xy 121.793 132.588) + (xy 122.047 132.588) + (xy 122.047 132.969) + (xy 122.428 132.969) + (xy 122.428 132.588) + (xy 123.952 132.588) + (xy 123.952 132.969) + (xy 124.333 132.969) + (xy 124.333 132.588) + (xy 124.587 132.588) + (xy 124.587 132.969) + (xy 124.968 132.969) + (xy 124.968 132.588) + (xy 126.492 132.588) + (xy 126.492 132.969) + (xy 126.873 132.969) + (xy 126.873 132.588) + (xy 127.127 132.588) + (xy 127.127 132.969) + (xy 127.508 132.969) + (xy 127.508 132.588) + (xy 129.032 132.588) + (xy 129.032 132.969) + (xy 129.413 132.969) + (xy 129.413 132.588) + (xy 129.667 132.588) + (xy 129.667 132.969) + (xy 130.048 132.969) + (xy 130.048 132.588) + (xy 131.572 132.588) + (xy 131.572 132.969) + (xy 131.953 132.969) + (xy 131.953 132.588) + (xy 132.207 132.588) + (xy 132.207 132.969) + (xy 132.588 132.969) + (xy 132.588 132.588) + (xy 134.112 132.588) + (xy 134.112 132.969) + (xy 134.493 132.969) + (xy 134.493 132.588) + (xy 134.747 132.588) + (xy 134.747 132.969) + (xy 135.128 132.969) + (xy 135.128 132.588) + (xy 134.747 132.588) + (xy 134.493 132.588) + (xy 134.112 132.588) + (xy 132.588 132.588) + (xy 132.207 132.588) + (xy 131.953 132.588) + (xy 131.572 132.588) + (xy 130.048 132.588) + (xy 129.667 132.588) + (xy 129.413 132.588) + (xy 129.032 132.588) + (xy 127.508 132.588) + (xy 127.127 132.588) + (xy 126.873 132.588) + (xy 126.492 132.588) + (xy 124.968 132.588) + (xy 124.587 132.588) + (xy 124.333 132.588) + (xy 123.952 132.588) + (xy 122.428 132.588) + (xy 122.047 132.588) + (xy 121.793 132.588) + (xy 121.412 132.588) + (xy 119.888 132.588) + (xy 119.507 132.588) + (xy 119.253 132.588) + (xy 118.872 132.588) + (xy 117.348 132.588) + (xy 116.967 132.588) + (xy 116.713 132.588) + (xy 116.332 132.588) + (xy 114.808 132.588) + (xy 114.427 132.588) + (xy 114.173 132.588) + (xy 113.792 132.588) + (xy 112.268 132.588) + (xy 111.887 132.588) + (xy 111.633 132.588) + (xy 111.252 132.588) + (xy 109.728 132.588) + (xy 109.347 132.588) + (xy 109.093 132.588) + (xy 108.712 132.588) + (xy 107.188 132.588) + (xy 106.807 132.588) + (xy 106.553 132.588) + (xy 106.172 132.588) + (xy 104.648 132.588) + (xy 104.267 132.588) + (xy 104.013 132.588) + (xy 103.632 132.588) + (xy 102.108 132.588) + (xy 101.727 132.588) + (xy 101.473 132.588) + (xy 101.092 132.588) + (xy 99.568 132.588) + (xy 99.187 132.588) + (xy 98.933 132.588) + (xy 98.552 132.588) + (xy 97.028 132.588) + (xy 96.647 132.588) + (xy 96.393 132.588) + (xy 96.012 132.588) + (xy 94.488 132.588) + (xy 94.107 132.588) + (xy 93.853 132.588) + (xy 93.472 132.588) + (xy 91.948 132.588) + (xy 91.567 132.588) + (xy 91.313 132.588) + (xy 90.932 132.588) + (xy 89.408 132.588) + (xy 89.027 132.588) + (xy 88.773 132.588) + (xy 88.392 132.588) + (xy 86.868 132.588) + (xy 86.487 132.588) + (xy 86.233 132.588) + (xy 85.852 132.588) + (xy 84.328 132.588) + (xy 83.947 132.588) + (xy 83.693 132.588) + (xy 83.312 132.588) + (xy 81.788 132.588) + (xy 81.407 132.588) + (xy 81.153 132.588) + (xy 80.772 132.588) + (xy 79.248 132.588) + (xy 78.867 132.588) + (xy 78.613 132.588) + (xy 78.232 132.588) + (xy 76.708 132.588) + (xy 76.327 132.588) + (xy 76.073 132.588) + (xy 75.692 132.588) + (xy 74.422 132.588) + (xy 74.422 131.953) + (xy 75.692 131.953) + (xy 75.692 132.334) + (xy 76.073 132.334) + (xy 76.073 131.953) + (xy 76.327 131.953) + (xy 76.327 132.334) + (xy 76.708 132.334) + (xy 76.708 131.953) + (xy 78.232 131.953) + (xy 78.232 132.334) + (xy 78.613 132.334) + (xy 78.613 131.953) + (xy 78.867 131.953) + (xy 78.867 132.334) + (xy 79.248 132.334) + (xy 79.248 131.953) + (xy 80.772 131.953) + (xy 80.772 132.334) + (xy 81.153 132.334) + (xy 81.153 131.953) + (xy 81.407 131.953) + (xy 81.407 132.334) + (xy 81.788 132.334) + (xy 81.788 131.953) + (xy 83.312 131.953) + (xy 83.312 132.334) + (xy 83.693 132.334) + (xy 83.693 131.953) + (xy 83.947 131.953) + (xy 83.947 132.334) + (xy 84.328 132.334) + (xy 84.328 131.953) + (xy 85.852 131.953) + (xy 85.852 132.334) + (xy 86.233 132.334) + (xy 86.233 131.953) + (xy 86.487 131.953) + (xy 86.487 132.334) + (xy 86.868 132.334) + (xy 86.868 131.953) + (xy 88.392 131.953) + (xy 88.392 132.334) + (xy 88.773 132.334) + (xy 88.773 131.953) + (xy 89.027 131.953) + (xy 89.027 132.334) + (xy 89.408 132.334) + (xy 89.408 131.953) + (xy 90.932 131.953) + (xy 90.932 132.334) + (xy 91.313 132.334) + (xy 91.313 131.953) + (xy 91.567 131.953) + (xy 91.567 132.334) + (xy 91.948 132.334) + (xy 91.948 131.953) + (xy 93.472 131.953) + (xy 93.472 132.334) + (xy 93.853 132.334) + (xy 93.853 131.953) + (xy 94.107 131.953) + (xy 94.107 132.334) + (xy 94.488 132.334) + (xy 94.488 131.953) + (xy 96.012 131.953) + (xy 96.012 132.334) + (xy 96.393 132.334) + (xy 96.393 131.953) + (xy 96.647 131.953) + (xy 96.647 132.334) + (xy 97.028 132.334) + (xy 97.028 131.953) + (xy 98.552 131.953) + (xy 98.552 132.334) + (xy 98.933 132.334) + (xy 98.933 131.953) + (xy 99.187 131.953) + (xy 99.187 132.334) + (xy 99.568 132.334) + (xy 99.568 131.953) + (xy 101.092 131.953) + (xy 101.092 132.334) + (xy 101.473 132.334) + (xy 101.473 131.953) + (xy 101.727 131.953) + (xy 101.727 132.334) + (xy 102.108 132.334) + (xy 102.108 131.953) + (xy 103.632 131.953) + (xy 103.632 132.334) + (xy 104.013 132.334) + (xy 104.013 131.953) + (xy 104.267 131.953) + (xy 104.267 132.334) + (xy 104.648 132.334) + (xy 104.648 131.953) + (xy 106.172 131.953) + (xy 106.172 132.334) + (xy 106.553 132.334) + (xy 106.553 131.953) + (xy 106.807 131.953) + (xy 106.807 132.334) + (xy 107.188 132.334) + (xy 107.188 131.953) + (xy 108.712 131.953) + (xy 108.712 132.334) + (xy 109.093 132.334) + (xy 109.093 131.953) + (xy 109.347 131.953) + (xy 109.347 132.334) + (xy 109.728 132.334) + (xy 109.728 131.953) + (xy 111.252 131.953) + (xy 111.252 132.334) + (xy 111.633 132.334) + (xy 111.633 131.953) + (xy 111.887 131.953) + (xy 111.887 132.334) + (xy 112.268 132.334) + (xy 112.268 131.953) + (xy 113.792 131.953) + (xy 113.792 132.334) + (xy 114.173 132.334) + (xy 114.173 131.953) + (xy 114.427 131.953) + (xy 114.427 132.334) + (xy 114.808 132.334) + (xy 114.808 131.953) + (xy 116.332 131.953) + (xy 116.332 132.334) + (xy 116.713 132.334) + (xy 116.713 131.953) + (xy 116.967 131.953) + (xy 116.967 132.334) + (xy 117.348 132.334) + (xy 117.348 131.953) + (xy 118.872 131.953) + (xy 118.872 132.334) + (xy 119.253 132.334) + (xy 119.253 131.953) + (xy 119.507 131.953) + (xy 119.507 132.334) + (xy 119.888 132.334) + (xy 119.888 131.953) + (xy 121.412 131.953) + (xy 121.412 132.334) + (xy 121.793 132.334) + (xy 121.793 131.953) + (xy 122.047 131.953) + (xy 122.047 132.334) + (xy 122.428 132.334) + (xy 122.428 131.953) + (xy 123.952 131.953) + (xy 123.952 132.334) + (xy 124.333 132.334) + (xy 124.333 131.953) + (xy 124.587 131.953) + (xy 124.587 132.334) + (xy 124.968 132.334) + (xy 124.968 131.953) + (xy 126.492 131.953) + (xy 126.492 132.334) + (xy 126.873 132.334) + (xy 126.873 131.953) + (xy 127.127 131.953) + (xy 127.127 132.334) + (xy 127.508 132.334) + (xy 127.508 131.953) + (xy 129.032 131.953) + (xy 129.032 132.334) + (xy 129.413 132.334) + (xy 129.413 131.953) + (xy 129.667 131.953) + (xy 129.667 132.334) + (xy 130.048 132.334) + (xy 130.048 131.953) + (xy 131.572 131.953) + (xy 131.572 132.334) + (xy 131.953 132.334) + (xy 131.953 131.953) + (xy 132.207 131.953) + (xy 132.207 132.334) + (xy 132.588 132.334) + (xy 132.588 131.953) + (xy 134.112 131.953) + (xy 134.112 132.334) + (xy 134.493 132.334) + (xy 134.493 131.953) + (xy 134.747 131.953) + (xy 134.747 132.334) + (xy 135.128 132.334) + (xy 135.128 131.953) + (xy 134.747 131.953) + (xy 134.493 131.953) + (xy 134.112 131.953) + (xy 132.588 131.953) + (xy 132.207 131.953) + (xy 131.953 131.953) + (xy 131.572 131.953) + (xy 130.048 131.953) + (xy 129.667 131.953) + (xy 129.413 131.953) + (xy 129.032 131.953) + (xy 127.508 131.953) + (xy 127.127 131.953) + (xy 126.873 131.953) + (xy 126.492 131.953) + (xy 124.968 131.953) + (xy 124.587 131.953) + (xy 124.333 131.953) + (xy 123.952 131.953) + (xy 122.428 131.953) + (xy 122.047 131.953) + (xy 121.793 131.953) + (xy 121.412 131.953) + (xy 119.888 131.953) + (xy 119.507 131.953) + (xy 119.253 131.953) + (xy 118.872 131.953) + (xy 117.348 131.953) + (xy 116.967 131.953) + (xy 116.713 131.953) + (xy 116.332 131.953) + (xy 114.808 131.953) + (xy 114.427 131.953) + (xy 114.173 131.953) + (xy 113.792 131.953) + (xy 112.268 131.953) + (xy 111.887 131.953) + (xy 111.633 131.953) + (xy 111.252 131.953) + (xy 109.728 131.953) + (xy 109.347 131.953) + (xy 109.093 131.953) + (xy 108.712 131.953) + (xy 107.188 131.953) + (xy 106.807 131.953) + (xy 106.553 131.953) + (xy 106.172 131.953) + (xy 104.648 131.953) + (xy 104.267 131.953) + (xy 104.013 131.953) + (xy 103.632 131.953) + (xy 102.108 131.953) + (xy 101.727 131.953) + (xy 101.473 131.953) + (xy 101.092 131.953) + (xy 99.568 131.953) + (xy 99.187 131.953) + (xy 98.933 131.953) + (xy 98.552 131.953) + (xy 97.028 131.953) + (xy 96.647 131.953) + (xy 96.393 131.953) + (xy 96.012 131.953) + (xy 94.488 131.953) + (xy 94.107 131.953) + (xy 93.853 131.953) + (xy 93.472 131.953) + (xy 91.948 131.953) + (xy 91.567 131.953) + (xy 91.313 131.953) + (xy 90.932 131.953) + (xy 89.408 131.953) + (xy 89.027 131.953) + (xy 88.773 131.953) + (xy 88.392 131.953) + (xy 86.868 131.953) + (xy 86.487 131.953) + (xy 86.233 131.953) + (xy 85.852 131.953) + (xy 84.328 131.953) + (xy 83.947 131.953) + (xy 83.693 131.953) + (xy 83.312 131.953) + (xy 81.788 131.953) + (xy 81.407 131.953) + (xy 81.153 131.953) + (xy 80.772 131.953) + (xy 79.248 131.953) + (xy 78.867 131.953) + (xy 78.613 131.953) + (xy 78.232 131.953) + (xy 76.708 131.953) + (xy 76.327 131.953) + (xy 76.073 131.953) + (xy 75.692 131.953) + (xy 74.422 131.953) + (xy 74.422 131.572) + (xy 48.140448 131.572) + (xy 48.1257 131.57054) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.993343 131.191) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.727844 131.387319) + (xy 131.749984 131.430771) + (xy 131.840229 131.521016) + (xy 131.953943 131.578956) + (xy 131.953945 131.578957) + (xy 132.08 131.598922) + (xy 132.206055 131.578957) + (xy 132.319771 131.521016) + (xy 132.410016 131.430771) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.267844 131.387319) + (xy 134.289984 131.430771) + (xy 134.380229 131.521016) + (xy 134.493943 131.578956) + (xy 134.493945 131.578957) + (xy 134.62 131.598922) + (xy 134.746055 131.578957) + (xy 134.859771 131.521016) + (xy 134.950016 131.430771) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 46.993343 131.191) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.307864 130.334727) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.208733 130.078668) + (xy 46.10246 129.5473) + (xy 46.101737 129.54) + (xy 75.642329 129.54) + (xy 75.661331 129.684336) + (xy 75.717043 129.818836) + (xy 75.717044 129.818838) + (xy 75.717045 129.818839) + (xy 75.805666 129.934333) + (xy 75.872044 129.985266) + (xy 75.921164 130.022957) + (xy 76.055664 130.078669) + (xy 76.2 130.097671) + (xy 76.344336 130.078669) + (xy 76.478836 130.022957) + (xy 76.594333 129.934333) + (xy 76.682957 129.818836) + (xy 76.738669 129.684336) + (xy 76.757671 129.54) + (xy 116.536329 129.54) + (xy 116.555331 129.684336) + (xy 116.611043 129.818836) + (xy 116.611044 129.818838) + (xy 116.611045 129.818839) + (xy 116.699666 129.934333) + (xy 116.766044 129.985266) + (xy 116.815164 130.022957) + (xy 116.949664 130.078669) + (xy 117.094 130.097671) + (xy 117.238336 130.078669) + (xy 117.372836 130.022957) + (xy 117.488333 129.934333) + (xy 117.576957 129.818836) + (xy 117.632669 129.684336) + (xy 117.651671 129.54) + (xy 118.568329 129.54) + (xy 118.587331 129.684336) + (xy 118.643043 129.818836) + (xy 118.643044 129.818838) + (xy 118.643045 129.818839) + (xy 118.731666 129.934333) + (xy 118.798044 129.985266) + (xy 118.847164 130.022957) + (xy 118.981664 130.078669) + (xy 119.126 130.097671) + (xy 119.270336 130.078669) + (xy 119.404836 130.022957) + (xy 119.520333 129.934333) + (xy 119.608957 129.818836) + (xy 119.619244 129.794) + (xy 136.24006 129.794) + (xy 136.260162 129.985262) + (xy 136.260162 129.985264) + (xy 136.260163 129.985266) + (xy 136.296685 130.09767) + (xy 136.319594 130.168175) + (xy 136.415752 130.334727) + (xy 136.544441 130.47765) + (xy 136.544446 130.477654) + (xy 136.700026 130.590689) + (xy 136.700029 130.59069) + (xy 136.70003 130.590691) + (xy 136.875723 130.668915) + (xy 137.06384 130.7089) + (xy 137.063842 130.7089) + (xy 137.256158 130.7089) + (xy 137.25616 130.7089) + (xy 137.444277 130.668915) + (xy 137.61997 130.590691) + (xy 137.77556 130.477649) + (xy 137.904247 130.334727) + (xy 138.000407 130.168173) + (xy 138.059837 129.985266) + (xy 138.07994 129.794) + (xy 138.059837 129.602734) + (xy 138.000407 129.419827) + (xy 137.969924 129.367029) + (xy 137.904247 129.253272) + (xy 137.775558 129.110349) + (xy 137.775553 129.110345) + (xy 137.619973 128.99731) + (xy 137.444278 128.919085) + (xy 137.381571 128.905756) + (xy 137.25616 128.8791) + (xy 137.06384 128.8791) + (xy 136.969781 128.899092) + (xy 136.875721 128.919085) + (xy 136.700026 128.99731) + (xy 136.544446 129.110345) + (xy 136.544441 129.110349) + (xy 136.415752 129.253272) + (xy 136.319594 129.419824) + (xy 136.260162 129.602737) + (xy 136.24006 129.794) + (xy 119.619244 129.794) + (xy 119.664669 129.684336) + (xy 119.683671 129.54) + (xy 119.664669 129.395664) + (xy 119.608957 129.261165) + (xy 119.608955 129.261162) + (xy 119.520333 129.145666) + (xy 119.404839 129.057045) + (xy 119.404838 129.057044) + (xy 119.404836 129.057043) + (xy 119.317891 129.021029) + (xy 119.270337 129.001331) + (xy 119.126 128.982329) + (xy 118.981662 129.001331) + (xy 118.847163 129.057044) + (xy 118.847162 129.057044) + (xy 118.731666 129.145666) + (xy 118.643044 129.261162) + (xy 118.643044 129.261163) + (xy 118.587331 129.395662) + (xy 118.587331 129.395664) + (xy 118.568329 129.54) + (xy 117.651671 129.54) + (xy 117.632669 129.395664) + (xy 117.576957 129.261165) + (xy 117.576955 129.261162) + (xy 117.488333 129.145666) + (xy 117.372839 129.057045) + (xy 117.372838 129.057044) + (xy 117.372836 129.057043) + (xy 117.285891 129.021029) + (xy 117.238337 129.001331) + (xy 117.094 128.982329) + (xy 116.949662 129.001331) + (xy 116.815163 129.057044) + (xy 116.815162 129.057044) + (xy 116.699666 129.145666) + (xy 116.611044 129.261162) + (xy 116.611044 129.261163) + (xy 116.555331 129.395662) + (xy 116.555331 129.395664) + (xy 116.536329 129.54) + (xy 76.757671 129.54) + (xy 76.738669 129.395664) + (xy 76.682957 129.261165) + (xy 76.682955 129.261162) + (xy 76.594333 129.145666) + (xy 76.478839 129.057045) + (xy 76.478838 129.057044) + (xy 76.478836 129.057043) + (xy 76.391891 129.021029) + (xy 76.344337 129.001331) + (xy 76.2 128.982329) + (xy 76.055662 129.001331) + (xy 75.921163 129.057044) + (xy 75.921162 129.057044) + (xy 75.805666 129.145666) + (xy 75.717044 129.261162) + (xy 75.717044 129.261163) + (xy 75.661331 129.395662) + (xy 75.661331 129.395664) + (xy 75.642329 129.54) + (xy 46.101737 129.54) + (xy 46.101 129.532552) + (xy 46.101 128.27) + (xy 76.785329 128.27) + (xy 76.804331 128.414336) + (xy 76.860043 128.548836) + (xy 76.860044 128.548838) + (xy 76.860045 128.548839) + (xy 76.948666 128.664333) + (xy 77.06416 128.752954) + (xy 77.064164 128.752957) + (xy 77.198664 128.808669) + (xy 77.343 128.827671) + (xy 77.487336 128.808669) + (xy 77.621836 128.752957) + (xy 77.737333 128.664333) + (xy 77.825957 128.548836) + (xy 77.881669 128.414336) + (xy 77.900671 128.27) + (xy 77.881669 128.125664) + (xy 77.825957 127.991165) + (xy 77.825955 127.991162) + (xy 77.794371 127.95) + (xy 112.742078 127.95) + (xy 112.762043 128.076056) + (xy 112.787319 128.125662) + (xy 112.819984 128.189771) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.399787 128.27) + (xy 137.745329 128.27) + (xy 137.764331 128.414336) + (xy 137.820043 128.548836) + (xy 137.820044 128.548838) + (xy 137.820045 128.548839) + (xy 137.908666 128.664333) + (xy 138.02416 128.752954) + (xy 138.024164 128.752957) + (xy 138.158664 128.808669) + (xy 138.303 128.827671) + (xy 138.447336 128.808669) + (xy 138.581836 128.752957) + (xy 138.697333 128.664333) + (xy 138.785957 128.548836) + (xy 138.841669 128.414336) + (xy 138.860671 128.27) + (xy 138.841669 128.125664) + (xy 138.785957 127.991165) + (xy 138.785955 127.991162) + (xy 138.697333 127.875666) + (xy 138.581839 127.787045) + (xy 138.581838 127.787044) + (xy 138.581836 127.787043) + (xy 138.494891 127.751029) + (xy 138.447337 127.731331) + (xy 138.303 127.712329) + (xy 138.158662 127.731331) + (xy 138.024163 127.787044) + (xy 138.024162 127.787044) + (xy 137.908666 127.875666) + (xy 137.820044 127.991162) + (xy 137.820044 127.991163) + (xy 137.764331 128.125662) + (xy 137.764331 128.125664) + (xy 137.745329 128.27) + (xy 113.399787 128.27) + (xy 113.480016 128.189771) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.537957 127.823945) + (xy 113.480016 127.710229) + (xy 113.389771 127.619984) + (xy 113.276055 127.562043) + (xy 113.276057 127.562043) + (xy 113.15 127.542078) + (xy 113.023943 127.562043) + (xy 112.910228 127.619984) + (xy 112.819984 127.710228) + (xy 112.762043 127.823943) + (xy 112.742078 127.949999) + (xy 112.742078 127.95) + (xy 77.794371 127.95) + (xy 77.737333 127.875666) + (xy 77.621839 127.787045) + (xy 77.621838 127.787044) + (xy 77.621836 127.787043) + (xy 77.534891 127.751029) + (xy 77.487337 127.731331) + (xy 77.343 127.712329) + (xy 77.198662 127.731331) + (xy 77.064163 127.787044) + (xy 77.064162 127.787044) + (xy 76.948666 127.875666) + (xy 76.860044 127.991162) + (xy 76.860044 127.991163) + (xy 76.804331 128.125662) + (xy 76.804331 128.125664) + (xy 76.785329 128.27) + (xy 46.101 128.27) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.307726 127.04501) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.444882 127.466557) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.870885 127.381) + (xy 84.792078 127.381) + (xy 84.812043 127.507056) + (xy 84.861222 127.603574) + (xy 84.869984 127.620771) + (xy 84.960229 127.711016) + (xy 85.073943 127.768956) + (xy 85.073945 127.768957) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.530016 127.620771) + (xy 85.587957 127.507055) + (xy 85.607922 127.381) + (xy 85.587957 127.254945) + (xy 85.530016 127.141229) + (xy 85.439771 127.050984) + (xy 85.339709 127) + (xy 136.602329 127) + (xy 136.621331 127.144336) + (xy 136.677043 127.278836) + (xy 136.677044 127.278838) + (xy 136.677045 127.278839) + (xy 136.765666 127.394333) + (xy 136.85979 127.466556) + (xy 136.881164 127.482957) + (xy 137.015664 127.538669) + (xy 137.16 127.557671) + (xy 137.304336 127.538669) + (xy 137.438836 127.482957) + (xy 137.554333 127.394333) + (xy 137.642957 127.278836) + (xy 137.698669 127.144336) + (xy 137.717671 127) + (xy 137.711745 126.95499) + (xy 142.171845 126.95499) + (xy 142.176726 127.04501) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.313882 127.466557) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.824817 127.089771) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.819691 126.855664) + (xy 143.798011 126.77758) + (xy 143.774327 126.692277) + (xy 143.745906 126.638669) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.689702 126.532954) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.223566 126.202484) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.924506 126.177053) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 137.711745 126.95499) + (xy 137.698669 126.855664) + (xy 137.642957 126.721165) + (xy 137.642955 126.721162) + (xy 137.554333 126.605666) + (xy 137.438839 126.517045) + (xy 137.438838 126.517044) + (xy 137.438836 126.517043) + (xy 137.351891 126.481029) + (xy 137.304337 126.461331) + (xy 137.16 126.442329) + (xy 137.015662 126.461331) + (xy 136.881163 126.517044) + (xy 136.881162 126.517044) + (xy 136.765666 126.605666) + (xy 136.677044 126.721162) + (xy 136.677044 126.721163) + (xy 136.677043 126.721164) + (xy 136.677043 126.721165) + (xy 136.667485 126.744238) + (xy 136.621331 126.855662) + (xy 136.608255 126.954985) + (xy 136.602329 127) + (xy 85.339709 127) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380999) + (xy 84.792078 127.381) + (xy 48.870885 127.381) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.955817 127.089771) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.950691 126.855664) + (xy 48.949118 126.85) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.047177 127.04501) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.526055 127.237957) + (xy 79.639771 127.180016) + (xy 79.730016 127.089771) + (xy 79.787957 126.976055) + (xy 79.807922 126.85) + (xy 80.292078 126.85) + (xy 80.312043 126.976056) + (xy 80.347177 127.04501) + (xy 80.369984 127.089771) + (xy 80.460229 127.180016) + (xy 80.573943 127.237956) + (xy 80.573945 127.237957) + (xy 80.7 127.257922) + (xy 80.826055 127.237957) + (xy 80.939771 127.180016) + (xy 81.030016 127.089771) + (xy 81.087957 126.976055) + (xy 81.107922 126.85) + (xy 81.592078 126.85) + (xy 81.612043 126.976056) + (xy 81.647177 127.04501) + (xy 81.669984 127.089771) + (xy 81.760229 127.180016) + (xy 81.873943 127.237956) + (xy 81.873945 127.237957) + (xy 82 127.257922) + (xy 82.126055 127.237957) + (xy 82.239771 127.180016) + (xy 82.330016 127.089771) + (xy 82.387957 126.976055) + (xy 82.407922 126.85) + (xy 82.892078 126.85) + (xy 82.912043 126.976056) + (xy 82.947177 127.04501) + (xy 82.969984 127.089771) + (xy 83.060229 127.180016) + (xy 83.173943 127.237956) + (xy 83.173945 127.237957) + (xy 83.3 127.257922) + (xy 83.426055 127.237957) + (xy 83.539771 127.180016) + (xy 83.630016 127.089771) + (xy 83.687957 126.976055) + (xy 83.707922 126.85) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.539771 126.519984) + (xy 83.426055 126.462043) + (xy 83.426057 126.462043) + (xy 83.3 126.442078) + (xy 83.173943 126.462043) + (xy 83.060228 126.519984) + (xy 82.969984 126.610228) + (xy 82.912043 126.723943) + (xy 82.892078 126.849999) + (xy 82.892078 126.85) + (xy 82.407922 126.85) + (xy 82.387957 126.723945) + (xy 82.330016 126.610229) + (xy 82.239771 126.519984) + (xy 82.126055 126.462043) + (xy 82.126057 126.462043) + (xy 82 126.442078) + (xy 81.873943 126.462043) + (xy 81.760228 126.519984) + (xy 81.669984 126.610228) + (xy 81.612043 126.723943) + (xy 81.592078 126.849999) + (xy 81.592078 126.85) + (xy 81.107922 126.85) + (xy 81.087957 126.723945) + (xy 81.030016 126.610229) + (xy 80.939771 126.519984) + (xy 80.826055 126.462043) + (xy 80.826057 126.462043) + (xy 80.7 126.442078) + (xy 80.573943 126.462043) + (xy 80.460228 126.519984) + (xy 80.369984 126.610228) + (xy 80.312043 126.723943) + (xy 80.292078 126.849999) + (xy 80.292078 126.85) + (xy 79.807922 126.85) + (xy 79.787957 126.723945) + (xy 79.730016 126.610229) + (xy 79.639771 126.519984) + (xy 79.526055 126.462043) + (xy 79.526057 126.462043) + (xy 79.4 126.442078) + (xy 79.273943 126.462043) + (xy 79.160228 126.519984) + (xy 79.069984 126.610228) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 48.949118 126.85) + (xy 48.929011 126.77758) + (xy 48.905327 126.692277) + (xy 48.876906 126.638669) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.820702 126.532954) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.354566 126.202484) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.055506 126.177053) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 126.1) + (xy 78.342078 126.1) + (xy 78.362043 126.226056) + (xy 78.393417 126.287631) + (xy 78.419984 126.339771) + (xy 78.510229 126.430016) + (xy 78.623943 126.487956) + (xy 78.623945 126.487957) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.080016 126.339771) + (xy 79.137957 126.226055) + (xy 79.157922 126.1) + (xy 79.642078 126.1) + (xy 79.662043 126.226056) + (xy 79.693417 126.287631) + (xy 79.719984 126.339771) + (xy 79.810229 126.430016) + (xy 79.923943 126.487956) + (xy 79.923945 126.487957) + (xy 80.05 126.507922) + (xy 80.176055 126.487957) + (xy 80.289771 126.430016) + (xy 80.380016 126.339771) + (xy 80.437957 126.226055) + (xy 80.457922 126.1) + (xy 80.942078 126.1) + (xy 80.962043 126.226056) + (xy 80.993417 126.287631) + (xy 81.019984 126.339771) + (xy 81.110229 126.430016) + (xy 81.223943 126.487956) + (xy 81.223945 126.487957) + (xy 81.35 126.507922) + (xy 81.476055 126.487957) + (xy 81.589771 126.430016) + (xy 81.680016 126.339771) + (xy 81.737957 126.226055) + (xy 81.757922 126.1) + (xy 82.242078 126.1) + (xy 82.262043 126.226056) + (xy 82.293417 126.287631) + (xy 82.319984 126.339771) + (xy 82.410229 126.430016) + (xy 82.523943 126.487956) + (xy 82.523945 126.487957) + (xy 82.65 126.507922) + (xy 82.776055 126.487957) + (xy 82.889771 126.430016) + (xy 82.980016 126.339771) + (xy 83.037957 126.226055) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) + (xy 82.919787 125.8) + (xy 115.942078 125.8) + (xy 115.962043 125.926056) + (xy 115.977128 125.955662) + (xy 116.019984 126.039771) + (xy 116.110229 126.130016) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.619787 126.1) + (xy 129.792329 126.1) + (xy 129.811331 126.244337) + (xy 129.829264 126.287631) + (xy 129.867043 126.378836) + (xy 129.867044 126.378838) + (xy 129.867045 126.378839) + (xy 129.955666 126.494333) + (xy 130.006637 126.533444) + (xy 130.071164 126.582957) + (xy 130.205664 126.638669) + (xy 130.35 126.657671) + (xy 130.494336 126.638669) + (xy 130.628836 126.582957) + (xy 130.744333 126.494333) + (xy 130.832957 126.378836) + (xy 130.888669 126.244336) + (xy 130.907671 126.1) + (xy 132.192329 126.1) + (xy 132.211331 126.244337) + (xy 132.229264 126.287631) + (xy 132.267043 126.378836) + (xy 132.267044 126.378838) + (xy 132.267045 126.378839) + (xy 132.355666 126.494333) + (xy 132.406637 126.533444) + (xy 132.471164 126.582957) + (xy 132.605664 126.638669) + (xy 132.75 126.657671) + (xy 132.894336 126.638669) + (xy 133.028836 126.582957) + (xy 133.144333 126.494333) + (xy 133.232957 126.378836) + (xy 133.288669 126.244336) + (xy 133.307671 126.1) + (xy 133.301088 126.05) + (xy 134.642329 126.05) + (xy 134.661331 126.194337) + (xy 134.67447 126.226056) + (xy 134.717043 126.328836) + (xy 134.717044 126.328838) + (xy 134.717045 126.328839) + (xy 134.805666 126.444333) + (xy 134.900424 126.517043) + (xy 134.921164 126.532957) + (xy 135.055664 126.588669) + (xy 135.2 126.607671) + (xy 135.344336 126.588669) + (xy 135.478836 126.532957) + (xy 135.594333 126.444333) + (xy 135.682957 126.328836) + (xy 135.738669 126.194336) + (xy 135.757671 126.05) + (xy 135.738669 125.905664) + (xy 135.682957 125.771165) + (xy 135.682051 125.769984) + (xy 135.594333 125.655666) + (xy 135.478839 125.567045) + (xy 135.478838 125.567044) + (xy 135.478836 125.567043) + (xy 135.391891 125.531029) + (xy 135.344337 125.511331) + (xy 135.2 125.492329) + (xy 135.055662 125.511331) + (xy 134.921163 125.567044) + (xy 134.921162 125.567044) + (xy 134.805666 125.655666) + (xy 134.717044 125.771162) + (xy 134.717044 125.771163) + (xy 134.661331 125.905662) + (xy 134.642329 126.05) + (xy 133.301088 126.05) + (xy 133.288669 125.955664) + (xy 133.232957 125.821165) + (xy 133.194591 125.771165) + (xy 133.144333 125.705666) + (xy 133.028839 125.617045) + (xy 133.028838 125.617044) + (xy 133.028836 125.617043) + (xy 132.908131 125.567045) + (xy 132.894337 125.561331) + (xy 132.75 125.542329) + (xy 132.605662 125.561331) + (xy 132.471163 125.617044) + (xy 132.471162 125.617044) + (xy 132.355666 125.705666) + (xy 132.267044 125.821162) + (xy 132.267044 125.821163) + (xy 132.211331 125.955662) + (xy 132.192329 126.1) + (xy 130.907671 126.1) + (xy 130.888669 125.955664) + (xy 130.832957 125.821165) + (xy 130.794591 125.771165) + (xy 130.744333 125.705666) + (xy 130.628839 125.617045) + (xy 130.628838 125.617044) + (xy 130.628836 125.617043) + (xy 130.508131 125.567045) + (xy 130.494337 125.561331) + (xy 130.35 125.542329) + (xy 130.205662 125.561331) + (xy 130.071163 125.617044) + (xy 130.071162 125.617044) + (xy 129.955666 125.705666) + (xy 129.867044 125.821162) + (xy 129.867044 125.821163) + (xy 129.811331 125.955662) + (xy 129.792329 126.1) + (xy 116.619787 126.1) + (xy 116.680016 126.039771) + (xy 116.737957 125.926055) + (xy 116.757922 125.8) + (xy 116.737957 125.673945) + (xy 116.680016 125.560229) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.110228 125.469984) + (xy 116.019984 125.560228) + (xy 115.962043 125.673943) + (xy 115.942078 125.799999) + (xy 115.942078 125.8) + (xy 82.919787 125.8) + (xy 82.889771 125.769984) + (xy 82.776055 125.712043) + (xy 82.776057 125.712043) + (xy 82.65 125.692078) + (xy 82.523943 125.712043) + (xy 82.410228 125.769984) + (xy 82.319984 125.860228) + (xy 82.262043 125.973943) + (xy 82.242078 126.099999) + (xy 82.242078 126.1) + (xy 81.757922 126.1) + (xy 81.737957 125.973945) + (xy 81.680016 125.860229) + (xy 81.589771 125.769984) + (xy 81.476055 125.712043) + (xy 81.476057 125.712043) + (xy 81.35 125.692078) + (xy 81.223943 125.712043) + (xy 81.110228 125.769984) + (xy 81.019984 125.860228) + (xy 80.962043 125.973943) + (xy 80.942078 126.099999) + (xy 80.942078 126.1) + (xy 80.457922 126.1) + (xy 80.437957 125.973945) + (xy 80.380016 125.860229) + (xy 80.289771 125.769984) + (xy 80.176055 125.712043) + (xy 80.176057 125.712043) + (xy 80.05 125.692078) + (xy 79.923943 125.712043) + (xy 79.810228 125.769984) + (xy 79.719984 125.860228) + (xy 79.662043 125.973943) + (xy 79.642078 126.099999) + (xy 79.642078 126.1) + (xy 79.157922 126.1) + (xy 79.137957 125.973945) + (xy 79.080016 125.860229) + (xy 78.989771 125.769984) + (xy 78.876055 125.712043) + (xy 78.876057 125.712043) + (xy 78.75 125.692078) + (xy 78.623943 125.712043) + (xy 78.510228 125.769984) + (xy 78.419984 125.860228) + (xy 78.362043 125.973943) + (xy 78.342078 126.099999) + (xy 78.342078 126.1) + (xy 46.101 126.1) + (xy 46.101 124.75766) + (xy 67.258893 124.75766) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.070159 125.45767) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.697967 125.011407) + (xy 68.747969 124.844388) + (xy 68.753021 124.75766) + (xy 69.290893 124.75766) + (xy 69.321168 124.929356) + (xy 69.39022 125.089437) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.102159 125.45767) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.642796 125.162393) + (xy 70.729967 125.011407) + (xy 70.778289 124.85) + (xy 128.592329 124.85) + (xy 128.611331 124.994337) + (xy 128.618402 125.011407) + (xy 128.667043 125.128836) + (xy 128.667044 125.128838) + (xy 128.667045 125.128839) + (xy 128.755666 125.244333) + (xy 128.814145 125.289205) + (xy 128.871164 125.332957) + (xy 129.005664 125.388669) + (xy 129.15 125.407671) + (xy 129.294336 125.388669) + (xy 129.428836 125.332957) + (xy 129.544333 125.244333) + (xy 129.632957 125.128836) + (xy 129.688669 124.994336) + (xy 129.707671 124.85) + (xy 130.992329 124.85) + (xy 131.011331 124.994337) + (xy 131.018402 125.011407) + (xy 131.067043 125.128836) + (xy 131.067044 125.128838) + (xy 131.067045 125.128839) + (xy 131.155666 125.244333) + (xy 131.214145 125.289205) + (xy 131.271164 125.332957) + (xy 131.405664 125.388669) + (xy 131.55 125.407671) + (xy 131.694336 125.388669) + (xy 131.828836 125.332957) + (xy 131.944333 125.244333) + (xy 132.032957 125.128836) + (xy 132.088669 124.994336) + (xy 132.101088 124.9) + (xy 133.442329 124.9) + (xy 133.454748 124.994337) + (xy 133.461331 125.044336) + (xy 133.517043 125.178836) + (xy 133.517044 125.178838) + (xy 133.517045 125.178839) + (xy 133.605666 125.294333) + (xy 133.666939 125.341349) + (xy 133.721164 125.382957) + (xy 133.855664 125.438669) + (xy 134 125.457671) + (xy 134.144336 125.438669) + (xy 134.278836 125.382957) + (xy 134.394333 125.294333) + (xy 134.482957 125.178836) + (xy 134.538669 125.044336) + (xy 134.557671 124.9) + (xy 134.538669 124.755664) + (xy 134.482957 124.621165) + (xy 134.444591 124.571165) + (xy 134.394333 124.505666) + (xy 134.278839 124.417045) + (xy 134.278838 124.417044) + (xy 134.278836 124.417043) + (xy 134.158131 124.367045) + (xy 134.144337 124.361331) + (xy 134 124.342329) + (xy 133.855662 124.361331) + (xy 133.744238 124.407485) + (xy 133.722252 124.416593) + (xy 133.721163 124.417044) + (xy 133.721162 124.417044) + (xy 133.605666 124.505666) + (xy 133.517044 124.621162) + (xy 133.517044 124.621163) + (xy 133.461331 124.755662) + (xy 133.442329 124.9) + (xy 132.101088 124.9) + (xy 132.107671 124.85) + (xy 132.088669 124.705664) + (xy 132.032957 124.571165) + (xy 132.032955 124.571162) + (xy 131.944333 124.455666) + (xy 131.828839 124.367045) + (xy 131.828838 124.367044) + (xy 131.828836 124.367043) + (xy 131.741891 124.331029) + (xy 131.694337 124.311331) + (xy 131.55 124.292329) + (xy 131.405662 124.311331) + (xy 131.271163 124.367044) + (xy 131.271162 124.367044) + (xy 131.155666 124.455666) + (xy 131.067044 124.571162) + (xy 131.067044 124.571163) + (xy 131.067043 124.571164) + (xy 131.067043 124.571165) + (xy 131.061888 124.583611) + (xy 131.011331 124.705662) + (xy 130.992329 124.85) + (xy 129.707671 124.85) + (xy 129.688669 124.705664) + (xy 129.632957 124.571165) + (xy 129.632955 124.571162) + (xy 129.544333 124.455666) + (xy 129.428839 124.367045) + (xy 129.428838 124.367044) + (xy 129.428836 124.367043) + (xy 129.341891 124.331029) + (xy 129.294337 124.311331) + (xy 129.15 124.292329) + (xy 129.005662 124.311331) + (xy 128.871163 124.367044) + (xy 128.871162 124.367044) + (xy 128.755666 124.455666) + (xy 128.667044 124.571162) + (xy 128.667044 124.571163) + (xy 128.667043 124.571164) + (xy 128.667043 124.571165) + (xy 128.661888 124.583611) + (xy 128.611331 124.705662) + (xy 128.592329 124.85) + (xy 70.778289 124.85) + (xy 70.779969 124.844388) + (xy 70.790107 124.67034) + (xy 70.759832 124.498646) + (xy 70.750087 124.476055) + (xy 70.695713 124.35) + (xy 120.592078 124.35) + (xy 120.612043 124.476056) + (xy 120.627131 124.505667) + (xy 120.669984 124.589771) + (xy 120.760229 124.680016) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.330016 124.589771) + (xy 121.387957 124.476055) + (xy 121.407922 124.35) + (xy 121.387957 124.223945) + (xy 121.330016 124.110229) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.760228 124.019984) + (xy 120.669984 124.110228) + (xy 120.612043 124.223943) + (xy 120.592078 124.349999) + (xy 120.592078 124.35) + (xy 70.695713 124.35) + (xy 70.690779 124.338562) + (xy 70.611594 124.232198) + (xy 70.586668 124.198717) + (xy 70.556852 124.173698) + (xy 70.453117 124.086653) + (xy 70.453116 124.086652) + (xy 70.297315 124.008406) + (xy 70.127671 123.9682) + (xy 69.997064 123.9682) + (xy 69.997054 123.9682) + (xy 69.867338 123.983362) + (xy 69.703509 124.042991) + (xy 69.703508 124.042991) + (xy 69.703507 124.042992) + (xy 69.557846 124.138795) + (xy 69.557845 124.138795) + (xy 69.557845 124.138796) + (xy 69.438204 124.265606) + (xy 69.351032 124.416593) + (xy 69.301031 124.583609) + (xy 69.301031 124.583611) + (xy 69.290893 124.75766) + (xy 68.753021 124.75766) + (xy 68.758107 124.67034) + (xy 68.727832 124.498646) + (xy 68.718087 124.476055) + (xy 68.658779 124.338562) + (xy 68.579594 124.232198) + (xy 68.554668 124.198717) + (xy 68.524852 124.173698) + (xy 68.421117 124.086653) + (xy 68.421116 124.086652) + (xy 68.265315 124.008406) + (xy 68.095671 123.9682) + (xy 67.965064 123.9682) + (xy 67.965054 123.9682) + (xy 67.835338 123.983362) + (xy 67.671509 124.042991) + (xy 67.671508 124.042991) + (xy 67.671507 124.042992) + (xy 67.525846 124.138795) + (xy 67.525845 124.138795) + (xy 67.525845 124.138796) + (xy 67.406204 124.265606) + (xy 67.319032 124.416593) + (xy 67.269031 124.583609) + (xy 67.269031 124.583611) + (xy 67.258893 124.75766) + (xy 46.101 124.75766) + (xy 46.101 122.809) + (xy 63.028578 122.809) + (xy 63.048543 122.935056) + (xy 63.106484 123.048771) + (xy 63.196729 123.139016) + (xy 63.310443 123.196956) + (xy 63.310445 123.196957) + (xy 63.4365 123.216922) + (xy 63.562555 123.196957) + (xy 63.676271 123.139016) + (xy 63.766516 123.048771) + (xy 63.824457 122.935055) + (xy 63.82555 122.928155) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.124515 123.276055) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.335542 123.673945) + (xy 65.42294 123.786235) + (xy 65.598266 123.947633) + (xy 65.744224 124.042992) + (xy 65.797768 124.077974) + (xy 66.015991 124.173696) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.953002 124.173699) + (xy 67.171234 124.077973) + (xy 67.370734 123.947633) + (xy 67.54606 123.786235) + (xy 67.692429 123.598179) + (xy 67.805849 123.388597) + (xy 67.883226 123.163206) + (xy 67.922449 122.928155) + (xy 70.12655 122.928155) + (xy 70.165772 123.163198) + (xy 70.165773 123.163202) + (xy 70.165774 123.163206) + (xy 70.204515 123.276055) + (xy 70.243152 123.3886) + (xy 70.243153 123.388602) + (xy 70.356569 123.598177) + (xy 70.415542 123.673945) + (xy 70.50294 123.786235) + (xy 70.678266 123.947633) + (xy 70.824224 124.042992) + (xy 70.877768 124.077974) + (xy 71.095991 124.173696) + (xy 71.095998 124.173699) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.611107 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.733517 123.9682) + (xy 77.769984 124.039771) + (xy 77.860229 124.130016) + (xy 77.973943 124.187956) + (xy 77.973945 124.187957) + (xy 78.1 124.207922) + (xy 78.226055 124.187957) + (xy 78.339771 124.130016) + (xy 78.430016 124.039771) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 86.892078 123.8) + (xy 86.912043 123.926056) + (xy 86.933517 123.9682) + (xy 86.969984 124.039771) + (xy 87.060229 124.130016) + (xy 87.173943 124.187956) + (xy 87.173945 124.187957) + (xy 87.3 124.207922) + (xy 87.426055 124.187957) + (xy 87.539771 124.130016) + (xy 87.630016 124.039771) + (xy 87.687957 123.926055) + (xy 87.707922 123.8) + (xy 96.092078 123.8) + (xy 96.112043 123.926056) + (xy 96.133517 123.9682) + (xy 96.169984 124.039771) + (xy 96.260229 124.130016) + (xy 96.373943 124.187956) + (xy 96.373945 124.187957) + (xy 96.5 124.207922) + (xy 96.626055 124.187957) + (xy 96.739771 124.130016) + (xy 96.830016 124.039771) + (xy 96.887957 123.926055) + (xy 96.907922 123.8) + (xy 105.292078 123.8) + (xy 105.312043 123.926056) + (xy 105.333517 123.9682) + (xy 105.369984 124.039771) + (xy 105.460229 124.130016) + (xy 105.573943 124.187956) + (xy 105.573945 124.187957) + (xy 105.7 124.207922) + (xy 105.826055 124.187957) + (xy 105.939771 124.130016) + (xy 106.030016 124.039771) + (xy 106.087957 123.926055) + (xy 106.107922 123.8) + (xy 106.592078 123.8) + (xy 106.612043 123.926056) + (xy 106.633517 123.9682) + (xy 106.669984 124.039771) + (xy 106.760229 124.130016) + (xy 106.873943 124.187956) + (xy 106.873945 124.187957) + (xy 107 124.207922) + (xy 107.126055 124.187957) + (xy 107.239771 124.130016) + (xy 107.330016 124.039771) + (xy 107.387957 123.926055) + (xy 107.407922 123.8) + (xy 107.892078 123.8) + (xy 107.912043 123.926056) + (xy 107.933517 123.9682) + (xy 107.969984 124.039771) + (xy 108.060229 124.130016) + (xy 108.173943 124.187956) + (xy 108.173945 124.187957) + (xy 108.3 124.207922) + (xy 108.426055 124.187957) + (xy 108.539771 124.130016) + (xy 108.630016 124.039771) + (xy 108.687957 123.926055) + (xy 108.707922 123.8) + (xy 108.687957 123.673945) + (xy 108.630016 123.560229) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173943 123.412043) + (xy 108.060228 123.469984) + (xy 107.969984 123.560228) + (xy 107.912043 123.673943) + (xy 107.892078 123.799999) + (xy 107.892078 123.8) + (xy 107.407922 123.8) + (xy 107.387957 123.673945) + (xy 107.330016 123.560229) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873943 123.412043) + (xy 106.760228 123.469984) + (xy 106.669984 123.560228) + (xy 106.612043 123.673943) + (xy 106.592078 123.799999) + (xy 106.592078 123.8) + (xy 106.107922 123.8) + (xy 106.087957 123.673945) + (xy 106.030016 123.560229) + (xy 105.939771 123.469984) + (xy 105.826055 123.412043) + (xy 105.826057 123.412043) + (xy 105.7 123.392078) + (xy 105.573943 123.412043) + (xy 105.460228 123.469984) + (xy 105.369984 123.560228) + (xy 105.312043 123.673943) + (xy 105.292078 123.799999) + (xy 105.292078 123.8) + (xy 96.907922 123.8) + (xy 96.887957 123.673945) + (xy 96.830016 123.560229) + (xy 96.739771 123.469984) + (xy 96.626055 123.412043) + (xy 96.626057 123.412043) + (xy 96.5 123.392078) + (xy 96.373943 123.412043) + (xy 96.260228 123.469984) + (xy 96.169984 123.560228) + (xy 96.112043 123.673943) + (xy 96.092078 123.799999) + (xy 96.092078 123.8) + (xy 87.707922 123.8) + (xy 87.687957 123.673945) + (xy 87.630016 123.560229) + (xy 87.539771 123.469984) + (xy 87.426055 123.412043) + (xy 87.426057 123.412043) + (xy 87.3 123.392078) + (xy 87.173943 123.412043) + (xy 87.060228 123.469984) + (xy 86.969984 123.560228) + (xy 86.912043 123.673943) + (xy 86.892078 123.799999) + (xy 86.892078 123.8) + (xy 78.507922 123.8) + (xy 78.487957 123.673945) + (xy 78.430016 123.560229) + (xy 78.339771 123.469984) + (xy 78.226055 123.412043) + (xy 78.226057 123.412043) + (xy 78.1 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 72.611107 123.8) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 72.982117 123.05) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.012995 123.276055) + (xy 106.019984 123.289771) + (xy 106.110229 123.380016) + (xy 106.223943 123.437956) + (xy 106.223945 123.437957) + (xy 106.35 123.457922) + (xy 106.476055 123.437957) + (xy 106.589771 123.380016) + (xy 106.680016 123.289771) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.312995 123.276055) + (xy 107.319984 123.289771) + (xy 107.410229 123.380016) + (xy 107.523943 123.437956) + (xy 107.523945 123.437957) + (xy 107.65 123.457922) + (xy 107.776055 123.437957) + (xy 107.889771 123.380016) + (xy 107.980016 123.289771) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.612995 123.276055) + (xy 108.619984 123.289771) + (xy 108.710229 123.380016) + (xy 108.823943 123.437956) + (xy 108.823945 123.437957) + (xy 108.95 123.457922) + (xy 109.076055 123.437957) + (xy 109.189771 123.380016) + (xy 109.280016 123.289771) + (xy 109.337957 123.176055) + (xy 109.350003 123.1) + (xy 114.392078 123.1) + (xy 114.412043 123.226056) + (xy 114.444508 123.289771) + (xy 114.469984 123.339771) + (xy 114.560229 123.430016) + (xy 114.673943 123.487956) + (xy 114.673945 123.487957) + (xy 114.8 123.507922) + (xy 114.926055 123.487957) + (xy 115.039771 123.430016) + (xy 115.130016 123.339771) + (xy 115.187957 123.226055) + (xy 115.200003 123.15) + (xy 116.092078 123.15) + (xy 116.112043 123.276056) + (xy 116.144508 123.339771) + (xy 116.169984 123.389771) + (xy 116.260229 123.480016) + (xy 116.373943 123.537956) + (xy 116.373945 123.537957) + (xy 116.5 123.557922) + (xy 116.626055 123.537957) + (xy 116.739771 123.480016) + (xy 116.830016 123.389771) + (xy 116.887957 123.276055) + (xy 116.907922 123.15) + (xy 116.900003 123.1) + (xy 119.242078 123.1) + (xy 119.262043 123.226056) + (xy 119.294508 123.289771) + (xy 119.319984 123.339771) + (xy 119.410229 123.430016) + (xy 119.523943 123.487956) + (xy 119.523945 123.487957) + (xy 119.65 123.507922) + (xy 119.776055 123.487957) + (xy 119.889771 123.430016) + (xy 119.980016 123.339771) + (xy 120.037957 123.226055) + (xy 120.050003 123.15) + (xy 120.792078 123.15) + (xy 120.812043 123.276056) + (xy 120.844508 123.339771) + (xy 120.869984 123.389771) + (xy 120.960229 123.480016) + (xy 121.073943 123.537956) + (xy 121.073945 123.537957) + (xy 121.2 123.557922) + (xy 121.326055 123.537957) + (xy 121.439771 123.480016) + (xy 121.530016 123.389771) + (xy 121.587957 123.276055) + (xy 121.607922 123.15) + (xy 121.587957 123.023945) + (xy 121.530016 122.910229) + (xy 121.439771 122.819984) + (xy 121.326055 122.762043) + (xy 121.326057 122.762043) + (xy 121.2 122.742078) + (xy 121.073943 122.762043) + (xy 120.960228 122.819984) + (xy 120.869984 122.910228) + (xy 120.812043 123.023943) + (xy 120.792078 123.149999) + (xy 120.792078 123.15) + (xy 120.050003 123.15) + (xy 120.057922 123.1) + (xy 120.037957 122.973945) + (xy 119.980016 122.860229) + (xy 119.889771 122.769984) + (xy 119.776055 122.712043) + (xy 119.776057 122.712043) + (xy 119.65 122.692078) + (xy 119.523943 122.712043) + (xy 119.410228 122.769984) + (xy 119.319984 122.860228) + (xy 119.262043 122.973943) + (xy 119.242078 123.099999) + (xy 119.242078 123.1) + (xy 116.900003 123.1) + (xy 116.887957 123.023945) + (xy 116.830016 122.910229) + (xy 116.739771 122.819984) + (xy 116.626055 122.762043) + (xy 116.626057 122.762043) + (xy 116.5 122.742078) + (xy 116.373943 122.762043) + (xy 116.260228 122.819984) + (xy 116.169984 122.910228) + (xy 116.112043 123.023943) + (xy 116.092078 123.149999) + (xy 116.092078 123.15) + (xy 115.200003 123.15) + (xy 115.207922 123.1) + (xy 115.187957 122.973945) + (xy 115.130016 122.860229) + (xy 115.039771 122.769984) + (xy 114.926055 122.712043) + (xy 114.926057 122.712043) + (xy 114.8 122.692078) + (xy 114.673943 122.712043) + (xy 114.560228 122.769984) + (xy 114.469984 122.860228) + (xy 114.412043 122.973943) + (xy 114.392078 123.099999) + (xy 114.392078 123.1) + (xy 109.350003 123.1) + (xy 109.357922 123.05) + (xy 109.337957 122.923945) + (xy 109.280016 122.810229) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 72.982117 123.05) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.885849 122.229403) + (xy 72.869937 122.2) + (xy 115.142078 122.2) + (xy 115.162043 122.326056) + (xy 115.200269 122.401078) + (xy 115.219984 122.439771) + (xy 115.310229 122.530016) + (xy 115.423943 122.587956) + (xy 115.423945 122.587957) + (xy 115.55 122.607922) + (xy 115.676055 122.587957) + (xy 115.789771 122.530016) + (xy 115.880016 122.439771) + (xy 115.937957 122.326055) + (xy 115.957922 122.2) + (xy 116.942078 122.2) + (xy 116.962043 122.326056) + (xy 117.000269 122.401078) + (xy 117.019984 122.439771) + (xy 117.110229 122.530016) + (xy 117.223943 122.587956) + (xy 117.223945 122.587957) + (xy 117.35 122.607922) + (xy 117.476055 122.587957) + (xy 117.589771 122.530016) + (xy 117.680016 122.439771) + (xy 117.737957 122.326055) + (xy 117.757922 122.2) + (xy 119.842078 122.2) + (xy 119.862043 122.326056) + (xy 119.900269 122.401078) + (xy 119.919984 122.439771) + (xy 120.010229 122.530016) + (xy 120.123943 122.587956) + (xy 120.123945 122.587957) + (xy 120.25 122.607922) + (xy 120.376055 122.587957) + (xy 120.489771 122.530016) + (xy 120.580016 122.439771) + (xy 120.637957 122.326055) + (xy 120.657922 122.2) + (xy 121.642078 122.2) + (xy 121.662043 122.326056) + (xy 121.700269 122.401078) + (xy 121.719984 122.439771) + (xy 121.810229 122.530016) + (xy 121.923943 122.587956) + (xy 121.923945 122.587957) + (xy 122.05 122.607922) + (xy 122.176055 122.587957) + (xy 122.289771 122.530016) + (xy 122.380016 122.439771) + (xy 122.437957 122.326055) + (xy 122.457922 122.2) + (xy 122.437957 122.073945) + (xy 122.380016 121.960229) + (xy 122.289771 121.869984) + (xy 122.176055 121.812043) + (xy 122.176057 121.812043) + (xy 122.05 121.792078) + (xy 121.923943 121.812043) + (xy 121.810228 121.869984) + (xy 121.719984 121.960228) + (xy 121.662043 122.073943) + (xy 121.642078 122.199999) + (xy 121.642078 122.2) + (xy 120.657922 122.2) + (xy 120.637957 122.073945) + (xy 120.580016 121.960229) + (xy 120.489771 121.869984) + (xy 120.376055 121.812043) + (xy 120.376057 121.812043) + (xy 120.25 121.792078) + (xy 120.123943 121.812043) + (xy 120.010228 121.869984) + (xy 119.919984 121.960228) + (xy 119.862043 122.073943) + (xy 119.842078 122.199999) + (xy 119.842078 122.2) + (xy 117.757922 122.2) + (xy 117.737957 122.073945) + (xy 117.680016 121.960229) + (xy 117.589771 121.869984) + (xy 117.476055 121.812043) + (xy 117.476057 121.812043) + (xy 117.35 121.792078) + (xy 117.223943 121.812043) + (xy 117.110228 121.869984) + (xy 117.019984 121.960228) + (xy 116.962043 122.073943) + (xy 116.942078 122.199999) + (xy 116.942078 122.2) + (xy 115.957922 122.2) + (xy 115.937957 122.073945) + (xy 115.880016 121.960229) + (xy 115.789771 121.869984) + (xy 115.676055 121.812043) + (xy 115.676057 121.812043) + (xy 115.55 121.792078) + (xy 115.423943 121.812043) + (xy 115.310228 121.869984) + (xy 115.219984 121.960228) + (xy 115.162043 122.073943) + (xy 115.142078 122.199999) + (xy 115.142078 122.2) + (xy 72.869937 122.2) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356569 122.019822) + (xy 70.243153 122.229397) + (xy 70.243152 122.229399) + (xy 70.165775 122.454791) + (xy 70.165772 122.454801) + (xy 70.12655 122.689844) + (xy 70.12655 122.928155) + (xy 67.922449 122.928155) + (xy 67.92245 122.928152) + (xy 67.92245 122.689848) + (xy 67.883226 122.454794) + (xy 67.805849 122.229403) + (xy 67.789937 122.2) + (xy 67.69243 122.019822) + (xy 67.546061 121.831766) + (xy 67.546057 121.831762) + (xy 67.370734 121.670367) + (xy 67.171231 121.540025) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 63.82555 122.928155) + (xy 63.844422 122.809) + (xy 63.824457 122.682945) + (xy 63.766516 122.569229) + (xy 63.676271 122.478984) + (xy 63.562555 122.421043) + (xy 63.562557 122.421043) + (xy 63.4365 122.401078) + (xy 63.310443 122.421043) + (xy 63.196728 122.478984) + (xy 63.106484 122.569228) + (xy 63.048543 122.682943) + (xy 63.028578 122.808999) + (xy 63.028578 122.809) + (xy 46.101 122.809) + (xy 46.101 121.35) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.137957 121.223945) + (xy 133.10028 121.15) + (xy 134.742443 121.15) + (xy 134.760977 121.278911) + (xy 134.815078 121.397373) + (xy 134.81508 121.397376) + (xy 134.900366 121.495801) + (xy 135.009926 121.566209) + (xy 135.134883 121.6029) + (xy 135.265117 121.6029) + (xy 135.390073 121.566209) + (xy 135.390073 121.566208) + (xy 135.390076 121.566208) + (xy 135.499636 121.495799) + (xy 135.584921 121.397374) + (xy 135.639023 121.278909) + (xy 135.657557 121.15) + (xy 136.842443 121.15) + (xy 136.860977 121.278911) + (xy 136.915078 121.397373) + (xy 136.91508 121.397376) + (xy 137.000366 121.495801) + (xy 137.109926 121.566209) + (xy 137.234883 121.6029) + (xy 137.365117 121.6029) + (xy 137.490073 121.566209) + (xy 137.490073 121.566208) + (xy 137.490076 121.566208) + (xy 137.599636 121.495799) + (xy 137.684921 121.397374) + (xy 137.739023 121.278909) + (xy 137.757557 121.15) + (xy 137.739023 121.021091) + (xy 137.738517 121.019984) + (xy 137.684921 120.902626) + (xy 137.684919 120.902623) + (xy 137.599633 120.804198) + (xy 137.490073 120.73379) + (xy 137.365117 120.6971) + (xy 137.234883 120.6971) + (xy 137.109926 120.73379) + (xy 137.000366 120.804198) + (xy 136.91508 120.902623) + (xy 136.915078 120.902626) + (xy 136.860977 121.021088) + (xy 136.842443 121.15) + (xy 135.657557 121.15) + (xy 135.639023 121.021091) + (xy 135.638517 121.019984) + (xy 135.584921 120.902626) + (xy 135.584919 120.902623) + (xy 135.499633 120.804198) + (xy 135.390073 120.73379) + (xy 135.265117 120.6971) + (xy 135.134883 120.6971) + (xy 135.009926 120.73379) + (xy 134.900366 120.804198) + (xy 134.81508 120.902623) + (xy 134.815078 120.902626) + (xy 134.760977 121.021088) + (xy 134.742443 121.15) + (xy 133.10028 121.15) + (xy 133.080016 121.110229) + (xy 132.989771 121.019984) + (xy 132.876055 120.962043) + (xy 132.876057 120.962043) + (xy 132.75 120.942078) + (xy 132.623943 120.962043) + (xy 132.510228 121.019984) + (xy 132.419984 121.110228) + (xy 132.362043 121.223943) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 130.757922 121.35) + (xy 130.737957 121.223945) + (xy 130.680016 121.110229) + (xy 130.589771 121.019984) + (xy 130.476055 120.962043) + (xy 130.476057 120.962043) + (xy 130.35 120.942078) + (xy 130.223943 120.962043) + (xy 130.110228 121.019984) + (xy 130.019984 121.110228) + (xy 129.962043 121.223943) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 46.101 121.35) + (xy 46.101 120.7135) + (xy 71.220078 120.7135) + (xy 71.240043 120.839556) + (xy 71.272179 120.902626) + (xy 71.297984 120.953271) + (xy 71.388229 121.043516) + (xy 71.501943 121.101456) + (xy 71.501945 121.101457) + (xy 71.628 121.121422) + (xy 71.754055 121.101457) + (xy 71.867771 121.043516) + (xy 71.958016 120.953271) + (xy 72.015957 120.839555) + (xy 72.035922 120.7135) + (xy 72.015957 120.587445) + (xy 71.958016 120.473729) + (xy 71.867771 120.383484) + (xy 71.754055 120.325543) + (xy 71.754057 120.325543) + (xy 71.628 120.305578) + (xy 71.501943 120.325543) + (xy 71.388228 120.383484) + (xy 71.297984 120.473728) + (xy 71.240043 120.587443) + (xy 71.220078 120.713499) + (xy 71.220078 120.7135) + (xy 46.101 120.7135) + (xy 46.101 120.15) + (xy 77.692078 120.15) + (xy 77.712043 120.276056) + (xy 77.737258 120.325543) + (xy 77.769984 120.389771) + (xy 77.860229 120.480016) + (xy 77.973943 120.537956) + (xy 77.973945 120.537957) + (xy 78.1 120.557922) + (xy 78.226055 120.537957) + (xy 78.339771 120.480016) + (xy 78.430016 120.389771) + (xy 78.487957 120.276055) + (xy 78.507922 120.15) + (xy 86.892078 120.15) + (xy 86.912043 120.276056) + (xy 86.937258 120.325543) + (xy 86.969984 120.389771) + (xy 87.060229 120.480016) + (xy 87.173943 120.537956) + (xy 87.173945 120.537957) + (xy 87.3 120.557922) + (xy 87.426055 120.537957) + (xy 87.539771 120.480016) + (xy 87.630016 120.389771) + (xy 87.687957 120.276055) + (xy 87.707922 120.15) + (xy 96.092078 120.15) + (xy 96.112043 120.276056) + (xy 96.137258 120.325543) + (xy 96.169984 120.389771) + (xy 96.260229 120.480016) + (xy 96.373943 120.537956) + (xy 96.373945 120.537957) + (xy 96.5 120.557922) + (xy 96.626055 120.537957) + (xy 96.739771 120.480016) + (xy 96.830016 120.389771) + (xy 96.887957 120.276055) + (xy 96.907922 120.15) + (xy 105.292078 120.15) + (xy 105.312043 120.276056) + (xy 105.337258 120.325543) + (xy 105.369984 120.389771) + (xy 105.460229 120.480016) + (xy 105.573943 120.537956) + (xy 105.573945 120.537957) + (xy 105.7 120.557922) + (xy 105.826055 120.537957) + (xy 105.90055 120.5) + (xy 126.042329 120.5) + (xy 126.059832 120.632954) + (xy 126.061331 120.644336) + (xy 126.117043 120.778836) + (xy 126.117044 120.778838) + (xy 126.117045 120.778839) + (xy 126.205666 120.894333) + (xy 126.293908 120.962043) + (xy 126.321164 120.982957) + (xy 126.455664 121.038669) + (xy 126.6 121.057671) + (xy 126.744336 121.038669) + (xy 126.878836 120.982957) + (xy 126.994333 120.894333) + (xy 127.082957 120.778836) + (xy 127.138669 120.644336) + (xy 127.157671 120.5) + (xy 127.138669 120.355664) + (xy 127.082957 120.221165) + (xy 127.066717 120.2) + (xy 128.592329 120.2) + (xy 128.604748 120.294337) + (xy 128.611331 120.344336) + (xy 128.667043 120.478836) + (xy 128.667044 120.478838) + (xy 128.667045 120.478839) + (xy 128.755666 120.594333) + (xy 128.820832 120.644336) + (xy 128.871164 120.682957) + (xy 129.005664 120.738669) + (xy 129.15 120.757671) + (xy 129.294336 120.738669) + (xy 129.428836 120.682957) + (xy 129.544333 120.594333) + (xy 129.632957 120.478836) + (xy 129.688669 120.344336) + (xy 129.707671 120.2) + (xy 130.992329 120.2) + (xy 131.004748 120.294337) + (xy 131.011331 120.344336) + (xy 131.067043 120.478836) + (xy 131.067044 120.478838) + (xy 131.067045 120.478839) + (xy 131.155666 120.594333) + (xy 131.220832 120.644336) + (xy 131.271164 120.682957) + (xy 131.405664 120.738669) + (xy 131.55 120.757671) + (xy 131.694336 120.738669) + (xy 131.828836 120.682957) + (xy 131.944333 120.594333) + (xy 132.032957 120.478836) + (xy 132.065612 120.4) + (xy 133.442329 120.4) + (xy 133.461331 120.544337) + (xy 133.479187 120.587443) + (xy 133.517043 120.678836) + (xy 133.517044 120.678838) + (xy 133.517045 120.678839) + (xy 133.605666 120.794333) + (xy 133.681993 120.8529) + (xy 133.721164 120.882957) + (xy 133.855664 120.938669) + (xy 134 120.957671) + (xy 134.144336 120.938669) + (xy 134.278836 120.882957) + (xy 134.394333 120.794333) + (xy 134.482957 120.678836) + (xy 134.538669 120.544336) + (xy 134.557671 120.4) + (xy 137.942443 120.4) + (xy 137.960977 120.528911) + (xy 138.015078 120.647373) + (xy 138.01508 120.647376) + (xy 138.100366 120.745801) + (xy 138.118837 120.757671) + (xy 138.19124 120.804201) + (xy 138.209926 120.816209) + (xy 138.334883 120.8529) + (xy 138.465117 120.8529) + (xy 138.590073 120.816209) + (xy 138.590073 120.816208) + (xy 138.590076 120.816208) + (xy 138.699636 120.745799) + (xy 138.784921 120.647374) + (xy 138.839023 120.528909) + (xy 138.857557 120.4) + (xy 138.839023 120.271091) + (xy 138.816221 120.221163) + (xy 138.784921 120.152626) + (xy 138.784919 120.152623) + (xy 138.782646 120.15) + (xy 140.792329 120.15) + (xy 140.811331 120.294337) + (xy 140.824257 120.325543) + (xy 140.867043 120.428836) + (xy 140.867044 120.428838) + (xy 140.867045 120.428839) + (xy 140.955666 120.544333) + (xy 141.020828 120.594333) + (xy 141.071164 120.632957) + (xy 141.205664 120.688669) + (xy 141.35 120.707671) + (xy 141.494336 120.688669) + (xy 141.628836 120.632957) + (xy 141.744333 120.544333) + (xy 141.832957 120.428836) + (xy 141.888669 120.294336) + (xy 141.907671 120.15) + (xy 141.888669 120.005664) + (xy 141.832957 119.871165) + (xy 141.832955 119.871162) + (xy 141.744333 119.755666) + (xy 141.628839 119.667045) + (xy 141.628838 119.667044) + (xy 141.628836 119.667043) + (xy 141.541891 119.631029) + (xy 141.494337 119.611331) + (xy 141.35 119.592329) + (xy 141.205662 119.611331) + (xy 141.071163 119.667044) + (xy 141.071162 119.667044) + (xy 140.955666 119.755666) + (xy 140.867044 119.871162) + (xy 140.867044 119.871163) + (xy 140.811331 120.005662) + (xy 140.792329 120.15) + (xy 138.782646 120.15) + (xy 138.73379 120.093618) + (xy 138.699636 120.054201) + (xy 138.699635 120.0542) + (xy 138.699633 120.054198) + (xy 138.590073 119.98379) + (xy 138.465117 119.9471) + (xy 138.334883 119.9471) + (xy 138.209926 119.98379) + (xy 138.100366 120.054198) + (xy 138.01508 120.152623) + (xy 138.015078 120.152626) + (xy 137.960977 120.271088) + (xy 137.942443 120.4) + (xy 134.557671 120.4) + (xy 134.538669 120.255664) + (xy 134.482957 120.121165) + (xy 134.471065 120.105667) + (xy 134.394333 120.005666) + (xy 134.278839 119.917045) + (xy 134.278838 119.917044) + (xy 134.278836 119.917043) + (xy 134.174369 119.873771) + (xy 134.144337 119.861331) + (xy 134 119.842329) + (xy 133.855662 119.861331) + (xy 133.721163 119.917044) + (xy 133.721162 119.917044) + (xy 133.605666 120.005666) + (xy 133.517044 120.121162) + (xy 133.517044 120.121163) + (xy 133.461331 120.255662) + (xy 133.442329 120.4) + (xy 132.065612 120.4) + (xy 132.088669 120.344336) + (xy 132.107671 120.2) + (xy 132.088669 120.055664) + (xy 132.032957 119.921165) + (xy 131.994591 119.871165) + (xy 131.944333 119.805666) + (xy 131.828839 119.717045) + (xy 131.828838 119.717044) + (xy 131.828836 119.717043) + (xy 131.708131 119.667045) + (xy 131.694337 119.661331) + (xy 131.55 119.642329) + (xy 131.405662 119.661331) + (xy 131.271163 119.717044) + (xy 131.271162 119.717044) + (xy 131.155666 119.805666) + (xy 131.067044 119.921162) + (xy 131.067044 119.921163) + (xy 131.011331 120.055662) + (xy 130.992329 120.2) + (xy 129.707671 120.2) + (xy 129.688669 120.055664) + (xy 129.632957 119.921165) + (xy 129.594591 119.871165) + (xy 129.544333 119.805666) + (xy 129.428839 119.717045) + (xy 129.428838 119.717044) + (xy 129.428836 119.717043) + (xy 129.308131 119.667045) + (xy 129.294337 119.661331) + (xy 129.15 119.642329) + (xy 129.005662 119.661331) + (xy 128.871163 119.717044) + (xy 128.871162 119.717044) + (xy 128.755666 119.805666) + (xy 128.667044 119.921162) + (xy 128.667044 119.921163) + (xy 128.611331 120.055662) + (xy 128.592329 120.2) + (xy 127.066717 120.2) + (xy 127.030366 120.152626) + (xy 126.994333 120.105666) + (xy 126.878839 120.017045) + (xy 126.878838 120.017044) + (xy 126.878836 120.017043) + (xy 126.791891 119.981029) + (xy 126.744337 119.961331) + (xy 126.6 119.942329) + (xy 126.455662 119.961331) + (xy 126.321163 120.017044) + (xy 126.321162 120.017044) + (xy 126.205666 120.105666) + (xy 126.117044 120.221162) + (xy 126.117044 120.221163) + (xy 126.061331 120.355662) + (xy 126.042329 120.5) + (xy 105.90055 120.5) + (xy 105.939771 120.480016) + (xy 106.030016 120.389771) + (xy 106.087957 120.276055) + (xy 106.107922 120.15) + (xy 106.087957 120.023945) + (xy 106.030016 119.910229) + (xy 105.939771 119.819984) + (xy 105.826055 119.762043) + (xy 105.826057 119.762043) + (xy 105.7 119.742078) + (xy 105.573943 119.762043) + (xy 105.460228 119.819984) + (xy 105.369984 119.910228) + (xy 105.312043 120.023943) + (xy 105.292078 120.149999) + (xy 105.292078 120.15) + (xy 96.907922 120.15) + (xy 96.887957 120.023945) + (xy 96.830016 119.910229) + (xy 96.739771 119.819984) + (xy 96.626055 119.762043) + (xy 96.626057 119.762043) + (xy 96.5 119.742078) + (xy 96.373943 119.762043) + (xy 96.260228 119.819984) + (xy 96.169984 119.910228) + (xy 96.112043 120.023943) + (xy 96.092078 120.149999) + (xy 96.092078 120.15) + (xy 87.707922 120.15) + (xy 87.687957 120.023945) + (xy 87.630016 119.910229) + (xy 87.539771 119.819984) + (xy 87.426055 119.762043) + (xy 87.426057 119.762043) + (xy 87.3 119.742078) + (xy 87.173943 119.762043) + (xy 87.060228 119.819984) + (xy 86.969984 119.910228) + (xy 86.912043 120.023943) + (xy 86.892078 120.149999) + (xy 86.892078 120.15) + (xy 78.507922 120.15) + (xy 78.487957 120.023945) + (xy 78.430016 119.910229) + (xy 78.339771 119.819984) + (xy 78.226055 119.762043) + (xy 78.226057 119.762043) + (xy 78.1 119.742078) + (xy 77.973943 119.762043) + (xy 77.860228 119.819984) + (xy 77.769984 119.910228) + (xy 77.712043 120.023943) + (xy 77.692078 120.149999) + (xy 77.692078 120.15) + (xy 46.101 120.15) + (xy 46.101 119.634) + (xy 65.886078 119.634) + (xy 65.906043 119.760056) + (xy 65.962655 119.871162) + (xy 65.963984 119.873771) + (xy 66.054229 119.964016) + (xy 66.167943 120.021956) + (xy 66.167945 120.021957) + (xy 66.294 120.041922) + (xy 66.420055 120.021957) + (xy 66.533771 119.964016) + (xy 66.624016 119.873771) + (xy 66.681957 119.760055) + (xy 66.701922 119.634) + (xy 66.681957 119.507945) + (xy 66.624016 119.394229) + (xy 66.533771 119.303984) + (xy 66.420055 119.246043) + (xy 66.420057 119.246043) + (xy 66.294 119.226078) + (xy 66.167943 119.246043) + (xy 66.054228 119.303984) + (xy 65.963984 119.394228) + (xy 65.906043 119.507943) + (xy 65.886078 119.633999) + (xy 65.886078 119.634) + (xy 46.101 119.634) + (xy 46.101 119.2) + (xy 75.242443 119.2) + (xy 75.260977 119.328911) + (xy 75.315078 119.447373) + (xy 75.31508 119.447376) + (xy 75.400366 119.545801) + (xy 75.509926 119.616209) + (xy 75.634883 119.6529) + (xy 75.765117 119.6529) + (xy 75.890073 119.616209) + (xy 75.890073 119.616208) + (xy 75.890076 119.616208) + (xy 75.915296 119.6) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.652607 119.805666) + (xy 114.669984 119.839771) + (xy 114.760229 119.930016) + (xy 114.873943 119.987956) + (xy 114.873945 119.987957) + (xy 115 120.007922) + (xy 115.126055 119.987957) + (xy 115.239771 119.930016) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.302607 119.805666) + (xy 119.319984 119.839771) + (xy 119.410229 119.930016) + (xy 119.523943 119.987956) + (xy 119.523945 119.987957) + (xy 119.65 120.007922) + (xy 119.776055 119.987957) + (xy 119.889771 119.930016) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.523943 119.212043) + (xy 119.410228 119.269984) + (xy 119.319984 119.360228) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 115.407922 119.6) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 75.915296 119.6) + (xy 75.999636 119.545799) + (xy 76.084921 119.447374) + (xy 76.139023 119.328909) + (xy 76.157557 119.2) + (xy 76.139023 119.071091) + (xy 76.12939 119.05) + (xy 77.067078 119.05) + (xy 77.087043 119.176056) + (xy 77.134902 119.269984) + (xy 77.144984 119.289771) + (xy 77.235229 119.380016) + (xy 77.348943 119.437956) + (xy 77.348945 119.437957) + (xy 77.475 119.457922) + (xy 77.601055 119.437957) + (xy 77.714771 119.380016) + (xy 77.805016 119.289771) + (xy 77.862957 119.176055) + (xy 77.867084 119.15) + (xy 84.542078 119.15) + (xy 84.562043 119.276056) + (xy 84.576273 119.303984) + (xy 84.619984 119.389771) + (xy 84.710229 119.480016) + (xy 84.823943 119.537956) + (xy 84.823945 119.537957) + (xy 84.95 119.557922) + (xy 85.076055 119.537957) + (xy 85.189771 119.480016) + (xy 85.280016 119.389771) + (xy 85.337957 119.276055) + (xy 85.357922 119.15) + (xy 85.342084 119.05) + (xy 86.267078 119.05) + (xy 86.287043 119.176056) + (xy 86.334902 119.269984) + (xy 86.344984 119.289771) + (xy 86.435229 119.380016) + (xy 86.548943 119.437956) + (xy 86.548945 119.437957) + (xy 86.675 119.457922) + (xy 86.801055 119.437957) + (xy 86.914771 119.380016) + (xy 87.005016 119.289771) + (xy 87.062957 119.176055) + (xy 87.067084 119.15) + (xy 93.742078 119.15) + (xy 93.762043 119.276056) + (xy 93.776273 119.303984) + (xy 93.819984 119.389771) + (xy 93.910229 119.480016) + (xy 94.023943 119.537956) + (xy 94.023945 119.537957) + (xy 94.15 119.557922) + (xy 94.276055 119.537957) + (xy 94.389771 119.480016) + (xy 94.480016 119.389771) + (xy 94.537957 119.276055) + (xy 94.557922 119.15) + (xy 94.542084 119.05) + (xy 95.467078 119.05) + (xy 95.487043 119.176056) + (xy 95.534902 119.269984) + (xy 95.544984 119.289771) + (xy 95.635229 119.380016) + (xy 95.748943 119.437956) + (xy 95.748945 119.437957) + (xy 95.875 119.457922) + (xy 96.001055 119.437957) + (xy 96.114771 119.380016) + (xy 96.205016 119.289771) + (xy 96.262957 119.176055) + (xy 96.267084 119.15) + (xy 102.942078 119.15) + (xy 102.962043 119.276056) + (xy 102.976273 119.303984) + (xy 103.019984 119.389771) + (xy 103.110229 119.480016) + (xy 103.223943 119.537956) + (xy 103.223945 119.537957) + (xy 103.35 119.557922) + (xy 103.476055 119.537957) + (xy 103.589771 119.480016) + (xy 103.680016 119.389771) + (xy 103.737957 119.276055) + (xy 103.757922 119.15) + (xy 103.742084 119.05) + (xy 104.667078 119.05) + (xy 104.687043 119.176056) + (xy 104.734902 119.269984) + (xy 104.744984 119.289771) + (xy 104.835229 119.380016) + (xy 104.948943 119.437956) + (xy 104.948945 119.437957) + (xy 105.075 119.457922) + (xy 105.201055 119.437957) + (xy 105.314771 119.380016) + (xy 105.405016 119.289771) + (xy 105.462957 119.176055) + (xy 105.482922 119.05) + (xy 105.462957 118.923945) + (xy 105.405016 118.810229) + (xy 105.314771 118.719984) + (xy 105.201055 118.662043) + (xy 105.201057 118.662043) + (xy 105.075 118.642078) + (xy 104.948943 118.662043) + (xy 104.835228 118.719984) + (xy 104.744984 118.810228) + (xy 104.687043 118.923943) + (xy 104.667078 119.049999) + (xy 104.667078 119.05) + (xy 103.742084 119.05) + (xy 103.737957 119.023945) + (xy 103.680016 118.910229) + (xy 103.589771 118.819984) + (xy 103.476055 118.762043) + (xy 103.476057 118.762043) + (xy 103.35 118.742078) + (xy 103.223943 118.762043) + (xy 103.110228 118.819984) + (xy 103.019984 118.910228) + (xy 102.962043 119.023943) + (xy 102.942078 119.149999) + (xy 102.942078 119.15) + (xy 96.267084 119.15) + (xy 96.282922 119.05) + (xy 96.262957 118.923945) + (xy 96.205016 118.810229) + (xy 96.114771 118.719984) + (xy 96.001055 118.662043) + (xy 96.001057 118.662043) + (xy 95.875 118.642078) + (xy 95.748943 118.662043) + (xy 95.635228 118.719984) + (xy 95.544984 118.810228) + (xy 95.487043 118.923943) + (xy 95.467078 119.049999) + (xy 95.467078 119.05) + (xy 94.542084 119.05) + (xy 94.537957 119.023945) + (xy 94.480016 118.910229) + (xy 94.389771 118.819984) + (xy 94.276055 118.762043) + (xy 94.276057 118.762043) + (xy 94.15 118.742078) + (xy 94.023943 118.762043) + (xy 93.910228 118.819984) + (xy 93.819984 118.910228) + (xy 93.762043 119.023943) + (xy 93.742078 119.149999) + (xy 93.742078 119.15) + (xy 87.067084 119.15) + (xy 87.082922 119.05) + (xy 87.062957 118.923945) + (xy 87.005016 118.810229) + (xy 86.914771 118.719984) + (xy 86.801055 118.662043) + (xy 86.801057 118.662043) + (xy 86.675 118.642078) + (xy 86.548943 118.662043) + (xy 86.435228 118.719984) + (xy 86.344984 118.810228) + (xy 86.287043 118.923943) + (xy 86.267078 119.049999) + (xy 86.267078 119.05) + (xy 85.342084 119.05) + (xy 85.337957 119.023945) + (xy 85.280016 118.910229) + (xy 85.189771 118.819984) + (xy 85.076055 118.762043) + (xy 85.076057 118.762043) + (xy 84.95 118.742078) + (xy 84.823943 118.762043) + (xy 84.710228 118.819984) + (xy 84.619984 118.910228) + (xy 84.562043 119.023943) + (xy 84.542078 119.149999) + (xy 84.542078 119.15) + (xy 77.867084 119.15) + (xy 77.882922 119.05) + (xy 77.862957 118.923945) + (xy 77.805016 118.810229) + (xy 77.714771 118.719984) + (xy 77.601055 118.662043) + (xy 77.601057 118.662043) + (xy 77.475 118.642078) + (xy 77.348943 118.662043) + (xy 77.235228 118.719984) + (xy 77.144984 118.810228) + (xy 77.087043 118.923943) + (xy 77.067078 119.049999) + (xy 77.067078 119.05) + (xy 76.12939 119.05) + (xy 76.12939 119.049999) + (xy 76.084921 118.952626) + (xy 76.084919 118.952623) + (xy 75.999633 118.854198) + (xy 75.890073 118.78379) + (xy 75.765117 118.7471) + (xy 75.634883 118.7471) + (xy 75.509926 118.78379) + (xy 75.400366 118.854198) + (xy 75.31508 118.952623) + (xy 75.315078 118.952626) + (xy 75.260977 119.071088) + (xy 75.242443 119.2) + (xy 46.101 119.2) + (xy 46.101 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.141072 117.609283) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.422828 118.071091) + (xy 65.42294 118.071235) + (xy 65.598266 118.232633) + (xy 65.790035 118.357922) + (xy 65.797768 118.362974) + (xy 65.990177 118.447373) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.66265 118.522116) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 117.13766) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.611882 117.721346) + (xy 68.611883 117.721347) + (xy 68.611884 117.721347) + (xy 68.611886 117.721349) + (xy 68.767685 117.799594) + (xy 68.937329 117.8398) + (xy 69.067943 117.8398) + (xy 69.067945 117.839799) + (xy 69.084682 117.837842) + (xy 69.197664 117.824637) + (xy 69.361493 117.765008) + (xy 69.507154 117.669205) + (xy 69.626796 117.542393) + (xy 69.713967 117.391407) + (xy 69.763969 117.224388) + (xy 69.764623 117.213155) + (xy 70.12655 117.213155) + (xy 70.165772 117.448198) + (xy 70.165773 117.448202) + (xy 70.165774 117.448206) + (xy 70.221072 117.609283) + (xy 70.243152 117.6736) + (xy 70.243153 117.673602) + (xy 70.356569 117.883177) + (xy 70.502828 118.071091) + (xy 70.50294 118.071235) + (xy 70.678266 118.232633) + (xy 70.870035 118.357922) + (xy 70.877768 118.362974) + (xy 71.070177 118.447373) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.74265 118.522116) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.486183 118.2) + (xy 76.142443 118.2) + (xy 76.160977 118.328911) + (xy 76.215078 118.447373) + (xy 76.21508 118.447376) + (xy 76.300366 118.545801) + (xy 76.409926 118.616209) + (xy 76.534883 118.6529) + (xy 76.665117 118.6529) + (xy 76.790073 118.616209) + (xy 76.790073 118.616208) + (xy 76.790076 118.616208) + (xy 76.899636 118.545799) + (xy 76.984921 118.447374) + (xy 77.039023 118.328909) + (xy 77.057557 118.2) + (xy 77.039023 118.071091) + (xy 76.984921 117.952626) + (xy 76.984919 117.952623) + (xy 76.982646 117.95) + (xy 77.692078 117.95) + (xy 77.712043 118.076056) + (xy 77.769984 118.189771) + (xy 77.860229 118.280016) + (xy 77.973943 118.337956) + (xy 77.973945 118.337957) + (xy 78.1 118.357922) + (xy 78.226055 118.337957) + (xy 78.339771 118.280016) + (xy 78.430016 118.189771) + (xy 78.475756 118.1) + (xy 85.242329 118.1) + (xy 85.261331 118.244336) + (xy 85.317043 118.378836) + (xy 85.317044 118.378838) + (xy 85.317045 118.378839) + (xy 85.405666 118.494333) + (xy 85.472741 118.545801) + (xy 85.521164 118.582957) + (xy 85.655664 118.638669) + (xy 85.8 118.657671) + (xy 85.944336 118.638669) + (xy 86.078836 118.582957) + (xy 86.194333 118.494333) + (xy 86.282957 118.378836) + (xy 86.338669 118.244336) + (xy 86.357671 118.1) + (xy 86.338669 117.955664) + (xy 86.336323 117.95) + (xy 86.892078 117.95) + (xy 86.912043 118.076056) + (xy 86.969984 118.189771) + (xy 87.060229 118.280016) + (xy 87.173943 118.337956) + (xy 87.173945 118.337957) + (xy 87.3 118.357922) + (xy 87.426055 118.337957) + (xy 87.539771 118.280016) + (xy 87.630016 118.189771) + (xy 87.675756 118.1) + (xy 94.442329 118.1) + (xy 94.461331 118.244336) + (xy 94.517043 118.378836) + (xy 94.517044 118.378838) + (xy 94.517045 118.378839) + (xy 94.605666 118.494333) + (xy 94.672741 118.545801) + (xy 94.721164 118.582957) + (xy 94.855664 118.638669) + (xy 95 118.657671) + (xy 95.144336 118.638669) + (xy 95.278836 118.582957) + (xy 95.394333 118.494333) + (xy 95.482957 118.378836) + (xy 95.538669 118.244336) + (xy 95.557671 118.1) + (xy 95.538669 117.955664) + (xy 95.536323 117.95) + (xy 96.092078 117.95) + (xy 96.112043 118.076056) + (xy 96.169984 118.189771) + (xy 96.260229 118.280016) + (xy 96.373943 118.337956) + (xy 96.373945 118.337957) + (xy 96.5 118.357922) + (xy 96.626055 118.337957) + (xy 96.739771 118.280016) + (xy 96.830016 118.189771) + (xy 96.875756 118.1) + (xy 103.642329 118.1) + (xy 103.661331 118.244336) + (xy 103.717043 118.378836) + (xy 103.717044 118.378838) + (xy 103.717045 118.378839) + (xy 103.805666 118.494333) + (xy 103.872741 118.545801) + (xy 103.921164 118.582957) + (xy 104.055664 118.638669) + (xy 104.2 118.657671) + (xy 104.344336 118.638669) + (xy 104.437691 118.6) + (xy 126.042329 118.6) + (xy 126.061331 118.744337) + (xy 126.077673 118.78379) + (xy 126.117043 118.878836) + (xy 126.117044 118.878838) + (xy 126.117045 118.878839) + (xy 126.205666 118.994333) + (xy 126.305696 119.071088) + (xy 126.321164 119.082957) + (xy 126.455664 119.138669) + (xy 126.6 119.157671) + (xy 126.744336 119.138669) + (xy 126.878836 119.082957) + (xy 126.994333 118.994333) + (xy 127.082957 118.878836) + (xy 127.138669 118.744336) + (xy 127.157671 118.6) + (xy 127.138669 118.455664) + (xy 127.082957 118.321165) + (xy 127.051382 118.280015) + (xy 126.994333 118.205666) + (xy 126.878839 118.117045) + (xy 126.878838 118.117044) + (xy 126.878836 118.117043) + (xy 126.779883 118.076055) + (xy 126.744337 118.061331) + (xy 126.6 118.042329) + (xy 126.455662 118.061331) + (xy 126.321163 118.117044) + (xy 126.321162 118.117044) + (xy 126.205666 118.205666) + (xy 126.117044 118.321162) + (xy 126.117044 118.321163) + (xy 126.117043 118.321164) + (xy 126.117043 118.321165) + (xy 126.110088 118.337956) + (xy 126.061331 118.455662) + (xy 126.042329 118.6) + (xy 104.437691 118.6) + (xy 104.478836 118.582957) + (xy 104.594333 118.494333) + (xy 104.682957 118.378836) + (xy 104.738669 118.244336) + (xy 104.757671 118.1) + (xy 104.738669 117.955664) + (xy 104.736323 117.95) + (xy 105.292078 117.95) + (xy 105.312043 118.076056) + (xy 105.369984 118.189771) + (xy 105.460229 118.280016) + (xy 105.573943 118.337956) + (xy 105.573945 118.337957) + (xy 105.7 118.357922) + (xy 105.826055 118.337957) + (xy 105.939771 118.280016) + (xy 106.030016 118.189771) + (xy 106.087957 118.076055) + (xy 106.107922 117.95) + (xy 106.087957 117.823945) + (xy 106.030016 117.710229) + (xy 105.969787 117.65) + (xy 127.292329 117.65) + (xy 127.311331 117.794337) + (xy 127.323882 117.824637) + (xy 127.367043 117.928836) + (xy 127.367044 117.928838) + (xy 127.367045 117.928839) + (xy 127.455666 118.044333) + (xy 127.550424 118.117043) + (xy 127.571164 118.132957) + (xy 127.705664 118.188669) + (xy 127.85 118.207671) + (xy 127.994336 118.188669) + (xy 128.128836 118.132957) + (xy 128.244333 118.044333) + (xy 128.332957 117.928836) + (xy 128.388669 117.794336) + (xy 128.394506 117.75) + (xy 136.842443 117.75) + (xy 136.860977 117.878911) + (xy 136.915078 117.997373) + (xy 136.91508 117.997376) + (xy 137.000366 118.095801) + (xy 137.109926 118.166209) + (xy 137.234883 118.2029) + (xy 137.365117 118.2029) + (xy 137.490073 118.166209) + (xy 137.490073 118.166208) + (xy 137.490076 118.166208) + (xy 137.599636 118.095799) + (xy 137.684921 117.997374) + (xy 137.739023 117.878909) + (xy 137.757557 117.75) + (xy 137.739023 117.621091) + (xy 137.738517 117.619984) + (xy 137.684921 117.502626) + (xy 137.684919 117.502623) + (xy 137.599633 117.404198) + (xy 137.490073 117.33379) + (xy 137.365117 117.2971) + (xy 137.234883 117.2971) + (xy 137.109926 117.33379) + (xy 137.000366 117.404198) + (xy 136.91508 117.502623) + (xy 136.915078 117.502626) + (xy 136.860977 117.621088) + (xy 136.842443 117.75) + (xy 128.394506 117.75) + (xy 128.407671 117.65) + (xy 128.388669 117.505664) + (xy 128.332957 117.371165) + (xy 128.30428 117.333792) + (xy 128.244333 117.255666) + (xy 128.128839 117.167045) + (xy 128.128838 117.167044) + (xy 128.128836 117.167043) + (xy 128.041891 117.131029) + (xy 127.994337 117.111331) + (xy 127.85 117.092329) + (xy 127.705662 117.111331) + (xy 127.571163 117.167044) + (xy 127.571162 117.167044) + (xy 127.455666 117.255666) + (xy 127.367044 117.371162) + (xy 127.367044 117.371163) + (xy 127.311331 117.505662) + (xy 127.292329 117.65) + (xy 105.969787 117.65) + (xy 105.939771 117.619984) + (xy 105.826055 117.562043) + (xy 105.826057 117.562043) + (xy 105.7 117.542078) + (xy 105.573943 117.562043) + (xy 105.460228 117.619984) + (xy 105.369984 117.710228) + (xy 105.312043 117.823943) + (xy 105.292078 117.949999) + (xy 105.292078 117.95) + (xy 104.736323 117.95) + (xy 104.682957 117.821165) + (xy 104.682955 117.821162) + (xy 104.594333 117.705666) + (xy 104.478839 117.617045) + (xy 104.478838 117.617044) + (xy 104.478836 117.617043) + (xy 104.391891 117.581029) + (xy 104.344337 117.561331) + (xy 104.2 117.542329) + (xy 104.055662 117.561331) + (xy 103.921163 117.617044) + (xy 103.921162 117.617044) + (xy 103.805666 117.705666) + (xy 103.717044 117.821162) + (xy 103.717044 117.821163) + (xy 103.717043 117.821164) + (xy 103.717043 117.821165) + (xy 103.715605 117.824637) + (xy 103.661331 117.955662) + (xy 103.649921 118.042329) + (xy 103.642329 118.1) + (xy 96.875756 118.1) + (xy 96.887957 118.076055) + (xy 96.907922 117.95) + (xy 96.887957 117.823945) + (xy 96.830016 117.710229) + (xy 96.739771 117.619984) + (xy 96.626055 117.562043) + (xy 96.626057 117.562043) + (xy 96.5 117.542078) + (xy 96.373943 117.562043) + (xy 96.260228 117.619984) + (xy 96.169984 117.710228) + (xy 96.112043 117.823943) + (xy 96.092078 117.949999) + (xy 96.092078 117.95) + (xy 95.536323 117.95) + (xy 95.482957 117.821165) + (xy 95.482955 117.821162) + (xy 95.394333 117.705666) + (xy 95.278839 117.617045) + (xy 95.278838 117.617044) + (xy 95.278836 117.617043) + (xy 95.191891 117.581029) + (xy 95.144337 117.561331) + (xy 95 117.542329) + (xy 94.855662 117.561331) + (xy 94.721163 117.617044) + (xy 94.721162 117.617044) + (xy 94.605666 117.705666) + (xy 94.517044 117.821162) + (xy 94.517044 117.821163) + (xy 94.517043 117.821164) + (xy 94.517043 117.821165) + (xy 94.515605 117.824637) + (xy 94.461331 117.955662) + (xy 94.449921 118.042329) + (xy 94.442329 118.1) + (xy 87.675756 118.1) + (xy 87.687957 118.076055) + (xy 87.707922 117.95) + (xy 87.687957 117.823945) + (xy 87.630016 117.710229) + (xy 87.539771 117.619984) + (xy 87.426055 117.562043) + (xy 87.426057 117.562043) + (xy 87.3 117.542078) + (xy 87.173943 117.562043) + (xy 87.060228 117.619984) + (xy 86.969984 117.710228) + (xy 86.912043 117.823943) + (xy 86.892078 117.949999) + (xy 86.892078 117.95) + (xy 86.336323 117.95) + (xy 86.282957 117.821165) + (xy 86.282955 117.821162) + (xy 86.194333 117.705666) + (xy 86.078839 117.617045) + (xy 86.078838 117.617044) + (xy 86.078836 117.617043) + (xy 85.991891 117.581029) + (xy 85.944337 117.561331) + (xy 85.8 117.542329) + (xy 85.655662 117.561331) + (xy 85.521163 117.617044) + (xy 85.521162 117.617044) + (xy 85.405666 117.705666) + (xy 85.317044 117.821162) + (xy 85.317044 117.821163) + (xy 85.317043 117.821164) + (xy 85.317043 117.821165) + (xy 85.315605 117.824637) + (xy 85.261331 117.955662) + (xy 85.249921 118.042329) + (xy 85.242329 118.1) + (xy 78.475756 118.1) + (xy 78.487957 118.076055) + (xy 78.507922 117.95) + (xy 78.487957 117.823945) + (xy 78.430016 117.710229) + (xy 78.339771 117.619984) + (xy 78.226055 117.562043) + (xy 78.226057 117.562043) + (xy 78.1 117.542078) + (xy 77.973943 117.562043) + (xy 77.860228 117.619984) + (xy 77.769984 117.710228) + (xy 77.712043 117.823943) + (xy 77.692078 117.949999) + (xy 77.692078 117.95) + (xy 76.982646 117.95) + (xy 76.899633 117.854198) + (xy 76.790073 117.78379) + (xy 76.665117 117.7471) + (xy 76.534883 117.7471) + (xy 76.409926 117.78379) + (xy 76.300366 117.854198) + (xy 76.21508 117.952623) + (xy 76.215078 117.952626) + (xy 76.160977 118.071088) + (xy 76.142443 118.2) + (xy 72.486183 118.2) + (xy 72.62606 118.071235) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.796879 116.35) + (xy 89.042078 116.35) + (xy 89.062043 116.476056) + (xy 89.114351 116.578716) + (xy 89.119984 116.589771) + (xy 89.210229 116.680016) + (xy 89.323943 116.737956) + (xy 89.323945 116.737957) + (xy 89.45 116.757922) + (xy 89.576055 116.737957) + (xy 89.689771 116.680016) + (xy 89.780016 116.589771) + (xy 89.837957 116.476055) + (xy 89.857922 116.35) + (xy 89.842084 116.25) + (xy 113.792443 116.25) + (xy 113.810977 116.378911) + (xy 113.865078 116.497373) + (xy 113.86508 116.497376) + (xy 113.88364 116.518795) + (xy 113.935562 116.578717) + (xy 113.950366 116.595801) + (xy 114.059926 116.666209) + (xy 114.184883 116.7029) + (xy 114.315117 116.7029) + (xy 114.440073 116.666209) + (xy 114.440073 116.666208) + (xy 114.440076 116.666208) + (xy 114.549636 116.595799) + (xy 114.634921 116.497374) + (xy 114.689023 116.378909) + (xy 114.707557 116.25) + (xy 123.792443 116.25) + (xy 123.810977 116.378911) + (xy 123.865078 116.497373) + (xy 123.86508 116.497376) + (xy 123.88364 116.518795) + (xy 123.935562 116.578717) + (xy 123.950366 116.595801) + (xy 124.059926 116.666209) + (xy 124.184883 116.7029) + (xy 124.315117 116.7029) + (xy 124.440073 116.666209) + (xy 124.440073 116.666208) + (xy 124.440076 116.666208) + (xy 124.549636 116.595799) + (xy 124.634921 116.497374) + (xy 124.689023 116.378909) + (xy 124.707557 116.25) + (xy 124.689023 116.121091) + (xy 124.687047 116.116765) + (xy 124.634921 116.002626) + (xy 124.634919 116.002623) + (xy 124.549633 115.904198) + (xy 124.440073 115.83379) + (xy 124.315117 115.7971) + (xy 124.184883 115.7971) + (xy 124.059926 115.83379) + (xy 123.950366 115.904198) + (xy 123.86508 116.002623) + (xy 123.865078 116.002626) + (xy 123.810977 116.121088) + (xy 123.792443 116.25) + (xy 114.707557 116.25) + (xy 114.689023 116.121091) + (xy 114.687047 116.116765) + (xy 114.634921 116.002626) + (xy 114.634919 116.002623) + (xy 114.549633 115.904198) + (xy 114.440073 115.83379) + (xy 114.315117 115.7971) + (xy 114.184883 115.7971) + (xy 114.059926 115.83379) + (xy 113.950366 115.904198) + (xy 113.86508 116.002623) + (xy 113.865078 116.002626) + (xy 113.810977 116.121088) + (xy 113.792443 116.25) + (xy 89.842084 116.25) + (xy 89.837957 116.223945) + (xy 89.780016 116.110229) + (xy 89.689771 116.019984) + (xy 89.576055 115.962043) + (xy 89.576057 115.962043) + (xy 89.45 115.942078) + (xy 89.323943 115.962043) + (xy 89.210228 116.019984) + (xy 89.119984 116.110228) + (xy 89.062043 116.223943) + (xy 89.042078 116.349999) + (xy 89.042078 116.35) + (xy 72.796879 116.35) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.243153 116.514397) + (xy 70.243152 116.514399) + (xy 70.165775 116.739791) + (xy 70.165772 116.739801) + (xy 70.12655 116.974844) + (xy 70.12655 117.213155) + (xy 69.764623 117.213155) + (xy 69.774107 117.05034) + (xy 69.743832 116.878646) + (xy 69.674779 116.718562) + (xy 69.570668 116.578717) + (xy 69.570667 116.578716) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 67.92245 117.13766) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.805846 116.514397) + (xy 67.69243 116.304822) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 46.101 117.213155) + (xy 46.101 115.35) + (xy 114.792443 115.35) + (xy 114.810977 115.478911) + (xy 114.865078 115.597373) + (xy 114.86508 115.597376) + (xy 114.950366 115.695801) + (xy 115.059926 115.766209) + (xy 115.184883 115.8029) + (xy 115.315117 115.8029) + (xy 115.440073 115.766209) + (xy 115.440073 115.766208) + (xy 115.440076 115.766208) + (xy 115.549636 115.695799) + (xy 115.634921 115.597374) + (xy 115.689023 115.478909) + (xy 115.707557 115.35) + (xy 124.792443 115.35) + (xy 124.810977 115.478911) + (xy 124.865078 115.597373) + (xy 124.86508 115.597376) + (xy 124.950366 115.695801) + (xy 125.059926 115.766209) + (xy 125.184883 115.8029) + (xy 125.315117 115.8029) + (xy 125.440073 115.766209) + (xy 125.440073 115.766208) + (xy 125.440076 115.766208) + (xy 125.549636 115.695799) + (xy 125.634921 115.597374) + (xy 125.689023 115.478909) + (xy 125.707557 115.35) + (xy 125.689023 115.221091) + (xy 125.634921 115.102626) + (xy 125.634919 115.102623) + (xy 125.549633 115.004198) + (xy 125.440073 114.93379) + (xy 125.315117 114.8971) + (xy 125.184883 114.8971) + (xy 125.059926 114.93379) + (xy 124.950366 115.004198) + (xy 124.86508 115.102623) + (xy 124.865078 115.102626) + (xy 124.810977 115.221088) + (xy 124.792443 115.35) + (xy 115.707557 115.35) + (xy 115.689023 115.221091) + (xy 115.634921 115.102626) + (xy 115.634919 115.102623) + (xy 115.549633 115.004198) + (xy 115.440073 114.93379) + (xy 115.315117 114.8971) + (xy 115.184883 114.8971) + (xy 115.059926 114.93379) + (xy 114.950366 115.004198) + (xy 114.86508 115.102623) + (xy 114.865078 115.102626) + (xy 114.810977 115.221088) + (xy 114.792443 115.35) + (xy 46.101 115.35) + (xy 46.101 114.45) + (xy 113.792443 114.45) + (xy 113.810977 114.578911) + (xy 113.865078 114.697373) + (xy 113.86508 114.697376) + (xy 113.950366 114.795801) + (xy 114.059926 114.866209) + (xy 114.184883 114.9029) + (xy 114.315117 114.9029) + (xy 114.440073 114.866209) + (xy 114.440073 114.866208) + (xy 114.440076 114.866208) + (xy 114.549636 114.795799) + (xy 114.634921 114.697374) + (xy 114.689023 114.578909) + (xy 114.707557 114.45) + (xy 114.689023 114.321091) + (xy 114.674719 114.289771) + (xy 114.634921 114.202626) + (xy 114.634919 114.202623) + (xy 114.549633 114.104198) + (xy 114.465297 114.05) + (xy 123.992078 114.05) + (xy 124.012043 114.176056) + (xy 124.069984 114.289771) + (xy 124.160229 114.380016) + (xy 124.273943 114.437956) + (xy 124.273945 114.437957) + (xy 124.4 114.457922) + (xy 124.526055 114.437957) + (xy 124.639771 114.380016) + (xy 124.730016 114.289771) + (xy 124.787957 114.176055) + (xy 124.807922 114.05) + (xy 124.787957 113.923945) + (xy 124.730016 113.810229) + (xy 124.639771 113.719984) + (xy 124.526055 113.662043) + (xy 124.526057 113.662043) + (xy 124.4 113.642078) + (xy 124.273943 113.662043) + (xy 124.160228 113.719984) + (xy 124.069984 113.810228) + (xy 124.012043 113.923943) + (xy 123.992078 114.049999) + (xy 123.992078 114.05) + (xy 114.465297 114.05) + (xy 114.440073 114.03379) + (xy 114.315117 113.9971) + (xy 114.184883 113.9971) + (xy 114.059926 114.03379) + (xy 113.950366 114.104198) + (xy 113.86508 114.202623) + (xy 113.865078 114.202626) + (xy 113.810977 114.321088) + (xy 113.792443 114.45) + (xy 46.101 114.45) + (xy 46.101 113.75) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.476055 114.137957) + (xy 68.589771 114.080016) + (xy 68.680016 113.989771) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.280016 113.989771) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.219787 113.45) + (xy 122.842078 113.45) + (xy 122.862043 113.576056) + (xy 122.886443 113.623943) + (xy 122.919984 113.689771) + (xy 123.010229 113.780016) + (xy 123.123943 113.837956) + (xy 123.123945 113.837957) + (xy 123.25 113.857922) + (xy 123.376055 113.837957) + (xy 123.489771 113.780016) + (xy 123.580016 113.689771) + (xy 123.637957 113.576055) + (xy 123.657922 113.45) + (xy 123.637957 113.323945) + (xy 123.580016 113.210229) + (xy 123.489771 113.119984) + (xy 123.376055 113.062043) + (xy 123.376057 113.062043) + (xy 123.25 113.042078) + (xy 123.123943 113.062043) + (xy 123.010228 113.119984) + (xy 122.919984 113.210228) + (xy 122.862043 113.323943) + (xy 122.842078 113.449999) + (xy 122.842078 113.45) + (xy 70.219787 113.45) + (xy 70.189771 113.419984) + (xy 70.076055 113.362043) + (xy 70.076057 113.362043) + (xy 69.95 113.342078) + (xy 69.823943 113.362043) + (xy 69.710228 113.419984) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 46.101 113.75) + (xy 46.101 112.9) + (xy 99.642078 112.9) + (xy 99.662043 113.026056) + (xy 99.709902 113.119984) + (xy 99.719984 113.139771) + (xy 99.810229 113.230016) + (xy 99.923943 113.287956) + (xy 99.923945 113.287957) + (xy 100.05 113.307922) + (xy 100.176055 113.287957) + (xy 100.289771 113.230016) + (xy 100.380016 113.139771) + (xy 100.437957 113.026055) + (xy 100.457922 112.9) + (xy 100.437957 112.773945) + (xy 100.380016 112.660229) + (xy 100.369787 112.65) + (xy 114.342078 112.65) + (xy 114.362043 112.776056) + (xy 114.419984 112.889771) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.080016 112.889771) + (xy 115.137957 112.776055) + (xy 115.157922 112.65) + (xy 122.142078 112.65) + (xy 122.162043 112.776056) + (xy 122.219984 112.889771) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.880016 112.889771) + (xy 122.937957 112.776055) + (xy 122.957922 112.65) + (xy 122.937957 112.523945) + (xy 122.880016 112.410229) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.310228 112.319984) + (xy 122.219984 112.410228) + (xy 122.162043 112.523943) + (xy 122.142078 112.649999) + (xy 122.142078 112.65) + (xy 115.157922 112.65) + (xy 115.137957 112.523945) + (xy 115.080016 112.410229) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.510228 112.319984) + (xy 114.419984 112.410228) + (xy 114.362043 112.523943) + (xy 114.342078 112.649999) + (xy 114.342078 112.65) + (xy 100.369787 112.65) + (xy 100.289771 112.569984) + (xy 100.176055 112.512043) + (xy 100.176057 112.512043) + (xy 100.05 112.492078) + (xy 99.923943 112.512043) + (xy 99.810228 112.569984) + (xy 99.719984 112.660228) + (xy 99.662043 112.773943) + (xy 99.642078 112.899999) + (xy 99.642078 112.9) + (xy 46.101 112.9) + (xy 46.101 112) + (xy 89.742443 112) + (xy 89.760977 112.128911) + (xy 89.815078 112.247373) + (xy 89.81508 112.247376) + (xy 89.900366 112.345801) + (xy 89.953607 112.380016) + (xy 90.00062 112.410229) + (xy 90.009926 112.416209) + (xy 90.134883 112.4529) + (xy 90.265117 112.4529) + (xy 90.390073 112.416209) + (xy 90.390073 112.416208) + (xy 90.390076 112.416208) + (xy 90.499636 112.345799) + (xy 90.584921 112.247374) + (xy 90.639023 112.128909) + (xy 90.657557 112) + (xy 96.742443 112) + (xy 96.760977 112.128911) + (xy 96.815078 112.247373) + (xy 96.81508 112.247376) + (xy 96.900366 112.345801) + (xy 96.953607 112.380016) + (xy 97.00062 112.410229) + (xy 97.009926 112.416209) + (xy 97.134883 112.4529) + (xy 97.265117 112.4529) + (xy 97.390073 112.416209) + (xy 97.390073 112.416208) + (xy 97.390076 112.416208) + (xy 97.499636 112.345799) + (xy 97.584921 112.247374) + (xy 97.639023 112.128909) + (xy 97.650368 112.05) + (xy 108.542078 112.05) + (xy 108.562043 112.176056) + (xy 108.595683 112.242078) + (xy 108.619984 112.289771) + (xy 108.710229 112.380016) + (xy 108.823943 112.437956) + (xy 108.823945 112.437957) + (xy 108.95 112.457922) + (xy 109.076055 112.437957) + (xy 109.189771 112.380016) + (xy 109.280016 112.289771) + (xy 109.337957 112.176055) + (xy 109.357922 112.05) + (xy 109.337957 111.923945) + (xy 109.30028 111.85) + (xy 122.842078 111.85) + (xy 122.862043 111.976056) + (xy 122.899719 112.049999) + (xy 122.919984 112.089771) + (xy 123.010229 112.180016) + (xy 123.123943 112.237956) + (xy 123.123945 112.237957) + (xy 123.25 112.257922) + (xy 123.376055 112.237957) + (xy 123.489771 112.180016) + (xy 123.580016 112.089771) + (xy 123.637957 111.976055) + (xy 123.657922 111.85) + (xy 125.142078 111.85) + (xy 125.162043 111.976056) + (xy 125.199719 112.049999) + (xy 125.219984 112.089771) + (xy 125.310229 112.180016) + (xy 125.423943 112.237956) + (xy 125.423945 112.237957) + (xy 125.55 112.257922) + (xy 125.676055 112.237957) + (xy 125.789771 112.180016) + (xy 125.880016 112.089771) + (xy 125.925756 112) + (xy 126.892443 112) + (xy 126.910977 112.128911) + (xy 126.965078 112.247373) + (xy 126.96508 112.247376) + (xy 127.050366 112.345801) + (xy 127.103607 112.380016) + (xy 127.15062 112.410229) + (xy 127.159926 112.416209) + (xy 127.284883 112.4529) + (xy 127.415117 112.4529) + (xy 127.540073 112.416209) + (xy 127.540073 112.416208) + (xy 127.540076 112.416208) + (xy 127.649636 112.345799) + (xy 127.734921 112.247374) + (xy 127.789023 112.128909) + (xy 127.807557 112) + (xy 127.789023 111.871091) + (xy 127.77939 111.849999) + (xy 127.734921 111.752626) + (xy 127.734919 111.752623) + (xy 127.649633 111.654198) + (xy 127.540073 111.58379) + (xy 127.415117 111.5471) + (xy 127.284883 111.5471) + (xy 127.159926 111.58379) + (xy 127.050366 111.654198) + (xy 126.96508 111.752623) + (xy 126.965078 111.752626) + (xy 126.910977 111.871088) + (xy 126.892443 112) + (xy 125.925756 112) + (xy 125.937957 111.976055) + (xy 125.957922 111.85) + (xy 125.937957 111.723945) + (xy 125.880016 111.610229) + (xy 125.789771 111.519984) + (xy 125.676055 111.462043) + (xy 125.676057 111.462043) + (xy 125.55 111.442078) + (xy 125.423943 111.462043) + (xy 125.310228 111.519984) + (xy 125.219984 111.610228) + (xy 125.162043 111.723943) + (xy 125.142078 111.849999) + (xy 125.142078 111.85) + (xy 123.657922 111.85) + (xy 123.637957 111.723945) + (xy 123.580016 111.610229) + (xy 123.489771 111.519984) + (xy 123.376055 111.462043) + (xy 123.376057 111.462043) + (xy 123.25 111.442078) + (xy 123.123943 111.462043) + (xy 123.010228 111.519984) + (xy 122.919984 111.610228) + (xy 122.862043 111.723943) + (xy 122.842078 111.849999) + (xy 122.842078 111.85) + (xy 109.30028 111.85) + (xy 109.280016 111.810229) + (xy 109.189771 111.719984) + (xy 109.076055 111.662043) + (xy 109.076057 111.662043) + (xy 108.95 111.642078) + (xy 108.823943 111.662043) + (xy 108.710228 111.719984) + (xy 108.619984 111.810228) + (xy 108.562043 111.923943) + (xy 108.542078 112.049999) + (xy 108.542078 112.05) + (xy 97.650368 112.05) + (xy 97.657557 112) + (xy 97.639023 111.871091) + (xy 97.62939 111.849999) + (xy 97.584921 111.752626) + (xy 97.584919 111.752623) + (xy 97.499633 111.654198) + (xy 97.390073 111.58379) + (xy 97.265117 111.5471) + (xy 97.134883 111.5471) + (xy 97.009926 111.58379) + (xy 96.900366 111.654198) + (xy 96.81508 111.752623) + (xy 96.815078 111.752626) + (xy 96.760977 111.871088) + (xy 96.742443 112) + (xy 90.657557 112) + (xy 90.639023 111.871091) + (xy 90.62939 111.849999) + (xy 90.584921 111.752626) + (xy 90.584919 111.752623) + (xy 90.499633 111.654198) + (xy 90.390073 111.58379) + (xy 90.265117 111.5471) + (xy 90.134883 111.5471) + (xy 90.009926 111.58379) + (xy 89.900366 111.654198) + (xy 89.81508 111.752623) + (xy 89.815078 111.752626) + (xy 89.760977 111.871088) + (xy 89.742443 112) + (xy 46.101 112) + (xy 46.101 111.5695) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.06099 111.719984) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.562555 111.957457) + (xy 63.676271 111.899516) + (xy 63.766516 111.809271) + (xy 63.824457 111.695555) + (xy 63.844422 111.5695) + (xy 63.824457 111.443445) + (xy 63.766516 111.329729) + (xy 63.676271 111.239484) + (xy 63.562555 111.181543) + (xy 63.562557 111.181543) + (xy 63.4365 111.161578) + (xy 63.310443 111.181543) + (xy 63.196728 111.239484) + (xy 63.106484 111.329728) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 46.101 111.5695) + (xy 46.101 110.49) + (xy 62.139578 110.49) + (xy 62.159543 110.616056) + (xy 62.200976 110.697373) + (xy 62.217484 110.729771) + (xy 62.307729 110.820016) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.799787 110.8075) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.956667 110.971088) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.602787 111.1) + (xy 88.742443 111.1) + (xy 88.760977 111.228911) + (xy 88.815078 111.347373) + (xy 88.81508 111.347376) + (xy 88.851816 111.389771) + (xy 88.898324 111.443445) + (xy 88.900366 111.445801) + (xy 89.009926 111.516209) + (xy 89.134883 111.5529) + (xy 89.265117 111.5529) + (xy 89.390073 111.516209) + (xy 89.390073 111.516208) + (xy 89.390076 111.516208) + (xy 89.499636 111.445799) + (xy 89.584921 111.347374) + (xy 89.639023 111.228909) + (xy 89.657557 111.1) + (xy 95.742443 111.1) + (xy 95.760977 111.228911) + (xy 95.815078 111.347373) + (xy 95.81508 111.347376) + (xy 95.851816 111.389771) + (xy 95.898324 111.443445) + (xy 95.900366 111.445801) + (xy 96.009926 111.516209) + (xy 96.134883 111.5529) + (xy 96.265117 111.5529) + (xy 96.390073 111.516209) + (xy 96.390073 111.516208) + (xy 96.390076 111.516208) + (xy 96.499636 111.445799) + (xy 96.584921 111.347374) + (xy 96.639023 111.228909) + (xy 96.650368 111.15) + (xy 109.292078 111.15) + (xy 109.312043 111.276056) + (xy 109.339391 111.329729) + (xy 109.369984 111.389771) + (xy 109.460229 111.480016) + (xy 109.573943 111.537956) + (xy 109.573945 111.537957) + (xy 109.7 111.557922) + (xy 109.826055 111.537957) + (xy 109.939771 111.480016) + (xy 110.030016 111.389771) + (xy 110.087957 111.276055) + (xy 110.107922 111.15) + (xy 114.342078 111.15) + (xy 114.362043 111.276056) + (xy 114.389391 111.329729) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.080016 111.389771) + (xy 115.137957 111.276055) + (xy 115.157922 111.15) + (xy 122.142078 111.15) + (xy 122.162043 111.276056) + (xy 122.189391 111.329729) + (xy 122.219984 111.389771) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.937957 111.276055) + (xy 122.957922 111.15) + (xy 122.937957 111.023945) + (xy 122.925756 111) + (xy 125.992443 111) + (xy 126.010977 111.128911) + (xy 126.065078 111.247373) + (xy 126.06508 111.247376) + (xy 126.150366 111.345801) + (xy 126.259926 111.416209) + (xy 126.384883 111.4529) + (xy 126.515117 111.4529) + (xy 126.640073 111.416209) + (xy 126.640073 111.416208) + (xy 126.640076 111.416208) + (xy 126.749636 111.345799) + (xy 126.834921 111.247374) + (xy 126.889023 111.128909) + (xy 126.907557 111) + (xy 126.889023 110.871091) + (xy 126.886793 110.866209) + (xy 126.834921 110.752626) + (xy 126.834919 110.752623) + (xy 126.78379 110.693618) + (xy 126.749636 110.654201) + (xy 126.749635 110.6542) + (xy 126.749633 110.654198) + (xy 126.640073 110.58379) + (xy 126.515117 110.5471) + (xy 126.384883 110.5471) + (xy 126.259926 110.58379) + (xy 126.150366 110.654198) + (xy 126.06508 110.752623) + (xy 126.065078 110.752626) + (xy 126.010977 110.871088) + (xy 125.992443 111) + (xy 122.925756 111) + (xy 122.880016 110.910229) + (xy 122.789771 110.819984) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.310228 110.819984) + (xy 122.219984 110.910228) + (xy 122.162043 111.023943) + (xy 122.142078 111.149999) + (xy 122.142078 111.15) + (xy 115.157922 111.15) + (xy 115.137957 111.023945) + (xy 115.080016 110.910229) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.510228 110.819984) + (xy 114.419984 110.910228) + (xy 114.362043 111.023943) + (xy 114.342078 111.149999) + (xy 114.342078 111.15) + (xy 110.107922 111.15) + (xy 110.087957 111.023945) + (xy 110.030016 110.910229) + (xy 109.939771 110.819984) + (xy 109.826055 110.762043) + (xy 109.826057 110.762043) + (xy 109.7 110.742078) + (xy 109.573943 110.762043) + (xy 109.460228 110.819984) + (xy 109.369984 110.910228) + (xy 109.312043 111.023943) + (xy 109.292078 111.149999) + (xy 109.292078 111.15) + (xy 96.650368 111.15) + (xy 96.657557 111.1) + (xy 96.639023 110.971091) + (xy 96.611227 110.910228) + (xy 96.584921 110.852626) + (xy 96.584919 110.852623) + (xy 96.499633 110.754198) + (xy 96.390073 110.68379) + (xy 96.265117 110.6471) + (xy 96.134883 110.6471) + (xy 96.009926 110.68379) + (xy 95.900366 110.754198) + (xy 95.81508 110.852623) + (xy 95.815078 110.852626) + (xy 95.760977 110.971088) + (xy 95.742443 111.1) + (xy 89.657557 111.1) + (xy 89.639023 110.971091) + (xy 89.611227 110.910228) + (xy 89.584921 110.852626) + (xy 89.584919 110.852623) + (xy 89.499633 110.754198) + (xy 89.390073 110.68379) + (xy 89.265117 110.6471) + (xy 89.134883 110.6471) + (xy 89.009926 110.68379) + (xy 88.900366 110.754198) + (xy 88.81508 110.852623) + (xy 88.815078 110.852626) + (xy 88.760977 110.971088) + (xy 88.742443 111.1) + (xy 64.602787 111.1) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.733422 110.8075) + (xy 64.713457 110.681445) + (xy 64.655516 110.567729) + (xy 64.565271 110.477484) + (xy 64.451555 110.419543) + (xy 64.451557 110.419543) + (xy 64.3255 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 62.799787 110.8075) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.935457 110.363945) + (xy 62.877516 110.250229) + (xy 62.827287 110.2) + (xy 89.992078 110.2) + (xy 90.012043 110.326056) + (xy 90.031349 110.363945) + (xy 90.069984 110.439771) + (xy 90.160229 110.530016) + (xy 90.273943 110.587956) + (xy 90.273945 110.587957) + (xy 90.4 110.607922) + (xy 90.526055 110.587957) + (xy 90.639771 110.530016) + (xy 90.730016 110.439771) + (xy 90.787957 110.326055) + (xy 90.807922 110.2) + (xy 96.992078 110.2) + (xy 97.012043 110.326056) + (xy 97.031349 110.363945) + (xy 97.069984 110.439771) + (xy 97.160229 110.530016) + (xy 97.273943 110.587956) + (xy 97.273945 110.587957) + (xy 97.4 110.607922) + (xy 97.526055 110.587957) + (xy 97.639771 110.530016) + (xy 97.730016 110.439771) + (xy 97.787957 110.326055) + (xy 97.807922 110.2) + (xy 97.80783 110.199422) + (xy 97.800003 110.15) + (xy 109.292078 110.15) + (xy 109.312043 110.276056) + (xy 109.356824 110.363943) + (xy 109.369984 110.389771) + (xy 109.460229 110.480016) + (xy 109.573943 110.537956) + (xy 109.573945 110.537957) + (xy 109.7 110.557922) + (xy 109.826055 110.537957) + (xy 109.939771 110.480016) + (xy 109.969787 110.45) + (xy 110.392443 110.45) + (xy 110.410977 110.578911) + (xy 110.465078 110.697373) + (xy 110.46508 110.697376) + (xy 110.550366 110.795801) + (xy 110.659926 110.866209) + (xy 110.784883 110.9029) + (xy 110.915117 110.9029) + (xy 111.040073 110.866209) + (xy 111.040073 110.866208) + (xy 111.040076 110.866208) + (xy 111.149636 110.795799) + (xy 111.234921 110.697374) + (xy 111.289023 110.578909) + (xy 111.307557 110.45) + (xy 111.289023 110.321091) + (xy 111.268455 110.276055) + (xy 111.234921 110.202626) + (xy 111.234919 110.202623) + (xy 111.189321 110.15) + (xy 114.342078 110.15) + (xy 114.362043 110.276056) + (xy 114.406824 110.363943) + (xy 114.419984 110.389771) + (xy 114.510229 110.480016) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.080016 110.389771) + (xy 115.137957 110.276055) + (xy 115.157922 110.15) + (xy 122.142078 110.15) + (xy 122.162043 110.276056) + (xy 122.206824 110.363943) + (xy 122.219984 110.389771) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.789771 110.480016) + (xy 122.880016 110.389771) + (xy 122.937957 110.276055) + (xy 122.957922 110.15) + (xy 122.937957 110.023945) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.310228 109.819984) + (xy 122.219984 109.910228) + (xy 122.162043 110.023943) + (xy 122.142078 110.149999) + (xy 122.142078 110.15) + (xy 115.157922 110.15) + (xy 115.137957 110.023945) + (xy 115.080016 109.910229) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.510228 109.819984) + (xy 114.419984 109.910228) + (xy 114.362043 110.023943) + (xy 114.342078 110.149999) + (xy 114.342078 110.15) + (xy 111.189321 110.15) + (xy 111.164639 110.121516) + (xy 111.149636 110.104201) + (xy 111.149635 110.1042) + (xy 111.149633 110.104198) + (xy 111.040073 110.03379) + (xy 110.915117 109.9971) + (xy 110.784883 109.9971) + (xy 110.659926 110.03379) + (xy 110.550366 110.104198) + (xy 110.46508 110.202623) + (xy 110.465078 110.202626) + (xy 110.410977 110.321088) + (xy 110.392443 110.45) + (xy 109.969787 110.45) + (xy 110.030016 110.389771) + (xy 110.087957 110.276055) + (xy 110.107922 110.15) + (xy 110.087957 110.023945) + (xy 110.030016 109.910229) + (xy 109.939771 109.819984) + (xy 109.826055 109.762043) + (xy 109.826057 109.762043) + (xy 109.7 109.742078) + (xy 109.573943 109.762043) + (xy 109.460228 109.819984) + (xy 109.369984 109.910228) + (xy 109.312043 110.023943) + (xy 109.292078 110.149999) + (xy 109.292078 110.15) + (xy 97.800003 110.15) + (xy 97.792749 110.104201) + (xy 97.787957 110.073945) + (xy 97.730016 109.960229) + (xy 97.639771 109.869984) + (xy 97.526055 109.812043) + (xy 97.526057 109.812043) + (xy 97.4 109.792078) + (xy 97.273943 109.812043) + (xy 97.160228 109.869984) + (xy 97.069984 109.960228) + (xy 97.012043 110.073943) + (xy 96.992078 110.199999) + (xy 96.992078 110.2) + (xy 90.807922 110.2) + (xy 90.80783 110.199422) + (xy 90.792749 110.104201) + (xy 90.787957 110.073945) + (xy 90.730016 109.960229) + (xy 90.639771 109.869984) + (xy 90.526055 109.812043) + (xy 90.526057 109.812043) + (xy 90.4 109.792078) + (xy 90.273943 109.812043) + (xy 90.160228 109.869984) + (xy 90.069984 109.960228) + (xy 90.012043 110.073943) + (xy 89.992078 110.199999) + (xy 89.992078 110.2) + (xy 62.827287 110.2) + (xy 62.787271 110.159984) + (xy 62.673555 110.102043) + (xy 62.673557 110.102043) + (xy 62.5475 110.082078) + (xy 62.421443 110.102043) + (xy 62.307728 110.159984) + (xy 62.217484 110.250228) + (xy 62.159543 110.363943) + (xy 62.139578 110.489999) + (xy 62.139578 110.49) + (xy 46.101 110.49) + (xy 46.101 109.7915) + (xy 64.235078 109.7915) + (xy 64.255043 109.917556) + (xy 64.276786 109.960228) + (xy 64.312984 110.031271) + (xy 64.403229 110.121516) + (xy 64.516943 110.179456) + (xy 64.516945 110.179457) + (xy 64.643 110.199422) + (xy 64.769055 110.179457) + (xy 64.882771 110.121516) + (xy 64.973016 110.031271) + (xy 65.030957 109.917555) + (xy 65.050922 109.7915) + (xy 65.030957 109.665445) + (xy 64.973016 109.551729) + (xy 64.882771 109.461484) + (xy 64.769055 109.403543) + (xy 64.769057 109.403543) + (xy 64.643 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.235078 109.791499) + (xy 64.235078 109.7915) + (xy 46.101 109.7915) + (xy 46.101 109.0295) + (xy 70.775578 109.0295) + (xy 70.795543 109.155556) + (xy 70.843664 109.249999) + (xy 70.853484 109.269271) + (xy 70.943729 109.359516) + (xy 71.057443 109.417456) + (xy 71.057445 109.417457) + (xy 71.1835 109.437422) + (xy 71.309555 109.417457) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.548811 109.2) + (xy 81.792078 109.2) + (xy 81.812043 109.326056) + (xy 81.841352 109.383578) + (xy 81.869984 109.439771) + (xy 81.960229 109.530016) + (xy 82.073943 109.587956) + (xy 82.073945 109.587957) + (xy 82.2 109.607922) + (xy 82.326055 109.587957) + (xy 82.439771 109.530016) + (xy 82.530016 109.439771) + (xy 82.587957 109.326055) + (xy 82.607922 109.2) + (xy 82.792078 109.2) + (xy 82.812043 109.326056) + (xy 82.841352 109.383578) + (xy 82.869984 109.439771) + (xy 82.960229 109.530016) + (xy 83.073943 109.587956) + (xy 83.073945 109.587957) + (xy 83.2 109.607922) + (xy 83.326055 109.587957) + (xy 83.439771 109.530016) + (xy 83.530016 109.439771) + (xy 83.587957 109.326055) + (xy 83.600003 109.25) + (xy 108.542078 109.25) + (xy 108.562043 109.376056) + (xy 108.583138 109.417457) + (xy 108.619984 109.489771) + (xy 108.710229 109.580016) + (xy 108.823943 109.637956) + (xy 108.823945 109.637957) + (xy 108.95 109.657922) + (xy 109.076055 109.637957) + (xy 109.189771 109.580016) + (xy 109.280016 109.489771) + (xy 109.30028 109.45) + (xy 111.342078 109.45) + (xy 111.362043 109.576056) + (xy 111.403756 109.657922) + (xy 111.419984 109.689771) + (xy 111.510229 109.780016) + (xy 111.623943 109.837956) + (xy 111.623945 109.837957) + (xy 111.75 109.857922) + (xy 111.876055 109.837957) + (xy 111.989771 109.780016) + (xy 112.080016 109.689771) + (xy 112.137957 109.576055) + (xy 112.157922 109.45) + (xy 113.642078 109.45) + (xy 113.662043 109.576056) + (xy 113.703756 109.657922) + (xy 113.719984 109.689771) + (xy 113.810229 109.780016) + (xy 113.923943 109.837956) + (xy 113.923945 109.837957) + (xy 114.05 109.857922) + (xy 114.176055 109.837957) + (xy 114.289771 109.780016) + (xy 114.380016 109.689771) + (xy 114.437957 109.576055) + (xy 114.457922 109.45) + (xy 114.437957 109.323945) + (xy 114.380016 109.210229) + (xy 114.289771 109.119984) + (xy 114.176055 109.062043) + (xy 114.176057 109.062043) + (xy 114.05 109.042078) + (xy 113.923943 109.062043) + (xy 113.810228 109.119984) + (xy 113.719984 109.210228) + (xy 113.662043 109.323943) + (xy 113.642078 109.449999) + (xy 113.642078 109.45) + (xy 112.157922 109.45) + (xy 112.137957 109.323945) + (xy 112.080016 109.210229) + (xy 111.989771 109.119984) + (xy 111.876055 109.062043) + (xy 111.876057 109.062043) + (xy 111.75 109.042078) + (xy 111.623943 109.062043) + (xy 111.510228 109.119984) + (xy 111.419984 109.210228) + (xy 111.362043 109.323943) + (xy 111.342078 109.449999) + (xy 111.342078 109.45) + (xy 109.30028 109.45) + (xy 109.337957 109.376055) + (xy 109.357922 109.25) + (xy 109.337957 109.123945) + (xy 109.280016 109.010229) + (xy 109.189771 108.919984) + (xy 109.076055 108.862043) + (xy 109.076057 108.862043) + (xy 108.95 108.842078) + (xy 108.823943 108.862043) + (xy 108.710228 108.919984) + (xy 108.619984 109.010228) + (xy 108.562043 109.123943) + (xy 108.542078 109.249999) + (xy 108.542078 109.25) + (xy 83.600003 109.25) + (xy 83.607922 109.2) + (xy 83.587957 109.073945) + (xy 83.530016 108.960229) + (xy 83.439771 108.869984) + (xy 83.326055 108.812043) + (xy 83.326057 108.812043) + (xy 83.2 108.792078) + (xy 83.073943 108.812043) + (xy 82.960228 108.869984) + (xy 82.869984 108.960228) + (xy 82.812043 109.073943) + (xy 82.792078 109.199999) + (xy 82.792078 109.2) + (xy 82.607922 109.2) + (xy 82.587957 109.073945) + (xy 82.530016 108.960229) + (xy 82.439771 108.869984) + (xy 82.326055 108.812043) + (xy 82.326057 108.812043) + (xy 82.2 108.792078) + (xy 82.073943 108.812043) + (xy 81.960228 108.869984) + (xy 81.869984 108.960228) + (xy 81.812043 109.073943) + (xy 81.792078 109.199999) + (xy 81.792078 109.2) + (xy 71.548811 109.2) + (xy 71.571457 109.155555) + (xy 71.591422 109.0295) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029499) + (xy 70.775578 109.0295) + (xy 46.101 109.0295) + (xy 46.101 108.1405) + (xy 69.886578 108.1405) + (xy 69.906543 108.266556) + (xy 69.923584 108.3) + (xy 69.964484 108.380271) + (xy 70.054729 108.470516) + (xy 70.168443 108.528456) + (xy 70.168445 108.528457) + (xy 70.2945 108.548422) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.624516 108.380271) + (xy 70.682457 108.266555) + (xy 70.702422 108.1405) + (xy 70.696007 108.1) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.749719 108.299999) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.973943 108.487956) + (xy 78.973945 108.487957) + (xy 79.1 108.507922) + (xy 79.226055 108.487957) + (xy 79.339771 108.430016) + (xy 79.430016 108.339771) + (xy 79.45028 108.3) + (xy 82.292078 108.3) + (xy 82.312043 108.426056) + (xy 82.353756 108.507922) + (xy 82.369984 108.539771) + (xy 82.460229 108.630016) + (xy 82.573943 108.687956) + (xy 82.573945 108.687957) + (xy 82.7 108.707922) + (xy 82.826055 108.687957) + (xy 82.939771 108.630016) + (xy 83.030016 108.539771) + (xy 83.087957 108.426055) + (xy 83.092084 108.4) + (xy 83.742078 108.4) + (xy 83.762043 108.526056) + (xy 83.810714 108.621578) + (xy 83.819984 108.639771) + (xy 83.910229 108.730016) + (xy 84.023943 108.787956) + (xy 84.023945 108.787957) + (xy 84.15 108.807922) + (xy 84.276055 108.787957) + (xy 84.35055 108.75) + (xy 114.342078 108.75) + (xy 114.362043 108.876056) + (xy 114.384426 108.919984) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.080016 108.989771) + (xy 115.137957 108.876055) + (xy 115.157922 108.75) + (xy 122.142078 108.75) + (xy 122.162043 108.876056) + (xy 122.184426 108.919984) + (xy 122.219984 108.989771) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.937957 108.876055) + (xy 122.957922 108.75) + (xy 122.937957 108.623945) + (xy 122.880016 108.510229) + (xy 122.789771 108.419984) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.310228 108.419984) + (xy 122.219984 108.510228) + (xy 122.162043 108.623943) + (xy 122.142078 108.749999) + (xy 122.142078 108.75) + (xy 115.157922 108.75) + (xy 115.137957 108.623945) + (xy 115.080016 108.510229) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.75 108.342078) + (xy 114.623943 108.362043) + (xy 114.510228 108.419984) + (xy 114.419984 108.510228) + (xy 114.362043 108.623943) + (xy 114.342078 108.749999) + (xy 114.342078 108.75) + (xy 84.35055 108.75) + (xy 84.389771 108.730016) + (xy 84.480016 108.639771) + (xy 84.537957 108.526055) + (xy 84.557922 108.4) + (xy 84.537957 108.273945) + (xy 84.480016 108.160229) + (xy 84.389771 108.069984) + (xy 84.276055 108.012043) + (xy 84.276057 108.012043) + (xy 84.15 107.992078) + (xy 84.023943 108.012043) + (xy 83.910228 108.069984) + (xy 83.819984 108.160228) + (xy 83.762043 108.273943) + (xy 83.742078 108.399999) + (xy 83.742078 108.4) + (xy 83.092084 108.4) + (xy 83.107922 108.3) + (xy 83.106014 108.287956) + (xy 83.102625 108.266555) + (xy 83.087957 108.173945) + (xy 83.030016 108.060229) + (xy 82.939771 107.969984) + (xy 82.826055 107.912043) + (xy 82.826057 107.912043) + (xy 82.750019 107.9) + (xy 88.642078 107.9) + (xy 88.662043 108.026056) + (xy 88.668107 108.037957) + (xy 88.719984 108.139771) + (xy 88.810229 108.230016) + (xy 88.923943 108.287956) + (xy 88.923945 108.287957) + (xy 89.05 108.307922) + (xy 89.176055 108.287957) + (xy 89.289771 108.230016) + (xy 89.380016 108.139771) + (xy 89.437957 108.026055) + (xy 89.457922 107.9) + (xy 90.042078 107.9) + (xy 90.062043 108.026056) + (xy 90.068107 108.037957) + (xy 90.119984 108.139771) + (xy 90.210229 108.230016) + (xy 90.323943 108.287956) + (xy 90.323945 108.287957) + (xy 90.45 108.307922) + (xy 90.576055 108.287957) + (xy 90.689771 108.230016) + (xy 90.780016 108.139771) + (xy 90.837957 108.026055) + (xy 90.857922 107.9) + (xy 97.042078 107.9) + (xy 97.062043 108.026056) + (xy 97.068107 108.037957) + (xy 97.119984 108.139771) + (xy 97.210229 108.230016) + (xy 97.323943 108.287956) + (xy 97.323945 108.287957) + (xy 97.45 108.307922) + (xy 97.576055 108.287957) + (xy 97.689771 108.230016) + (xy 97.780016 108.139771) + (xy 97.837957 108.026055) + (xy 97.857922 107.9) + (xy 97.837957 107.773945) + (xy 97.780016 107.660229) + (xy 97.769787 107.65) + (xy 108.542078 107.65) + (xy 108.562043 107.776056) + (xy 108.568107 107.787957) + (xy 108.619984 107.889771) + (xy 108.710229 107.980016) + (xy 108.823943 108.037956) + (xy 108.823945 108.037957) + (xy 108.95 108.057922) + (xy 109.076055 108.037957) + (xy 109.189771 107.980016) + (xy 109.280016 107.889771) + (xy 109.337957 107.776055) + (xy 109.342084 107.75) + (xy 114.342078 107.75) + (xy 114.362043 107.876056) + (xy 114.409902 107.969984) + (xy 114.419984 107.989771) + (xy 114.510229 108.080016) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.080016 107.989771) + (xy 115.137957 107.876055) + (xy 115.157922 107.75) + (xy 122.142078 107.75) + (xy 122.162043 107.876056) + (xy 122.209902 107.969984) + (xy 122.219984 107.989771) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.789771 108.080016) + (xy 122.880016 107.989771) + (xy 122.937957 107.876055) + (xy 122.957922 107.75) + (xy 122.937957 107.623945) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.310228 107.419984) + (xy 122.219984 107.510228) + (xy 122.162043 107.623943) + (xy 122.142078 107.749999) + (xy 122.142078 107.75) + (xy 115.157922 107.75) + (xy 115.137957 107.623945) + (xy 115.080016 107.510229) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.510228 107.419984) + (xy 114.419984 107.510228) + (xy 114.362043 107.623943) + (xy 114.342078 107.749999) + (xy 114.342078 107.75) + (xy 109.342084 107.75) + (xy 109.357922 107.65) + (xy 109.337957 107.523945) + (xy 109.280016 107.410229) + (xy 109.189771 107.319984) + (xy 109.076055 107.262043) + (xy 109.076057 107.262043) + (xy 108.95 107.242078) + (xy 108.823943 107.262043) + (xy 108.710228 107.319984) + (xy 108.619984 107.410228) + (xy 108.562043 107.523943) + (xy 108.542078 107.649999) + (xy 108.542078 107.65) + (xy 97.769787 107.65) + (xy 97.689771 107.569984) + (xy 97.576055 107.512043) + (xy 97.576057 107.512043) + (xy 97.45 107.492078) + (xy 97.323943 107.512043) + (xy 97.210228 107.569984) + (xy 97.119984 107.660228) + (xy 97.062043 107.773943) + (xy 97.042078 107.899999) + (xy 97.042078 107.9) + (xy 90.857922 107.9) + (xy 90.837957 107.773945) + (xy 90.780016 107.660229) + (xy 90.689771 107.569984) + (xy 90.576055 107.512043) + (xy 90.576057 107.512043) + (xy 90.45 107.492078) + (xy 90.323943 107.512043) + (xy 90.210228 107.569984) + (xy 90.119984 107.660228) + (xy 90.062043 107.773943) + (xy 90.042078 107.899999) + (xy 90.042078 107.9) + (xy 89.457922 107.9) + (xy 89.437957 107.773945) + (xy 89.380016 107.660229) + (xy 89.289771 107.569984) + (xy 89.176055 107.512043) + (xy 89.176057 107.512043) + (xy 89.05 107.492078) + (xy 88.923943 107.512043) + (xy 88.810228 107.569984) + (xy 88.719984 107.660228) + (xy 88.662043 107.773943) + (xy 88.642078 107.899999) + (xy 88.642078 107.9) + (xy 82.750019 107.9) + (xy 82.7 107.892078) + (xy 82.573943 107.912043) + (xy 82.460228 107.969984) + (xy 82.369984 108.060228) + (xy 82.312043 108.173943) + (xy 82.292078 108.299999) + (xy 82.292078 108.3) + (xy 79.45028 108.3) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.339771 107.769984) + (xy 79.226055 107.712043) + (xy 79.226057 107.712043) + (xy 79.1 107.692078) + (xy 78.973943 107.712043) + (xy 78.860228 107.769984) + (xy 78.769984 107.860228) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 70.696007 108.1) + (xy 70.682457 108.014445) + (xy 70.624516 107.900729) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140499) + (xy 69.886578 108.1405) + (xy 46.101 108.1405) + (xy 46.101 107.65) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.668107 107.787957) + (xy 79.719984 107.889771) + (xy 79.810229 107.980016) + (xy 79.923943 108.037956) + (xy 79.923945 108.037957) + (xy 80.05 108.057922) + (xy 80.176055 108.037957) + (xy 80.289771 107.980016) + (xy 80.380016 107.889771) + (xy 80.437957 107.776055) + (xy 80.457922 107.65) + (xy 80.437957 107.523945) + (xy 80.380016 107.410229) + (xy 80.369787 107.4) + (xy 83.942078 107.4) + (xy 83.962043 107.526056) + (xy 83.984426 107.569984) + (xy 84.019984 107.639771) + (xy 84.110229 107.730016) + (xy 84.223943 107.787956) + (xy 84.223945 107.787957) + (xy 84.35 107.807922) + (xy 84.476055 107.787957) + (xy 84.589771 107.730016) + (xy 84.680016 107.639771) + (xy 84.737957 107.526055) + (xy 84.757922 107.4) + (xy 84.737957 107.273945) + (xy 84.680016 107.160229) + (xy 84.589771 107.069984) + (xy 84.476055 107.012043) + (xy 84.476057 107.012043) + (xy 84.35 106.992078) + (xy 84.223943 107.012043) + (xy 84.110228 107.069984) + (xy 84.019984 107.160228) + (xy 83.962043 107.273943) + (xy 83.942078 107.399999) + (xy 83.942078 107.4) + (xy 80.369787 107.4) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 46.101 107.65) + (xy 46.101 106.934) + (xy 69.632578 106.934) + (xy 69.652543 107.060056) + (xy 69.703584 107.160229) + (xy 69.710484 107.173771) + (xy 69.800729 107.264016) + (xy 69.914443 107.321956) + (xy 69.914445 107.321957) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.370516 107.173771) + (xy 70.428457 107.060055) + (xy 70.448422 106.934) + (xy 70.446829 106.923945) + (xy 70.443037 106.9) + (xy 84.842078 106.9) + (xy 84.862043 107.026056) + (xy 84.889537 107.080015) + (xy 84.919984 107.139771) + (xy 85.010229 107.230016) + (xy 85.123943 107.287956) + (xy 85.123945 107.287957) + (xy 85.25 107.307922) + (xy 85.376055 107.287957) + (xy 85.489771 107.230016) + (xy 85.580016 107.139771) + (xy 85.637957 107.026055) + (xy 85.657922 106.9) + (xy 89.642078 106.9) + (xy 89.662043 107.026056) + (xy 89.689537 107.080015) + (xy 89.719984 107.139771) + (xy 89.810229 107.230016) + (xy 89.923943 107.287956) + (xy 89.923945 107.287957) + (xy 90.05 107.307922) + (xy 90.176055 107.287957) + (xy 90.289771 107.230016) + (xy 90.380016 107.139771) + (xy 90.437957 107.026055) + (xy 90.457922 106.9) + (xy 91.142078 106.9) + (xy 91.162043 107.026056) + (xy 91.189537 107.080015) + (xy 91.219984 107.139771) + (xy 91.310229 107.230016) + (xy 91.423943 107.287956) + (xy 91.423945 107.287957) + (xy 91.55 107.307922) + (xy 91.676055 107.287957) + (xy 91.789771 107.230016) + (xy 91.880016 107.139771) + (xy 91.937957 107.026055) + (xy 91.950003 106.95) + (xy 97.392078 106.95) + (xy 97.412043 107.076056) + (xy 97.460466 107.171091) + (xy 97.469984 107.189771) + (xy 97.560229 107.280016) + (xy 97.673943 107.337956) + (xy 97.673945 107.337957) + (xy 97.8 107.357922) + (xy 97.926055 107.337957) + (xy 98.039771 107.280016) + (xy 98.130016 107.189771) + (xy 98.187957 107.076055) + (xy 98.207922 106.95) + (xy 98.187957 106.823945) + (xy 98.130016 106.710229) + (xy 98.039771 106.619984) + (xy 97.926055 106.562043) + (xy 97.926057 106.562043) + (xy 97.8 106.542078) + (xy 97.673943 106.562043) + (xy 97.560228 106.619984) + (xy 97.469984 106.710228) + (xy 97.412043 106.823943) + (xy 97.392078 106.949999) + (xy 97.392078 106.95) + (xy 91.950003 106.95) + (xy 91.957922 106.9) + (xy 91.937957 106.773945) + (xy 91.880016 106.660229) + (xy 91.789771 106.569984) + (xy 91.676055 106.512043) + (xy 91.676057 106.512043) + (xy 91.55 106.492078) + (xy 91.423943 106.512043) + (xy 91.310228 106.569984) + (xy 91.219984 106.660228) + (xy 91.162043 106.773943) + (xy 91.142078 106.899999) + (xy 91.142078 106.9) + (xy 90.457922 106.9) + (xy 90.437957 106.773945) + (xy 90.380016 106.660229) + (xy 90.289771 106.569984) + (xy 90.176055 106.512043) + (xy 90.176057 106.512043) + (xy 90.05 106.492078) + (xy 89.923943 106.512043) + (xy 89.810228 106.569984) + (xy 89.719984 106.660228) + (xy 89.662043 106.773943) + (xy 89.642078 106.899999) + (xy 89.642078 106.9) + (xy 85.657922 106.9) + (xy 85.637957 106.773945) + (xy 85.580016 106.660229) + (xy 85.489771 106.569984) + (xy 85.376055 106.512043) + (xy 85.376057 106.512043) + (xy 85.25 106.492078) + (xy 85.123943 106.512043) + (xy 85.010228 106.569984) + (xy 84.919984 106.660228) + (xy 84.862043 106.773943) + (xy 84.842078 106.899999) + (xy 84.842078 106.9) + (xy 70.443037 106.9) + (xy 70.44047 106.883792) + (xy 70.428457 106.807945) + (xy 70.370516 106.694229) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 46.101 106.934) + (xy 46.101 106.45) + (xy 98.542329 106.45) + (xy 98.561331 106.594337) + (xy 98.581029 106.641891) + (xy 98.617043 106.728836) + (xy 98.617044 106.728838) + (xy 98.617045 106.728839) + (xy 98.705666 106.844333) + (xy 98.809417 106.923943) + (xy 98.821164 106.932957) + (xy 98.955664 106.988669) + (xy 99.1 107.007671) + (xy 99.244336 106.988669) + (xy 99.378836 106.932957) + (xy 99.494333 106.844333) + (xy 99.566717 106.75) + (xy 109.292078 106.75) + (xy 109.312043 106.876056) + (xy 109.369984 106.989771) + (xy 109.460229 107.080016) + (xy 109.573943 107.137956) + (xy 109.573945 107.137957) + (xy 109.7 107.157922) + (xy 109.826055 107.137957) + (xy 109.939771 107.080016) + (xy 109.969787 107.05) + (xy 122.842078 107.05) + (xy 122.862043 107.176056) + (xy 122.889537 107.230015) + (xy 122.919984 107.289771) + (xy 123.010229 107.380016) + (xy 123.123943 107.437956) + (xy 123.123945 107.437957) + (xy 123.25 107.457922) + (xy 123.376055 107.437957) + (xy 123.489771 107.380016) + (xy 123.580016 107.289771) + (xy 123.637957 107.176055) + (xy 123.657922 107.05) + (xy 125.142078 107.05) + (xy 125.162043 107.176056) + (xy 125.189537 107.230015) + (xy 125.219984 107.289771) + (xy 125.310229 107.380016) + (xy 125.423943 107.437956) + (xy 125.423945 107.437957) + (xy 125.55 107.457922) + (xy 125.676055 107.437957) + (xy 125.789771 107.380016) + (xy 125.869787 107.3) + (xy 126.992443 107.3) + (xy 127.010977 107.428911) + (xy 127.065078 107.547373) + (xy 127.06508 107.547376) + (xy 127.150366 107.645801) + (xy 127.259926 107.716209) + (xy 127.384883 107.7529) + (xy 127.515117 107.7529) + (xy 127.640073 107.716209) + (xy 127.640073 107.716208) + (xy 127.640076 107.716208) + (xy 127.749636 107.645799) + (xy 127.834921 107.547374) + (xy 127.889023 107.428909) + (xy 127.907557 107.3) + (xy 127.889023 107.171091) + (xy 127.884062 107.160229) + (xy 127.834921 107.052626) + (xy 127.834919 107.052623) + (xy 127.749633 106.954198) + (xy 127.640073 106.88379) + (xy 127.515117 106.8471) + (xy 127.384883 106.8471) + (xy 127.259926 106.88379) + (xy 127.150366 106.954198) + (xy 127.06508 107.052623) + (xy 127.065078 107.052626) + (xy 127.010977 107.171088) + (xy 126.992443 107.3) + (xy 125.869787 107.3) + (xy 125.880016 107.289771) + (xy 125.937957 107.176055) + (xy 125.957922 107.05) + (xy 125.937957 106.923945) + (xy 125.880016 106.810229) + (xy 125.789771 106.719984) + (xy 125.676055 106.662043) + (xy 125.676057 106.662043) + (xy 125.55 106.642078) + (xy 125.423943 106.662043) + (xy 125.310228 106.719984) + (xy 125.219984 106.810228) + (xy 125.162043 106.923943) + (xy 125.142078 107.049999) + (xy 125.142078 107.05) + (xy 123.657922 107.05) + (xy 123.637957 106.923945) + (xy 123.580016 106.810229) + (xy 123.489771 106.719984) + (xy 123.376055 106.662043) + (xy 123.376057 106.662043) + (xy 123.25 106.642078) + (xy 123.123943 106.662043) + (xy 123.010228 106.719984) + (xy 122.919984 106.810228) + (xy 122.862043 106.923943) + (xy 122.842078 107.049999) + (xy 122.842078 107.05) + (xy 109.969787 107.05) + (xy 110.030016 106.989771) + (xy 110.087957 106.876055) + (xy 110.107922 106.75) + (xy 110.106014 106.737956) + (xy 110.099089 106.694229) + (xy 110.087957 106.623945) + (xy 110.030016 106.510229) + (xy 109.939771 106.419984) + (xy 109.826055 106.362043) + (xy 109.826057 106.362043) + (xy 109.750019 106.35) + (xy 114.342078 106.35) + (xy 114.362043 106.476056) + (xy 114.405856 106.562043) + (xy 114.419984 106.589771) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.080016 106.589771) + (xy 115.137957 106.476055) + (xy 115.157922 106.35) + (xy 122.142078 106.35) + (xy 122.162043 106.476056) + (xy 122.205856 106.562043) + (xy 122.219984 106.589771) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.937957 106.476055) + (xy 122.957922 106.35) + (xy 122.937957 106.223945) + (xy 122.925756 106.2) + (xy 125.992443 106.2) + (xy 126.010977 106.328911) + (xy 126.065078 106.447373) + (xy 126.06508 106.447376) + (xy 126.150366 106.545801) + (xy 126.259926 106.616209) + (xy 126.384883 106.6529) + (xy 126.515117 106.6529) + (xy 126.640073 106.616209) + (xy 126.640073 106.616208) + (xy 126.640076 106.616208) + (xy 126.749636 106.545799) + (xy 126.834921 106.447374) + (xy 126.889023 106.328909) + (xy 126.907557 106.2) + (xy 126.889023 106.071091) + (xy 126.886793 106.066209) + (xy 126.834921 105.952626) + (xy 126.834919 105.952623) + (xy 126.749633 105.854198) + (xy 126.640073 105.78379) + (xy 126.515117 105.7471) + (xy 126.384883 105.7471) + (xy 126.259926 105.78379) + (xy 126.150366 105.854198) + (xy 126.06508 105.952623) + (xy 126.065078 105.952626) + (xy 126.010977 106.071088) + (xy 125.992443 106.2) + (xy 122.925756 106.2) + (xy 122.880016 106.110229) + (xy 122.789771 106.019984) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.310228 106.019984) + (xy 122.219984 106.110228) + (xy 122.162043 106.223943) + (xy 122.142078 106.349999) + (xy 122.142078 106.35) + (xy 115.157922 106.35) + (xy 115.137957 106.223945) + (xy 115.080016 106.110229) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.510228 106.019984) + (xy 114.419984 106.110228) + (xy 114.362043 106.223943) + (xy 114.342078 106.349999) + (xy 114.342078 106.35) + (xy 109.750019 106.35) + (xy 109.7 106.342078) + (xy 109.573943 106.362043) + (xy 109.460228 106.419984) + (xy 109.369984 106.510228) + (xy 109.312043 106.623943) + (xy 109.292078 106.749999) + (xy 109.292078 106.75) + (xy 99.566717 106.75) + (xy 99.582957 106.728836) + (xy 99.638669 106.594336) + (xy 99.657671 106.45) + (xy 99.638669 106.305664) + (xy 99.582957 106.171165) + (xy 99.582955 106.171162) + (xy 99.494333 106.055666) + (xy 99.378839 105.967045) + (xy 99.378838 105.967044) + (xy 99.378836 105.967043) + (xy 99.29065 105.930515) + (xy 99.244337 105.911331) + (xy 99.1 105.892329) + (xy 98.955662 105.911331) + (xy 98.821163 105.967044) + (xy 98.821162 105.967044) + (xy 98.705666 106.055666) + (xy 98.617044 106.171162) + (xy 98.617044 106.171163) + (xy 98.561331 106.305662) + (xy 98.542329 106.45) + (xy 46.101 106.45) + (xy 46.101 105.664) + (xy 69.632578 105.664) + (xy 69.652543 105.790056) + (xy 69.704654 105.892329) + (xy 69.710484 105.903771) + (xy 69.800729 105.994016) + (xy 69.914443 106.051956) + (xy 69.914445 106.051957) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.370516 105.903771) + (xy 70.428457 105.790055) + (xy 70.448422 105.664) + (xy 70.438365 105.6005) + (xy 80.618078 105.6005) + (xy 80.638043 105.726556) + (xy 80.670398 105.790055) + (xy 80.695984 105.840271) + (xy 80.786229 105.930516) + (xy 80.899943 105.988456) + (xy 80.899945 105.988457) + (xy 81.026 106.008422) + (xy 81.152055 105.988457) + (xy 81.265771 105.930516) + (xy 81.296287 105.9) + (xy 84.842078 105.9) + (xy 84.862043 106.026056) + (xy 84.877131 106.055667) + (xy 84.919984 106.139771) + (xy 85.010229 106.230016) + (xy 85.123943 106.287956) + (xy 85.123945 106.287957) + (xy 85.25 106.307922) + (xy 85.376055 106.287957) + (xy 85.489771 106.230016) + (xy 85.580016 106.139771) + (xy 85.637957 106.026055) + (xy 85.657922 105.9) + (xy 85.657506 105.897376) + (xy 85.648462 105.840271) + (xy 85.637957 105.773945) + (xy 85.625756 105.75) + (xy 109.292078 105.75) + (xy 109.312043 105.876056) + (xy 109.369314 105.988456) + (xy 109.369984 105.989771) + (xy 109.460229 106.080016) + (xy 109.573943 106.137956) + (xy 109.573945 106.137957) + (xy 109.7 106.157922) + (xy 109.826055 106.137957) + (xy 109.939771 106.080016) + (xy 110.030016 105.989771) + (xy 110.087957 105.876055) + (xy 110.107922 105.75) + (xy 110.106014 105.737956) + (xy 110.104164 105.726276) + (xy 110.092084 105.65) + (xy 110.392443 105.65) + (xy 110.410977 105.778911) + (xy 110.465078 105.897373) + (xy 110.46508 105.897376) + (xy 110.525447 105.967043) + (xy 110.544001 105.988456) + (xy 110.550366 105.995801) + (xy 110.659926 106.066209) + (xy 110.784883 106.1029) + (xy 110.915117 106.1029) + (xy 111.040073 106.066209) + (xy 111.040073 106.066208) + (xy 111.040076 106.066208) + (xy 111.149636 105.995799) + (xy 111.234921 105.897374) + (xy 111.289023 105.778909) + (xy 111.307557 105.65) + (xy 111.289023 105.521091) + (xy 111.284062 105.510229) + (xy 111.234921 105.402626) + (xy 111.234919 105.402623) + (xy 111.189321 105.35) + (xy 114.342078 105.35) + (xy 114.362043 105.476056) + (xy 114.384988 105.521088) + (xy 114.419984 105.589771) + (xy 114.510229 105.680016) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.080016 105.589771) + (xy 115.137957 105.476055) + (xy 115.157922 105.35) + (xy 122.142078 105.35) + (xy 122.162043 105.476056) + (xy 122.184988 105.521088) + (xy 122.219984 105.589771) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.789771 105.680016) + (xy 122.880016 105.589771) + (xy 122.937957 105.476055) + (xy 122.957922 105.35) + (xy 122.937957 105.223945) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.310228 105.019984) + (xy 122.219984 105.110228) + (xy 122.162043 105.223943) + (xy 122.142078 105.349999) + (xy 122.142078 105.35) + (xy 115.157922 105.35) + (xy 115.137957 105.223945) + (xy 115.080016 105.110229) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.510228 105.019984) + (xy 114.419984 105.110228) + (xy 114.362043 105.223943) + (xy 114.342078 105.349999) + (xy 114.342078 105.35) + (xy 111.189321 105.35) + (xy 111.149633 105.304198) + (xy 111.040073 105.23379) + (xy 110.915117 105.1971) + (xy 110.784883 105.1971) + (xy 110.659926 105.23379) + (xy 110.550366 105.304198) + (xy 110.46508 105.402623) + (xy 110.465078 105.402626) + (xy 110.410977 105.521088) + (xy 110.392443 105.65) + (xy 110.092084 105.65) + (xy 110.087957 105.623945) + (xy 110.030016 105.510229) + (xy 109.939771 105.419984) + (xy 109.826055 105.362043) + (xy 109.826057 105.362043) + (xy 109.7 105.342078) + (xy 109.573943 105.362043) + (xy 109.460228 105.419984) + (xy 109.369984 105.510228) + (xy 109.312043 105.623943) + (xy 109.292078 105.749999) + (xy 109.292078 105.75) + (xy 85.625756 105.75) + (xy 85.580016 105.660229) + (xy 85.489771 105.569984) + (xy 85.376055 105.512043) + (xy 85.376057 105.512043) + (xy 85.25 105.492078) + (xy 85.123943 105.512043) + (xy 85.010228 105.569984) + (xy 84.919984 105.660228) + (xy 84.862043 105.773943) + (xy 84.842078 105.899999) + (xy 84.842078 105.9) + (xy 81.296287 105.9) + (xy 81.356016 105.840271) + (xy 81.413957 105.726555) + (xy 81.433922 105.6005) + (xy 81.413957 105.474445) + (xy 81.356016 105.360729) + (xy 81.265771 105.270484) + (xy 81.152055 105.212543) + (xy 81.152057 105.212543) + (xy 81.072862 105.2) + (xy 82.242078 105.2) + (xy 82.262043 105.326056) + (xy 82.301056 105.402623) + (xy 82.319984 105.439771) + (xy 82.410229 105.530016) + (xy 82.523943 105.587956) + (xy 82.523945 105.587957) + (xy 82.65 105.607922) + (xy 82.776055 105.587957) + (xy 82.889771 105.530016) + (xy 82.980016 105.439771) + (xy 83.037957 105.326055) + (xy 83.057922 105.2) + (xy 83.056746 105.192578) + (xy 83.054164 105.176276) + (xy 83.037957 105.073945) + (xy 82.980016 104.960229) + (xy 82.889771 104.869984) + (xy 82.776055 104.812043) + (xy 82.776057 104.812043) + (xy 82.65 104.792078) + (xy 82.523943 104.812043) + (xy 82.410228 104.869984) + (xy 82.319984 104.960228) + (xy 82.262043 105.073943) + (xy 82.242078 105.199999) + (xy 82.242078 105.2) + (xy 81.072862 105.2) + (xy 81.026 105.192578) + (xy 80.899943 105.212543) + (xy 80.786228 105.270484) + (xy 80.695984 105.360728) + (xy 80.638043 105.474443) + (xy 80.618078 105.600499) + (xy 80.618078 105.6005) + (xy 70.438365 105.6005) + (xy 70.428457 105.537945) + (xy 70.370516 105.424229) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663999) + (xy 69.632578 105.664) + (xy 46.101 105.664) + (xy 46.101 104.394) + (xy 69.632578 104.394) + (xy 69.652543 104.520056) + (xy 69.706553 104.626056) + (xy 69.710484 104.633771) + (xy 69.800729 104.724016) + (xy 69.914443 104.781956) + (xy 69.914445 104.781957) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.370516 104.633771) + (xy 70.428457 104.520055) + (xy 70.448422 104.394) + (xy 70.441453 104.35) + (xy 81.392078 104.35) + (xy 81.412043 104.476056) + (xy 81.436443 104.523943) + (xy 81.469984 104.589771) + (xy 81.560229 104.680016) + (xy 81.673943 104.737956) + (xy 81.673945 104.737957) + (xy 81.8 104.757922) + (xy 81.926055 104.737957) + (xy 82.039771 104.680016) + (xy 82.130016 104.589771) + (xy 82.175756 104.5) + (xy 100.092078 104.5) + (xy 100.112043 104.626056) + (xy 100.139537 104.680015) + (xy 100.169984 104.739771) + (xy 100.260229 104.830016) + (xy 100.373943 104.887956) + (xy 100.373945 104.887957) + (xy 100.5 104.907922) + (xy 100.626055 104.887957) + (xy 100.70055 104.85) + (xy 108.542078 104.85) + (xy 108.562043 104.976056) + (xy 108.603756 105.057922) + (xy 108.619984 105.089771) + (xy 108.710229 105.180016) + (xy 108.823943 105.237956) + (xy 108.823945 105.237957) + (xy 108.95 105.257922) + (xy 109.076055 105.237957) + (xy 109.189771 105.180016) + (xy 109.280016 105.089771) + (xy 109.337957 104.976055) + (xy 109.357922 104.85) + (xy 109.337957 104.723945) + (xy 109.30028 104.65) + (xy 109.542078 104.65) + (xy 109.562043 104.776056) + (xy 109.589537 104.830015) + (xy 109.619984 104.889771) + (xy 109.710229 104.980016) + (xy 109.823943 105.037956) + (xy 109.823945 105.037957) + (xy 109.95 105.057922) + (xy 110.076055 105.037957) + (xy 110.189771 104.980016) + (xy 110.280016 104.889771) + (xy 110.337957 104.776055) + (xy 110.357922 104.65) + (xy 111.342078 104.65) + (xy 111.362043 104.776056) + (xy 111.389537 104.830015) + (xy 111.419984 104.889771) + (xy 111.510229 104.980016) + (xy 111.623943 105.037956) + (xy 111.623945 105.037957) + (xy 111.75 105.057922) + (xy 111.876055 105.037957) + (xy 111.989771 104.980016) + (xy 112.080016 104.889771) + (xy 112.137957 104.776055) + (xy 112.157922 104.65) + (xy 113.642078 104.65) + (xy 113.662043 104.776056) + (xy 113.689537 104.830015) + (xy 113.719984 104.889771) + (xy 113.810229 104.980016) + (xy 113.923943 105.037956) + (xy 113.923945 105.037957) + (xy 114.05 105.057922) + (xy 114.176055 105.037957) + (xy 114.289771 104.980016) + (xy 114.380016 104.889771) + (xy 114.437957 104.776055) + (xy 114.457922 104.65) + (xy 114.437957 104.523945) + (xy 114.380016 104.410229) + (xy 114.289771 104.319984) + (xy 114.176055 104.262043) + (xy 114.176057 104.262043) + (xy 114.05 104.242078) + (xy 113.923943 104.262043) + (xy 113.810228 104.319984) + (xy 113.719984 104.410228) + (xy 113.662043 104.523943) + (xy 113.642078 104.649999) + (xy 113.642078 104.65) + (xy 112.157922 104.65) + (xy 112.137957 104.523945) + (xy 112.080016 104.410229) + (xy 111.989771 104.319984) + (xy 111.876055 104.262043) + (xy 111.876057 104.262043) + (xy 111.75 104.242078) + (xy 111.623943 104.262043) + (xy 111.510228 104.319984) + (xy 111.419984 104.410228) + (xy 111.362043 104.523943) + (xy 111.342078 104.649999) + (xy 111.342078 104.65) + (xy 110.357922 104.65) + (xy 110.337957 104.523945) + (xy 110.280016 104.410229) + (xy 110.189771 104.319984) + (xy 110.076055 104.262043) + (xy 110.076057 104.262043) + (xy 109.95 104.242078) + (xy 109.823943 104.262043) + (xy 109.710228 104.319984) + (xy 109.619984 104.410228) + (xy 109.562043 104.523943) + (xy 109.542078 104.649999) + (xy 109.542078 104.65) + (xy 109.30028 104.65) + (xy 109.280016 104.610229) + (xy 109.189771 104.519984) + (xy 109.076055 104.462043) + (xy 109.076057 104.462043) + (xy 108.95 104.442078) + (xy 108.823943 104.462043) + (xy 108.710228 104.519984) + (xy 108.619984 104.610228) + (xy 108.562043 104.723943) + (xy 108.542078 104.849999) + (xy 108.542078 104.85) + (xy 100.70055 104.85) + (xy 100.739771 104.830016) + (xy 100.830016 104.739771) + (xy 100.887957 104.626055) + (xy 100.907922 104.5) + (xy 100.887957 104.373945) + (xy 100.830016 104.260229) + (xy 100.739771 104.169984) + (xy 100.626055 104.112043) + (xy 100.626057 104.112043) + (xy 100.5 104.092078) + (xy 100.373943 104.112043) + (xy 100.260228 104.169984) + (xy 100.169984 104.260228) + (xy 100.112043 104.373943) + (xy 100.092078 104.499999) + (xy 100.092078 104.5) + (xy 82.175756 104.5) + (xy 82.187957 104.476055) + (xy 82.207922 104.35) + (xy 82.187957 104.223945) + (xy 82.130016 104.110229) + (xy 82.039771 104.019984) + (xy 82.00055 104) + (xy 99.192078 104) + (xy 99.212043 104.126056) + (xy 99.226398 104.154229) + (xy 99.269984 104.239771) + (xy 99.360229 104.330016) + (xy 99.473943 104.387956) + (xy 99.473945 104.387957) + (xy 99.6 104.407922) + (xy 99.726055 104.387957) + (xy 99.839771 104.330016) + (xy 99.930016 104.239771) + (xy 99.987957 104.126055) + (xy 100.007922 104) + (xy 99.987957 103.873945) + (xy 99.930016 103.760229) + (xy 99.839771 103.669984) + (xy 99.726055 103.612043) + (xy 99.726057 103.612043) + (xy 99.6 103.592078) + (xy 99.473943 103.612043) + (xy 99.360228 103.669984) + (xy 99.269984 103.760228) + (xy 99.212043 103.873943) + (xy 99.192078 103.999999) + (xy 99.192078 104) + (xy 82.00055 104) + (xy 81.926055 103.962043) + (xy 81.926057 103.962043) + (xy 81.8 103.942078) + (xy 81.673943 103.962043) + (xy 81.560228 104.019984) + (xy 81.469984 104.110228) + (xy 81.412043 104.223943) + (xy 81.392078 104.349999) + (xy 81.392078 104.35) + (xy 70.441453 104.35) + (xy 70.428457 104.267945) + (xy 70.370516 104.154229) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393999) + (xy 69.632578 104.394) + (xy 46.101 104.394) + (xy 46.101 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.039453 103.55) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.530055 103.765957) + (xy 57.643771 103.708016) + (xy 57.734016 103.617771) + (xy 57.791957 103.504055) + (xy 57.811922 103.378) + (xy 57.811279 103.373943) + (xy 57.795001 103.271165) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.643771 103.047984) + (xy 57.530055 102.990043) + (xy 57.530057 102.990043) + (xy 57.404 102.970078) + (xy 57.277943 102.990043) + (xy 57.164228 103.047984) + (xy 57.073984 103.138228) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 46.101 103.378) + (xy 46.101 102.8065) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.854334 102.990043) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.339055 103.194457) + (xy 53.452771 103.136516) + (xy 53.543016 103.046271) + (xy 53.600957 102.932555) + (xy 53.620922 102.8065) + (xy 54.075078 102.8065) + (xy 54.095043 102.932556) + (xy 54.124334 102.990043) + (xy 54.152984 103.046271) + (xy 54.243229 103.136516) + (xy 54.356943 103.194456) + (xy 54.356945 103.194457) + (xy 54.483 103.214422) + (xy 54.609055 103.194457) + (xy 54.722771 103.136516) + (xy 54.813016 103.046271) + (xy 54.870957 102.932555) + (xy 54.890922 102.8065) + (xy 55.345078 102.8065) + (xy 55.365043 102.932556) + (xy 55.394334 102.990043) + (xy 55.422984 103.046271) + (xy 55.513229 103.136516) + (xy 55.626943 103.194456) + (xy 55.626945 103.194457) + (xy 55.753 103.214422) + (xy 55.879055 103.194457) + (xy 55.992771 103.136516) + (xy 56.083016 103.046271) + (xy 56.140957 102.932555) + (xy 56.160922 102.8065) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.204334 102.990043) + (xy 59.232984 103.046271) + (xy 59.323229 103.136516) + (xy 59.436943 103.194456) + (xy 59.436945 103.194457) + (xy 59.563 103.214422) + (xy 59.689055 103.194457) + (xy 59.802771 103.136516) + (xy 59.893016 103.046271) + (xy 59.950957 102.932555) + (xy 59.970922 102.8065) + (xy 61.695078 102.8065) + (xy 61.715043 102.932556) + (xy 61.744334 102.990043) + (xy 61.772984 103.046271) + (xy 61.863229 103.136516) + (xy 61.976943 103.194456) + (xy 61.976945 103.194457) + (xy 62.103 103.214422) + (xy 62.229055 103.194457) + (xy 62.342771 103.136516) + (xy 62.355287 103.124) + (xy 69.632578 103.124) + (xy 69.652543 103.250056) + (xy 69.683203 103.310229) + (xy 69.710484 103.363771) + (xy 69.800729 103.454016) + (xy 69.914443 103.511956) + (xy 69.914445 103.511957) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.370516 103.363771) + (xy 70.428457 103.250055) + (xy 70.448422 103.124) + (xy 76.808078 103.124) + (xy 76.828043 103.250056) + (xy 76.858703 103.310229) + (xy 76.885984 103.363771) + (xy 76.976229 103.454016) + (xy 77.089943 103.511956) + (xy 77.089945 103.511957) + (xy 77.216 103.531922) + (xy 77.342055 103.511957) + (xy 77.455771 103.454016) + (xy 77.546016 103.363771) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.128703 103.310229) + (xy 78.155984 103.363771) + (xy 78.246229 103.454016) + (xy 78.359943 103.511956) + (xy 78.359945 103.511957) + (xy 78.486 103.531922) + (xy 78.612055 103.511957) + (xy 78.725771 103.454016) + (xy 78.816016 103.363771) + (xy 78.873957 103.250055) + (xy 78.893922 103.124) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.398703 103.310229) + (xy 79.425984 103.363771) + (xy 79.516229 103.454016) + (xy 79.629943 103.511956) + (xy 79.629945 103.511957) + (xy 79.756 103.531922) + (xy 79.882055 103.511957) + (xy 79.995771 103.454016) + (xy 80.049787 103.4) + (xy 87.142078 103.4) + (xy 87.162043 103.526056) + (xy 87.195683 103.592078) + (xy 87.219984 103.639771) + (xy 87.310229 103.730016) + (xy 87.423943 103.787956) + (xy 87.423945 103.787957) + (xy 87.55 103.807922) + (xy 87.676055 103.787957) + (xy 87.789771 103.730016) + (xy 87.880016 103.639771) + (xy 87.937957 103.526055) + (xy 87.942084 103.5) + (xy 100.142078 103.5) + (xy 100.162043 103.626056) + (xy 100.211919 103.723943) + (xy 100.219984 103.739771) + (xy 100.310229 103.830016) + (xy 100.423943 103.887956) + (xy 100.423945 103.887957) + (xy 100.55 103.907922) + (xy 100.676055 103.887957) + (xy 100.789771 103.830016) + (xy 100.880016 103.739771) + (xy 100.937957 103.626055) + (xy 100.950003 103.55) + (xy 102.442078 103.55) + (xy 102.462043 103.676056) + (xy 102.471358 103.694337) + (xy 102.519984 103.789771) + (xy 102.610229 103.880016) + (xy 102.723943 103.937956) + (xy 102.723945 103.937957) + (xy 102.85 103.957922) + (xy 102.976055 103.937957) + (xy 103.089771 103.880016) + (xy 103.180016 103.789771) + (xy 103.237957 103.676055) + (xy 103.257922 103.55) + (xy 104.192329 103.55) + (xy 104.211331 103.694337) + (xy 104.22611 103.730015) + (xy 104.267043 103.828836) + (xy 104.267044 103.828838) + (xy 104.267045 103.828839) + (xy 104.355666 103.944333) + (xy 104.436089 104.006043) + (xy 104.471164 104.032957) + (xy 104.605664 104.088669) + (xy 104.75 104.107671) + (xy 104.894336 104.088669) + (xy 105.028836 104.032957) + (xy 105.144333 103.944333) + (xy 105.216717 103.85) + (xy 114.342078 103.85) + (xy 114.362043 103.976056) + (xy 114.419984 104.089771) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.080016 104.089771) + (xy 115.137957 103.976055) + (xy 115.157922 103.85) + (xy 122.142078 103.85) + (xy 122.162043 103.976056) + (xy 122.219984 104.089771) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.880016 104.089771) + (xy 122.937957 103.976055) + (xy 122.957922 103.85) + (xy 122.937957 103.723945) + (xy 122.880016 103.610229) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.310228 103.519984) + (xy 122.219984 103.610228) + (xy 122.162043 103.723943) + (xy 122.142078 103.849999) + (xy 122.142078 103.85) + (xy 115.157922 103.85) + (xy 115.137957 103.723945) + (xy 115.080016 103.610229) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.510228 103.519984) + (xy 114.419984 103.610228) + (xy 114.362043 103.723943) + (xy 114.342078 103.849999) + (xy 114.342078 103.85) + (xy 105.216717 103.85) + (xy 105.232957 103.828836) + (xy 105.288669 103.694336) + (xy 105.307671 103.55) + (xy 105.288669 103.405664) + (xy 105.232957 103.271165) + (xy 105.189417 103.214422) + (xy 105.144333 103.155666) + (xy 105.028839 103.067045) + (xy 105.028838 103.067044) + (xy 105.028836 103.067043) + (xy 104.987691 103.05) + (xy 122.842078 103.05) + (xy 122.862043 103.176056) + (xy 122.871419 103.194457) + (xy 122.919984 103.289771) + (xy 123.010229 103.380016) + (xy 123.123943 103.437956) + (xy 123.123945 103.437957) + (xy 123.25 103.457922) + (xy 123.376055 103.437957) + (xy 123.489771 103.380016) + (xy 123.580016 103.289771) + (xy 123.637957 103.176055) + (xy 123.657922 103.05) + (xy 125.142078 103.05) + (xy 125.162043 103.176056) + (xy 125.171419 103.194457) + (xy 125.219984 103.289771) + (xy 125.310229 103.380016) + (xy 125.423943 103.437956) + (xy 125.423945 103.437957) + (xy 125.55 103.457922) + (xy 125.676055 103.437957) + (xy 125.789771 103.380016) + (xy 125.869787 103.3) + (xy 126.992443 103.3) + (xy 127.010977 103.428911) + (xy 127.065078 103.547373) + (xy 127.06508 103.547376) + (xy 127.150366 103.645801) + (xy 127.197445 103.676056) + (xy 127.247175 103.708015) + (xy 127.259926 103.716209) + (xy 127.384883 103.7529) + (xy 127.515117 103.7529) + (xy 127.640073 103.716209) + (xy 127.640073 103.716208) + (xy 127.640076 103.716208) + (xy 127.749636 103.645799) + (xy 127.834921 103.547374) + (xy 127.889023 103.428909) + (xy 127.907557 103.3) + (xy 127.889023 103.171091) + (xy 127.888517 103.169984) + (xy 127.834921 103.052626) + (xy 127.834919 103.052623) + (xy 127.749633 102.954198) + (xy 127.640073 102.88379) + (xy 127.515117 102.8471) + (xy 127.384883 102.8471) + (xy 127.259926 102.88379) + (xy 127.150366 102.954198) + (xy 127.06508 103.052623) + (xy 127.065078 103.052626) + (xy 127.010977 103.171088) + (xy 126.992443 103.3) + (xy 125.869787 103.3) + (xy 125.880016 103.289771) + (xy 125.937957 103.176055) + (xy 125.957922 103.05) + (xy 125.957331 103.046271) + (xy 125.951797 103.011331) + (xy 125.937957 102.923945) + (xy 125.880016 102.810229) + (xy 125.789771 102.719984) + (xy 125.676055 102.662043) + (xy 125.676057 102.662043) + (xy 125.55 102.642078) + (xy 125.423943 102.662043) + (xy 125.310228 102.719984) + (xy 125.219984 102.810228) + (xy 125.162043 102.923943) + (xy 125.142078 103.049999) + (xy 125.142078 103.05) + (xy 123.657922 103.05) + (xy 123.657331 103.046271) + (xy 123.651797 103.011331) + (xy 123.637957 102.923945) + (xy 123.580016 102.810229) + (xy 123.489771 102.719984) + (xy 123.376055 102.662043) + (xy 123.376057 102.662043) + (xy 123.25 102.642078) + (xy 123.123943 102.662043) + (xy 123.010228 102.719984) + (xy 122.919984 102.810228) + (xy 122.862043 102.923943) + (xy 122.842078 103.049999) + (xy 122.842078 103.05) + (xy 104.987691 103.05) + (xy 104.941891 103.031029) + (xy 104.894337 103.011331) + (xy 104.75 102.992329) + (xy 104.605662 103.011331) + (xy 104.471163 103.067044) + (xy 104.471162 103.067044) + (xy 104.355666 103.155666) + (xy 104.267044 103.271162) + (xy 104.267044 103.271163) + (xy 104.267043 103.271164) + (xy 104.267043 103.271165) + (xy 104.259336 103.289771) + (xy 104.211331 103.405662) + (xy 104.192329 103.55) + (xy 103.257922 103.55) + (xy 103.257506 103.547376) + (xy 103.243338 103.457922) + (xy 103.237957 103.423945) + (xy 103.180016 103.310229) + (xy 103.089771 103.219984) + (xy 102.976055 103.162043) + (xy 102.976057 103.162043) + (xy 102.85 103.142078) + (xy 102.723943 103.162043) + (xy 102.610228 103.219984) + (xy 102.519984 103.310228) + (xy 102.462043 103.423943) + (xy 102.442078 103.549999) + (xy 102.442078 103.55) + (xy 100.950003 103.55) + (xy 100.957922 103.5) + (xy 100.937957 103.373945) + (xy 100.880016 103.260229) + (xy 100.789771 103.169984) + (xy 100.676055 103.112043) + (xy 100.676057 103.112043) + (xy 100.55 103.092078) + (xy 100.423943 103.112043) + (xy 100.310228 103.169984) + (xy 100.219984 103.260228) + (xy 100.162043 103.373943) + (xy 100.142078 103.499999) + (xy 100.142078 103.5) + (xy 87.942084 103.5) + (xy 87.957922 103.4) + (xy 87.937957 103.273945) + (xy 87.880016 103.160229) + (xy 87.789771 103.069984) + (xy 87.676055 103.012043) + (xy 87.676057 103.012043) + (xy 87.55 102.992078) + (xy 87.423943 103.012043) + (xy 87.310228 103.069984) + (xy 87.219984 103.160228) + (xy 87.162043 103.273943) + (xy 87.142078 103.399999) + (xy 87.142078 103.4) + (xy 80.049787 103.4) + (xy 80.086016 103.363771) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.143957 102.997945) + (xy 80.086016 102.884229) + (xy 79.995771 102.793984) + (xy 79.882055 102.736043) + (xy 79.882057 102.736043) + (xy 79.756 102.716078) + (xy 79.629943 102.736043) + (xy 79.516228 102.793984) + (xy 79.425984 102.884228) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 78.893922 103.124) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 70.448422 103.124) + (xy 70.428457 102.997945) + (xy 70.370516 102.884229) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124) + (xy 62.355287 103.124) + (xy 62.433016 103.046271) + (xy 62.490957 102.932555) + (xy 62.510922 102.8065) + (xy 62.490957 102.680445) + (xy 62.433016 102.566729) + (xy 62.342771 102.476484) + (xy 62.229055 102.418543) + (xy 62.229057 102.418543) + (xy 62.103 102.398578) + (xy 61.976943 102.418543) + (xy 61.863228 102.476484) + (xy 61.772984 102.566728) + (xy 61.715043 102.680443) + (xy 61.695078 102.806499) + (xy 61.695078 102.8065) + (xy 59.970922 102.8065) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.802771 102.476484) + (xy 59.689055 102.418543) + (xy 59.689057 102.418543) + (xy 59.563 102.398578) + (xy 59.436943 102.418543) + (xy 59.323228 102.476484) + (xy 59.232984 102.566728) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 56.160922 102.8065) + (xy 56.140957 102.680445) + (xy 56.083016 102.566729) + (xy 55.992771 102.476484) + (xy 55.879055 102.418543) + (xy 55.879057 102.418543) + (xy 55.753 102.398578) + (xy 55.626943 102.418543) + (xy 55.513228 102.476484) + (xy 55.422984 102.566728) + (xy 55.365043 102.680443) + (xy 55.345078 102.806499) + (xy 55.345078 102.8065) + (xy 54.890922 102.8065) + (xy 54.870957 102.680445) + (xy 54.813016 102.566729) + (xy 54.722771 102.476484) + (xy 54.609055 102.418543) + (xy 54.609057 102.418543) + (xy 54.483 102.398578) + (xy 54.356943 102.418543) + (xy 54.243228 102.476484) + (xy 54.152984 102.566728) + (xy 54.095043 102.680443) + (xy 54.075078 102.806499) + (xy 54.075078 102.8065) + (xy 53.620922 102.8065) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.452771 102.476484) + (xy 53.339055 102.418543) + (xy 53.339057 102.418543) + (xy 53.213 102.398578) + (xy 53.086943 102.418543) + (xy 52.973228 102.476484) + (xy 52.882984 102.566728) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 46.101 102.8065) + (xy 46.101 102.235) + (xy 63.409578 102.235) + (xy 63.429543 102.361056) + (xy 63.437186 102.376056) + (xy 63.487484 102.474771) + (xy 63.577729 102.565016) + (xy 63.691443 102.622956) + (xy 63.691445 102.622957) + (xy 63.8175 102.642922) + (xy 63.943555 102.622957) + (xy 63.988611 102.6) + (xy 87.792078 102.6) + (xy 87.812043 102.726056) + (xy 87.869984 102.839771) + (xy 87.960229 102.930016) + (xy 88.073943 102.987956) + (xy 88.073945 102.987957) + (xy 88.2 103.007922) + (xy 88.326055 102.987957) + (xy 88.439771 102.930016) + (xy 88.530016 102.839771) + (xy 88.587957 102.726055) + (xy 88.607922 102.6) + (xy 88.587957 102.473945) + (xy 88.530016 102.360229) + (xy 88.469787 102.3) + (xy 95.492329 102.3) + (xy 95.511331 102.444337) + (xy 95.523938 102.474771) + (xy 95.567043 102.578836) + (xy 95.567044 102.578838) + (xy 95.567045 102.578839) + (xy 95.655666 102.694333) + (xy 95.77116 102.782954) + (xy 95.771164 102.782957) + (xy 95.905664 102.838669) + (xy 96.05 102.857671) + (xy 96.194336 102.838669) + (xy 96.328836 102.782957) + (xy 96.444333 102.694333) + (xy 96.532957 102.578836) + (xy 96.565612 102.5) + (xy 99.142078 102.5) + (xy 99.162043 102.626056) + (xy 99.189755 102.680443) + (xy 99.219984 102.739771) + (xy 99.310229 102.830016) + (xy 99.423943 102.887956) + (xy 99.423945 102.887957) + (xy 99.55 102.907922) + (xy 99.676055 102.887957) + (xy 99.789771 102.830016) + (xy 99.880016 102.739771) + (xy 99.937957 102.626055) + (xy 99.942084 102.6) + (xy 103.292443 102.6) + (xy 103.310977 102.728911) + (xy 103.365078 102.847373) + (xy 103.36508 102.847376) + (xy 103.450366 102.945801) + (xy 103.559926 103.016209) + (xy 103.684883 103.0529) + (xy 103.815117 103.0529) + (xy 103.940073 103.016209) + (xy 103.940073 103.016208) + (xy 103.940076 103.016208) + (xy 104.049636 102.945799) + (xy 104.134921 102.847374) + (xy 104.189023 102.728909) + (xy 104.207557 102.6) + (xy 104.189023 102.471091) + (xy 104.177473 102.445801) + (xy 104.134921 102.352626) + (xy 104.134919 102.352623) + (xy 104.049633 102.254198) + (xy 104.043101 102.25) + (xy 122.192078 102.25) + (xy 122.212043 102.376056) + (xy 122.260466 102.471091) + (xy 122.269984 102.489771) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.930016 102.489771) + (xy 122.987957 102.376055) + (xy 123.007922 102.25) + (xy 122.987957 102.123945) + (xy 122.975756 102.1) + (xy 125.992443 102.1) + (xy 126.010977 102.228911) + (xy 126.065078 102.347373) + (xy 126.06508 102.347376) + (xy 126.109447 102.398578) + (xy 126.149096 102.444336) + (xy 126.150366 102.445801) + (xy 126.259926 102.516209) + (xy 126.384883 102.5529) + (xy 126.515117 102.5529) + (xy 126.640073 102.516209) + (xy 126.640073 102.516208) + (xy 126.640076 102.516208) + (xy 126.749636 102.445799) + (xy 126.834921 102.347374) + (xy 126.889023 102.228909) + (xy 126.907557 102.1) + (xy 126.889023 101.971091) + (xy 126.859144 101.905667) + (xy 126.834921 101.852626) + (xy 126.834919 101.852623) + (xy 126.778885 101.787956) + (xy 126.749636 101.754201) + (xy 126.749635 101.7542) + (xy 126.749633 101.754198) + (xy 126.640073 101.68379) + (xy 126.515117 101.6471) + (xy 126.384883 101.6471) + (xy 126.259926 101.68379) + (xy 126.150366 101.754198) + (xy 126.06508 101.852623) + (xy 126.065078 101.852626) + (xy 126.010977 101.971088) + (xy 125.992443 102.1) + (xy 122.975756 102.1) + (xy 122.930016 102.010229) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.360228 101.919984) + (xy 122.269984 102.010228) + (xy 122.212043 102.123943) + (xy 122.192078 102.249999) + (xy 122.192078 102.25) + (xy 104.043101 102.25) + (xy 103.940073 102.18379) + (xy 103.815117 102.1471) + (xy 103.684883 102.1471) + (xy 103.559926 102.18379) + (xy 103.450366 102.254198) + (xy 103.36508 102.352623) + (xy 103.365078 102.352626) + (xy 103.310977 102.471088) + (xy 103.292443 102.6) + (xy 99.942084 102.6) + (xy 99.957922 102.5) + (xy 99.937957 102.373945) + (xy 99.880016 102.260229) + (xy 99.789771 102.169984) + (xy 99.676055 102.112043) + (xy 99.676057 102.112043) + (xy 99.55 102.092078) + (xy 99.423943 102.112043) + (xy 99.310228 102.169984) + (xy 99.219984 102.260228) + (xy 99.162043 102.373943) + (xy 99.142078 102.499999) + (xy 99.142078 102.5) + (xy 96.565612 102.5) + (xy 96.588669 102.444336) + (xy 96.607671 102.3) + (xy 96.588669 102.155664) + (xy 96.532957 102.021165) + (xy 96.513495 101.995801) + (xy 96.444333 101.905666) + (xy 96.436949 101.9) + (xy 98.342078 101.9) + (xy 98.362043 102.026056) + (xy 98.382502 102.066208) + (xy 98.419984 102.139771) + (xy 98.510229 102.230016) + (xy 98.623943 102.287956) + (xy 98.623945 102.287957) + (xy 98.75 102.307922) + (xy 98.876055 102.287957) + (xy 98.989771 102.230016) + (xy 99.080016 102.139771) + (xy 99.137957 102.026055) + (xy 99.157922 101.9) + (xy 99.157506 101.897376) + (xy 99.15191 101.862043) + (xy 99.137957 101.773945) + (xy 99.080016 101.660229) + (xy 98.989771 101.569984) + (xy 98.876055 101.512043) + (xy 98.876057 101.512043) + (xy 98.75 101.492078) + (xy 98.623943 101.512043) + (xy 98.510228 101.569984) + (xy 98.419984 101.660228) + (xy 98.362043 101.773943) + (xy 98.342078 101.899999) + (xy 98.342078 101.9) + (xy 96.436949 101.9) + (xy 96.328839 101.817045) + (xy 96.328838 101.817044) + (xy 96.328836 101.817043) + (xy 96.224784 101.773943) + (xy 96.194337 101.761331) + (xy 96.05 101.742329) + (xy 95.905662 101.761331) + (xy 95.818449 101.797457) + (xy 95.773179 101.816209) + (xy 95.771163 101.817044) + (xy 95.771162 101.817044) + (xy 95.655666 101.905666) + (xy 95.567044 102.021162) + (xy 95.567044 102.021163) + (xy 95.567043 102.021164) + (xy 95.567043 102.021165) + (xy 95.565017 102.026056) + (xy 95.511331 102.155662) + (xy 95.492329 102.3) + (xy 88.469787 102.3) + (xy 88.439771 102.269984) + (xy 88.326055 102.212043) + (xy 88.326057 102.212043) + (xy 88.2 102.192078) + (xy 88.073943 102.212043) + (xy 87.960228 102.269984) + (xy 87.869984 102.360228) + (xy 87.812043 102.473943) + (xy 87.792078 102.599999) + (xy 87.792078 102.6) + (xy 63.988611 102.6) + (xy 64.057271 102.565016) + (xy 64.147516 102.474771) + (xy 64.205457 102.361055) + (xy 64.225422 102.235) + (xy 64.224632 102.230015) + (xy 64.218624 102.192078) + (xy 64.205457 102.108945) + (xy 64.147516 101.995229) + (xy 64.057271 101.904984) + (xy 63.943555 101.847043) + (xy 63.943557 101.847043) + (xy 63.8175 101.827078) + (xy 63.691443 101.847043) + (xy 63.577728 101.904984) + (xy 63.487484 101.995228) + (xy 63.429543 102.108943) + (xy 63.409578 102.234999) + (xy 63.409578 102.235) + (xy 46.101 102.235) + (xy 46.101 101.4095) + (xy 62.393578 101.4095) + (xy 62.413543 101.535556) + (xy 62.470378 101.6471) + (xy 62.471484 101.649271) + (xy 62.561729 101.739516) + (xy 62.675443 101.797456) + (xy 62.675445 101.797457) + (xy 62.8015 101.817422) + (xy 62.927555 101.797457) + (xy 63.041271 101.739516) + (xy 63.131516 101.649271) + (xy 63.189457 101.535555) + (xy 63.209422 101.4095) + (xy 63.189457 101.283445) + (xy 63.156621 101.219) + (xy 64.298578 101.219) + (xy 64.318543 101.345056) + (xy 64.346538 101.399999) + (xy 64.376484 101.458771) + (xy 64.466729 101.549016) + (xy 64.580443 101.606956) + (xy 64.580445 101.606957) + (xy 64.7065 101.626922) + (xy 64.832555 101.606957) + (xy 64.946271 101.549016) + (xy 65.036516 101.458771) + (xy 65.066461 101.4) + (xy 84.842078 101.4) + (xy 84.862043 101.526056) + (xy 84.888973 101.578909) + (xy 84.919984 101.639771) + (xy 85.010229 101.730016) + (xy 85.123943 101.787956) + (xy 85.123945 101.787957) + (xy 85.25 101.807922) + (xy 85.376055 101.787957) + (xy 85.489771 101.730016) + (xy 85.580016 101.639771) + (xy 85.637957 101.526055) + (xy 85.657922 101.4) + (xy 87.092078 101.4) + (xy 87.112043 101.526056) + (xy 87.138973 101.578909) + (xy 87.169984 101.639771) + (xy 87.260229 101.730016) + (xy 87.373943 101.787956) + (xy 87.373945 101.787957) + (xy 87.5 101.807922) + (xy 87.626055 101.787957) + (xy 87.739771 101.730016) + (xy 87.830016 101.639771) + (xy 87.887957 101.526055) + (xy 87.900003 101.45) + (xy 100.092443 101.45) + (xy 100.110977 101.578911) + (xy 100.165078 101.697373) + (xy 100.16508 101.697376) + (xy 100.250366 101.795801) + (xy 100.359926 101.866209) + (xy 100.484883 101.9029) + (xy 100.615117 101.9029) + (xy 100.740073 101.866209) + (xy 100.740073 101.866208) + (xy 100.740076 101.866208) + (xy 100.849636 101.795799) + (xy 100.934921 101.697374) + (xy 100.989023 101.578909) + (xy 101.007557 101.45) + (xy 101.000368 101.4) + (xy 102.392443 101.4) + (xy 102.410977 101.528911) + (xy 102.465078 101.647373) + (xy 102.46508 101.647376) + (xy 102.550366 101.745801) + (xy 102.603607 101.780016) + (xy 102.630746 101.797457) + (xy 102.659926 101.816209) + (xy 102.784883 101.8529) + (xy 102.915117 101.8529) + (xy 103.040073 101.816209) + (xy 103.040073 101.816208) + (xy 103.040076 101.816208) + (xy 103.149636 101.745799) + (xy 103.232646 101.65) + (xy 104.292443 101.65) + (xy 104.310977 101.778911) + (xy 104.365078 101.897373) + (xy 104.36508 101.897376) + (xy 104.450366 101.995801) + (xy 104.559926 102.066209) + (xy 104.684883 102.1029) + (xy 104.815117 102.1029) + (xy 104.940073 102.066209) + (xy 104.940073 102.066208) + (xy 104.940076 102.066208) + (xy 105.049636 101.995799) + (xy 105.134921 101.897374) + (xy 105.189023 101.778909) + (xy 105.207557 101.65) + (xy 105.189023 101.521091) + (xy 105.156556 101.45) + (xy 113.642078 101.45) + (xy 113.662043 101.576056) + (xy 113.677788 101.606957) + (xy 113.719984 101.689771) + (xy 113.810229 101.780016) + (xy 113.923943 101.837956) + (xy 113.923945 101.837957) + (xy 114.05 101.857922) + (xy 114.176055 101.837957) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.437957 101.576055) + (xy 114.457922 101.45) + (xy 122.842078 101.45) + (xy 122.862043 101.576056) + (xy 122.877788 101.606957) + (xy 122.919984 101.689771) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.580016 101.689771) + (xy 123.637957 101.576055) + (xy 123.657922 101.45) + (xy 123.637957 101.323945) + (xy 123.580016 101.210229) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.010228 101.119984) + (xy 122.919984 101.210228) + (xy 122.862043 101.323943) + (xy 122.842078 101.449999) + (xy 122.842078 101.45) + (xy 114.457922 101.45) + (xy 114.437957 101.323945) + (xy 114.380016 101.210229) + (xy 114.289771 101.119984) + (xy 114.176055 101.062043) + (xy 114.176057 101.062043) + (xy 114.05 101.042078) + (xy 113.923943 101.062043) + (xy 113.810228 101.119984) + (xy 113.719984 101.210228) + (xy 113.662043 101.323943) + (xy 113.642078 101.449999) + (xy 113.642078 101.45) + (xy 105.156556 101.45) + (xy 105.134921 101.402626) + (xy 105.134919 101.402623) + (xy 105.064271 101.321091) + (xy 105.049636 101.304201) + (xy 105.049635 101.3042) + (xy 105.049633 101.304198) + (xy 104.940073 101.23379) + (xy 104.815117 101.1971) + (xy 104.684883 101.1971) + (xy 104.559926 101.23379) + (xy 104.450366 101.304198) + (xy 104.36508 101.402623) + (xy 104.365078 101.402626) + (xy 104.310977 101.521088) + (xy 104.292443 101.65) + (xy 103.232646 101.65) + (xy 103.234921 101.647374) + (xy 103.289023 101.528909) + (xy 103.307557 101.4) + (xy 103.289023 101.271091) + (xy 103.265233 101.219) + (xy 103.234921 101.152626) + (xy 103.234919 101.152623) + (xy 103.149633 101.054198) + (xy 103.040073 100.98379) + (xy 102.915117 100.9471) + (xy 102.784883 100.9471) + (xy 102.659926 100.98379) + (xy 102.550366 101.054198) + (xy 102.46508 101.152623) + (xy 102.465078 101.152626) + (xy 102.410977 101.271088) + (xy 102.392443 101.4) + (xy 101.000368 101.4) + (xy 100.989023 101.321091) + (xy 100.981309 101.304201) + (xy 100.934921 101.202626) + (xy 100.934919 101.202623) + (xy 100.849633 101.104198) + (xy 100.740073 101.03379) + (xy 100.615117 100.9971) + (xy 100.484883 100.9971) + (xy 100.359926 101.03379) + (xy 100.250366 101.104198) + (xy 100.16508 101.202623) + (xy 100.165078 101.202626) + (xy 100.110977 101.321088) + (xy 100.092443 101.45) + (xy 87.900003 101.45) + (xy 87.907922 101.4) + (xy 87.887957 101.273945) + (xy 87.830016 101.160229) + (xy 87.739771 101.069984) + (xy 87.626055 101.012043) + (xy 87.626057 101.012043) + (xy 87.5 100.992078) + (xy 87.373943 101.012043) + (xy 87.260228 101.069984) + (xy 87.169984 101.160228) + (xy 87.112043 101.273943) + (xy 87.092078 101.399999) + (xy 87.092078 101.4) + (xy 85.657922 101.4) + (xy 85.637957 101.273945) + (xy 85.580016 101.160229) + (xy 85.489771 101.069984) + (xy 85.376055 101.012043) + (xy 85.376057 101.012043) + (xy 85.25 100.992078) + (xy 85.123943 101.012043) + (xy 85.010228 101.069984) + (xy 84.919984 101.160228) + (xy 84.862043 101.273943) + (xy 84.842078 101.399999) + (xy 84.842078 101.4) + (xy 65.066461 101.4) + (xy 65.094457 101.345055) + (xy 65.114422 101.219) + (xy 65.094457 101.092945) + (xy 65.036516 100.979229) + (xy 64.946271 100.888984) + (xy 64.832555 100.831043) + (xy 64.832557 100.831043) + (xy 64.7065 100.811078) + (xy 64.580443 100.831043) + (xy 64.466728 100.888984) + (xy 64.376484 100.979228) + (xy 64.318543 101.092943) + (xy 64.298578 101.218999) + (xy 64.298578 101.219) + (xy 63.156621 101.219) + (xy 63.131516 101.169729) + (xy 63.041271 101.079484) + (xy 62.927555 101.021543) + (xy 62.927557 101.021543) + (xy 62.8015 101.001578) + (xy 62.675443 101.021543) + (xy 62.561728 101.079484) + (xy 62.471484 101.169728) + (xy 62.413543 101.283443) + (xy 62.393578 101.409499) + (xy 62.393578 101.4095) + (xy 46.101 101.4095) + (xy 46.101 100.65) + (xy 122.192078 100.65) + (xy 122.212043 100.776056) + (xy 122.269583 100.888984) + (xy 122.269984 100.889771) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.930016 100.889771) + (xy 122.987957 100.776055) + (xy 123.007922 100.65) + (xy 122.987957 100.523945) + (xy 122.930016 100.410229) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.360228 100.319984) + (xy 122.269984 100.410228) + (xy 122.212043 100.523943) + (xy 122.192078 100.649999) + (xy 122.192078 100.65) + (xy 46.101 100.65) + (xy 46.101 100.0125) + (xy 61.695078 100.0125) + (xy 61.715043 100.138556) + (xy 61.76569 100.237956) + (xy 61.772984 100.252271) + (xy 61.863229 100.342516) + (xy 61.976943 100.400456) + (xy 61.976945 100.400457) + (xy 62.103 100.420422) + (xy 62.229055 100.400457) + (xy 62.342771 100.342516) + (xy 62.433016 100.252271) + (xy 62.490957 100.138555) + (xy 62.510922 100.0125) + (xy 62.490957 99.886445) + (xy 62.433016 99.772729) + (xy 62.342771 99.682484) + (xy 62.229055 99.624543) + (xy 62.229057 99.624543) + (xy 62.103 99.604578) + (xy 61.976943 99.624543) + (xy 61.863228 99.682484) + (xy 61.772984 99.772728) + (xy 61.715043 99.886443) + (xy 61.695078 100.012499) + (xy 61.695078 100.0125) + (xy 46.101 100.0125) + (xy 46.101 99.45) + (xy 82.542078 99.45) + (xy 82.562043 99.576056) + (xy 82.594508 99.639771) + (xy 82.619984 99.689771) + (xy 82.710229 99.780016) + (xy 82.823943 99.837956) + (xy 82.823945 99.837957) + (xy 82.95 99.857922) + (xy 83.076055 99.837957) + (xy 83.189771 99.780016) + (xy 83.280016 99.689771) + (xy 83.337957 99.576055) + (xy 83.357922 99.45) + (xy 83.356014 99.437956) + (xy 83.350003 99.399999) + (xy 83.342084 99.35) + (xy 84.842078 99.35) + (xy 84.862043 99.476056) + (xy 84.909902 99.569984) + (xy 84.919984 99.589771) + (xy 85.010229 99.680016) + (xy 85.123943 99.737956) + (xy 85.123945 99.737957) + (xy 85.25 99.757922) + (xy 85.376055 99.737957) + (xy 85.489771 99.680016) + (xy 85.580016 99.589771) + (xy 85.637957 99.476055) + (xy 85.657922 99.35) + (xy 87.092078 99.35) + (xy 87.112043 99.476056) + (xy 87.159902 99.569984) + (xy 87.169984 99.589771) + (xy 87.260229 99.680016) + (xy 87.373943 99.737956) + (xy 87.373945 99.737957) + (xy 87.5 99.757922) + (xy 87.626055 99.737957) + (xy 87.739771 99.680016) + (xy 87.769787 99.65) + (xy 88.092078 99.65) + (xy 88.112043 99.776056) + (xy 88.149719 99.849999) + (xy 88.169984 99.889771) + (xy 88.260229 99.980016) + (xy 88.373943 100.037956) + (xy 88.373945 100.037957) + (xy 88.5 100.057922) + (xy 88.626055 100.037957) + (xy 88.739771 99.980016) + (xy 88.819787 99.9) + (xy 99.242078 99.9) + (xy 99.262043 100.026056) + (xy 99.268107 100.037957) + (xy 99.319984 100.139771) + (xy 99.410229 100.230016) + (xy 99.523943 100.287956) + (xy 99.523945 100.287957) + (xy 99.65 100.307922) + (xy 99.776055 100.287957) + (xy 99.889771 100.230016) + (xy 99.980016 100.139771) + (xy 100.037957 100.026055) + (xy 100.057922 99.9) + (xy 100.050003 99.85) + (xy 114.292078 99.85) + (xy 114.312043 99.976056) + (xy 114.353756 100.057922) + (xy 114.369984 100.089771) + (xy 114.460229 100.180016) + (xy 114.573943 100.237956) + (xy 114.573945 100.237957) + (xy 114.7 100.257922) + (xy 114.826055 100.237957) + (xy 114.939771 100.180016) + (xy 115.030016 100.089771) + (xy 115.087957 99.976055) + (xy 115.107922 99.85) + (xy 122.842078 99.85) + (xy 122.862043 99.976056) + (xy 122.903756 100.057922) + (xy 122.919984 100.089771) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.580016 100.089771) + (xy 123.637957 99.976055) + (xy 123.657922 99.85) + (xy 123.656014 99.837956) + (xy 123.654164 99.826276) + (xy 123.637957 99.723945) + (xy 123.580016 99.610229) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.010228 99.519984) + (xy 122.919984 99.610228) + (xy 122.862043 99.723943) + (xy 122.842078 99.849999) + (xy 122.842078 99.85) + (xy 115.107922 99.85) + (xy 115.106014 99.837956) + (xy 115.104164 99.826276) + (xy 115.087957 99.723945) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.826057 99.462043) + (xy 114.7 99.442078) + (xy 114.573943 99.462043) + (xy 114.460228 99.519984) + (xy 114.369984 99.610228) + (xy 114.312043 99.723943) + (xy 114.292078 99.849999) + (xy 114.292078 99.85) + (xy 100.050003 99.85) + (xy 100.037957 99.773945) + (xy 99.980016 99.660229) + (xy 99.889771 99.569984) + (xy 99.776055 99.512043) + (xy 99.776057 99.512043) + (xy 99.65 99.492078) + (xy 99.523943 99.512043) + (xy 99.410228 99.569984) + (xy 99.319984 99.660228) + (xy 99.262043 99.773943) + (xy 99.242078 99.899999) + (xy 99.242078 99.9) + (xy 88.819787 99.9) + (xy 88.830016 99.889771) + (xy 88.887957 99.776055) + (xy 88.907922 99.65) + (xy 88.887957 99.523945) + (xy 88.830016 99.410229) + (xy 88.819787 99.4) + (xy 102.442078 99.4) + (xy 102.462043 99.526056) + (xy 102.494508 99.589771) + (xy 102.519984 99.639771) + (xy 102.610229 99.730016) + (xy 102.723943 99.787956) + (xy 102.723945 99.787957) + (xy 102.85 99.807922) + (xy 102.976055 99.787957) + (xy 103.089771 99.730016) + (xy 103.180016 99.639771) + (xy 103.237957 99.526055) + (xy 103.257922 99.4) + (xy 103.237957 99.273945) + (xy 103.180016 99.160229) + (xy 103.089771 99.069984) + (xy 103.05055 99.05) + (xy 113.642078 99.05) + (xy 113.662043 99.176056) + (xy 113.679455 99.210228) + (xy 113.719984 99.289771) + (xy 113.810229 99.380016) + (xy 113.923943 99.437956) + (xy 113.923945 99.437957) + (xy 114.05 99.457922) + (xy 114.176055 99.437957) + (xy 114.289771 99.380016) + (xy 114.380016 99.289771) + (xy 114.437957 99.176055) + (xy 114.457922 99.05) + (xy 122.192078 99.05) + (xy 122.212043 99.176056) + (xy 122.229455 99.210228) + (xy 122.269984 99.289771) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.930016 99.289771) + (xy 122.987957 99.176055) + (xy 123.007922 99.05) + (xy 122.987957 98.923945) + (xy 122.930016 98.810229) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.360228 98.719984) + (xy 122.269984 98.810228) + (xy 122.212043 98.923943) + (xy 122.192078 99.049999) + (xy 122.192078 99.05) + (xy 114.457922 99.05) + (xy 114.437957 98.923945) + (xy 114.380016 98.810229) + (xy 114.289771 98.719984) + (xy 114.176055 98.662043) + (xy 114.176057 98.662043) + (xy 114.05 98.642078) + (xy 113.923943 98.662043) + (xy 113.810228 98.719984) + (xy 113.719984 98.810228) + (xy 113.662043 98.923943) + (xy 113.642078 99.049999) + (xy 113.642078 99.05) + (xy 103.05055 99.05) + (xy 102.976055 99.012043) + (xy 102.976057 99.012043) + (xy 102.85 98.992078) + (xy 102.723943 99.012043) + (xy 102.610228 99.069984) + (xy 102.519984 99.160228) + (xy 102.462043 99.273943) + (xy 102.442078 99.399999) + (xy 102.442078 99.4) + (xy 88.819787 99.4) + (xy 88.739771 99.319984) + (xy 88.626055 99.262043) + (xy 88.626057 99.262043) + (xy 88.5 99.242078) + (xy 88.373943 99.262043) + (xy 88.260228 99.319984) + (xy 88.169984 99.410228) + (xy 88.112043 99.523943) + (xy 88.092078 99.649999) + (xy 88.092078 99.65) + (xy 87.769787 99.65) + (xy 87.830016 99.589771) + (xy 87.887957 99.476055) + (xy 87.907922 99.35) + (xy 87.887957 99.223945) + (xy 87.830016 99.110229) + (xy 87.739771 99.019984) + (xy 87.626055 98.962043) + (xy 87.626057 98.962043) + (xy 87.5 98.942078) + (xy 87.373943 98.962043) + (xy 87.260228 99.019984) + (xy 87.169984 99.110228) + (xy 87.112043 99.223943) + (xy 87.092078 99.349999) + (xy 87.092078 99.35) + (xy 85.657922 99.35) + (xy 85.637957 99.223945) + (xy 85.580016 99.110229) + (xy 85.489771 99.019984) + (xy 85.376055 98.962043) + (xy 85.376057 98.962043) + (xy 85.25 98.942078) + (xy 85.123943 98.962043) + (xy 85.010228 99.019984) + (xy 84.919984 99.110228) + (xy 84.862043 99.223943) + (xy 84.842078 99.349999) + (xy 84.842078 99.35) + (xy 83.342084 99.35) + (xy 83.337957 99.323945) + (xy 83.280016 99.210229) + (xy 83.189771 99.119984) + (xy 83.076055 99.062043) + (xy 83.076057 99.062043) + (xy 82.95 99.042078) + (xy 82.823943 99.062043) + (xy 82.710228 99.119984) + (xy 82.619984 99.210228) + (xy 82.562043 99.323943) + (xy 82.542078 99.449999) + (xy 82.542078 99.45) + (xy 46.101 99.45) + (xy 46.101 98.6) + (xy 83.092078 98.6) + (xy 83.112043 98.726056) + (xy 83.153756 98.807922) + (xy 83.169984 98.839771) + (xy 83.260229 98.930016) + (xy 83.373943 98.987956) + (xy 83.373945 98.987957) + (xy 83.5 99.007922) + (xy 83.626055 98.987957) + (xy 83.739771 98.930016) + (xy 83.769787 98.9) + (xy 100.142078 98.9) + (xy 100.162043 99.026056) + (xy 100.184426 99.069984) + (xy 100.219984 99.139771) + (xy 100.310229 99.230016) + (xy 100.423943 99.287956) + (xy 100.423945 99.287957) + (xy 100.55 99.307922) + (xy 100.676055 99.287957) + (xy 100.789771 99.230016) + (xy 100.880016 99.139771) + (xy 100.937957 99.026055) + (xy 100.957922 98.9) + (xy 100.937957 98.773945) + (xy 100.880016 98.660229) + (xy 100.789771 98.569984) + (xy 100.676055 98.512043) + (xy 100.676057 98.512043) + (xy 100.55 98.492078) + (xy 100.423943 98.512043) + (xy 100.310228 98.569984) + (xy 100.219984 98.660228) + (xy 100.162043 98.773943) + (xy 100.142078 98.899999) + (xy 100.142078 98.9) + (xy 83.769787 98.9) + (xy 83.830016 98.839771) + (xy 83.887957 98.726055) + (xy 83.907922 98.6) + (xy 83.887957 98.473945) + (xy 83.85028 98.4) + (xy 88.042078 98.4) + (xy 88.062043 98.526056) + (xy 88.084426 98.569984) + (xy 88.119984 98.639771) + (xy 88.210229 98.730016) + (xy 88.323943 98.787956) + (xy 88.323945 98.787957) + (xy 88.45 98.807922) + (xy 88.576055 98.787957) + (xy 88.689771 98.730016) + (xy 88.780016 98.639771) + (xy 88.837957 98.526055) + (xy 88.857922 98.4) + (xy 102.442078 98.4) + (xy 102.462043 98.526056) + (xy 102.484426 98.569984) + (xy 102.519984 98.639771) + (xy 102.610229 98.730016) + (xy 102.723943 98.787956) + (xy 102.723945 98.787957) + (xy 102.85 98.807922) + (xy 102.976055 98.787957) + (xy 103.089771 98.730016) + (xy 103.180016 98.639771) + (xy 103.237957 98.526055) + (xy 103.257922 98.4) + (xy 103.256014 98.387956) + (xy 103.251623 98.360228) + (xy 103.237957 98.273945) + (xy 103.180016 98.160229) + (xy 103.089771 98.069984) + (xy 102.976055 98.012043) + (xy 102.976057 98.012043) + (xy 102.900019 98) + (xy 106.542078 98) + (xy 106.562043 98.126056) + (xy 106.595683 98.192078) + (xy 106.619984 98.239771) + (xy 106.710229 98.330016) + (xy 106.823943 98.387956) + (xy 106.823945 98.387957) + (xy 106.95 98.407922) + (xy 107.076055 98.387957) + (xy 107.189771 98.330016) + (xy 107.269787 98.25) + (xy 114.292078 98.25) + (xy 114.312043 98.376056) + (xy 114.32828 98.407922) + (xy 114.369984 98.489771) + (xy 114.460229 98.580016) + (xy 114.573943 98.637956) + (xy 114.573945 98.637957) + (xy 114.7 98.657922) + (xy 114.826055 98.637957) + (xy 114.939771 98.580016) + (xy 115.030016 98.489771) + (xy 115.087957 98.376055) + (xy 115.107922 98.25) + (xy 122.842078 98.25) + (xy 122.862043 98.376056) + (xy 122.87828 98.407922) + (xy 122.919984 98.489771) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.580016 98.489771) + (xy 123.637957 98.376055) + (xy 123.657922 98.25) + (xy 123.637957 98.123945) + (xy 123.580016 98.010229) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.010228 97.919984) + (xy 122.919984 98.010228) + (xy 122.862043 98.123943) + (xy 122.842078 98.249999) + (xy 122.842078 98.25) + (xy 115.107922 98.25) + (xy 115.087957 98.123945) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.826055 97.862043) + (xy 114.826057 97.862043) + (xy 114.7 97.842078) + (xy 114.573943 97.862043) + (xy 114.460228 97.919984) + (xy 114.369984 98.010228) + (xy 114.312043 98.123943) + (xy 114.292078 98.249999) + (xy 114.292078 98.25) + (xy 107.269787 98.25) + (xy 107.280016 98.239771) + (xy 107.337957 98.126055) + (xy 107.357922 98) + (xy 107.337957 97.873945) + (xy 107.280016 97.760229) + (xy 107.189771 97.669984) + (xy 107.076055 97.612043) + (xy 107.076057 97.612043) + (xy 106.95 97.592078) + (xy 106.823943 97.612043) + (xy 106.710228 97.669984) + (xy 106.619984 97.760228) + (xy 106.562043 97.873943) + (xy 106.542078 97.999999) + (xy 106.542078 98) + (xy 102.900019 98) + (xy 102.85 97.992078) + (xy 102.723943 98.012043) + (xy 102.610228 98.069984) + (xy 102.519984 98.160228) + (xy 102.462043 98.273943) + (xy 102.442078 98.399999) + (xy 102.442078 98.4) + (xy 88.857922 98.4) + (xy 88.856014 98.387956) + (xy 88.851623 98.360228) + (xy 88.837957 98.273945) + (xy 88.780016 98.160229) + (xy 88.689771 98.069984) + (xy 88.576055 98.012043) + (xy 88.576057 98.012043) + (xy 88.45 97.992078) + (xy 88.323943 98.012043) + (xy 88.210228 98.069984) + (xy 88.119984 98.160228) + (xy 88.062043 98.273943) + (xy 88.042078 98.399999) + (xy 88.042078 98.4) + (xy 83.85028 98.4) + (xy 83.830016 98.360229) + (xy 83.739771 98.269984) + (xy 83.626055 98.212043) + (xy 83.626057 98.212043) + (xy 83.5 98.192078) + (xy 83.373943 98.212043) + (xy 83.260228 98.269984) + (xy 83.169984 98.360228) + (xy 83.112043 98.473943) + (xy 83.092078 98.599999) + (xy 83.092078 98.6) + (xy 46.101 98.6) + (xy 46.101 97.8535) + (xy 57.885078 97.8535) + (xy 57.905043 97.979556) + (xy 57.920672 98.010229) + (xy 57.962984 98.093271) + (xy 58.053229 98.183516) + (xy 58.166943 98.241456) + (xy 58.166945 98.241457) + (xy 58.293 98.261422) + (xy 58.419055 98.241457) + (xy 58.532771 98.183516) + (xy 58.623016 98.093271) + (xy 58.680957 97.979555) + (xy 58.700922 97.8535) + (xy 59.155078 97.8535) + (xy 59.175043 97.979556) + (xy 59.190672 98.010229) + (xy 59.232984 98.093271) + (xy 59.323229 98.183516) + (xy 59.436943 98.241456) + (xy 59.436945 98.241457) + (xy 59.563 98.261422) + (xy 59.689055 98.241457) + (xy 59.802771 98.183516) + (xy 59.893016 98.093271) + (xy 59.950957 97.979555) + (xy 59.963557 97.9) + (xy 100.142078 97.9) + (xy 100.162043 98.026056) + (xy 100.184426 98.069984) + (xy 100.219984 98.139771) + (xy 100.310229 98.230016) + (xy 100.423943 98.287956) + (xy 100.423945 98.287957) + (xy 100.55 98.307922) + (xy 100.676055 98.287957) + (xy 100.789771 98.230016) + (xy 100.880016 98.139771) + (xy 100.937957 98.026055) + (xy 100.957922 97.9) + (xy 100.937957 97.773945) + (xy 100.880016 97.660229) + (xy 100.789771 97.569984) + (xy 100.676055 97.512043) + (xy 100.676057 97.512043) + (xy 100.55 97.492078) + (xy 100.423943 97.512043) + (xy 100.310228 97.569984) + (xy 100.219984 97.660228) + (xy 100.162043 97.773943) + (xy 100.142078 97.899999) + (xy 100.142078 97.9) + (xy 59.963557 97.9) + (xy 59.970922 97.8535) + (xy 59.950957 97.727445) + (xy 59.893016 97.613729) + (xy 59.802771 97.523484) + (xy 59.689055 97.465543) + (xy 59.689057 97.465543) + (xy 59.563 97.445578) + (xy 59.436943 97.465543) + (xy 59.323228 97.523484) + (xy 59.232984 97.613728) + (xy 59.175043 97.727443) + (xy 59.155078 97.853499) + (xy 59.155078 97.8535) + (xy 58.700922 97.8535) + (xy 58.680957 97.727445) + (xy 58.623016 97.613729) + (xy 58.532771 97.523484) + (xy 58.419055 97.465543) + (xy 58.419057 97.465543) + (xy 58.293 97.445578) + (xy 58.166943 97.465543) + (xy 58.053228 97.523484) + (xy 57.962984 97.613728) + (xy 57.905043 97.727443) + (xy 57.885078 97.853499) + (xy 57.885078 97.8535) + (xy 46.101 97.8535) + (xy 46.101 97.4) + (xy 88.042078 97.4) + (xy 88.062043 97.526056) + (xy 88.095683 97.592078) + (xy 88.119984 97.639771) + (xy 88.210229 97.730016) + (xy 88.323943 97.787956) + (xy 88.323945 97.787957) + (xy 88.45 97.807922) + (xy 88.576055 97.787957) + (xy 88.689771 97.730016) + (xy 88.780016 97.639771) + (xy 88.837957 97.526055) + (xy 88.857922 97.4) + (xy 88.856014 97.387956) + (xy 88.854164 97.376276) + (xy 88.837957 97.273945) + (xy 88.80028 97.2) + (xy 96.792078 97.2) + (xy 96.812043 97.326056) + (xy 96.849719 97.399999) + (xy 96.869984 97.439771) + (xy 96.960229 97.530016) + (xy 97.073943 97.587956) + (xy 97.073945 97.587957) + (xy 97.2 97.607922) + (xy 97.326055 97.587957) + (xy 97.439771 97.530016) + (xy 97.530016 97.439771) + (xy 97.55028 97.4) + (xy 102.442078 97.4) + (xy 102.462043 97.526056) + (xy 102.495683 97.592078) + (xy 102.519984 97.639771) + (xy 102.610229 97.730016) + (xy 102.723943 97.787956) + (xy 102.723945 97.787957) + (xy 102.85 97.807922) + (xy 102.976055 97.787957) + (xy 103.089771 97.730016) + (xy 103.180016 97.639771) + (xy 103.237957 97.526055) + (xy 103.257922 97.4) + (xy 103.256014 97.387956) + (xy 103.254164 97.376276) + (xy 103.237957 97.273945) + (xy 103.180016 97.160229) + (xy 103.089771 97.069984) + (xy 102.976055 97.012043) + (xy 102.976057 97.012043) + (xy 102.900019 97) + (xy 105.542078 97) + (xy 105.562043 97.126056) + (xy 105.579455 97.160228) + (xy 105.619984 97.239771) + (xy 105.710229 97.330016) + (xy 105.823943 97.387956) + (xy 105.823945 97.387957) + (xy 105.95 97.407922) + (xy 106.076055 97.387957) + (xy 106.189771 97.330016) + (xy 106.280016 97.239771) + (xy 106.337957 97.126055) + (xy 106.342084 97.1) + (xy 107.142078 97.1) + (xy 107.162043 97.226056) + (xy 107.203756 97.307922) + (xy 107.219984 97.339771) + (xy 107.310229 97.430016) + (xy 107.423943 97.487956) + (xy 107.423945 97.487957) + (xy 107.55 97.507922) + (xy 107.676055 97.487957) + (xy 107.75055 97.45) + (xy 113.642078 97.45) + (xy 113.662043 97.576056) + (xy 113.694508 97.639771) + (xy 113.719984 97.689771) + (xy 113.810229 97.780016) + (xy 113.923943 97.837956) + (xy 113.923945 97.837957) + (xy 114.05 97.857922) + (xy 114.176055 97.837957) + (xy 114.289771 97.780016) + (xy 114.380016 97.689771) + (xy 114.437957 97.576055) + (xy 114.457922 97.45) + (xy 122.192078 97.45) + (xy 122.212043 97.576056) + (xy 122.244508 97.639771) + (xy 122.269984 97.689771) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.930016 97.689771) + (xy 122.987957 97.576055) + (xy 123.007922 97.45) + (xy 122.987957 97.323945) + (xy 122.930016 97.210229) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.360228 97.119984) + (xy 122.269984 97.210228) + (xy 122.212043 97.323943) + (xy 122.192078 97.449999) + (xy 122.192078 97.45) + (xy 114.457922 97.45) + (xy 114.437957 97.323945) + (xy 114.380016 97.210229) + (xy 114.289771 97.119984) + (xy 114.176055 97.062043) + (xy 114.176057 97.062043) + (xy 114.05 97.042078) + (xy 113.923943 97.062043) + (xy 113.810228 97.119984) + (xy 113.719984 97.210228) + (xy 113.662043 97.323943) + (xy 113.642078 97.449999) + (xy 113.642078 97.45) + (xy 107.75055 97.45) + (xy 107.789771 97.430016) + (xy 107.880016 97.339771) + (xy 107.937957 97.226055) + (xy 107.957922 97.1) + (xy 107.937957 96.973945) + (xy 107.880016 96.860229) + (xy 107.789771 96.769984) + (xy 107.676055 96.712043) + (xy 107.676057 96.712043) + (xy 107.55 96.692078) + (xy 107.423943 96.712043) + (xy 107.310228 96.769984) + (xy 107.219984 96.860228) + (xy 107.162043 96.973943) + (xy 107.142078 97.099999) + (xy 107.142078 97.1) + (xy 106.342084 97.1) + (xy 106.357922 97) + (xy 106.337957 96.873945) + (xy 106.280016 96.760229) + (xy 106.189771 96.669984) + (xy 106.15055 96.65) + (xy 114.292078 96.65) + (xy 114.312043 96.776056) + (xy 114.354931 96.860228) + (xy 114.369984 96.889771) + (xy 114.460229 96.980016) + (xy 114.573943 97.037956) + (xy 114.573945 97.037957) + (xy 114.7 97.057922) + (xy 114.826055 97.037957) + (xy 114.939771 96.980016) + (xy 115.030016 96.889771) + (xy 115.087957 96.776055) + (xy 115.107922 96.65) + (xy 122.842078 96.65) + (xy 122.862043 96.776056) + (xy 122.904931 96.860228) + (xy 122.919984 96.889771) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.580016 96.889771) + (xy 123.637957 96.776055) + (xy 123.657922 96.65) + (xy 123.637957 96.523945) + (xy 123.580016 96.410229) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.010228 96.319984) + (xy 122.919984 96.410228) + (xy 122.862043 96.523943) + (xy 122.842078 96.649999) + (xy 122.842078 96.65) + (xy 115.107922 96.65) + (xy 115.087957 96.523945) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.826055 96.262043) + (xy 114.826057 96.262043) + (xy 114.7 96.242078) + (xy 114.573943 96.262043) + (xy 114.460228 96.319984) + (xy 114.369984 96.410228) + (xy 114.312043 96.523943) + (xy 114.292078 96.649999) + (xy 114.292078 96.65) + (xy 106.15055 96.65) + (xy 106.076055 96.612043) + (xy 106.076057 96.612043) + (xy 105.95 96.592078) + (xy 105.823943 96.612043) + (xy 105.710228 96.669984) + (xy 105.619984 96.760228) + (xy 105.562043 96.873943) + (xy 105.542078 96.999999) + (xy 105.542078 97) + (xy 102.900019 97) + (xy 102.85 96.992078) + (xy 102.723943 97.012043) + (xy 102.610228 97.069984) + (xy 102.519984 97.160228) + (xy 102.462043 97.273943) + (xy 102.442078 97.399999) + (xy 102.442078 97.4) + (xy 97.55028 97.4) + (xy 97.587957 97.326055) + (xy 97.607922 97.2) + (xy 97.587957 97.073945) + (xy 97.530016 96.960229) + (xy 97.469787 96.9) + (xy 100.142078 96.9) + (xy 100.162043 97.026056) + (xy 100.184426 97.069984) + (xy 100.219984 97.139771) + (xy 100.310229 97.230016) + (xy 100.423943 97.287956) + (xy 100.423945 97.287957) + (xy 100.55 97.307922) + (xy 100.676055 97.287957) + (xy 100.789771 97.230016) + (xy 100.880016 97.139771) + (xy 100.937957 97.026055) + (xy 100.957922 96.9) + (xy 100.937957 96.773945) + (xy 100.880016 96.660229) + (xy 100.789771 96.569984) + (xy 100.676055 96.512043) + (xy 100.676057 96.512043) + (xy 100.55 96.492078) + (xy 100.423943 96.512043) + (xy 100.310228 96.569984) + (xy 100.219984 96.660228) + (xy 100.162043 96.773943) + (xy 100.142078 96.899999) + (xy 100.142078 96.9) + (xy 97.469787 96.9) + (xy 97.439771 96.869984) + (xy 97.326055 96.812043) + (xy 97.326057 96.812043) + (xy 97.2 96.792078) + (xy 97.073943 96.812043) + (xy 96.960228 96.869984) + (xy 96.869984 96.960228) + (xy 96.812043 97.073943) + (xy 96.792078 97.199999) + (xy 96.792078 97.2) + (xy 88.80028 97.2) + (xy 88.780016 97.160229) + (xy 88.689771 97.069984) + (xy 88.576055 97.012043) + (xy 88.576057 97.012043) + (xy 88.45 96.992078) + (xy 88.323943 97.012043) + (xy 88.210228 97.069984) + (xy 88.119984 97.160228) + (xy 88.062043 97.273943) + (xy 88.042078 97.399999) + (xy 88.042078 97.4) + (xy 46.101 97.4) + (xy 46.101 96.4) + (xy 97.692078 96.4) + (xy 97.712043 96.526056) + (xy 97.734426 96.569984) + (xy 97.769984 96.639771) + (xy 97.860229 96.730016) + (xy 97.973943 96.787956) + (xy 97.973945 96.787957) + (xy 98.1 96.807922) + (xy 98.226055 96.787957) + (xy 98.339771 96.730016) + (xy 98.430016 96.639771) + (xy 98.487957 96.526055) + (xy 98.507922 96.4) + (xy 98.492084 96.3) + (xy 98.742078 96.3) + (xy 98.762043 96.426056) + (xy 98.795683 96.492078) + (xy 98.819984 96.539771) + (xy 98.910229 96.630016) + (xy 99.023943 96.687956) + (xy 99.023945 96.687957) + (xy 99.15 96.707922) + (xy 99.276055 96.687957) + (xy 99.389771 96.630016) + (xy 99.480016 96.539771) + (xy 99.537957 96.426055) + (xy 99.542084 96.4) + (xy 102.442078 96.4) + (xy 102.462043 96.526056) + (xy 102.484426 96.569984) + (xy 102.519984 96.639771) + (xy 102.610229 96.730016) + (xy 102.723943 96.787956) + (xy 102.723945 96.787957) + (xy 102.85 96.807922) + (xy 102.976055 96.787957) + (xy 103.089771 96.730016) + (xy 103.180016 96.639771) + (xy 103.237957 96.526055) + (xy 103.257922 96.4) + (xy 103.237957 96.273945) + (xy 103.180016 96.160229) + (xy 103.089771 96.069984) + (xy 102.976055 96.012043) + (xy 102.976057 96.012043) + (xy 102.85 95.992078) + (xy 102.723943 96.012043) + (xy 102.610228 96.069984) + (xy 102.519984 96.160228) + (xy 102.462043 96.273943) + (xy 102.442078 96.399999) + (xy 102.442078 96.4) + (xy 99.542084 96.4) + (xy 99.557922 96.3) + (xy 99.556014 96.287956) + (xy 99.55191 96.262043) + (xy 99.537957 96.173945) + (xy 99.480016 96.060229) + (xy 99.389771 95.969984) + (xy 99.276055 95.912043) + (xy 99.276057 95.912043) + (xy 99.200019 95.9) + (xy 100.142078 95.9) + (xy 100.162043 96.026056) + (xy 100.190781 96.082457) + (xy 100.219984 96.139771) + (xy 100.310229 96.230016) + (xy 100.423943 96.287956) + (xy 100.423945 96.287957) + (xy 100.55 96.307922) + (xy 100.676055 96.287957) + (xy 100.789771 96.230016) + (xy 100.880016 96.139771) + (xy 100.937957 96.026055) + (xy 100.957922 95.9) + (xy 100.950003 95.85) + (xy 113.642078 95.85) + (xy 113.662043 95.976056) + (xy 113.704931 96.060228) + (xy 113.719984 96.089771) + (xy 113.810229 96.180016) + (xy 113.923943 96.237956) + (xy 113.923945 96.237957) + (xy 114.05 96.257922) + (xy 114.176055 96.237957) + (xy 114.289771 96.180016) + (xy 114.380016 96.089771) + (xy 114.437957 95.976055) + (xy 114.457922 95.85) + (xy 122.192078 95.85) + (xy 122.212043 95.976056) + (xy 122.254931 96.060228) + (xy 122.269984 96.089771) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.930016 96.089771) + (xy 122.987957 95.976055) + (xy 123.007922 95.85) + (xy 122.987957 95.723945) + (xy 122.930016 95.610229) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.360228 95.519984) + (xy 122.269984 95.610228) + (xy 122.212043 95.723943) + (xy 122.192078 95.849999) + (xy 122.192078 95.85) + (xy 114.457922 95.85) + (xy 114.437957 95.723945) + (xy 114.380016 95.610229) + (xy 114.289771 95.519984) + (xy 114.176055 95.462043) + (xy 114.176057 95.462043) + (xy 114.05 95.442078) + (xy 113.923943 95.462043) + (xy 113.810228 95.519984) + (xy 113.719984 95.610228) + (xy 113.662043 95.723943) + (xy 113.642078 95.849999) + (xy 113.642078 95.85) + (xy 100.950003 95.85) + (xy 100.937957 95.773945) + (xy 100.880016 95.660229) + (xy 100.789771 95.569984) + (xy 100.676055 95.512043) + (xy 100.676057 95.512043) + (xy 100.55 95.492078) + (xy 100.423943 95.512043) + (xy 100.310228 95.569984) + (xy 100.219984 95.660228) + (xy 100.162043 95.773943) + (xy 100.142078 95.899999) + (xy 100.142078 95.9) + (xy 99.200019 95.9) + (xy 99.15 95.892078) + (xy 99.023943 95.912043) + (xy 98.910228 95.969984) + (xy 98.819984 96.060228) + (xy 98.762043 96.173943) + (xy 98.742078 96.299999) + (xy 98.742078 96.3) + (xy 98.492084 96.3) + (xy 98.487957 96.273945) + (xy 98.430016 96.160229) + (xy 98.339771 96.069984) + (xy 98.226055 96.012043) + (xy 98.226057 96.012043) + (xy 98.1 95.992078) + (xy 97.973943 96.012043) + (xy 97.860228 96.069984) + (xy 97.769984 96.160228) + (xy 97.712043 96.273943) + (xy 97.692078 96.399999) + (xy 97.692078 96.4) + (xy 46.101 96.4) + (xy 46.101 95.6945) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.884522 95.9) + (xy 77.901984 95.934271) + (xy 77.992229 96.024516) + (xy 78.105943 96.082456) + (xy 78.105945 96.082457) + (xy 78.232 96.102422) + (xy 78.358055 96.082457) + (xy 78.471771 96.024516) + (xy 78.562016 95.934271) + (xy 78.619957 95.820555) + (xy 78.639922 95.6945) + (xy 79.602078 95.6945) + (xy 79.622043 95.820556) + (xy 79.662522 95.9) + (xy 79.679984 95.934271) + (xy 79.770229 96.024516) + (xy 79.883943 96.082456) + (xy 79.883945 96.082457) + (xy 80.01 96.102422) + (xy 80.136055 96.082457) + (xy 80.249771 96.024516) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.742022 95.9) + (xy 80.759484 95.934271) + (xy 80.849729 96.024516) + (xy 80.963443 96.082456) + (xy 80.963445 96.082457) + (xy 81.0895 96.102422) + (xy 81.215555 96.082457) + (xy 81.329271 96.024516) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.497422 95.6945) + (xy 81.482455 95.6) + (xy 88.592078 95.6) + (xy 88.612043 95.726056) + (xy 88.661919 95.823943) + (xy 88.669984 95.839771) + (xy 88.760229 95.930016) + (xy 88.873943 95.987956) + (xy 88.873945 95.987957) + (xy 89 96.007922) + (xy 89.126055 95.987957) + (xy 89.20055 95.95) + (xy 96.292078 95.95) + (xy 96.312043 96.076056) + (xy 96.344508 96.139771) + (xy 96.369984 96.189771) + (xy 96.460229 96.280016) + (xy 96.573943 96.337956) + (xy 96.573945 96.337957) + (xy 96.7 96.357922) + (xy 96.826055 96.337957) + (xy 96.939771 96.280016) + (xy 97.030016 96.189771) + (xy 97.087957 96.076055) + (xy 97.107922 95.95) + (xy 97.087957 95.823945) + (xy 97.030016 95.710229) + (xy 96.939771 95.619984) + (xy 96.826055 95.562043) + (xy 96.826057 95.562043) + (xy 96.7 95.542078) + (xy 96.573943 95.562043) + (xy 96.460228 95.619984) + (xy 96.369984 95.710228) + (xy 96.312043 95.823943) + (xy 96.292078 95.949999) + (xy 96.292078 95.95) + (xy 89.20055 95.95) + (xy 89.239771 95.930016) + (xy 89.330016 95.839771) + (xy 89.387957 95.726055) + (xy 89.407922 95.6) + (xy 89.387957 95.473945) + (xy 89.330016 95.360229) + (xy 89.319787 95.35) + (xy 89.642078 95.35) + (xy 89.662043 95.476056) + (xy 89.695683 95.542078) + (xy 89.719984 95.589771) + (xy 89.810229 95.680016) + (xy 89.923943 95.737956) + (xy 89.923945 95.737957) + (xy 90.05 95.757922) + (xy 90.176055 95.737957) + (xy 90.289771 95.680016) + (xy 90.380016 95.589771) + (xy 90.437957 95.476055) + (xy 90.457922 95.35) + (xy 90.437957 95.223945) + (xy 90.380016 95.110229) + (xy 90.289771 95.019984) + (xy 90.176055 94.962043) + (xy 90.176057 94.962043) + (xy 90.05 94.942078) + (xy 89.923943 94.962043) + (xy 89.810228 95.019984) + (xy 89.719984 95.110228) + (xy 89.662043 95.223943) + (xy 89.642078 95.349999) + (xy 89.642078 95.35) + (xy 89.319787 95.35) + (xy 89.239771 95.269984) + (xy 89.126055 95.212043) + (xy 89.126057 95.212043) + (xy 89 95.192078) + (xy 88.873943 95.212043) + (xy 88.760228 95.269984) + (xy 88.669984 95.360228) + (xy 88.612043 95.473943) + (xy 88.592078 95.599999) + (xy 88.592078 95.6) + (xy 81.482455 95.6) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.417922 95.6945) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694499) + (xy 79.602078 95.6945) + (xy 78.639922 95.6945) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 46.101 95.6945) + (xy 46.101 95.0595) + (xy 57.885078 95.0595) + (xy 57.905043 95.185556) + (xy 57.962984 95.299271) + (xy 58.053229 95.389516) + (xy 58.166943 95.447456) + (xy 58.166945 95.447457) + (xy 58.293 95.467422) + (xy 58.419055 95.447457) + (xy 58.532771 95.389516) + (xy 58.623016 95.299271) + (xy 58.680957 95.185555) + (xy 58.700922 95.0595) + (xy 59.155078 95.0595) + (xy 59.175043 95.185556) + (xy 59.232984 95.299271) + (xy 59.323229 95.389516) + (xy 59.436943 95.447456) + (xy 59.436945 95.447457) + (xy 59.563 95.467422) + (xy 59.689055 95.447457) + (xy 59.802771 95.389516) + (xy 59.893016 95.299271) + (xy 59.950957 95.185555) + (xy 59.970922 95.0595) + (xy 59.950957 94.933445) + (xy 59.918121 94.869) + (xy 63.409578 94.869) + (xy 63.429543 94.995056) + (xy 63.457538 95.049999) + (xy 63.487484 95.108771) + (xy 63.577729 95.199016) + (xy 63.691443 95.256956) + (xy 63.691445 95.256957) + (xy 63.8175 95.276922) + (xy 63.943555 95.256957) + (xy 64.057271 95.199016) + (xy 64.147516 95.108771) + (xy 64.205457 94.995055) + (xy 64.225422 94.869) + (xy 64.222413 94.85) + (xy 90.592078 94.85) + (xy 90.612043 94.976056) + (xy 90.649719 95.049999) + (xy 90.669984 95.089771) + (xy 90.760229 95.180016) + (xy 90.873943 95.237956) + (xy 90.873945 95.237957) + (xy 91 95.257922) + (xy 91.050018 95.25) + (xy 95.542078 95.25) + (xy 95.562043 95.376056) + (xy 95.598424 95.447457) + (xy 95.619984 95.489771) + (xy 95.710229 95.580016) + (xy 95.823943 95.637956) + (xy 95.823945 95.637957) + (xy 95.95 95.657922) + (xy 96.076055 95.637957) + (xy 96.189771 95.580016) + (xy 96.280016 95.489771) + (xy 96.337957 95.376055) + (xy 96.357922 95.25) + (xy 96.356014 95.237956) + (xy 96.347715 95.185555) + (xy 96.337957 95.123945) + (xy 96.280016 95.010229) + (xy 96.269787 95) + (xy 96.542078 95) + (xy 96.562043 95.126056) + (xy 96.59236 95.185556) + (xy 96.619984 95.239771) + (xy 96.710229 95.330016) + (xy 96.823943 95.387956) + (xy 96.823945 95.387957) + (xy 96.95 95.407922) + (xy 97.000018 95.4) + (xy 102.442078 95.4) + (xy 102.462043 95.526056) + (xy 102.484426 95.569984) + (xy 102.519984 95.639771) + (xy 102.610229 95.730016) + (xy 102.723943 95.787956) + (xy 102.723945 95.787957) + (xy 102.85 95.807922) + (xy 102.976055 95.787957) + (xy 103.089771 95.730016) + (xy 103.180016 95.639771) + (xy 103.237957 95.526055) + (xy 103.257922 95.4) + (xy 103.256014 95.387956) + (xy 103.250003 95.349999) + (xy 103.237957 95.273945) + (xy 103.180016 95.160229) + (xy 103.089771 95.069984) + (xy 103.05055 95.05) + (xy 114.292078 95.05) + (xy 114.312043 95.176056) + (xy 114.344508 95.239771) + (xy 114.369984 95.289771) + (xy 114.460229 95.380016) + (xy 114.573943 95.437956) + (xy 114.573945 95.437957) + (xy 114.7 95.457922) + (xy 114.826055 95.437957) + (xy 114.939771 95.380016) + (xy 115.030016 95.289771) + (xy 115.087957 95.176055) + (xy 115.107922 95.05) + (xy 122.842078 95.05) + (xy 122.862043 95.176056) + (xy 122.894508 95.239771) + (xy 122.919984 95.289771) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.580016 95.289771) + (xy 123.637957 95.176055) + (xy 123.657922 95.05) + (xy 123.637957 94.923945) + (xy 123.580016 94.810229) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.010228 94.719984) + (xy 122.919984 94.810228) + (xy 122.862043 94.923943) + (xy 122.842078 95.049999) + (xy 122.842078 95.05) + (xy 115.107922 95.05) + (xy 115.087957 94.923945) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.826055 94.662043) + (xy 114.826057 94.662043) + (xy 114.7 94.642078) + (xy 114.573943 94.662043) + (xy 114.460228 94.719984) + (xy 114.369984 94.810228) + (xy 114.312043 94.923943) + (xy 114.292078 95.049999) + (xy 114.292078 95.05) + (xy 103.05055 95.05) + (xy 102.976055 95.012043) + (xy 102.976057 95.012043) + (xy 102.85 94.992078) + (xy 102.723943 95.012043) + (xy 102.610228 95.069984) + (xy 102.519984 95.160228) + (xy 102.462043 95.273943) + (xy 102.442078 95.399999) + (xy 102.442078 95.4) + (xy 97.000018 95.4) + (xy 97.076055 95.387957) + (xy 97.189771 95.330016) + (xy 97.280016 95.239771) + (xy 97.337957 95.126055) + (xy 97.357922 95) + (xy 97.342084 94.9) + (xy 97.542078 94.9) + (xy 97.562043 95.026056) + (xy 97.579084 95.0595) + (xy 97.619984 95.139771) + (xy 97.710229 95.230016) + (xy 97.823943 95.287956) + (xy 97.823945 95.287957) + (xy 97.95 95.307922) + (xy 98.076055 95.287957) + (xy 98.189771 95.230016) + (xy 98.280016 95.139771) + (xy 98.337957 95.026055) + (xy 98.357922 94.9) + (xy 99.242078 94.9) + (xy 99.262043 95.026056) + (xy 99.279084 95.0595) + (xy 99.319984 95.139771) + (xy 99.410229 95.230016) + (xy 99.523943 95.287956) + (xy 99.523945 95.287957) + (xy 99.65 95.307922) + (xy 99.776055 95.287957) + (xy 99.889771 95.230016) + (xy 99.980016 95.139771) + (xy 100.037957 95.026055) + (xy 100.057922 94.9) + (xy 100.037957 94.773945) + (xy 99.980016 94.660229) + (xy 99.889771 94.569984) + (xy 99.776055 94.512043) + (xy 99.776057 94.512043) + (xy 99.65 94.492078) + (xy 99.523943 94.512043) + (xy 99.410228 94.569984) + (xy 99.319984 94.660228) + (xy 99.262043 94.773943) + (xy 99.242078 94.899999) + (xy 99.242078 94.9) + (xy 98.357922 94.9) + (xy 98.337957 94.773945) + (xy 98.280016 94.660229) + (xy 98.189771 94.569984) + (xy 98.076055 94.512043) + (xy 98.076057 94.512043) + (xy 97.95 94.492078) + (xy 97.823943 94.512043) + (xy 97.710228 94.569984) + (xy 97.619984 94.660228) + (xy 97.562043 94.773943) + (xy 97.542078 94.899999) + (xy 97.542078 94.9) + (xy 97.342084 94.9) + (xy 97.337957 94.873945) + (xy 97.280016 94.760229) + (xy 97.189771 94.669984) + (xy 97.076055 94.612043) + (xy 97.076057 94.612043) + (xy 96.95 94.592078) + (xy 96.823943 94.612043) + (xy 96.710228 94.669984) + (xy 96.619984 94.760228) + (xy 96.562043 94.873943) + (xy 96.542078 94.999999) + (xy 96.542078 95) + (xy 96.269787 95) + (xy 96.189771 94.919984) + (xy 96.076055 94.862043) + (xy 96.076057 94.862043) + (xy 95.95 94.842078) + (xy 95.823943 94.862043) + (xy 95.710228 94.919984) + (xy 95.619984 95.010228) + (xy 95.562043 95.123943) + (xy 95.542078 95.249999) + (xy 95.542078 95.25) + (xy 91.050018 95.25) + (xy 91.126055 95.237957) + (xy 91.239771 95.180016) + (xy 91.330016 95.089771) + (xy 91.387957 94.976055) + (xy 91.407922 94.85) + (xy 91.387957 94.723945) + (xy 91.330016 94.610229) + (xy 91.239771 94.519984) + (xy 91.126055 94.462043) + (xy 91.126057 94.462043) + (xy 91 94.442078) + (xy 90.873943 94.462043) + (xy 90.760228 94.519984) + (xy 90.669984 94.610228) + (xy 90.612043 94.723943) + (xy 90.592078 94.849999) + (xy 90.592078 94.85) + (xy 64.222413 94.85) + (xy 64.205457 94.742945) + (xy 64.147516 94.629229) + (xy 64.057271 94.538984) + (xy 63.943555 94.481043) + (xy 63.943557 94.481043) + (xy 63.8175 94.461078) + (xy 63.691443 94.481043) + (xy 63.577728 94.538984) + (xy 63.487484 94.629228) + (xy 63.429543 94.742943) + (xy 63.409578 94.868999) + (xy 63.409578 94.869) + (xy 59.918121 94.869) + (xy 59.893016 94.819729) + (xy 59.802771 94.729484) + (xy 59.689055 94.671543) + (xy 59.689057 94.671543) + (xy 59.563 94.651578) + (xy 59.436943 94.671543) + (xy 59.323228 94.729484) + (xy 59.232984 94.819728) + (xy 59.175043 94.933443) + (xy 59.155078 95.059499) + (xy 59.155078 95.0595) + (xy 58.700922 95.0595) + (xy 58.680957 94.933445) + (xy 58.623016 94.819729) + (xy 58.532771 94.729484) + (xy 58.419055 94.671543) + (xy 58.419057 94.671543) + (xy 58.293 94.651578) + (xy 58.166943 94.671543) + (xy 58.053228 94.729484) + (xy 57.962984 94.819728) + (xy 57.905043 94.933443) + (xy 57.885078 95.059499) + (xy 57.885078 95.0595) + (xy 46.101 95.0595) + (xy 46.101 94.0435) + (xy 58.774078 94.0435) + (xy 58.794043 94.169556) + (xy 58.851984 94.283271) + (xy 58.942229 94.373516) + (xy 59.055943 94.431456) + (xy 59.055945 94.431457) + (xy 59.182 94.451422) + (xy 59.308055 94.431457) + (xy 59.421771 94.373516) + (xy 59.512016 94.283271) + (xy 59.528968 94.25) + (xy 113.642078 94.25) + (xy 113.662043 94.376056) + (xy 113.695683 94.442078) + (xy 113.719984 94.489771) + (xy 113.810229 94.580016) + (xy 113.923943 94.637956) + (xy 113.923945 94.637957) + (xy 114.05 94.657922) + (xy 114.176055 94.637957) + (xy 114.289771 94.580016) + (xy 114.380016 94.489771) + (xy 114.437957 94.376055) + (xy 114.457922 94.25) + (xy 122.192078 94.25) + (xy 122.212043 94.376056) + (xy 122.245683 94.442078) + (xy 122.269984 94.489771) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.930016 94.489771) + (xy 122.987957 94.376055) + (xy 123.007922 94.25) + (xy 122.987957 94.123945) + (xy 122.930016 94.010229) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.360228 93.919984) + (xy 122.269984 94.010228) + (xy 122.212043 94.123943) + (xy 122.192078 94.249999) + (xy 122.192078 94.25) + (xy 114.457922 94.25) + (xy 114.437957 94.123945) + (xy 114.380016 94.010229) + (xy 114.289771 93.919984) + (xy 114.176055 93.862043) + (xy 114.176057 93.862043) + (xy 114.05 93.842078) + (xy 113.923943 93.862043) + (xy 113.810228 93.919984) + (xy 113.719984 94.010228) + (xy 113.662043 94.123943) + (xy 113.642078 94.249999) + (xy 113.642078 94.25) + (xy 59.528968 94.25) + (xy 59.569957 94.169555) + (xy 59.589922 94.0435) + (xy 59.569957 93.917445) + (xy 59.512016 93.803729) + (xy 59.421771 93.713484) + (xy 59.308055 93.655543) + (xy 59.308057 93.655543) + (xy 59.182 93.635578) + (xy 59.055943 93.655543) + (xy 58.942228 93.713484) + (xy 58.851984 93.803728) + (xy 58.794043 93.917443) + (xy 58.774078 94.043499) + (xy 58.774078 94.0435) + (xy 46.101 94.0435) + (xy 46.101 93.606448) + (xy 46.10246 93.5917) + (xy 46.1308 93.45) + (xy 114.292078 93.45) + (xy 114.312043 93.576056) + (xy 114.320014 93.5917) + (xy 114.369984 93.689771) + (xy 114.460229 93.780016) + (xy 114.573943 93.837956) + (xy 114.573945 93.837957) + (xy 114.7 93.857922) + (xy 114.826055 93.837957) + (xy 114.939771 93.780016) + (xy 115.030016 93.689771) + (xy 115.087957 93.576055) + (xy 115.107922 93.45) + (xy 121.542078 93.45) + (xy 121.562043 93.576056) + (xy 121.570014 93.5917) + (xy 121.619984 93.689771) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.280016 93.689771) + (xy 122.337957 93.576055) + (xy 122.357922 93.45) + (xy 122.337957 93.323945) + (xy 122.280016 93.210229) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.710228 93.119984) + (xy 121.619984 93.210228) + (xy 121.562043 93.323943) + (xy 121.542078 93.449999) + (xy 121.542078 93.45) + (xy 115.107922 93.45) + (xy 115.087957 93.323945) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.460228 93.119984) + (xy 114.369984 93.210228) + (xy 114.312043 93.323943) + (xy 114.292078 93.449999) + (xy 114.292078 93.45) + (xy 46.1308 93.45) + (xy 46.208391 93.062043) + (xy 46.226025 92.97387) + (xy 46.232501 92.954996) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 46.6725 92.2655) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.473482 92.44737) + (xy 60.502984 92.505271) + (xy 60.593229 92.595516) + (xy 60.706943 92.653456) + (xy 60.706945 92.653457) + (xy 60.833 92.673422) + (xy 60.959055 92.653457) + (xy 61.063971 92.6) + (xy 90.492078 92.6) + (xy 90.512043 92.726056) + (xy 90.569984 92.839771) + (xy 90.660229 92.930016) + (xy 90.773943 92.987956) + (xy 90.773945 92.987957) + (xy 90.9 93.007922) + (xy 91.026055 92.987957) + (xy 91.139771 92.930016) + (xy 91.230016 92.839771) + (xy 91.287957 92.726055) + (xy 91.307922 92.6) + (xy 97.492078 92.6) + (xy 97.512043 92.726056) + (xy 97.569984 92.839771) + (xy 97.660229 92.930016) + (xy 97.773943 92.987956) + (xy 97.773945 92.987957) + (xy 97.9 93.007922) + (xy 98.026055 92.987957) + (xy 98.139771 92.930016) + (xy 98.230016 92.839771) + (xy 98.287957 92.726055) + (xy 98.307922 92.6) + (xy 99.642078 92.6) + (xy 99.662043 92.726056) + (xy 99.719984 92.839771) + (xy 99.810229 92.930016) + (xy 99.923943 92.987956) + (xy 99.923945 92.987957) + (xy 100.05 93.007922) + (xy 100.176055 92.987957) + (xy 100.289771 92.930016) + (xy 100.380016 92.839771) + (xy 100.437957 92.726055) + (xy 100.450003 92.65) + (xy 122.842078 92.65) + (xy 122.862043 92.776056) + (xy 122.894508 92.839771) + (xy 122.919984 92.889771) + (xy 123.010229 92.980016) + (xy 123.123943 93.037956) + (xy 123.123945 93.037957) + (xy 123.25 93.057922) + (xy 123.376055 93.037957) + (xy 123.489771 92.980016) + (xy 123.580016 92.889771) + (xy 123.637957 92.776055) + (xy 123.657922 92.65) + (xy 125.142078 92.65) + (xy 125.162043 92.776056) + (xy 125.194508 92.839771) + (xy 125.219984 92.889771) + (xy 125.310229 92.980016) + (xy 125.423943 93.037956) + (xy 125.423945 93.037957) + (xy 125.55 93.057922) + (xy 125.676055 93.037957) + (xy 125.789771 92.980016) + (xy 125.869787 92.9) + (xy 126.892329 92.9) + (xy 126.911331 93.044337) + (xy 126.931029 93.091891) + (xy 126.967043 93.178836) + (xy 126.967044 93.178838) + (xy 126.967045 93.178839) + (xy 127.055666 93.294333) + (xy 127.155142 93.370663) + (xy 127.171164 93.382957) + (xy 127.305664 93.438669) + (xy 127.45 93.457671) + (xy 127.594336 93.438669) + (xy 127.728836 93.382957) + (xy 127.844333 93.294333) + (xy 127.932957 93.178836) + (xy 127.988669 93.044336) + (xy 128.007671 92.9) + (xy 127.988669 92.755664) + (xy 127.932957 92.621165) + (xy 127.913276 92.595516) + (xy 127.844333 92.505666) + (xy 127.728839 92.417045) + (xy 127.728838 92.417044) + (xy 127.728836 92.417043) + (xy 127.641891 92.381029) + (xy 127.594337 92.361331) + (xy 127.45 92.342329) + (xy 127.305662 92.361331) + (xy 127.171163 92.417044) + (xy 127.171162 92.417044) + (xy 127.055666 92.505666) + (xy 126.967044 92.621162) + (xy 126.967044 92.621163) + (xy 126.911331 92.755662) + (xy 126.892329 92.9) + (xy 125.869787 92.9) + (xy 125.880016 92.889771) + (xy 125.937957 92.776055) + (xy 125.957922 92.65) + (xy 125.937957 92.523945) + (xy 125.880016 92.410229) + (xy 125.789771 92.319984) + (xy 125.676055 92.262043) + (xy 125.676057 92.262043) + (xy 125.55 92.242078) + (xy 125.423943 92.262043) + (xy 125.310228 92.319984) + (xy 125.219984 92.410228) + (xy 125.162043 92.523943) + (xy 125.142078 92.649999) + (xy 125.142078 92.65) + (xy 123.657922 92.65) + (xy 123.637957 92.523945) + (xy 123.580016 92.410229) + (xy 123.489771 92.319984) + (xy 123.376055 92.262043) + (xy 123.376057 92.262043) + (xy 123.25 92.242078) + (xy 123.123943 92.262043) + (xy 123.010228 92.319984) + (xy 122.919984 92.410228) + (xy 122.862043 92.523943) + (xy 122.842078 92.649999) + (xy 122.842078 92.65) + (xy 100.450003 92.65) + (xy 100.457922 92.6) + (xy 100.437957 92.473945) + (xy 100.380016 92.360229) + (xy 100.289771 92.269984) + (xy 100.176055 92.212043) + (xy 100.176057 92.212043) + (xy 100.05 92.192078) + (xy 99.923943 92.212043) + (xy 99.810228 92.269984) + (xy 99.719984 92.360228) + (xy 99.662043 92.473943) + (xy 99.642078 92.599999) + (xy 99.642078 92.6) + (xy 98.307922 92.6) + (xy 98.287957 92.473945) + (xy 98.230016 92.360229) + (xy 98.139771 92.269984) + (xy 98.026055 92.212043) + (xy 98.026057 92.212043) + (xy 97.9 92.192078) + (xy 97.773943 92.212043) + (xy 97.660228 92.269984) + (xy 97.569984 92.360228) + (xy 97.512043 92.473943) + (xy 97.492078 92.599999) + (xy 97.492078 92.6) + (xy 91.307922 92.6) + (xy 91.287957 92.473945) + (xy 91.230016 92.360229) + (xy 91.139771 92.269984) + (xy 91.026055 92.212043) + (xy 91.026057 92.212043) + (xy 90.9 92.192078) + (xy 90.773943 92.212043) + (xy 90.660228 92.269984) + (xy 90.569984 92.360228) + (xy 90.512043 92.473943) + (xy 90.492078 92.599999) + (xy 90.492078 92.6) + (xy 61.063971 92.6) + (xy 61.072771 92.595516) + (xy 61.163016 92.505271) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240374 92.262043) + (xy 61.229293 92.192078) + (xy 61.220957 92.139445) + (xy 61.163016 92.025729) + (xy 61.072771 91.935484) + (xy 60.959055 91.877543) + (xy 60.959057 91.877543) + (xy 60.833 91.857578) + (xy 60.706943 91.877543) + (xy 60.593228 91.935484) + (xy 60.502984 92.025728) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 46.6725 92.2655) + (xy 47.238 91.7) + (xy 98.292443 91.7) + (xy 98.310977 91.828911) + (xy 98.365078 91.947373) + (xy 98.36508 91.947376) + (xy 98.450366 92.045801) + (xy 98.559926 92.116209) + (xy 98.684883 92.1529) + (xy 98.815117 92.1529) + (xy 98.940073 92.116209) + (xy 98.940073 92.116208) + (xy 98.940076 92.116208) + (xy 99.049636 92.045799) + (xy 99.134921 91.947374) + (xy 99.189023 91.828909) + (xy 99.207557 91.7) + (xy 99.200368 91.65) + (xy 113.792443 91.65) + (xy 113.810977 91.778911) + (xy 113.865078 91.897373) + (xy 113.86508 91.897376) + (xy 113.950366 91.995801) + (xy 114.059926 92.066209) + (xy 114.184883 92.1029) + (xy 114.315117 92.1029) + (xy 114.440073 92.066209) + (xy 114.440073 92.066208) + (xy 114.440076 92.066208) + (xy 114.549636 91.995799) + (xy 114.589321 91.95) + (xy 123.992078 91.95) + (xy 124.012043 92.076056) + (xy 124.047579 92.145799) + (xy 124.069984 92.189771) + (xy 124.160229 92.280016) + (xy 124.273943 92.337956) + (xy 124.273945 92.337957) + (xy 124.4 92.357922) + (xy 124.526055 92.337957) + (xy 124.639771 92.280016) + (xy 124.730016 92.189771) + (xy 124.787957 92.076055) + (xy 124.807922 91.95) + (xy 124.807506 91.947376) + (xy 124.796446 91.877543) + (xy 124.787957 91.823945) + (xy 124.775756 91.8) + (xy 125.992443 91.8) + (xy 126.010977 91.928911) + (xy 126.065078 92.047373) + (xy 126.06508 92.047376) + (xy 126.150366 92.145801) + (xy 126.259926 92.216209) + (xy 126.384883 92.2529) + (xy 126.515117 92.2529) + (xy 126.640073 92.216209) + (xy 126.640073 92.216208) + (xy 126.640076 92.216208) + (xy 126.749636 92.145799) + (xy 126.834921 92.047374) + (xy 126.889023 91.928909) + (xy 126.907557 91.8) + (xy 126.889023 91.671091) + (xy 126.843352 91.571088) + (xy 126.834921 91.552626) + (xy 126.834919 91.552623) + (xy 126.749633 91.454198) + (xy 126.640073 91.38379) + (xy 126.515117 91.3471) + (xy 126.384883 91.3471) + (xy 126.259926 91.38379) + (xy 126.150366 91.454198) + (xy 126.06508 91.552623) + (xy 126.065078 91.552626) + (xy 126.010977 91.671088) + (xy 125.992443 91.8) + (xy 124.775756 91.8) + (xy 124.730016 91.710229) + (xy 124.639771 91.619984) + (xy 124.526055 91.562043) + (xy 124.526057 91.562043) + (xy 124.4 91.542078) + (xy 124.273943 91.562043) + (xy 124.160228 91.619984) + (xy 124.069984 91.710228) + (xy 124.012043 91.823943) + (xy 123.992078 91.949999) + (xy 123.992078 91.95) + (xy 114.589321 91.95) + (xy 114.634921 91.897374) + (xy 114.689023 91.778909) + (xy 114.707557 91.65) + (xy 114.689023 91.521091) + (xy 114.634921 91.402626) + (xy 114.634919 91.402623) + (xy 114.580588 91.339922) + (xy 114.549636 91.304201) + (xy 114.549635 91.3042) + (xy 114.549633 91.304198) + (xy 114.440073 91.23379) + (xy 114.315117 91.1971) + (xy 114.184883 91.1971) + (xy 114.059926 91.23379) + (xy 113.950366 91.304198) + (xy 113.86508 91.402623) + (xy 113.865078 91.402626) + (xy 113.810977 91.521088) + (xy 113.792443 91.65) + (xy 99.200368 91.65) + (xy 99.189023 91.571091) + (xy 99.166188 91.521091) + (xy 99.134921 91.452626) + (xy 99.134919 91.452623) + (xy 99.08379 91.393618) + (xy 99.049636 91.354201) + (xy 99.049635 91.3542) + (xy 99.049633 91.354198) + (xy 98.940073 91.28379) + (xy 98.815117 91.2471) + (xy 98.684883 91.2471) + (xy 98.559926 91.28379) + (xy 98.450366 91.354198) + (xy 98.36508 91.452623) + (xy 98.365078 91.452626) + (xy 98.310977 91.571088) + (xy 98.292443 91.7) + (xy 47.238 91.7) + (xy 48.138 90.8) + (xy 90.292443 90.8) + (xy 90.310977 90.928911) + (xy 90.365078 91.047373) + (xy 90.36508 91.047376) + (xy 90.450366 91.145801) + (xy 90.559926 91.216209) + (xy 90.684883 91.2529) + (xy 90.815117 91.2529) + (xy 90.940073 91.216209) + (xy 90.940073 91.216208) + (xy 90.940076 91.216208) + (xy 91.049636 91.145799) + (xy 91.134921 91.047374) + (xy 91.189023 90.928909) + (xy 91.207557 90.8) + (xy 97.292443 90.8) + (xy 97.310977 90.928911) + (xy 97.365078 91.047373) + (xy 97.36508 91.047376) + (xy 97.450366 91.145801) + (xy 97.559926 91.216209) + (xy 97.684883 91.2529) + (xy 97.815117 91.2529) + (xy 97.940073 91.216209) + (xy 97.940073 91.216208) + (xy 97.940076 91.216208) + (xy 98.049636 91.145799) + (xy 98.134921 91.047374) + (xy 98.189023 90.928909) + (xy 98.207557 90.8) + (xy 98.200368 90.75) + (xy 114.792443 90.75) + (xy 114.810977 90.878911) + (xy 114.865078 90.997373) + (xy 114.86508 90.997376) + (xy 114.950366 91.095801) + (xy 115.059926 91.166209) + (xy 115.184883 91.2029) + (xy 115.315117 91.2029) + (xy 115.440073 91.166209) + (xy 115.440073 91.166208) + (xy 115.440076 91.166208) + (xy 115.549636 91.095799) + (xy 115.634921 90.997374) + (xy 115.689023 90.878909) + (xy 115.707557 90.75) + (xy 124.792443 90.75) + (xy 124.810977 90.878911) + (xy 124.865078 90.997373) + (xy 124.86508 90.997376) + (xy 124.950366 91.095801) + (xy 125.059926 91.166209) + (xy 125.184883 91.2029) + (xy 125.315117 91.2029) + (xy 125.440073 91.166209) + (xy 125.440073 91.166208) + (xy 125.440076 91.166208) + (xy 125.549636 91.095799) + (xy 125.634921 90.997374) + (xy 125.664777 90.932) + (xy 134.720078 90.932) + (xy 134.740043 91.058056) + (xy 134.79515 91.166209) + (xy 134.797984 91.171771) + (xy 134.888229 91.262016) + (xy 135.001943 91.319956) + (xy 135.001945 91.319957) + (xy 135.128 91.339922) + (xy 135.254055 91.319957) + (xy 135.367771 91.262016) + (xy 135.458016 91.171771) + (xy 135.515957 91.058055) + (xy 135.535922 90.932) + (xy 135.990078 90.932) + (xy 136.010043 91.058056) + (xy 136.06515 91.166209) + (xy 136.067984 91.171771) + (xy 136.158229 91.262016) + (xy 136.271943 91.319956) + (xy 136.271945 91.319957) + (xy 136.398 91.339922) + (xy 136.524055 91.319957) + (xy 136.637771 91.262016) + (xy 136.728016 91.171771) + (xy 136.785957 91.058055) + (xy 136.805922 90.932) + (xy 136.805432 90.928909) + (xy 136.802164 90.908276) + (xy 136.785957 90.805945) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.158228 90.601984) + (xy 136.067984 90.692228) + (xy 136.010043 90.805943) + (xy 135.990078 90.931999) + (xy 135.990078 90.932) + (xy 135.535922 90.932) + (xy 135.535432 90.928909) + (xy 135.532164 90.908276) + (xy 135.515957 90.805945) + (xy 135.458016 90.692229) + (xy 135.367771 90.601984) + (xy 135.254055 90.544043) + (xy 135.254057 90.544043) + (xy 135.128 90.524078) + (xy 135.001943 90.544043) + (xy 134.888228 90.601984) + (xy 134.797984 90.692228) + (xy 134.740043 90.805943) + (xy 134.720078 90.931999) + (xy 134.720078 90.932) + (xy 125.664777 90.932) + (xy 125.689023 90.878909) + (xy 125.707557 90.75) + (xy 125.689023 90.621091) + (xy 125.634921 90.502626) + (xy 125.634919 90.502623) + (xy 125.549633 90.404198) + (xy 125.440073 90.33379) + (xy 125.315117 90.2971) + (xy 125.184883 90.2971) + (xy 125.059926 90.33379) + (xy 124.950366 90.404198) + (xy 124.86508 90.502623) + (xy 124.865078 90.502626) + (xy 124.810977 90.621088) + (xy 124.792443 90.75) + (xy 115.707557 90.75) + (xy 115.689023 90.621091) + (xy 115.634921 90.502626) + (xy 115.634919 90.502623) + (xy 115.549633 90.404198) + (xy 115.440073 90.33379) + (xy 115.315117 90.2971) + (xy 115.184883 90.2971) + (xy 115.059926 90.33379) + (xy 114.950366 90.404198) + (xy 114.86508 90.502623) + (xy 114.865078 90.502626) + (xy 114.810977 90.621088) + (xy 114.792443 90.75) + (xy 98.200368 90.75) + (xy 98.189023 90.671091) + (xy 98.166188 90.621091) + (xy 98.134921 90.552626) + (xy 98.134919 90.552623) + (xy 98.049633 90.454198) + (xy 97.940073 90.38379) + (xy 97.815117 90.3471) + (xy 97.684883 90.3471) + (xy 97.559926 90.38379) + (xy 97.450366 90.454198) + (xy 97.36508 90.552623) + (xy 97.365078 90.552626) + (xy 97.310977 90.671088) + (xy 97.292443 90.8) + (xy 91.207557 90.8) + (xy 91.189023 90.671091) + (xy 91.166188 90.621091) + (xy 91.134921 90.552626) + (xy 91.134919 90.552623) + (xy 91.049633 90.454198) + (xy 90.940073 90.38379) + (xy 90.815117 90.3471) + (xy 90.684883 90.3471) + (xy 90.559926 90.38379) + (xy 90.450366 90.454198) + (xy 90.36508 90.552623) + (xy 90.365078 90.552626) + (xy 90.310977 90.671088) + (xy 90.292443 90.8) + (xy 48.138 90.8) + (xy 49.088 89.85) + (xy 113.792443 89.85) + (xy 113.810977 89.978911) + (xy 113.865078 90.097373) + (xy 113.86508 90.097376) + (xy 113.950366 90.195801) + (xy 114.059926 90.266209) + (xy 114.184883 90.3029) + (xy 114.315117 90.3029) + (xy 114.440073 90.266209) + (xy 114.440073 90.266208) + (xy 114.440076 90.266208) + (xy 114.549636 90.195799) + (xy 114.634921 90.097374) + (xy 114.689023 89.978909) + (xy 114.707557 89.85) + (xy 123.792443 89.85) + (xy 123.810977 89.978911) + (xy 123.865078 90.097373) + (xy 123.86508 90.097376) + (xy 123.950366 90.195801) + (xy 124.059926 90.266209) + (xy 124.184883 90.3029) + (xy 124.315117 90.3029) + (xy 124.440073 90.266209) + (xy 124.440073 90.266208) + (xy 124.440076 90.266208) + (xy 124.549636 90.195799) + (xy 124.634921 90.097374) + (xy 124.689023 89.978909) + (xy 124.707557 89.85) + (xy 124.689023 89.721091) + (xy 124.634921 89.602626) + (xy 124.634919 89.602623) + (xy 124.549633 89.504198) + (xy 124.440073 89.43379) + (xy 124.315117 89.3971) + (xy 124.184883 89.3971) + (xy 124.059926 89.43379) + (xy 123.950366 89.504198) + (xy 123.86508 89.602623) + (xy 123.865078 89.602626) + (xy 123.810977 89.721088) + (xy 123.792443 89.85) + (xy 114.707557 89.85) + (xy 114.689023 89.721091) + (xy 114.634921 89.602626) + (xy 114.634919 89.602623) + (xy 114.549633 89.504198) + (xy 114.440073 89.43379) + (xy 114.315117 89.3971) + (xy 114.184883 89.3971) + (xy 114.059926 89.43379) + (xy 113.950366 89.504198) + (xy 113.86508 89.602623) + (xy 113.865078 89.602626) + (xy 113.810977 89.721088) + (xy 113.792443 89.85) + (xy 49.088 89.85) + (xy 54.274015 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.594379 85.421367) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.752014 84.31958) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274015 84.663985) + (xy 56.56001 82.37799) + (xy 139.631845 82.37799) + (xy 139.634689 82.430448) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.033379 83.135367) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 56.56001 82.37799) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.68387 80.516025) + (xy 59.3017 80.39246) + (xy 59.316448 80.391) + (xy 142.994552 80.391) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 3938e3e6-618b-4d68-9544-e3a75f0750f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 134.493) + (xy 75.692 134.874) + (xy 76.073 134.874) + (xy 76.073 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 39a5ea41-d0cf-42fb-afcd-43cc9c6d09ec) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 135.763) + (xy 76.327 136.144) + (xy 76.708 136.144) + (xy 76.708 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 428ce001-ffed-4f62-84aa-dc872ab65a7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 138.303) + (xy 76.327 138.684) + (xy 76.708 138.684) + (xy 76.708 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 4d42d429-8c78-4c79-b023-9473e2bce9b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 132.588) + (xy 75.692 132.969) + (xy 76.073 132.969) + (xy 76.073 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 4e323a04-28eb-4759-afbc-3b88f1b58aa4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 135.128) + (xy 116.332 135.509) + (xy 116.713 135.509) + (xy 116.713 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 540a54ea-6452-4b8f-889d-266d77d62921) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 133.858) + (xy 119.507 134.239) + (xy 119.888 134.239) + (xy 119.888 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 560a1b6d-2f1b-4e69-ae3f-632b42611f59) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 135.128) + (xy 119.507 135.509) + (xy 119.888 135.509) + (xy 119.888 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 58fc4149-9dd2-41a6-9534-c6990c1432d9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 134.493) + (xy 116.332 134.874) + (xy 116.713 134.874) + (xy 116.713 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 5948f63e-2007-4fd6-9852-4c19f95588dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 131.953) + (xy 119.507 132.334) + (xy 119.888 132.334) + (xy 119.888 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 5b341ee5-3640-4590-8a34-c443b1d37c2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 136.398) + (xy 118.872 136.779) + (xy 119.253 136.779) + (xy 119.253 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 5f20705e-9a9f-4ff4-8d32-f9311c2413dc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 135.763) + (xy 118.872 136.144) + (xy 119.253 136.144) + (xy 119.253 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 63ba5bf0-a9de-4560-8abb-91be811bbad9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 137.668) + (xy 116.332 138.049) + (xy 116.713 138.049) + (xy 116.713 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 6b0903bc-3956-41d9-bac4-557082a8292c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 131.953) + (xy 116.967 132.334) + (xy 117.348 132.334) + (xy 117.348 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 6e0e50d4-f96d-4f04-ad04-3c2ef718d2c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 135.763) + (xy 116.967 136.144) + (xy 117.348 136.144) + (xy 117.348 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 7402b11c-1fd6-4fdc-b1be-5683c095d2cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 132.588) + (xy 116.332 132.969) + (xy 116.713 132.969) + (xy 116.713 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 762fcb78-69e5-47c5-9588-bfb90b14eef2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 131.953) + (xy 75.692 132.334) + (xy 76.073 132.334) + (xy 76.073 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 774b0bdc-3606-481c-846e-3602fafb98c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 135.128) + (xy 75.692 135.509) + (xy 76.073 135.509) + (xy 76.073 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 7b9934b2-f0d6-4913-b3ba-6fdb14912790) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 138.303) + (xy 116.332 138.684) + (xy 116.713 138.684) + (xy 116.713 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 7e0828c1-14e3-41e1-8333-16b70b866fc7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 134.493) + (xy 76.327 134.874) + (xy 76.708 134.874) + (xy 76.708 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 803ee649-8288-4114-b278-5cd45d85d4f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 138.303) + (xy 116.967 138.684) + (xy 117.348 138.684) + (xy 117.348 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8900cf86-1f12-48ed-8d1e-de248ce46c1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 136.398) + (xy 75.692 136.779) + (xy 76.073 136.779) + (xy 76.073 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8b539111-8003-4a46-a3ef-1a059984f535) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 135.763) + (xy 116.332 136.144) + (xy 116.713 136.144) + (xy 116.713 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8b819771-ec68-46c4-8d86-17a5516ca0a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 131.953) + (xy 76.327 132.334) + (xy 76.708 132.334) + (xy 76.708 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 8e4ed9d8-f614-4d3f-acef-736ac14b9e0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 135.128) + (xy 76.327 135.509) + (xy 76.708 135.509) + (xy 76.708 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 9635e480-4066-41e4-a790-94d750c9e494) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 135.763) + (xy 75.692 136.144) + (xy 76.073 136.144) + (xy 76.073 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp 97a592e4-9095-461a-b5fd-6295e28158e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 137.668) + (xy 76.327 138.049) + (xy 76.708 138.049) + (xy 76.708 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp a59efd97-715a-407f-89b8-8f6a137a56a8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 133.223) + (xy 118.872 133.604) + (xy 119.253 133.604) + (xy 119.253 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp a87f5328-4091-403d-a606-48ab6a9b1788) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 133.223) + (xy 119.507 133.604) + (xy 119.888 133.604) + (xy 119.888 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp aa2e399f-6647-4ff5-a9da-dada6f5254c6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 132.588) + (xy 116.967 132.969) + (xy 117.348 132.969) + (xy 117.348 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp aac2c413-a7db-41dd-bf91-04a917c655dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 137.033) + (xy 119.507 137.414) + (xy 119.888 137.414) + (xy 119.888 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ab441cb5-1d02-452a-b2d4-df1545cd6a4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 137.033) + (xy 75.692 137.414) + (xy 76.073 137.414) + (xy 76.073 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ae071ea7-e9fe-4d50-af30-598c60039517) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 136.398) + (xy 76.327 136.779) + (xy 76.708 136.779) + (xy 76.708 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp b57d2f6b-d437-435c-8fbb-da926c50420d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 137.668) + (xy 116.967 138.049) + (xy 117.348 138.049) + (xy 117.348 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp b6c392f6-8c5f-4143-b32a-263296b55572) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 137.033) + (xy 76.327 137.414) + (xy 76.708 137.414) + (xy 76.708 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ba1aa693-a3f8-4a50-8e28-a87d82d776db) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 133.223) + (xy 75.692 133.604) + (xy 76.073 133.604) + (xy 76.073 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp bc8e78be-2bc2-42b4-bb48-5e7368e3176c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 137.033) + (xy 118.872 137.414) + (xy 119.253 137.414) + (xy 119.253 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c07f671f-f86d-4334-b083-218a226af244) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 133.223) + (xy 116.332 133.604) + (xy 116.713 133.604) + (xy 116.713 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c107178b-a0ed-4aed-b7ce-072995dd1f16) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 134.493) + (xy 116.967 134.874) + (xy 117.348 134.874) + (xy 117.348 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c309da67-8319-408e-a634-51f84231bf76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 137.668) + (xy 118.872 138.049) + (xy 119.253 138.049) + (xy 119.253 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c4370cbf-20fd-4b27-947d-ebd8538282b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 137.033) + (xy 116.332 137.414) + (xy 116.713 137.414) + (xy 116.713 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp c6c86181-177d-4d6e-9228-737d2c4c0a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.332 131.953) + (xy 116.332 132.334) + (xy 116.713 132.334) + (xy 116.713 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d3bdcd48-1b7c-4456-a901-f56cc3307052) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 133.858) + (xy 116.967 134.239) + (xy 117.348 134.239) + (xy 117.348 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d49b61f1-820b-4d29-9eee-3806f191aaff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 137.668) + (xy 75.692 138.049) + (xy 76.073 138.049) + (xy 76.073 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d4db904d-8628-4864-b341-2e4fa0185a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 133.858) + (xy 118.872 134.239) + (xy 119.253 134.239) + (xy 119.253 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp d7744088-bad4-4245-b9cd-a683f583bcdd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 137.668) + (xy 119.507 138.049) + (xy 119.888 138.049) + (xy 119.888 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp dc0ef132-affe-42af-bc32-cafd1652453c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 138.303) + (xy 75.692 138.684) + (xy 76.073 138.684) + (xy 76.073 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp e998ee7e-c1f9-44f6-97c3-ba36e61cf62e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 138.303) + (xy 119.507 138.684) + (xy 119.888 138.684) + (xy 119.888 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ea9733cf-41e5-44b7-a844-41d0bb1eb74f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 132.588) + (xy 76.327 132.969) + (xy 76.708 132.969) + (xy 76.708 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp ebf9d24f-233f-49e5-846a-19033c99dc7e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 75.692 133.858) + (xy 75.692 134.239) + (xy 76.073 134.239) + (xy 76.073 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp edfc581a-0c44-4071-832d-1e315ff0c532) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 135.763) + (xy 119.507 136.144) + (xy 119.888 136.144) + (xy 119.888 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f082d95a-5a31-46c2-88fc-c963d2b92b93) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 118.872 131.953) + (xy 118.872 132.334) + (xy 119.253 132.334) + (xy 119.253 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f08fbdcd-f0aa-4fbd-84f3-3a33881ead34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 76.327 133.858) + (xy 76.327 134.239) + (xy 76.708 134.239) + (xy 76.708 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f4773917-508e-48fe-abb6-a15826dffd99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 119.507 134.493) + (xy 119.507 134.874) + (xy 119.888 134.874) + (xy 119.888 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f565b9e1-4f9a-4ee3-9b4b-d925518fe8f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 136.398) + (xy 116.967 136.779) + (xy 117.348 136.779) + (xy 117.348 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layer "In1.Cu") (tstamp f58c24d5-fc73-446b-a93c-eb57d99b1e13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 116.967 135.128) + (xy 116.967 135.509) + (xy 117.348 135.509) + (xy 117.348 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0106f2bc-97f9-4c5d-bd72-0492d7e1995f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 137.033) + (xy 99.187 137.414) + (xy 99.568 137.414) + (xy 99.568 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 013bac8a-c200-4d50-bef4-a19f6a244054) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 132.588) + (xy 131.572 132.969) + (xy 131.953 132.969) + (xy 131.953 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 025eb299-5021-4681-add5-75d462eea707) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 138.303) + (xy 96.012 138.684) + (xy 96.393 138.684) + (xy 96.393 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 02e42be2-3457-4ee2-9f2e-d1e0df01ec25) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 135.128) + (xy 104.267 135.509) + (xy 104.648 135.509) + (xy 104.648 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 02fe9c07-8a14-4b60-b46f-9db7a9e2c65e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 134.493) + (xy 132.207 134.874) + (xy 132.588 134.874) + (xy 132.588 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0310a7c8-7d3d-4a97-bc4b-446750df2825) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 134.493) + (xy 98.552 134.874) + (xy 98.933 134.874) + (xy 98.933 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 034eda9a-61c1-4166-a2dd-bb0ba0499d78) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 136.398) + (xy 124.587 136.779) + (xy 124.968 136.779) + (xy 124.968 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 035e1b56-03c4-48f9-a410-cb7368464db0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 137.033) + (xy 114.427 137.414) + (xy 114.808 137.414) + (xy 114.808 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0558947d-9d8e-4c0f-b35b-237333d908f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 136.398) + (xy 99.187 136.779) + (xy 99.568 136.779) + (xy 99.568 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 05a5410e-8c58-4aa6-89b4-f44ccb620f5a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 137.033) + (xy 94.107 137.414) + (xy 94.488 137.414) + (xy 94.488 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 06384bd5-2e7f-4e3e-9e1b-4ee299933543) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 138.303) + (xy 78.232 138.684) + (xy 78.613 138.684) + (xy 78.613 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 073dafa5-8f59-4b3a-9e69-025d40f4bdc0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 132.588) + (xy 134.747 132.969) + (xy 135.128 132.969) + (xy 135.128 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 07d791a3-8630-4036-ae41-f52aa3b9c784) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 134.493) + (xy 129.032 134.874) + (xy 129.413 134.874) + (xy 129.413 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 086fa6a5-f7ef-48eb-b2b5-7326ac8134c0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 138.303) + (xy 103.632 138.684) + (xy 104.013 138.684) + (xy 104.013 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 09e82729-9c4f-4df0-93b1-4bf953f82111) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 131.953) + (xy 104.267 132.334) + (xy 104.648 132.334) + (xy 104.648 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 09edb54a-3478-4d25-b810-abd9a12a25fc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 138.303) + (xy 123.952 138.684) + (xy 124.333 138.684) + (xy 124.333 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0a1e36b0-aeb8-43a8-ad8f-759ada6cc84d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 135.763) + (xy 124.587 136.144) + (xy 124.968 136.144) + (xy 124.968 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0b453a10-e9b6-405b-83de-f0761898fdc7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 135.763) + (xy 103.632 136.144) + (xy 104.013 136.144) + (xy 104.013 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0b9b507e-d2ac-49e5-8258-9ed3558e3ce9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 133.858) + (xy 134.747 134.239) + (xy 135.128 134.239) + (xy 135.128 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0beb4488-fbad-4042-b1bc-11d63d76c057) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 135.128) + (xy 121.412 135.509) + (xy 121.793 135.509) + (xy 121.793 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0c0c8ced-21e7-4f7d-af7e-a0cae3ca93ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 133.223) + (xy 78.232 133.604) + (xy 78.613 133.604) + (xy 78.613 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0ca37980-a1f0-4627-91a4-3e90e04a2470) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 137.668) + (xy 104.267 138.049) + (xy 104.648 138.049) + (xy 104.648 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0ce9ef29-4489-41ce-b970-5424fe81f2f8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 137.668) + (xy 89.027 138.049) + (xy 89.408 138.049) + (xy 89.408 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0e62c9b8-8265-43ee-9517-f5c2bcb583e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 133.223) + (xy 111.252 133.604) + (xy 111.633 133.604) + (xy 111.633 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 0f4c2c1f-2f4d-4663-911d-2e9e41a002b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 133.223) + (xy 101.092 133.604) + (xy 101.473 133.604) + (xy 101.473 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 10213c66-4464-43b4-9c71-0780001afc67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 135.128) + (xy 96.647 135.509) + (xy 97.028 135.509) + (xy 97.028 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 111af161-13d3-441a-b322-ef41d0443a02) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 138.303) + (xy 134.747 138.684) + (xy 135.128 138.684) + (xy 135.128 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 11aa3c4a-3c45-498d-aa39-8ffcabb7ee4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 134.493) + (xy 111.887 134.874) + (xy 112.268 134.874) + (xy 112.268 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 11c25dca-09e9-4b47-94e6-f99fd0375079) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 135.128) + (xy 93.472 135.509) + (xy 93.853 135.509) + (xy 93.853 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 11f9ec17-8c94-4aa6-9c65-cd8541217401) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 135.128) + (xy 81.407 135.509) + (xy 81.788 135.509) + (xy 81.788 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1387664d-0fe6-4fb3-96c1-feb7803f2a26) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 137.668) + (xy 101.092 138.049) + (xy 101.473 138.049) + (xy 101.473 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 13882a32-61f6-4f5c-84ed-57afe411c043) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 134.493) + (xy 109.347 134.874) + (xy 109.728 134.874) + (xy 109.728 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 13b18ba1-6e1a-487e-8af6-208e0385685b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 137.033) + (xy 132.207 137.414) + (xy 132.588 137.414) + (xy 132.588 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 14f255c0-713d-487c-bf54-073f25ac8356) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 136.398) + (xy 134.112 136.779) + (xy 134.493 136.779) + (xy 134.493 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 154fac87-0e8d-43f9-a20d-725766590ddc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 133.223) + (xy 106.172 133.604) + (xy 106.553 133.604) + (xy 106.553 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 16051863-8c62-4ccd-9ac7-68b013c2a69b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 138.303) + (xy 101.092 138.684) + (xy 101.473 138.684) + (xy 101.473 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1632d956-ebb8-4d0b-be17-7d8be668171c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 131.953) + (xy 111.887 132.334) + (xy 112.268 132.334) + (xy 112.268 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 165c7d08-1b45-4b3d-9a20-6eb06b129190) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 135.763) + (xy 86.487 136.144) + (xy 86.868 136.144) + (xy 86.868 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1788ed69-128d-4cba-9663-3c642409658b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 135.763) + (xy 83.312 136.144) + (xy 83.693 136.144) + (xy 83.693 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 17f36a66-677e-44d4-9d79-738ceffe2269) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 133.858) + (xy 124.587 134.239) + (xy 124.968 134.239) + (xy 124.968 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 186c9323-073b-47e6-bfa7-80f79a56994b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 134.493) + (xy 83.312 134.874) + (xy 83.693 134.874) + (xy 83.693 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 18e443af-26ad-4abe-9326-b315f43eb645) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 137.668) + (xy 88.392 138.049) + (xy 88.773 138.049) + (xy 88.773 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 19a98238-6cc8-4013-84dd-4dba0caf51be) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 132.588) + (xy 86.487 132.969) + (xy 86.868 132.969) + (xy 86.868 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 19e07741-b594-46e5-8577-b0f5b7324f89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 133.223) + (xy 124.587 133.604) + (xy 124.968 133.604) + (xy 124.968 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1a87483e-59a4-4347-a621-83619fc84859) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 133.858) + (xy 99.187 134.239) + (xy 99.568 134.239) + (xy 99.568 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1a9926a2-de5c-40b4-bb3a-a4a4d77dce89) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 133.858) + (xy 111.252 134.239) + (xy 111.633 134.239) + (xy 111.633 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1b79cfef-d1a3-4c01-8604-b98bd9c09525) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 133.858) + (xy 134.112 134.239) + (xy 134.493 134.239) + (xy 134.493 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1bc535e0-1de8-4506-a6d0-0f19cad60812) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 131.953) + (xy 114.427 132.334) + (xy 114.808 132.334) + (xy 114.808 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1c381fa1-6915-4c6c-b0a4-44c732038504) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 137.668) + (xy 101.727 138.049) + (xy 102.108 138.049) + (xy 102.108 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1caa16c8-955c-4593-892c-c390061c591e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 133.858) + (xy 111.887 134.239) + (xy 112.268 134.239) + (xy 112.268 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1cb82dda-9d1d-4f4e-865d-d764735bf966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 135.763) + (xy 96.012 136.144) + (xy 96.393 136.144) + (xy 96.393 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1e385deb-9b33-440e-8599-74d3d2177e86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 137.668) + (xy 86.487 138.049) + (xy 86.868 138.049) + (xy 86.868 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1e47af8b-2ae1-4016-8336-a72917653a37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 135.128) + (xy 98.552 135.509) + (xy 98.933 135.509) + (xy 98.933 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1e8967d8-0617-4769-980d-534533f6b99c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 133.223) + (xy 111.887 133.604) + (xy 112.268 133.604) + (xy 112.268 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 1ec987c8-6e1b-45ce-9d12-8c50abdf9f55) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 133.858) + (xy 85.852 134.239) + (xy 86.233 134.239) + (xy 86.233 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 20e121f2-5843-4e6a-8d06-bf26be0475d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 133.223) + (xy 127.127 133.604) + (xy 127.508 133.604) + (xy 127.508 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 21c82fd4-67ac-444e-9a3c-badf4956e8d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 133.858) + (xy 104.267 134.239) + (xy 104.648 134.239) + (xy 104.648 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 222d9350-898c-4ac5-9f6f-f69acf586162) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 133.858) + (xy 106.807 134.239) + (xy 107.188 134.239) + (xy 107.188 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 224a519d-abcf-497e-978d-88e0c3bb2226) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 135.128) + (xy 83.947 135.509) + (xy 84.328 135.509) + (xy 84.328 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 22cdb6ce-9617-4bdb-911b-d169e5088c4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 137.668) + (xy 123.952 138.049) + (xy 124.333 138.049) + (xy 124.333 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 234d460b-37a6-40bb-85e3-01235df1d0a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 138.303) + (xy 83.312 138.684) + (xy 83.693 138.684) + (xy 83.693 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2391eb83-e27d-4819-bea8-ee434a3516ab) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 133.223) + (xy 81.407 133.604) + (xy 81.788 133.604) + (xy 81.788 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2399faad-fe67-47f0-add7-98becb2c0647) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 131.953) + (xy 96.012 132.334) + (xy 96.393 132.334) + (xy 96.393 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 23c93066-f154-4277-a848-c7397b2b9ff5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 133.858) + (xy 109.347 134.239) + (xy 109.728 134.239) + (xy 109.728 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 240f768f-cdad-4921-8d96-326ff94b00ac) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 137.033) + (xy 98.552 137.414) + (xy 98.933 137.414) + (xy 98.933 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 241ca805-1887-4c44-ac85-9c1d0bf354cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 137.033) + (xy 111.887 137.414) + (xy 112.268 137.414) + (xy 112.268 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 247805d5-991c-461b-b8ac-4843225b88c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 138.303) + (xy 126.492 138.684) + (xy 126.873 138.684) + (xy 126.873 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 24825691-9e73-455d-832e-e8491ccb0f12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 134.493) + (xy 121.412 134.874) + (xy 121.793 134.874) + (xy 121.793 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 250cffb7-c502-4bef-a58e-e9401533bd51) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 135.763) + (xy 93.472 136.144) + (xy 93.853 136.144) + (xy 93.853 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 259d592d-c6db-4f49-b167-a9f2077fcc6b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 134.493) + (xy 91.567 134.874) + (xy 91.948 134.874) + (xy 91.948 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 26646ce4-1d50-4b04-bd6e-39dd8a5197f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 138.303) + (xy 104.267 138.684) + (xy 104.648 138.684) + (xy 104.648 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 269d0866-9089-4ab5-baf0-680ebb53f2a0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 137.668) + (xy 81.407 138.049) + (xy 81.788 138.049) + (xy 81.788 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 27465cec-2d0d-4783-a58f-d5b31598e82a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 131.953) + (xy 129.667 132.334) + (xy 130.048 132.334) + (xy 130.048 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2821a462-4156-46e5-ada2-5e435fedc0cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 133.858) + (xy 113.792 134.239) + (xy 114.173 134.239) + (xy 114.173 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2871954b-bda8-4955-b144-2ea0636fe4e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 135.763) + (xy 114.427 136.144) + (xy 114.808 136.144) + (xy 114.808 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 29008f90-28a8-48a2-9652-c81a02f978c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 135.763) + (xy 85.852 136.144) + (xy 86.233 136.144) + (xy 86.233 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2bf2d81d-6f4f-4a13-a562-c76d6a3872cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 135.763) + (xy 91.567 136.144) + (xy 91.948 136.144) + (xy 91.948 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2d14c959-ed25-4455-a8bc-31eeb577f480) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 137.033) + (xy 122.047 137.414) + (xy 122.428 137.414) + (xy 122.428 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2e2d29cb-4958-42c4-9334-feb4e88b3ba7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 134.493) + (xy 103.632 134.874) + (xy 104.013 134.874) + (xy 104.013 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2e3497e8-ce75-4c48-a597-98fb5f7af184) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 131.953) + (xy 109.347 132.334) + (xy 109.728 132.334) + (xy 109.728 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2f27a47b-2545-4fcd-a7c2-d71c0dd5f3b9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 136.398) + (xy 103.632 136.779) + (xy 104.013 136.779) + (xy 104.013 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 2f57d71f-565a-412b-8a7a-429a2646d2e0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 131.953) + (xy 85.852 132.334) + (xy 86.233 132.334) + (xy 86.233 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 305ca102-15b8-43af-a2fa-f92bcd761882) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 131.953) + (xy 94.107 132.334) + (xy 94.488 132.334) + (xy 94.488 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 318b1c4f-3ac7-4916-9874-6b1a0b21e3f6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 134.493) + (xy 134.112 134.874) + (xy 134.493 134.874) + (xy 134.493 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 31ccd95a-4632-4b2f-b3e5-55fec8e71134) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 136.398) + (xy 131.572 136.779) + (xy 131.953 136.779) + (xy 131.953 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 32130571-ff01-4ff1-a5af-dbda42575ce2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 138.303) + (xy 109.347 138.684) + (xy 109.728 138.684) + (xy 109.728 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 32812c17-16f3-4fff-8ade-de289f4cff9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 135.128) + (xy 132.207 135.509) + (xy 132.588 135.509) + (xy 132.588 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3380c0cd-ecc0-4362-965b-bf7eae8722a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 135.128) + (xy 134.747 135.509) + (xy 135.128 135.509) + (xy 135.128 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 346ad062-06ed-463f-b0b6-3b72e61d8c0a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 137.033) + (xy 86.487 137.414) + (xy 86.868 137.414) + (xy 86.868 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 35347948-e6de-4ff7-9476-80106457fc52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 133.223) + (xy 78.867 133.604) + (xy 79.248 133.604) + (xy 79.248 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 36f7dd8c-41f2-4ee1-bcf4-5d6275f20b21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 134.493) + (xy 106.807 134.874) + (xy 107.188 134.874) + (xy 107.188 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 37374faa-6bd8-4d4e-96e6-2c3d560ca61e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 137.033) + (xy 124.587 137.414) + (xy 124.968 137.414) + (xy 124.968 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3778a086-d756-4fa3-afc7-59e95e8057df) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 135.763) + (xy 121.412 136.144) + (xy 121.793 136.144) + (xy 121.793 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 37aecab0-ca64-4eb1-bca4-977fa2491d07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 136.398) + (xy 127.127 136.779) + (xy 127.508 136.779) + (xy 127.508 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 38352f67-8870-4511-946e-177bbb43172b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 136.398) + (xy 101.727 136.779) + (xy 102.108 136.779) + (xy 102.108 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3a926372-33f6-4f76-9031-80697bd130f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 134.493) + (xy 114.427 134.874) + (xy 114.808 134.874) + (xy 114.808 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3ad69a4c-0952-4bef-b92c-05896b994243) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 136.398) + (xy 86.487 136.779) + (xy 86.868 136.779) + (xy 86.868 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3bdc87d0-ce2f-4ec6-894e-dfabb213ad99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 133.223) + (xy 122.047 133.604) + (xy 122.428 133.604) + (xy 122.428 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3bf6e6c2-3314-4e73-a44b-4bb406f7a746) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 131.953) + (xy 101.727 132.334) + (xy 102.108 132.334) + (xy 102.108 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3bfc6c8d-3e0c-4941-8e49-f42614e16a2e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 137.668) + (xy 96.647 138.049) + (xy 97.028 138.049) + (xy 97.028 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3c5bac0c-f5f3-4d87-af9b-cbf98650fa1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 137.033) + (xy 96.012 137.414) + (xy 96.393 137.414) + (xy 96.393 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3d2401f7-8755-4e3e-9f74-ce3be145c8e2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 135.763) + (xy 90.932 136.144) + (xy 91.313 136.144) + (xy 91.313 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3e4ce7ea-8524-4dc4-afe4-578a48635f96) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 137.668) + (xy 85.852 138.049) + (xy 86.233 138.049) + (xy 86.233 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3eedfc9f-8801-47df-ae13-256cac25f179) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 134.493) + (xy 94.107 134.874) + (xy 94.488 134.874) + (xy 94.488 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f20d5c0-8c66-4bc6-b086-983540dab1c3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 137.668) + (xy 131.572 138.049) + (xy 131.953 138.049) + (xy 131.953 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f3d2cbf-9ce0-4320-8a88-2ab4e5cdcfb4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 133.223) + (xy 99.187 133.604) + (xy 99.568 133.604) + (xy 99.568 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f48c221-7eb3-402c-a000-f11cabf3bac2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 134.493) + (xy 86.487 134.874) + (xy 86.868 134.874) + (xy 86.868 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f6f7076-67b9-4589-a5fa-a50c15b85f9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 132.588) + (xy 89.027 132.969) + (xy 89.408 132.969) + (xy 89.408 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 3f736967-0a7d-49a4-b227-17f8e89eddde) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 133.858) + (xy 80.772 134.239) + (xy 81.153 134.239) + (xy 81.153 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 407c248d-8ff7-4240-930c-f882018e4cbc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 137.668) + (xy 99.187 138.049) + (xy 99.568 138.049) + (xy 99.568 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41953e89-5d3a-4cf0-a036-3f73ee6b03d7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 135.128) + (xy 106.172 135.509) + (xy 106.553 135.509) + (xy 106.553 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41a22a1c-a29a-4c94-9a32-8479c18104e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 138.303) + (xy 90.932 138.684) + (xy 91.313 138.684) + (xy 91.313 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41b7f983-8b74-4dec-ae45-6ad1943c1ead) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 132.588) + (xy 99.187 132.969) + (xy 99.568 132.969) + (xy 99.568 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 41ca9d3d-e054-40b4-95af-961ea89d3b0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 133.858) + (xy 127.127 134.239) + (xy 127.508 134.239) + (xy 127.508 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4211bb8d-b556-452f-8a60-dd4a39f9af36) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 133.223) + (xy 93.472 133.604) + (xy 93.853 133.604) + (xy 93.853 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 421b5c87-4009-4ecd-9fd2-93e4b85b3014) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 136.398) + (xy 83.947 136.779) + (xy 84.328 136.779) + (xy 84.328 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 423f46f5-3390-40c9-959f-b574f0c5714f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 135.128) + (xy 96.012 135.509) + (xy 96.393 135.509) + (xy 96.393 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 43163d7b-f39d-4b72-be5d-b3d8b9bbf470) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 131.953) + (xy 78.232 132.334) + (xy 78.613 132.334) + (xy 78.613 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 439ecfe0-9280-487d-8915-37ebbec66b70) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 134.493) + (xy 78.867 134.874) + (xy 79.248 134.874) + (xy 79.248 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 43fe6599-19dc-4902-bd4d-1ecedb14bf13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 136.398) + (xy 129.667 136.779) + (xy 130.048 136.779) + (xy 130.048 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 443db1c3-08ea-458c-846d-54fb5dac47b3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 133.858) + (xy 108.712 134.239) + (xy 109.093 134.239) + (xy 109.093 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 450e5416-dc72-4c1a-af57-a8494a9cce91) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 133.858) + (xy 88.392 134.239) + (xy 88.773 134.239) + (xy 88.773 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 45dcd1c7-2c8f-4525-ac93-63aa41ce90e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 131.953) + (xy 81.407 132.334) + (xy 81.788 132.334) + (xy 81.788 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 46445140-a36e-4eef-b1a1-43faa1a0b6a1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 137.668) + (xy 111.887 138.049) + (xy 112.268 138.049) + (xy 112.268 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 466ec5f1-81a7-4f84-b04e-8305162d1baa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 134.493) + (xy 83.947 134.874) + (xy 84.328 134.874) + (xy 84.328 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 47867dc7-8ff7-4d94-993e-567aa4108f9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 135.763) + (xy 122.047 136.144) + (xy 122.428 136.144) + (xy 122.428 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 47ee5c0d-091e-4302-ba4b-681b5b561e56) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 137.033) + (xy 101.727 137.414) + (xy 102.108 137.414) + (xy 102.108 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 490bdc15-3a6c-4b77-9d13-da6f9ae74b5c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 136.398) + (xy 78.867 136.779) + (xy 79.248 136.779) + (xy 79.248 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 492d5e9f-34c0-4d8a-a38a-dbe1f7c909f5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 133.858) + (xy 126.492 134.239) + (xy 126.873 134.239) + (xy 126.873 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 49faff63-6def-4538-888b-a8bfc59024e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 133.858) + (xy 129.032 134.239) + (xy 129.413 134.239) + (xy 129.413 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4a57424f-3dcd-436f-8dd3-2ab02a45d6ed) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 132.588) + (xy 78.232 132.969) + (xy 78.613 132.969) + (xy 78.613 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4a94e1bc-785e-466d-800c-7c53bcfe8fd5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 133.223) + (xy 103.632 133.604) + (xy 104.013 133.604) + (xy 104.013 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4b205c96-0593-4d39-bc8c-cf92870ed632) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 137.033) + (xy 83.947 137.414) + (xy 84.328 137.414) + (xy 84.328 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4cb6a49b-6965-489c-acfd-21ee8920eb2a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 132.588) + (xy 104.267 132.969) + (xy 104.648 132.969) + (xy 104.648 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4d77ce26-c3d9-4464-8de3-9d2efd57865b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 135.763) + (xy 106.807 136.144) + (xy 107.188 136.144) + (xy 107.188 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4d81e373-2dfd-48e9-af8b-4cc779982ef6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 135.128) + (xy 123.952 135.509) + (xy 124.333 135.509) + (xy 124.333 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4d8d6adc-695a-4059-9f75-8eb038d1696a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 133.858) + (xy 101.092 134.239) + (xy 101.473 134.239) + (xy 101.473 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4de24b03-1cee-45d7-a2d4-ed889cc93f47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 132.588) + (xy 121.412 132.969) + (xy 121.793 132.969) + (xy 121.793 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4f8c9db7-1fbd-451b-b6ae-a92f846941b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 138.303) + (xy 83.947 138.684) + (xy 84.328 138.684) + (xy 84.328 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 4fe7f409-83e6-469e-af9f-ffbb0efb5811) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 137.668) + (xy 103.632 138.049) + (xy 104.013 138.049) + (xy 104.013 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 503d1f17-12a1-4924-bf85-dee8d72ad4b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 133.858) + (xy 114.427 134.239) + (xy 114.808 134.239) + (xy 114.808 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 507a5d8e-9936-4569-b75b-a2a79ab39e5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 135.763) + (xy 132.207 136.144) + (xy 132.588 136.144) + (xy 132.588 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 50833a4d-cc21-41aa-bade-fee30d5d1373) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 137.668) + (xy 129.667 138.049) + (xy 130.048 138.049) + (xy 130.048 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 509605da-1bd3-4399-8662-f870b3dc7072) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 136.398) + (xy 93.472 136.779) + (xy 93.853 136.779) + (xy 93.853 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 51426789-2d81-4d28-8786-08d419b49c2b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 133.223) + (xy 104.267 133.604) + (xy 104.648 133.604) + (xy 104.648 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 522be41c-0a58-4546-abc5-a65df46d7d50) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 136.398) + (xy 88.392 136.779) + (xy 88.773 136.779) + (xy 88.773 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5252fdc8-05cd-4727-a53c-8eb52734b3f4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 137.668) + (xy 113.792 138.049) + (xy 114.173 138.049) + (xy 114.173 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 52774326-5f60-4f6b-9470-4111d8da53fb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 138.303) + (xy 121.412 138.684) + (xy 121.793 138.684) + (xy 121.793 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 52ef2031-b09e-4466-abf3-2f69e1f2a9f1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 138.303) + (xy 89.027 138.684) + (xy 89.408 138.684) + (xy 89.408 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5301b9d4-8886-4e68-9d62-d2dd17f9b6d8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 137.668) + (xy 91.567 138.049) + (xy 91.948 138.049) + (xy 91.948 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 53d63d11-5468-4267-9208-81597c30d57a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 137.033) + (xy 88.392 137.414) + (xy 88.773 137.414) + (xy 88.773 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 53f9f2b3-bd5c-4e15-9d2a-8d3cffbed8da) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 132.588) + (xy 134.112 132.969) + (xy 134.493 132.969) + (xy 134.493 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5409a37c-c6ed-41a5-8d52-701aa52e7464) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 136.398) + (xy 89.027 136.779) + (xy 89.408 136.779) + (xy 89.408 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 546d0dc0-bf09-4476-adcf-4f5ea0a58454) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 137.668) + (xy 80.772 138.049) + (xy 81.153 138.049) + (xy 81.153 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 55089512-f6da-4fc2-9815-b70ffc3b34a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 133.223) + (xy 96.012 133.604) + (xy 96.393 133.604) + (xy 96.393 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 55528803-86d3-4ca3-8d96-704414372a05) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 133.223) + (xy 96.647 133.604) + (xy 97.028 133.604) + (xy 97.028 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5570d3a7-4162-42f0-adca-469ddeb9fa5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 135.763) + (xy 96.647 136.144) + (xy 97.028 136.144) + (xy 97.028 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 56f54a66-f520-4d43-ac17-0c8d0d22b82c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 135.763) + (xy 101.092 136.144) + (xy 101.473 136.144) + (xy 101.473 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 57660412-f9f4-4e2d-90d5-13fbf1cedd0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 133.223) + (xy 108.712 133.604) + (xy 109.093 133.604) + (xy 109.093 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 576c91d6-535b-483f-b28c-f7a4466f9540) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 134.493) + (xy 113.792 134.874) + (xy 114.173 134.874) + (xy 114.173 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 57b5a654-ef10-4638-a387-c4db44b5dca6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 131.953) + (xy 86.487 132.334) + (xy 86.868 132.334) + (xy 86.868 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 58d2df33-0bd6-4a7c-bd22-a6a418b1cea1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 137.033) + (xy 83.312 137.414) + (xy 83.693 137.414) + (xy 83.693 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 59b99417-b7fc-4333-b81a-dd23ad353717) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 135.128) + (xy 99.187 135.509) + (xy 99.568 135.509) + (xy 99.568 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5ba70a83-28b3-4c90-bbc4-b861ce478150) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 132.588) + (xy 83.947 132.969) + (xy 84.328 132.969) + (xy 84.328 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5c5e52e5-9763-4015-a36d-32a4276a9a76) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 135.128) + (xy 129.032 135.509) + (xy 129.413 135.509) + (xy 129.413 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5e3b0029-d33c-4bd7-b3ec-dbcc8a606e34) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 131.953) + (xy 83.947 132.334) + (xy 84.328 132.334) + (xy 84.328 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5ea5fc77-356b-414a-b85c-c6cc7b3fbf07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 137.668) + (xy 122.047 138.049) + (xy 122.428 138.049) + (xy 122.428 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5f105424-0c1d-4a9c-ae3e-8d91c3a708ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 133.858) + (xy 81.407 134.239) + (xy 81.788 134.239) + (xy 81.788 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 5fc961b5-dfa7-4635-82b4-482b8fbeeffb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 134.493) + (xy 96.012 134.874) + (xy 96.393 134.874) + (xy 96.393 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 608febc8-74be-4ab9-97c3-14b8db3cbd4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 131.953) + (xy 96.647 132.334) + (xy 97.028 132.334) + (xy 97.028 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6155779b-0120-4759-b506-333de3ddf251) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 134.493) + (xy 124.587 134.874) + (xy 124.968 134.874) + (xy 124.968 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 625bb350-72b0-462e-b2a8-84565e1d9ed4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 135.763) + (xy 78.867 136.144) + (xy 79.248 136.144) + (xy 79.248 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 62b80ac9-61c4-4280-9893-fb2e0177e618) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 137.033) + (xy 78.232 137.414) + (xy 78.613 137.414) + (xy 78.613 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6341d8db-43dc-4ccd-b27f-ab571c65095b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 131.953) + (xy 113.792 132.334) + (xy 114.173 132.334) + (xy 114.173 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 635d9a93-424c-4d55-aa74-5a0fab9df568) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 131.953) + (xy 83.312 132.334) + (xy 83.693 132.334) + (xy 83.693 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6377eeb9-7a74-4ff7-bd58-b8cc0c0fc2b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 135.128) + (xy 126.492 135.509) + (xy 126.873 135.509) + (xy 126.873 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 637fd705-bdd4-4f22-8ffa-33c9e3a0178b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 133.858) + (xy 122.047 134.239) + (xy 122.428 134.239) + (xy 122.428 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 63d2cf80-382a-4860-9c9d-c67b50dfb950) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 135.128) + (xy 101.092 135.509) + (xy 101.473 135.509) + (xy 101.473 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 63e71ea4-6767-41da-80a8-88b22c96d26e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 135.128) + (xy 127.127 135.509) + (xy 127.508 135.509) + (xy 127.508 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 649658ab-26d6-4e70-8da2-1fb3dd72980a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 137.668) + (xy 78.232 138.049) + (xy 78.613 138.049) + (xy 78.613 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 650c9148-e3ad-427c-bd7f-517c2c57d1fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 138.303) + (xy 86.487 138.684) + (xy 86.868 138.684) + (xy 86.868 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6525e784-400b-459c-9703-2f9d1d713d9a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 137.033) + (xy 129.667 137.414) + (xy 130.048 137.414) + (xy 130.048 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 65c50ad5-5e8b-4538-b88e-95992148cf4d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 132.588) + (xy 124.587 132.969) + (xy 124.968 132.969) + (xy 124.968 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 65dab84c-418e-4262-b796-68efe5241b39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 133.858) + (xy 93.472 134.239) + (xy 93.853 134.239) + (xy 93.853 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 65fbabd7-0487-41e2-8eea-06287b114114) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 135.128) + (xy 88.392 135.509) + (xy 88.773 135.509) + (xy 88.773 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66217106-c997-4b3c-9b6b-252f53adbe60) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 135.128) + (xy 108.712 135.509) + (xy 109.093 135.509) + (xy 109.093 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 662d7fcf-12e7-4e20-9577-2d1569963b66) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 132.588) + (xy 108.712 132.969) + (xy 109.093 132.969) + (xy 109.093 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66632006-571a-4edd-8129-0d9927b5cc31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 131.953) + (xy 108.712 132.334) + (xy 109.093 132.334) + (xy 109.093 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66c48d1d-80a4-49b6-9141-fa44fdfa6555) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 137.668) + (xy 124.587 138.049) + (xy 124.968 138.049) + (xy 124.968 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66d22082-a7d4-4338-8a30-931af7c97e19) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 138.303) + (xy 131.572 138.684) + (xy 131.953 138.684) + (xy 131.953 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 66ecf4aa-77f9-4b43-abff-55fb4775cb6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 132.588) + (xy 122.047 132.969) + (xy 122.428 132.969) + (xy 122.428 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 67529808-92ee-488a-837d-8c7c788f595f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 137.668) + (xy 134.112 138.049) + (xy 134.493 138.049) + (xy 134.493 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 67dd18db-dd97-4f54-824c-371111d53916) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 133.223) + (xy 129.032 133.604) + (xy 129.413 133.604) + (xy 129.413 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 67fa9129-099c-4121-ae73-5f57da4d581a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 135.763) + (xy 134.112 136.144) + (xy 134.493 136.144) + (xy 134.493 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 68cbc89e-01a9-4a69-886b-df7a68fd8d42) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 137.033) + (xy 91.567 137.414) + (xy 91.948 137.414) + (xy 91.948 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 690cb8d0-8605-4761-b557-2581c1244a4f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 135.128) + (xy 78.867 135.509) + (xy 79.248 135.509) + (xy 79.248 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6a088df5-a1bd-4a39-ab6f-aafae86885b2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 132.588) + (xy 111.887 132.969) + (xy 112.268 132.969) + (xy 112.268 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6aa884d1-3d0b-41a1-8772-1f44f240ef47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 133.858) + (xy 132.207 134.239) + (xy 132.588 134.239) + (xy 132.588 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6af663f6-162d-4034-9db8-50272662536e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 137.668) + (xy 83.312 138.049) + (xy 83.693 138.049) + (xy 83.693 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6b8f66e8-b975-4c13-b057-1b8740f06459) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 135.763) + (xy 98.552 136.144) + (xy 98.933 136.144) + (xy 98.933 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6bf5beee-12a8-43be-b211-0d5cfb4dd937) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 136.398) + (xy 121.412 136.779) + (xy 121.793 136.779) + (xy 121.793 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6c5c340c-5f29-430e-9629-cddf904c0002) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 135.763) + (xy 106.172 136.144) + (xy 106.553 136.144) + (xy 106.553 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6ca24ab6-bfad-49ad-aa43-09c636063fa3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 138.303) + (xy 93.472 138.684) + (xy 93.853 138.684) + (xy 93.853 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6cb75ef5-a8c0-4f02-96b6-92fb57a0c497) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 136.398) + (xy 108.712 136.779) + (xy 109.093 136.779) + (xy 109.093 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6cc05f77-2264-472e-a219-3b4081ce4440) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 135.763) + (xy 129.667 136.144) + (xy 130.048 136.144) + (xy 130.048 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6cf4f2bd-7bed-4322-9ff6-43f2a9d43e00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 134.493) + (xy 123.952 134.874) + (xy 124.333 134.874) + (xy 124.333 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6d3b8bce-4bfd-452e-be72-1c95582b1ae5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 133.223) + (xy 85.852 133.604) + (xy 86.233 133.604) + (xy 86.233 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6f41a806-09db-4d33-8a42-86913be594f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 136.398) + (xy 111.887 136.779) + (xy 112.268 136.779) + (xy 112.268 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 6f698b83-542d-4ad3-a108-86384e55579a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 134.493) + (xy 106.172 134.874) + (xy 106.553 134.874) + (xy 106.553 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7006c50d-8334-49e0-b776-2d9fe953f970) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 133.223) + (xy 91.567 133.604) + (xy 91.948 133.604) + (xy 91.948 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 70c32072-5ecf-4bcb-a936-588ad98c844a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 131.953) + (xy 103.632 132.334) + (xy 104.013 132.334) + (xy 104.013 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 720edffa-bc14-44d1-b853-b5f42a1f8d94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 137.668) + (xy 132.207 138.049) + (xy 132.588 138.049) + (xy 132.588 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 73516bb7-41b7-4a28-aee6-35896c4976a2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 133.858) + (xy 90.932 134.239) + (xy 91.313 134.239) + (xy 91.313 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 73847933-e814-41d5-af02-c343e13b81fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 134.493) + (xy 85.852 134.874) + (xy 86.233 134.874) + (xy 86.233 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 738a0b37-3931-4fd2-b837-f52ab44950c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 137.668) + (xy 111.252 138.049) + (xy 111.633 138.049) + (xy 111.633 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7457d91d-81c3-4e21-ae0e-a53179f395f2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 136.398) + (xy 96.012 136.779) + (xy 96.393 136.779) + (xy 96.393 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 745c27cd-26dc-4e5b-bcd0-fac796e29198) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 133.223) + (xy 101.727 133.604) + (xy 102.108 133.604) + (xy 102.108 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 755db645-0c7b-4f98-9e66-1c1f9721ba69) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 137.033) + (xy 81.407 137.414) + (xy 81.788 137.414) + (xy 81.788 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 75a3b96c-2af6-45b0-968c-f1a720d9db12) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 136.398) + (xy 91.567 136.779) + (xy 91.948 136.779) + (xy 91.948 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 76d7aa0b-424c-43bf-91f8-ce73b88dd46c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 135.763) + (xy 109.347 136.144) + (xy 109.728 136.144) + (xy 109.728 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 779bc4ea-a6a4-4d18-a21d-d0b91affcadf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 137.668) + (xy 109.347 138.049) + (xy 109.728 138.049) + (xy 109.728 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 795f7ad2-c6e1-49bf-a46e-081563eea279) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 136.398) + (xy 96.647 136.779) + (xy 97.028 136.779) + (xy 97.028 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 79ade1c6-043b-4ae3-8ebb-8285faa32d5f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 137.033) + (xy 106.807 137.414) + (xy 107.188 137.414) + (xy 107.188 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 79d211c8-ab2c-4f9e-93a5-7aa0bdb74836) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 135.763) + (xy 88.392 136.144) + (xy 88.773 136.144) + (xy 88.773 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7a02d773-548a-401c-99a5-4d14651c5c0b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 133.858) + (xy 121.412 134.239) + (xy 121.793 134.239) + (xy 121.793 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7ac819e7-0887-4e9e-ab45-85539841ef39) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 137.668) + (xy 83.947 138.049) + (xy 84.328 138.049) + (xy 84.328 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7acdd7a7-f285-4a9a-9b52-8e159d2d30c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 138.303) + (xy 113.792 138.684) + (xy 114.173 138.684) + (xy 114.173 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7ad32a12-e4de-46f9-b99f-6438adb616e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 134.493) + (xy 88.392 134.874) + (xy 88.773 134.874) + (xy 88.773 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7aee5969-255b-4189-8213-1553e6ca6c35) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 134.493) + (xy 127.127 134.874) + (xy 127.508 134.874) + (xy 127.508 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7b4b6278-60f9-4faf-ab0e-e389a7014afe) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 134.493) + (xy 111.252 134.874) + (xy 111.633 134.874) + (xy 111.633 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7b88050f-7f1c-4143-baa7-862796275ef6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 133.223) + (xy 106.807 133.604) + (xy 107.188 133.604) + (xy 107.188 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7baf1f84-dd79-4244-b92c-9cd71cdd6aba) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 133.223) + (xy 134.747 133.604) + (xy 135.128 133.604) + (xy 135.128 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7bff3542-3a61-4c0d-8019-ff7e7cc319e5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 135.128) + (xy 103.632 135.509) + (xy 104.013 135.509) + (xy 104.013 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7c5c5b51-a458-4875-a05a-b12723f461e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 137.033) + (xy 127.127 137.414) + (xy 127.508 137.414) + (xy 127.508 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7cb36c60-97f8-4338-a553-2fa609448960) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 138.303) + (xy 134.112 138.684) + (xy 134.493 138.684) + (xy 134.493 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7d71756a-daf0-42b2-b01e-8c34b0d19746) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 135.128) + (xy 124.587 135.509) + (xy 124.968 135.509) + (xy 124.968 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7d9b747d-ec21-48f6-bad0-808440ea96c9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 133.858) + (xy 101.727 134.239) + (xy 102.108 134.239) + (xy 102.108 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7e3dfb2f-627b-4600-a2e2-54de23861917) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 136.398) + (xy 129.032 136.779) + (xy 129.413 136.779) + (xy 129.413 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 7ff2404e-e595-42ae-a970-75a2dd1abc28) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 137.033) + (xy 89.027 137.414) + (xy 89.408 137.414) + (xy 89.408 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8033f099-9265-44ce-b030-dfcad66d302e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 135.763) + (xy 101.727 136.144) + (xy 102.108 136.144) + (xy 102.108 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 808ab679-98fc-4712-9ebf-a50f6db683b0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 135.763) + (xy 80.772 136.144) + (xy 81.153 136.144) + (xy 81.153 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 808ddd3c-e2f8-4f05-b169-3539a93ea733) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 133.223) + (xy 83.947 133.604) + (xy 84.328 133.604) + (xy 84.328 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 80b40300-2076-4c4b-b5ae-52fe2b495bff) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 137.033) + (xy 134.747 137.414) + (xy 135.128 137.414) + (xy 135.128 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8129fc73-e3c9-4d48-aa52-3f8594133cd0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 136.398) + (xy 111.252 136.779) + (xy 111.633 136.779) + (xy 111.633 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 829f6319-3a37-44fe-a041-c00b421fc5b7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 133.223) + (xy 83.312 133.604) + (xy 83.693 133.604) + (xy 83.693 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 845a56e9-ab5f-4da1-95f4-564a7e5d76c1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 132.588) + (xy 96.012 132.969) + (xy 96.393 132.969) + (xy 96.393 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 85259065-0cdb-43e9-93db-238b49ceab8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 135.128) + (xy 134.112 135.509) + (xy 134.493 135.509) + (xy 134.493 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8584a1b7-d7d3-4d39-b128-b1174c47414c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 137.668) + (xy 129.032 138.049) + (xy 129.413 138.049) + (xy 129.413 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 85eaea3b-45c7-4b0d-8224-969d63d941d6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 138.303) + (xy 96.647 138.684) + (xy 97.028 138.684) + (xy 97.028 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8630ae83-d713-4563-90eb-3cfb0975d67e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 137.033) + (xy 111.252 137.414) + (xy 111.633 137.414) + (xy 111.633 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 869ce9bc-c992-47ff-a109-bd4537bb03eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 134.493) + (xy 81.407 134.874) + (xy 81.788 134.874) + (xy 81.788 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 873c3b4b-f45e-45c9-bdf3-cf798745af0f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 137.668) + (xy 94.107 138.049) + (xy 94.488 138.049) + (xy 94.488 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 873e0495-8c1c-4966-bc54-e25ed3ac1728) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 137.033) + (xy 126.492 137.414) + (xy 126.873 137.414) + (xy 126.873 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 877f7da9-03d6-43ab-a24c-bf7b2641358f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 132.588) + (xy 127.127 132.969) + (xy 127.508 132.969) + (xy 127.508 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 87ab22e7-00a2-42c3-ad67-efbe0d4df13c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 132.588) + (xy 91.567 132.969) + (xy 91.948 132.969) + (xy 91.948 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8853a184-3391-4980-94f7-760438bc6b00) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 132.588) + (xy 85.852 132.969) + (xy 86.233 132.969) + (xy 86.233 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8864c37f-2b05-4d81-9315-20b7a4ef2b63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 135.128) + (xy 129.667 135.509) + (xy 130.048 135.509) + (xy 130.048 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 889888ce-e8d6-41d1-a7b7-4434e2d79426) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 133.223) + (xy 98.552 133.604) + (xy 98.933 133.604) + (xy 98.933 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 89350569-1fc6-4fa5-bbbd-3d8cc7425c0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 138.303) + (xy 88.392 138.684) + (xy 88.773 138.684) + (xy 88.773 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 895a6552-cc29-459b-87db-0fefe8f86043) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 133.223) + (xy 89.027 133.604) + (xy 89.408 133.604) + (xy 89.408 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 89df4d2e-be42-49e8-8b85-47f8cbef5748) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 135.128) + (xy 83.312 135.509) + (xy 83.693 135.509) + (xy 83.693 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8aeb1986-964b-4c5d-a629-123b472dc1a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 132.588) + (xy 80.772 132.969) + (xy 81.153 132.969) + (xy 81.153 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8b1dcb4f-f24a-4e1c-a092-0033220f83a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 133.858) + (xy 78.232 134.239) + (xy 78.613 134.239) + (xy 78.613 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8c322b32-4acc-4e84-a043-a73f4c11e92f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 138.303) + (xy 127.127 138.684) + (xy 127.508 138.684) + (xy 127.508 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8c90cf80-9c48-44a0-868b-d2d8a4db5418) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 138.303) + (xy 98.552 138.684) + (xy 98.933 138.684) + (xy 98.933 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8cb3b9e6-2d55-4f19-8a8e-992cf5b88a13) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 131.953) + (xy 129.032 132.334) + (xy 129.413 132.334) + (xy 129.413 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8cdb43ed-31f9-4ab4-a979-b858c5f5e4fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 132.588) + (xy 88.392 132.969) + (xy 88.773 132.969) + (xy 88.773 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8ee73a2d-6834-4cd8-b7a8-3c3968018796) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 135.128) + (xy 111.252 135.509) + (xy 111.633 135.509) + (xy 111.633 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8f654ac0-1459-4ffc-a1b2-da7695002644) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 135.128) + (xy 122.047 135.509) + (xy 122.428 135.509) + (xy 122.428 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8f7fcfac-e65a-44c1-8dc5-62ba7995bd8e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 136.398) + (xy 132.207 136.779) + (xy 132.588 136.779) + (xy 132.588 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 8ffaf6ff-0095-46a7-9402-750b1c71cb9d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 137.033) + (xy 101.092 137.414) + (xy 101.473 137.414) + (xy 101.473 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 905c0601-c207-43d9-976f-1adbecfba4e1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 133.858) + (xy 96.647 134.239) + (xy 97.028 134.239) + (xy 97.028 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 90a1f1e5-35c0-44c8-a241-90f5200b5094) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 132.588) + (xy 103.632 132.969) + (xy 104.013 132.969) + (xy 104.013 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9100a027-4973-4092-8b0b-85b710d05d15) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 132.588) + (xy 111.252 132.969) + (xy 111.633 132.969) + (xy 111.633 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9215430c-e784-4ada-91be-bdee9c92a0a4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 138.303) + (xy 124.587 138.684) + (xy 124.968 138.684) + (xy 124.968 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 93f56fa3-03d9-4c98-a672-d1e1bb06d209) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 131.953) + (xy 134.112 132.334) + (xy 134.493 132.334) + (xy 134.493 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 94aa5a21-e1f3-4787-8d11-e19de3f9c37d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 132.588) + (xy 109.347 132.969) + (xy 109.728 132.969) + (xy 109.728 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 94e4bba4-c71e-4a4b-a340-2842fcd8246e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 138.303) + (xy 114.427 138.684) + (xy 114.808 138.684) + (xy 114.808 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 953fe9ea-7e9c-47ea-8f2b-925e2cdf57a5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 134.493) + (xy 104.267 134.874) + (xy 104.648 134.874) + (xy 104.648 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 956ef31f-e446-40e7-83e4-a9ae4c5b61eb) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 133.858) + (xy 94.107 134.239) + (xy 94.488 134.239) + (xy 94.488 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 95fb04ca-5d41-400d-8994-17da20c7d67e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 135.763) + (xy 99.187 136.144) + (xy 99.568 136.144) + (xy 99.568 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 967df892-695a-4527-a058-e1f3fc946380) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 138.303) + (xy 129.667 138.684) + (xy 130.048 138.684) + (xy 130.048 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 96f10d48-f2ae-4e4c-add5-9d564a29e7e3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 134.493) + (xy 93.472 134.874) + (xy 93.853 134.874) + (xy 93.853 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 986d6069-d701-4999-a946-b10f759588a3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 138.303) + (xy 106.172 138.684) + (xy 106.553 138.684) + (xy 106.553 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 997ade54-290f-4ad6-8c0d-ffff66cfe45f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 137.033) + (xy 129.032 137.414) + (xy 129.413 137.414) + (xy 129.413 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9d32e36c-875f-4591-bd00-2d91b44f0687) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 135.128) + (xy 109.347 135.509) + (xy 109.728 135.509) + (xy 109.728 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9db4a2a2-884c-4640-8d06-5bad454a9dbf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 134.493) + (xy 131.572 134.874) + (xy 131.953 134.874) + (xy 131.953 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9dc6924a-2888-4d1d-a74d-c27e05f159f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 132.588) + (xy 96.647 132.969) + (xy 97.028 132.969) + (xy 97.028 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9df52351-382a-4be6-8e4f-21e3fadfd001) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 136.398) + (xy 134.747 136.779) + (xy 135.128 136.779) + (xy 135.128 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9e9fb6c1-ffce-4a30-89b2-0163c970c57f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 132.588) + (xy 106.807 132.969) + (xy 107.188 132.969) + (xy 107.188 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9ec1af2b-c583-43e5-b822-308d052c637e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 135.763) + (xy 113.792 136.144) + (xy 114.173 136.144) + (xy 114.173 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9ed66281-7ed1-4ae2-8ac8-175adf7dff09) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 137.668) + (xy 108.712 138.049) + (xy 109.093 138.049) + (xy 109.093 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9eebfa0b-8a80-4389-8f2e-6c42c7887d27) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 136.398) + (xy 104.267 136.779) + (xy 104.648 136.779) + (xy 104.648 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9efe5220-1f6e-4e60-ae8a-ddad431792de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 135.128) + (xy 94.107 135.509) + (xy 94.488 135.509) + (xy 94.488 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp 9f160768-3585-49c3-a846-603246645a21) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 133.223) + (xy 123.952 133.604) + (xy 124.333 133.604) + (xy 124.333 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a0175875-a646-4947-984d-92a87b20e029) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 131.953) + (xy 106.172 132.334) + (xy 106.553 132.334) + (xy 106.553 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a04a00da-f3ee-49cd-8bd7-96a12ab9a467) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 136.398) + (xy 90.932 136.779) + (xy 91.313 136.779) + (xy 91.313 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a0ce80c7-f621-4658-8623-9fad2c8f52a9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 133.223) + (xy 131.572 133.604) + (xy 131.953 133.604) + (xy 131.953 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a1b34345-c2b7-4dff-9b0e-89a5e64d7bf6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 135.763) + (xy 131.572 136.144) + (xy 131.953 136.144) + (xy 131.953 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a251592e-86b8-4c5c-b75f-c36ab22b6747) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 132.588) + (xy 113.792 132.969) + (xy 114.173 132.969) + (xy 114.173 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a2b98959-9aa2-4eea-b14e-dc7c9f9fd5e4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 133.858) + (xy 123.952 134.239) + (xy 124.333 134.239) + (xy 124.333 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a3384ae9-7402-4f2b-9597-2239eaf31525) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 136.398) + (xy 81.407 136.779) + (xy 81.788 136.779) + (xy 81.788 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a4c6f8e6-14f7-4a1d-b2f6-e12295b676dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 134.493) + (xy 129.667 134.874) + (xy 130.048 134.874) + (xy 130.048 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a5152b71-f664-4240-b96a-e1df2ed32313) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 131.953) + (xy 121.412 132.334) + (xy 121.793 132.334) + (xy 121.793 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a520a633-239e-4a33-b55d-f4b157f25a47) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 138.303) + (xy 106.807 138.684) + (xy 107.188 138.684) + (xy 107.188 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a563cc42-afb6-4dcf-bd06-09028ab1eed0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 134.493) + (xy 108.712 134.874) + (xy 109.093 134.874) + (xy 109.093 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a5bef83a-f5b0-4cd5-bc90-5b1a13c232e8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 133.858) + (xy 106.172 134.239) + (xy 106.553 134.239) + (xy 106.553 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a5df8f07-3d1f-47f2-8db9-2e50d152ad8f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 135.128) + (xy 89.027 135.509) + (xy 89.408 135.509) + (xy 89.408 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a65af937-0951-4e90-a2b7-972dbff3f42f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 133.223) + (xy 80.772 133.604) + (xy 81.153 133.604) + (xy 81.153 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a704fad3-6d18-49bd-8cc7-1ebe31785d6e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 137.033) + (xy 78.867 137.414) + (xy 79.248 137.414) + (xy 79.248 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a9333bd4-d1da-4927-b4c0-c8a98d15796f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 131.953) + (xy 80.772 132.334) + (xy 81.153 132.334) + (xy 81.153 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp a9eb7b2e-423a-4a49-bfe2-58c71c083d41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 132.588) + (xy 114.427 132.969) + (xy 114.808 132.969) + (xy 114.808 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp aae97110-cfad-47ac-8469-3367e6637c4e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 136.398) + (xy 113.792 136.779) + (xy 114.173 136.779) + (xy 114.173 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ab02100c-6647-478a-99e7-4e924deec38e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 137.668) + (xy 106.807 138.049) + (xy 107.188 138.049) + (xy 107.188 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ab35c5f7-4db9-4113-b8ea-52a89232e7fa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 136.398) + (xy 78.232 136.779) + (xy 78.613 136.779) + (xy 78.613 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ac9d2c60-b267-44be-8bd3-4f224ac7ffd2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 132.588) + (xy 106.172 132.969) + (xy 106.553 132.969) + (xy 106.553 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp acda4eb9-396e-47cd-8df6-1adb2ad949c2) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 135.128) + (xy 80.772 135.509) + (xy 81.153 135.509) + (xy 81.153 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ad4c7aa3-a45a-4515-b3e5-cb2fb3511748) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 133.858) + (xy 89.027 134.239) + (xy 89.408 134.239) + (xy 89.408 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ad5c0bbe-88b9-48f0-b61b-a2e110e7b26f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 138.303) + (xy 78.867 138.684) + (xy 79.248 138.684) + (xy 79.248 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp adf3028e-c5bc-44d6-83f5-556aa9dfbbd6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 135.763) + (xy 134.747 136.144) + (xy 135.128 136.144) + (xy 135.128 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ae289a17-b174-4eab-8020-ac923dc64483) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 134.493) + (xy 134.747 134.874) + (xy 135.128 134.874) + (xy 135.128 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ae314047-56ad-411e-bd56-9613e9b6565b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 135.128) + (xy 131.572 135.509) + (xy 131.953 135.509) + (xy 131.953 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ae8b291c-b4a5-4c84-a3a6-eb10ef3abcc6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 124.587 131.953) + (xy 124.587 132.334) + (xy 124.968 132.334) + (xy 124.968 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp af3e8bc2-47b9-44f2-8a21-7d53b9fb2a22) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 138.303) + (xy 132.207 138.684) + (xy 132.588 138.684) + (xy 132.588 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp af5bbd6f-9b0b-4344-9b83-b8fa168a91c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 135.763) + (xy 78.232 136.144) + (xy 78.613 136.144) + (xy 78.613 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp af60b9f1-2067-43b4-b9a9-57f302768a0c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 136.398) + (xy 106.807 136.779) + (xy 107.188 136.779) + (xy 107.188 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp afb99534-4ef1-4c06-92ff-860ba489e966) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 135.128) + (xy 91.567 135.509) + (xy 91.948 135.509) + (xy 91.948 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp afcb7ba3-e76f-4e6c-8909-fd5df6ee51cd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 133.858) + (xy 96.012 134.239) + (xy 96.393 134.239) + (xy 96.393 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp afe05b0f-6365-4afe-8662-a3e57f542fee) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 132.588) + (xy 93.472 132.969) + (xy 93.853 132.969) + (xy 93.853 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b03b163e-014f-4dc5-a684-87b14dc1a3b8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 131.953) + (xy 88.392 132.334) + (xy 88.773 132.334) + (xy 88.773 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b0a1774e-b24a-4e0c-86dc-0b8f97ed7b7f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 132.588) + (xy 81.407 132.969) + (xy 81.788 132.969) + (xy 81.788 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b2039203-9fba-4248-9ae7-404a7bc684f7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 133.223) + (xy 113.792 133.604) + (xy 114.173 133.604) + (xy 114.173 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b3302211-81b1-4820-b963-270f6bdd2d94) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 137.668) + (xy 93.472 138.049) + (xy 93.853 138.049) + (xy 93.853 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b41c62ad-d47d-4487-9e25-20866e7d23c5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 134.493) + (xy 122.047 134.874) + (xy 122.428 134.874) + (xy 122.428 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b43830a5-6386-4a31-ab3f-db53a37da21b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 135.128) + (xy 85.852 135.509) + (xy 86.233 135.509) + (xy 86.233 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b438c400-411e-463c-8df5-4fcd5bd9c99b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 134.493) + (xy 80.772 134.874) + (xy 81.153 134.874) + (xy 81.153 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b5511f03-4e78-472c-97a3-5f0e5115a221) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 133.858) + (xy 98.552 134.239) + (xy 98.933 134.239) + (xy 98.933 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b5e994e4-4e5f-4955-b105-9241defc088d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 133.858) + (xy 131.572 134.239) + (xy 131.953 134.239) + (xy 131.953 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b6ba8462-c9e9-4e39-b6a0-717c822e14e9) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 137.668) + (xy 121.412 138.049) + (xy 121.793 138.049) + (xy 121.793 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b6cbbca3-104b-47a9-8344-0fe4902c99fd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 136.398) + (xy 114.427 136.779) + (xy 114.808 136.779) + (xy 114.808 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b6d02139-2e1b-4782-8689-dc240020709c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 135.128) + (xy 86.487 135.509) + (xy 86.868 135.509) + (xy 86.868 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b79e8d6f-6575-4614-b621-37fdd3a77626) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 136.398) + (xy 126.492 136.779) + (xy 126.873 136.779) + (xy 126.873 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b7d29c8d-5cec-4bbc-b469-625c579e198f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 133.858) + (xy 86.487 134.239) + (xy 86.868 134.239) + (xy 86.868 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b82228eb-d841-437a-97a5-ca1efa0fb54b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 131.953) + (xy 89.027 132.334) + (xy 89.408 132.334) + (xy 89.408 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b959144e-d7e1-46f5-8239-e68870dfd847) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 133.858) + (xy 78.867 134.239) + (xy 79.248 134.239) + (xy 79.248 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp b9cec532-b26f-41ee-a6a8-26f91b166461) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 137.033) + (xy 134.112 137.414) + (xy 134.493 137.414) + (xy 134.493 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ba8f08e8-a523-4206-8c05-ea5006367691) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 136.398) + (xy 123.952 136.779) + (xy 124.333 136.779) + (xy 124.333 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp badb72cb-15e5-4484-ad95-ca2a00e2e615) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 135.128) + (xy 106.807 135.509) + (xy 107.188 135.509) + (xy 107.188 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bbd7d0fb-d412-4f97-bb9b-273a14956675) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 86.487 133.223) + (xy 86.487 133.604) + (xy 86.868 133.604) + (xy 86.868 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bcd011ec-7335-4ed9-8dfc-fb67db791220) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 135.763) + (xy 123.952 136.144) + (xy 124.333 136.144) + (xy 124.333 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bde2fd89-f828-4fd3-9cbc-7e36403c3515) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 138.303) + (xy 111.887 138.684) + (xy 112.268 138.684) + (xy 112.268 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bde3aafb-3bce-4d25-ae1d-652d5bdacfb7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 137.668) + (xy 127.127 138.049) + (xy 127.508 138.049) + (xy 127.508 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bf2f227b-dfde-4f21-beb9-90c6e4d31990) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 135.763) + (xy 127.127 136.144) + (xy 127.508 136.144) + (xy 127.508 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bfdf7247-e533-4a0b-877e-0ba6eea850a7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 134.493) + (xy 101.092 134.874) + (xy 101.473 134.874) + (xy 101.473 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp bff363fb-4ed6-407b-97c0-637c2c51b1b4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 137.033) + (xy 109.347 137.414) + (xy 109.728 137.414) + (xy 109.728 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c045076d-2a68-4285-a606-13ad5b82c5d3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 136.398) + (xy 101.092 136.779) + (xy 101.473 136.779) + (xy 101.473 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c0624cbd-3372-4514-ae6b-a5f497049164) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 137.668) + (xy 98.552 138.049) + (xy 98.933 138.049) + (xy 98.933 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c22439c2-0392-4666-bf62-6955e29ec6af) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 138.303) + (xy 101.727 138.684) + (xy 102.108 138.684) + (xy 102.108 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c311446f-cda4-4a5a-8c4b-664ffda10260) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 132.588) + (xy 90.932 132.969) + (xy 91.313 132.969) + (xy 91.313 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c3af506b-2692-48c0-adc5-fd619bf2dd6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 137.668) + (xy 78.867 138.049) + (xy 79.248 138.049) + (xy 79.248 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c41939a3-779c-4084-a2ae-304078c941ae) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 132.588) + (xy 83.312 132.969) + (xy 83.693 132.969) + (xy 83.693 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c49c0de3-19c1-41e6-8c39-e9d080c2ee99) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 134.493) + (xy 126.492 134.874) + (xy 126.873 134.874) + (xy 126.873 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c513aae5-0086-4734-bb35-fa31e7a435de) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 132.588) + (xy 94.107 132.969) + (xy 94.488 132.969) + (xy 94.488 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c5fdb651-a5a7-40fa-9837-54c4ff8b13f0) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 138.303) + (xy 81.407 138.684) + (xy 81.788 138.684) + (xy 81.788 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c72390cd-fd14-4205-be36-a5d63abe2f63) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 131.953) + (xy 111.252 132.334) + (xy 111.633 132.334) + (xy 111.633 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c89b5b78-25a4-455e-8d39-d54702369f31) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 137.033) + (xy 93.472 137.414) + (xy 93.853 137.414) + (xy 93.853 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c954e916-0ec0-4cc8-a91e-4f413fb6f82f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 134.493) + (xy 96.647 134.874) + (xy 97.028 134.874) + (xy 97.028 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c960f186-b131-48ef-bc6e-eba92744cb52) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 137.033) + (xy 85.852 137.414) + (xy 86.233 137.414) + (xy 86.233 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c9cb63c6-b7ca-4456-a40f-4b5e53dabe1f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 136.398) + (xy 106.172 136.779) + (xy 106.553 136.779) + (xy 106.553 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp c9ff16d9-086f-4228-9980-d850d536a13a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 138.303) + (xy 111.252 138.684) + (xy 111.633 138.684) + (xy 111.633 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cace39b4-8f16-41f7-b472-f13c758cf619) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 135.763) + (xy 83.947 136.144) + (xy 84.328 136.144) + (xy 84.328 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cb58b927-392c-4621-badd-c94bd2309edd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 135.763) + (xy 89.027 136.144) + (xy 89.408 136.144) + (xy 89.408 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cbc13717-8d0f-4b5a-a35f-5a6792f3d60a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 131.953) + (xy 101.092 132.334) + (xy 101.473 132.334) + (xy 101.473 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cc3e67de-b450-440e-b1a9-d1646a2f5a67) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 132.588) + (xy 129.032 132.969) + (xy 129.413 132.969) + (xy 129.413 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cc638cb0-3e7b-4ab3-99b8-53ea2edb4137) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 132.588) + (xy 123.952 132.969) + (xy 124.333 132.969) + (xy 124.333 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cc8c0243-f2a5-45e7-a0c5-2de6712d4708) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 131.953) + (xy 98.552 132.334) + (xy 98.933 132.334) + (xy 98.933 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cd7b8e65-7e92-4a41-8c44-0f7cca8e8039) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 138.303) + (xy 85.852 138.684) + (xy 86.233 138.684) + (xy 86.233 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ce61fd5c-15ec-48f9-bb53-f129c76b23d4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 131.953) + (xy 91.567 132.334) + (xy 91.948 132.334) + (xy 91.948 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cf4c1803-d49d-43e9-ac79-07603054be0d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 133.858) + (xy 91.567 134.239) + (xy 91.948 134.239) + (xy 91.948 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cf66ce25-ad54-46b7-9fd7-feaff2e95189) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 133.223) + (xy 109.347 133.604) + (xy 109.728 133.604) + (xy 109.728 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp cfa8b802-ce27-4531-a17b-38fab21cf908) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 137.033) + (xy 113.792 137.414) + (xy 114.173 137.414) + (xy 114.173 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d093882d-31b2-431e-a81c-f63fcaac6d7b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 137.033) + (xy 90.932 137.414) + (xy 91.313 137.414) + (xy 91.313 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d0a668d0-c7af-441e-b689-d05e16c3ea38) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 85.852 136.398) + (xy 85.852 136.779) + (xy 86.233 136.779) + (xy 86.233 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d0f77efe-4c89-4841-9fb2-cb6e557538ef) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 136.398) + (xy 80.772 136.779) + (xy 81.153 136.779) + (xy 81.153 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d19899e3-6b69-4885-9ec7-2a6292047a45) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 135.128) + (xy 111.887 135.509) + (xy 112.268 135.509) + (xy 112.268 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d254e6ed-1214-4e84-9411-380ff50a5ded) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 135.763) + (xy 104.267 136.144) + (xy 104.648 136.144) + (xy 104.648 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d34edf44-fb5e-4a4a-97dc-a386f578ad54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 134.493) + (xy 101.727 134.874) + (xy 102.108 134.874) + (xy 102.108 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d3acdc91-62d5-417b-b098-c06acb4b4c07) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 135.763) + (xy 94.107 136.144) + (xy 94.488 136.144) + (xy 94.488 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d3bd1a61-e942-4638-b5d3-56c427036514) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 81.407 135.763) + (xy 81.407 136.144) + (xy 81.788 136.144) + (xy 81.788 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d3d2f828-ef1a-4181-8c01-0e32f1daace3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 137.668) + (xy 90.932 138.049) + (xy 91.313 138.049) + (xy 91.313 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d41072d2-0e24-49b7-bc59-86508e6dee1e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 134.493) + (xy 78.232 134.874) + (xy 78.613 134.874) + (xy 78.613 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d4130548-7e5d-4c86-a824-126ed4e5483a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 135.763) + (xy 129.032 136.144) + (xy 129.413 136.144) + (xy 129.413 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d4574b2b-f57d-46e3-a0e0-0021d9a32e95) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 133.223) + (xy 129.667 133.604) + (xy 130.048 133.604) + (xy 130.048 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d4f28a5b-25b7-49b1-b357-ae9b56b07bd4) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 132.588) + (xy 129.667 132.969) + (xy 130.048 132.969) + (xy 130.048 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d59b14f3-b52b-4757-b4f1-abbdf1cd722d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 138.303) + (xy 94.107 138.684) + (xy 94.488 138.684) + (xy 94.488 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d5adb4c6-8e3e-4b70-817f-1fee8f0f16ca) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 104.267 137.033) + (xy 104.267 137.414) + (xy 104.648 137.414) + (xy 104.648 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d7701a6a-edf4-47ae-8b70-1549cfd89998) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 132.588) + (xy 78.867 132.969) + (xy 79.248 132.969) + (xy 79.248 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d7e6eefa-575e-4f47-b915-f78da447d30e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.947 133.858) + (xy 83.947 134.239) + (xy 84.328 134.239) + (xy 84.328 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d7e96cb5-9880-4c48-b2fe-2f019ba81f08) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 93.472 131.953) + (xy 93.472 132.334) + (xy 93.853 132.334) + (xy 93.853 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d883afa1-43ab-4c3d-9a74-f989b0d93781) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 133.223) + (xy 114.427 133.604) + (xy 114.808 133.604) + (xy 114.808 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp d9733f61-9092-44d1-a73c-05805d0563e6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 133.858) + (xy 103.632 134.239) + (xy 104.013 134.239) + (xy 104.013 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp da40a63f-c921-49a4-b274-4a5d541e5092) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.807 131.953) + (xy 106.807 132.334) + (xy 107.188 132.334) + (xy 107.188 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp db5ef0d6-7561-4c13-84e3-2b1b49c37120) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 136.398) + (xy 122.047 136.779) + (xy 122.428 136.779) + (xy 122.428 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp dc56ac2c-77c4-45e2-bf2c-4537d6cf8c68) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 135.763) + (xy 126.492 136.144) + (xy 126.873 136.144) + (xy 126.873 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp de5f045c-2a1b-4d76-81e8-f4c7cac9ef85) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 137.033) + (xy 121.412 137.414) + (xy 121.793 137.414) + (xy 121.793 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp dec7e7a9-9f61-4e56-926d-86b8b37888cc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 133.223) + (xy 90.932 133.604) + (xy 91.313 133.604) + (xy 91.313 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp def081e0-e497-4ebe-bbdd-b6d0d332f9dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 137.033) + (xy 106.172 137.414) + (xy 106.553 137.414) + (xy 106.553 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp df5c8c47-4192-404e-af9c-558176373189) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.867 131.953) + (xy 78.867 132.334) + (xy 79.248 132.334) + (xy 79.248 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp dff5f19d-ead0-41a8-a20d-62009a414ba6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 132.588) + (xy 132.207 132.969) + (xy 132.588 132.969) + (xy 132.588 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e0387c3e-95ed-4c96-bea0-15fde50b7cfa) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 131.953) + (xy 132.207 132.334) + (xy 132.588 132.334) + (xy 132.588 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e19caa75-e7a4-471d-a591-5ddac0efc78d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 138.303) + (xy 80.772 138.684) + (xy 81.153 138.684) + (xy 81.153 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e24c28fe-fbad-47a0-b0ad-e28fd65661dd) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 131.953) + (xy 90.932 132.334) + (xy 91.313 132.334) + (xy 91.313 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e273763f-de62-4e31-95f2-81b3fe359b8a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 133.223) + (xy 94.107 133.604) + (xy 94.488 133.604) + (xy 94.488 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e386798c-65e1-4932-83fa-79299b06a523) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.647 137.033) + (xy 96.647 137.414) + (xy 97.028 137.414) + (xy 97.028 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e51eebc3-2d25-486e-953d-4b8c9290ee6c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 135.128) + (xy 114.427 135.509) + (xy 114.808 135.509) + (xy 114.808 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e53438b5-3255-4871-ace3-a0ba0be5cbb5) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 138.303) + (xy 99.187 138.684) + (xy 99.568 138.684) + (xy 99.568 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e726a673-4bd4-47a0-97d0-2add665fce3c) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 137.668) + (xy 134.747 138.049) + (xy 135.128 138.049) + (xy 135.128 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e72ab982-3c24-4be4-9be2-17c74c0f9cb6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 137.033) + (xy 131.572 137.414) + (xy 131.953 137.414) + (xy 131.953 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e7c922b7-d06c-427d-b51c-28e551d7a20d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 89.027 134.493) + (xy 89.027 134.874) + (xy 89.408 134.874) + (xy 89.408 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e802e1d4-2b53-4381-9efa-d20359051c77) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 109.347 136.398) + (xy 109.347 136.779) + (xy 109.728 136.779) + (xy 109.728 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e86c3ed8-eea7-46b0-830c-98e3b649e810) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 88.392 133.223) + (xy 88.392 133.604) + (xy 88.773 133.604) + (xy 88.773 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e8a5e52f-eea9-4f63-b5ce-0e556a361f5b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 134.493) + (xy 90.932 134.874) + (xy 91.313 134.874) + (xy 91.313 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp e967e69c-dc5e-4c98-a39d-12754fa24796) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 94.107 136.398) + (xy 94.107 136.779) + (xy 94.488 136.779) + (xy 94.488 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ea16fbc4-01c8-4551-bfbe-c77c8e641396) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 96.012 137.668) + (xy 96.012 138.049) + (xy 96.393 138.049) + (xy 96.393 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eaaf88d6-62ae-480c-9ed9-4c336da2b347) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 135.763) + (xy 108.712 136.144) + (xy 109.093 136.144) + (xy 109.093 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb0cf7f1-2987-4232-b6cf-9078e9ed17f3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 114.427 137.668) + (xy 114.427 138.049) + (xy 114.808 138.049) + (xy 114.808 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb697269-5a1f-49cf-9f29-8fbaf150ea86) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 133.858) + (xy 83.312 134.239) + (xy 83.693 134.239) + (xy 83.693 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb6f1293-8779-4958-a441-d551caa70dd8) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 137.033) + (xy 108.712 137.414) + (xy 109.093 137.414) + (xy 109.093 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eb8ddfcf-9a57-4549-9f1d-f577d71c1fb6) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 83.312 136.398) + (xy 83.312 136.779) + (xy 83.693 136.779) + (xy 83.693 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eba73cca-b5c3-45e0-8225-8da5c34c025a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 131.953) + (xy 123.952 132.334) + (xy 124.333 132.334) + (xy 124.333 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ebcfb3ee-3900-4756-8e14-c7d0a21dfa5e) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 131.572 131.953) + (xy 131.572 132.334) + (xy 131.953 132.334) + (xy 131.953 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp eda3e0ae-dffc-4d7b-9630-9b07ee36dfd3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 106.172 137.668) + (xy 106.172 138.049) + (xy 106.553 138.049) + (xy 106.553 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp edce6232-8465-455c-b3ee-e859a751c630) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 131.953) + (xy 99.187 132.334) + (xy 99.568 132.334) + (xy 99.568 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp edefb3b5-a0e2-4b52-9fdc-f8b7f25914e7) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 135.128) + (xy 101.727 135.509) + (xy 102.108 135.509) + (xy 102.108 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ef76de19-baf3-4bc4-be52-012b51e05b41) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 113.792 135.128) + (xy 113.792 135.509) + (xy 114.173 135.509) + (xy 114.173 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f0466bb9-27f1-400d-b56c-8f911044832a) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.667 133.858) + (xy 129.667 134.239) + (xy 130.048 134.239) + (xy 130.048 133.858) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f0ffbce6-277a-44da-ab66-04223a90f323) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 127.127 131.953) + (xy 127.127 132.334) + (xy 127.508 132.334) + (xy 127.508 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f18a0eed-280e-4996-ab68-7fb165d8935b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 129.032 138.303) + (xy 129.032 138.684) + (xy 129.413 138.684) + (xy 129.413 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f1f2a2fd-255f-4194-bfc9-e9b2af39f626) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.252 135.763) + (xy 111.252 136.144) + (xy 111.633 136.144) + (xy 111.633 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f23128ac-b7f2-488a-95ec-714033d01476) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.727 132.588) + (xy 101.727 132.969) + (xy 102.108 132.969) + (xy 102.108 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f24f98e5-ba0f-4f06-b591-1a4f53e1298d) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 99.187 134.493) + (xy 99.187 134.874) + (xy 99.568 134.874) + (xy 99.568 134.493) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f2e90bf6-c25d-4501-b919-919bb5d187b1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 138.303) + (xy 122.047 138.684) + (xy 122.428 138.684) + (xy 122.428 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f38114e3-b1f6-45c5-84ac-8217a1f5ec3b) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 132.588) + (xy 98.552 132.969) + (xy 98.933 132.969) + (xy 98.933 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f411a2c5-ee64-4b2b-8e3b-5c95ed3734d1) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 132.588) + (xy 126.492 132.969) + (xy 126.873 132.969) + (xy 126.873 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4cad589-f80d-44fa-9a40-f7ef5df06170) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 133.223) + (xy 126.492 133.604) + (xy 126.873 133.604) + (xy 126.873 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4de2ca8-2fd2-48f0-8813-8a69aca70bdf) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 103.632 137.033) + (xy 103.632 137.414) + (xy 104.013 137.414) + (xy 104.013 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4e32df7-4209-495d-9352-7c1229fa1596) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 137.668) + (xy 126.492 138.049) + (xy 126.873 138.049) + (xy 126.873 137.668) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f4fd0520-fdb5-4359-9bad-76181ae9cb54) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.112 133.223) + (xy 134.112 133.604) + (xy 134.493 133.604) + (xy 134.493 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f5235f3d-1dc0-4d3f-8e93-6032ad15aced) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 126.492 131.953) + (xy 126.492 132.334) + (xy 126.873 132.334) + (xy 126.873 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f5ace2f7-28c6-4635-ae93-73561bbe2f75) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 123.952 137.033) + (xy 123.952 137.414) + (xy 124.333 137.414) + (xy 124.333 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f5bb32d8-213e-4f45-935b-c06ba3546568) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 132.207 133.223) + (xy 132.207 133.604) + (xy 132.588 133.604) + (xy 132.588 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f69f1a9e-a4fe-4988-90a4-a2e338e1c952) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 122.047 131.953) + (xy 122.047 132.334) + (xy 122.428 132.334) + (xy 122.428 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f6b26e55-5a05-4222-ade1-809caf4108ea) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 108.712 138.303) + (xy 108.712 138.684) + (xy 109.093 138.684) + (xy 109.093 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f78cc48f-4566-446c-9834-60be9e754ce3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 111.887 135.763) + (xy 111.887 136.144) + (xy 112.268 136.144) + (xy 112.268 135.763) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f7c6ec0e-fc45-4c62-b639-6fac016d6312) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 134.747 131.953) + (xy 134.747 132.334) + (xy 135.128 132.334) + (xy 135.128 131.953) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f7ee3ee0-579c-4a44-acd2-1e7a6bdab984) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 80.772 137.033) + (xy 80.772 137.414) + (xy 81.153 137.414) + (xy 81.153 137.033) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f7ff19ed-b853-45af-8563-3a069523becc) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 78.232 135.128) + (xy 78.232 135.509) + (xy 78.613 135.509) + (xy 78.613 135.128) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp f89333bb-d5ad-42a7-9a5b-40cc461c2e9f) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 101.092 132.588) + (xy 101.092 132.969) + (xy 101.473 132.969) + (xy 101.473 132.588) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp fc4d32d2-3ddf-47eb-9440-8bc8fbfebdf3) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 121.412 133.223) + (xy 121.412 133.604) + (xy 121.793 133.604) + (xy 121.793 133.223) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp fc5ebb6d-ebc6-4d23-87c3-dd0bf9035c37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 91.567 138.303) + (xy 91.567 138.684) + (xy 91.948 138.684) + (xy 91.948 138.303) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ff27fbf8-c5fa-4d2f-810e-6d189b516d37) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 98.552 136.398) + (xy 98.552 136.779) + (xy 98.933 136.779) + (xy 98.933 136.398) + ) + ) + ) + (zone (net 0) (net_name "") (layers "In1.Cu" "In2.Cu") (tstamp ff770e19-6e85-44d6-abd5-c77173adab65) (hatch edge 0.508) + (connect_pads (clearance 0)) + (min_thickness 0.254) (filled_areas_thickness no) + (keepout (tracks allowed) (vias allowed) (pads allowed) (copperpour not_allowed) (footprints allowed)) + (fill (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 90.932 135.128) + (xy 90.932 135.509) + (xy 91.313 135.509) + (xy 91.313 135.128) + ) + ) + ) + (zone (net 70) (net_name "+3V3") (layer "In2.Cu") (tstamp 107a4ee3-3989-46ab-ac7e-fa6ac4645b92) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) (filled_areas_thickness no) + (fill yes (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 143.637 80.518) + (xy 144.272 80.899) + (xy 144.653 81.28) + (xy 144.907 81.788) + (xy 145.034 82.423) + (xy 145.034 129.54) + (xy 144.907 130.175) + (xy 144.653 130.683) + (xy 144.145 131.191) + (xy 143.637 131.445) + (xy 143.002 131.572) + (xy 138.938 131.572) + (xy 138.938 139.192) + (xy 74.422 139.192) + (xy 74.422 131.572) + (xy 48.133 131.572) + (xy 47.498 131.445) + (xy 46.99 131.191) + (xy 46.482 130.683) + (xy 46.228 130.175) + (xy 46.101 129.54) + (xy 46.101 93.599) + (xy 46.228 92.964) + (xy 46.482 92.456) + (xy 58.166 80.772) + (xy 58.674 80.518) + (xy 59.309 80.391) + (xy 143.002 80.391) + ) + ) + (filled_polygon + (layer "In2.Cu") + (pts + (xy 61.705857 80.408593) + (xy 61.731577 80.453142) + (xy 61.724522 80.500341) + (xy 61.715043 80.518942) + (xy 61.695078 80.644999) + (xy 61.695078 80.645) + (xy 61.715043 80.771056) + (xy 61.772984 80.884771) + (xy 61.863229 80.975016) + (xy 61.976943 81.032956) + (xy 61.976945 81.032957) + (xy 62.103 81.052922) + (xy 62.229055 81.032957) + (xy 62.342771 80.975016) + (xy 62.433016 80.884771) + (xy 62.490957 80.771055) + (xy 62.510922 80.645) + (xy 62.490957 80.518945) + (xy 62.490956 80.518943) + (xy 62.490956 80.518942) + (xy 62.481478 80.500341) + (xy 62.475208 80.449285) + (xy 62.503223 80.406143) + (xy 62.548481 80.391) + (xy 66.737519 80.391) + (xy 66.785857 80.408593) + (xy 66.811577 80.453142) + (xy 66.804522 80.500341) + (xy 66.795043 80.518942) + (xy 66.775078 80.644999) + (xy 66.775078 80.645) + (xy 66.795043 80.771056) + (xy 66.852984 80.884771) + (xy 66.943229 80.975016) + (xy 67.056943 81.032956) + (xy 67.056945 81.032957) + (xy 67.183 81.052922) + (xy 67.309055 81.032957) + (xy 67.422771 80.975016) + (xy 67.513016 80.884771) + (xy 67.570957 80.771055) + (xy 67.590922 80.645) + (xy 67.570957 80.518945) + (xy 67.570956 80.518943) + (xy 67.570956 80.518942) + (xy 67.561478 80.500341) + (xy 67.555208 80.449285) + (xy 67.583223 80.406143) + (xy 67.628481 80.391) + (xy 71.817519 80.391) + (xy 71.865857 80.408593) + (xy 71.891577 80.453142) + (xy 71.884522 80.500341) + (xy 71.875043 80.518942) + (xy 71.855078 80.644999) + (xy 71.855078 80.645) + (xy 71.875043 80.771056) + (xy 71.932984 80.884771) + (xy 72.023229 80.975016) + (xy 72.136943 81.032956) + (xy 72.136945 81.032957) + (xy 72.263 81.052922) + (xy 72.389055 81.032957) + (xy 72.502771 80.975016) + (xy 72.593016 80.884771) + (xy 72.650957 80.771055) + (xy 72.670922 80.645) + (xy 72.650957 80.518945) + (xy 72.650956 80.518943) + (xy 72.650956 80.518942) + (xy 72.641478 80.500341) + (xy 72.635208 80.449285) + (xy 72.663223 80.406143) + (xy 72.708481 80.391) + (xy 76.897519 80.391) + (xy 76.945857 80.408593) + (xy 76.971577 80.453142) + (xy 76.964522 80.500341) + (xy 76.955043 80.518942) + (xy 76.935078 80.644999) + (xy 76.935078 80.645) + (xy 76.955043 80.771056) + (xy 77.012984 80.884771) + (xy 77.103229 80.975016) + (xy 77.216943 81.032956) + (xy 77.216945 81.032957) + (xy 77.343 81.052922) + (xy 77.469055 81.032957) + (xy 77.582771 80.975016) + (xy 77.673016 80.884771) + (xy 77.730957 80.771055) + (xy 77.750922 80.645) + (xy 77.730957 80.518945) + (xy 77.730956 80.518943) + (xy 77.730956 80.518942) + (xy 77.721478 80.500341) + (xy 77.715208 80.449285) + (xy 77.743223 80.406143) + (xy 77.788481 80.391) + (xy 81.977519 80.391) + (xy 82.025857 80.408593) + (xy 82.051577 80.453142) + (xy 82.044522 80.500341) + (xy 82.035043 80.518942) + (xy 82.015078 80.644999) + (xy 82.015078 80.645) + (xy 82.035043 80.771056) + (xy 82.092984 80.884771) + (xy 82.183229 80.975016) + (xy 82.296943 81.032956) + (xy 82.296945 81.032957) + (xy 82.423 81.052922) + (xy 82.549055 81.032957) + (xy 82.662771 80.975016) + (xy 82.753016 80.884771) + (xy 82.810957 80.771055) + (xy 82.830922 80.645) + (xy 82.810957 80.518945) + (xy 82.810956 80.518943) + (xy 82.810956 80.518942) + (xy 82.801478 80.500341) + (xy 82.795208 80.449285) + (xy 82.823223 80.406143) + (xy 82.868481 80.391) + (xy 87.057519 80.391) + (xy 87.105857 80.408593) + (xy 87.131577 80.453142) + (xy 87.124522 80.500341) + (xy 87.115043 80.518942) + (xy 87.095078 80.644999) + (xy 87.095078 80.645) + (xy 87.115043 80.771056) + (xy 87.172984 80.884771) + (xy 87.263229 80.975016) + (xy 87.376943 81.032956) + (xy 87.376945 81.032957) + (xy 87.503 81.052922) + (xy 87.629055 81.032957) + (xy 87.742771 80.975016) + (xy 87.833016 80.884771) + (xy 87.890957 80.771055) + (xy 87.910922 80.645) + (xy 87.890957 80.518945) + (xy 87.890956 80.518943) + (xy 87.890956 80.518942) + (xy 87.881478 80.500341) + (xy 87.875208 80.449285) + (xy 87.903223 80.406143) + (xy 87.948481 80.391) + (xy 92.137519 80.391) + (xy 92.185857 80.408593) + (xy 92.211577 80.453142) + (xy 92.204522 80.500341) + (xy 92.195043 80.518942) + (xy 92.175078 80.644999) + (xy 92.175078 80.645) + (xy 92.195043 80.771056) + (xy 92.252984 80.884771) + (xy 92.343229 80.975016) + (xy 92.456943 81.032956) + (xy 92.456945 81.032957) + (xy 92.583 81.052922) + (xy 92.709055 81.032957) + (xy 92.822771 80.975016) + (xy 92.913016 80.884771) + (xy 92.970957 80.771055) + (xy 92.990922 80.645) + (xy 92.970957 80.518945) + (xy 92.970956 80.518943) + (xy 92.970956 80.518942) + (xy 92.961478 80.500341) + (xy 92.955208 80.449285) + (xy 92.983223 80.406143) + (xy 93.028481 80.391) + (xy 97.217519 80.391) + (xy 97.265857 80.408593) + (xy 97.291577 80.453142) + (xy 97.284522 80.500341) + (xy 97.275043 80.518942) + (xy 97.255078 80.644999) + (xy 97.255078 80.645) + (xy 97.275043 80.771056) + (xy 97.332984 80.884771) + (xy 97.423229 80.975016) + (xy 97.536943 81.032956) + (xy 97.536945 81.032957) + (xy 97.663 81.052922) + (xy 97.789055 81.032957) + (xy 97.902771 80.975016) + (xy 97.993016 80.884771) + (xy 98.050957 80.771055) + (xy 98.070922 80.645) + (xy 98.050957 80.518945) + (xy 98.050956 80.518943) + (xy 98.050956 80.518942) + (xy 98.041478 80.500341) + (xy 98.035208 80.449285) + (xy 98.063223 80.406143) + (xy 98.108481 80.391) + (xy 102.297519 80.391) + (xy 102.345857 80.408593) + (xy 102.371577 80.453142) + (xy 102.364522 80.500341) + (xy 102.355043 80.518942) + (xy 102.335078 80.644999) + (xy 102.335078 80.645) + (xy 102.355043 80.771056) + (xy 102.412984 80.884771) + (xy 102.503229 80.975016) + (xy 102.616943 81.032956) + (xy 102.616945 81.032957) + (xy 102.743 81.052922) + (xy 102.869055 81.032957) + (xy 102.982771 80.975016) + (xy 103.073016 80.884771) + (xy 103.130957 80.771055) + (xy 103.150922 80.645) + (xy 103.130957 80.518945) + (xy 103.130956 80.518943) + (xy 103.130956 80.518942) + (xy 103.121478 80.500341) + (xy 103.115208 80.449285) + (xy 103.143223 80.406143) + (xy 103.188481 80.391) + (xy 107.377519 80.391) + (xy 107.425857 80.408593) + (xy 107.451577 80.453142) + (xy 107.444522 80.500341) + (xy 107.435043 80.518942) + (xy 107.415078 80.644999) + (xy 107.415078 80.645) + (xy 107.435043 80.771056) + (xy 107.492984 80.884771) + (xy 107.583229 80.975016) + (xy 107.696943 81.032956) + (xy 107.696945 81.032957) + (xy 107.823 81.052922) + (xy 107.949055 81.032957) + (xy 108.062771 80.975016) + (xy 108.153016 80.884771) + (xy 108.210957 80.771055) + (xy 108.230922 80.645) + (xy 108.210957 80.518945) + (xy 108.210956 80.518943) + (xy 108.210956 80.518942) + (xy 108.201478 80.500341) + (xy 108.195208 80.449285) + (xy 108.223223 80.406143) + (xy 108.268481 80.391) + (xy 112.457519 80.391) + (xy 112.505857 80.408593) + (xy 112.531577 80.453142) + (xy 112.524522 80.500341) + (xy 112.515043 80.518942) + (xy 112.495078 80.644999) + (xy 112.495078 80.645) + (xy 112.515043 80.771056) + (xy 112.572984 80.884771) + (xy 112.663229 80.975016) + (xy 112.776943 81.032956) + (xy 112.776945 81.032957) + (xy 112.903 81.052922) + (xy 113.029055 81.032957) + (xy 113.142771 80.975016) + (xy 113.233016 80.884771) + (xy 113.290957 80.771055) + (xy 113.310922 80.645) + (xy 113.290957 80.518945) + (xy 113.290956 80.518943) + (xy 113.290956 80.518942) + (xy 113.281478 80.500341) + (xy 113.275208 80.449285) + (xy 113.303223 80.406143) + (xy 113.348481 80.391) + (xy 117.537519 80.391) + (xy 117.585857 80.408593) + (xy 117.611577 80.453142) + (xy 117.604522 80.500341) + (xy 117.595043 80.518942) + (xy 117.575078 80.644999) + (xy 117.575078 80.645) + (xy 117.595043 80.771056) + (xy 117.652984 80.884771) + (xy 117.743229 80.975016) + (xy 117.856943 81.032956) + (xy 117.856945 81.032957) + (xy 117.983 81.052922) + (xy 118.109055 81.032957) + (xy 118.222771 80.975016) + (xy 118.313016 80.884771) + (xy 118.370957 80.771055) + (xy 118.390922 80.645) + (xy 118.370957 80.518945) + (xy 118.370956 80.518943) + (xy 118.370956 80.518942) + (xy 118.361478 80.500341) + (xy 118.355208 80.449285) + (xy 118.383223 80.406143) + (xy 118.428481 80.391) + (xy 122.617519 80.391) + (xy 122.665857 80.408593) + (xy 122.691577 80.453142) + (xy 122.684522 80.500341) + (xy 122.675043 80.518942) + (xy 122.655078 80.644999) + (xy 122.655078 80.645) + (xy 122.675043 80.771056) + (xy 122.732984 80.884771) + (xy 122.823229 80.975016) + (xy 122.936943 81.032956) + (xy 122.936945 81.032957) + (xy 123.063 81.052922) + (xy 123.189055 81.032957) + (xy 123.302771 80.975016) + (xy 123.393016 80.884771) + (xy 123.450957 80.771055) + (xy 123.470922 80.645) + (xy 123.450957 80.518945) + (xy 123.450956 80.518943) + (xy 123.450956 80.518942) + (xy 123.441478 80.500341) + (xy 123.435208 80.449285) + (xy 123.463223 80.406143) + (xy 123.508481 80.391) + (xy 127.697519 80.391) + (xy 127.745857 80.408593) + (xy 127.771577 80.453142) + (xy 127.764522 80.500341) + (xy 127.755043 80.518942) + (xy 127.735078 80.644999) + (xy 127.735078 80.645) + (xy 127.755043 80.771056) + (xy 127.812984 80.884771) + (xy 127.903229 80.975016) + (xy 128.016943 81.032956) + (xy 128.016945 81.032957) + (xy 128.143 81.052922) + (xy 128.269055 81.032957) + (xy 128.382771 80.975016) + (xy 128.473016 80.884771) + (xy 128.530957 80.771055) + (xy 128.550922 80.645) + (xy 128.530957 80.518945) + (xy 128.530956 80.518943) + (xy 128.530956 80.518942) + (xy 128.521478 80.500341) + (xy 128.515208 80.449285) + (xy 128.543223 80.406143) + (xy 128.588481 80.391) + (xy 132.777519 80.391) + (xy 132.825857 80.408593) + (xy 132.851577 80.453142) + (xy 132.844522 80.500341) + (xy 132.835043 80.518942) + (xy 132.815078 80.644999) + (xy 132.815078 80.645) + (xy 132.835043 80.771056) + (xy 132.892984 80.884771) + (xy 132.983229 80.975016) + (xy 133.096943 81.032956) + (xy 133.096945 81.032957) + (xy 133.223 81.052922) + (xy 133.349055 81.032957) + (xy 133.462771 80.975016) + (xy 133.553016 80.884771) + (xy 133.610957 80.771055) + (xy 133.630922 80.645) + (xy 133.610957 80.518945) + (xy 133.610956 80.518943) + (xy 133.610956 80.518942) + (xy 133.601478 80.500341) + (xy 133.595208 80.449285) + (xy 133.623223 80.406143) + (xy 133.668481 80.391) + (xy 137.857519 80.391) + (xy 137.905857 80.408593) + (xy 137.931577 80.453142) + (xy 137.924522 80.500341) + (xy 137.915043 80.518942) + (xy 137.895078 80.644999) + (xy 137.895078 80.645) + (xy 137.915043 80.771056) + (xy 137.972984 80.884771) + (xy 138.063229 80.975016) + (xy 138.176943 81.032956) + (xy 138.176945 81.032957) + (xy 138.303 81.052922) + (xy 138.429055 81.032957) + (xy 138.542771 80.975016) + (xy 138.633016 80.884771) + (xy 138.690957 80.771055) + (xy 138.710922 80.645) + (xy 138.690957 80.518945) + (xy 138.690956 80.518943) + (xy 138.690956 80.518942) + (xy 138.681478 80.500341) + (xy 138.675208 80.449285) + (xy 138.703223 80.406143) + (xy 138.748481 80.391) + (xy 142.556519 80.391) + (xy 142.604857 80.408593) + (xy 142.630577 80.453142) + (xy 142.623522 80.500341) + (xy 142.614043 80.518942) + (xy 142.594078 80.644999) + (xy 142.594078 80.645) + (xy 142.614043 80.771056) + (xy 142.671984 80.884771) + (xy 142.762229 80.975016) + (xy 142.875943 81.032956) + (xy 142.875945 81.032957) + (xy 143.002 81.052922) + (xy 143.128055 81.032957) + (xy 143.241771 80.975016) + (xy 143.332016 80.884771) + (xy 143.389957 80.771055) + (xy 143.409922 80.645) + (xy 143.398618 80.57363) + (xy 143.408433 80.523136) + (xy 143.448409 80.490764) + (xy 143.487636 80.488127) + (xy 143.624233 80.515446) + (xy 143.648168 80.5247) + (xy 144.074717 80.78063) + (xy 144.264062 80.894237) + (xy 144.278546 80.905546) + (xy 144.64437 81.27137) + (xy 144.658457 81.290914) + (xy 144.902495 81.778991) + (xy 144.908974 81.797873) + (xy 144.936871 81.937359) + (xy 144.929099 81.988208) + (xy 144.89046 82.022165) + (xy 144.851367 82.026381) + (xy 144.780001 82.015078) + (xy 144.78 82.015078) + (xy 144.653943 82.035043) + (xy 144.540228 82.092984) + (xy 144.449984 82.183228) + (xy 144.392043 82.296943) + (xy 144.372078 82.422999) + (xy 144.372078 82.423) + (xy 144.392043 82.549056) + (xy 144.441137 82.645407) + (xy 144.449984 82.662771) + (xy 144.540229 82.753016) + (xy 144.653943 82.810956) + (xy 144.653945 82.810957) + (xy 144.78 82.830922) + (xy 144.906055 82.810957) + (xy 144.924659 82.801478) + (xy 144.975715 82.795208) + (xy 145.018857 82.823223) + (xy 145.034 82.868481) + (xy 145.034 87.819518) + (xy 145.016407 87.867856) + (xy 144.971858 87.893576) + (xy 144.924661 87.886522) + (xy 144.906059 87.877044) + (xy 144.906057 87.877043) + (xy 144.78 87.857078) + (xy 144.653943 87.877043) + (xy 144.540228 87.934984) + (xy 144.449984 88.025228) + (xy 144.392043 88.138943) + (xy 144.372078 88.264999) + (xy 144.372078 88.265) + (xy 144.392043 88.391056) + (xy 144.449984 88.504771) + (xy 144.540229 88.595016) + (xy 144.653943 88.652956) + (xy 144.653945 88.652957) + (xy 144.78 88.672922) + (xy 144.906055 88.652957) + (xy 144.924659 88.643478) + (xy 144.975715 88.637208) + (xy 145.018857 88.665223) + (xy 145.034 88.710481) + (xy 145.034 92.899518) + (xy 145.016407 92.947856) + (xy 144.971858 92.973576) + (xy 144.924661 92.966522) + (xy 144.906059 92.957044) + (xy 144.906057 92.957043) + (xy 144.78 92.937078) + (xy 144.653943 92.957043) + (xy 144.540228 93.014984) + (xy 144.449984 93.105228) + (xy 144.392043 93.218943) + (xy 144.372078 93.344999) + (xy 144.372078 93.345) + (xy 144.392043 93.471056) + (xy 144.449984 93.584771) + (xy 144.540229 93.675016) + (xy 144.653943 93.732956) + (xy 144.653945 93.732957) + (xy 144.78 93.752922) + (xy 144.906055 93.732957) + (xy 144.924659 93.723478) + (xy 144.975715 93.717208) + (xy 145.018857 93.745223) + (xy 145.034 93.790481) + (xy 145.034 97.979518) + (xy 145.016407 98.027856) + (xy 144.971858 98.053576) + (xy 144.924661 98.046522) + (xy 144.906059 98.037044) + (xy 144.906057 98.037043) + (xy 144.78 98.017078) + (xy 144.653943 98.037043) + (xy 144.540228 98.094984) + (xy 144.449984 98.185228) + (xy 144.392043 98.298943) + (xy 144.372078 98.424999) + (xy 144.372078 98.425) + (xy 144.392043 98.551056) + (xy 144.431256 98.628015) + (xy 144.449984 98.664771) + (xy 144.540229 98.755016) + (xy 144.653943 98.812956) + (xy 144.653945 98.812957) + (xy 144.78 98.832922) + (xy 144.906055 98.812957) + (xy 144.924659 98.803478) + (xy 144.975715 98.797208) + (xy 145.018857 98.825223) + (xy 145.034 98.870481) + (xy 145.034 103.059518) + (xy 145.016407 103.107856) + (xy 144.971858 103.133576) + (xy 144.924661 103.126522) + (xy 144.906059 103.117044) + (xy 144.906057 103.117043) + (xy 144.78 103.097078) + (xy 144.653943 103.117043) + (xy 144.540228 103.174984) + (xy 144.449984 103.265228) + (xy 144.392043 103.378943) + (xy 144.372078 103.504999) + (xy 144.372078 103.505) + (xy 144.392043 103.631056) + (xy 144.42536 103.696443) + (xy 144.449984 103.744771) + (xy 144.540229 103.835016) + (xy 144.653943 103.892956) + (xy 144.653945 103.892957) + (xy 144.78 103.912922) + (xy 144.906055 103.892957) + (xy 144.906057 103.892956) + (xy 144.924659 103.883478) + (xy 144.975715 103.877208) + (xy 145.018857 103.905223) + (xy 145.034 103.950481) + (xy 145.034 108.139518) + (xy 145.016407 108.187856) + (xy 144.971858 108.213576) + (xy 144.924661 108.206522) + (xy 144.906059 108.197044) + (xy 144.906057 108.197043) + (xy 144.78 108.177078) + (xy 144.653943 108.197043) + (xy 144.540228 108.254984) + (xy 144.449984 108.345228) + (xy 144.392043 108.458943) + (xy 144.372078 108.584999) + (xy 144.372078 108.585) + (xy 144.392043 108.711056) + (xy 144.431226 108.787956) + (xy 144.449984 108.824771) + (xy 144.540229 108.915016) + (xy 144.653943 108.972956) + (xy 144.653945 108.972957) + (xy 144.78 108.992922) + (xy 144.906055 108.972957) + (xy 144.924659 108.963478) + (xy 144.975715 108.957208) + (xy 145.018857 108.985223) + (xy 145.034 109.030481) + (xy 145.034 113.219518) + (xy 145.016407 113.267856) + (xy 144.971858 113.293576) + (xy 144.924661 113.286522) + (xy 144.906059 113.277044) + (xy 144.906057 113.277043) + (xy 144.78 113.257078) + (xy 144.653943 113.277043) + (xy 144.540228 113.334984) + (xy 144.449984 113.425228) + (xy 144.392043 113.538943) + (xy 144.372078 113.664999) + (xy 144.372078 113.665) + (xy 144.392043 113.791056) + (xy 144.435353 113.876056) + (xy 144.449984 113.904771) + (xy 144.540229 113.995016) + (xy 144.653943 114.052956) + (xy 144.653945 114.052957) + (xy 144.78 114.072922) + (xy 144.906055 114.052957) + (xy 144.906711 114.052623) + (xy 144.924659 114.043478) + (xy 144.975715 114.037208) + (xy 145.018857 114.065223) + (xy 145.034 114.110481) + (xy 145.034 118.299518) + (xy 145.016407 118.347856) + (xy 144.971858 118.373576) + (xy 144.924661 118.366522) + (xy 144.906059 118.357044) + (xy 144.906057 118.357043) + (xy 144.78 118.337078) + (xy 144.653943 118.357043) + (xy 144.540228 118.414984) + (xy 144.449984 118.505228) + (xy 144.392043 118.618943) + (xy 144.372078 118.744999) + (xy 144.372078 118.745) + (xy 144.392043 118.871056) + (xy 144.449984 118.984771) + (xy 144.540229 119.075016) + (xy 144.653943 119.132956) + (xy 144.653945 119.132957) + (xy 144.78 119.152922) + (xy 144.906055 119.132957) + (xy 144.924659 119.123478) + (xy 144.975715 119.117208) + (xy 145.018857 119.145223) + (xy 145.034 119.190481) + (xy 145.034 123.379518) + (xy 145.016407 123.427856) + (xy 144.971858 123.453576) + (xy 144.924661 123.446522) + (xy 144.906059 123.437044) + (xy 144.906057 123.437043) + (xy 144.78 123.417078) + (xy 144.653943 123.437043) + (xy 144.540228 123.494984) + (xy 144.449984 123.585228) + (xy 144.392043 123.698943) + (xy 144.372078 123.824999) + (xy 144.372078 123.825) + (xy 144.392043 123.951056) + (xy 144.438887 124.042992) + (xy 144.449984 124.064771) + (xy 144.540229 124.155016) + (xy 144.653943 124.212956) + (xy 144.653945 124.212957) + (xy 144.78 124.232922) + (xy 144.906055 124.212957) + (xy 144.924659 124.203478) + (xy 144.975715 124.197208) + (xy 145.018857 124.225223) + (xy 145.034 124.270481) + (xy 145.034 129.094518) + (xy 145.016407 129.142856) + (xy 144.971858 129.168576) + (xy 144.924661 129.161522) + (xy 144.906059 129.152044) + (xy 144.906057 129.152043) + (xy 144.78 129.132078) + (xy 144.653943 129.152043) + (xy 144.540228 129.209984) + (xy 144.449984 129.300228) + (xy 144.392043 129.413943) + (xy 144.372078 129.539999) + (xy 144.372078 129.54) + (xy 144.392043 129.666056) + (xy 144.448091 129.776055) + (xy 144.449984 129.779771) + (xy 144.540229 129.870016) + (xy 144.653943 129.927956) + (xy 144.653945 129.927957) + (xy 144.735248 129.940834) + (xy 144.779999 129.947922) + (xy 144.779999 129.947921) + (xy 144.78 129.947922) + (xy 144.851368 129.936618) + (xy 144.901861 129.946433) + (xy 144.934234 129.986409) + (xy 144.936871 130.02564) + (xy 144.908974 130.165126) + (xy 144.902495 130.184008) + (xy 144.658457 130.672086) + (xy 144.64437 130.69163) + (xy 144.15363 131.18237) + (xy 144.134086 131.196457) + (xy 143.646008 131.440495) + (xy 143.627126 131.446974) + (xy 143.48764 131.474871) + (xy 143.436791 131.467099) + (xy 143.402834 131.42846) + (xy 143.398618 131.389369) + (xy 143.409922 131.318) + (xy 143.409772 131.317056) + (xy 143.398831 131.247973) + (xy 143.389957 131.191945) + (xy 143.332016 131.078229) + (xy 143.241771 130.987984) + (xy 143.128055 130.930043) + (xy 143.128057 130.930043) + (xy 143.002 130.910078) + (xy 142.875943 130.930043) + (xy 142.762228 130.987984) + (xy 142.671984 131.078228) + (xy 142.614043 131.191943) + (xy 142.594078 131.317999) + (xy 142.594078 131.318) + (xy 142.614043 131.444057) + (xy 142.623522 131.462659) + (xy 142.629792 131.513715) + (xy 142.601777 131.556857) + (xy 142.556519 131.572) + (xy 138.938 131.572) + (xy 138.938 139.1168) + (xy 138.920407 139.165138) + (xy 138.875858 139.190858) + (xy 138.8628 139.192) + (xy 74.4972 139.192) + (xy 74.448862 139.174407) + (xy 74.423142 139.129858) + (xy 74.422 139.1168) + (xy 74.422 138.303) + (xy 78.232 138.303) + (xy 78.232 138.684) + (xy 78.613 138.684) + (xy 78.613 138.303) + (xy 78.867 138.303) + (xy 78.867 138.684) + (xy 79.248 138.684) + (xy 79.248 138.303) + (xy 80.772 138.303) + (xy 80.772 138.684) + (xy 81.153 138.684) + (xy 81.153 138.303) + (xy 81.407 138.303) + (xy 81.407 138.684) + (xy 81.788 138.684) + (xy 81.788 138.303) + (xy 83.312 138.303) + (xy 83.312 138.684) + (xy 83.693 138.684) + (xy 83.693 138.303) + (xy 83.947 138.303) + (xy 83.947 138.684) + (xy 84.328 138.684) + (xy 84.328 138.303) + (xy 85.852 138.303) + (xy 85.852 138.684) + (xy 86.233 138.684) + (xy 86.233 138.303) + (xy 86.487 138.303) + (xy 86.487 138.684) + (xy 86.868 138.684) + (xy 86.868 138.303) + (xy 88.392 138.303) + (xy 88.392 138.684) + (xy 88.773 138.684) + (xy 88.773 138.303) + (xy 89.027 138.303) + (xy 89.027 138.684) + (xy 89.408 138.684) + (xy 89.408 138.303) + (xy 90.932 138.303) + (xy 90.932 138.684) + (xy 91.313 138.684) + (xy 91.313 138.303) + (xy 91.567 138.303) + (xy 91.567 138.684) + (xy 91.948 138.684) + (xy 91.948 138.303) + (xy 93.472 138.303) + (xy 93.472 138.684) + (xy 93.853 138.684) + (xy 93.853 138.303) + (xy 94.107 138.303) + (xy 94.107 138.684) + (xy 94.488 138.684) + (xy 94.488 138.303) + (xy 96.012 138.303) + (xy 96.012 138.684) + (xy 96.393 138.684) + (xy 96.393 138.303) + (xy 96.647 138.303) + (xy 96.647 138.684) + (xy 97.028 138.684) + (xy 97.028 138.303) + (xy 98.552 138.303) + (xy 98.552 138.684) + (xy 98.933 138.684) + (xy 98.933 138.303) + (xy 99.187 138.303) + (xy 99.187 138.684) + (xy 99.568 138.684) + (xy 99.568 138.303) + (xy 101.092 138.303) + (xy 101.092 138.684) + (xy 101.473 138.684) + (xy 101.473 138.303) + (xy 101.727 138.303) + (xy 101.727 138.684) + (xy 102.108 138.684) + (xy 102.108 138.303) + (xy 103.632 138.303) + (xy 103.632 138.684) + (xy 104.013 138.684) + (xy 104.013 138.303) + (xy 104.267 138.303) + (xy 104.267 138.684) + (xy 104.648 138.684) + (xy 104.648 138.303) + (xy 106.172 138.303) + (xy 106.172 138.684) + (xy 106.553 138.684) + (xy 106.553 138.303) + (xy 106.807 138.303) + (xy 106.807 138.684) + (xy 107.188 138.684) + (xy 107.188 138.303) + (xy 108.712 138.303) + (xy 108.712 138.684) + (xy 109.093 138.684) + (xy 109.093 138.303) + (xy 109.347 138.303) + (xy 109.347 138.684) + (xy 109.728 138.684) + (xy 109.728 138.303) + (xy 111.252 138.303) + (xy 111.252 138.684) + (xy 111.633 138.684) + (xy 111.633 138.303) + (xy 111.887 138.303) + (xy 111.887 138.684) + (xy 112.268 138.684) + (xy 112.268 138.303) + (xy 113.792 138.303) + (xy 113.792 138.684) + (xy 114.173 138.684) + (xy 114.173 138.303) + (xy 114.427 138.303) + (xy 114.427 138.684) + (xy 114.808 138.684) + (xy 114.808 138.303) + (xy 121.412 138.303) + (xy 121.412 138.684) + (xy 121.793 138.684) + (xy 121.793 138.303) + (xy 122.047 138.303) + (xy 122.047 138.684) + (xy 122.428 138.684) + (xy 122.428 138.303) + (xy 123.952 138.303) + (xy 123.952 138.684) + (xy 124.333 138.684) + (xy 124.333 138.303) + (xy 124.587 138.303) + (xy 124.587 138.684) + (xy 124.968 138.684) + (xy 124.968 138.303) + (xy 126.492 138.303) + (xy 126.492 138.684) + (xy 126.873 138.684) + (xy 126.873 138.303) + (xy 127.127 138.303) + (xy 127.127 138.684) + (xy 127.508 138.684) + (xy 127.508 138.303) + (xy 129.032 138.303) + (xy 129.032 138.684) + (xy 129.413 138.684) + (xy 129.413 138.303) + (xy 129.667 138.303) + (xy 129.667 138.684) + (xy 130.048 138.684) + (xy 130.048 138.303) + (xy 131.572 138.303) + (xy 131.572 138.684) + (xy 131.953 138.684) + (xy 131.953 138.303) + (xy 132.207 138.303) + (xy 132.207 138.684) + (xy 132.588 138.684) + (xy 132.588 138.303) + (xy 134.112 138.303) + (xy 134.112 138.684) + (xy 134.493 138.684) + (xy 134.493 138.303) + (xy 134.747 138.303) + (xy 134.747 138.684) + (xy 135.128 138.684) + (xy 135.128 138.303) + (xy 134.747 138.303) + (xy 134.493 138.303) + (xy 134.112 138.303) + (xy 132.588 138.303) + (xy 132.207 138.303) + (xy 131.953 138.303) + (xy 131.572 138.303) + (xy 130.048 138.303) + (xy 129.667 138.303) + (xy 129.413 138.303) + (xy 129.032 138.303) + (xy 127.508 138.303) + (xy 127.127 138.303) + (xy 126.873 138.303) + (xy 126.492 138.303) + (xy 124.968 138.303) + (xy 124.587 138.303) + (xy 124.333 138.303) + (xy 123.952 138.303) + (xy 122.428 138.303) + (xy 122.047 138.303) + (xy 121.793 138.303) + (xy 121.412 138.303) + (xy 114.808 138.303) + (xy 114.427 138.303) + (xy 114.173 138.303) + (xy 113.792 138.303) + (xy 112.268 138.303) + (xy 111.887 138.303) + (xy 111.633 138.303) + (xy 111.252 138.303) + (xy 109.728 138.303) + (xy 109.347 138.303) + (xy 109.093 138.303) + (xy 108.712 138.303) + (xy 107.188 138.303) + (xy 106.807 138.303) + (xy 106.553 138.303) + (xy 106.172 138.303) + (xy 104.648 138.303) + (xy 104.267 138.303) + (xy 104.013 138.303) + (xy 103.632 138.303) + (xy 102.108 138.303) + (xy 101.727 138.303) + (xy 101.473 138.303) + (xy 101.092 138.303) + (xy 99.568 138.303) + (xy 99.187 138.303) + (xy 98.933 138.303) + (xy 98.552 138.303) + (xy 97.028 138.303) + (xy 96.647 138.303) + (xy 96.393 138.303) + (xy 96.012 138.303) + (xy 94.488 138.303) + (xy 94.107 138.303) + (xy 93.853 138.303) + (xy 93.472 138.303) + (xy 91.948 138.303) + (xy 91.567 138.303) + (xy 91.313 138.303) + (xy 90.932 138.303) + (xy 89.408 138.303) + (xy 89.027 138.303) + (xy 88.773 138.303) + (xy 88.392 138.303) + (xy 86.868 138.303) + (xy 86.487 138.303) + (xy 86.233 138.303) + (xy 85.852 138.303) + (xy 84.328 138.303) + (xy 83.947 138.303) + (xy 83.693 138.303) + (xy 83.312 138.303) + (xy 81.788 138.303) + (xy 81.407 138.303) + (xy 81.153 138.303) + (xy 80.772 138.303) + (xy 79.248 138.303) + (xy 78.867 138.303) + (xy 78.613 138.303) + (xy 78.232 138.303) + (xy 74.422 138.303) + (xy 74.422 137.668) + (xy 78.232 137.668) + (xy 78.232 138.049) + (xy 78.613 138.049) + (xy 78.613 137.668) + (xy 78.867 137.668) + (xy 78.867 138.049) + (xy 79.248 138.049) + (xy 79.248 137.668) + (xy 80.772 137.668) + (xy 80.772 138.049) + (xy 81.153 138.049) + (xy 81.153 137.668) + (xy 81.407 137.668) + (xy 81.407 138.049) + (xy 81.788 138.049) + (xy 81.788 137.668) + (xy 83.312 137.668) + (xy 83.312 138.049) + (xy 83.693 138.049) + (xy 83.693 137.668) + (xy 83.947 137.668) + (xy 83.947 138.049) + (xy 84.328 138.049) + (xy 84.328 137.668) + (xy 85.852 137.668) + (xy 85.852 138.049) + (xy 86.233 138.049) + (xy 86.233 137.668) + (xy 86.487 137.668) + (xy 86.487 138.049) + (xy 86.868 138.049) + (xy 86.868 137.668) + (xy 88.392 137.668) + (xy 88.392 138.049) + (xy 88.773 138.049) + (xy 88.773 137.668) + (xy 89.027 137.668) + (xy 89.027 138.049) + (xy 89.408 138.049) + (xy 89.408 137.668) + (xy 90.932 137.668) + (xy 90.932 138.049) + (xy 91.313 138.049) + (xy 91.313 137.668) + (xy 91.567 137.668) + (xy 91.567 138.049) + (xy 91.948 138.049) + (xy 91.948 137.668) + (xy 93.472 137.668) + (xy 93.472 138.049) + (xy 93.853 138.049) + (xy 93.853 137.668) + (xy 94.107 137.668) + (xy 94.107 138.049) + (xy 94.488 138.049) + (xy 94.488 137.668) + (xy 96.012 137.668) + (xy 96.012 138.049) + (xy 96.393 138.049) + (xy 96.393 137.668) + (xy 96.647 137.668) + (xy 96.647 138.049) + (xy 97.028 138.049) + (xy 97.028 137.668) + (xy 98.552 137.668) + (xy 98.552 138.049) + (xy 98.933 138.049) + (xy 98.933 137.668) + (xy 99.187 137.668) + (xy 99.187 138.049) + (xy 99.568 138.049) + (xy 99.568 137.668) + (xy 101.092 137.668) + (xy 101.092 138.049) + (xy 101.473 138.049) + (xy 101.473 137.668) + (xy 101.727 137.668) + (xy 101.727 138.049) + (xy 102.108 138.049) + (xy 102.108 137.668) + (xy 103.632 137.668) + (xy 103.632 138.049) + (xy 104.013 138.049) + (xy 104.013 137.668) + (xy 104.267 137.668) + (xy 104.267 138.049) + (xy 104.648 138.049) + (xy 104.648 137.668) + (xy 106.172 137.668) + (xy 106.172 138.049) + (xy 106.553 138.049) + (xy 106.553 137.668) + (xy 106.807 137.668) + (xy 106.807 138.049) + (xy 107.188 138.049) + (xy 107.188 137.668) + (xy 108.712 137.668) + (xy 108.712 138.049) + (xy 109.093 138.049) + (xy 109.093 137.668) + (xy 109.347 137.668) + (xy 109.347 138.049) + (xy 109.728 138.049) + (xy 109.728 137.668) + (xy 111.252 137.668) + (xy 111.252 138.049) + (xy 111.633 138.049) + (xy 111.633 137.668) + (xy 111.887 137.668) + (xy 111.887 138.049) + (xy 112.268 138.049) + (xy 112.268 137.668) + (xy 113.792 137.668) + (xy 113.792 138.049) + (xy 114.173 138.049) + (xy 114.173 137.668) + (xy 114.427 137.668) + (xy 114.427 138.049) + (xy 114.808 138.049) + (xy 114.808 137.668) + (xy 121.412 137.668) + (xy 121.412 138.049) + (xy 121.793 138.049) + (xy 121.793 137.668) + (xy 122.047 137.668) + (xy 122.047 138.049) + (xy 122.428 138.049) + (xy 122.428 137.668) + (xy 123.952 137.668) + (xy 123.952 138.049) + (xy 124.333 138.049) + (xy 124.333 137.668) + (xy 124.587 137.668) + (xy 124.587 138.049) + (xy 124.968 138.049) + (xy 124.968 137.668) + (xy 126.492 137.668) + (xy 126.492 138.049) + (xy 126.873 138.049) + (xy 126.873 137.668) + (xy 127.127 137.668) + (xy 127.127 138.049) + (xy 127.508 138.049) + (xy 127.508 137.668) + (xy 129.032 137.668) + (xy 129.032 138.049) + (xy 129.413 138.049) + (xy 129.413 137.668) + (xy 129.667 137.668) + (xy 129.667 138.049) + (xy 130.048 138.049) + (xy 130.048 137.668) + (xy 131.572 137.668) + (xy 131.572 138.049) + (xy 131.953 138.049) + (xy 131.953 137.668) + (xy 132.207 137.668) + (xy 132.207 138.049) + (xy 132.588 138.049) + (xy 132.588 137.668) + (xy 134.112 137.668) + (xy 134.112 138.049) + (xy 134.493 138.049) + (xy 134.493 137.668) + (xy 134.747 137.668) + (xy 134.747 138.049) + (xy 135.128 138.049) + (xy 135.128 137.668) + (xy 134.747 137.668) + (xy 134.493 137.668) + (xy 134.112 137.668) + (xy 132.588 137.668) + (xy 132.207 137.668) + (xy 131.953 137.668) + (xy 131.572 137.668) + (xy 130.048 137.668) + (xy 129.667 137.668) + (xy 129.413 137.668) + (xy 129.032 137.668) + (xy 127.508 137.668) + (xy 127.127 137.668) + (xy 126.873 137.668) + (xy 126.492 137.668) + (xy 124.968 137.668) + (xy 124.587 137.668) + (xy 124.333 137.668) + (xy 123.952 137.668) + (xy 122.428 137.668) + (xy 122.047 137.668) + (xy 121.793 137.668) + (xy 121.412 137.668) + (xy 114.808 137.668) + (xy 114.427 137.668) + (xy 114.173 137.668) + (xy 113.792 137.668) + (xy 112.268 137.668) + (xy 111.887 137.668) + (xy 111.633 137.668) + (xy 111.252 137.668) + (xy 109.728 137.668) + (xy 109.347 137.668) + (xy 109.093 137.668) + (xy 108.712 137.668) + (xy 107.188 137.668) + (xy 106.807 137.668) + (xy 106.553 137.668) + (xy 106.172 137.668) + (xy 104.648 137.668) + (xy 104.267 137.668) + (xy 104.013 137.668) + (xy 103.632 137.668) + (xy 102.108 137.668) + (xy 101.727 137.668) + (xy 101.473 137.668) + (xy 101.092 137.668) + (xy 99.568 137.668) + (xy 99.187 137.668) + (xy 98.933 137.668) + (xy 98.552 137.668) + (xy 97.028 137.668) + (xy 96.647 137.668) + (xy 96.393 137.668) + (xy 96.012 137.668) + (xy 94.488 137.668) + (xy 94.107 137.668) + (xy 93.853 137.668) + (xy 93.472 137.668) + (xy 91.948 137.668) + (xy 91.567 137.668) + (xy 91.313 137.668) + (xy 90.932 137.668) + (xy 89.408 137.668) + (xy 89.027 137.668) + (xy 88.773 137.668) + (xy 88.392 137.668) + (xy 86.868 137.668) + (xy 86.487 137.668) + (xy 86.233 137.668) + (xy 85.852 137.668) + (xy 84.328 137.668) + (xy 83.947 137.668) + (xy 83.693 137.668) + (xy 83.312 137.668) + (xy 81.788 137.668) + (xy 81.407 137.668) + (xy 81.153 137.668) + (xy 80.772 137.668) + (xy 79.248 137.668) + (xy 78.867 137.668) + (xy 78.613 137.668) + (xy 78.232 137.668) + (xy 74.422 137.668) + (xy 74.422 137.033) + (xy 78.232 137.033) + (xy 78.232 137.414) + (xy 78.613 137.414) + (xy 78.613 137.033) + (xy 78.867 137.033) + (xy 78.867 137.414) + (xy 79.248 137.414) + (xy 79.248 137.033) + (xy 80.772 137.033) + (xy 80.772 137.414) + (xy 81.153 137.414) + (xy 81.153 137.033) + (xy 81.407 137.033) + (xy 81.407 137.414) + (xy 81.788 137.414) + (xy 81.788 137.033) + (xy 83.312 137.033) + (xy 83.312 137.414) + (xy 83.693 137.414) + (xy 83.693 137.033) + (xy 83.947 137.033) + (xy 83.947 137.414) + (xy 84.328 137.414) + (xy 84.328 137.033) + (xy 85.852 137.033) + (xy 85.852 137.414) + (xy 86.233 137.414) + (xy 86.233 137.033) + (xy 86.487 137.033) + (xy 86.487 137.414) + (xy 86.868 137.414) + (xy 86.868 137.033) + (xy 88.392 137.033) + (xy 88.392 137.414) + (xy 88.773 137.414) + (xy 88.773 137.033) + (xy 89.027 137.033) + (xy 89.027 137.414) + (xy 89.408 137.414) + (xy 89.408 137.033) + (xy 90.932 137.033) + (xy 90.932 137.414) + (xy 91.313 137.414) + (xy 91.313 137.033) + (xy 91.567 137.033) + (xy 91.567 137.414) + (xy 91.948 137.414) + (xy 91.948 137.033) + (xy 93.472 137.033) + (xy 93.472 137.414) + (xy 93.853 137.414) + (xy 93.853 137.033) + (xy 94.107 137.033) + (xy 94.107 137.414) + (xy 94.488 137.414) + (xy 94.488 137.033) + (xy 96.012 137.033) + (xy 96.012 137.414) + (xy 96.393 137.414) + (xy 96.393 137.033) + (xy 96.647 137.033) + (xy 96.647 137.414) + (xy 97.028 137.414) + (xy 97.028 137.033) + (xy 98.552 137.033) + (xy 98.552 137.414) + (xy 98.933 137.414) + (xy 98.933 137.033) + (xy 99.187 137.033) + (xy 99.187 137.414) + (xy 99.568 137.414) + (xy 99.568 137.033) + (xy 101.092 137.033) + (xy 101.092 137.414) + (xy 101.473 137.414) + (xy 101.473 137.033) + (xy 101.727 137.033) + (xy 101.727 137.414) + (xy 102.108 137.414) + (xy 102.108 137.033) + (xy 103.632 137.033) + (xy 103.632 137.414) + (xy 104.013 137.414) + (xy 104.013 137.033) + (xy 104.267 137.033) + (xy 104.267 137.414) + (xy 104.648 137.414) + (xy 104.648 137.033) + (xy 106.172 137.033) + (xy 106.172 137.414) + (xy 106.553 137.414) + (xy 106.553 137.033) + (xy 106.807 137.033) + (xy 106.807 137.414) + (xy 107.188 137.414) + (xy 107.188 137.033) + (xy 108.712 137.033) + (xy 108.712 137.414) + (xy 109.093 137.414) + (xy 109.093 137.033) + (xy 109.347 137.033) + (xy 109.347 137.414) + (xy 109.728 137.414) + (xy 109.728 137.033) + (xy 111.252 137.033) + (xy 111.252 137.414) + (xy 111.633 137.414) + (xy 111.633 137.033) + (xy 111.887 137.033) + (xy 111.887 137.414) + (xy 112.268 137.414) + (xy 112.268 137.033) + (xy 113.792 137.033) + (xy 113.792 137.414) + (xy 114.173 137.414) + (xy 114.173 137.033) + (xy 114.427 137.033) + (xy 114.427 137.414) + (xy 114.808 137.414) + (xy 114.808 137.033) + (xy 121.412 137.033) + (xy 121.412 137.414) + (xy 121.793 137.414) + (xy 121.793 137.033) + (xy 122.047 137.033) + (xy 122.047 137.414) + (xy 122.428 137.414) + (xy 122.428 137.033) + (xy 123.952 137.033) + (xy 123.952 137.414) + (xy 124.333 137.414) + (xy 124.333 137.033) + (xy 124.587 137.033) + (xy 124.587 137.414) + (xy 124.968 137.414) + (xy 124.968 137.033) + (xy 126.492 137.033) + (xy 126.492 137.414) + (xy 126.873 137.414) + (xy 126.873 137.033) + (xy 127.127 137.033) + (xy 127.127 137.414) + (xy 127.508 137.414) + (xy 127.508 137.033) + (xy 129.032 137.033) + (xy 129.032 137.414) + (xy 129.413 137.414) + (xy 129.413 137.033) + (xy 129.667 137.033) + (xy 129.667 137.414) + (xy 130.048 137.414) + (xy 130.048 137.033) + (xy 131.572 137.033) + (xy 131.572 137.414) + (xy 131.953 137.414) + (xy 131.953 137.033) + (xy 132.207 137.033) + (xy 132.207 137.414) + (xy 132.588 137.414) + (xy 132.588 137.033) + (xy 134.112 137.033) + (xy 134.112 137.414) + (xy 134.493 137.414) + (xy 134.493 137.033) + (xy 134.747 137.033) + (xy 134.747 137.414) + (xy 135.128 137.414) + (xy 135.128 137.033) + (xy 134.747 137.033) + (xy 134.493 137.033) + (xy 134.112 137.033) + (xy 132.588 137.033) + (xy 132.207 137.033) + (xy 131.953 137.033) + (xy 131.572 137.033) + (xy 130.048 137.033) + (xy 129.667 137.033) + (xy 129.413 137.033) + (xy 129.032 137.033) + (xy 127.508 137.033) + (xy 127.127 137.033) + (xy 126.873 137.033) + (xy 126.492 137.033) + (xy 124.968 137.033) + (xy 124.587 137.033) + (xy 124.333 137.033) + (xy 123.952 137.033) + (xy 122.428 137.033) + (xy 122.047 137.033) + (xy 121.793 137.033) + (xy 121.412 137.033) + (xy 114.808 137.033) + (xy 114.427 137.033) + (xy 114.173 137.033) + (xy 113.792 137.033) + (xy 112.268 137.033) + (xy 111.887 137.033) + (xy 111.633 137.033) + (xy 111.252 137.033) + (xy 109.728 137.033) + (xy 109.347 137.033) + (xy 109.093 137.033) + (xy 108.712 137.033) + (xy 107.188 137.033) + (xy 106.807 137.033) + (xy 106.553 137.033) + (xy 106.172 137.033) + (xy 104.648 137.033) + (xy 104.267 137.033) + (xy 104.013 137.033) + (xy 103.632 137.033) + (xy 102.108 137.033) + (xy 101.727 137.033) + (xy 101.473 137.033) + (xy 101.092 137.033) + (xy 99.568 137.033) + (xy 99.187 137.033) + (xy 98.933 137.033) + (xy 98.552 137.033) + (xy 97.028 137.033) + (xy 96.647 137.033) + (xy 96.393 137.033) + (xy 96.012 137.033) + (xy 94.488 137.033) + (xy 94.107 137.033) + (xy 93.853 137.033) + (xy 93.472 137.033) + (xy 91.948 137.033) + (xy 91.567 137.033) + (xy 91.313 137.033) + (xy 90.932 137.033) + (xy 89.408 137.033) + (xy 89.027 137.033) + (xy 88.773 137.033) + (xy 88.392 137.033) + (xy 86.868 137.033) + (xy 86.487 137.033) + (xy 86.233 137.033) + (xy 85.852 137.033) + (xy 84.328 137.033) + (xy 83.947 137.033) + (xy 83.693 137.033) + (xy 83.312 137.033) + (xy 81.788 137.033) + (xy 81.407 137.033) + (xy 81.153 137.033) + (xy 80.772 137.033) + (xy 79.248 137.033) + (xy 78.867 137.033) + (xy 78.613 137.033) + (xy 78.232 137.033) + (xy 74.422 137.033) + (xy 74.422 136.398) + (xy 78.232 136.398) + (xy 78.232 136.779) + (xy 78.613 136.779) + (xy 78.613 136.398) + (xy 78.867 136.398) + (xy 78.867 136.779) + (xy 79.248 136.779) + (xy 79.248 136.398) + (xy 80.772 136.398) + (xy 80.772 136.779) + (xy 81.153 136.779) + (xy 81.153 136.398) + (xy 81.407 136.398) + (xy 81.407 136.779) + (xy 81.788 136.779) + (xy 81.788 136.398) + (xy 83.312 136.398) + (xy 83.312 136.779) + (xy 83.693 136.779) + (xy 83.693 136.398) + (xy 83.947 136.398) + (xy 83.947 136.779) + (xy 84.328 136.779) + (xy 84.328 136.398) + (xy 85.852 136.398) + (xy 85.852 136.779) + (xy 86.233 136.779) + (xy 86.233 136.398) + (xy 86.487 136.398) + (xy 86.487 136.779) + (xy 86.868 136.779) + (xy 86.868 136.398) + (xy 88.392 136.398) + (xy 88.392 136.779) + (xy 88.773 136.779) + (xy 88.773 136.398) + (xy 89.027 136.398) + (xy 89.027 136.779) + (xy 89.408 136.779) + (xy 89.408 136.398) + (xy 90.932 136.398) + (xy 90.932 136.779) + (xy 91.313 136.779) + (xy 91.313 136.398) + (xy 91.567 136.398) + (xy 91.567 136.779) + (xy 91.948 136.779) + (xy 91.948 136.398) + (xy 93.472 136.398) + (xy 93.472 136.779) + (xy 93.853 136.779) + (xy 93.853 136.398) + (xy 94.107 136.398) + (xy 94.107 136.779) + (xy 94.488 136.779) + (xy 94.488 136.398) + (xy 96.012 136.398) + (xy 96.012 136.779) + (xy 96.393 136.779) + (xy 96.393 136.398) + (xy 96.647 136.398) + (xy 96.647 136.779) + (xy 97.028 136.779) + (xy 97.028 136.398) + (xy 98.552 136.398) + (xy 98.552 136.779) + (xy 98.933 136.779) + (xy 98.933 136.398) + (xy 99.187 136.398) + (xy 99.187 136.779) + (xy 99.568 136.779) + (xy 99.568 136.398) + (xy 101.092 136.398) + (xy 101.092 136.779) + (xy 101.473 136.779) + (xy 101.473 136.398) + (xy 101.727 136.398) + (xy 101.727 136.779) + (xy 102.108 136.779) + (xy 102.108 136.398) + (xy 103.632 136.398) + (xy 103.632 136.779) + (xy 104.013 136.779) + (xy 104.013 136.398) + (xy 104.267 136.398) + (xy 104.267 136.779) + (xy 104.648 136.779) + (xy 104.648 136.398) + (xy 106.172 136.398) + (xy 106.172 136.779) + (xy 106.553 136.779) + (xy 106.553 136.398) + (xy 106.807 136.398) + (xy 106.807 136.779) + (xy 107.188 136.779) + (xy 107.188 136.398) + (xy 108.712 136.398) + (xy 108.712 136.779) + (xy 109.093 136.779) + (xy 109.093 136.398) + (xy 109.347 136.398) + (xy 109.347 136.779) + (xy 109.728 136.779) + (xy 109.728 136.398) + (xy 111.252 136.398) + (xy 111.252 136.779) + (xy 111.633 136.779) + (xy 111.633 136.398) + (xy 111.887 136.398) + (xy 111.887 136.779) + (xy 112.268 136.779) + (xy 112.268 136.398) + (xy 113.792 136.398) + (xy 113.792 136.779) + (xy 114.173 136.779) + (xy 114.173 136.398) + (xy 114.427 136.398) + (xy 114.427 136.779) + (xy 114.808 136.779) + (xy 114.808 136.398) + (xy 121.412 136.398) + (xy 121.412 136.779) + (xy 121.793 136.779) + (xy 121.793 136.398) + (xy 122.047 136.398) + (xy 122.047 136.779) + (xy 122.428 136.779) + (xy 122.428 136.398) + (xy 123.952 136.398) + (xy 123.952 136.779) + (xy 124.333 136.779) + (xy 124.333 136.398) + (xy 124.587 136.398) + (xy 124.587 136.779) + (xy 124.968 136.779) + (xy 124.968 136.398) + (xy 126.492 136.398) + (xy 126.492 136.779) + (xy 126.873 136.779) + (xy 126.873 136.398) + (xy 127.127 136.398) + (xy 127.127 136.779) + (xy 127.508 136.779) + (xy 127.508 136.398) + (xy 129.032 136.398) + (xy 129.032 136.779) + (xy 129.413 136.779) + (xy 129.413 136.398) + (xy 129.667 136.398) + (xy 129.667 136.779) + (xy 130.048 136.779) + (xy 130.048 136.398) + (xy 131.572 136.398) + (xy 131.572 136.779) + (xy 131.953 136.779) + (xy 131.953 136.398) + (xy 132.207 136.398) + (xy 132.207 136.779) + (xy 132.588 136.779) + (xy 132.588 136.398) + (xy 134.112 136.398) + (xy 134.112 136.779) + (xy 134.493 136.779) + (xy 134.493 136.398) + (xy 134.747 136.398) + (xy 134.747 136.779) + (xy 135.128 136.779) + (xy 135.128 136.398) + (xy 134.747 136.398) + (xy 134.493 136.398) + (xy 134.112 136.398) + (xy 132.588 136.398) + (xy 132.207 136.398) + (xy 131.953 136.398) + (xy 131.572 136.398) + (xy 130.048 136.398) + (xy 129.667 136.398) + (xy 129.413 136.398) + (xy 129.032 136.398) + (xy 127.508 136.398) + (xy 127.127 136.398) + (xy 126.873 136.398) + (xy 126.492 136.398) + (xy 124.968 136.398) + (xy 124.587 136.398) + (xy 124.333 136.398) + (xy 123.952 136.398) + (xy 122.428 136.398) + (xy 122.047 136.398) + (xy 121.793 136.398) + (xy 121.412 136.398) + (xy 114.808 136.398) + (xy 114.427 136.398) + (xy 114.173 136.398) + (xy 113.792 136.398) + (xy 112.268 136.398) + (xy 111.887 136.398) + (xy 111.633 136.398) + (xy 111.252 136.398) + (xy 109.728 136.398) + (xy 109.347 136.398) + (xy 109.093 136.398) + (xy 108.712 136.398) + (xy 107.188 136.398) + (xy 106.807 136.398) + (xy 106.553 136.398) + (xy 106.172 136.398) + (xy 104.648 136.398) + (xy 104.267 136.398) + (xy 104.013 136.398) + (xy 103.632 136.398) + (xy 102.108 136.398) + (xy 101.727 136.398) + (xy 101.473 136.398) + (xy 101.092 136.398) + (xy 99.568 136.398) + (xy 99.187 136.398) + (xy 98.933 136.398) + (xy 98.552 136.398) + (xy 97.028 136.398) + (xy 96.647 136.398) + (xy 96.393 136.398) + (xy 96.012 136.398) + (xy 94.488 136.398) + (xy 94.107 136.398) + (xy 93.853 136.398) + (xy 93.472 136.398) + (xy 91.948 136.398) + (xy 91.567 136.398) + (xy 91.313 136.398) + (xy 90.932 136.398) + (xy 89.408 136.398) + (xy 89.027 136.398) + (xy 88.773 136.398) + (xy 88.392 136.398) + (xy 86.868 136.398) + (xy 86.487 136.398) + (xy 86.233 136.398) + (xy 85.852 136.398) + (xy 84.328 136.398) + (xy 83.947 136.398) + (xy 83.693 136.398) + (xy 83.312 136.398) + (xy 81.788 136.398) + (xy 81.407 136.398) + (xy 81.153 136.398) + (xy 80.772 136.398) + (xy 79.248 136.398) + (xy 78.867 136.398) + (xy 78.613 136.398) + (xy 78.232 136.398) + (xy 74.422 136.398) + (xy 74.422 135.763) + (xy 78.232 135.763) + (xy 78.232 136.144) + (xy 78.613 136.144) + (xy 78.613 135.763) + (xy 78.867 135.763) + (xy 78.867 136.144) + (xy 79.248 136.144) + (xy 79.248 135.763) + (xy 80.772 135.763) + (xy 80.772 136.144) + (xy 81.153 136.144) + (xy 81.153 135.763) + (xy 81.407 135.763) + (xy 81.407 136.144) + (xy 81.788 136.144) + (xy 81.788 135.763) + (xy 83.312 135.763) + (xy 83.312 136.144) + (xy 83.693 136.144) + (xy 83.693 135.763) + (xy 83.947 135.763) + (xy 83.947 136.144) + (xy 84.328 136.144) + (xy 84.328 135.763) + (xy 85.852 135.763) + (xy 85.852 136.144) + (xy 86.233 136.144) + (xy 86.233 135.763) + (xy 86.487 135.763) + (xy 86.487 136.144) + (xy 86.868 136.144) + (xy 86.868 135.763) + (xy 88.392 135.763) + (xy 88.392 136.144) + (xy 88.773 136.144) + (xy 88.773 135.763) + (xy 89.027 135.763) + (xy 89.027 136.144) + (xy 89.408 136.144) + (xy 89.408 135.763) + (xy 90.932 135.763) + (xy 90.932 136.144) + (xy 91.313 136.144) + (xy 91.313 135.763) + (xy 91.567 135.763) + (xy 91.567 136.144) + (xy 91.948 136.144) + (xy 91.948 135.763) + (xy 93.472 135.763) + (xy 93.472 136.144) + (xy 93.853 136.144) + (xy 93.853 135.763) + (xy 94.107 135.763) + (xy 94.107 136.144) + (xy 94.488 136.144) + (xy 94.488 135.763) + (xy 96.012 135.763) + (xy 96.012 136.144) + (xy 96.393 136.144) + (xy 96.393 135.763) + (xy 96.647 135.763) + (xy 96.647 136.144) + (xy 97.028 136.144) + (xy 97.028 135.763) + (xy 98.552 135.763) + (xy 98.552 136.144) + (xy 98.933 136.144) + (xy 98.933 135.763) + (xy 99.187 135.763) + (xy 99.187 136.144) + (xy 99.568 136.144) + (xy 99.568 135.763) + (xy 101.092 135.763) + (xy 101.092 136.144) + (xy 101.473 136.144) + (xy 101.473 135.763) + (xy 101.727 135.763) + (xy 101.727 136.144) + (xy 102.108 136.144) + (xy 102.108 135.763) + (xy 103.632 135.763) + (xy 103.632 136.144) + (xy 104.013 136.144) + (xy 104.013 135.763) + (xy 104.267 135.763) + (xy 104.267 136.144) + (xy 104.648 136.144) + (xy 104.648 135.763) + (xy 106.172 135.763) + (xy 106.172 136.144) + (xy 106.553 136.144) + (xy 106.553 135.763) + (xy 106.807 135.763) + (xy 106.807 136.144) + (xy 107.188 136.144) + (xy 107.188 135.763) + (xy 108.712 135.763) + (xy 108.712 136.144) + (xy 109.093 136.144) + (xy 109.093 135.763) + (xy 109.347 135.763) + (xy 109.347 136.144) + (xy 109.728 136.144) + (xy 109.728 135.763) + (xy 111.252 135.763) + (xy 111.252 136.144) + (xy 111.633 136.144) + (xy 111.633 135.763) + (xy 111.887 135.763) + (xy 111.887 136.144) + (xy 112.268 136.144) + (xy 112.268 135.763) + (xy 113.792 135.763) + (xy 113.792 136.144) + (xy 114.173 136.144) + (xy 114.173 135.763) + (xy 114.427 135.763) + (xy 114.427 136.144) + (xy 114.808 136.144) + (xy 114.808 135.763) + (xy 121.412 135.763) + (xy 121.412 136.144) + (xy 121.793 136.144) + (xy 121.793 135.763) + (xy 122.047 135.763) + (xy 122.047 136.144) + (xy 122.428 136.144) + (xy 122.428 135.763) + (xy 123.952 135.763) + (xy 123.952 136.144) + (xy 124.333 136.144) + (xy 124.333 135.763) + (xy 124.587 135.763) + (xy 124.587 136.144) + (xy 124.968 136.144) + (xy 124.968 135.763) + (xy 126.492 135.763) + (xy 126.492 136.144) + (xy 126.873 136.144) + (xy 126.873 135.763) + (xy 127.127 135.763) + (xy 127.127 136.144) + (xy 127.508 136.144) + (xy 127.508 135.763) + (xy 129.032 135.763) + (xy 129.032 136.144) + (xy 129.413 136.144) + (xy 129.413 135.763) + (xy 129.667 135.763) + (xy 129.667 136.144) + (xy 130.048 136.144) + (xy 130.048 135.763) + (xy 131.572 135.763) + (xy 131.572 136.144) + (xy 131.953 136.144) + (xy 131.953 135.763) + (xy 132.207 135.763) + (xy 132.207 136.144) + (xy 132.588 136.144) + (xy 132.588 135.763) + (xy 134.112 135.763) + (xy 134.112 136.144) + (xy 134.493 136.144) + (xy 134.493 135.763) + (xy 134.747 135.763) + (xy 134.747 136.144) + (xy 135.128 136.144) + (xy 135.128 135.763) + (xy 134.747 135.763) + (xy 134.493 135.763) + (xy 134.112 135.763) + (xy 132.588 135.763) + (xy 132.207 135.763) + (xy 131.953 135.763) + (xy 131.572 135.763) + (xy 130.048 135.763) + (xy 129.667 135.763) + (xy 129.413 135.763) + (xy 129.032 135.763) + (xy 127.508 135.763) + (xy 127.127 135.763) + (xy 126.873 135.763) + (xy 126.492 135.763) + (xy 124.968 135.763) + (xy 124.587 135.763) + (xy 124.333 135.763) + (xy 123.952 135.763) + (xy 122.428 135.763) + (xy 122.047 135.763) + (xy 121.793 135.763) + (xy 121.412 135.763) + (xy 114.808 135.763) + (xy 114.427 135.763) + (xy 114.173 135.763) + (xy 113.792 135.763) + (xy 112.268 135.763) + (xy 111.887 135.763) + (xy 111.633 135.763) + (xy 111.252 135.763) + (xy 109.728 135.763) + (xy 109.347 135.763) + (xy 109.093 135.763) + (xy 108.712 135.763) + (xy 107.188 135.763) + (xy 106.807 135.763) + (xy 106.553 135.763) + (xy 106.172 135.763) + (xy 104.648 135.763) + (xy 104.267 135.763) + (xy 104.013 135.763) + (xy 103.632 135.763) + (xy 102.108 135.763) + (xy 101.727 135.763) + (xy 101.473 135.763) + (xy 101.092 135.763) + (xy 99.568 135.763) + (xy 99.187 135.763) + (xy 98.933 135.763) + (xy 98.552 135.763) + (xy 97.028 135.763) + (xy 96.647 135.763) + (xy 96.393 135.763) + (xy 96.012 135.763) + (xy 94.488 135.763) + (xy 94.107 135.763) + (xy 93.853 135.763) + (xy 93.472 135.763) + (xy 91.948 135.763) + (xy 91.567 135.763) + (xy 91.313 135.763) + (xy 90.932 135.763) + (xy 89.408 135.763) + (xy 89.027 135.763) + (xy 88.773 135.763) + (xy 88.392 135.763) + (xy 86.868 135.763) + (xy 86.487 135.763) + (xy 86.233 135.763) + (xy 85.852 135.763) + (xy 84.328 135.763) + (xy 83.947 135.763) + (xy 83.693 135.763) + (xy 83.312 135.763) + (xy 81.788 135.763) + (xy 81.407 135.763) + (xy 81.153 135.763) + (xy 80.772 135.763) + (xy 79.248 135.763) + (xy 78.867 135.763) + (xy 78.613 135.763) + (xy 78.232 135.763) + (xy 74.422 135.763) + (xy 74.422 135.128) + (xy 78.232 135.128) + (xy 78.232 135.509) + (xy 78.613 135.509) + (xy 78.613 135.128) + (xy 78.867 135.128) + (xy 78.867 135.509) + (xy 79.248 135.509) + (xy 79.248 135.128) + (xy 80.772 135.128) + (xy 80.772 135.509) + (xy 81.153 135.509) + (xy 81.153 135.128) + (xy 81.407 135.128) + (xy 81.407 135.509) + (xy 81.788 135.509) + (xy 81.788 135.128) + (xy 83.312 135.128) + (xy 83.312 135.509) + (xy 83.693 135.509) + (xy 83.693 135.128) + (xy 83.947 135.128) + (xy 83.947 135.509) + (xy 84.328 135.509) + (xy 84.328 135.128) + (xy 85.852 135.128) + (xy 85.852 135.509) + (xy 86.233 135.509) + (xy 86.233 135.128) + (xy 86.487 135.128) + (xy 86.487 135.509) + (xy 86.868 135.509) + (xy 86.868 135.128) + (xy 88.392 135.128) + (xy 88.392 135.509) + (xy 88.773 135.509) + (xy 88.773 135.128) + (xy 89.027 135.128) + (xy 89.027 135.509) + (xy 89.408 135.509) + (xy 89.408 135.128) + (xy 90.932 135.128) + (xy 90.932 135.509) + (xy 91.313 135.509) + (xy 91.313 135.128) + (xy 91.567 135.128) + (xy 91.567 135.509) + (xy 91.948 135.509) + (xy 91.948 135.128) + (xy 93.472 135.128) + (xy 93.472 135.509) + (xy 93.853 135.509) + (xy 93.853 135.128) + (xy 94.107 135.128) + (xy 94.107 135.509) + (xy 94.488 135.509) + (xy 94.488 135.128) + (xy 96.012 135.128) + (xy 96.012 135.509) + (xy 96.393 135.509) + (xy 96.393 135.128) + (xy 96.647 135.128) + (xy 96.647 135.509) + (xy 97.028 135.509) + (xy 97.028 135.128) + (xy 98.552 135.128) + (xy 98.552 135.509) + (xy 98.933 135.509) + (xy 98.933 135.128) + (xy 99.187 135.128) + (xy 99.187 135.509) + (xy 99.568 135.509) + (xy 99.568 135.128) + (xy 101.092 135.128) + (xy 101.092 135.509) + (xy 101.473 135.509) + (xy 101.473 135.128) + (xy 101.727 135.128) + (xy 101.727 135.509) + (xy 102.108 135.509) + (xy 102.108 135.128) + (xy 103.632 135.128) + (xy 103.632 135.509) + (xy 104.013 135.509) + (xy 104.013 135.128) + (xy 104.267 135.128) + (xy 104.267 135.509) + (xy 104.648 135.509) + (xy 104.648 135.128) + (xy 106.172 135.128) + (xy 106.172 135.509) + (xy 106.553 135.509) + (xy 106.553 135.128) + (xy 106.807 135.128) + (xy 106.807 135.509) + (xy 107.188 135.509) + (xy 107.188 135.128) + (xy 108.712 135.128) + (xy 108.712 135.509) + (xy 109.093 135.509) + (xy 109.093 135.128) + (xy 109.347 135.128) + (xy 109.347 135.509) + (xy 109.728 135.509) + (xy 109.728 135.128) + (xy 111.252 135.128) + (xy 111.252 135.509) + (xy 111.633 135.509) + (xy 111.633 135.128) + (xy 111.887 135.128) + (xy 111.887 135.509) + (xy 112.268 135.509) + (xy 112.268 135.128) + (xy 113.792 135.128) + (xy 113.792 135.509) + (xy 114.173 135.509) + (xy 114.173 135.128) + (xy 114.427 135.128) + (xy 114.427 135.509) + (xy 114.808 135.509) + (xy 114.808 135.128) + (xy 121.412 135.128) + (xy 121.412 135.509) + (xy 121.793 135.509) + (xy 121.793 135.128) + (xy 122.047 135.128) + (xy 122.047 135.509) + (xy 122.428 135.509) + (xy 122.428 135.128) + (xy 123.952 135.128) + (xy 123.952 135.509) + (xy 124.333 135.509) + (xy 124.333 135.128) + (xy 124.587 135.128) + (xy 124.587 135.509) + (xy 124.968 135.509) + (xy 124.968 135.128) + (xy 126.492 135.128) + (xy 126.492 135.509) + (xy 126.873 135.509) + (xy 126.873 135.128) + (xy 127.127 135.128) + (xy 127.127 135.509) + (xy 127.508 135.509) + (xy 127.508 135.128) + (xy 129.032 135.128) + (xy 129.032 135.509) + (xy 129.413 135.509) + (xy 129.413 135.128) + (xy 129.667 135.128) + (xy 129.667 135.509) + (xy 130.048 135.509) + (xy 130.048 135.128) + (xy 131.572 135.128) + (xy 131.572 135.509) + (xy 131.953 135.509) + (xy 131.953 135.128) + (xy 132.207 135.128) + (xy 132.207 135.509) + (xy 132.588 135.509) + (xy 132.588 135.128) + (xy 134.112 135.128) + (xy 134.112 135.509) + (xy 134.493 135.509) + (xy 134.493 135.128) + (xy 134.747 135.128) + (xy 134.747 135.509) + (xy 135.128 135.509) + (xy 135.128 135.128) + (xy 134.747 135.128) + (xy 134.493 135.128) + (xy 134.112 135.128) + (xy 132.588 135.128) + (xy 132.207 135.128) + (xy 131.953 135.128) + (xy 131.572 135.128) + (xy 130.048 135.128) + (xy 129.667 135.128) + (xy 129.413 135.128) + (xy 129.032 135.128) + (xy 127.508 135.128) + (xy 127.127 135.128) + (xy 126.873 135.128) + (xy 126.492 135.128) + (xy 124.968 135.128) + (xy 124.587 135.128) + (xy 124.333 135.128) + (xy 123.952 135.128) + (xy 122.428 135.128) + (xy 122.047 135.128) + (xy 121.793 135.128) + (xy 121.412 135.128) + (xy 114.808 135.128) + (xy 114.427 135.128) + (xy 114.173 135.128) + (xy 113.792 135.128) + (xy 112.268 135.128) + (xy 111.887 135.128) + (xy 111.633 135.128) + (xy 111.252 135.128) + (xy 109.728 135.128) + (xy 109.347 135.128) + (xy 109.093 135.128) + (xy 108.712 135.128) + (xy 107.188 135.128) + (xy 106.807 135.128) + (xy 106.553 135.128) + (xy 106.172 135.128) + (xy 104.648 135.128) + (xy 104.267 135.128) + (xy 104.013 135.128) + (xy 103.632 135.128) + (xy 102.108 135.128) + (xy 101.727 135.128) + (xy 101.473 135.128) + (xy 101.092 135.128) + (xy 99.568 135.128) + (xy 99.187 135.128) + (xy 98.933 135.128) + (xy 98.552 135.128) + (xy 97.028 135.128) + (xy 96.647 135.128) + (xy 96.393 135.128) + (xy 96.012 135.128) + (xy 94.488 135.128) + (xy 94.107 135.128) + (xy 93.853 135.128) + (xy 93.472 135.128) + (xy 91.948 135.128) + (xy 91.567 135.128) + (xy 91.313 135.128) + (xy 90.932 135.128) + (xy 89.408 135.128) + (xy 89.027 135.128) + (xy 88.773 135.128) + (xy 88.392 135.128) + (xy 86.868 135.128) + (xy 86.487 135.128) + (xy 86.233 135.128) + (xy 85.852 135.128) + (xy 84.328 135.128) + (xy 83.947 135.128) + (xy 83.693 135.128) + (xy 83.312 135.128) + (xy 81.788 135.128) + (xy 81.407 135.128) + (xy 81.153 135.128) + (xy 80.772 135.128) + (xy 79.248 135.128) + (xy 78.867 135.128) + (xy 78.613 135.128) + (xy 78.232 135.128) + (xy 74.422 135.128) + (xy 74.422 134.493) + (xy 78.232 134.493) + (xy 78.232 134.874) + (xy 78.613 134.874) + (xy 78.613 134.493) + (xy 78.867 134.493) + (xy 78.867 134.874) + (xy 79.248 134.874) + (xy 79.248 134.493) + (xy 80.772 134.493) + (xy 80.772 134.874) + (xy 81.153 134.874) + (xy 81.153 134.493) + (xy 81.407 134.493) + (xy 81.407 134.874) + (xy 81.788 134.874) + (xy 81.788 134.493) + (xy 83.312 134.493) + (xy 83.312 134.874) + (xy 83.693 134.874) + (xy 83.693 134.493) + (xy 83.947 134.493) + (xy 83.947 134.874) + (xy 84.328 134.874) + (xy 84.328 134.493) + (xy 85.852 134.493) + (xy 85.852 134.874) + (xy 86.233 134.874) + (xy 86.233 134.493) + (xy 86.487 134.493) + (xy 86.487 134.874) + (xy 86.868 134.874) + (xy 86.868 134.493) + (xy 88.392 134.493) + (xy 88.392 134.874) + (xy 88.773 134.874) + (xy 88.773 134.493) + (xy 89.027 134.493) + (xy 89.027 134.874) + (xy 89.408 134.874) + (xy 89.408 134.493) + (xy 90.932 134.493) + (xy 90.932 134.874) + (xy 91.313 134.874) + (xy 91.313 134.493) + (xy 91.567 134.493) + (xy 91.567 134.874) + (xy 91.948 134.874) + (xy 91.948 134.493) + (xy 93.472 134.493) + (xy 93.472 134.874) + (xy 93.853 134.874) + (xy 93.853 134.493) + (xy 94.107 134.493) + (xy 94.107 134.874) + (xy 94.488 134.874) + (xy 94.488 134.493) + (xy 96.012 134.493) + (xy 96.012 134.874) + (xy 96.393 134.874) + (xy 96.393 134.493) + (xy 96.647 134.493) + (xy 96.647 134.874) + (xy 97.028 134.874) + (xy 97.028 134.493) + (xy 98.552 134.493) + (xy 98.552 134.874) + (xy 98.933 134.874) + (xy 98.933 134.493) + (xy 99.187 134.493) + (xy 99.187 134.874) + (xy 99.568 134.874) + (xy 99.568 134.493) + (xy 101.092 134.493) + (xy 101.092 134.874) + (xy 101.473 134.874) + (xy 101.473 134.493) + (xy 101.727 134.493) + (xy 101.727 134.874) + (xy 102.108 134.874) + (xy 102.108 134.493) + (xy 103.632 134.493) + (xy 103.632 134.874) + (xy 104.013 134.874) + (xy 104.013 134.493) + (xy 104.267 134.493) + (xy 104.267 134.874) + (xy 104.648 134.874) + (xy 104.648 134.493) + (xy 106.172 134.493) + (xy 106.172 134.874) + (xy 106.553 134.874) + (xy 106.553 134.493) + (xy 106.807 134.493) + (xy 106.807 134.874) + (xy 107.188 134.874) + (xy 107.188 134.493) + (xy 108.712 134.493) + (xy 108.712 134.874) + (xy 109.093 134.874) + (xy 109.093 134.493) + (xy 109.347 134.493) + (xy 109.347 134.874) + (xy 109.728 134.874) + (xy 109.728 134.493) + (xy 111.252 134.493) + (xy 111.252 134.874) + (xy 111.633 134.874) + (xy 111.633 134.493) + (xy 111.887 134.493) + (xy 111.887 134.874) + (xy 112.268 134.874) + (xy 112.268 134.493) + (xy 113.792 134.493) + (xy 113.792 134.874) + (xy 114.173 134.874) + (xy 114.173 134.493) + (xy 114.427 134.493) + (xy 114.427 134.874) + (xy 114.808 134.874) + (xy 114.808 134.493) + (xy 121.412 134.493) + (xy 121.412 134.874) + (xy 121.793 134.874) + (xy 121.793 134.493) + (xy 122.047 134.493) + (xy 122.047 134.874) + (xy 122.428 134.874) + (xy 122.428 134.493) + (xy 123.952 134.493) + (xy 123.952 134.874) + (xy 124.333 134.874) + (xy 124.333 134.493) + (xy 124.587 134.493) + (xy 124.587 134.874) + (xy 124.968 134.874) + (xy 124.968 134.493) + (xy 126.492 134.493) + (xy 126.492 134.874) + (xy 126.873 134.874) + (xy 126.873 134.493) + (xy 127.127 134.493) + (xy 127.127 134.874) + (xy 127.508 134.874) + (xy 127.508 134.493) + (xy 129.032 134.493) + (xy 129.032 134.874) + (xy 129.413 134.874) + (xy 129.413 134.493) + (xy 129.667 134.493) + (xy 129.667 134.874) + (xy 130.048 134.874) + (xy 130.048 134.493) + (xy 131.572 134.493) + (xy 131.572 134.874) + (xy 131.953 134.874) + (xy 131.953 134.493) + (xy 132.207 134.493) + (xy 132.207 134.874) + (xy 132.588 134.874) + (xy 132.588 134.493) + (xy 134.112 134.493) + (xy 134.112 134.874) + (xy 134.493 134.874) + (xy 134.493 134.493) + (xy 134.747 134.493) + (xy 134.747 134.874) + (xy 135.128 134.874) + (xy 135.128 134.493) + (xy 134.747 134.493) + (xy 134.493 134.493) + (xy 134.112 134.493) + (xy 132.588 134.493) + (xy 132.207 134.493) + (xy 131.953 134.493) + (xy 131.572 134.493) + (xy 130.048 134.493) + (xy 129.667 134.493) + (xy 129.413 134.493) + (xy 129.032 134.493) + (xy 127.508 134.493) + (xy 127.127 134.493) + (xy 126.873 134.493) + (xy 126.492 134.493) + (xy 124.968 134.493) + (xy 124.587 134.493) + (xy 124.333 134.493) + (xy 123.952 134.493) + (xy 122.428 134.493) + (xy 122.047 134.493) + (xy 121.793 134.493) + (xy 121.412 134.493) + (xy 114.808 134.493) + (xy 114.427 134.493) + (xy 114.173 134.493) + (xy 113.792 134.493) + (xy 112.268 134.493) + (xy 111.887 134.493) + (xy 111.633 134.493) + (xy 111.252 134.493) + (xy 109.728 134.493) + (xy 109.347 134.493) + (xy 109.093 134.493) + (xy 108.712 134.493) + (xy 107.188 134.493) + (xy 106.807 134.493) + (xy 106.553 134.493) + (xy 106.172 134.493) + (xy 104.648 134.493) + (xy 104.267 134.493) + (xy 104.013 134.493) + (xy 103.632 134.493) + (xy 102.108 134.493) + (xy 101.727 134.493) + (xy 101.473 134.493) + (xy 101.092 134.493) + (xy 99.568 134.493) + (xy 99.187 134.493) + (xy 98.933 134.493) + (xy 98.552 134.493) + (xy 97.028 134.493) + (xy 96.647 134.493) + (xy 96.393 134.493) + (xy 96.012 134.493) + (xy 94.488 134.493) + (xy 94.107 134.493) + (xy 93.853 134.493) + (xy 93.472 134.493) + (xy 91.948 134.493) + (xy 91.567 134.493) + (xy 91.313 134.493) + (xy 90.932 134.493) + (xy 89.408 134.493) + (xy 89.027 134.493) + (xy 88.773 134.493) + (xy 88.392 134.493) + (xy 86.868 134.493) + (xy 86.487 134.493) + (xy 86.233 134.493) + (xy 85.852 134.493) + (xy 84.328 134.493) + (xy 83.947 134.493) + (xy 83.693 134.493) + (xy 83.312 134.493) + (xy 81.788 134.493) + (xy 81.407 134.493) + (xy 81.153 134.493) + (xy 80.772 134.493) + (xy 79.248 134.493) + (xy 78.867 134.493) + (xy 78.613 134.493) + (xy 78.232 134.493) + (xy 74.422 134.493) + (xy 74.422 133.858) + (xy 78.232 133.858) + (xy 78.232 134.239) + (xy 78.613 134.239) + (xy 78.613 133.858) + (xy 78.867 133.858) + (xy 78.867 134.239) + (xy 79.248 134.239) + (xy 79.248 133.858) + (xy 80.772 133.858) + (xy 80.772 134.239) + (xy 81.153 134.239) + (xy 81.153 133.858) + (xy 81.407 133.858) + (xy 81.407 134.239) + (xy 81.788 134.239) + (xy 81.788 133.858) + (xy 83.312 133.858) + (xy 83.312 134.239) + (xy 83.693 134.239) + (xy 83.693 133.858) + (xy 83.947 133.858) + (xy 83.947 134.239) + (xy 84.328 134.239) + (xy 84.328 133.858) + (xy 85.852 133.858) + (xy 85.852 134.239) + (xy 86.233 134.239) + (xy 86.233 133.858) + (xy 86.487 133.858) + (xy 86.487 134.239) + (xy 86.868 134.239) + (xy 86.868 133.858) + (xy 88.392 133.858) + (xy 88.392 134.239) + (xy 88.773 134.239) + (xy 88.773 133.858) + (xy 89.027 133.858) + (xy 89.027 134.239) + (xy 89.408 134.239) + (xy 89.408 133.858) + (xy 90.932 133.858) + (xy 90.932 134.239) + (xy 91.313 134.239) + (xy 91.313 133.858) + (xy 91.567 133.858) + (xy 91.567 134.239) + (xy 91.948 134.239) + (xy 91.948 133.858) + (xy 93.472 133.858) + (xy 93.472 134.239) + (xy 93.853 134.239) + (xy 93.853 133.858) + (xy 94.107 133.858) + (xy 94.107 134.239) + (xy 94.488 134.239) + (xy 94.488 133.858) + (xy 96.012 133.858) + (xy 96.012 134.239) + (xy 96.393 134.239) + (xy 96.393 133.858) + (xy 96.647 133.858) + (xy 96.647 134.239) + (xy 97.028 134.239) + (xy 97.028 133.858) + (xy 98.552 133.858) + (xy 98.552 134.239) + (xy 98.933 134.239) + (xy 98.933 133.858) + (xy 99.187 133.858) + (xy 99.187 134.239) + (xy 99.568 134.239) + (xy 99.568 133.858) + (xy 101.092 133.858) + (xy 101.092 134.239) + (xy 101.473 134.239) + (xy 101.473 133.858) + (xy 101.727 133.858) + (xy 101.727 134.239) + (xy 102.108 134.239) + (xy 102.108 133.858) + (xy 103.632 133.858) + (xy 103.632 134.239) + (xy 104.013 134.239) + (xy 104.013 133.858) + (xy 104.267 133.858) + (xy 104.267 134.239) + (xy 104.648 134.239) + (xy 104.648 133.858) + (xy 106.172 133.858) + (xy 106.172 134.239) + (xy 106.553 134.239) + (xy 106.553 133.858) + (xy 106.807 133.858) + (xy 106.807 134.239) + (xy 107.188 134.239) + (xy 107.188 133.858) + (xy 108.712 133.858) + (xy 108.712 134.239) + (xy 109.093 134.239) + (xy 109.093 133.858) + (xy 109.347 133.858) + (xy 109.347 134.239) + (xy 109.728 134.239) + (xy 109.728 133.858) + (xy 111.252 133.858) + (xy 111.252 134.239) + (xy 111.633 134.239) + (xy 111.633 133.858) + (xy 111.887 133.858) + (xy 111.887 134.239) + (xy 112.268 134.239) + (xy 112.268 133.858) + (xy 113.792 133.858) + (xy 113.792 134.239) + (xy 114.173 134.239) + (xy 114.173 133.858) + (xy 114.427 133.858) + (xy 114.427 134.239) + (xy 114.808 134.239) + (xy 114.808 133.858) + (xy 121.412 133.858) + (xy 121.412 134.239) + (xy 121.793 134.239) + (xy 121.793 133.858) + (xy 122.047 133.858) + (xy 122.047 134.239) + (xy 122.428 134.239) + (xy 122.428 133.858) + (xy 123.952 133.858) + (xy 123.952 134.239) + (xy 124.333 134.239) + (xy 124.333 133.858) + (xy 124.587 133.858) + (xy 124.587 134.239) + (xy 124.968 134.239) + (xy 124.968 133.858) + (xy 126.492 133.858) + (xy 126.492 134.239) + (xy 126.873 134.239) + (xy 126.873 133.858) + (xy 127.127 133.858) + (xy 127.127 134.239) + (xy 127.508 134.239) + (xy 127.508 133.858) + (xy 129.032 133.858) + (xy 129.032 134.239) + (xy 129.413 134.239) + (xy 129.413 133.858) + (xy 129.667 133.858) + (xy 129.667 134.239) + (xy 130.048 134.239) + (xy 130.048 133.858) + (xy 131.572 133.858) + (xy 131.572 134.239) + (xy 131.953 134.239) + (xy 131.953 133.858) + (xy 132.207 133.858) + (xy 132.207 134.239) + (xy 132.588 134.239) + (xy 132.588 133.858) + (xy 134.112 133.858) + (xy 134.112 134.239) + (xy 134.493 134.239) + (xy 134.493 133.858) + (xy 134.747 133.858) + (xy 134.747 134.239) + (xy 135.128 134.239) + (xy 135.128 133.858) + (xy 134.747 133.858) + (xy 134.493 133.858) + (xy 134.112 133.858) + (xy 132.588 133.858) + (xy 132.207 133.858) + (xy 131.953 133.858) + (xy 131.572 133.858) + (xy 130.048 133.858) + (xy 129.667 133.858) + (xy 129.413 133.858) + (xy 129.032 133.858) + (xy 127.508 133.858) + (xy 127.127 133.858) + (xy 126.873 133.858) + (xy 126.492 133.858) + (xy 124.968 133.858) + (xy 124.587 133.858) + (xy 124.333 133.858) + (xy 123.952 133.858) + (xy 122.428 133.858) + (xy 122.047 133.858) + (xy 121.793 133.858) + (xy 121.412 133.858) + (xy 114.808 133.858) + (xy 114.427 133.858) + (xy 114.173 133.858) + (xy 113.792 133.858) + (xy 112.268 133.858) + (xy 111.887 133.858) + (xy 111.633 133.858) + (xy 111.252 133.858) + (xy 109.728 133.858) + (xy 109.347 133.858) + (xy 109.093 133.858) + (xy 108.712 133.858) + (xy 107.188 133.858) + (xy 106.807 133.858) + (xy 106.553 133.858) + (xy 106.172 133.858) + (xy 104.648 133.858) + (xy 104.267 133.858) + (xy 104.013 133.858) + (xy 103.632 133.858) + (xy 102.108 133.858) + (xy 101.727 133.858) + (xy 101.473 133.858) + (xy 101.092 133.858) + (xy 99.568 133.858) + (xy 99.187 133.858) + (xy 98.933 133.858) + (xy 98.552 133.858) + (xy 97.028 133.858) + (xy 96.647 133.858) + (xy 96.393 133.858) + (xy 96.012 133.858) + (xy 94.488 133.858) + (xy 94.107 133.858) + (xy 93.853 133.858) + (xy 93.472 133.858) + (xy 91.948 133.858) + (xy 91.567 133.858) + (xy 91.313 133.858) + (xy 90.932 133.858) + (xy 89.408 133.858) + (xy 89.027 133.858) + (xy 88.773 133.858) + (xy 88.392 133.858) + (xy 86.868 133.858) + (xy 86.487 133.858) + (xy 86.233 133.858) + (xy 85.852 133.858) + (xy 84.328 133.858) + (xy 83.947 133.858) + (xy 83.693 133.858) + (xy 83.312 133.858) + (xy 81.788 133.858) + (xy 81.407 133.858) + (xy 81.153 133.858) + (xy 80.772 133.858) + (xy 79.248 133.858) + (xy 78.867 133.858) + (xy 78.613 133.858) + (xy 78.232 133.858) + (xy 74.422 133.858) + (xy 74.422 133.223) + (xy 78.232 133.223) + (xy 78.232 133.604) + (xy 78.613 133.604) + (xy 78.613 133.223) + (xy 78.867 133.223) + (xy 78.867 133.604) + (xy 79.248 133.604) + (xy 79.248 133.223) + (xy 80.772 133.223) + (xy 80.772 133.604) + (xy 81.153 133.604) + (xy 81.153 133.223) + (xy 81.407 133.223) + (xy 81.407 133.604) + (xy 81.788 133.604) + (xy 81.788 133.223) + (xy 83.312 133.223) + (xy 83.312 133.604) + (xy 83.693 133.604) + (xy 83.693 133.223) + (xy 83.947 133.223) + (xy 83.947 133.604) + (xy 84.328 133.604) + (xy 84.328 133.223) + (xy 85.852 133.223) + (xy 85.852 133.604) + (xy 86.233 133.604) + (xy 86.233 133.223) + (xy 86.487 133.223) + (xy 86.487 133.604) + (xy 86.868 133.604) + (xy 86.868 133.223) + (xy 88.392 133.223) + (xy 88.392 133.604) + (xy 88.773 133.604) + (xy 88.773 133.223) + (xy 89.027 133.223) + (xy 89.027 133.604) + (xy 89.408 133.604) + (xy 89.408 133.223) + (xy 90.932 133.223) + (xy 90.932 133.604) + (xy 91.313 133.604) + (xy 91.313 133.223) + (xy 91.567 133.223) + (xy 91.567 133.604) + (xy 91.948 133.604) + (xy 91.948 133.223) + (xy 93.472 133.223) + (xy 93.472 133.604) + (xy 93.853 133.604) + (xy 93.853 133.223) + (xy 94.107 133.223) + (xy 94.107 133.604) + (xy 94.488 133.604) + (xy 94.488 133.223) + (xy 96.012 133.223) + (xy 96.012 133.604) + (xy 96.393 133.604) + (xy 96.393 133.223) + (xy 96.647 133.223) + (xy 96.647 133.604) + (xy 97.028 133.604) + (xy 97.028 133.223) + (xy 98.552 133.223) + (xy 98.552 133.604) + (xy 98.933 133.604) + (xy 98.933 133.223) + (xy 99.187 133.223) + (xy 99.187 133.604) + (xy 99.568 133.604) + (xy 99.568 133.223) + (xy 101.092 133.223) + (xy 101.092 133.604) + (xy 101.473 133.604) + (xy 101.473 133.223) + (xy 101.727 133.223) + (xy 101.727 133.604) + (xy 102.108 133.604) + (xy 102.108 133.223) + (xy 103.632 133.223) + (xy 103.632 133.604) + (xy 104.013 133.604) + (xy 104.013 133.223) + (xy 104.267 133.223) + (xy 104.267 133.604) + (xy 104.648 133.604) + (xy 104.648 133.223) + (xy 106.172 133.223) + (xy 106.172 133.604) + (xy 106.553 133.604) + (xy 106.553 133.223) + (xy 106.807 133.223) + (xy 106.807 133.604) + (xy 107.188 133.604) + (xy 107.188 133.223) + (xy 108.712 133.223) + (xy 108.712 133.604) + (xy 109.093 133.604) + (xy 109.093 133.223) + (xy 109.347 133.223) + (xy 109.347 133.604) + (xy 109.728 133.604) + (xy 109.728 133.223) + (xy 111.252 133.223) + (xy 111.252 133.604) + (xy 111.633 133.604) + (xy 111.633 133.223) + (xy 111.887 133.223) + (xy 111.887 133.604) + (xy 112.268 133.604) + (xy 112.268 133.223) + (xy 113.792 133.223) + (xy 113.792 133.604) + (xy 114.173 133.604) + (xy 114.173 133.223) + (xy 114.427 133.223) + (xy 114.427 133.604) + (xy 114.808 133.604) + (xy 114.808 133.223) + (xy 121.412 133.223) + (xy 121.412 133.604) + (xy 121.793 133.604) + (xy 121.793 133.223) + (xy 122.047 133.223) + (xy 122.047 133.604) + (xy 122.428 133.604) + (xy 122.428 133.223) + (xy 123.952 133.223) + (xy 123.952 133.604) + (xy 124.333 133.604) + (xy 124.333 133.223) + (xy 124.587 133.223) + (xy 124.587 133.604) + (xy 124.968 133.604) + (xy 124.968 133.223) + (xy 126.492 133.223) + (xy 126.492 133.604) + (xy 126.873 133.604) + (xy 126.873 133.223) + (xy 127.127 133.223) + (xy 127.127 133.604) + (xy 127.508 133.604) + (xy 127.508 133.223) + (xy 129.032 133.223) + (xy 129.032 133.604) + (xy 129.413 133.604) + (xy 129.413 133.223) + (xy 129.667 133.223) + (xy 129.667 133.604) + (xy 130.048 133.604) + (xy 130.048 133.223) + (xy 131.572 133.223) + (xy 131.572 133.604) + (xy 131.953 133.604) + (xy 131.953 133.223) + (xy 132.207 133.223) + (xy 132.207 133.604) + (xy 132.588 133.604) + (xy 132.588 133.223) + (xy 134.112 133.223) + (xy 134.112 133.604) + (xy 134.493 133.604) + (xy 134.493 133.223) + (xy 134.747 133.223) + (xy 134.747 133.604) + (xy 135.128 133.604) + (xy 135.128 133.223) + (xy 134.747 133.223) + (xy 134.493 133.223) + (xy 134.112 133.223) + (xy 132.588 133.223) + (xy 132.207 133.223) + (xy 131.953 133.223) + (xy 131.572 133.223) + (xy 130.048 133.223) + (xy 129.667 133.223) + (xy 129.413 133.223) + (xy 129.032 133.223) + (xy 127.508 133.223) + (xy 127.127 133.223) + (xy 126.873 133.223) + (xy 126.492 133.223) + (xy 124.968 133.223) + (xy 124.587 133.223) + (xy 124.333 133.223) + (xy 123.952 133.223) + (xy 122.428 133.223) + (xy 122.047 133.223) + (xy 121.793 133.223) + (xy 121.412 133.223) + (xy 114.808 133.223) + (xy 114.427 133.223) + (xy 114.173 133.223) + (xy 113.792 133.223) + (xy 112.268 133.223) + (xy 111.887 133.223) + (xy 111.633 133.223) + (xy 111.252 133.223) + (xy 109.728 133.223) + (xy 109.347 133.223) + (xy 109.093 133.223) + (xy 108.712 133.223) + (xy 107.188 133.223) + (xy 106.807 133.223) + (xy 106.553 133.223) + (xy 106.172 133.223) + (xy 104.648 133.223) + (xy 104.267 133.223) + (xy 104.013 133.223) + (xy 103.632 133.223) + (xy 102.108 133.223) + (xy 101.727 133.223) + (xy 101.473 133.223) + (xy 101.092 133.223) + (xy 99.568 133.223) + (xy 99.187 133.223) + (xy 98.933 133.223) + (xy 98.552 133.223) + (xy 97.028 133.223) + (xy 96.647 133.223) + (xy 96.393 133.223) + (xy 96.012 133.223) + (xy 94.488 133.223) + (xy 94.107 133.223) + (xy 93.853 133.223) + (xy 93.472 133.223) + (xy 91.948 133.223) + (xy 91.567 133.223) + (xy 91.313 133.223) + (xy 90.932 133.223) + (xy 89.408 133.223) + (xy 89.027 133.223) + (xy 88.773 133.223) + (xy 88.392 133.223) + (xy 86.868 133.223) + (xy 86.487 133.223) + (xy 86.233 133.223) + (xy 85.852 133.223) + (xy 84.328 133.223) + (xy 83.947 133.223) + (xy 83.693 133.223) + (xy 83.312 133.223) + (xy 81.788 133.223) + (xy 81.407 133.223) + (xy 81.153 133.223) + (xy 80.772 133.223) + (xy 79.248 133.223) + (xy 78.867 133.223) + (xy 78.613 133.223) + (xy 78.232 133.223) + (xy 74.422 133.223) + (xy 74.422 132.588) + (xy 78.232 132.588) + (xy 78.232 132.969) + (xy 78.613 132.969) + (xy 78.613 132.588) + (xy 78.867 132.588) + (xy 78.867 132.969) + (xy 79.248 132.969) + (xy 79.248 132.588) + (xy 80.772 132.588) + (xy 80.772 132.969) + (xy 81.153 132.969) + (xy 81.153 132.588) + (xy 81.407 132.588) + (xy 81.407 132.969) + (xy 81.788 132.969) + (xy 81.788 132.588) + (xy 83.312 132.588) + (xy 83.312 132.969) + (xy 83.693 132.969) + (xy 83.693 132.588) + (xy 83.947 132.588) + (xy 83.947 132.969) + (xy 84.328 132.969) + (xy 84.328 132.588) + (xy 85.852 132.588) + (xy 85.852 132.969) + (xy 86.233 132.969) + (xy 86.233 132.588) + (xy 86.487 132.588) + (xy 86.487 132.969) + (xy 86.868 132.969) + (xy 86.868 132.588) + (xy 88.392 132.588) + (xy 88.392 132.969) + (xy 88.773 132.969) + (xy 88.773 132.588) + (xy 89.027 132.588) + (xy 89.027 132.969) + (xy 89.408 132.969) + (xy 89.408 132.588) + (xy 90.932 132.588) + (xy 90.932 132.969) + (xy 91.313 132.969) + (xy 91.313 132.588) + (xy 91.567 132.588) + (xy 91.567 132.969) + (xy 91.948 132.969) + (xy 91.948 132.588) + (xy 93.472 132.588) + (xy 93.472 132.969) + (xy 93.853 132.969) + (xy 93.853 132.588) + (xy 94.107 132.588) + (xy 94.107 132.969) + (xy 94.488 132.969) + (xy 94.488 132.588) + (xy 96.012 132.588) + (xy 96.012 132.969) + (xy 96.393 132.969) + (xy 96.393 132.588) + (xy 96.647 132.588) + (xy 96.647 132.969) + (xy 97.028 132.969) + (xy 97.028 132.588) + (xy 98.552 132.588) + (xy 98.552 132.969) + (xy 98.933 132.969) + (xy 98.933 132.588) + (xy 99.187 132.588) + (xy 99.187 132.969) + (xy 99.568 132.969) + (xy 99.568 132.588) + (xy 101.092 132.588) + (xy 101.092 132.969) + (xy 101.473 132.969) + (xy 101.473 132.588) + (xy 101.727 132.588) + (xy 101.727 132.969) + (xy 102.108 132.969) + (xy 102.108 132.588) + (xy 103.632 132.588) + (xy 103.632 132.969) + (xy 104.013 132.969) + (xy 104.013 132.588) + (xy 104.267 132.588) + (xy 104.267 132.969) + (xy 104.648 132.969) + (xy 104.648 132.588) + (xy 106.172 132.588) + (xy 106.172 132.969) + (xy 106.553 132.969) + (xy 106.553 132.588) + (xy 106.807 132.588) + (xy 106.807 132.969) + (xy 107.188 132.969) + (xy 107.188 132.588) + (xy 108.712 132.588) + (xy 108.712 132.969) + (xy 109.093 132.969) + (xy 109.093 132.588) + (xy 109.347 132.588) + (xy 109.347 132.969) + (xy 109.728 132.969) + (xy 109.728 132.588) + (xy 111.252 132.588) + (xy 111.252 132.969) + (xy 111.633 132.969) + (xy 111.633 132.588) + (xy 111.887 132.588) + (xy 111.887 132.969) + (xy 112.268 132.969) + (xy 112.268 132.588) + (xy 113.792 132.588) + (xy 113.792 132.969) + (xy 114.173 132.969) + (xy 114.173 132.588) + (xy 114.427 132.588) + (xy 114.427 132.969) + (xy 114.808 132.969) + (xy 114.808 132.588) + (xy 121.412 132.588) + (xy 121.412 132.969) + (xy 121.793 132.969) + (xy 121.793 132.588) + (xy 122.047 132.588) + (xy 122.047 132.969) + (xy 122.428 132.969) + (xy 122.428 132.588) + (xy 123.952 132.588) + (xy 123.952 132.969) + (xy 124.333 132.969) + (xy 124.333 132.588) + (xy 124.587 132.588) + (xy 124.587 132.969) + (xy 124.968 132.969) + (xy 124.968 132.588) + (xy 126.492 132.588) + (xy 126.492 132.969) + (xy 126.873 132.969) + (xy 126.873 132.588) + (xy 127.127 132.588) + (xy 127.127 132.969) + (xy 127.508 132.969) + (xy 127.508 132.588) + (xy 129.032 132.588) + (xy 129.032 132.969) + (xy 129.413 132.969) + (xy 129.413 132.588) + (xy 129.667 132.588) + (xy 129.667 132.969) + (xy 130.048 132.969) + (xy 130.048 132.588) + (xy 131.572 132.588) + (xy 131.572 132.969) + (xy 131.953 132.969) + (xy 131.953 132.588) + (xy 132.207 132.588) + (xy 132.207 132.969) + (xy 132.588 132.969) + (xy 132.588 132.588) + (xy 134.112 132.588) + (xy 134.112 132.969) + (xy 134.493 132.969) + (xy 134.493 132.588) + (xy 134.747 132.588) + (xy 134.747 132.969) + (xy 135.128 132.969) + (xy 135.128 132.588) + (xy 134.747 132.588) + (xy 134.493 132.588) + (xy 134.112 132.588) + (xy 132.588 132.588) + (xy 132.207 132.588) + (xy 131.953 132.588) + (xy 131.572 132.588) + (xy 130.048 132.588) + (xy 129.667 132.588) + (xy 129.413 132.588) + (xy 129.032 132.588) + (xy 127.508 132.588) + (xy 127.127 132.588) + (xy 126.873 132.588) + (xy 126.492 132.588) + (xy 124.968 132.588) + (xy 124.587 132.588) + (xy 124.333 132.588) + (xy 123.952 132.588) + (xy 122.428 132.588) + (xy 122.047 132.588) + (xy 121.793 132.588) + (xy 121.412 132.588) + (xy 114.808 132.588) + (xy 114.427 132.588) + (xy 114.173 132.588) + (xy 113.792 132.588) + (xy 112.268 132.588) + (xy 111.887 132.588) + (xy 111.633 132.588) + (xy 111.252 132.588) + (xy 109.728 132.588) + (xy 109.347 132.588) + (xy 109.093 132.588) + (xy 108.712 132.588) + (xy 107.188 132.588) + (xy 106.807 132.588) + (xy 106.553 132.588) + (xy 106.172 132.588) + (xy 104.648 132.588) + (xy 104.267 132.588) + (xy 104.013 132.588) + (xy 103.632 132.588) + (xy 102.108 132.588) + (xy 101.727 132.588) + (xy 101.473 132.588) + (xy 101.092 132.588) + (xy 99.568 132.588) + (xy 99.187 132.588) + (xy 98.933 132.588) + (xy 98.552 132.588) + (xy 97.028 132.588) + (xy 96.647 132.588) + (xy 96.393 132.588) + (xy 96.012 132.588) + (xy 94.488 132.588) + (xy 94.107 132.588) + (xy 93.853 132.588) + (xy 93.472 132.588) + (xy 91.948 132.588) + (xy 91.567 132.588) + (xy 91.313 132.588) + (xy 90.932 132.588) + (xy 89.408 132.588) + (xy 89.027 132.588) + (xy 88.773 132.588) + (xy 88.392 132.588) + (xy 86.868 132.588) + (xy 86.487 132.588) + (xy 86.233 132.588) + (xy 85.852 132.588) + (xy 84.328 132.588) + (xy 83.947 132.588) + (xy 83.693 132.588) + (xy 83.312 132.588) + (xy 81.788 132.588) + (xy 81.407 132.588) + (xy 81.153 132.588) + (xy 80.772 132.588) + (xy 79.248 132.588) + (xy 78.867 132.588) + (xy 78.613 132.588) + (xy 78.232 132.588) + (xy 74.422 132.588) + (xy 74.422 131.953) + (xy 78.232 131.953) + (xy 78.232 132.334) + (xy 78.613 132.334) + (xy 78.613 131.953) + (xy 78.867 131.953) + (xy 78.867 132.334) + (xy 79.248 132.334) + (xy 79.248 131.953) + (xy 80.772 131.953) + (xy 80.772 132.334) + (xy 81.153 132.334) + (xy 81.153 131.953) + (xy 81.407 131.953) + (xy 81.407 132.334) + (xy 81.788 132.334) + (xy 81.788 131.953) + (xy 83.312 131.953) + (xy 83.312 132.334) + (xy 83.693 132.334) + (xy 83.693 131.953) + (xy 83.947 131.953) + (xy 83.947 132.334) + (xy 84.328 132.334) + (xy 84.328 131.953) + (xy 85.852 131.953) + (xy 85.852 132.334) + (xy 86.233 132.334) + (xy 86.233 131.953) + (xy 86.487 131.953) + (xy 86.487 132.334) + (xy 86.868 132.334) + (xy 86.868 131.953) + (xy 88.392 131.953) + (xy 88.392 132.334) + (xy 88.773 132.334) + (xy 88.773 131.953) + (xy 89.027 131.953) + (xy 89.027 132.334) + (xy 89.408 132.334) + (xy 89.408 131.953) + (xy 90.932 131.953) + (xy 90.932 132.334) + (xy 91.313 132.334) + (xy 91.313 131.953) + (xy 91.567 131.953) + (xy 91.567 132.334) + (xy 91.948 132.334) + (xy 91.948 131.953) + (xy 93.472 131.953) + (xy 93.472 132.334) + (xy 93.853 132.334) + (xy 93.853 131.953) + (xy 94.107 131.953) + (xy 94.107 132.334) + (xy 94.488 132.334) + (xy 94.488 131.953) + (xy 96.012 131.953) + (xy 96.012 132.334) + (xy 96.393 132.334) + (xy 96.393 131.953) + (xy 96.647 131.953) + (xy 96.647 132.334) + (xy 97.028 132.334) + (xy 97.028 131.953) + (xy 98.552 131.953) + (xy 98.552 132.334) + (xy 98.933 132.334) + (xy 98.933 131.953) + (xy 99.187 131.953) + (xy 99.187 132.334) + (xy 99.568 132.334) + (xy 99.568 131.953) + (xy 101.092 131.953) + (xy 101.092 132.334) + (xy 101.473 132.334) + (xy 101.473 131.953) + (xy 101.727 131.953) + (xy 101.727 132.334) + (xy 102.108 132.334) + (xy 102.108 131.953) + (xy 103.632 131.953) + (xy 103.632 132.334) + (xy 104.013 132.334) + (xy 104.013 131.953) + (xy 104.267 131.953) + (xy 104.267 132.334) + (xy 104.648 132.334) + (xy 104.648 131.953) + (xy 106.172 131.953) + (xy 106.172 132.334) + (xy 106.553 132.334) + (xy 106.553 131.953) + (xy 106.807 131.953) + (xy 106.807 132.334) + (xy 107.188 132.334) + (xy 107.188 131.953) + (xy 108.712 131.953) + (xy 108.712 132.334) + (xy 109.093 132.334) + (xy 109.093 131.953) + (xy 109.347 131.953) + (xy 109.347 132.334) + (xy 109.728 132.334) + (xy 109.728 131.953) + (xy 111.252 131.953) + (xy 111.252 132.334) + (xy 111.633 132.334) + (xy 111.633 131.953) + (xy 111.887 131.953) + (xy 111.887 132.334) + (xy 112.268 132.334) + (xy 112.268 131.953) + (xy 113.792 131.953) + (xy 113.792 132.334) + (xy 114.173 132.334) + (xy 114.173 131.953) + (xy 114.427 131.953) + (xy 114.427 132.334) + (xy 114.808 132.334) + (xy 114.808 131.953) + (xy 121.412 131.953) + (xy 121.412 132.334) + (xy 121.793 132.334) + (xy 121.793 131.953) + (xy 122.047 131.953) + (xy 122.047 132.334) + (xy 122.428 132.334) + (xy 122.428 131.953) + (xy 123.952 131.953) + (xy 123.952 132.334) + (xy 124.333 132.334) + (xy 124.333 131.953) + (xy 124.587 131.953) + (xy 124.587 132.334) + (xy 124.968 132.334) + (xy 124.968 131.953) + (xy 126.492 131.953) + (xy 126.492 132.334) + (xy 126.873 132.334) + (xy 126.873 131.953) + (xy 127.127 131.953) + (xy 127.127 132.334) + (xy 127.508 132.334) + (xy 127.508 131.953) + (xy 129.032 131.953) + (xy 129.032 132.334) + (xy 129.413 132.334) + (xy 129.413 131.953) + (xy 129.667 131.953) + (xy 129.667 132.334) + (xy 130.048 132.334) + (xy 130.048 131.953) + (xy 131.572 131.953) + (xy 131.572 132.334) + (xy 131.953 132.334) + (xy 131.953 131.953) + (xy 132.207 131.953) + (xy 132.207 132.334) + (xy 132.588 132.334) + (xy 132.588 131.953) + (xy 134.112 131.953) + (xy 134.112 132.334) + (xy 134.493 132.334) + (xy 134.493 131.953) + (xy 134.747 131.953) + (xy 134.747 132.334) + (xy 135.128 132.334) + (xy 135.128 131.953) + (xy 134.747 131.953) + (xy 134.493 131.953) + (xy 134.112 131.953) + (xy 132.588 131.953) + (xy 132.207 131.953) + (xy 131.953 131.953) + (xy 131.572 131.953) + (xy 130.048 131.953) + (xy 129.667 131.953) + (xy 129.413 131.953) + (xy 129.032 131.953) + (xy 127.508 131.953) + (xy 127.127 131.953) + (xy 126.873 131.953) + (xy 126.492 131.953) + (xy 124.968 131.953) + (xy 124.587 131.953) + (xy 124.333 131.953) + (xy 123.952 131.953) + (xy 122.428 131.953) + (xy 122.047 131.953) + (xy 121.793 131.953) + (xy 121.412 131.953) + (xy 114.808 131.953) + (xy 114.427 131.953) + (xy 114.173 131.953) + (xy 113.792 131.953) + (xy 112.268 131.953) + (xy 111.887 131.953) + (xy 111.633 131.953) + (xy 111.252 131.953) + (xy 109.728 131.953) + (xy 109.347 131.953) + (xy 109.093 131.953) + (xy 108.712 131.953) + (xy 107.188 131.953) + (xy 106.807 131.953) + (xy 106.553 131.953) + (xy 106.172 131.953) + (xy 104.648 131.953) + (xy 104.267 131.953) + (xy 104.013 131.953) + (xy 103.632 131.953) + (xy 102.108 131.953) + (xy 101.727 131.953) + (xy 101.473 131.953) + (xy 101.092 131.953) + (xy 99.568 131.953) + (xy 99.187 131.953) + (xy 98.933 131.953) + (xy 98.552 131.953) + (xy 97.028 131.953) + (xy 96.647 131.953) + (xy 96.393 131.953) + (xy 96.012 131.953) + (xy 94.488 131.953) + (xy 94.107 131.953) + (xy 93.853 131.953) + (xy 93.472 131.953) + (xy 91.948 131.953) + (xy 91.567 131.953) + (xy 91.313 131.953) + (xy 90.932 131.953) + (xy 89.408 131.953) + (xy 89.027 131.953) + (xy 88.773 131.953) + (xy 88.392 131.953) + (xy 86.868 131.953) + (xy 86.487 131.953) + (xy 86.233 131.953) + (xy 85.852 131.953) + (xy 84.328 131.953) + (xy 83.947 131.953) + (xy 83.693 131.953) + (xy 83.312 131.953) + (xy 81.788 131.953) + (xy 81.407 131.953) + (xy 81.153 131.953) + (xy 80.772 131.953) + (xy 79.248 131.953) + (xy 78.867 131.953) + (xy 78.613 131.953) + (xy 78.232 131.953) + (xy 74.422 131.953) + (xy 74.422 131.572) + (xy 72.200481 131.572) + (xy 72.152143 131.554407) + (xy 72.126423 131.509858) + (xy 72.133478 131.462659) + (xy 72.142956 131.444057) + (xy 72.142956 131.444056) + (xy 72.142957 131.444055) + (xy 72.162922 131.318) + (xy 77.062078 131.318) + (xy 77.082043 131.444056) + (xy 77.097744 131.474871) + (xy 77.139984 131.557771) + (xy 77.230229 131.648016) + (xy 77.343943 131.705956) + (xy 77.343945 131.705957) + (xy 77.47 131.725922) + (xy 77.596055 131.705957) + (xy 77.709771 131.648016) + (xy 77.800016 131.557771) + (xy 77.857957 131.444055) + (xy 77.877922 131.318) + (xy 79.602078 131.318) + (xy 79.622043 131.444056) + (xy 79.637744 131.474871) + (xy 79.679984 131.557771) + (xy 79.770229 131.648016) + (xy 79.883943 131.705956) + (xy 79.883945 131.705957) + (xy 80.01 131.725922) + (xy 80.136055 131.705957) + (xy 80.249771 131.648016) + (xy 80.340016 131.557771) + (xy 80.397957 131.444055) + (xy 80.417922 131.318) + (xy 82.142078 131.318) + (xy 82.162043 131.444056) + (xy 82.177744 131.474871) + (xy 82.219984 131.557771) + (xy 82.310229 131.648016) + (xy 82.423943 131.705956) + (xy 82.423945 131.705957) + (xy 82.55 131.725922) + (xy 82.676055 131.705957) + (xy 82.789771 131.648016) + (xy 82.880016 131.557771) + (xy 82.937957 131.444055) + (xy 82.957922 131.318) + (xy 84.682078 131.318) + (xy 84.702043 131.444056) + (xy 84.717744 131.474871) + (xy 84.759984 131.557771) + (xy 84.850229 131.648016) + (xy 84.963943 131.705956) + (xy 84.963945 131.705957) + (xy 85.09 131.725922) + (xy 85.216055 131.705957) + (xy 85.329771 131.648016) + (xy 85.420016 131.557771) + (xy 85.477957 131.444055) + (xy 85.497922 131.318) + (xy 87.222078 131.318) + (xy 87.242043 131.444056) + (xy 87.257744 131.474871) + (xy 87.299984 131.557771) + (xy 87.390229 131.648016) + (xy 87.503943 131.705956) + (xy 87.503945 131.705957) + (xy 87.63 131.725922) + (xy 87.756055 131.705957) + (xy 87.869771 131.648016) + (xy 87.960016 131.557771) + (xy 88.017957 131.444055) + (xy 88.037922 131.318) + (xy 89.762078 131.318) + (xy 89.782043 131.444056) + (xy 89.797744 131.474871) + (xy 89.839984 131.557771) + (xy 89.930229 131.648016) + (xy 90.043943 131.705956) + (xy 90.043945 131.705957) + (xy 90.17 131.725922) + (xy 90.296055 131.705957) + (xy 90.409771 131.648016) + (xy 90.500016 131.557771) + (xy 90.557957 131.444055) + (xy 90.577922 131.318) + (xy 92.302078 131.318) + (xy 92.322043 131.444056) + (xy 92.337744 131.474871) + (xy 92.379984 131.557771) + (xy 92.470229 131.648016) + (xy 92.583943 131.705956) + (xy 92.583945 131.705957) + (xy 92.71 131.725922) + (xy 92.836055 131.705957) + (xy 92.949771 131.648016) + (xy 93.040016 131.557771) + (xy 93.097957 131.444055) + (xy 93.117922 131.318) + (xy 94.842078 131.318) + (xy 94.862043 131.444056) + (xy 94.877744 131.474871) + (xy 94.919984 131.557771) + (xy 95.010229 131.648016) + (xy 95.123943 131.705956) + (xy 95.123945 131.705957) + (xy 95.25 131.725922) + (xy 95.376055 131.705957) + (xy 95.489771 131.648016) + (xy 95.580016 131.557771) + (xy 95.637957 131.444055) + (xy 95.657922 131.318) + (xy 97.382078 131.318) + (xy 97.402043 131.444056) + (xy 97.417744 131.474871) + (xy 97.459984 131.557771) + (xy 97.550229 131.648016) + (xy 97.663943 131.705956) + (xy 97.663945 131.705957) + (xy 97.79 131.725922) + (xy 97.916055 131.705957) + (xy 98.029771 131.648016) + (xy 98.120016 131.557771) + (xy 98.177957 131.444055) + (xy 98.197922 131.318) + (xy 99.922078 131.318) + (xy 99.942043 131.444056) + (xy 99.957744 131.474871) + (xy 99.999984 131.557771) + (xy 100.090229 131.648016) + (xy 100.203943 131.705956) + (xy 100.203945 131.705957) + (xy 100.33 131.725922) + (xy 100.456055 131.705957) + (xy 100.569771 131.648016) + (xy 100.660016 131.557771) + (xy 100.717957 131.444055) + (xy 100.737922 131.318) + (xy 102.462078 131.318) + (xy 102.482043 131.444056) + (xy 102.497744 131.474871) + (xy 102.539984 131.557771) + (xy 102.630229 131.648016) + (xy 102.743943 131.705956) + (xy 102.743945 131.705957) + (xy 102.87 131.725922) + (xy 102.996055 131.705957) + (xy 103.109771 131.648016) + (xy 103.200016 131.557771) + (xy 103.257957 131.444055) + (xy 103.277922 131.318) + (xy 105.002078 131.318) + (xy 105.022043 131.444056) + (xy 105.037744 131.474871) + (xy 105.079984 131.557771) + (xy 105.170229 131.648016) + (xy 105.283943 131.705956) + (xy 105.283945 131.705957) + (xy 105.41 131.725922) + (xy 105.536055 131.705957) + (xy 105.649771 131.648016) + (xy 105.740016 131.557771) + (xy 105.797957 131.444055) + (xy 105.817922 131.318) + (xy 107.542078 131.318) + (xy 107.562043 131.444056) + (xy 107.577744 131.474871) + (xy 107.619984 131.557771) + (xy 107.710229 131.648016) + (xy 107.823943 131.705956) + (xy 107.823945 131.705957) + (xy 107.95 131.725922) + (xy 108.076055 131.705957) + (xy 108.189771 131.648016) + (xy 108.280016 131.557771) + (xy 108.337957 131.444055) + (xy 108.357922 131.318) + (xy 110.082078 131.318) + (xy 110.102043 131.444056) + (xy 110.117744 131.474871) + (xy 110.159984 131.557771) + (xy 110.250229 131.648016) + (xy 110.363943 131.705956) + (xy 110.363945 131.705957) + (xy 110.49 131.725922) + (xy 110.616055 131.705957) + (xy 110.729771 131.648016) + (xy 110.820016 131.557771) + (xy 110.877957 131.444055) + (xy 110.897922 131.318) + (xy 112.622078 131.318) + (xy 112.642043 131.444056) + (xy 112.657744 131.474871) + (xy 112.699984 131.557771) + (xy 112.790229 131.648016) + (xy 112.903943 131.705956) + (xy 112.903945 131.705957) + (xy 113.03 131.725922) + (xy 113.156055 131.705957) + (xy 113.269771 131.648016) + (xy 113.360016 131.557771) + (xy 113.417957 131.444055) + (xy 113.437922 131.318) + (xy 115.162078 131.318) + (xy 115.182043 131.444056) + (xy 115.197744 131.474871) + (xy 115.239984 131.557771) + (xy 115.330229 131.648016) + (xy 115.443943 131.705956) + (xy 115.443945 131.705957) + (xy 115.57 131.725922) + (xy 115.696055 131.705957) + (xy 115.809771 131.648016) + (xy 115.900016 131.557771) + (xy 115.957957 131.444055) + (xy 115.977922 131.318) + (xy 117.702078 131.318) + (xy 117.722043 131.444056) + (xy 117.737744 131.474871) + (xy 117.779984 131.557771) + (xy 117.870229 131.648016) + (xy 117.983943 131.705956) + (xy 117.983945 131.705957) + (xy 118.11 131.725922) + (xy 118.236055 131.705957) + (xy 118.349771 131.648016) + (xy 118.440016 131.557771) + (xy 118.497957 131.444055) + (xy 118.517922 131.318) + (xy 120.242078 131.318) + (xy 120.262043 131.444056) + (xy 120.277744 131.474871) + (xy 120.319984 131.557771) + (xy 120.410229 131.648016) + (xy 120.523943 131.705956) + (xy 120.523945 131.705957) + (xy 120.65 131.725922) + (xy 120.776055 131.705957) + (xy 120.889771 131.648016) + (xy 120.980016 131.557771) + (xy 121.037957 131.444055) + (xy 121.057922 131.318) + (xy 122.782078 131.318) + (xy 122.802043 131.444056) + (xy 122.817744 131.474871) + (xy 122.859984 131.557771) + (xy 122.950229 131.648016) + (xy 123.063943 131.705956) + (xy 123.063945 131.705957) + (xy 123.19 131.725922) + (xy 123.316055 131.705957) + (xy 123.429771 131.648016) + (xy 123.520016 131.557771) + (xy 123.577957 131.444055) + (xy 123.597922 131.318) + (xy 125.322078 131.318) + (xy 125.342043 131.444056) + (xy 125.357744 131.474871) + (xy 125.399984 131.557771) + (xy 125.490229 131.648016) + (xy 125.603943 131.705956) + (xy 125.603945 131.705957) + (xy 125.73 131.725922) + (xy 125.856055 131.705957) + (xy 125.969771 131.648016) + (xy 126.060016 131.557771) + (xy 126.117957 131.444055) + (xy 126.137922 131.318) + (xy 127.862078 131.318) + (xy 127.882043 131.444056) + (xy 127.897744 131.474871) + (xy 127.939984 131.557771) + (xy 128.030229 131.648016) + (xy 128.143943 131.705956) + (xy 128.143945 131.705957) + (xy 128.27 131.725922) + (xy 128.396055 131.705957) + (xy 128.509771 131.648016) + (xy 128.600016 131.557771) + (xy 128.657957 131.444055) + (xy 128.677922 131.318) + (xy 130.402078 131.318) + (xy 130.422043 131.444056) + (xy 130.437744 131.474871) + (xy 130.479984 131.557771) + (xy 130.570229 131.648016) + (xy 130.683943 131.705956) + (xy 130.683945 131.705957) + (xy 130.81 131.725922) + (xy 130.936055 131.705957) + (xy 131.049771 131.648016) + (xy 131.140016 131.557771) + (xy 131.197957 131.444055) + (xy 131.217922 131.318) + (xy 131.217772 131.317056) + (xy 131.206831 131.247973) + (xy 131.197957 131.191945) + (xy 131.197476 131.191) + (xy 131.672078 131.191) + (xy 131.692043 131.317056) + (xy 131.748806 131.42846) + (xy 131.749984 131.430771) + (xy 131.840229 131.521016) + (xy 131.953943 131.578956) + (xy 131.953945 131.578957) + (xy 132.08 131.598922) + (xy 132.206055 131.578957) + (xy 132.319771 131.521016) + (xy 132.410016 131.430771) + (xy 132.467476 131.318) + (xy 132.942078 131.318) + (xy 132.962043 131.444056) + (xy 132.977744 131.474871) + (xy 133.019984 131.557771) + (xy 133.110229 131.648016) + (xy 133.223943 131.705956) + (xy 133.223945 131.705957) + (xy 133.35 131.725922) + (xy 133.476055 131.705957) + (xy 133.589771 131.648016) + (xy 133.680016 131.557771) + (xy 133.737957 131.444055) + (xy 133.757922 131.318) + (xy 133.757772 131.317056) + (xy 133.746831 131.247973) + (xy 133.737957 131.191945) + (xy 133.737476 131.191) + (xy 134.212078 131.191) + (xy 134.232043 131.317056) + (xy 134.288806 131.42846) + (xy 134.289984 131.430771) + (xy 134.380229 131.521016) + (xy 134.493943 131.578956) + (xy 134.493945 131.578957) + (xy 134.62 131.598922) + (xy 134.746055 131.578957) + (xy 134.859771 131.521016) + (xy 134.950016 131.430771) + (xy 135.007476 131.318) + (xy 135.482078 131.318) + (xy 135.502043 131.444056) + (xy 135.517744 131.474871) + (xy 135.559984 131.557771) + (xy 135.650229 131.648016) + (xy 135.763943 131.705956) + (xy 135.763945 131.705957) + (xy 135.89 131.725922) + (xy 136.016055 131.705957) + (xy 136.129771 131.648016) + (xy 136.220016 131.557771) + (xy 136.277957 131.444055) + (xy 136.297922 131.318) + (xy 138.022078 131.318) + (xy 138.042043 131.444056) + (xy 138.057744 131.474871) + (xy 138.099984 131.557771) + (xy 138.190229 131.648016) + (xy 138.303943 131.705956) + (xy 138.303945 131.705957) + (xy 138.43 131.725922) + (xy 138.556055 131.705957) + (xy 138.669771 131.648016) + (xy 138.760016 131.557771) + (xy 138.817957 131.444055) + (xy 138.837922 131.318) + (xy 138.837772 131.317056) + (xy 138.826831 131.247973) + (xy 138.817957 131.191945) + (xy 138.760016 131.078229) + (xy 138.669771 130.987984) + (xy 138.556055 130.930043) + (xy 138.556057 130.930043) + (xy 138.43 130.910078) + (xy 138.303943 130.930043) + (xy 138.190228 130.987984) + (xy 138.099984 131.078228) + (xy 138.042043 131.191943) + (xy 138.022078 131.317999) + (xy 138.022078 131.318) + (xy 136.297922 131.318) + (xy 136.297772 131.317056) + (xy 136.286831 131.247973) + (xy 136.277957 131.191945) + (xy 136.220016 131.078229) + (xy 136.129771 130.987984) + (xy 136.016055 130.930043) + (xy 136.016057 130.930043) + (xy 135.89 130.910078) + (xy 135.763943 130.930043) + (xy 135.650228 130.987984) + (xy 135.559984 131.078228) + (xy 135.502043 131.191943) + (xy 135.482078 131.317999) + (xy 135.482078 131.318) + (xy 135.007476 131.318) + (xy 135.007957 131.317055) + (xy 135.027922 131.191) + (xy 135.007957 131.064945) + (xy 134.950016 130.951229) + (xy 134.859771 130.860984) + (xy 134.746055 130.803043) + (xy 134.746057 130.803043) + (xy 134.62 130.783078) + (xy 134.493943 130.803043) + (xy 134.380228 130.860984) + (xy 134.289984 130.951228) + (xy 134.232043 131.064943) + (xy 134.212078 131.190999) + (xy 134.212078 131.191) + (xy 133.737476 131.191) + (xy 133.680016 131.078229) + (xy 133.589771 130.987984) + (xy 133.476055 130.930043) + (xy 133.476057 130.930043) + (xy 133.35 130.910078) + (xy 133.223943 130.930043) + (xy 133.110228 130.987984) + (xy 133.019984 131.078228) + (xy 132.962043 131.191943) + (xy 132.942078 131.317999) + (xy 132.942078 131.318) + (xy 132.467476 131.318) + (xy 132.467957 131.317055) + (xy 132.487922 131.191) + (xy 132.467957 131.064945) + (xy 132.410016 130.951229) + (xy 132.319771 130.860984) + (xy 132.206055 130.803043) + (xy 132.206057 130.803043) + (xy 132.08 130.783078) + (xy 131.953943 130.803043) + (xy 131.840228 130.860984) + (xy 131.749984 130.951228) + (xy 131.692043 131.064943) + (xy 131.672078 131.190999) + (xy 131.672078 131.191) + (xy 131.197476 131.191) + (xy 131.140016 131.078229) + (xy 131.049771 130.987984) + (xy 130.936055 130.930043) + (xy 130.936057 130.930043) + (xy 130.81 130.910078) + (xy 130.683943 130.930043) + (xy 130.570228 130.987984) + (xy 130.479984 131.078228) + (xy 130.422043 131.191943) + (xy 130.402078 131.317999) + (xy 130.402078 131.318) + (xy 128.677922 131.318) + (xy 128.677772 131.317056) + (xy 128.666831 131.247973) + (xy 128.657957 131.191945) + (xy 128.600016 131.078229) + (xy 128.509771 130.987984) + (xy 128.396055 130.930043) + (xy 128.396057 130.930043) + (xy 128.27 130.910078) + (xy 128.143943 130.930043) + (xy 128.030228 130.987984) + (xy 127.939984 131.078228) + (xy 127.882043 131.191943) + (xy 127.862078 131.317999) + (xy 127.862078 131.318) + (xy 126.137922 131.318) + (xy 126.137772 131.317056) + (xy 126.126831 131.247973) + (xy 126.117957 131.191945) + (xy 126.060016 131.078229) + (xy 125.969771 130.987984) + (xy 125.856055 130.930043) + (xy 125.856057 130.930043) + (xy 125.73 130.910078) + (xy 125.603943 130.930043) + (xy 125.490228 130.987984) + (xy 125.399984 131.078228) + (xy 125.342043 131.191943) + (xy 125.322078 131.317999) + (xy 125.322078 131.318) + (xy 123.597922 131.318) + (xy 123.597772 131.317056) + (xy 123.586831 131.247973) + (xy 123.577957 131.191945) + (xy 123.520016 131.078229) + (xy 123.429771 130.987984) + (xy 123.316055 130.930043) + (xy 123.316057 130.930043) + (xy 123.19 130.910078) + (xy 123.063943 130.930043) + (xy 122.950228 130.987984) + (xy 122.859984 131.078228) + (xy 122.802043 131.191943) + (xy 122.782078 131.317999) + (xy 122.782078 131.318) + (xy 121.057922 131.318) + (xy 121.057772 131.317056) + (xy 121.046831 131.247973) + (xy 121.037957 131.191945) + (xy 120.980016 131.078229) + (xy 120.889771 130.987984) + (xy 120.776055 130.930043) + (xy 120.776057 130.930043) + (xy 120.65 130.910078) + (xy 120.523943 130.930043) + (xy 120.410228 130.987984) + (xy 120.319984 131.078228) + (xy 120.262043 131.191943) + (xy 120.242078 131.317999) + (xy 120.242078 131.318) + (xy 118.517922 131.318) + (xy 118.517772 131.317056) + (xy 118.506831 131.247973) + (xy 118.497957 131.191945) + (xy 118.440016 131.078229) + (xy 118.349771 130.987984) + (xy 118.236055 130.930043) + (xy 118.236057 130.930043) + (xy 118.11 130.910078) + (xy 117.983943 130.930043) + (xy 117.870228 130.987984) + (xy 117.779984 131.078228) + (xy 117.722043 131.191943) + (xy 117.702078 131.317999) + (xy 117.702078 131.318) + (xy 115.977922 131.318) + (xy 115.977772 131.317056) + (xy 115.966831 131.247973) + (xy 115.957957 131.191945) + (xy 115.900016 131.078229) + (xy 115.809771 130.987984) + (xy 115.696055 130.930043) + (xy 115.696057 130.930043) + (xy 115.57 130.910078) + (xy 115.443943 130.930043) + (xy 115.330228 130.987984) + (xy 115.239984 131.078228) + (xy 115.182043 131.191943) + (xy 115.162078 131.317999) + (xy 115.162078 131.318) + (xy 113.437922 131.318) + (xy 113.437772 131.317056) + (xy 113.426831 131.247973) + (xy 113.417957 131.191945) + (xy 113.360016 131.078229) + (xy 113.269771 130.987984) + (xy 113.156055 130.930043) + (xy 113.156057 130.930043) + (xy 113.03 130.910078) + (xy 112.903943 130.930043) + (xy 112.790228 130.987984) + (xy 112.699984 131.078228) + (xy 112.642043 131.191943) + (xy 112.622078 131.317999) + (xy 112.622078 131.318) + (xy 110.897922 131.318) + (xy 110.897772 131.317056) + (xy 110.886831 131.247973) + (xy 110.877957 131.191945) + (xy 110.820016 131.078229) + (xy 110.729771 130.987984) + (xy 110.616055 130.930043) + (xy 110.616057 130.930043) + (xy 110.49 130.910078) + (xy 110.363943 130.930043) + (xy 110.250228 130.987984) + (xy 110.159984 131.078228) + (xy 110.102043 131.191943) + (xy 110.082078 131.317999) + (xy 110.082078 131.318) + (xy 108.357922 131.318) + (xy 108.357772 131.317056) + (xy 108.346831 131.247973) + (xy 108.337957 131.191945) + (xy 108.280016 131.078229) + (xy 108.189771 130.987984) + (xy 108.076055 130.930043) + (xy 108.076057 130.930043) + (xy 107.95 130.910078) + (xy 107.823943 130.930043) + (xy 107.710228 130.987984) + (xy 107.619984 131.078228) + (xy 107.562043 131.191943) + (xy 107.542078 131.317999) + (xy 107.542078 131.318) + (xy 105.817922 131.318) + (xy 105.817772 131.317056) + (xy 105.806831 131.247973) + (xy 105.797957 131.191945) + (xy 105.740016 131.078229) + (xy 105.649771 130.987984) + (xy 105.536055 130.930043) + (xy 105.536057 130.930043) + (xy 105.41 130.910078) + (xy 105.283943 130.930043) + (xy 105.170228 130.987984) + (xy 105.079984 131.078228) + (xy 105.022043 131.191943) + (xy 105.002078 131.317999) + (xy 105.002078 131.318) + (xy 103.277922 131.318) + (xy 103.277772 131.317056) + (xy 103.266831 131.247973) + (xy 103.257957 131.191945) + (xy 103.200016 131.078229) + (xy 103.109771 130.987984) + (xy 102.996055 130.930043) + (xy 102.996057 130.930043) + (xy 102.87 130.910078) + (xy 102.743943 130.930043) + (xy 102.630228 130.987984) + (xy 102.539984 131.078228) + (xy 102.482043 131.191943) + (xy 102.462078 131.317999) + (xy 102.462078 131.318) + (xy 100.737922 131.318) + (xy 100.737772 131.317056) + (xy 100.726831 131.247973) + (xy 100.717957 131.191945) + (xy 100.660016 131.078229) + (xy 100.569771 130.987984) + (xy 100.456055 130.930043) + (xy 100.456057 130.930043) + (xy 100.33 130.910078) + (xy 100.203943 130.930043) + (xy 100.090228 130.987984) + (xy 99.999984 131.078228) + (xy 99.942043 131.191943) + (xy 99.922078 131.317999) + (xy 99.922078 131.318) + (xy 98.197922 131.318) + (xy 98.197772 131.317056) + (xy 98.186831 131.247973) + (xy 98.177957 131.191945) + (xy 98.120016 131.078229) + (xy 98.029771 130.987984) + (xy 97.916055 130.930043) + (xy 97.916057 130.930043) + (xy 97.79 130.910078) + (xy 97.663943 130.930043) + (xy 97.550228 130.987984) + (xy 97.459984 131.078228) + (xy 97.402043 131.191943) + (xy 97.382078 131.317999) + (xy 97.382078 131.318) + (xy 95.657922 131.318) + (xy 95.657772 131.317056) + (xy 95.646831 131.247973) + (xy 95.637957 131.191945) + (xy 95.580016 131.078229) + (xy 95.489771 130.987984) + (xy 95.376055 130.930043) + (xy 95.376057 130.930043) + (xy 95.25 130.910078) + (xy 95.123943 130.930043) + (xy 95.010228 130.987984) + (xy 94.919984 131.078228) + (xy 94.862043 131.191943) + (xy 94.842078 131.317999) + (xy 94.842078 131.318) + (xy 93.117922 131.318) + (xy 93.117772 131.317056) + (xy 93.106831 131.247973) + (xy 93.097957 131.191945) + (xy 93.040016 131.078229) + (xy 92.949771 130.987984) + (xy 92.836055 130.930043) + (xy 92.836057 130.930043) + (xy 92.71 130.910078) + (xy 92.583943 130.930043) + (xy 92.470228 130.987984) + (xy 92.379984 131.078228) + (xy 92.322043 131.191943) + (xy 92.302078 131.317999) + (xy 92.302078 131.318) + (xy 90.577922 131.318) + (xy 90.577772 131.317056) + (xy 90.566831 131.247973) + (xy 90.557957 131.191945) + (xy 90.500016 131.078229) + (xy 90.409771 130.987984) + (xy 90.296055 130.930043) + (xy 90.296057 130.930043) + (xy 90.17 130.910078) + (xy 90.043943 130.930043) + (xy 89.930228 130.987984) + (xy 89.839984 131.078228) + (xy 89.782043 131.191943) + (xy 89.762078 131.317999) + (xy 89.762078 131.318) + (xy 88.037922 131.318) + (xy 88.037772 131.317056) + (xy 88.026831 131.247973) + (xy 88.017957 131.191945) + (xy 87.960016 131.078229) + (xy 87.869771 130.987984) + (xy 87.756055 130.930043) + (xy 87.756057 130.930043) + (xy 87.63 130.910078) + (xy 87.503943 130.930043) + (xy 87.390228 130.987984) + (xy 87.299984 131.078228) + (xy 87.242043 131.191943) + (xy 87.222078 131.317999) + (xy 87.222078 131.318) + (xy 85.497922 131.318) + (xy 85.497772 131.317056) + (xy 85.486831 131.247973) + (xy 85.477957 131.191945) + (xy 85.420016 131.078229) + (xy 85.329771 130.987984) + (xy 85.216055 130.930043) + (xy 85.216057 130.930043) + (xy 85.09 130.910078) + (xy 84.963943 130.930043) + (xy 84.850228 130.987984) + (xy 84.759984 131.078228) + (xy 84.702043 131.191943) + (xy 84.682078 131.317999) + (xy 84.682078 131.318) + (xy 82.957922 131.318) + (xy 82.957772 131.317056) + (xy 82.946831 131.247973) + (xy 82.937957 131.191945) + (xy 82.880016 131.078229) + (xy 82.789771 130.987984) + (xy 82.676055 130.930043) + (xy 82.676057 130.930043) + (xy 82.55 130.910078) + (xy 82.423943 130.930043) + (xy 82.310228 130.987984) + (xy 82.219984 131.078228) + (xy 82.162043 131.191943) + (xy 82.142078 131.317999) + (xy 82.142078 131.318) + (xy 80.417922 131.318) + (xy 80.417772 131.317056) + (xy 80.406831 131.247973) + (xy 80.397957 131.191945) + (xy 80.340016 131.078229) + (xy 80.249771 130.987984) + (xy 80.136055 130.930043) + (xy 80.136057 130.930043) + (xy 80.01 130.910078) + (xy 79.883943 130.930043) + (xy 79.770228 130.987984) + (xy 79.679984 131.078228) + (xy 79.622043 131.191943) + (xy 79.602078 131.317999) + (xy 79.602078 131.318) + (xy 77.877922 131.318) + (xy 77.877772 131.317056) + (xy 77.866831 131.247973) + (xy 77.857957 131.191945) + (xy 77.800016 131.078229) + (xy 77.709771 130.987984) + (xy 77.596055 130.930043) + (xy 77.596057 130.930043) + (xy 77.47 130.910078) + (xy 77.343943 130.930043) + (xy 77.230228 130.987984) + (xy 77.139984 131.078228) + (xy 77.082043 131.191943) + (xy 77.062078 131.317999) + (xy 77.062078 131.318) + (xy 72.162922 131.318) + (xy 72.162772 131.317056) + (xy 72.151831 131.247973) + (xy 72.142957 131.191945) + (xy 72.085016 131.078229) + (xy 71.994771 130.987984) + (xy 71.881055 130.930043) + (xy 71.881057 130.930043) + (xy 71.755 130.910078) + (xy 71.628943 130.930043) + (xy 71.515228 130.987984) + (xy 71.424984 131.078228) + (xy 71.367043 131.191943) + (xy 71.347078 131.317999) + (xy 71.347078 131.318) + (xy 71.367043 131.444057) + (xy 71.376522 131.462659) + (xy 71.382792 131.513715) + (xy 71.354777 131.556857) + (xy 71.309519 131.572) + (xy 67.120481 131.572) + (xy 67.072143 131.554407) + (xy 67.046423 131.509858) + (xy 67.053478 131.462659) + (xy 67.062956 131.444057) + (xy 67.062956 131.444056) + (xy 67.062957 131.444055) + (xy 67.082922 131.318) + (xy 67.082772 131.317056) + (xy 67.071831 131.247973) + (xy 67.062957 131.191945) + (xy 67.005016 131.078229) + (xy 66.914771 130.987984) + (xy 66.801055 130.930043) + (xy 66.801057 130.930043) + (xy 66.675 130.910078) + (xy 66.548943 130.930043) + (xy 66.435228 130.987984) + (xy 66.344984 131.078228) + (xy 66.287043 131.191943) + (xy 66.267078 131.317999) + (xy 66.267078 131.318) + (xy 66.287043 131.444057) + (xy 66.296522 131.462659) + (xy 66.302792 131.513715) + (xy 66.274777 131.556857) + (xy 66.229519 131.572) + (xy 62.040481 131.572) + (xy 61.992143 131.554407) + (xy 61.966423 131.509858) + (xy 61.973478 131.462659) + (xy 61.982956 131.444057) + (xy 61.982956 131.444056) + (xy 61.982957 131.444055) + (xy 62.002922 131.318) + (xy 62.002772 131.317056) + (xy 61.991831 131.247973) + (xy 61.982957 131.191945) + (xy 61.925016 131.078229) + (xy 61.834771 130.987984) + (xy 61.721055 130.930043) + (xy 61.721057 130.930043) + (xy 61.595 130.910078) + (xy 61.468943 130.930043) + (xy 61.355228 130.987984) + (xy 61.264984 131.078228) + (xy 61.207043 131.191943) + (xy 61.187078 131.317999) + (xy 61.187078 131.318) + (xy 61.207043 131.444057) + (xy 61.216522 131.462659) + (xy 61.222792 131.513715) + (xy 61.194777 131.556857) + (xy 61.149519 131.572) + (xy 56.960481 131.572) + (xy 56.912143 131.554407) + (xy 56.886423 131.509858) + (xy 56.893478 131.462659) + (xy 56.902956 131.444057) + (xy 56.902956 131.444056) + (xy 56.902957 131.444055) + (xy 56.922922 131.318) + (xy 56.922772 131.317056) + (xy 56.911831 131.247973) + (xy 56.902957 131.191945) + (xy 56.845016 131.078229) + (xy 56.754771 130.987984) + (xy 56.641055 130.930043) + (xy 56.641057 130.930043) + (xy 56.515 130.910078) + (xy 56.388943 130.930043) + (xy 56.275228 130.987984) + (xy 56.184984 131.078228) + (xy 56.127043 131.191943) + (xy 56.107078 131.317999) + (xy 56.107078 131.318) + (xy 56.127043 131.444057) + (xy 56.136522 131.462659) + (xy 56.142792 131.513715) + (xy 56.114777 131.556857) + (xy 56.069519 131.572) + (xy 51.880481 131.572) + (xy 51.832143 131.554407) + (xy 51.806423 131.509858) + (xy 51.813478 131.462659) + (xy 51.822956 131.444057) + (xy 51.822956 131.444056) + (xy 51.822957 131.444055) + (xy 51.842922 131.318) + (xy 51.842772 131.317056) + (xy 51.831831 131.247973) + (xy 51.822957 131.191945) + (xy 51.765016 131.078229) + (xy 51.674771 130.987984) + (xy 51.561055 130.930043) + (xy 51.561057 130.930043) + (xy 51.435 130.910078) + (xy 51.308943 130.930043) + (xy 51.195228 130.987984) + (xy 51.104984 131.078228) + (xy 51.047043 131.191943) + (xy 51.027078 131.317999) + (xy 51.027078 131.318) + (xy 51.047043 131.444057) + (xy 51.056522 131.462659) + (xy 51.062792 131.513715) + (xy 51.034777 131.556857) + (xy 50.989519 131.572) + (xy 48.578481 131.572) + (xy 48.530143 131.554407) + (xy 48.504423 131.509858) + (xy 48.511478 131.462659) + (xy 48.520956 131.444057) + (xy 48.520956 131.444056) + (xy 48.520957 131.444055) + (xy 48.540922 131.318) + (xy 48.540772 131.317056) + (xy 48.529831 131.247973) + (xy 48.520957 131.191945) + (xy 48.463016 131.078229) + (xy 48.372771 130.987984) + (xy 48.259055 130.930043) + (xy 48.259057 130.930043) + (xy 48.133 130.910078) + (xy 48.006943 130.930043) + (xy 47.893228 130.987984) + (xy 47.802984 131.078228) + (xy 47.745043 131.191943) + (xy 47.725078 131.317999) + (xy 47.725078 131.318) + (xy 47.736381 131.389367) + (xy 47.726566 131.439862) + (xy 47.686589 131.472234) + (xy 47.647359 131.474871) + (xy 47.507873 131.446974) + (xy 47.488991 131.440495) + (xy 47.000914 131.196457) + (xy 46.98137 131.18237) + (xy 46.49063 130.69163) + (xy 46.476543 130.672086) + (xy 46.307864 130.334727) + (xy 46.232504 130.184008) + (xy 46.226025 130.165126) + (xy 46.214584 130.107922) + (xy 46.198127 130.025637) + (xy 46.205899 129.974791) + (xy 46.244538 129.940834) + (xy 46.283629 129.936618) + (xy 46.355 129.947922) + (xy 46.481055 129.927957) + (xy 46.594771 129.870016) + (xy 46.685016 129.779771) + (xy 46.742957 129.666055) + (xy 46.762922 129.54) + (xy 73.991329 129.54) + (xy 74.010331 129.684336) + (xy 74.066043 129.818836) + (xy 74.066044 129.818838) + (xy 74.066045 129.818839) + (xy 74.154666 129.934333) + (xy 74.27016 130.022954) + (xy 74.270164 130.022957) + (xy 74.404664 130.078669) + (xy 74.549 130.097671) + (xy 74.693336 130.078669) + (xy 74.827836 130.022957) + (xy 74.943333 129.934333) + (xy 75.031957 129.818836) + (xy 75.087669 129.684336) + (xy 75.106671 129.54) + (xy 75.642329 129.54) + (xy 75.661331 129.684336) + (xy 75.717043 129.818836) + (xy 75.717044 129.818838) + (xy 75.717045 129.818839) + (xy 75.805666 129.934333) + (xy 75.92116 130.022954) + (xy 75.921164 130.022957) + (xy 76.055664 130.078669) + (xy 76.2 130.097671) + (xy 76.344336 130.078669) + (xy 76.478836 130.022957) + (xy 76.594333 129.934333) + (xy 76.620678 129.9) + (xy 80.342078 129.9) + (xy 80.362043 130.026056) + (xy 80.398533 130.097671) + (xy 80.419984 130.139771) + (xy 80.510229 130.230016) + (xy 80.623943 130.287956) + (xy 80.623945 130.287957) + (xy 80.75 130.307922) + (xy 80.876055 130.287957) + (xy 80.989771 130.230016) + (xy 81.080016 130.139771) + (xy 81.137957 130.026055) + (xy 81.150003 129.95) + (xy 86.442078 129.95) + (xy 86.462043 130.076056) + (xy 86.47828 130.107922) + (xy 86.519984 130.189771) + (xy 86.610229 130.280016) + (xy 86.723943 130.337956) + (xy 86.723945 130.337957) + (xy 86.85 130.357922) + (xy 86.976055 130.337957) + (xy 87.089771 130.280016) + (xy 87.180016 130.189771) + (xy 87.237957 130.076055) + (xy 87.257922 129.95) + (xy 87.237957 129.823945) + (xy 87.180016 129.710229) + (xy 87.119787 129.65) + (xy 87.642078 129.65) + (xy 87.662043 129.776056) + (xy 87.699719 129.849999) + (xy 87.719984 129.889771) + (xy 87.810229 129.980016) + (xy 87.923943 130.037956) + (xy 87.923945 130.037957) + (xy 88.05 130.057922) + (xy 88.176055 130.037957) + (xy 88.289771 129.980016) + (xy 88.380016 129.889771) + (xy 88.40028 129.85) + (xy 88.992078 129.85) + (xy 89.012043 129.976056) + (xy 89.053756 130.057922) + (xy 89.069984 130.089771) + (xy 89.160229 130.180016) + (xy 89.273943 130.237956) + (xy 89.273945 130.237957) + (xy 89.4 130.257922) + (xy 89.526055 130.237957) + (xy 89.639771 130.180016) + (xy 89.730016 130.089771) + (xy 89.787957 129.976055) + (xy 89.807922 129.85) + (xy 91.542078 129.85) + (xy 91.562043 129.976056) + (xy 91.603756 130.057922) + (xy 91.619984 130.089771) + (xy 91.710229 130.180016) + (xy 91.823943 130.237956) + (xy 91.823945 130.237957) + (xy 91.95 130.257922) + (xy 92.076055 130.237957) + (xy 92.189771 130.180016) + (xy 92.280016 130.089771) + (xy 92.337957 129.976055) + (xy 92.350003 129.9) + (xy 94.092078 129.9) + (xy 94.112043 130.026056) + (xy 94.148533 130.097671) + (xy 94.169984 130.139771) + (xy 94.260229 130.230016) + (xy 94.373943 130.287956) + (xy 94.373945 130.287957) + (xy 94.5 130.307922) + (xy 94.626055 130.287957) + (xy 94.739771 130.230016) + (xy 94.830016 130.139771) + (xy 94.887957 130.026055) + (xy 94.907922 129.9) + (xy 94.887957 129.773945) + (xy 94.85028 129.7) + (xy 101.692078 129.7) + (xy 101.712043 129.826056) + (xy 101.734442 129.870016) + (xy 101.769984 129.939771) + (xy 101.860229 130.030016) + (xy 101.973943 130.087956) + (xy 101.973945 130.087957) + (xy 102.1 130.107922) + (xy 102.226055 130.087957) + (xy 102.339771 130.030016) + (xy 102.430016 129.939771) + (xy 102.487957 129.826055) + (xy 102.507922 129.7) + (xy 102.487957 129.573945) + (xy 102.470661 129.54) + (xy 114.885329 129.54) + (xy 114.904331 129.684336) + (xy 114.960043 129.818836) + (xy 114.960044 129.818838) + (xy 114.960045 129.818839) + (xy 115.048666 129.934333) + (xy 115.16416 130.022954) + (xy 115.164164 130.022957) + (xy 115.298664 130.078669) + (xy 115.443 130.097671) + (xy 115.587336 130.078669) + (xy 115.721836 130.022957) + (xy 115.837333 129.934333) + (xy 115.925957 129.818836) + (xy 115.981669 129.684336) + (xy 116.000671 129.54) + (xy 116.536329 129.54) + (xy 116.555331 129.684336) + (xy 116.611043 129.818836) + (xy 116.611044 129.818838) + (xy 116.611045 129.818839) + (xy 116.699666 129.934333) + (xy 116.81516 130.022954) + (xy 116.815164 130.022957) + (xy 116.949664 130.078669) + (xy 117.094 130.097671) + (xy 117.238336 130.078669) + (xy 117.372836 130.022957) + (xy 117.488333 129.934333) + (xy 117.576957 129.818836) + (xy 117.632669 129.684336) + (xy 117.651671 129.54) + (xy 118.568329 129.54) + (xy 118.587331 129.684336) + (xy 118.643043 129.818836) + (xy 118.643044 129.818838) + (xy 118.643045 129.818839) + (xy 118.731666 129.934333) + (xy 118.84716 130.022954) + (xy 118.847164 130.022957) + (xy 118.981664 130.078669) + (xy 119.126 130.097671) + (xy 119.270336 130.078669) + (xy 119.404836 130.022957) + (xy 119.520333 129.934333) + (xy 119.608957 129.818836) + (xy 119.664669 129.684336) + (xy 119.683671 129.54) + (xy 120.219329 129.54) + (xy 120.238331 129.684336) + (xy 120.294043 129.818836) + (xy 120.294044 129.818838) + (xy 120.294045 129.818839) + (xy 120.382666 129.934333) + (xy 120.49816 130.022954) + (xy 120.498164 130.022957) + (xy 120.632664 130.078669) + (xy 120.777 130.097671) + (xy 120.921336 130.078669) + (xy 121.055836 130.022957) + (xy 121.171333 129.934333) + (xy 121.259957 129.818836) + (xy 121.315669 129.684336) + (xy 121.334671 129.54) + (xy 134.951329 129.54) + (xy 134.970331 129.684336) + (xy 135.026043 129.818836) + (xy 135.026044 129.818838) + (xy 135.026045 129.818839) + (xy 135.114666 129.934333) + (xy 135.23016 130.022954) + (xy 135.230164 130.022957) + (xy 135.364664 130.078669) + (xy 135.509 130.097671) + (xy 135.653336 130.078669) + (xy 135.787836 130.022957) + (xy 135.903333 129.934333) + (xy 135.991957 129.818836) + (xy 136.002244 129.794) + (xy 136.24006 129.794) + (xy 136.260162 129.985262) + (xy 136.260162 129.985264) + (xy 136.260163 129.985266) + (xy 136.313532 130.14952) + (xy 136.319594 130.168175) + (xy 136.415752 130.334727) + (xy 136.544441 130.47765) + (xy 136.544446 130.477654) + (xy 136.700026 130.590689) + (xy 136.700029 130.59069) + (xy 136.70003 130.590691) + (xy 136.875723 130.668915) + (xy 137.06384 130.7089) + (xy 137.063842 130.7089) + (xy 137.256158 130.7089) + (xy 137.25616 130.7089) + (xy 137.444277 130.668915) + (xy 137.61997 130.590691) + (xy 137.639565 130.576455) + (xy 137.775553 130.477654) + (xy 137.775551 130.477654) + (xy 137.77556 130.477649) + (xy 137.904247 130.334727) + (xy 138.000407 130.168173) + (xy 138.059837 129.985266) + (xy 138.07994 129.794) + (xy 138.059837 129.602734) + (xy 138.039454 129.540002) + (xy 139.304161 129.540002) + (xy 139.323875 129.752752) + (xy 139.323876 129.75276) + (xy 139.382344 129.958251) + (xy 139.38235 129.958267) + (xy 139.477582 130.14952) + (xy 139.606343 130.320027) + (xy 139.606346 130.32003) + (xy 139.764243 130.463973) + (xy 139.945903 130.576453) + (xy 139.945905 130.576453) + (xy 139.945907 130.576455) + (xy 140.145142 130.653639) + (xy 140.355168 130.6929) + (xy 140.355172 130.6929) + (xy 140.568828 130.6929) + (xy 140.568832 130.6929) + (xy 140.778858 130.653639) + (xy 140.978093 130.576455) + (xy 141.159754 130.463975) + (xy 141.317653 130.320031) + (xy 141.446415 130.149524) + (xy 141.541653 129.95826) + (xy 141.588389 129.794) + (xy 141.600123 129.75276) + (xy 141.600123 129.752758) + (xy 141.600125 129.752752) + (xy 141.617061 129.569984) + (xy 141.619839 129.540002) + (xy 141.619839 129.539997) + (xy 141.615017 129.487957) + (xy 141.600125 129.327248) + (xy 141.600123 129.327242) + (xy 141.600123 129.327239) + (xy 141.548461 129.145667) + (xy 141.541653 129.12174) + (xy 141.496498 129.031056) + (xy 141.446417 128.930479) + (xy 141.317656 128.759972) + (xy 141.317653 128.759969) + (xy 141.159756 128.616026) + (xy 140.978096 128.503546) + (xy 140.778858 128.426361) + (xy 140.568832 128.3871) + (xy 140.355168 128.3871) + (xy 140.187147 128.418508) + (xy 140.145141 128.426361) + (xy 139.945904 128.503546) + (xy 139.945903 128.503546) + (xy 139.764243 128.616026) + (xy 139.606346 128.759969) + (xy 139.606343 128.759972) + (xy 139.477582 128.930479) + (xy 139.38235 129.121732) + (xy 139.382344 129.121748) + (xy 139.323876 129.327239) + (xy 139.323875 129.327247) + (xy 139.304161 129.539997) + (xy 139.304161 129.540002) + (xy 138.039454 129.540002) + (xy 138.000407 129.419827) + (xy 137.954187 129.339771) + (xy 137.904247 129.253272) + (xy 137.775558 129.110349) + (xy 137.775553 129.110345) + (xy 137.619973 128.99731) + (xy 137.444278 128.919085) + (xy 137.378007 128.904999) + (xy 137.25616 128.8791) + (xy 137.06384 128.8791) + (xy 136.969781 128.899092) + (xy 136.875721 128.919085) + (xy 136.700026 128.99731) + (xy 136.544446 129.110345) + (xy 136.544441 129.110349) + (xy 136.415752 129.253272) + (xy 136.319594 129.419824) + (xy 136.260162 129.602737) + (xy 136.24006 129.794) + (xy 136.002244 129.794) + (xy 136.047669 129.684336) + (xy 136.066671 129.54) + (xy 136.047669 129.395664) + (xy 135.991957 129.261165) + (xy 135.991955 129.261162) + (xy 135.903333 129.145666) + (xy 135.787839 129.057045) + (xy 135.787838 129.057044) + (xy 135.787836 129.057043) + (xy 135.697161 129.019484) + (xy 135.653337 129.001331) + (xy 135.509 128.982329) + (xy 135.364662 129.001331) + (xy 135.230163 129.057044) + (xy 135.230162 129.057044) + (xy 135.114666 129.145666) + (xy 135.026044 129.261162) + (xy 135.026044 129.261163) + (xy 134.970331 129.395662) + (xy 134.957638 129.492078) + (xy 134.951329 129.54) + (xy 121.334671 129.54) + (xy 121.315669 129.395664) + (xy 121.259957 129.261165) + (xy 121.259955 129.261162) + (xy 121.171333 129.145666) + (xy 121.055839 129.057045) + (xy 121.055838 129.057044) + (xy 121.055836 129.057043) + (xy 120.965161 129.019484) + (xy 120.921337 129.001331) + (xy 120.777 128.982329) + (xy 120.632662 129.001331) + (xy 120.498163 129.057044) + (xy 120.498162 129.057044) + (xy 120.382666 129.145666) + (xy 120.294044 129.261162) + (xy 120.294044 129.261163) + (xy 120.238331 129.395662) + (xy 120.225638 129.492078) + (xy 120.219329 129.54) + (xy 119.683671 129.54) + (xy 119.664669 129.395664) + (xy 119.608957 129.261165) + (xy 119.608955 129.261162) + (xy 119.520333 129.145666) + (xy 119.404839 129.057045) + (xy 119.404838 129.057044) + (xy 119.404836 129.057043) + (xy 119.314161 129.019484) + (xy 119.270337 129.001331) + (xy 119.126 128.982329) + (xy 118.981662 129.001331) + (xy 118.847163 129.057044) + (xy 118.847162 129.057044) + (xy 118.731666 129.145666) + (xy 118.643044 129.261162) + (xy 118.643044 129.261163) + (xy 118.587331 129.395662) + (xy 118.574638 129.492078) + (xy 118.568329 129.54) + (xy 117.651671 129.54) + (xy 117.632669 129.395664) + (xy 117.576957 129.261165) + (xy 117.576955 129.261162) + (xy 117.488333 129.145666) + (xy 117.372839 129.057045) + (xy 117.372838 129.057044) + (xy 117.372836 129.057043) + (xy 117.282161 129.019484) + (xy 117.238337 129.001331) + (xy 117.094 128.982329) + (xy 116.949662 129.001331) + (xy 116.815163 129.057044) + (xy 116.815162 129.057044) + (xy 116.699666 129.145666) + (xy 116.611044 129.261162) + (xy 116.611044 129.261163) + (xy 116.555331 129.395662) + (xy 116.542638 129.492078) + (xy 116.536329 129.54) + (xy 116.000671 129.54) + (xy 115.981669 129.395664) + (xy 115.925957 129.261165) + (xy 115.925955 129.261162) + (xy 115.837333 129.145666) + (xy 115.721839 129.057045) + (xy 115.721838 129.057044) + (xy 115.721836 129.057043) + (xy 115.631161 129.019484) + (xy 115.587337 129.001331) + (xy 115.443 128.982329) + (xy 115.298662 129.001331) + (xy 115.164163 129.057044) + (xy 115.164162 129.057044) + (xy 115.048666 129.145666) + (xy 114.960044 129.261162) + (xy 114.960044 129.261163) + (xy 114.904331 129.395662) + (xy 114.891638 129.492078) + (xy 114.885329 129.54) + (xy 102.470661 129.54) + (xy 102.430016 129.460229) + (xy 102.339771 129.369984) + (xy 102.226055 129.312043) + (xy 102.226057 129.312043) + (xy 102.1 129.292078) + (xy 101.973943 129.312043) + (xy 101.860228 129.369984) + (xy 101.769984 129.460228) + (xy 101.712043 129.573943) + (xy 101.692078 129.699999) + (xy 101.692078 129.7) + (xy 94.85028 129.7) + (xy 94.830016 129.660229) + (xy 94.739771 129.569984) + (xy 94.626055 129.512043) + (xy 94.626057 129.512043) + (xy 94.5 129.492078) + (xy 94.373943 129.512043) + (xy 94.260228 129.569984) + (xy 94.169984 129.660228) + (xy 94.112043 129.773943) + (xy 94.092078 129.899999) + (xy 94.092078 129.9) + (xy 92.350003 129.9) + (xy 92.357922 129.85) + (xy 92.337957 129.723945) + (xy 92.280016 129.610229) + (xy 92.189771 129.519984) + (xy 92.076055 129.462043) + (xy 92.076057 129.462043) + (xy 91.95 129.442078) + (xy 91.823943 129.462043) + (xy 91.710228 129.519984) + (xy 91.619984 129.610228) + (xy 91.562043 129.723943) + (xy 91.542078 129.849999) + (xy 91.542078 129.85) + (xy 89.807922 129.85) + (xy 89.787957 129.723945) + (xy 89.730016 129.610229) + (xy 89.639771 129.519984) + (xy 89.526055 129.462043) + (xy 89.526057 129.462043) + (xy 89.4 129.442078) + (xy 89.273943 129.462043) + (xy 89.160228 129.519984) + (xy 89.069984 129.610228) + (xy 89.012043 129.723943) + (xy 88.992078 129.849999) + (xy 88.992078 129.85) + (xy 88.40028 129.85) + (xy 88.437957 129.776055) + (xy 88.457922 129.65) + (xy 88.437957 129.523945) + (xy 88.380016 129.410229) + (xy 88.319787 129.35) + (xy 89.892078 129.35) + (xy 89.912043 129.476056) + (xy 89.945683 129.542078) + (xy 89.969984 129.589771) + (xy 90.060229 129.680016) + (xy 90.173943 129.737956) + (xy 90.173945 129.737957) + (xy 90.3 129.757922) + (xy 90.426055 129.737957) + (xy 90.539771 129.680016) + (xy 90.630016 129.589771) + (xy 90.687957 129.476055) + (xy 90.707922 129.35) + (xy 90.687957 129.223945) + (xy 90.630016 129.110229) + (xy 90.569787 129.05) + (xy 92.142078 129.05) + (xy 92.162043 129.176056) + (xy 92.205856 129.262043) + (xy 92.219984 129.289771) + (xy 92.310229 129.380016) + (xy 92.423943 129.437956) + (xy 92.423945 129.437957) + (xy 92.55 129.457922) + (xy 92.676055 129.437957) + (xy 92.789771 129.380016) + (xy 92.880016 129.289771) + (xy 92.937957 129.176055) + (xy 92.950003 129.1) + (xy 102.742078 129.1) + (xy 102.762043 129.226056) + (xy 102.796131 129.292957) + (xy 102.819984 129.339771) + (xy 102.910229 129.430016) + (xy 103.023943 129.487956) + (xy 103.023945 129.487957) + (xy 103.15 129.507922) + (xy 103.276055 129.487957) + (xy 103.389771 129.430016) + (xy 103.480016 129.339771) + (xy 103.537957 129.226055) + (xy 103.557922 129.1) + (xy 103.556014 129.087956) + (xy 103.547002 129.031055) + (xy 103.537957 128.973945) + (xy 103.480016 128.860229) + (xy 103.389771 128.769984) + (xy 103.276055 128.712043) + (xy 103.276057 128.712043) + (xy 103.200019 128.7) + (xy 104.792078 128.7) + (xy 104.812043 128.826056) + (xy 104.869059 128.937956) + (xy 104.869984 128.939771) + (xy 104.960229 129.030016) + (xy 105.073943 129.087956) + (xy 105.073945 129.087957) + (xy 105.2 129.107922) + (xy 105.326055 129.087957) + (xy 105.439771 129.030016) + (xy 105.530016 128.939771) + (xy 105.547733 128.905) + (xy 125.195078 128.905) + (xy 125.215043 129.031056) + (xy 125.255384 129.110229) + (xy 125.272984 129.144771) + (xy 125.363229 129.235016) + (xy 125.476943 129.292956) + (xy 125.476945 129.292957) + (xy 125.603 129.312922) + (xy 125.729055 129.292957) + (xy 125.842771 129.235016) + (xy 125.933016 129.144771) + (xy 125.990957 129.031055) + (xy 126.010922 128.905) + (xy 130.275078 128.905) + (xy 130.295043 129.031056) + (xy 130.335384 129.110229) + (xy 130.352984 129.144771) + (xy 130.443229 129.235016) + (xy 130.556943 129.292956) + (xy 130.556945 129.292957) + (xy 130.683 129.312922) + (xy 130.809055 129.292957) + (xy 130.922771 129.235016) + (xy 131.013016 129.144771) + (xy 131.070957 129.031055) + (xy 131.090922 128.905) + (xy 131.070957 128.778945) + (xy 131.013016 128.665229) + (xy 130.922771 128.574984) + (xy 130.809055 128.517043) + (xy 130.809057 128.517043) + (xy 130.683 128.497078) + (xy 130.556943 128.517043) + (xy 130.443228 128.574984) + (xy 130.352984 128.665228) + (xy 130.295043 128.778943) + (xy 130.275078 128.904999) + (xy 130.275078 128.905) + (xy 126.010922 128.905) + (xy 125.990957 128.778945) + (xy 125.933016 128.665229) + (xy 125.842771 128.574984) + (xy 125.729055 128.517043) + (xy 125.729057 128.517043) + (xy 125.603 128.497078) + (xy 125.476943 128.517043) + (xy 125.363228 128.574984) + (xy 125.272984 128.665228) + (xy 125.215043 128.778943) + (xy 125.195078 128.904999) + (xy 125.195078 128.905) + (xy 105.547733 128.905) + (xy 105.587957 128.826055) + (xy 105.607922 128.7) + (xy 105.587957 128.573945) + (xy 105.530016 128.460229) + (xy 105.469787 128.4) + (xy 106.792078 128.4) + (xy 106.812043 128.526056) + (xy 106.844508 128.589771) + (xy 106.869984 128.639771) + (xy 106.960229 128.730016) + (xy 107.073943 128.787956) + (xy 107.073945 128.787957) + (xy 107.2 128.807922) + (xy 107.326055 128.787957) + (xy 107.439771 128.730016) + (xy 107.530016 128.639771) + (xy 107.587957 128.526055) + (xy 107.600003 128.45) + (xy 109.692078 128.45) + (xy 109.712043 128.576056) + (xy 109.732409 128.616026) + (xy 109.769984 128.689771) + (xy 109.860229 128.780016) + (xy 109.973943 128.837956) + (xy 109.973945 128.837957) + (xy 110.1 128.857922) + (xy 110.226055 128.837957) + (xy 110.339771 128.780016) + (xy 110.430016 128.689771) + (xy 110.487957 128.576055) + (xy 110.507922 128.45) + (xy 110.506014 128.437956) + (xy 110.503792 128.423922) + (xy 110.487957 128.323945) + (xy 110.430016 128.210229) + (xy 110.339771 128.119984) + (xy 110.226055 128.062043) + (xy 110.226057 128.062043) + (xy 110.1 128.042078) + (xy 109.973943 128.062043) + (xy 109.860228 128.119984) + (xy 109.769984 128.210228) + (xy 109.712043 128.323943) + (xy 109.692078 128.449999) + (xy 109.692078 128.45) + (xy 107.600003 128.45) + (xy 107.607922 128.4) + (xy 107.587957 128.273945) + (xy 107.530016 128.160229) + (xy 107.439771 128.069984) + (xy 107.326055 128.012043) + (xy 107.326057 128.012043) + (xy 107.2 127.992078) + (xy 107.073943 128.012043) + (xy 106.960228 128.069984) + (xy 106.869984 128.160228) + (xy 106.812043 128.273943) + (xy 106.792078 128.399999) + (xy 106.792078 128.4) + (xy 105.469787 128.4) + (xy 105.439771 128.369984) + (xy 105.326055 128.312043) + (xy 105.326057 128.312043) + (xy 105.2 128.292078) + (xy 105.073943 128.312043) + (xy 104.960228 128.369984) + (xy 104.869984 128.460228) + (xy 104.812043 128.573943) + (xy 104.792078 128.699999) + (xy 104.792078 128.7) + (xy 103.200019 128.7) + (xy 103.15 128.692078) + (xy 103.023943 128.712043) + (xy 102.910228 128.769984) + (xy 102.819984 128.860228) + (xy 102.762043 128.973943) + (xy 102.742078 129.099999) + (xy 102.742078 129.1) + (xy 92.950003 129.1) + (xy 92.957922 129.05) + (xy 92.937957 128.923945) + (xy 92.880016 128.810229) + (xy 92.789771 128.719984) + (xy 92.676055 128.662043) + (xy 92.676057 128.662043) + (xy 92.55 128.642078) + (xy 92.423943 128.662043) + (xy 92.310228 128.719984) + (xy 92.219984 128.810228) + (xy 92.162043 128.923943) + (xy 92.142078 129.049999) + (xy 92.142078 129.05) + (xy 90.569787 129.05) + (xy 90.539771 129.019984) + (xy 90.426055 128.962043) + (xy 90.426057 128.962043) + (xy 90.3 128.942078) + (xy 90.173943 128.962043) + (xy 90.060228 129.019984) + (xy 89.969984 129.110228) + (xy 89.912043 129.223943) + (xy 89.892078 129.349999) + (xy 89.892078 129.35) + (xy 88.319787 129.35) + (xy 88.289771 129.319984) + (xy 88.176055 129.262043) + (xy 88.176057 129.262043) + (xy 88.05 129.242078) + (xy 87.923943 129.262043) + (xy 87.810228 129.319984) + (xy 87.719984 129.410228) + (xy 87.662043 129.523943) + (xy 87.642078 129.649999) + (xy 87.642078 129.65) + (xy 87.119787 129.65) + (xy 87.089771 129.619984) + (xy 86.976055 129.562043) + (xy 86.976057 129.562043) + (xy 86.85 129.542078) + (xy 86.723943 129.562043) + (xy 86.610228 129.619984) + (xy 86.519984 129.710228) + (xy 86.462043 129.823943) + (xy 86.442078 129.949999) + (xy 86.442078 129.95) + (xy 81.150003 129.95) + (xy 81.157922 129.9) + (xy 81.137957 129.773945) + (xy 81.080016 129.660229) + (xy 80.989771 129.569984) + (xy 80.876055 129.512043) + (xy 80.876057 129.512043) + (xy 80.75 129.492078) + (xy 80.623943 129.512043) + (xy 80.510228 129.569984) + (xy 80.419984 129.660228) + (xy 80.362043 129.773943) + (xy 80.342078 129.899999) + (xy 80.342078 129.9) + (xy 76.620678 129.9) + (xy 76.682957 129.818836) + (xy 76.738669 129.684336) + (xy 76.757671 129.54) + (xy 76.738669 129.395664) + (xy 76.719547 129.3495) + (xy 81.380078 129.3495) + (xy 81.400043 129.475556) + (xy 81.424698 129.523943) + (xy 81.457984 129.589271) + (xy 81.548229 129.679516) + (xy 81.661943 129.737456) + (xy 81.661945 129.737457) + (xy 81.788 129.757422) + (xy 81.914055 129.737457) + (xy 82.027771 129.679516) + (xy 82.118016 129.589271) + (xy 82.175957 129.475555) + (xy 82.195922 129.3495) + (xy 82.192397 129.327247) + (xy 82.188118 129.300228) + (xy 82.175957 129.223445) + (xy 82.118016 129.109729) + (xy 82.027771 129.019484) + (xy 81.914055 128.961543) + (xy 81.914057 128.961543) + (xy 81.788 128.941578) + (xy 81.661943 128.961543) + (xy 81.548228 129.019484) + (xy 81.457984 129.109728) + (xy 81.400043 129.223443) + (xy 81.380078 129.349499) + (xy 81.380078 129.3495) + (xy 76.719547 129.3495) + (xy 76.682957 129.261165) + (xy 76.682955 129.261162) + (xy 76.594333 129.145666) + (xy 76.478839 129.057045) + (xy 76.478838 129.057044) + (xy 76.478836 129.057043) + (xy 76.388161 129.019484) + (xy 76.344337 129.001331) + (xy 76.2 128.982329) + (xy 76.055662 129.001331) + (xy 75.921163 129.057044) + (xy 75.921162 129.057044) + (xy 75.805666 129.145666) + (xy 75.717044 129.261162) + (xy 75.717044 129.261163) + (xy 75.661331 129.395662) + (xy 75.648638 129.492078) + (xy 75.642329 129.54) + (xy 75.106671 129.54) + (xy 75.087669 129.395664) + (xy 75.031957 129.261165) + (xy 75.031955 129.261162) + (xy 74.943333 129.145666) + (xy 74.827839 129.057045) + (xy 74.827838 129.057044) + (xy 74.827836 129.057043) + (xy 74.737161 129.019484) + (xy 74.693337 129.001331) + (xy 74.549 128.982329) + (xy 74.404662 129.001331) + (xy 74.270163 129.057044) + (xy 74.270162 129.057044) + (xy 74.154666 129.145666) + (xy 74.066044 129.261162) + (xy 74.066044 129.261163) + (xy 74.010331 129.395662) + (xy 73.997638 129.492078) + (xy 73.991329 129.54) + (xy 46.762922 129.54) + (xy 46.742957 129.413945) + (xy 46.685016 129.300229) + (xy 46.594771 129.209984) + (xy 46.481055 129.152043) + (xy 46.481057 129.152043) + (xy 46.355 129.132078) + (xy 46.228942 129.152043) + (xy 46.22894 129.152044) + (xy 46.210339 129.161522) + (xy 46.159283 129.16779) + (xy 46.116142 129.139774) + (xy 46.101 129.094518) + (xy 46.101 128.524) + (xy 72.848329 128.524) + (xy 72.867331 128.668337) + (xy 72.877165 128.692078) + (xy 72.923043 128.802836) + (xy 72.923044 128.802838) + (xy 72.923045 128.802839) + (xy 73.011666 128.918333) + (xy 73.084139 128.973943) + (xy 73.127164 129.006957) + (xy 73.261664 129.062669) + (xy 73.406 129.081671) + (xy 73.550336 129.062669) + (xy 73.684836 129.006957) + (xy 73.800333 128.918333) + (xy 73.888957 128.802836) + (xy 73.944669 128.668336) + (xy 73.963671 128.524) + (xy 73.944669 128.379664) + (xy 73.899244 128.27) + (xy 76.785329 128.27) + (xy 76.804331 128.414337) + (xy 76.822385 128.457922) + (xy 76.860043 128.548836) + (xy 76.860044 128.548838) + (xy 76.860045 128.548839) + (xy 76.948666 128.664333) + (xy 77.06416 128.752954) + (xy 77.064164 128.752957) + (xy 77.198664 128.808669) + (xy 77.343 128.827671) + (xy 77.487336 128.808669) + (xy 77.621836 128.752957) + (xy 77.737333 128.664333) + (xy 77.825957 128.548836) + (xy 77.881669 128.414336) + (xy 77.890139 128.35) + (xy 79.542078 128.35) + (xy 79.562043 128.476056) + (xy 79.599128 128.548839) + (xy 79.619984 128.589771) + (xy 79.710229 128.680016) + (xy 79.823943 128.737956) + (xy 79.823945 128.737957) + (xy 79.95 128.757922) + (xy 80.076055 128.737957) + (xy 80.189771 128.680016) + (xy 80.280016 128.589771) + (xy 80.30028 128.55) + (xy 99.142078 128.55) + (xy 99.162043 128.676056) + (xy 99.201225 128.752954) + (xy 99.219984 128.789771) + (xy 99.310229 128.880016) + (xy 99.423943 128.937956) + (xy 99.423945 128.937957) + (xy 99.55 128.957922) + (xy 99.676055 128.937957) + (xy 99.789771 128.880016) + (xy 99.880016 128.789771) + (xy 99.937957 128.676055) + (xy 99.957922 128.55) + (xy 99.937957 128.423945) + (xy 99.880016 128.310229) + (xy 99.789771 128.219984) + (xy 99.676055 128.162043) + (xy 99.676057 128.162043) + (xy 99.55 128.142078) + (xy 99.423943 128.162043) + (xy 99.310228 128.219984) + (xy 99.219984 128.310228) + (xy 99.162043 128.423943) + (xy 99.142078 128.549999) + (xy 99.142078 128.55) + (xy 80.30028 128.55) + (xy 80.337957 128.476055) + (xy 80.357922 128.35) + (xy 80.356014 128.337956) + (xy 80.351623 128.310228) + (xy 80.337957 128.223945) + (xy 80.280016 128.110229) + (xy 80.219787 128.05) + (xy 87.992078 128.05) + (xy 88.012043 128.176056) + (xy 88.047255 128.245163) + (xy 88.069984 128.289771) + (xy 88.160229 128.380016) + (xy 88.273943 128.437956) + (xy 88.273945 128.437957) + (xy 88.4 128.457922) + (xy 88.526055 128.437957) + (xy 88.639771 128.380016) + (xy 88.730016 128.289771) + (xy 88.787957 128.176055) + (xy 88.807922 128.05) + (xy 88.792084 127.95) + (xy 92.142078 127.95) + (xy 92.162043 128.076056) + (xy 92.195672 128.142056) + (xy 92.219984 128.189771) + (xy 92.310229 128.280016) + (xy 92.423943 128.337956) + (xy 92.423945 128.337957) + (xy 92.55 128.357922) + (xy 92.676055 128.337957) + (xy 92.789771 128.280016) + (xy 92.880016 128.189771) + (xy 92.937957 128.076055) + (xy 92.957922 127.95) + (xy 92.950003 127.9) + (xy 94.592078 127.9) + (xy 94.612043 128.026056) + (xy 94.654931 128.110228) + (xy 94.669984 128.139771) + (xy 94.760229 128.230016) + (xy 94.873943 128.287956) + (xy 94.873945 128.287957) + (xy 95 128.307922) + (xy 95.126055 128.287957) + (xy 95.239771 128.230016) + (xy 95.330016 128.139771) + (xy 95.375756 128.05) + (xy 98.142078 128.05) + (xy 98.162043 128.176056) + (xy 98.197255 128.245163) + (xy 98.219984 128.289771) + (xy 98.310229 128.380016) + (xy 98.423943 128.437956) + (xy 98.423945 128.437957) + (xy 98.55 128.457922) + (xy 98.676055 128.437957) + (xy 98.789771 128.380016) + (xy 98.880016 128.289771) + (xy 98.937957 128.176055) + (xy 98.957922 128.05) + (xy 98.942084 127.95) + (xy 112.742078 127.95) + (xy 112.762043 128.076056) + (xy 112.795672 128.142056) + (xy 112.819984 128.189771) + (xy 112.910229 128.280016) + (xy 113.023943 128.337956) + (xy 113.023945 128.337957) + (xy 113.15 128.357922) + (xy 113.276055 128.337957) + (xy 113.389771 128.280016) + (xy 113.399787 128.27) + (xy 113.742329 128.27) + (xy 113.761331 128.414337) + (xy 113.779385 128.457922) + (xy 113.817043 128.548836) + (xy 113.817044 128.548838) + (xy 113.817045 128.548839) + (xy 113.905666 128.664333) + (xy 114.02116 128.752954) + (xy 114.021164 128.752957) + (xy 114.155664 128.808669) + (xy 114.3 128.827671) + (xy 114.444336 128.808669) + (xy 114.578836 128.752957) + (xy 114.694333 128.664333) + (xy 114.782957 128.548836) + (xy 114.838669 128.414336) + (xy 114.857671 128.27) + (xy 121.298829 128.27) + (xy 121.317831 128.414337) + (xy 121.335885 128.457922) + (xy 121.373543 128.548836) + (xy 121.373544 128.548838) + (xy 121.373545 128.548839) + (xy 121.462166 128.664333) + (xy 121.57766 128.752954) + (xy 121.577664 128.752957) + (xy 121.712164 128.808669) + (xy 121.8565 128.827671) + (xy 122.000836 128.808669) + (xy 122.135336 128.752957) + (xy 122.250833 128.664333) + (xy 122.339457 128.548836) + (xy 122.395169 128.414336) + (xy 122.414171 128.27) + (xy 137.745329 128.27) + (xy 137.764331 128.414337) + (xy 137.782385 128.457922) + (xy 137.820043 128.548836) + (xy 137.820044 128.548838) + (xy 137.820045 128.548839) + (xy 137.908666 128.664333) + (xy 138.02416 128.752954) + (xy 138.024164 128.752957) + (xy 138.158664 128.808669) + (xy 138.303 128.827671) + (xy 138.447336 128.808669) + (xy 138.581836 128.752957) + (xy 138.697333 128.664333) + (xy 138.785957 128.548836) + (xy 138.841669 128.414336) + (xy 138.860671 128.27) + (xy 138.841669 128.125664) + (xy 138.785957 127.991165) + (xy 138.785955 127.991162) + (xy 138.697333 127.875666) + (xy 138.581839 127.787045) + (xy 138.581838 127.787044) + (xy 138.581836 127.787043) + (xy 138.494891 127.751029) + (xy 138.447337 127.731331) + (xy 138.303 127.712329) + (xy 138.158662 127.731331) + (xy 138.024163 127.787044) + (xy 138.024162 127.787044) + (xy 137.908666 127.875666) + (xy 137.820044 127.991162) + (xy 137.820044 127.991163) + (xy 137.764331 128.125662) + (xy 137.745329 128.27) + (xy 122.414171 128.27) + (xy 122.395169 128.125664) + (xy 122.339457 127.991165) + (xy 122.339455 127.991162) + (xy 122.250833 127.875666) + (xy 122.135339 127.787045) + (xy 122.135338 127.787044) + (xy 122.135336 127.787043) + (xy 122.048391 127.751029) + (xy 122.000837 127.731331) + (xy 121.8565 127.712329) + (xy 121.712162 127.731331) + (xy 121.577663 127.787044) + (xy 121.577662 127.787044) + (xy 121.462166 127.875666) + (xy 121.373544 127.991162) + (xy 121.373544 127.991163) + (xy 121.317831 128.125662) + (xy 121.298829 128.27) + (xy 114.857671 128.27) + (xy 114.838669 128.125664) + (xy 114.782957 127.991165) + (xy 114.782955 127.991162) + (xy 114.694333 127.875666) + (xy 114.578839 127.787045) + (xy 114.578838 127.787044) + (xy 114.578836 127.787043) + (xy 114.491891 127.751029) + (xy 114.444337 127.731331) + (xy 114.3 127.712329) + (xy 114.155662 127.731331) + (xy 114.021163 127.787044) + (xy 114.021162 127.787044) + (xy 113.905666 127.875666) + (xy 113.817044 127.991162) + (xy 113.817044 127.991163) + (xy 113.761331 128.125662) + (xy 113.742329 128.27) + (xy 113.399787 128.27) + (xy 113.480016 128.189771) + (xy 113.537957 128.076055) + (xy 113.557922 127.95) + (xy 113.537957 127.823945) + (xy 113.480016 127.710229) + (xy 113.389771 127.619984) + (xy 113.276055 127.562043) + (xy 113.276057 127.562043) + (xy 113.15 127.542078) + (xy 113.023943 127.562043) + (xy 112.910228 127.619984) + (xy 112.819984 127.710228) + (xy 112.762043 127.823943) + (xy 112.742078 127.949999) + (xy 112.742078 127.95) + (xy 98.942084 127.95) + (xy 98.937957 127.923945) + (xy 98.880016 127.810229) + (xy 98.789771 127.719984) + (xy 98.676055 127.662043) + (xy 98.676057 127.662043) + (xy 98.55 127.642078) + (xy 98.423943 127.662043) + (xy 98.310228 127.719984) + (xy 98.219984 127.810228) + (xy 98.162043 127.923943) + (xy 98.142078 128.049999) + (xy 98.142078 128.05) + (xy 95.375756 128.05) + (xy 95.387957 128.026055) + (xy 95.407922 127.9) + (xy 95.406329 127.889945) + (xy 95.404068 127.875666) + (xy 95.387957 127.773945) + (xy 95.330016 127.660229) + (xy 95.239771 127.569984) + (xy 95.126055 127.512043) + (xy 95.126057 127.512043) + (xy 95 127.492078) + (xy 94.873943 127.512043) + (xy 94.760228 127.569984) + (xy 94.669984 127.660228) + (xy 94.612043 127.773943) + (xy 94.592078 127.899999) + (xy 94.592078 127.9) + (xy 92.950003 127.9) + (xy 92.937957 127.823945) + (xy 92.880016 127.710229) + (xy 92.789771 127.619984) + (xy 92.676055 127.562043) + (xy 92.676057 127.562043) + (xy 92.55 127.542078) + (xy 92.423943 127.562043) + (xy 92.310228 127.619984) + (xy 92.219984 127.710228) + (xy 92.162043 127.823943) + (xy 92.142078 127.949999) + (xy 92.142078 127.95) + (xy 88.792084 127.95) + (xy 88.787957 127.923945) + (xy 88.730016 127.810229) + (xy 88.639771 127.719984) + (xy 88.526055 127.662043) + (xy 88.526057 127.662043) + (xy 88.4 127.642078) + (xy 88.273943 127.662043) + (xy 88.160228 127.719984) + (xy 88.069984 127.810228) + (xy 88.012043 127.923943) + (xy 87.992078 128.049999) + (xy 87.992078 128.05) + (xy 80.219787 128.05) + (xy 80.189771 128.019984) + (xy 80.076055 127.962043) + (xy 80.076057 127.962043) + (xy 79.95 127.942078) + (xy 79.823943 127.962043) + (xy 79.710228 128.019984) + (xy 79.619984 128.110228) + (xy 79.562043 128.223943) + (xy 79.542078 128.349999) + (xy 79.542078 128.35) + (xy 77.890139 128.35) + (xy 77.900671 128.27) + (xy 77.881669 128.125664) + (xy 77.825957 127.991165) + (xy 77.825955 127.991162) + (xy 77.737333 127.875666) + (xy 77.621839 127.787045) + (xy 77.621838 127.787044) + (xy 77.621836 127.787043) + (xy 77.534891 127.751029) + (xy 77.487337 127.731331) + (xy 77.343 127.712329) + (xy 77.198662 127.731331) + (xy 77.064163 127.787044) + (xy 77.064162 127.787044) + (xy 76.948666 127.875666) + (xy 76.860044 127.991162) + (xy 76.860044 127.991163) + (xy 76.804331 128.125662) + (xy 76.785329 128.27) + (xy 73.899244 128.27) + (xy 73.888957 128.245165) + (xy 73.877332 128.230015) + (xy 73.800333 128.129666) + (xy 73.684839 128.041045) + (xy 73.684838 128.041044) + (xy 73.684836 128.041043) + (xy 73.566625 127.992078) + (xy 73.550337 127.985331) + (xy 73.406 127.966329) + (xy 73.261662 127.985331) + (xy 73.127163 128.041044) + (xy 73.127162 128.041044) + (xy 73.011666 128.129666) + (xy 72.923044 128.245162) + (xy 72.923044 128.245163) + (xy 72.867331 128.379662) + (xy 72.848329 128.524) + (xy 46.101 128.524) + (xy 46.101 128.016) + (xy 53.440078 128.016) + (xy 53.460043 128.142056) + (xy 53.477367 128.176056) + (xy 53.517984 128.255771) + (xy 53.608229 128.346016) + (xy 53.721943 128.403956) + (xy 53.721945 128.403957) + (xy 53.848 128.423922) + (xy 53.974055 128.403957) + (xy 54.087771 128.346016) + (xy 54.178016 128.255771) + (xy 54.235957 128.142055) + (xy 54.255922 128.016) + (xy 58.520078 128.016) + (xy 58.540043 128.142056) + (xy 58.557367 128.176056) + (xy 58.597984 128.255771) + (xy 58.688229 128.346016) + (xy 58.801943 128.403956) + (xy 58.801945 128.403957) + (xy 58.928 128.423922) + (xy 59.054055 128.403957) + (xy 59.167771 128.346016) + (xy 59.258016 128.255771) + (xy 59.315957 128.142055) + (xy 59.335922 128.016) + (xy 63.600078 128.016) + (xy 63.620043 128.142056) + (xy 63.637367 128.176056) + (xy 63.677984 128.255771) + (xy 63.768229 128.346016) + (xy 63.881943 128.403956) + (xy 63.881945 128.403957) + (xy 64.008 128.423922) + (xy 64.134055 128.403957) + (xy 64.247771 128.346016) + (xy 64.338016 128.255771) + (xy 64.395957 128.142055) + (xy 64.415922 128.016) + (xy 68.680078 128.016) + (xy 68.700043 128.142056) + (xy 68.717367 128.176056) + (xy 68.757984 128.255771) + (xy 68.848229 128.346016) + (xy 68.961943 128.403956) + (xy 68.961945 128.403957) + (xy 69.088 128.423922) + (xy 69.214055 128.403957) + (xy 69.327771 128.346016) + (xy 69.418016 128.255771) + (xy 69.475957 128.142055) + (xy 69.495922 128.016) + (xy 69.475957 127.889945) + (xy 69.418016 127.776229) + (xy 69.327771 127.685984) + (xy 69.214055 127.628043) + (xy 69.214057 127.628043) + (xy 69.088 127.608078) + (xy 68.961943 127.628043) + (xy 68.848228 127.685984) + (xy 68.757984 127.776228) + (xy 68.700043 127.889943) + (xy 68.680078 128.015999) + (xy 68.680078 128.016) + (xy 64.415922 128.016) + (xy 64.395957 127.889945) + (xy 64.338016 127.776229) + (xy 64.247771 127.685984) + (xy 64.134055 127.628043) + (xy 64.134057 127.628043) + (xy 64.008 127.608078) + (xy 63.881943 127.628043) + (xy 63.768228 127.685984) + (xy 63.677984 127.776228) + (xy 63.620043 127.889943) + (xy 63.600078 128.015999) + (xy 63.600078 128.016) + (xy 59.335922 128.016) + (xy 59.315957 127.889945) + (xy 59.258016 127.776229) + (xy 59.167771 127.685984) + (xy 59.054055 127.628043) + (xy 59.054057 127.628043) + (xy 58.928 127.608078) + (xy 58.801943 127.628043) + (xy 58.688228 127.685984) + (xy 58.597984 127.776228) + (xy 58.540043 127.889943) + (xy 58.520078 128.015999) + (xy 58.520078 128.016) + (xy 54.255922 128.016) + (xy 54.235957 127.889945) + (xy 54.178016 127.776229) + (xy 54.087771 127.685984) + (xy 53.974055 127.628043) + (xy 53.974057 127.628043) + (xy 53.848 127.608078) + (xy 53.721943 127.628043) + (xy 53.608228 127.685984) + (xy 53.517984 127.776228) + (xy 53.460043 127.889943) + (xy 53.440078 128.015999) + (xy 53.440078 128.016) + (xy 46.101 128.016) + (xy 46.101 126.95499) + (xy 47.302845 126.95499) + (xy 47.306698 127.026055) + (xy 47.312578 127.134502) + (xy 47.312578 127.134505) + (xy 47.360672 127.30772) + (xy 47.360675 127.307728) + (xy 47.444878 127.466552) + (xy 47.44488 127.466555) + (xy 47.444881 127.466556) + (xy 47.498784 127.530016) + (xy 47.561265 127.603574) + (xy 47.561267 127.603576) + (xy 47.702602 127.711016) + (xy 47.704382 127.712369) + (xy 47.867541 127.787854) + (xy 48.043113 127.8265) + (xy 48.043115 127.8265) + (xy 48.177814 127.8265) + (xy 48.177816 127.8265) + (xy 48.311721 127.811937) + (xy 48.482085 127.754535) + (xy 48.636126 127.661851) + (xy 48.766642 127.53822) + (xy 48.867529 127.389423) + (xy 48.93407 127.222416) + (xy 48.93407 127.22241) + (xy 48.934072 127.222407) + (xy 48.937745 127.2) + (xy 77.442078 127.2) + (xy 77.462043 127.326056) + (xy 77.496832 127.394333) + (xy 77.519984 127.439771) + (xy 77.610229 127.530016) + (xy 77.723943 127.587956) + (xy 77.723945 127.587957) + (xy 77.85 127.607922) + (xy 77.976055 127.587957) + (xy 78.089771 127.530016) + (xy 78.180016 127.439771) + (xy 78.209961 127.381) + (xy 84.792078 127.381) + (xy 84.812043 127.507056) + (xy 84.844107 127.569984) + (xy 84.869984 127.620771) + (xy 84.960229 127.711016) + (xy 85.073943 127.768956) + (xy 85.073945 127.768957) + (xy 85.2 127.788922) + (xy 85.326055 127.768957) + (xy 85.439771 127.711016) + (xy 85.530016 127.620771) + (xy 85.587957 127.507055) + (xy 85.607922 127.381) + (xy 85.587957 127.254945) + (xy 85.530016 127.141229) + (xy 85.439771 127.050984) + (xy 85.326055 126.993043) + (xy 85.326057 126.993043) + (xy 85.2 126.973078) + (xy 85.073943 126.993043) + (xy 84.960228 127.050984) + (xy 84.869984 127.141228) + (xy 84.812043 127.254943) + (xy 84.792078 127.380999) + (xy 84.792078 127.381) + (xy 78.209961 127.381) + (xy 78.237957 127.326055) + (xy 78.257922 127.2) + (xy 78.256014 127.187956) + (xy 78.254164 127.176276) + (xy 78.237957 127.073945) + (xy 78.180016 126.960229) + (xy 78.089771 126.869984) + (xy 78.05055 126.85) + (xy 78.992078 126.85) + (xy 79.012043 126.976056) + (xy 79.044508 127.039771) + (xy 79.069984 127.089771) + (xy 79.160229 127.180016) + (xy 79.273943 127.237956) + (xy 79.273945 127.237957) + (xy 79.4 127.257922) + (xy 79.526055 127.237957) + (xy 79.639771 127.180016) + (xy 79.730016 127.089771) + (xy 79.787957 126.976055) + (xy 79.807922 126.85) + (xy 80.292078 126.85) + (xy 80.312043 126.976056) + (xy 80.344508 127.039771) + (xy 80.369984 127.089771) + (xy 80.460229 127.180016) + (xy 80.573943 127.237956) + (xy 80.573945 127.237957) + (xy 80.7 127.257922) + (xy 80.826055 127.237957) + (xy 80.939771 127.180016) + (xy 81.030016 127.089771) + (xy 81.087957 126.976055) + (xy 81.107922 126.85) + (xy 81.592078 126.85) + (xy 81.612043 126.976056) + (xy 81.644508 127.039771) + (xy 81.669984 127.089771) + (xy 81.760229 127.180016) + (xy 81.873943 127.237956) + (xy 81.873945 127.237957) + (xy 82 127.257922) + (xy 82.126055 127.237957) + (xy 82.239771 127.180016) + (xy 82.330016 127.089771) + (xy 82.387957 126.976055) + (xy 82.407922 126.85) + (xy 82.892078 126.85) + (xy 82.912043 126.976056) + (xy 82.944508 127.039771) + (xy 82.969984 127.089771) + (xy 83.060229 127.180016) + (xy 83.173943 127.237956) + (xy 83.173945 127.237957) + (xy 83.3 127.257922) + (xy 83.426055 127.237957) + (xy 83.539771 127.180016) + (xy 83.630016 127.089771) + (xy 83.687957 126.976055) + (xy 83.707922 126.85) + (xy 83.692084 126.75) + (xy 91.542078 126.75) + (xy 91.562043 126.876056) + (xy 91.612995 126.976055) + (xy 91.619984 126.989771) + (xy 91.710229 127.080016) + (xy 91.823943 127.137956) + (xy 91.823945 127.137957) + (xy 91.95 127.157922) + (xy 92.076055 127.137957) + (xy 92.189771 127.080016) + (xy 92.280016 126.989771) + (xy 92.325756 126.9) + (xy 97.042078 126.9) + (xy 97.062043 127.026056) + (xy 97.073872 127.049271) + (xy 97.119984 127.139771) + (xy 97.210229 127.230016) + (xy 97.323943 127.287956) + (xy 97.323945 127.287957) + (xy 97.45 127.307922) + (xy 97.576055 127.287957) + (xy 97.689771 127.230016) + (xy 97.780016 127.139771) + (xy 97.837957 127.026055) + (xy 97.857922 126.9) + (xy 97.842084 126.8) + (xy 106.492078 126.8) + (xy 106.512043 126.926056) + (xy 106.544508 126.989771) + (xy 106.569984 127.039771) + (xy 106.660229 127.130016) + (xy 106.773943 127.187956) + (xy 106.773945 127.187957) + (xy 106.9 127.207922) + (xy 107.026055 127.187957) + (xy 107.139771 127.130016) + (xy 107.230016 127.039771) + (xy 107.287957 126.926055) + (xy 107.306417 126.8095) + (xy 109.129578 126.8095) + (xy 109.149543 126.935556) + (xy 109.205313 127.04501) + (xy 109.207484 127.049271) + (xy 109.297729 127.139516) + (xy 109.411443 127.197456) + (xy 109.411445 127.197457) + (xy 109.5375 127.217422) + (xy 109.663555 127.197457) + (xy 109.777271 127.139516) + (xy 109.867516 127.049271) + (xy 109.892621 127) + (xy 134.951329 127) + (xy 134.970331 127.144337) + (xy 134.98511 127.180015) + (xy 135.026043 127.278836) + (xy 135.026044 127.278838) + (xy 135.026045 127.278839) + (xy 135.114666 127.394333) + (xy 135.20879 127.466556) + (xy 135.230164 127.482957) + (xy 135.364664 127.538669) + (xy 135.509 127.557671) + (xy 135.653336 127.538669) + (xy 135.787836 127.482957) + (xy 135.903333 127.394333) + (xy 135.991957 127.278836) + (xy 136.047669 127.144336) + (xy 136.066671 127) + (xy 136.602329 127) + (xy 136.621331 127.144337) + (xy 136.63611 127.180015) + (xy 136.677043 127.278836) + (xy 136.677044 127.278838) + (xy 136.677045 127.278839) + (xy 136.765666 127.394333) + (xy 136.85979 127.466556) + (xy 136.881164 127.482957) + (xy 137.015664 127.538669) + (xy 137.16 127.557671) + (xy 137.304336 127.538669) + (xy 137.438836 127.482957) + (xy 137.554333 127.394333) + (xy 137.642957 127.278836) + (xy 137.698669 127.144336) + (xy 137.717671 127) + (xy 137.711745 126.95499) + (xy 142.171845 126.95499) + (xy 142.175698 127.026055) + (xy 142.181578 127.134502) + (xy 142.181578 127.134505) + (xy 142.229672 127.30772) + (xy 142.229675 127.307728) + (xy 142.313878 127.466552) + (xy 142.31388 127.466555) + (xy 142.313881 127.466556) + (xy 142.367784 127.530016) + (xy 142.430265 127.603574) + (xy 142.430267 127.603576) + (xy 142.571602 127.711016) + (xy 142.573382 127.712369) + (xy 142.736541 127.787854) + (xy 142.912113 127.8265) + (xy 142.912115 127.8265) + (xy 143.046814 127.8265) + (xy 143.046816 127.8265) + (xy 143.180721 127.811937) + (xy 143.351085 127.754535) + (xy 143.505126 127.661851) + (xy 143.635642 127.53822) + (xy 143.736529 127.389423) + (xy 143.80307 127.222416) + (xy 143.80307 127.22241) + (xy 143.803072 127.222407) + (xy 143.827411 127.073945) + (xy 143.832155 127.04501) + (xy 143.822422 126.865499) + (xy 143.819691 126.855664) + (xy 143.797002 126.773945) + (xy 143.774327 126.692277) + (xy 143.757336 126.660228) + (xy 143.690121 126.533447) + (xy 143.690117 126.533442) + (xy 143.676189 126.517045) + (xy 143.573735 126.396426) + (xy 143.573734 126.396425) + (xy 143.573732 126.396423) + (xy 143.43062 126.287632) + (xy 143.26746 126.212146) + (xy 143.223566 126.202484) + (xy 143.091887 126.1735) + (xy 142.957184 126.1735) + (xy 142.924506 126.177053) + (xy 142.823282 126.188062) + (xy 142.823279 126.188063) + (xy 142.652915 126.245464) + (xy 142.498875 126.338148) + (xy 142.49887 126.338152) + (xy 142.368357 126.46178) + (xy 142.368356 126.461782) + (xy 142.267473 126.610572) + (xy 142.267469 126.61058) + (xy 142.200931 126.77758) + (xy 142.200927 126.777592) + (xy 142.171845 126.954983) + (xy 142.171845 126.954985) + (xy 142.171845 126.954988) + (xy 142.171845 126.95499) + (xy 137.711745 126.95499) + (xy 137.698669 126.855664) + (xy 137.642957 126.721165) + (xy 137.642955 126.721162) + (xy 137.554333 126.605666) + (xy 137.438839 126.517045) + (xy 137.438838 126.517044) + (xy 137.438836 126.517043) + (xy 137.348161 126.479484) + (xy 137.304337 126.461331) + (xy 137.16 126.442329) + (xy 137.015662 126.461331) + (xy 136.881163 126.517044) + (xy 136.881162 126.517044) + (xy 136.765666 126.605666) + (xy 136.677044 126.721162) + (xy 136.677044 126.721163) + (xy 136.677043 126.721164) + (xy 136.677043 126.721165) + (xy 136.667485 126.744238) + (xy 136.621331 126.855662) + (xy 136.602329 127) + (xy 136.066671 127) + (xy 136.047669 126.855664) + (xy 135.991957 126.721165) + (xy 135.991955 126.721162) + (xy 135.903333 126.605666) + (xy 135.787839 126.517045) + (xy 135.787838 126.517044) + (xy 135.787836 126.517043) + (xy 135.697161 126.479484) + (xy 135.653337 126.461331) + (xy 135.509 126.442329) + (xy 135.364662 126.461331) + (xy 135.230163 126.517044) + (xy 135.230162 126.517044) + (xy 135.114666 126.605666) + (xy 135.026044 126.721162) + (xy 135.026044 126.721163) + (xy 135.026043 126.721164) + (xy 135.026043 126.721165) + (xy 135.016485 126.744238) + (xy 134.970331 126.855662) + (xy 134.951329 127) + (xy 109.892621 127) + (xy 109.925457 126.935555) + (xy 109.945422 126.8095) + (xy 109.925457 126.683445) + (xy 109.867516 126.569729) + (xy 109.777271 126.479484) + (xy 109.663555 126.421543) + (xy 109.663557 126.421543) + (xy 109.5375 126.401578) + (xy 109.411443 126.421543) + (xy 109.297728 126.479484) + (xy 109.207484 126.569728) + (xy 109.149543 126.683443) + (xy 109.129578 126.809499) + (xy 109.129578 126.8095) + (xy 107.306417 126.8095) + (xy 107.307922 126.8) + (xy 107.287957 126.673945) + (xy 107.230016 126.560229) + (xy 107.139771 126.469984) + (xy 107.026055 126.412043) + (xy 107.026057 126.412043) + (xy 106.9 126.392078) + (xy 106.773943 126.412043) + (xy 106.660228 126.469984) + (xy 106.569984 126.560228) + (xy 106.512043 126.673943) + (xy 106.492078 126.799999) + (xy 106.492078 126.8) + (xy 97.842084 126.8) + (xy 97.837957 126.773945) + (xy 97.780016 126.660229) + (xy 97.689771 126.569984) + (xy 97.576055 126.512043) + (xy 97.576057 126.512043) + (xy 97.45 126.492078) + (xy 97.323943 126.512043) + (xy 97.210228 126.569984) + (xy 97.119984 126.660228) + (xy 97.062043 126.773943) + (xy 97.042078 126.899999) + (xy 97.042078 126.9) + (xy 92.325756 126.9) + (xy 92.337957 126.876055) + (xy 92.357922 126.75) + (xy 92.337957 126.623945) + (xy 92.280016 126.510229) + (xy 92.189771 126.419984) + (xy 92.076055 126.362043) + (xy 92.076057 126.362043) + (xy 91.95 126.342078) + (xy 91.823943 126.362043) + (xy 91.710228 126.419984) + (xy 91.619984 126.510228) + (xy 91.562043 126.623943) + (xy 91.542078 126.749999) + (xy 91.542078 126.75) + (xy 83.692084 126.75) + (xy 83.687957 126.723945) + (xy 83.630016 126.610229) + (xy 83.539771 126.519984) + (xy 83.426055 126.462043) + (xy 83.426057 126.462043) + (xy 83.3 126.442078) + (xy 83.173943 126.462043) + (xy 83.060228 126.519984) + (xy 82.969984 126.610228) + (xy 82.912043 126.723943) + (xy 82.892078 126.849999) + (xy 82.892078 126.85) + (xy 82.407922 126.85) + (xy 82.387957 126.723945) + (xy 82.330016 126.610229) + (xy 82.239771 126.519984) + (xy 82.126055 126.462043) + (xy 82.126057 126.462043) + (xy 82 126.442078) + (xy 81.873943 126.462043) + (xy 81.760228 126.519984) + (xy 81.669984 126.610228) + (xy 81.612043 126.723943) + (xy 81.592078 126.849999) + (xy 81.592078 126.85) + (xy 81.107922 126.85) + (xy 81.087957 126.723945) + (xy 81.030016 126.610229) + (xy 80.939771 126.519984) + (xy 80.826055 126.462043) + (xy 80.826057 126.462043) + (xy 80.7 126.442078) + (xy 80.573943 126.462043) + (xy 80.460228 126.519984) + (xy 80.369984 126.610228) + (xy 80.312043 126.723943) + (xy 80.292078 126.849999) + (xy 80.292078 126.85) + (xy 79.807922 126.85) + (xy 79.787957 126.723945) + (xy 79.730016 126.610229) + (xy 79.639771 126.519984) + (xy 79.526055 126.462043) + (xy 79.526057 126.462043) + (xy 79.4 126.442078) + (xy 79.273943 126.462043) + (xy 79.160228 126.519984) + (xy 79.069984 126.610228) + (xy 79.012043 126.723943) + (xy 78.992078 126.849999) + (xy 78.992078 126.85) + (xy 78.05055 126.85) + (xy 77.976055 126.812043) + (xy 77.976057 126.812043) + (xy 77.85 126.792078) + (xy 77.723943 126.812043) + (xy 77.610228 126.869984) + (xy 77.519984 126.960228) + (xy 77.462043 127.073943) + (xy 77.442078 127.199999) + (xy 77.442078 127.2) + (xy 48.937745 127.2) + (xy 48.958411 127.073945) + (xy 48.963155 127.04501) + (xy 48.953422 126.865499) + (xy 48.950691 126.855664) + (xy 48.928002 126.773945) + (xy 48.905327 126.692277) + (xy 48.888336 126.660228) + (xy 48.821121 126.533447) + (xy 48.821117 126.533442) + (xy 48.807189 126.517045) + (xy 48.704735 126.396426) + (xy 48.704734 126.396425) + (xy 48.704732 126.396423) + (xy 48.56162 126.287632) + (xy 48.39846 126.212146) + (xy 48.354566 126.202484) + (xy 48.222887 126.1735) + (xy 48.088184 126.1735) + (xy 48.055506 126.177053) + (xy 47.954282 126.188062) + (xy 47.954279 126.188063) + (xy 47.783915 126.245464) + (xy 47.629875 126.338148) + (xy 47.62987 126.338152) + (xy 47.499357 126.46178) + (xy 47.499356 126.461782) + (xy 47.398473 126.610572) + (xy 47.398469 126.61058) + (xy 47.331931 126.77758) + (xy 47.331927 126.777592) + (xy 47.302845 126.954983) + (xy 47.302845 126.954985) + (xy 47.302845 126.954988) + (xy 47.302845 126.95499) + (xy 46.101 126.95499) + (xy 46.101 126.1) + (xy 78.342078 126.1) + (xy 78.362043 126.226056) + (xy 78.393417 126.287631) + (xy 78.419984 126.339771) + (xy 78.510229 126.430016) + (xy 78.623943 126.487956) + (xy 78.623945 126.487957) + (xy 78.75 126.507922) + (xy 78.876055 126.487957) + (xy 78.989771 126.430016) + (xy 79.080016 126.339771) + (xy 79.137957 126.226055) + (xy 79.157922 126.1) + (xy 79.642078 126.1) + (xy 79.662043 126.226056) + (xy 79.693417 126.287631) + (xy 79.719984 126.339771) + (xy 79.810229 126.430016) + (xy 79.923943 126.487956) + (xy 79.923945 126.487957) + (xy 80.05 126.507922) + (xy 80.176055 126.487957) + (xy 80.289771 126.430016) + (xy 80.380016 126.339771) + (xy 80.437957 126.226055) + (xy 80.457922 126.1) + (xy 80.942078 126.1) + (xy 80.962043 126.226056) + (xy 80.993417 126.287631) + (xy 81.019984 126.339771) + (xy 81.110229 126.430016) + (xy 81.223943 126.487956) + (xy 81.223945 126.487957) + (xy 81.35 126.507922) + (xy 81.476055 126.487957) + (xy 81.589771 126.430016) + (xy 81.680016 126.339771) + (xy 81.737957 126.226055) + (xy 81.757922 126.1) + (xy 82.242078 126.1) + (xy 82.262043 126.226056) + (xy 82.293417 126.287631) + (xy 82.319984 126.339771) + (xy 82.410229 126.430016) + (xy 82.523943 126.487956) + (xy 82.523945 126.487957) + (xy 82.65 126.507922) + (xy 82.776055 126.487957) + (xy 82.889771 126.430016) + (xy 82.980016 126.339771) + (xy 83.037957 126.226055) + (xy 83.057922 126.1) + (xy 83.542078 126.1) + (xy 83.562043 126.226056) + (xy 83.593417 126.287631) + (xy 83.619984 126.339771) + (xy 83.710229 126.430016) + (xy 83.823943 126.487956) + (xy 83.823945 126.487957) + (xy 83.95 126.507922) + (xy 84.076055 126.487957) + (xy 84.189771 126.430016) + (xy 84.280016 126.339771) + (xy 84.337957 126.226055) + (xy 84.342084 126.2) + (xy 86.492078 126.2) + (xy 86.512043 126.326056) + (xy 86.550524 126.401578) + (xy 86.569984 126.439771) + (xy 86.660229 126.530016) + (xy 86.773943 126.587956) + (xy 86.773945 126.587957) + (xy 86.9 126.607922) + (xy 87.026055 126.587957) + (xy 87.139771 126.530016) + (xy 87.230016 126.439771) + (xy 87.287957 126.326055) + (xy 87.292084 126.3) + (xy 90.492078 126.3) + (xy 90.512043 126.426056) + (xy 90.559902 126.519984) + (xy 90.569984 126.539771) + (xy 90.660229 126.630016) + (xy 90.773943 126.687956) + (xy 90.773945 126.687957) + (xy 90.9 126.707922) + (xy 91.026055 126.687957) + (xy 91.139771 126.630016) + (xy 91.230016 126.539771) + (xy 91.287957 126.426055) + (xy 91.307922 126.3) + (xy 91.287957 126.173945) + (xy 91.25028 126.1) + (xy 92.742078 126.1) + (xy 92.762043 126.226056) + (xy 92.793417 126.287631) + (xy 92.819984 126.339771) + (xy 92.910229 126.430016) + (xy 93.023943 126.487956) + (xy 93.023945 126.487957) + (xy 93.15 126.507922) + (xy 93.276055 126.487957) + (xy 93.389771 126.430016) + (xy 93.480016 126.339771) + (xy 93.537957 126.226055) + (xy 93.557922 126.1) + (xy 101.942078 126.1) + (xy 101.962043 126.226056) + (xy 101.993417 126.287631) + (xy 102.019984 126.339771) + (xy 102.110229 126.430016) + (xy 102.223943 126.487956) + (xy 102.223945 126.487957) + (xy 102.35 126.507922) + (xy 102.476055 126.487957) + (xy 102.589771 126.430016) + (xy 102.680016 126.339771) + (xy 102.737957 126.226055) + (xy 102.757922 126.1) + (xy 109.842078 126.1) + (xy 109.862043 126.226056) + (xy 109.893417 126.287631) + (xy 109.919984 126.339771) + (xy 110.010229 126.430016) + (xy 110.123943 126.487956) + (xy 110.123945 126.487957) + (xy 110.25 126.507922) + (xy 110.376055 126.487957) + (xy 110.489771 126.430016) + (xy 110.580016 126.339771) + (xy 110.637957 126.226055) + (xy 110.657922 126.1) + (xy 111.142078 126.1) + (xy 111.162043 126.226056) + (xy 111.193417 126.287631) + (xy 111.219984 126.339771) + (xy 111.310229 126.430016) + (xy 111.423943 126.487956) + (xy 111.423945 126.487957) + (xy 111.55 126.507922) + (xy 111.676055 126.487957) + (xy 111.789771 126.430016) + (xy 111.854787 126.365) + (xy 122.655078 126.365) + (xy 122.675043 126.491056) + (xy 122.699865 126.539771) + (xy 122.732984 126.604771) + (xy 122.823229 126.695016) + (xy 122.936943 126.752956) + (xy 122.936945 126.752957) + (xy 123.063 126.772922) + (xy 123.189055 126.752957) + (xy 123.302771 126.695016) + (xy 123.393016 126.604771) + (xy 123.450957 126.491055) + (xy 123.470922 126.365) + (xy 127.735078 126.365) + (xy 127.755043 126.491056) + (xy 127.779865 126.539771) + (xy 127.812984 126.604771) + (xy 127.903229 126.695016) + (xy 128.016943 126.752956) + (xy 128.016945 126.752957) + (xy 128.143 126.772922) + (xy 128.269055 126.752957) + (xy 128.382771 126.695016) + (xy 128.473016 126.604771) + (xy 128.530957 126.491055) + (xy 128.550922 126.365) + (xy 128.530957 126.238945) + (xy 128.473016 126.125229) + (xy 128.382771 126.034984) + (xy 128.269055 125.977043) + (xy 128.269057 125.977043) + (xy 128.143 125.957078) + (xy 128.016943 125.977043) + (xy 127.903228 126.034984) + (xy 127.812984 126.125228) + (xy 127.755043 126.238943) + (xy 127.735078 126.364999) + (xy 127.735078 126.365) + (xy 123.470922 126.365) + (xy 123.450957 126.238945) + (xy 123.393016 126.125229) + (xy 123.302771 126.034984) + (xy 123.189055 125.977043) + (xy 123.189057 125.977043) + (xy 123.063 125.957078) + (xy 122.936943 125.977043) + (xy 122.823228 126.034984) + (xy 122.732984 126.125228) + (xy 122.675043 126.238943) + (xy 122.655078 126.364999) + (xy 122.655078 126.365) + (xy 111.854787 126.365) + (xy 111.880016 126.339771) + (xy 111.937957 126.226055) + (xy 111.957922 126.1) + (xy 111.937957 125.973945) + (xy 111.880016 125.860229) + (xy 111.819787 125.8) + (xy 115.942078 125.8) + (xy 115.962043 125.926056) + (xy 116.017545 126.034984) + (xy 116.019984 126.039771) + (xy 116.110229 126.130016) + (xy 116.223943 126.187956) + (xy 116.223945 126.187957) + (xy 116.35 126.207922) + (xy 116.476055 126.187957) + (xy 116.589771 126.130016) + (xy 116.680016 126.039771) + (xy 116.737957 125.926055) + (xy 116.757922 125.8) + (xy 116.737957 125.673945) + (xy 116.680016 125.560229) + (xy 116.589771 125.469984) + (xy 116.476055 125.412043) + (xy 116.476057 125.412043) + (xy 116.35 125.392078) + (xy 116.223943 125.412043) + (xy 116.110228 125.469984) + (xy 116.019984 125.560228) + (xy 115.962043 125.673943) + (xy 115.942078 125.799999) + (xy 115.942078 125.8) + (xy 111.819787 125.8) + (xy 111.789771 125.769984) + (xy 111.676055 125.712043) + (xy 111.676057 125.712043) + (xy 111.55 125.692078) + (xy 111.423943 125.712043) + (xy 111.310228 125.769984) + (xy 111.219984 125.860228) + (xy 111.162043 125.973943) + (xy 111.142078 126.099999) + (xy 111.142078 126.1) + (xy 110.657922 126.1) + (xy 110.637957 125.973945) + (xy 110.580016 125.860229) + (xy 110.489771 125.769984) + (xy 110.376055 125.712043) + (xy 110.376057 125.712043) + (xy 110.25 125.692078) + (xy 110.123943 125.712043) + (xy 110.010228 125.769984) + (xy 109.919984 125.860228) + (xy 109.862043 125.973943) + (xy 109.842078 126.099999) + (xy 109.842078 126.1) + (xy 102.757922 126.1) + (xy 102.737957 125.973945) + (xy 102.680016 125.860229) + (xy 102.589771 125.769984) + (xy 102.476055 125.712043) + (xy 102.476057 125.712043) + (xy 102.35 125.692078) + (xy 102.223943 125.712043) + (xy 102.110228 125.769984) + (xy 102.019984 125.860228) + (xy 101.962043 125.973943) + (xy 101.942078 126.099999) + (xy 101.942078 126.1) + (xy 93.557922 126.1) + (xy 93.537957 125.973945) + (xy 93.480016 125.860229) + (xy 93.389771 125.769984) + (xy 93.276055 125.712043) + (xy 93.276057 125.712043) + (xy 93.15 125.692078) + (xy 93.023943 125.712043) + (xy 92.910228 125.769984) + (xy 92.819984 125.860228) + (xy 92.762043 125.973943) + (xy 92.742078 126.099999) + (xy 92.742078 126.1) + (xy 91.25028 126.1) + (xy 91.230016 126.060229) + (xy 91.139771 125.969984) + (xy 91.026055 125.912043) + (xy 91.026057 125.912043) + (xy 90.9 125.892078) + (xy 90.773943 125.912043) + (xy 90.660228 125.969984) + (xy 90.569984 126.060228) + (xy 90.512043 126.173943) + (xy 90.492078 126.299999) + (xy 90.492078 126.3) + (xy 87.292084 126.3) + (xy 87.307922 126.2) + (xy 87.306014 126.187956) + (xy 87.303725 126.1735) + (xy 87.287957 126.073945) + (xy 87.230016 125.960229) + (xy 87.139771 125.869984) + (xy 87.026055 125.812043) + (xy 87.026057 125.812043) + (xy 86.9 125.792078) + (xy 86.773943 125.812043) + (xy 86.660228 125.869984) + (xy 86.569984 125.960228) + (xy 86.512043 126.073943) + (xy 86.492078 126.199999) + (xy 86.492078 126.2) + (xy 84.342084 126.2) + (xy 84.357922 126.1) + (xy 84.337957 125.973945) + (xy 84.280016 125.860229) + (xy 84.189771 125.769984) + (xy 84.076055 125.712043) + (xy 84.076057 125.712043) + (xy 83.95 125.692078) + (xy 83.823943 125.712043) + (xy 83.710228 125.769984) + (xy 83.619984 125.860228) + (xy 83.562043 125.973943) + (xy 83.542078 126.099999) + (xy 83.542078 126.1) + (xy 83.057922 126.1) + (xy 83.037957 125.973945) + (xy 82.980016 125.860229) + (xy 82.889771 125.769984) + (xy 82.776055 125.712043) + (xy 82.776057 125.712043) + (xy 82.65 125.692078) + (xy 82.523943 125.712043) + (xy 82.410228 125.769984) + (xy 82.319984 125.860228) + (xy 82.262043 125.973943) + (xy 82.242078 126.099999) + (xy 82.242078 126.1) + (xy 81.757922 126.1) + (xy 81.737957 125.973945) + (xy 81.680016 125.860229) + (xy 81.589771 125.769984) + (xy 81.476055 125.712043) + (xy 81.476057 125.712043) + (xy 81.35 125.692078) + (xy 81.223943 125.712043) + (xy 81.110228 125.769984) + (xy 81.019984 125.860228) + (xy 80.962043 125.973943) + (xy 80.942078 126.099999) + (xy 80.942078 126.1) + (xy 80.457922 126.1) + (xy 80.437957 125.973945) + (xy 80.380016 125.860229) + (xy 80.289771 125.769984) + (xy 80.176055 125.712043) + (xy 80.176057 125.712043) + (xy 80.05 125.692078) + (xy 79.923943 125.712043) + (xy 79.810228 125.769984) + (xy 79.719984 125.860228) + (xy 79.662043 125.973943) + (xy 79.642078 126.099999) + (xy 79.642078 126.1) + (xy 79.157922 126.1) + (xy 79.137957 125.973945) + (xy 79.080016 125.860229) + (xy 78.989771 125.769984) + (xy 78.876055 125.712043) + (xy 78.876057 125.712043) + (xy 78.75 125.692078) + (xy 78.623943 125.712043) + (xy 78.510228 125.769984) + (xy 78.419984 125.860228) + (xy 78.362043 125.973943) + (xy 78.342078 126.099999) + (xy 78.342078 126.1) + (xy 46.101 126.1) + (xy 46.101 125.286481) + (xy 46.118593 125.238143) + (xy 46.163142 125.212423) + (xy 46.210341 125.219478) + (xy 46.228942 125.228956) + (xy 46.228943 125.228956) + (xy 46.228945 125.228957) + (xy 46.355 125.248922) + (xy 46.481055 125.228957) + (xy 46.594771 125.171016) + (xy 46.685016 125.080771) + (xy 46.742957 124.967055) + (xy 46.762922 124.841) + (xy 51.027078 124.841) + (xy 51.047043 124.967056) + (xy 51.083424 125.038457) + (xy 51.104984 125.080771) + (xy 51.195229 125.171016) + (xy 51.308943 125.228956) + (xy 51.308945 125.228957) + (xy 51.435 125.248922) + (xy 51.561055 125.228957) + (xy 51.674771 125.171016) + (xy 51.765016 125.080771) + (xy 51.822957 124.967055) + (xy 51.832865 124.9045) + (xy 56.107078 124.9045) + (xy 56.127043 125.030556) + (xy 56.131069 125.038457) + (xy 56.184984 125.144271) + (xy 56.275229 125.234516) + (xy 56.388943 125.292456) + (xy 56.388945 125.292457) + (xy 56.515 125.312422) + (xy 56.641055 125.292457) + (xy 56.754771 125.234516) + (xy 56.845016 125.144271) + (xy 56.902957 125.030555) + (xy 56.922922 124.9045) + (xy 56.902957 124.778445) + (xy 56.845016 124.664729) + (xy 56.830787 124.6505) + (xy 65.124078 124.6505) + (xy 65.144043 124.776556) + (xy 65.201984 124.890271) + (xy 65.292229 124.980516) + (xy 65.405943 125.038456) + (xy 65.405945 125.038457) + (xy 65.532 125.058422) + (xy 65.658055 125.038457) + (xy 65.771771 124.980516) + (xy 65.862016 124.890271) + (xy 65.919957 124.776555) + (xy 65.92295 124.75766) + (xy 67.258893 124.75766) + (xy 67.289168 124.929356) + (xy 67.35822 125.089437) + (xy 67.462332 125.229283) + (xy 67.595882 125.341346) + (xy 67.595883 125.341347) + (xy 67.595884 125.341347) + (xy 67.595886 125.341349) + (xy 67.751685 125.419594) + (xy 67.921329 125.4598) + (xy 68.051943 125.4598) + (xy 68.051945 125.459799) + (xy 68.068682 125.457842) + (xy 68.181664 125.444637) + (xy 68.345493 125.385008) + (xy 68.491154 125.289205) + (xy 68.610796 125.162393) + (xy 68.697967 125.011407) + (xy 68.747969 124.844388) + (xy 68.753021 124.75766) + (xy 69.290893 124.75766) + (xy 69.321168 124.929356) + (xy 69.39022 125.089437) + (xy 69.494332 125.229283) + (xy 69.627882 125.341346) + (xy 69.627883 125.341347) + (xy 69.627884 125.341347) + (xy 69.627886 125.341349) + (xy 69.783685 125.419594) + (xy 69.953329 125.4598) + (xy 70.083943 125.4598) + (xy 70.083945 125.459799) + (xy 70.100682 125.457842) + (xy 70.213664 125.444637) + (xy 70.377493 125.385008) + (xy 70.523154 125.289205) + (xy 70.642796 125.162393) + (xy 70.729967 125.011407) + (xy 70.748351 124.95) + (xy 84.192078 124.95) + (xy 84.212043 125.076056) + (xy 84.256034 125.162393) + (xy 84.269984 125.189771) + (xy 84.360229 125.280016) + (xy 84.473943 125.337956) + (xy 84.473945 125.337957) + (xy 84.6 125.357922) + (xy 84.726055 125.337957) + (xy 84.839771 125.280016) + (xy 84.930016 125.189771) + (xy 84.987957 125.076055) + (xy 85.007922 124.95) + (xy 93.392078 124.95) + (xy 93.412043 125.076056) + (xy 93.456034 125.162393) + (xy 93.469984 125.189771) + (xy 93.560229 125.280016) + (xy 93.673943 125.337956) + (xy 93.673945 125.337957) + (xy 93.8 125.357922) + (xy 93.926055 125.337957) + (xy 94.039771 125.280016) + (xy 94.130016 125.189771) + (xy 94.187957 125.076055) + (xy 94.207922 124.95) + (xy 102.592078 124.95) + (xy 102.612043 125.076056) + (xy 102.656034 125.162393) + (xy 102.669984 125.189771) + (xy 102.760229 125.280016) + (xy 102.873943 125.337956) + (xy 102.873945 125.337957) + (xy 103 125.357922) + (xy 103.126055 125.337957) + (xy 103.239771 125.280016) + (xy 103.330016 125.189771) + (xy 103.387957 125.076055) + (xy 103.407922 124.95) + (xy 111.792078 124.95) + (xy 111.812043 125.076056) + (xy 111.856034 125.162393) + (xy 111.869984 125.189771) + (xy 111.960229 125.280016) + (xy 112.073943 125.337956) + (xy 112.073945 125.337957) + (xy 112.2 125.357922) + (xy 112.326055 125.337957) + (xy 112.439771 125.280016) + (xy 112.530016 125.189771) + (xy 112.587957 125.076055) + (xy 112.607922 124.95) + (xy 112.587957 124.823945) + (xy 112.530016 124.710229) + (xy 112.439771 124.619984) + (xy 112.326055 124.562043) + (xy 112.326057 124.562043) + (xy 112.2 124.542078) + (xy 112.073943 124.562043) + (xy 111.960228 124.619984) + (xy 111.869984 124.710228) + (xy 111.812043 124.823943) + (xy 111.792078 124.949999) + (xy 111.792078 124.95) + (xy 103.407922 124.95) + (xy 103.387957 124.823945) + (xy 103.330016 124.710229) + (xy 103.239771 124.619984) + (xy 103.126055 124.562043) + (xy 103.126057 124.562043) + (xy 103 124.542078) + (xy 102.873943 124.562043) + (xy 102.760228 124.619984) + (xy 102.669984 124.710228) + (xy 102.612043 124.823943) + (xy 102.592078 124.949999) + (xy 102.592078 124.95) + (xy 94.207922 124.95) + (xy 94.187957 124.823945) + (xy 94.130016 124.710229) + (xy 94.039771 124.619984) + (xy 93.926055 124.562043) + (xy 93.926057 124.562043) + (xy 93.8 124.542078) + (xy 93.673943 124.562043) + (xy 93.560228 124.619984) + (xy 93.469984 124.710228) + (xy 93.412043 124.823943) + (xy 93.392078 124.949999) + (xy 93.392078 124.95) + (xy 85.007922 124.95) + (xy 84.987957 124.823945) + (xy 84.930016 124.710229) + (xy 84.839771 124.619984) + (xy 84.726055 124.562043) + (xy 84.726057 124.562043) + (xy 84.6 124.542078) + (xy 84.473943 124.562043) + (xy 84.360228 124.619984) + (xy 84.269984 124.710228) + (xy 84.212043 124.823943) + (xy 84.192078 124.949999) + (xy 84.192078 124.95) + (xy 70.748351 124.95) + (xy 70.779969 124.844388) + (xy 70.790107 124.67034) + (xy 70.759832 124.498646) + (xy 70.750087 124.476055) + (xy 70.695713 124.35) + (xy 120.592078 124.35) + (xy 120.612043 124.476056) + (xy 120.636698 124.524443) + (xy 120.669984 124.589771) + (xy 120.760229 124.680016) + (xy 120.873943 124.737956) + (xy 120.873945 124.737957) + (xy 121 124.757922) + (xy 121.126055 124.737957) + (xy 121.239771 124.680016) + (xy 121.330016 124.589771) + (xy 121.387957 124.476055) + (xy 121.407922 124.35) + (xy 121.387957 124.223945) + (xy 121.330016 124.110229) + (xy 121.239771 124.019984) + (xy 121.126055 123.962043) + (xy 121.126057 123.962043) + (xy 121 123.942078) + (xy 120.873943 123.962043) + (xy 120.760228 124.019984) + (xy 120.669984 124.110228) + (xy 120.612043 124.223943) + (xy 120.592078 124.349999) + (xy 120.592078 124.35) + (xy 70.695713 124.35) + (xy 70.690779 124.338562) + (xy 70.606401 124.225223) + (xy 70.586668 124.198717) + (xy 70.556852 124.173698) + (xy 70.453117 124.086653) + (xy 70.453116 124.086652) + (xy 70.297315 124.008406) + (xy 70.127671 123.9682) + (xy 69.997064 123.9682) + (xy 69.997054 123.9682) + (xy 69.867338 123.983362) + (xy 69.703509 124.042991) + (xy 69.703508 124.042991) + (xy 69.703507 124.042992) + (xy 69.557846 124.138795) + (xy 69.557845 124.138795) + (xy 69.557845 124.138796) + (xy 69.438204 124.265606) + (xy 69.351032 124.416593) + (xy 69.301031 124.583609) + (xy 69.301031 124.583611) + (xy 69.290893 124.75766) + (xy 68.753021 124.75766) + (xy 68.758107 124.67034) + (xy 68.727832 124.498646) + (xy 68.718087 124.476055) + (xy 68.658779 124.338562) + (xy 68.574401 124.225223) + (xy 68.554668 124.198717) + (xy 68.524852 124.173698) + (xy 68.421117 124.086653) + (xy 68.421116 124.086652) + (xy 68.265315 124.008406) + (xy 68.095671 123.9682) + (xy 67.965064 123.9682) + (xy 67.965054 123.9682) + (xy 67.835338 123.983362) + (xy 67.671509 124.042991) + (xy 67.671508 124.042991) + (xy 67.671507 124.042992) + (xy 67.525846 124.138795) + (xy 67.525845 124.138795) + (xy 67.525845 124.138796) + (xy 67.406204 124.265606) + (xy 67.319032 124.416593) + (xy 67.269031 124.583609) + (xy 67.269031 124.583611) + (xy 67.258893 124.75766) + (xy 65.92295 124.75766) + (xy 65.939922 124.6505) + (xy 65.919957 124.524445) + (xy 65.862016 124.410729) + (xy 65.771771 124.320484) + (xy 65.658055 124.262543) + (xy 65.658057 124.262543) + (xy 65.532 124.242578) + (xy 65.405943 124.262543) + (xy 65.292228 124.320484) + (xy 65.201984 124.410728) + (xy 65.144043 124.524443) + (xy 65.124078 124.650499) + (xy 65.124078 124.6505) + (xy 56.830787 124.6505) + (xy 56.754771 124.574484) + (xy 56.641055 124.516543) + (xy 56.641057 124.516543) + (xy 56.515 124.496578) + (xy 56.388943 124.516543) + (xy 56.275228 124.574484) + (xy 56.184984 124.664728) + (xy 56.127043 124.778443) + (xy 56.107078 124.904499) + (xy 56.107078 124.9045) + (xy 51.832865 124.9045) + (xy 51.842922 124.841) + (xy 51.84022 124.823943) + (xy 51.832715 124.776555) + (xy 51.822957 124.714945) + (xy 51.765016 124.601229) + (xy 51.674771 124.510984) + (xy 51.561055 124.453043) + (xy 51.561057 124.453043) + (xy 51.435 124.433078) + (xy 51.308943 124.453043) + (xy 51.195228 124.510984) + (xy 51.104984 124.601228) + (xy 51.047043 124.714943) + (xy 51.027078 124.840999) + (xy 51.027078 124.841) + (xy 46.762922 124.841) + (xy 46.76022 124.823943) + (xy 46.752715 124.776555) + (xy 46.742957 124.714945) + (xy 46.685016 124.601229) + (xy 46.594771 124.510984) + (xy 46.481055 124.453043) + (xy 46.481057 124.453043) + (xy 46.355 124.433078) + (xy 46.228942 124.453043) + (xy 46.22894 124.453044) + (xy 46.210339 124.462522) + (xy 46.159283 124.46879) + (xy 46.116142 124.440774) + (xy 46.101 124.395518) + (xy 46.101 122.928155) + (xy 65.04655 122.928155) + (xy 65.085772 123.163198) + (xy 65.085773 123.163202) + (xy 65.085774 123.163206) + (xy 65.129224 123.289771) + (xy 65.163152 123.3886) + (xy 65.163153 123.388602) + (xy 65.276569 123.598177) + (xy 65.422938 123.786233) + (xy 65.422942 123.786237) + (xy 65.473691 123.832954) + (xy 65.598266 123.947633) + (xy 65.744224 124.042992) + (xy 65.797768 124.077974) + (xy 65.973403 124.155015) + (xy 66.015998 124.173699) + (xy 66.24701 124.232199) + (xy 66.29556 124.236222) + (xy 66.42502 124.24695) + (xy 66.425028 124.24695) + (xy 66.54398 124.24695) + (xy 66.66265 124.237116) + (xy 66.72199 124.232199) + (xy 66.953002 124.173699) + (xy 67.171234 124.077973) + (xy 67.370734 123.947633) + (xy 67.54606 123.786235) + (xy 67.692429 123.598179) + (xy 67.805849 123.388597) + (xy 67.883226 123.163206) + (xy 67.922449 122.928155) + (xy 70.12655 122.928155) + (xy 70.165772 123.163198) + (xy 70.165773 123.163202) + (xy 70.165774 123.163206) + (xy 70.209224 123.289771) + (xy 70.243152 123.3886) + (xy 70.243153 123.388602) + (xy 70.356569 123.598177) + (xy 70.502938 123.786233) + (xy 70.502942 123.786237) + (xy 70.553691 123.832954) + (xy 70.678266 123.947633) + (xy 70.824224 124.042992) + (xy 70.877768 124.077974) + (xy 71.053403 124.155015) + (xy 71.095998 124.173699) + (xy 71.32701 124.232199) + (xy 71.37556 124.236222) + (xy 71.50502 124.24695) + (xy 71.505028 124.24695) + (xy 71.62398 124.24695) + (xy 71.74265 124.237116) + (xy 71.80199 124.232199) + (xy 72.033002 124.173699) + (xy 72.251234 124.077973) + (xy 72.450734 123.947633) + (xy 72.611107 123.8) + (xy 77.692078 123.8) + (xy 77.712043 123.926056) + (xy 77.769984 124.039771) + (xy 77.860229 124.130016) + (xy 77.973943 124.187956) + (xy 77.973945 124.187957) + (xy 78.1 124.207922) + (xy 78.226055 124.187957) + (xy 78.339771 124.130016) + (xy 78.430016 124.039771) + (xy 78.487957 123.926055) + (xy 78.507922 123.8) + (xy 83.542078 123.8) + (xy 83.562043 123.926056) + (xy 83.619984 124.039771) + (xy 83.710229 124.130016) + (xy 83.823943 124.187956) + (xy 83.823945 124.187957) + (xy 83.95 124.207922) + (xy 84.076055 124.187957) + (xy 84.189771 124.130016) + (xy 84.280016 124.039771) + (xy 84.337957 123.926055) + (xy 84.357922 123.8) + (xy 92.742078 123.8) + (xy 92.762043 123.926056) + (xy 92.819984 124.039771) + (xy 92.910229 124.130016) + (xy 93.023943 124.187956) + (xy 93.023945 124.187957) + (xy 93.15 124.207922) + (xy 93.276055 124.187957) + (xy 93.389771 124.130016) + (xy 93.480016 124.039771) + (xy 93.537957 123.926055) + (xy 93.557922 123.8) + (xy 101.942078 123.8) + (xy 101.962043 123.926056) + (xy 102.019984 124.039771) + (xy 102.110229 124.130016) + (xy 102.223943 124.187956) + (xy 102.223945 124.187957) + (xy 102.35 124.207922) + (xy 102.476055 124.187957) + (xy 102.589771 124.130016) + (xy 102.680016 124.039771) + (xy 102.737957 123.926055) + (xy 102.757922 123.8) + (xy 106.592078 123.8) + (xy 106.612043 123.926056) + (xy 106.669984 124.039771) + (xy 106.760229 124.130016) + (xy 106.873943 124.187956) + (xy 106.873945 124.187957) + (xy 107 124.207922) + (xy 107.126055 124.187957) + (xy 107.239771 124.130016) + (xy 107.330016 124.039771) + (xy 107.387957 123.926055) + (xy 107.407922 123.8) + (xy 107.892078 123.8) + (xy 107.912043 123.926056) + (xy 107.969984 124.039771) + (xy 108.060229 124.130016) + (xy 108.173943 124.187956) + (xy 108.173945 124.187957) + (xy 108.3 124.207922) + (xy 108.426055 124.187957) + (xy 108.539771 124.130016) + (xy 108.630016 124.039771) + (xy 108.687957 123.926055) + (xy 108.707922 123.8) + (xy 111.142078 123.8) + (xy 111.162043 123.926056) + (xy 111.219984 124.039771) + (xy 111.310229 124.130016) + (xy 111.423943 124.187956) + (xy 111.423945 124.187957) + (xy 111.55 124.207922) + (xy 111.676055 124.187957) + (xy 111.789771 124.130016) + (xy 111.880016 124.039771) + (xy 111.937957 123.926055) + (xy 111.953962 123.825) + (xy 125.195078 123.825) + (xy 125.215043 123.951056) + (xy 125.261887 124.042992) + (xy 125.272984 124.064771) + (xy 125.363229 124.155016) + (xy 125.476943 124.212956) + (xy 125.476945 124.212957) + (xy 125.603 124.232922) + (xy 125.729055 124.212957) + (xy 125.842771 124.155016) + (xy 125.933016 124.064771) + (xy 125.990957 123.951055) + (xy 126.010922 123.825) + (xy 125.990957 123.698945) + (xy 125.933016 123.585229) + (xy 125.842771 123.494984) + (xy 125.729055 123.437043) + (xy 125.729057 123.437043) + (xy 125.603 123.417078) + (xy 125.476943 123.437043) + (xy 125.363228 123.494984) + (xy 125.272984 123.585228) + (xy 125.215043 123.698943) + (xy 125.195078 123.824999) + (xy 125.195078 123.825) + (xy 111.953962 123.825) + (xy 111.957922 123.8) + (xy 111.937957 123.673945) + (xy 111.880016 123.560229) + (xy 111.789771 123.469984) + (xy 111.676055 123.412043) + (xy 111.676057 123.412043) + (xy 111.55 123.392078) + (xy 111.423943 123.412043) + (xy 111.310228 123.469984) + (xy 111.219984 123.560228) + (xy 111.162043 123.673943) + (xy 111.142078 123.799999) + (xy 111.142078 123.8) + (xy 108.707922 123.8) + (xy 108.687957 123.673945) + (xy 108.630016 123.560229) + (xy 108.539771 123.469984) + (xy 108.426055 123.412043) + (xy 108.426057 123.412043) + (xy 108.3 123.392078) + (xy 108.173943 123.412043) + (xy 108.060228 123.469984) + (xy 107.969984 123.560228) + (xy 107.912043 123.673943) + (xy 107.892078 123.799999) + (xy 107.892078 123.8) + (xy 107.407922 123.8) + (xy 107.387957 123.673945) + (xy 107.330016 123.560229) + (xy 107.239771 123.469984) + (xy 107.126055 123.412043) + (xy 107.126057 123.412043) + (xy 107 123.392078) + (xy 106.873943 123.412043) + (xy 106.760228 123.469984) + (xy 106.669984 123.560228) + (xy 106.612043 123.673943) + (xy 106.592078 123.799999) + (xy 106.592078 123.8) + (xy 102.757922 123.8) + (xy 102.737957 123.673945) + (xy 102.680016 123.560229) + (xy 102.589771 123.469984) + (xy 102.476055 123.412043) + (xy 102.476057 123.412043) + (xy 102.35 123.392078) + (xy 102.223943 123.412043) + (xy 102.110228 123.469984) + (xy 102.019984 123.560228) + (xy 101.962043 123.673943) + (xy 101.942078 123.799999) + (xy 101.942078 123.8) + (xy 93.557922 123.8) + (xy 93.537957 123.673945) + (xy 93.480016 123.560229) + (xy 93.389771 123.469984) + (xy 93.276055 123.412043) + (xy 93.276057 123.412043) + (xy 93.15 123.392078) + (xy 93.023943 123.412043) + (xy 92.910228 123.469984) + (xy 92.819984 123.560228) + (xy 92.762043 123.673943) + (xy 92.742078 123.799999) + (xy 92.742078 123.8) + (xy 84.357922 123.8) + (xy 84.337957 123.673945) + (xy 84.280016 123.560229) + (xy 84.189771 123.469984) + (xy 84.076055 123.412043) + (xy 84.076057 123.412043) + (xy 83.95 123.392078) + (xy 83.823943 123.412043) + (xy 83.710228 123.469984) + (xy 83.619984 123.560228) + (xy 83.562043 123.673943) + (xy 83.542078 123.799999) + (xy 83.542078 123.8) + (xy 78.507922 123.8) + (xy 78.487957 123.673945) + (xy 78.430016 123.560229) + (xy 78.339771 123.469984) + (xy 78.226055 123.412043) + (xy 78.226057 123.412043) + (xy 78.1 123.392078) + (xy 77.973943 123.412043) + (xy 77.860228 123.469984) + (xy 77.769984 123.560228) + (xy 77.712043 123.673943) + (xy 77.692078 123.799999) + (xy 77.692078 123.8) + (xy 72.611107 123.8) + (xy 72.62606 123.786235) + (xy 72.772429 123.598179) + (xy 72.885849 123.388597) + (xy 72.963226 123.163206) + (xy 72.982117 123.05) + (xy 105.942078 123.05) + (xy 105.962043 123.176056) + (xy 106.010502 123.271162) + (xy 106.019984 123.289771) + (xy 106.110229 123.380016) + (xy 106.223943 123.437956) + (xy 106.223945 123.437957) + (xy 106.35 123.457922) + (xy 106.476055 123.437957) + (xy 106.589771 123.380016) + (xy 106.680016 123.289771) + (xy 106.737957 123.176055) + (xy 106.757922 123.05) + (xy 107.242078 123.05) + (xy 107.262043 123.176056) + (xy 107.310502 123.271162) + (xy 107.319984 123.289771) + (xy 107.410229 123.380016) + (xy 107.523943 123.437956) + (xy 107.523945 123.437957) + (xy 107.65 123.457922) + (xy 107.776055 123.437957) + (xy 107.889771 123.380016) + (xy 107.980016 123.289771) + (xy 108.037957 123.176055) + (xy 108.057922 123.05) + (xy 108.542078 123.05) + (xy 108.562043 123.176056) + (xy 108.610502 123.271162) + (xy 108.619984 123.289771) + (xy 108.710229 123.380016) + (xy 108.823943 123.437956) + (xy 108.823945 123.437957) + (xy 108.95 123.457922) + (xy 109.076055 123.437957) + (xy 109.189771 123.380016) + (xy 109.219787 123.35) + (xy 128.592329 123.35) + (xy 128.603908 123.437956) + (xy 128.611331 123.494336) + (xy 128.667043 123.628836) + (xy 128.667044 123.628838) + (xy 128.667045 123.628839) + (xy 128.755666 123.744333) + (xy 128.860793 123.824999) + (xy 128.871164 123.832957) + (xy 129.005664 123.888669) + (xy 129.15 123.907671) + (xy 129.294336 123.888669) + (xy 129.428836 123.832957) + (xy 129.544333 123.744333) + (xy 129.632957 123.628836) + (xy 129.665612 123.55) + (xy 130.992329 123.55) + (xy 131.008646 123.673945) + (xy 131.011331 123.694336) + (xy 131.067043 123.828836) + (xy 131.067044 123.828838) + (xy 131.067045 123.828839) + (xy 131.155666 123.944333) + (xy 131.254257 124.019984) + (xy 131.271164 124.032957) + (xy 131.405664 124.088669) + (xy 131.55 124.107671) + (xy 131.694336 124.088669) + (xy 131.828836 124.032957) + (xy 131.944333 123.944333) + (xy 132.032957 123.828836) + (xy 132.088669 123.694336) + (xy 132.107671 123.55) + (xy 132.101088 123.5) + (xy 133.442329 123.5) + (xy 133.461331 123.644337) + (xy 133.481029 123.691891) + (xy 133.517043 123.778836) + (xy 133.517044 123.778838) + (xy 133.517045 123.778839) + (xy 133.605666 123.894333) + (xy 133.693908 123.962043) + (xy 133.721164 123.982957) + (xy 133.855664 124.038669) + (xy 134 124.057671) + (xy 134.144336 124.038669) + (xy 134.278836 123.982957) + (xy 134.394333 123.894333) + (xy 134.482957 123.778836) + (xy 134.538669 123.644336) + (xy 134.557671 123.5) + (xy 134.551088 123.45) + (xy 138.342329 123.45) + (xy 138.361331 123.594337) + (xy 138.375621 123.628836) + (xy 138.417043 123.728836) + (xy 138.417044 123.728838) + (xy 138.417045 123.728839) + (xy 138.505666 123.844333) + (xy 138.612169 123.926055) + (xy 138.621164 123.932957) + (xy 138.755664 123.988669) + (xy 138.9 124.007671) + (xy 139.044336 123.988669) + (xy 139.178836 123.932957) + (xy 139.294333 123.844333) + (xy 139.382957 123.728836) + (xy 139.438669 123.594336) + (xy 139.444506 123.55) + (xy 140.742329 123.55) + (xy 140.758646 123.673945) + (xy 140.761331 123.694336) + (xy 140.817043 123.828836) + (xy 140.817044 123.828838) + (xy 140.817045 123.828839) + (xy 140.905666 123.944333) + (xy 141.004257 124.019984) + (xy 141.021164 124.032957) + (xy 141.155664 124.088669) + (xy 141.3 124.107671) + (xy 141.444336 124.088669) + (xy 141.578836 124.032957) + (xy 141.694333 123.944333) + (xy 141.782957 123.828836) + (xy 141.838669 123.694336) + (xy 141.857671 123.55) + (xy 141.838669 123.405664) + (xy 141.782957 123.271165) + (xy 141.744591 123.221165) + (xy 141.694333 123.155666) + (xy 141.578839 123.067045) + (xy 141.578838 123.067044) + (xy 141.578836 123.067043) + (xy 141.458131 123.017045) + (xy 141.444337 123.011331) + (xy 141.3 122.992329) + (xy 141.155662 123.011331) + (xy 141.021163 123.067044) + (xy 141.021162 123.067044) + (xy 140.905666 123.155666) + (xy 140.817044 123.271162) + (xy 140.817044 123.271163) + (xy 140.761331 123.405662) + (xy 140.742329 123.55) + (xy 139.444506 123.55) + (xy 139.457671 123.45) + (xy 139.438669 123.305664) + (xy 139.382957 123.171165) + (xy 139.382955 123.171162) + (xy 139.294333 123.055666) + (xy 139.178839 122.967045) + (xy 139.178838 122.967044) + (xy 139.178836 122.967043) + (xy 139.074784 122.923943) + (xy 139.044337 122.911331) + (xy 138.9 122.892329) + (xy 138.755662 122.911331) + (xy 138.621163 122.967044) + (xy 138.621162 122.967044) + (xy 138.505666 123.055666) + (xy 138.417044 123.171162) + (xy 138.417044 123.171163) + (xy 138.417043 123.171164) + (xy 138.417043 123.171165) + (xy 138.415017 123.176056) + (xy 138.361331 123.305662) + (xy 138.342329 123.45) + (xy 134.551088 123.45) + (xy 134.538669 123.355664) + (xy 134.482957 123.221165) + (xy 134.471063 123.205664) + (xy 134.394333 123.105666) + (xy 134.278839 123.017045) + (xy 134.278838 123.017044) + (xy 134.278836 123.017043) + (xy 134.158131 122.967045) + (xy 134.144337 122.961331) + (xy 134 122.942329) + (xy 133.855662 122.961331) + (xy 133.721163 123.017044) + (xy 133.721162 123.017044) + (xy 133.605666 123.105666) + (xy 133.517044 123.221162) + (xy 133.517044 123.221163) + (xy 133.461331 123.355662) + (xy 133.442329 123.5) + (xy 132.101088 123.5) + (xy 132.088669 123.405664) + (xy 132.032957 123.271165) + (xy 131.994591 123.221165) + (xy 131.944333 123.155666) + (xy 131.828839 123.067045) + (xy 131.828838 123.067044) + (xy 131.828836 123.067043) + (xy 131.708131 123.017045) + (xy 131.694337 123.011331) + (xy 131.55 122.992329) + (xy 131.405662 123.011331) + (xy 131.271163 123.067044) + (xy 131.271162 123.067044) + (xy 131.155666 123.155666) + (xy 131.067044 123.271162) + (xy 131.067044 123.271163) + (xy 131.011331 123.405662) + (xy 130.992329 123.55) + (xy 129.665612 123.55) + (xy 129.688669 123.494336) + (xy 129.707671 123.35) + (xy 129.688669 123.205664) + (xy 129.632957 123.071165) + (xy 129.621065 123.055667) + (xy 129.544333 122.955666) + (xy 129.428839 122.867045) + (xy 129.428838 122.867044) + (xy 129.428836 122.867043) + (xy 129.341891 122.831029) + (xy 129.294337 122.811331) + (xy 129.15 122.792329) + (xy 129.005662 122.811331) + (xy 128.871163 122.867044) + (xy 128.871162 122.867044) + (xy 128.755666 122.955666) + (xy 128.667044 123.071162) + (xy 128.667044 123.071163) + (xy 128.611331 123.205662) + (xy 128.592329 123.35) + (xy 109.219787 123.35) + (xy 109.280016 123.289771) + (xy 109.337957 123.176055) + (xy 109.357922 123.05) + (xy 109.337957 122.923945) + (xy 109.280016 122.810229) + (xy 109.189771 122.719984) + (xy 109.076055 122.662043) + (xy 109.076057 122.662043) + (xy 108.95 122.642078) + (xy 108.823943 122.662043) + (xy 108.710228 122.719984) + (xy 108.619984 122.810228) + (xy 108.562043 122.923943) + (xy 108.542078 123.049999) + (xy 108.542078 123.05) + (xy 108.057922 123.05) + (xy 108.037957 122.923945) + (xy 107.980016 122.810229) + (xy 107.889771 122.719984) + (xy 107.776055 122.662043) + (xy 107.776057 122.662043) + (xy 107.65 122.642078) + (xy 107.523943 122.662043) + (xy 107.410228 122.719984) + (xy 107.319984 122.810228) + (xy 107.262043 122.923943) + (xy 107.242078 123.049999) + (xy 107.242078 123.05) + (xy 106.757922 123.05) + (xy 106.737957 122.923945) + (xy 106.680016 122.810229) + (xy 106.589771 122.719984) + (xy 106.476055 122.662043) + (xy 106.476057 122.662043) + (xy 106.35 122.642078) + (xy 106.223943 122.662043) + (xy 106.110228 122.719984) + (xy 106.019984 122.810228) + (xy 105.962043 122.923943) + (xy 105.942078 123.049999) + (xy 105.942078 123.05) + (xy 72.982117 123.05) + (xy 73.00245 122.928152) + (xy 73.00245 122.689848) + (xy 72.963226 122.454794) + (xy 72.944415 122.4) + (xy 129.942078 122.4) + (xy 129.962043 122.526056) + (xy 130.001896 122.604271) + (xy 130.019984 122.639771) + (xy 130.110229 122.730016) + (xy 130.223943 122.787956) + (xy 130.223945 122.787957) + (xy 130.35 122.807922) + (xy 130.476055 122.787957) + (xy 130.589771 122.730016) + (xy 130.680016 122.639771) + (xy 130.737957 122.526055) + (xy 130.757922 122.4) + (xy 132.342078 122.4) + (xy 132.362043 122.526056) + (xy 132.401896 122.604271) + (xy 132.419984 122.639771) + (xy 132.510229 122.730016) + (xy 132.623943 122.787956) + (xy 132.623945 122.787957) + (xy 132.75 122.807922) + (xy 132.876055 122.787957) + (xy 132.989771 122.730016) + (xy 133.080016 122.639771) + (xy 133.137957 122.526055) + (xy 133.157922 122.4) + (xy 133.142084 122.3) + (xy 134.642329 122.3) + (xy 134.661331 122.444337) + (xy 134.680476 122.490555) + (xy 134.717043 122.578836) + (xy 134.717044 122.578838) + (xy 134.717045 122.578839) + (xy 134.805666 122.694333) + (xy 134.92116 122.782954) + (xy 134.921164 122.782957) + (xy 135.055664 122.838669) + (xy 135.2 122.857671) + (xy 135.344336 122.838669) + (xy 135.478836 122.782957) + (xy 135.594333 122.694333) + (xy 135.682957 122.578836) + (xy 135.738669 122.444336) + (xy 135.757671 122.3) + (xy 139.542329 122.3) + (xy 139.561331 122.444337) + (xy 139.580476 122.490555) + (xy 139.617043 122.578836) + (xy 139.617044 122.578838) + (xy 139.617045 122.578839) + (xy 139.705666 122.694333) + (xy 139.82116 122.782954) + (xy 139.821164 122.782957) + (xy 139.955664 122.838669) + (xy 140.1 122.857671) + (xy 140.244336 122.838669) + (xy 140.378836 122.782957) + (xy 140.494333 122.694333) + (xy 140.582957 122.578836) + (xy 140.638669 122.444336) + (xy 140.657671 122.3) + (xy 140.638669 122.155664) + (xy 140.582957 122.021165) + (xy 140.582955 122.021162) + (xy 140.494333 121.905666) + (xy 140.378839 121.817045) + (xy 140.378838 121.817044) + (xy 140.378836 121.817043) + (xy 140.291891 121.781029) + (xy 140.244337 121.761331) + (xy 140.1 121.742329) + (xy 139.955662 121.761331) + (xy 139.844238 121.807485) + (xy 139.823179 121.816209) + (xy 139.821163 121.817044) + (xy 139.821162 121.817044) + (xy 139.705666 121.905666) + (xy 139.617044 122.021162) + (xy 139.617044 122.021163) + (xy 139.561331 122.155662) + (xy 139.542329 122.3) + (xy 135.757671 122.3) + (xy 135.738669 122.155664) + (xy 135.682957 122.021165) + (xy 135.682955 122.021162) + (xy 135.594333 121.905666) + (xy 135.478839 121.817045) + (xy 135.478838 121.817044) + (xy 135.478836 121.817043) + (xy 135.391891 121.781029) + (xy 135.344337 121.761331) + (xy 135.329998 121.759443) + (xy 135.269169 121.751435) + (xy 135.223544 121.727683) + (xy 135.203859 121.680159) + (xy 135.219327 121.6311) + (xy 135.260155 121.60509) + (xy 135.259957 121.604415) + (xy 135.26229 121.603729) + (xy 135.262711 121.603462) + (xy 135.264011 121.603224) + (xy 135.265114 121.6029) + (xy 135.265117 121.6029) + (xy 135.390076 121.566208) + (xy 135.499636 121.495799) + (xy 135.584921 121.397374) + (xy 135.636241 121.285) + (xy 142.975078 121.285) + (xy 142.995043 121.411056) + (xy 143.038222 121.495799) + (xy 143.052984 121.524771) + (xy 143.143229 121.615016) + (xy 143.256943 121.672956) + (xy 143.256945 121.672957) + (xy 143.383 121.692922) + (xy 143.509055 121.672957) + (xy 143.622771 121.615016) + (xy 143.713016 121.524771) + (xy 143.770957 121.411055) + (xy 143.790922 121.285) + (xy 143.770957 121.158945) + (xy 143.713016 121.045229) + (xy 143.622771 120.954984) + (xy 143.509055 120.897043) + (xy 143.509057 120.897043) + (xy 143.383 120.877078) + (xy 143.256943 120.897043) + (xy 143.143228 120.954984) + (xy 143.052984 121.045228) + (xy 142.995043 121.158943) + (xy 142.975078 121.284999) + (xy 142.975078 121.285) + (xy 135.636241 121.285) + (xy 135.639023 121.278909) + (xy 135.657557 121.15) + (xy 135.639023 121.021091) + (xy 135.638517 121.019984) + (xy 135.584921 120.902626) + (xy 135.584919 120.902623) + (xy 135.53027 120.839555) + (xy 135.499636 120.804201) + (xy 135.499635 120.8042) + (xy 135.499633 120.804198) + (xy 135.390073 120.73379) + (xy 135.265117 120.6971) + (xy 135.134883 120.6971) + (xy 135.009926 120.73379) + (xy 134.900366 120.804198) + (xy 134.81508 120.902623) + (xy 134.815078 120.902626) + (xy 134.760977 121.021088) + (xy 134.742443 121.15) + (xy 134.760977 121.278911) + (xy 134.815078 121.397373) + (xy 134.81508 121.397376) + (xy 134.900366 121.495801) + (xy 135.009926 121.566209) + (xy 135.140043 121.604415) + (xy 135.139411 121.606567) + (xy 135.177059 121.626742) + (xy 135.196174 121.674498) + (xy 135.18012 121.723369) + (xy 135.136408 121.750486) + (xy 135.130839 121.751434) + (xy 135.084339 121.757555) + (xy 135.055662 121.761331) + (xy 134.944238 121.807485) + (xy 134.923179 121.816209) + (xy 134.921163 121.817044) + (xy 134.921162 121.817044) + (xy 134.805666 121.905666) + (xy 134.717044 122.021162) + (xy 134.717044 122.021163) + (xy 134.661331 122.155662) + (xy 134.642329 122.3) + (xy 133.142084 122.3) + (xy 133.137957 122.273945) + (xy 133.080016 122.160229) + (xy 132.989771 122.069984) + (xy 132.876055 122.012043) + (xy 132.876057 122.012043) + (xy 132.75 121.992078) + (xy 132.623943 122.012043) + (xy 132.510228 122.069984) + (xy 132.419984 122.160228) + (xy 132.362043 122.273943) + (xy 132.342078 122.399999) + (xy 132.342078 122.4) + (xy 130.757922 122.4) + (xy 130.737957 122.273945) + (xy 130.680016 122.160229) + (xy 130.589771 122.069984) + (xy 130.476055 122.012043) + (xy 130.476057 122.012043) + (xy 130.35 121.992078) + (xy 130.223943 122.012043) + (xy 130.110228 122.069984) + (xy 130.019984 122.160228) + (xy 129.962043 122.273943) + (xy 129.942078 122.399999) + (xy 129.942078 122.4) + (xy 72.944415 122.4) + (xy 72.885849 122.229403) + (xy 72.848414 122.160229) + (xy 72.77243 122.019822) + (xy 72.626061 121.831766) + (xy 72.626057 121.831762) + (xy 72.450734 121.670367) + (xy 72.251231 121.540025) + (xy 72.033008 121.444303) + (xy 72.033003 121.444301) + (xy 72.033002 121.444301) + (xy 71.858061 121.4) + (xy 76.142443 121.4) + (xy 76.160977 121.528911) + (xy 76.215078 121.647373) + (xy 76.21508 121.647376) + (xy 76.243362 121.680015) + (xy 76.280928 121.723369) + (xy 76.300366 121.745801) + (xy 76.409926 121.816209) + (xy 76.534883 121.8529) + (xy 76.665117 121.8529) + (xy 76.790073 121.816209) + (xy 76.790073 121.816208) + (xy 76.790076 121.816208) + (xy 76.899636 121.745799) + (xy 76.984921 121.647374) + (xy 77.039023 121.528909) + (xy 77.057557 121.4) + (xy 85.342443 121.4) + (xy 85.360977 121.528911) + (xy 85.415078 121.647373) + (xy 85.41508 121.647376) + (xy 85.443362 121.680015) + (xy 85.480928 121.723369) + (xy 85.500366 121.745801) + (xy 85.609926 121.816209) + (xy 85.734883 121.8529) + (xy 85.865117 121.8529) + (xy 85.990073 121.816209) + (xy 85.990073 121.816208) + (xy 85.990076 121.816208) + (xy 86.099636 121.745799) + (xy 86.184921 121.647374) + (xy 86.239023 121.528909) + (xy 86.257557 121.4) + (xy 94.542443 121.4) + (xy 94.560977 121.528911) + (xy 94.615078 121.647373) + (xy 94.61508 121.647376) + (xy 94.643362 121.680015) + (xy 94.680928 121.723369) + (xy 94.700366 121.745801) + (xy 94.809926 121.816209) + (xy 94.934883 121.8529) + (xy 95.065117 121.8529) + (xy 95.190073 121.816209) + (xy 95.190073 121.816208) + (xy 95.190076 121.816208) + (xy 95.299636 121.745799) + (xy 95.384921 121.647374) + (xy 95.439023 121.528909) + (xy 95.457557 121.4) + (xy 103.742443 121.4) + (xy 103.760977 121.528911) + (xy 103.815078 121.647373) + (xy 103.81508 121.647376) + (xy 103.843362 121.680015) + (xy 103.880928 121.723369) + (xy 103.900366 121.745801) + (xy 104.009926 121.816209) + (xy 104.134883 121.8529) + (xy 104.265117 121.8529) + (xy 104.390073 121.816209) + (xy 104.390073 121.816208) + (xy 104.390076 121.816208) + (xy 104.499636 121.745799) + (xy 104.584921 121.647374) + (xy 104.639023 121.528909) + (xy 104.657557 121.4) + (xy 104.639023 121.271091) + (xy 104.624719 121.239771) + (xy 104.584921 121.152626) + (xy 104.584919 121.152623) + (xy 104.53379 121.093618) + (xy 104.499636 121.054201) + (xy 104.499635 121.0542) + (xy 104.499633 121.054198) + (xy 104.415297 121) + (xy 115.242078 121) + (xy 115.262043 121.126056) + (xy 115.2788 121.158943) + (xy 115.319984 121.239771) + (xy 115.410229 121.330016) + (xy 115.523943 121.387956) + (xy 115.523945 121.387957) + (xy 115.65 121.407922) + (xy 115.776055 121.387957) + (xy 115.889771 121.330016) + (xy 115.980016 121.239771) + (xy 116.037957 121.126055) + (xy 116.057922 121) + (xy 116.942078 121) + (xy 116.962043 121.126056) + (xy 116.9788 121.158943) + (xy 117.019984 121.239771) + (xy 117.110229 121.330016) + (xy 117.223943 121.387956) + (xy 117.223945 121.387957) + (xy 117.35 121.407922) + (xy 117.476055 121.387957) + (xy 117.589771 121.330016) + (xy 117.680016 121.239771) + (xy 117.737957 121.126055) + (xy 117.757922 121) + (xy 119.942078 121) + (xy 119.962043 121.126056) + (xy 119.9788 121.158943) + (xy 120.019984 121.239771) + (xy 120.110229 121.330016) + (xy 120.223943 121.387956) + (xy 120.223945 121.387957) + (xy 120.35 121.407922) + (xy 120.476055 121.387957) + (xy 120.589771 121.330016) + (xy 120.680016 121.239771) + (xy 120.737957 121.126055) + (xy 120.757922 121) + (xy 121.642078 121) + (xy 121.662043 121.126056) + (xy 121.6788 121.158943) + (xy 121.719984 121.239771) + (xy 121.810229 121.330016) + (xy 121.923943 121.387956) + (xy 121.923945 121.387957) + (xy 122.05 121.407922) + (xy 122.176055 121.387957) + (xy 122.25055 121.35) + (xy 129.942078 121.35) + (xy 129.962043 121.476056) + (xy 130.019984 121.589771) + (xy 130.110229 121.680016) + (xy 130.223943 121.737956) + (xy 130.223945 121.737957) + (xy 130.35 121.757922) + (xy 130.476055 121.737957) + (xy 130.589771 121.680016) + (xy 130.680016 121.589771) + (xy 130.737957 121.476055) + (xy 130.757922 121.35) + (xy 132.342078 121.35) + (xy 132.362043 121.476056) + (xy 132.419984 121.589771) + (xy 132.510229 121.680016) + (xy 132.623943 121.737956) + (xy 132.623945 121.737957) + (xy 132.75 121.757922) + (xy 132.876055 121.737957) + (xy 132.989771 121.680016) + (xy 133.080016 121.589771) + (xy 133.137957 121.476055) + (xy 133.157922 121.35) + (xy 133.137957 121.223945) + (xy 133.080016 121.110229) + (xy 132.989771 121.019984) + (xy 132.876055 120.962043) + (xy 132.876057 120.962043) + (xy 132.75 120.942078) + (xy 132.623943 120.962043) + (xy 132.510228 121.019984) + (xy 132.419984 121.110228) + (xy 132.362043 121.223943) + (xy 132.342078 121.349999) + (xy 132.342078 121.35) + (xy 130.757922 121.35) + (xy 130.737957 121.223945) + (xy 130.680016 121.110229) + (xy 130.589771 121.019984) + (xy 130.476055 120.962043) + (xy 130.476057 120.962043) + (xy 130.35 120.942078) + (xy 130.223943 120.962043) + (xy 130.110228 121.019984) + (xy 130.019984 121.110228) + (xy 129.962043 121.223943) + (xy 129.942078 121.349999) + (xy 129.942078 121.35) + (xy 122.25055 121.35) + (xy 122.289771 121.330016) + (xy 122.380016 121.239771) + (xy 122.437957 121.126055) + (xy 122.457922 121) + (xy 122.437957 120.873945) + (xy 122.380016 120.760229) + (xy 122.289771 120.669984) + (xy 122.176055 120.612043) + (xy 122.176057 120.612043) + (xy 122.05 120.592078) + (xy 121.923943 120.612043) + (xy 121.810228 120.669984) + (xy 121.719984 120.760228) + (xy 121.662043 120.873943) + (xy 121.642078 120.999999) + (xy 121.642078 121) + (xy 120.757922 121) + (xy 120.737957 120.873945) + (xy 120.680016 120.760229) + (xy 120.589771 120.669984) + (xy 120.476055 120.612043) + (xy 120.476057 120.612043) + (xy 120.35 120.592078) + (xy 120.223943 120.612043) + (xy 120.110228 120.669984) + (xy 120.019984 120.760228) + (xy 119.962043 120.873943) + (xy 119.942078 120.999999) + (xy 119.942078 121) + (xy 117.757922 121) + (xy 117.737957 120.873945) + (xy 117.680016 120.760229) + (xy 117.589771 120.669984) + (xy 117.476055 120.612043) + (xy 117.476057 120.612043) + (xy 117.35 120.592078) + (xy 117.223943 120.612043) + (xy 117.110228 120.669984) + (xy 117.019984 120.760228) + (xy 116.962043 120.873943) + (xy 116.942078 120.999999) + (xy 116.942078 121) + (xy 116.057922 121) + (xy 116.037957 120.873945) + (xy 115.980016 120.760229) + (xy 115.889771 120.669984) + (xy 115.776055 120.612043) + (xy 115.776057 120.612043) + (xy 115.65 120.592078) + (xy 115.523943 120.612043) + (xy 115.410228 120.669984) + (xy 115.319984 120.760228) + (xy 115.262043 120.873943) + (xy 115.242078 120.999999) + (xy 115.242078 121) + (xy 104.415297 121) + (xy 104.390073 120.98379) + (xy 104.265117 120.9471) + (xy 104.134883 120.9471) + (xy 104.009926 120.98379) + (xy 103.900366 121.054198) + (xy 103.81508 121.152623) + (xy 103.815078 121.152626) + (xy 103.760977 121.271088) + (xy 103.742443 121.4) + (xy 95.457557 121.4) + (xy 95.439023 121.271091) + (xy 95.424719 121.239771) + (xy 95.384921 121.152626) + (xy 95.384919 121.152623) + (xy 95.33379 121.093618) + (xy 95.299636 121.054201) + (xy 95.299635 121.0542) + (xy 95.299633 121.054198) + (xy 95.190073 120.98379) + (xy 95.065117 120.9471) + (xy 94.934883 120.9471) + (xy 94.809926 120.98379) + (xy 94.700366 121.054198) + (xy 94.61508 121.152623) + (xy 94.615078 121.152626) + (xy 94.560977 121.271088) + (xy 94.542443 121.4) + (xy 86.257557 121.4) + (xy 86.239023 121.271091) + (xy 86.224719 121.239771) + (xy 86.184921 121.152626) + (xy 86.184919 121.152623) + (xy 86.13379 121.093618) + (xy 86.099636 121.054201) + (xy 86.099635 121.0542) + (xy 86.099633 121.054198) + (xy 85.990073 120.98379) + (xy 85.865117 120.9471) + (xy 85.734883 120.9471) + (xy 85.609926 120.98379) + (xy 85.500366 121.054198) + (xy 85.41508 121.152623) + (xy 85.415078 121.152626) + (xy 85.360977 121.271088) + (xy 85.342443 121.4) + (xy 77.057557 121.4) + (xy 77.039023 121.271091) + (xy 77.024719 121.239771) + (xy 76.984921 121.152626) + (xy 76.984919 121.152623) + (xy 76.93379 121.093618) + (xy 76.899636 121.054201) + (xy 76.899635 121.0542) + (xy 76.899633 121.054198) + (xy 76.790073 120.98379) + (xy 76.665117 120.9471) + (xy 76.534883 120.9471) + (xy 76.409926 120.98379) + (xy 76.300366 121.054198) + (xy 76.21508 121.152623) + (xy 76.215078 121.152626) + (xy 76.160977 121.271088) + (xy 76.142443 121.4) + (xy 71.858061 121.4) + (xy 71.80199 121.385801) + (xy 71.801988 121.3858) + (xy 71.801986 121.3858) + (xy 71.62398 121.37105) + (xy 71.623972 121.37105) + (xy 71.505028 121.37105) + (xy 71.50502 121.37105) + (xy 71.327013 121.3858) + (xy 71.32701 121.385801) + (xy 71.095998 121.444301) + (xy 71.095991 121.444303) + (xy 70.877768 121.540025) + (xy 70.678265 121.670367) + (xy 70.502942 121.831762) + (xy 70.502938 121.831766) + (xy 70.356569 122.019822) + (xy 70.243153 122.229397) + (xy 70.243152 122.229399) + (xy 70.165775 122.454791) + (xy 70.165772 122.454801) + (xy 70.12655 122.689844) + (xy 70.12655 122.928155) + (xy 67.922449 122.928155) + (xy 67.92245 122.928152) + (xy 67.92245 122.689848) + (xy 67.883226 122.454794) + (xy 67.805849 122.229403) + (xy 67.768414 122.160229) + (xy 67.69243 122.019822) + (xy 67.546061 121.831766) + (xy 67.546057 121.831762) + (xy 67.370734 121.670367) + (xy 67.171231 121.540025) + (xy 66.953008 121.444303) + (xy 66.953003 121.444301) + (xy 66.953002 121.444301) + (xy 66.72199 121.385801) + (xy 66.721988 121.3858) + (xy 66.721986 121.3858) + (xy 66.54398 121.37105) + (xy 66.543972 121.37105) + (xy 66.425028 121.37105) + (xy 66.42502 121.37105) + (xy 66.247013 121.3858) + (xy 66.24701 121.385801) + (xy 66.015998 121.444301) + (xy 66.015991 121.444303) + (xy 65.797768 121.540025) + (xy 65.598265 121.670367) + (xy 65.422942 121.831762) + (xy 65.422938 121.831766) + (xy 65.276569 122.019822) + (xy 65.163153 122.229397) + (xy 65.163152 122.229399) + (xy 65.085775 122.454791) + (xy 65.085772 122.454801) + (xy 65.04655 122.689844) + (xy 65.04655 122.928155) + (xy 46.101 122.928155) + (xy 46.101 122.301) + (xy 48.487078 122.301) + (xy 48.507043 122.427056) + (xy 48.52118 122.454801) + (xy 48.564984 122.540771) + (xy 48.655229 122.631016) + (xy 48.768943 122.688956) + (xy 48.768945 122.688957) + (xy 48.895 122.708922) + (xy 49.021055 122.688957) + (xy 49.134771 122.631016) + (xy 49.225016 122.540771) + (xy 49.282957 122.427055) + (xy 49.292865 122.3645) + (xy 53.567078 122.3645) + (xy 53.587043 122.490556) + (xy 53.612629 122.540771) + (xy 53.644984 122.604271) + (xy 53.735229 122.694516) + (xy 53.848943 122.752456) + (xy 53.848945 122.752457) + (xy 53.975 122.772422) + (xy 54.101055 122.752457) + (xy 54.214771 122.694516) + (xy 54.305016 122.604271) + (xy 54.362957 122.490555) + (xy 54.382922 122.3645) + (xy 58.647078 122.3645) + (xy 58.667043 122.490556) + (xy 58.692629 122.540771) + (xy 58.724984 122.604271) + (xy 58.815229 122.694516) + (xy 58.928943 122.752456) + (xy 58.928945 122.752457) + (xy 59.055 122.772422) + (xy 59.181055 122.752457) + (xy 59.294771 122.694516) + (xy 59.385016 122.604271) + (xy 59.442957 122.490555) + (xy 59.462922 122.3645) + (xy 59.442957 122.238445) + (xy 59.385016 122.124729) + (xy 59.294771 122.034484) + (xy 59.181055 121.976543) + (xy 59.181057 121.976543) + (xy 59.055 121.956578) + (xy 58.928943 121.976543) + (xy 58.815228 122.034484) + (xy 58.724984 122.124728) + (xy 58.667043 122.238443) + (xy 58.647078 122.364499) + (xy 58.647078 122.3645) + (xy 54.382922 122.3645) + (xy 54.362957 122.238445) + (xy 54.305016 122.124729) + (xy 54.214771 122.034484) + (xy 54.101055 121.976543) + (xy 54.101057 121.976543) + (xy 53.975 121.956578) + (xy 53.848943 121.976543) + (xy 53.735228 122.034484) + (xy 53.644984 122.124728) + (xy 53.587043 122.238443) + (xy 53.567078 122.364499) + (xy 53.567078 122.3645) + (xy 49.292865 122.3645) + (xy 49.302922 122.301) + (xy 49.282957 122.174945) + (xy 49.225016 122.061229) + (xy 49.134771 121.970984) + (xy 49.021055 121.913043) + (xy 49.021057 121.913043) + (xy 48.895 121.893078) + (xy 48.768943 121.913043) + (xy 48.655228 121.970984) + (xy 48.564984 122.061228) + (xy 48.507043 122.174943) + (xy 48.487078 122.300999) + (xy 48.487078 122.301) + (xy 46.101 122.301) + (xy 46.101 120.7135) + (xy 70.394578 120.7135) + (xy 70.414543 120.839556) + (xy 70.464681 120.937956) + (xy 70.472484 120.953271) + (xy 70.562729 121.043516) + (xy 70.676443 121.101456) + (xy 70.676445 121.101457) + (xy 70.8025 121.121422) + (xy 70.928555 121.101457) + (xy 71.042271 121.043516) + (xy 71.132516 120.953271) + (xy 71.190457 120.839555) + (xy 71.210422 120.7135) + (xy 71.190457 120.587445) + (xy 71.132516 120.473729) + (xy 71.058787 120.4) + (xy 75.242443 120.4) + (xy 75.260977 120.528911) + (xy 75.315078 120.647373) + (xy 75.31508 120.647376) + (xy 75.400366 120.745801) + (xy 75.451771 120.778836) + (xy 75.49124 120.804201) + (xy 75.509926 120.816209) + (xy 75.634883 120.8529) + (xy 75.765117 120.8529) + (xy 75.890073 120.816209) + (xy 75.890073 120.816208) + (xy 75.890076 120.816208) + (xy 75.999636 120.745799) + (xy 76.084921 120.647374) + (xy 76.129391 120.55) + (xy 77.067078 120.55) + (xy 77.087043 120.676056) + (xy 77.106122 120.7135) + (xy 77.144984 120.789771) + (xy 77.235229 120.880016) + (xy 77.348943 120.937956) + (xy 77.348945 120.937957) + (xy 77.475 120.957922) + (xy 77.601055 120.937957) + (xy 77.714771 120.880016) + (xy 77.805016 120.789771) + (xy 77.862957 120.676055) + (xy 77.882922 120.55) + (xy 77.881014 120.537956) + (xy 77.875003 120.499999) + (xy 77.862957 120.423945) + (xy 77.850756 120.4) + (xy 84.442443 120.4) + (xy 84.460977 120.528911) + (xy 84.515078 120.647373) + (xy 84.51508 120.647376) + (xy 84.600366 120.745801) + (xy 84.651771 120.778836) + (xy 84.69124 120.804201) + (xy 84.709926 120.816209) + (xy 84.834883 120.8529) + (xy 84.965117 120.8529) + (xy 85.090073 120.816209) + (xy 85.090073 120.816208) + (xy 85.090076 120.816208) + (xy 85.199636 120.745799) + (xy 85.284921 120.647374) + (xy 85.329391 120.55) + (xy 86.242078 120.55) + (xy 86.262043 120.676056) + (xy 86.281122 120.7135) + (xy 86.319984 120.789771) + (xy 86.410229 120.880016) + (xy 86.523943 120.937956) + (xy 86.523945 120.937957) + (xy 86.65 120.957922) + (xy 86.776055 120.937957) + (xy 86.889771 120.880016) + (xy 86.980016 120.789771) + (xy 87.037957 120.676055) + (xy 87.057922 120.55) + (xy 87.056014 120.537956) + (xy 87.050003 120.499999) + (xy 87.037957 120.423945) + (xy 87.025756 120.4) + (xy 93.642443 120.4) + (xy 93.660977 120.528911) + (xy 93.715078 120.647373) + (xy 93.71508 120.647376) + (xy 93.800366 120.745801) + (xy 93.851771 120.778836) + (xy 93.89124 120.804201) + (xy 93.909926 120.816209) + (xy 94.034883 120.8529) + (xy 94.165117 120.8529) + (xy 94.290073 120.816209) + (xy 94.290073 120.816208) + (xy 94.290076 120.816208) + (xy 94.399636 120.745799) + (xy 94.484921 120.647374) + (xy 94.529391 120.55) + (xy 95.467078 120.55) + (xy 95.487043 120.676056) + (xy 95.506122 120.7135) + (xy 95.544984 120.789771) + (xy 95.635229 120.880016) + (xy 95.748943 120.937956) + (xy 95.748945 120.937957) + (xy 95.875 120.957922) + (xy 96.001055 120.937957) + (xy 96.114771 120.880016) + (xy 96.205016 120.789771) + (xy 96.262957 120.676055) + (xy 96.282922 120.55) + (xy 96.281014 120.537956) + (xy 96.275003 120.499999) + (xy 96.262957 120.423945) + (xy 96.250756 120.4) + (xy 102.842443 120.4) + (xy 102.860977 120.528911) + (xy 102.915078 120.647373) + (xy 102.91508 120.647376) + (xy 103.000366 120.745801) + (xy 103.051771 120.778836) + (xy 103.09124 120.804201) + (xy 103.109926 120.816209) + (xy 103.234883 120.8529) + (xy 103.365117 120.8529) + (xy 103.490073 120.816209) + (xy 103.490073 120.816208) + (xy 103.490076 120.816208) + (xy 103.599636 120.745799) + (xy 103.684921 120.647374) + (xy 103.729391 120.55) + (xy 104.642078 120.55) + (xy 104.662043 120.676056) + (xy 104.681122 120.7135) + (xy 104.719984 120.789771) + (xy 104.810229 120.880016) + (xy 104.923943 120.937956) + (xy 104.923945 120.937957) + (xy 105.05 120.957922) + (xy 105.176055 120.937957) + (xy 105.289771 120.880016) + (xy 105.380016 120.789771) + (xy 105.437957 120.676055) + (xy 105.457922 120.55) + (xy 105.456014 120.537956) + (xy 105.450003 120.499999) + (xy 105.437957 120.423945) + (xy 105.380016 120.310229) + (xy 105.289771 120.219984) + (xy 105.176055 120.162043) + (xy 105.176057 120.162043) + (xy 105.100019 120.15) + (xy 105.942078 120.15) + (xy 105.962043 120.276056) + (xy 105.979455 120.310228) + (xy 106.019984 120.389771) + (xy 106.110229 120.480016) + (xy 106.223943 120.537956) + (xy 106.223945 120.537957) + (xy 106.35 120.557922) + (xy 106.476055 120.537957) + (xy 106.55055 120.5) + (xy 112.692078 120.5) + (xy 112.712043 120.626056) + (xy 112.769984 120.739771) + (xy 112.860229 120.830016) + (xy 112.973943 120.887956) + (xy 112.973945 120.887957) + (xy 113.1 120.907922) + (xy 113.226055 120.887957) + (xy 113.339771 120.830016) + (xy 113.430016 120.739771) + (xy 113.487957 120.626055) + (xy 113.507922 120.5) + (xy 126.042329 120.5) + (xy 126.061331 120.644337) + (xy 126.07447 120.676056) + (xy 126.117043 120.778836) + (xy 126.117044 120.778838) + (xy 126.117045 120.778839) + (xy 126.205666 120.894333) + (xy 126.293908 120.962043) + (xy 126.321164 120.982957) + (xy 126.455664 121.038669) + (xy 126.6 121.057671) + (xy 126.744336 121.038669) + (xy 126.878836 120.982957) + (xy 126.994333 120.894333) + (xy 127.082957 120.778836) + (xy 127.138669 120.644336) + (xy 127.157671 120.5) + (xy 127.138669 120.355664) + (xy 127.082957 120.221165) + (xy 127.082051 120.219984) + (xy 127.066717 120.2) + (xy 128.592329 120.2) + (xy 128.611331 120.344337) + (xy 128.62611 120.380015) + (xy 128.667043 120.478836) + (xy 128.667044 120.478838) + (xy 128.667045 120.478839) + (xy 128.755666 120.594333) + (xy 128.797009 120.626056) + (xy 128.871164 120.682957) + (xy 129.005664 120.738669) + (xy 129.15 120.757671) + (xy 129.294336 120.738669) + (xy 129.428836 120.682957) + (xy 129.544333 120.594333) + (xy 129.632957 120.478836) + (xy 129.688669 120.344336) + (xy 129.707671 120.2) + (xy 130.992329 120.2) + (xy 131.011331 120.344337) + (xy 131.02611 120.380015) + (xy 131.067043 120.478836) + (xy 131.067044 120.478838) + (xy 131.067045 120.478839) + (xy 131.155666 120.594333) + (xy 131.197009 120.626056) + (xy 131.271164 120.682957) + (xy 131.405664 120.738669) + (xy 131.55 120.757671) + (xy 131.694336 120.738669) + (xy 131.828836 120.682957) + (xy 131.944333 120.594333) + (xy 132.032957 120.478836) + (xy 132.065612 120.4) + (xy 133.442329 120.4) + (xy 133.461331 120.544337) + (xy 133.479187 120.587443) + (xy 133.517043 120.678836) + (xy 133.517044 120.678838) + (xy 133.517045 120.678839) + (xy 133.605666 120.794333) + (xy 133.681993 120.8529) + (xy 133.721164 120.882957) + (xy 133.855664 120.938669) + (xy 134 120.957671) + (xy 134.144336 120.938669) + (xy 134.278836 120.882957) + (xy 134.394333 120.794333) + (xy 134.482957 120.678836) + (xy 134.538669 120.544336) + (xy 134.557671 120.4) + (xy 134.538669 120.255664) + (xy 134.482957 120.121165) + (xy 134.471065 120.105667) + (xy 134.394333 120.005666) + (xy 134.278839 119.917045) + (xy 134.278838 119.917044) + (xy 134.278836 119.917043) + (xy 134.191891 119.881029) + (xy 134.144337 119.861331) + (xy 134 119.842329) + (xy 133.855662 119.861331) + (xy 133.761064 119.900516) + (xy 133.726286 119.914922) + (xy 133.721163 119.917044) + (xy 133.721162 119.917044) + (xy 133.605666 120.005666) + (xy 133.517044 120.121162) + (xy 133.517044 120.121163) + (xy 133.461331 120.255662) + (xy 133.442329 120.4) + (xy 132.065612 120.4) + (xy 132.088669 120.344336) + (xy 132.107671 120.2) + (xy 132.088669 120.055664) + (xy 132.032957 119.921165) + (xy 132.012847 119.894957) + (xy 131.944333 119.805666) + (xy 131.828839 119.717045) + (xy 131.828838 119.717044) + (xy 131.828836 119.717043) + (xy 131.741891 119.681029) + (xy 131.694337 119.661331) + (xy 131.55 119.642329) + (xy 131.405662 119.661331) + (xy 131.316064 119.698445) + (xy 131.28813 119.710016) + (xy 131.271163 119.717044) + (xy 131.271162 119.717044) + (xy 131.155666 119.805666) + (xy 131.067044 119.921162) + (xy 131.067044 119.921163) + (xy 131.067043 119.921164) + (xy 131.067043 119.921165) + (xy 131.063377 119.930015) + (xy 131.011331 120.055662) + (xy 130.992329 120.2) + (xy 129.707671 120.2) + (xy 129.688669 120.055664) + (xy 129.632957 119.921165) + (xy 129.612847 119.894957) + (xy 129.544333 119.805666) + (xy 129.428839 119.717045) + (xy 129.428838 119.717044) + (xy 129.428836 119.717043) + (xy 129.341891 119.681029) + (xy 129.294337 119.661331) + (xy 129.15 119.642329) + (xy 129.005662 119.661331) + (xy 128.916064 119.698445) + (xy 128.88813 119.710016) + (xy 128.871163 119.717044) + (xy 128.871162 119.717044) + (xy 128.755666 119.805666) + (xy 128.667044 119.921162) + (xy 128.667044 119.921163) + (xy 128.667043 119.921164) + (xy 128.667043 119.921165) + (xy 128.663377 119.930015) + (xy 128.611331 120.055662) + (xy 128.592329 120.2) + (xy 127.066717 120.2) + (xy 126.994333 120.105666) + (xy 126.878839 120.017045) + (xy 126.878838 120.017044) + (xy 126.878836 120.017043) + (xy 126.785597 119.978422) + (xy 126.744337 119.961331) + (xy 126.6 119.942329) + (xy 126.455662 119.961331) + (xy 126.321163 120.017044) + (xy 126.321162 120.017044) + (xy 126.205666 120.105666) + (xy 126.117044 120.221162) + (xy 126.117044 120.221163) + (xy 126.061331 120.355662) + (xy 126.042329 120.5) + (xy 113.507922 120.5) + (xy 113.487957 120.373945) + (xy 113.430016 120.260229) + (xy 113.339771 120.169984) + (xy 113.226055 120.112043) + (xy 113.226057 120.112043) + (xy 113.1 120.092078) + (xy 112.973943 120.112043) + (xy 112.860228 120.169984) + (xy 112.769984 120.260228) + (xy 112.712043 120.373943) + (xy 112.692078 120.499999) + (xy 112.692078 120.5) + (xy 106.55055 120.5) + (xy 106.589771 120.480016) + (xy 106.680016 120.389771) + (xy 106.737957 120.276055) + (xy 106.757922 120.15) + (xy 106.756255 120.139478) + (xy 106.744344 120.064271) + (xy 106.742084 120.05) + (xy 116.092078 120.05) + (xy 116.112043 120.176056) + (xy 116.160466 120.271091) + (xy 116.169984 120.289771) + (xy 116.260229 120.380016) + (xy 116.373943 120.437956) + (xy 116.373945 120.437957) + (xy 116.5 120.457922) + (xy 116.626055 120.437957) + (xy 116.739771 120.380016) + (xy 116.830016 120.289771) + (xy 116.887957 120.176055) + (xy 116.907922 120.05) + (xy 120.792078 120.05) + (xy 120.812043 120.176056) + (xy 120.860466 120.271091) + (xy 120.869984 120.289771) + (xy 120.960229 120.380016) + (xy 121.073943 120.437956) + (xy 121.073945 120.437957) + (xy 121.2 120.457922) + (xy 121.326055 120.437957) + (xy 121.439771 120.380016) + (xy 121.530016 120.289771) + (xy 121.587957 120.176055) + (xy 121.607922 120.05) + (xy 121.587957 119.923945) + (xy 121.530016 119.810229) + (xy 121.439771 119.719984) + (xy 121.326055 119.662043) + (xy 121.326057 119.662043) + (xy 121.2 119.642078) + (xy 121.073943 119.662043) + (xy 120.960228 119.719984) + (xy 120.869984 119.810228) + (xy 120.812043 119.923943) + (xy 120.792078 120.049999) + (xy 120.792078 120.05) + (xy 116.907922 120.05) + (xy 116.887957 119.923945) + (xy 116.830016 119.810229) + (xy 116.739771 119.719984) + (xy 116.626055 119.662043) + (xy 116.626057 119.662043) + (xy 116.5 119.642078) + (xy 116.373943 119.662043) + (xy 116.260228 119.719984) + (xy 116.169984 119.810228) + (xy 116.112043 119.923943) + (xy 116.092078 120.049999) + (xy 116.092078 120.05) + (xy 106.742084 120.05) + (xy 106.737957 120.023945) + (xy 106.680016 119.910229) + (xy 106.589771 119.819984) + (xy 106.476055 119.762043) + (xy 106.476057 119.762043) + (xy 106.35 119.742078) + (xy 106.223943 119.762043) + (xy 106.110228 119.819984) + (xy 106.019984 119.910228) + (xy 105.962043 120.023943) + (xy 105.942078 120.149999) + (xy 105.942078 120.15) + (xy 105.100019 120.15) + (xy 105.05 120.142078) + (xy 104.923943 120.162043) + (xy 104.810228 120.219984) + (xy 104.719984 120.310228) + (xy 104.662043 120.423943) + (xy 104.642078 120.549999) + (xy 104.642078 120.55) + (xy 103.729391 120.55) + (xy 103.739023 120.528909) + (xy 103.757557 120.4) + (xy 103.739023 120.271091) + (xy 103.734062 120.260229) + (xy 103.684921 120.152626) + (xy 103.684919 120.152623) + (xy 103.631535 120.091015) + (xy 103.599636 120.054201) + (xy 103.599635 120.0542) + (xy 103.599633 120.054198) + (xy 103.490073 119.98379) + (xy 103.365117 119.9471) + (xy 103.234883 119.9471) + (xy 103.109926 119.98379) + (xy 103.000366 120.054198) + (xy 102.91508 120.152623) + (xy 102.915078 120.152626) + (xy 102.860977 120.271088) + (xy 102.842443 120.4) + (xy 96.250756 120.4) + (xy 96.205016 120.310229) + (xy 96.114771 120.219984) + (xy 96.001055 120.162043) + (xy 96.001057 120.162043) + (xy 95.875 120.142078) + (xy 95.748943 120.162043) + (xy 95.635228 120.219984) + (xy 95.544984 120.310228) + (xy 95.487043 120.423943) + (xy 95.467078 120.549999) + (xy 95.467078 120.55) + (xy 94.529391 120.55) + (xy 94.539023 120.528909) + (xy 94.557557 120.4) + (xy 94.539023 120.271091) + (xy 94.534062 120.260229) + (xy 94.484921 120.152626) + (xy 94.484919 120.152623) + (xy 94.431535 120.091015) + (xy 94.399636 120.054201) + (xy 94.399635 120.0542) + (xy 94.399633 120.054198) + (xy 94.290073 119.98379) + (xy 94.165117 119.9471) + (xy 94.034883 119.9471) + (xy 93.909926 119.98379) + (xy 93.800366 120.054198) + (xy 93.71508 120.152623) + (xy 93.715078 120.152626) + (xy 93.660977 120.271088) + (xy 93.642443 120.4) + (xy 87.025756 120.4) + (xy 86.980016 120.310229) + (xy 86.889771 120.219984) + (xy 86.776055 120.162043) + (xy 86.776057 120.162043) + (xy 86.65 120.142078) + (xy 86.523943 120.162043) + (xy 86.410228 120.219984) + (xy 86.319984 120.310228) + (xy 86.262043 120.423943) + (xy 86.242078 120.549999) + (xy 86.242078 120.55) + (xy 85.329391 120.55) + (xy 85.339023 120.528909) + (xy 85.357557 120.4) + (xy 85.339023 120.271091) + (xy 85.334062 120.260229) + (xy 85.284921 120.152626) + (xy 85.284919 120.152623) + (xy 85.231535 120.091015) + (xy 85.199636 120.054201) + (xy 85.199635 120.0542) + (xy 85.199633 120.054198) + (xy 85.090073 119.98379) + (xy 84.965117 119.9471) + (xy 84.834883 119.9471) + (xy 84.709926 119.98379) + (xy 84.600366 120.054198) + (xy 84.51508 120.152623) + (xy 84.515078 120.152626) + (xy 84.460977 120.271088) + (xy 84.442443 120.4) + (xy 77.850756 120.4) + (xy 77.805016 120.310229) + (xy 77.714771 120.219984) + (xy 77.601055 120.162043) + (xy 77.601057 120.162043) + (xy 77.475 120.142078) + (xy 77.348943 120.162043) + (xy 77.235228 120.219984) + (xy 77.144984 120.310228) + (xy 77.087043 120.423943) + (xy 77.067078 120.549999) + (xy 77.067078 120.55) + (xy 76.129391 120.55) + (xy 76.139023 120.528909) + (xy 76.157557 120.4) + (xy 76.139023 120.271091) + (xy 76.134062 120.260229) + (xy 76.084921 120.152626) + (xy 76.084919 120.152623) + (xy 76.031535 120.091015) + (xy 75.999636 120.054201) + (xy 75.999635 120.0542) + (xy 75.999633 120.054198) + (xy 75.890073 119.98379) + (xy 75.765117 119.9471) + (xy 75.634883 119.9471) + (xy 75.509926 119.98379) + (xy 75.400366 120.054198) + (xy 75.31508 120.152623) + (xy 75.315078 120.152626) + (xy 75.260977 120.271088) + (xy 75.242443 120.4) + (xy 71.058787 120.4) + (xy 71.042271 120.383484) + (xy 70.928555 120.325543) + (xy 70.928557 120.325543) + (xy 70.8025 120.305578) + (xy 70.676443 120.325543) + (xy 70.562728 120.383484) + (xy 70.472484 120.473728) + (xy 70.414543 120.587443) + (xy 70.394578 120.713499) + (xy 70.394578 120.7135) + (xy 46.101 120.7135) + (xy 46.101 120.206481) + (xy 46.118593 120.158143) + (xy 46.163142 120.132423) + (xy 46.210341 120.139478) + (xy 46.228942 120.148956) + (xy 46.228943 120.148956) + (xy 46.228945 120.148957) + (xy 46.355 120.168922) + (xy 46.481055 120.148957) + (xy 46.594771 120.091016) + (xy 46.685016 120.000771) + (xy 46.742957 119.887055) + (xy 46.762922 119.761) + (xy 51.027078 119.761) + (xy 51.047043 119.887056) + (xy 51.083424 119.958457) + (xy 51.104984 120.000771) + (xy 51.195229 120.091016) + (xy 51.308943 120.148956) + (xy 51.308945 120.148957) + (xy 51.435 120.168922) + (xy 51.561055 120.148957) + (xy 51.674771 120.091016) + (xy 51.765016 120.000771) + (xy 51.822957 119.887055) + (xy 51.832865 119.8245) + (xy 56.107078 119.8245) + (xy 56.127043 119.950556) + (xy 56.184984 120.064271) + (xy 56.275229 120.154516) + (xy 56.388943 120.212456) + (xy 56.388945 120.212457) + (xy 56.515 120.232422) + (xy 56.641055 120.212457) + (xy 56.754771 120.154516) + (xy 56.845016 120.064271) + (xy 56.902957 119.950555) + (xy 56.922922 119.8245) + (xy 61.123578 119.8245) + (xy 61.143543 119.950556) + (xy 61.201484 120.064271) + (xy 61.291729 120.154516) + (xy 61.405443 120.212456) + (xy 61.405445 120.212457) + (xy 61.5315 120.232422) + (xy 61.657555 120.212457) + (xy 61.771271 120.154516) + (xy 61.861516 120.064271) + (xy 61.919457 119.950555) + (xy 61.939422 119.8245) + (xy 61.919457 119.698445) + (xy 61.861516 119.584729) + (xy 61.847287 119.5705) + (xy 63.536578 119.5705) + (xy 63.556543 119.696556) + (xy 63.592924 119.767957) + (xy 63.614484 119.810271) + (xy 63.704729 119.900516) + (xy 63.818443 119.958456) + (xy 63.818445 119.958457) + (xy 63.9445 119.978422) + (xy 64.070555 119.958457) + (xy 64.184271 119.900516) + (xy 64.274516 119.810271) + (xy 64.332457 119.696555) + (xy 64.352422 119.5705) + (xy 64.342365 119.507) + (xy 64.870078 119.507) + (xy 64.890043 119.633056) + (xy 64.922815 119.697374) + (xy 64.947984 119.746771) + (xy 65.038229 119.837016) + (xy 65.151943 119.894956) + (xy 65.151945 119.894957) + (xy 65.278 119.914922) + (xy 65.404055 119.894957) + (xy 65.517771 119.837016) + (xy 65.608016 119.746771) + (xy 65.665957 119.633055) + (xy 65.685922 119.507) + (xy 65.685772 119.506056) + (xy 65.676014 119.444443) + (xy 65.665957 119.380945) + (xy 65.665476 119.38) + (xy 70.077078 119.38) + (xy 70.097043 119.506056) + (xy 70.129858 119.570459) + (xy 70.154984 119.619771) + (xy 70.245229 119.710016) + (xy 70.358943 119.767956) + (xy 70.358945 119.767957) + (xy 70.485 119.787922) + (xy 70.611055 119.767957) + (xy 70.724771 119.710016) + (xy 70.815016 119.619771) + (xy 70.82509 119.6) + (xy 113.292078 119.6) + (xy 113.312043 119.726056) + (xy 113.362203 119.8245) + (xy 113.369984 119.839771) + (xy 113.460229 119.930016) + (xy 113.573943 119.987956) + (xy 113.573945 119.987957) + (xy 113.7 120.007922) + (xy 113.826055 119.987957) + (xy 113.939771 119.930016) + (xy 114.030016 119.839771) + (xy 114.087957 119.726055) + (xy 114.107922 119.6) + (xy 114.592078 119.6) + (xy 114.612043 119.726056) + (xy 114.662203 119.8245) + (xy 114.669984 119.839771) + (xy 114.760229 119.930016) + (xy 114.873943 119.987956) + (xy 114.873945 119.987957) + (xy 115 120.007922) + (xy 115.126055 119.987957) + (xy 115.239771 119.930016) + (xy 115.330016 119.839771) + (xy 115.387957 119.726055) + (xy 115.407922 119.6) + (xy 117.942078 119.6) + (xy 117.962043 119.726056) + (xy 118.012203 119.8245) + (xy 118.019984 119.839771) + (xy 118.110229 119.930016) + (xy 118.223943 119.987956) + (xy 118.223945 119.987957) + (xy 118.35 120.007922) + (xy 118.476055 119.987957) + (xy 118.589771 119.930016) + (xy 118.680016 119.839771) + (xy 118.737957 119.726055) + (xy 118.757922 119.6) + (xy 119.242078 119.6) + (xy 119.262043 119.726056) + (xy 119.312203 119.8245) + (xy 119.319984 119.839771) + (xy 119.410229 119.930016) + (xy 119.523943 119.987956) + (xy 119.523945 119.987957) + (xy 119.65 120.007922) + (xy 119.776055 119.987957) + (xy 119.889771 119.930016) + (xy 119.980016 119.839771) + (xy 120.037957 119.726055) + (xy 120.057922 119.6) + (xy 120.037957 119.473945) + (xy 119.980016 119.360229) + (xy 119.889771 119.269984) + (xy 119.776055 119.212043) + (xy 119.776057 119.212043) + (xy 119.65 119.192078) + (xy 119.523943 119.212043) + (xy 119.410228 119.269984) + (xy 119.319984 119.360228) + (xy 119.262043 119.473943) + (xy 119.242078 119.599999) + (xy 119.242078 119.6) + (xy 118.757922 119.6) + (xy 118.737957 119.473945) + (xy 118.680016 119.360229) + (xy 118.589771 119.269984) + (xy 118.476055 119.212043) + (xy 118.476057 119.212043) + (xy 118.35 119.192078) + (xy 118.223943 119.212043) + (xy 118.110228 119.269984) + (xy 118.019984 119.360228) + (xy 117.962043 119.473943) + (xy 117.942078 119.599999) + (xy 117.942078 119.6) + (xy 115.407922 119.6) + (xy 115.387957 119.473945) + (xy 115.330016 119.360229) + (xy 115.239771 119.269984) + (xy 115.126055 119.212043) + (xy 115.126057 119.212043) + (xy 115 119.192078) + (xy 114.873943 119.212043) + (xy 114.760228 119.269984) + (xy 114.669984 119.360228) + (xy 114.612043 119.473943) + (xy 114.592078 119.599999) + (xy 114.592078 119.6) + (xy 114.107922 119.6) + (xy 114.087957 119.473945) + (xy 114.030016 119.360229) + (xy 113.939771 119.269984) + (xy 113.826055 119.212043) + (xy 113.826057 119.212043) + (xy 113.7 119.192078) + (xy 113.573943 119.212043) + (xy 113.460228 119.269984) + (xy 113.369984 119.360228) + (xy 113.312043 119.473943) + (xy 113.292078 119.599999) + (xy 113.292078 119.6) + (xy 70.82509 119.6) + (xy 70.872957 119.506055) + (xy 70.892922 119.38) + (xy 70.872957 119.253945) + (xy 70.815016 119.140229) + (xy 70.724771 119.049984) + (xy 70.611055 118.992043) + (xy 70.611057 118.992043) + (xy 70.485 118.972078) + (xy 70.358943 118.992043) + (xy 70.245228 119.049984) + (xy 70.154984 119.140228) + (xy 70.097043 119.253943) + (xy 70.077078 119.379999) + (xy 70.077078 119.38) + (xy 65.665476 119.38) + (xy 65.608016 119.267229) + (xy 65.517771 119.176984) + (xy 65.404055 119.119043) + (xy 65.404057 119.119043) + (xy 65.278 119.099078) + (xy 65.151943 119.119043) + (xy 65.038228 119.176984) + (xy 64.947984 119.267228) + (xy 64.890043 119.380943) + (xy 64.870078 119.506999) + (xy 64.870078 119.507) + (xy 64.342365 119.507) + (xy 64.332457 119.444445) + (xy 64.274516 119.330729) + (xy 64.184271 119.240484) + (xy 64.070555 119.182543) + (xy 64.070557 119.182543) + (xy 63.9445 119.162578) + (xy 63.818443 119.182543) + (xy 63.704728 119.240484) + (xy 63.614484 119.330728) + (xy 63.556543 119.444443) + (xy 63.536578 119.570499) + (xy 63.536578 119.5705) + (xy 61.847287 119.5705) + (xy 61.771271 119.494484) + (xy 61.657555 119.436543) + (xy 61.657557 119.436543) + (xy 61.5315 119.416578) + (xy 61.405443 119.436543) + (xy 61.291728 119.494484) + (xy 61.201484 119.584728) + (xy 61.143543 119.698443) + (xy 61.123578 119.824499) + (xy 61.123578 119.8245) + (xy 56.922922 119.8245) + (xy 56.902957 119.698445) + (xy 56.845016 119.584729) + (xy 56.754771 119.494484) + (xy 56.641055 119.436543) + (xy 56.641057 119.436543) + (xy 56.515 119.416578) + (xy 56.388943 119.436543) + (xy 56.275228 119.494484) + (xy 56.184984 119.584728) + (xy 56.127043 119.698443) + (xy 56.107078 119.824499) + (xy 56.107078 119.8245) + (xy 51.832865 119.8245) + (xy 51.842922 119.761) + (xy 51.822957 119.634945) + (xy 51.765016 119.521229) + (xy 51.674771 119.430984) + (xy 51.561055 119.373043) + (xy 51.561057 119.373043) + (xy 51.435 119.353078) + (xy 51.308943 119.373043) + (xy 51.195228 119.430984) + (xy 51.104984 119.521228) + (xy 51.047043 119.634943) + (xy 51.027078 119.760999) + (xy 51.027078 119.761) + (xy 46.762922 119.761) + (xy 46.742957 119.634945) + (xy 46.685016 119.521229) + (xy 46.594771 119.430984) + (xy 46.481055 119.373043) + (xy 46.481057 119.373043) + (xy 46.355 119.353078) + (xy 46.228942 119.373043) + (xy 46.22894 119.373044) + (xy 46.210339 119.382522) + (xy 46.159283 119.38879) + (xy 46.116142 119.360774) + (xy 46.101 119.315518) + (xy 46.101 118.491) + (xy 63.854078 118.491) + (xy 63.874043 118.617056) + (xy 63.876136 118.621163) + (xy 63.931984 118.730771) + (xy 64.022229 118.821016) + (xy 64.135943 118.878956) + (xy 64.135945 118.878957) + (xy 64.262 118.898922) + (xy 64.388055 118.878957) + (xy 64.501771 118.821016) + (xy 64.577787 118.745) + (xy 125.195078 118.745) + (xy 125.215043 118.871056) + (xy 125.272984 118.984771) + (xy 125.363229 119.075016) + (xy 125.476943 119.132956) + (xy 125.476945 119.132957) + (xy 125.603 119.152922) + (xy 125.729055 119.132957) + (xy 125.842771 119.075016) + (xy 125.933016 118.984771) + (xy 125.990957 118.871055) + (xy 126.010922 118.745) + (xy 126.003795 118.7) + (xy 128.592329 118.7) + (xy 128.611331 118.844337) + (xy 128.62611 118.880015) + (xy 128.667043 118.978836) + (xy 128.667044 118.978838) + (xy 128.667045 118.978839) + (xy 128.755666 119.094333) + (xy 128.86338 119.176984) + (xy 128.871164 119.182957) + (xy 129.005664 119.238669) + (xy 129.15 119.257671) + (xy 129.294336 119.238669) + (xy 129.428836 119.182957) + (xy 129.544333 119.094333) + (xy 129.632957 118.978836) + (xy 129.665612 118.9) + (xy 130.992329 118.9) + (xy 131.009942 119.03379) + (xy 131.011331 119.044336) + (xy 131.067043 119.178836) + (xy 131.067044 119.178838) + (xy 131.067045 119.178839) + (xy 131.155666 119.294333) + (xy 131.258244 119.373043) + (xy 131.271164 119.382957) + (xy 131.405664 119.438669) + (xy 131.55 119.457671) + (xy 131.694336 119.438669) + (xy 131.828836 119.382957) + (xy 131.944333 119.294333) + (xy 132.032957 119.178836) + (xy 132.088669 119.044336) + (xy 132.101088 118.95) + (xy 133.442329 118.95) + (xy 133.46133 119.094333) + (xy 133.461331 119.094336) + (xy 133.517043 119.228836) + (xy 133.517044 119.228838) + (xy 133.517045 119.228839) + (xy 133.605666 119.344333) + (xy 133.663604 119.38879) + (xy 133.721164 119.432957) + (xy 133.855664 119.488669) + (xy 134 119.507671) + (xy 134.144336 119.488669) + (xy 134.237691 119.45) + (xy 135.742443 119.45) + (xy 135.760977 119.578911) + (xy 135.815078 119.697373) + (xy 135.81508 119.697376) + (xy 135.900366 119.795801) + (xy 136.009926 119.866209) + (xy 136.134883 119.9029) + (xy 136.265117 119.9029) + (xy 136.390073 119.866209) + (xy 136.390073 119.866208) + (xy 136.390076 119.866208) + (xy 136.499636 119.795799) + (xy 136.584921 119.697374) + (xy 136.639023 119.578909) + (xy 136.657557 119.45) + (xy 137.942443 119.45) + (xy 137.960977 119.578911) + (xy 138.015078 119.697373) + (xy 138.01508 119.697376) + (xy 138.100366 119.795801) + (xy 138.209926 119.866209) + (xy 138.334883 119.9029) + (xy 138.465117 119.9029) + (xy 138.590073 119.866209) + (xy 138.590073 119.866208) + (xy 138.590076 119.866208) + (xy 138.699636 119.795799) + (xy 138.784921 119.697374) + (xy 138.839023 119.578909) + (xy 138.857557 119.45) + (xy 138.839023 119.321091) + (xy 138.80221 119.240484) + (xy 138.784921 119.202626) + (xy 138.784919 119.202623) + (xy 138.716339 119.123478) + (xy 138.699636 119.104201) + (xy 138.699635 119.1042) + (xy 138.699633 119.104198) + (xy 138.590073 119.03379) + (xy 138.465117 118.9971) + (xy 138.334883 118.9971) + (xy 138.209926 119.03379) + (xy 138.100366 119.104198) + (xy 138.01508 119.202623) + (xy 138.015078 119.202626) + (xy 137.960977 119.321088) + (xy 137.942443 119.45) + (xy 136.657557 119.45) + (xy 136.639023 119.321091) + (xy 136.60221 119.240484) + (xy 136.584921 119.202626) + (xy 136.584919 119.202623) + (xy 136.516339 119.123478) + (xy 136.499636 119.104201) + (xy 136.499635 119.1042) + (xy 136.499633 119.104198) + (xy 136.390073 119.03379) + (xy 136.265117 118.9971) + (xy 136.134883 118.9971) + (xy 136.009926 119.03379) + (xy 135.900366 119.104198) + (xy 135.81508 119.202623) + (xy 135.815078 119.202626) + (xy 135.760977 119.321088) + (xy 135.742443 119.45) + (xy 134.237691 119.45) + (xy 134.278836 119.432957) + (xy 134.394333 119.344333) + (xy 134.482957 119.228836) + (xy 134.538669 119.094336) + (xy 134.557671 118.95) + (xy 134.538669 118.805664) + (xy 134.482957 118.671165) + (xy 134.444591 118.621165) + (xy 134.394333 118.555666) + (xy 134.386949 118.55) + (xy 138.592078 118.55) + (xy 138.612043 118.676056) + (xy 138.647172 118.745) + (xy 138.669984 118.789771) + (xy 138.760229 118.880016) + (xy 138.873943 118.937956) + (xy 138.873945 118.937957) + (xy 139 118.957922) + (xy 139.050018 118.95) + (xy 140.792329 118.95) + (xy 140.81133 119.094333) + (xy 140.811331 119.094336) + (xy 140.867043 119.228836) + (xy 140.867044 119.228838) + (xy 140.867045 119.228839) + (xy 140.955666 119.344333) + (xy 141.013604 119.38879) + (xy 141.071164 119.432957) + (xy 141.205664 119.488669) + (xy 141.35 119.507671) + (xy 141.494336 119.488669) + (xy 141.628836 119.432957) + (xy 141.744333 119.344333) + (xy 141.832957 119.228836) + (xy 141.888669 119.094336) + (xy 141.907671 118.95) + (xy 141.888669 118.805664) + (xy 141.832957 118.671165) + (xy 141.794591 118.621165) + (xy 141.744333 118.555666) + (xy 141.628839 118.467045) + (xy 141.628838 118.467044) + (xy 141.628836 118.467043) + (xy 141.524784 118.423943) + (xy 141.494337 118.411331) + (xy 141.35 118.392329) + (xy 141.205662 118.411331) + (xy 141.071163 118.467044) + (xy 141.071162 118.467044) + (xy 140.955666 118.555666) + (xy 140.867044 118.671162) + (xy 140.867044 118.671163) + (xy 140.867043 118.671164) + (xy 140.867043 118.671165) + (xy 140.865017 118.676056) + (xy 140.811331 118.805662) + (xy 140.792329 118.95) + (xy 139.050018 118.95) + (xy 139.126055 118.937957) + (xy 139.239771 118.880016) + (xy 139.330016 118.789771) + (xy 139.387957 118.676055) + (xy 139.407922 118.55) + (xy 139.387957 118.423945) + (xy 139.330016 118.310229) + (xy 139.239771 118.219984) + (xy 139.126055 118.162043) + (xy 139.126057 118.162043) + (xy 139 118.142078) + (xy 138.873943 118.162043) + (xy 138.760228 118.219984) + (xy 138.669984 118.310228) + (xy 138.612043 118.423943) + (xy 138.592078 118.549999) + (xy 138.592078 118.55) + (xy 134.386949 118.55) + (xy 134.278839 118.467045) + (xy 134.278838 118.467044) + (xy 134.278836 118.467043) + (xy 134.174784 118.423943) + (xy 134.144337 118.411331) + (xy 134 118.392329) + (xy 133.855662 118.411331) + (xy 133.721163 118.467044) + (xy 133.721162 118.467044) + (xy 133.605666 118.555666) + (xy 133.517044 118.671162) + (xy 133.517044 118.671163) + (xy 133.517043 118.671164) + (xy 133.517043 118.671165) + (xy 133.515017 118.676056) + (xy 133.461331 118.805662) + (xy 133.442329 118.95) + (xy 132.101088 118.95) + (xy 132.107671 118.9) + (xy 132.088669 118.755664) + (xy 132.032957 118.621165) + (xy 132.029804 118.617056) + (xy 131.944333 118.505666) + (xy 131.828839 118.417045) + (xy 131.828838 118.417044) + (xy 131.828836 118.417043) + (xy 131.723898 118.373576) + (xy 131.694337 118.361331) + (xy 131.55 118.342329) + (xy 131.405662 118.361331) + (xy 131.271163 118.417044) + (xy 131.271162 118.417044) + (xy 131.155666 118.505666) + (xy 131.067044 118.621162) + (xy 131.067044 118.621163) + (xy 131.011331 118.755662) + (xy 130.992329 118.9) + (xy 129.665612 118.9) + (xy 129.688669 118.844336) + (xy 129.707671 118.7) + (xy 129.688669 118.555664) + (xy 129.632957 118.421165) + (xy 129.629794 118.417043) + (xy 129.544333 118.305666) + (xy 129.428839 118.217045) + (xy 129.428838 118.217044) + (xy 129.428836 118.217043) + (xy 129.341891 118.181029) + (xy 129.294337 118.161331) + (xy 129.15 118.142329) + (xy 129.005662 118.161331) + (xy 128.871163 118.217044) + (xy 128.871162 118.217044) + (xy 128.755666 118.305666) + (xy 128.667044 118.421162) + (xy 128.667044 118.421163) + (xy 128.667043 118.421164) + (xy 128.667043 118.421165) + (xy 128.657485 118.444238) + (xy 128.611331 118.555662) + (xy 128.592329 118.7) + (xy 126.003795 118.7) + (xy 125.990957 118.618945) + (xy 125.933016 118.505229) + (xy 125.842771 118.414984) + (xy 125.729055 118.357043) + (xy 125.729057 118.357043) + (xy 125.603 118.337078) + (xy 125.476943 118.357043) + (xy 125.363228 118.414984) + (xy 125.272984 118.505228) + (xy 125.215043 118.618943) + (xy 125.195078 118.744999) + (xy 125.195078 118.745) + (xy 64.577787 118.745) + (xy 64.592016 118.730771) + (xy 64.649957 118.617055) + (xy 64.669922 118.491) + (xy 64.649957 118.364945) + (xy 64.592016 118.251229) + (xy 64.501771 118.160984) + (xy 64.388055 118.103043) + (xy 64.388057 118.103043) + (xy 64.262 118.083078) + (xy 64.135943 118.103043) + (xy 64.022228 118.160984) + (xy 63.931984 118.251228) + (xy 63.874043 118.364943) + (xy 63.854078 118.490999) + (xy 63.854078 118.491) + (xy 46.101 118.491) + (xy 46.101 117.221) + (xy 48.487078 117.221) + (xy 48.507043 117.347056) + (xy 48.529641 117.391406) + (xy 48.564984 117.460771) + (xy 48.655229 117.551016) + (xy 48.768943 117.608956) + (xy 48.768945 117.608957) + (xy 48.895 117.628922) + (xy 49.021055 117.608957) + (xy 49.134771 117.551016) + (xy 49.225016 117.460771) + (xy 49.282957 117.347055) + (xy 49.292865 117.2845) + (xy 53.567078 117.2845) + (xy 53.587043 117.410556) + (xy 53.635502 117.505662) + (xy 53.644984 117.524271) + (xy 53.735229 117.614516) + (xy 53.848943 117.672456) + (xy 53.848945 117.672457) + (xy 53.975 117.692422) + (xy 54.101055 117.672457) + (xy 54.214771 117.614516) + (xy 54.305016 117.524271) + (xy 54.362957 117.410555) + (xy 54.382922 117.2845) + (xy 58.647078 117.2845) + (xy 58.667043 117.410556) + (xy 58.715502 117.505662) + (xy 58.724984 117.524271) + (xy 58.815229 117.614516) + (xy 58.928943 117.672456) + (xy 58.928945 117.672457) + (xy 59.055 117.692422) + (xy 59.181055 117.672457) + (xy 59.294771 117.614516) + (xy 59.385016 117.524271) + (xy 59.442957 117.410555) + (xy 59.462922 117.2845) + (xy 59.451622 117.213155) + (xy 65.04655 117.213155) + (xy 65.085772 117.448198) + (xy 65.085773 117.448202) + (xy 65.085774 117.448206) + (xy 65.137885 117.599999) + (xy 65.163152 117.6736) + (xy 65.163153 117.673602) + (xy 65.276569 117.883177) + (xy 65.373662 118.007922) + (xy 65.42294 118.071235) + (xy 65.598266 118.232633) + (xy 65.788691 118.357044) + (xy 65.797768 118.362974) + (xy 65.936763 118.423943) + (xy 66.015998 118.458699) + (xy 66.24701 118.517199) + (xy 66.29556 118.521222) + (xy 66.42502 118.53195) + (xy 66.425028 118.53195) + (xy 66.54398 118.53195) + (xy 66.66265 118.522116) + (xy 66.72199 118.517199) + (xy 66.953002 118.458699) + (xy 67.171234 118.362973) + (xy 67.370734 118.232633) + (xy 67.54606 118.071235) + (xy 67.692429 117.883179) + (xy 67.805849 117.673597) + (xy 67.883226 117.448206) + (xy 67.92245 117.213152) + (xy 67.92245 117.13766) + (xy 68.274893 117.13766) + (xy 68.305168 117.309356) + (xy 68.37422 117.469437) + (xy 68.478332 117.609283) + (xy 68.611882 117.721346) + (xy 68.611883 117.721347) + (xy 68.611884 117.721347) + (xy 68.611886 117.721349) + (xy 68.767685 117.799594) + (xy 68.937329 117.8398) + (xy 69.067943 117.8398) + (xy 69.067945 117.839799) + (xy 69.084682 117.837842) + (xy 69.197664 117.824637) + (xy 69.361493 117.765008) + (xy 69.507154 117.669205) + (xy 69.626796 117.542393) + (xy 69.713967 117.391407) + (xy 69.763969 117.224388) + (xy 69.764623 117.213155) + (xy 70.12655 117.213155) + (xy 70.165772 117.448198) + (xy 70.165773 117.448202) + (xy 70.165774 117.448206) + (xy 70.217885 117.599999) + (xy 70.243152 117.6736) + (xy 70.243153 117.673602) + (xy 70.356569 117.883177) + (xy 70.453662 118.007922) + (xy 70.50294 118.071235) + (xy 70.678266 118.232633) + (xy 70.868691 118.357044) + (xy 70.877768 118.362974) + (xy 71.016763 118.423943) + (xy 71.095998 118.458699) + (xy 71.32701 118.517199) + (xy 71.37556 118.521222) + (xy 71.50502 118.53195) + (xy 71.505028 118.53195) + (xy 71.62398 118.53195) + (xy 71.74265 118.522116) + (xy 71.80199 118.517199) + (xy 72.033002 118.458699) + (xy 72.251234 118.362973) + (xy 72.450734 118.232633) + (xy 72.62606 118.071235) + (xy 72.72042 117.95) + (xy 78.392078 117.95) + (xy 78.412043 118.076056) + (xy 78.469984 118.189771) + (xy 78.560229 118.280016) + (xy 78.673943 118.337956) + (xy 78.673945 118.337957) + (xy 78.8 118.357922) + (xy 78.926055 118.337957) + (xy 79.039771 118.280016) + (xy 79.130016 118.189771) + (xy 79.187957 118.076055) + (xy 79.207922 117.95) + (xy 87.592078 117.95) + (xy 87.612043 118.076056) + (xy 87.669984 118.189771) + (xy 87.760229 118.280016) + (xy 87.873943 118.337956) + (xy 87.873945 118.337957) + (xy 88 118.357922) + (xy 88.126055 118.337957) + (xy 88.239771 118.280016) + (xy 88.330016 118.189771) + (xy 88.387957 118.076055) + (xy 88.407922 117.95) + (xy 96.792078 117.95) + (xy 96.812043 118.076056) + (xy 96.869984 118.189771) + (xy 96.960229 118.280016) + (xy 97.073943 118.337956) + (xy 97.073945 118.337957) + (xy 97.2 118.357922) + (xy 97.326055 118.337957) + (xy 97.439771 118.280016) + (xy 97.530016 118.189771) + (xy 97.587957 118.076055) + (xy 97.607922 117.95) + (xy 97.587957 117.823945) + (xy 97.530016 117.710229) + (xy 97.439771 117.619984) + (xy 97.40055 117.6) + (xy 102.092078 117.6) + (xy 102.112043 117.726056) + (xy 102.13189 117.765008) + (xy 102.169984 117.839771) + (xy 102.260229 117.930016) + (xy 102.373943 117.987956) + (xy 102.373945 117.987957) + (xy 102.5 118.007922) + (xy 102.626055 117.987957) + (xy 102.70055 117.95) + (xy 105.992078 117.95) + (xy 106.012043 118.076056) + (xy 106.069984 118.189771) + (xy 106.160229 118.280016) + (xy 106.273943 118.337956) + (xy 106.273945 118.337957) + (xy 106.4 118.357922) + (xy 106.526055 118.337957) + (xy 106.639771 118.280016) + (xy 106.730016 118.189771) + (xy 106.787957 118.076055) + (xy 106.807922 117.95) + (xy 106.787957 117.823945) + (xy 106.730016 117.710229) + (xy 106.669787 117.65) + (xy 129.792329 117.65) + (xy 129.811331 117.794337) + (xy 129.823882 117.824637) + (xy 129.867043 117.928836) + (xy 129.867044 117.928838) + (xy 129.867045 117.928839) + (xy 129.955666 118.044333) + (xy 129.997009 118.076056) + (xy 130.071164 118.132957) + (xy 130.205664 118.188669) + (xy 130.35 118.207671) + (xy 130.494336 118.188669) + (xy 130.628836 118.132957) + (xy 130.744333 118.044333) + (xy 130.832957 117.928836) + (xy 130.888669 117.794336) + (xy 130.907671 117.65) + (xy 132.192329 117.65) + (xy 132.211331 117.794337) + (xy 132.223882 117.824637) + (xy 132.267043 117.928836) + (xy 132.267044 117.928838) + (xy 132.267045 117.928839) + (xy 132.355666 118.044333) + (xy 132.397009 118.076056) + (xy 132.471164 118.132957) + (xy 132.605664 118.188669) + (xy 132.75 118.207671) + (xy 132.894336 118.188669) + (xy 133.028836 118.132957) + (xy 133.144333 118.044333) + (xy 133.232957 117.928836) + (xy 133.288669 117.794336) + (xy 133.307671 117.65) + (xy 133.288669 117.505664) + (xy 133.232957 117.371165) + (xy 133.18553 117.309356) + (xy 133.144333 117.255666) + (xy 133.028839 117.167045) + (xy 133.028838 117.167044) + (xy 133.028836 117.167043) + (xy 132.941891 117.131029) + (xy 132.894337 117.111331) + (xy 132.75 117.092329) + (xy 132.605662 117.111331) + (xy 132.471163 117.167044) + (xy 132.471162 117.167044) + (xy 132.355666 117.255666) + (xy 132.267044 117.371162) + (xy 132.267044 117.371163) + (xy 132.211331 117.505662) + (xy 132.192329 117.65) + (xy 130.907671 117.65) + (xy 130.888669 117.505664) + (xy 130.832957 117.371165) + (xy 130.78553 117.309356) + (xy 130.744333 117.255666) + (xy 130.628839 117.167045) + (xy 130.628838 117.167044) + (xy 130.628836 117.167043) + (xy 130.541891 117.131029) + (xy 130.494337 117.111331) + (xy 130.35 117.092329) + (xy 130.205662 117.111331) + (xy 130.071163 117.167044) + (xy 130.071162 117.167044) + (xy 129.955666 117.255666) + (xy 129.867044 117.371162) + (xy 129.867044 117.371163) + (xy 129.811331 117.505662) + (xy 129.792329 117.65) + (xy 106.669787 117.65) + (xy 106.639771 117.619984) + (xy 106.526055 117.562043) + (xy 106.526057 117.562043) + (xy 106.4 117.542078) + (xy 106.273943 117.562043) + (xy 106.160228 117.619984) + (xy 106.069984 117.710228) + (xy 106.012043 117.823943) + (xy 105.992078 117.949999) + (xy 105.992078 117.95) + (xy 102.70055 117.95) + (xy 102.739771 117.930016) + (xy 102.830016 117.839771) + (xy 102.887957 117.726055) + (xy 102.907922 117.6) + (xy 102.887957 117.473945) + (xy 102.830016 117.360229) + (xy 102.739771 117.269984) + (xy 102.626055 117.212043) + (xy 102.626057 117.212043) + (xy 102.5 117.192078) + (xy 102.373943 117.212043) + (xy 102.260228 117.269984) + (xy 102.169984 117.360228) + (xy 102.112043 117.473943) + (xy 102.092078 117.599999) + (xy 102.092078 117.6) + (xy 97.40055 117.6) + (xy 97.326055 117.562043) + (xy 97.326057 117.562043) + (xy 97.2 117.542078) + (xy 97.073943 117.562043) + (xy 96.960228 117.619984) + (xy 96.869984 117.710228) + (xy 96.812043 117.823943) + (xy 96.792078 117.949999) + (xy 96.792078 117.95) + (xy 88.407922 117.95) + (xy 88.387957 117.823945) + (xy 88.330016 117.710229) + (xy 88.239771 117.619984) + (xy 88.126055 117.562043) + (xy 88.126057 117.562043) + (xy 88 117.542078) + (xy 87.873943 117.562043) + (xy 87.760228 117.619984) + (xy 87.669984 117.710228) + (xy 87.612043 117.823943) + (xy 87.592078 117.949999) + (xy 87.592078 117.95) + (xy 79.207922 117.95) + (xy 79.187957 117.823945) + (xy 79.130016 117.710229) + (xy 79.039771 117.619984) + (xy 78.926055 117.562043) + (xy 78.926057 117.562043) + (xy 78.8 117.542078) + (xy 78.673943 117.562043) + (xy 78.560228 117.619984) + (xy 78.469984 117.710228) + (xy 78.412043 117.823943) + (xy 78.392078 117.949999) + (xy 78.392078 117.95) + (xy 72.72042 117.95) + (xy 72.772429 117.883179) + (xy 72.885849 117.673597) + (xy 72.963226 117.448206) + (xy 73.00245 117.213152) + (xy 73.00245 116.974848) + (xy 72.963226 116.739794) + (xy 72.885849 116.514403) + (xy 72.885846 116.514397) + (xy 72.796879 116.35) + (xy 89.042078 116.35) + (xy 89.062043 116.476056) + (xy 89.092085 116.535016) + (xy 89.119984 116.589771) + (xy 89.210229 116.680016) + (xy 89.323943 116.737956) + (xy 89.323945 116.737957) + (xy 89.45 116.757922) + (xy 89.576055 116.737957) + (xy 89.689771 116.680016) + (xy 89.780016 116.589771) + (xy 89.837957 116.476055) + (xy 89.857922 116.35) + (xy 89.842084 116.25) + (xy 112.592443 116.25) + (xy 112.610977 116.378911) + (xy 112.665078 116.497373) + (xy 112.66508 116.497376) + (xy 112.727594 116.569521) + (xy 112.747901 116.592957) + (xy 112.750366 116.595801) + (xy 112.859926 116.666209) + (xy 112.984883 116.7029) + (xy 113.115117 116.7029) + (xy 113.240073 116.666209) + (xy 113.240073 116.666208) + (xy 113.240076 116.666208) + (xy 113.349636 116.595799) + (xy 113.434921 116.497374) + (xy 113.489023 116.378909) + (xy 113.507557 116.25) + (xy 113.501087 116.205) + (xy 117.575078 116.205) + (xy 117.595043 116.331056) + (xy 117.641887 116.422992) + (xy 117.652984 116.444771) + (xy 117.743229 116.535016) + (xy 117.856943 116.592956) + (xy 117.856945 116.592957) + (xy 117.983 116.612922) + (xy 118.109055 116.592957) + (xy 118.222771 116.535016) + (xy 118.313016 116.444771) + (xy 118.370957 116.331055) + (xy 118.383795 116.25) + (xy 122.592443 116.25) + (xy 122.610977 116.378911) + (xy 122.665078 116.497373) + (xy 122.66508 116.497376) + (xy 122.727594 116.569521) + (xy 122.747901 116.592957) + (xy 122.750366 116.595801) + (xy 122.859926 116.666209) + (xy 122.984883 116.7029) + (xy 123.115117 116.7029) + (xy 123.240073 116.666209) + (xy 123.240073 116.666208) + (xy 123.240076 116.666208) + (xy 123.349636 116.595799) + (xy 123.434921 116.497374) + (xy 123.489023 116.378909) + (xy 123.507557 116.25) + (xy 123.501087 116.205) + (xy 127.735078 116.205) + (xy 127.755043 116.331056) + (xy 127.801887 116.422992) + (xy 127.812984 116.444771) + (xy 127.903229 116.535016) + (xy 128.016943 116.592956) + (xy 128.016945 116.592957) + (xy 128.143 116.612922) + (xy 128.269055 116.592957) + (xy 128.382771 116.535016) + (xy 128.473016 116.444771) + (xy 128.530957 116.331055) + (xy 128.550922 116.205) + (xy 132.815078 116.205) + (xy 132.835043 116.331056) + (xy 132.881887 116.422992) + (xy 132.892984 116.444771) + (xy 132.983229 116.535016) + (xy 133.096943 116.592956) + (xy 133.096945 116.592957) + (xy 133.223 116.612922) + (xy 133.349055 116.592957) + (xy 133.462771 116.535016) + (xy 133.553016 116.444771) + (xy 133.610957 116.331055) + (xy 133.630922 116.205) + (xy 137.895078 116.205) + (xy 137.915043 116.331056) + (xy 137.961887 116.422992) + (xy 137.972984 116.444771) + (xy 138.063229 116.535016) + (xy 138.176943 116.592956) + (xy 138.176945 116.592957) + (xy 138.303 116.612922) + (xy 138.429055 116.592957) + (xy 138.542771 116.535016) + (xy 138.633016 116.444771) + (xy 138.690957 116.331055) + (xy 138.710922 116.205) + (xy 142.975078 116.205) + (xy 142.995043 116.331056) + (xy 143.041887 116.422992) + (xy 143.052984 116.444771) + (xy 143.143229 116.535016) + (xy 143.256943 116.592956) + (xy 143.256945 116.592957) + (xy 143.383 116.612922) + (xy 143.509055 116.592957) + (xy 143.622771 116.535016) + (xy 143.713016 116.444771) + (xy 143.770957 116.331055) + (xy 143.790922 116.205) + (xy 143.770957 116.078945) + (xy 143.713016 115.965229) + (xy 143.622771 115.874984) + (xy 143.509055 115.817043) + (xy 143.509057 115.817043) + (xy 143.383 115.797078) + (xy 143.256943 115.817043) + (xy 143.143228 115.874984) + (xy 143.052984 115.965228) + (xy 142.995043 116.078943) + (xy 142.975078 116.204999) + (xy 142.975078 116.205) + (xy 138.710922 116.205) + (xy 138.690957 116.078945) + (xy 138.633016 115.965229) + (xy 138.542771 115.874984) + (xy 138.429055 115.817043) + (xy 138.429057 115.817043) + (xy 138.303 115.797078) + (xy 138.176943 115.817043) + (xy 138.063228 115.874984) + (xy 137.972984 115.965228) + (xy 137.915043 116.078943) + (xy 137.895078 116.204999) + (xy 137.895078 116.205) + (xy 133.630922 116.205) + (xy 133.610957 116.078945) + (xy 133.553016 115.965229) + (xy 133.462771 115.874984) + (xy 133.349055 115.817043) + (xy 133.349057 115.817043) + (xy 133.223 115.797078) + (xy 133.096943 115.817043) + (xy 132.983228 115.874984) + (xy 132.892984 115.965228) + (xy 132.835043 116.078943) + (xy 132.815078 116.204999) + (xy 132.815078 116.205) + (xy 128.550922 116.205) + (xy 128.530957 116.078945) + (xy 128.473016 115.965229) + (xy 128.382771 115.874984) + (xy 128.269055 115.817043) + (xy 128.269057 115.817043) + (xy 128.143 115.797078) + (xy 128.016943 115.817043) + (xy 127.903228 115.874984) + (xy 127.812984 115.965228) + (xy 127.755043 116.078943) + (xy 127.735078 116.204999) + (xy 127.735078 116.205) + (xy 123.501087 116.205) + (xy 123.489023 116.121091) + (xy 123.487047 116.116765) + (xy 123.434921 116.002626) + (xy 123.434919 116.002623) + (xy 123.349633 115.904198) + (xy 123.240073 115.83379) + (xy 123.115117 115.7971) + (xy 122.984883 115.7971) + (xy 122.859926 115.83379) + (xy 122.750366 115.904198) + (xy 122.66508 116.002623) + (xy 122.665078 116.002626) + (xy 122.610977 116.121088) + (xy 122.592443 116.25) + (xy 118.383795 116.25) + (xy 118.390922 116.205) + (xy 118.370957 116.078945) + (xy 118.313016 115.965229) + (xy 118.222771 115.874984) + (xy 118.109055 115.817043) + (xy 118.109057 115.817043) + (xy 117.983 115.797078) + (xy 117.856943 115.817043) + (xy 117.743228 115.874984) + (xy 117.652984 115.965228) + (xy 117.595043 116.078943) + (xy 117.575078 116.204999) + (xy 117.575078 116.205) + (xy 113.501087 116.205) + (xy 113.489023 116.121091) + (xy 113.487047 116.116765) + (xy 113.434921 116.002626) + (xy 113.434919 116.002623) + (xy 113.349633 115.904198) + (xy 113.240073 115.83379) + (xy 113.115117 115.7971) + (xy 112.984883 115.7971) + (xy 112.859926 115.83379) + (xy 112.750366 115.904198) + (xy 112.66508 116.002623) + (xy 112.665078 116.002626) + (xy 112.610977 116.121088) + (xy 112.592443 116.25) + (xy 89.842084 116.25) + (xy 89.837957 116.223945) + (xy 89.780016 116.110229) + (xy 89.689771 116.019984) + (xy 89.576055 115.962043) + (xy 89.576057 115.962043) + (xy 89.45 115.942078) + (xy 89.323943 115.962043) + (xy 89.210228 116.019984) + (xy 89.119984 116.110228) + (xy 89.062043 116.223943) + (xy 89.042078 116.349999) + (xy 89.042078 116.35) + (xy 72.796879 116.35) + (xy 72.77243 116.304822) + (xy 72.626061 116.116766) + (xy 72.626057 116.116762) + (xy 72.450734 115.955367) + (xy 72.251231 115.825025) + (xy 72.033008 115.729303) + (xy 72.033003 115.729301) + (xy 72.033002 115.729301) + (xy 71.80199 115.670801) + (xy 71.801988 115.6708) + (xy 71.801986 115.6708) + (xy 71.62398 115.65605) + (xy 71.623972 115.65605) + (xy 71.505028 115.65605) + (xy 71.50502 115.65605) + (xy 71.327013 115.6708) + (xy 71.32701 115.670801) + (xy 71.095998 115.729301) + (xy 71.095991 115.729303) + (xy 70.877768 115.825025) + (xy 70.678265 115.955367) + (xy 70.502942 116.116762) + (xy 70.502938 116.116766) + (xy 70.356569 116.304822) + (xy 70.243153 116.514397) + (xy 70.243152 116.514399) + (xy 70.165775 116.739791) + (xy 70.165772 116.739801) + (xy 70.12655 116.974844) + (xy 70.12655 117.213155) + (xy 69.764623 117.213155) + (xy 69.774107 117.05034) + (xy 69.743832 116.878646) + (xy 69.674779 116.718562) + (xy 69.570668 116.578717) + (xy 69.518588 116.535016) + (xy 69.437117 116.466653) + (xy 69.437116 116.466652) + (xy 69.281315 116.388406) + (xy 69.111671 116.3482) + (xy 68.981064 116.3482) + (xy 68.981054 116.3482) + (xy 68.851338 116.363362) + (xy 68.687509 116.422991) + (xy 68.687508 116.422991) + (xy 68.687507 116.422992) + (xy 68.541846 116.518795) + (xy 68.541845 116.518795) + (xy 68.541845 116.518796) + (xy 68.422204 116.645606) + (xy 68.335032 116.796593) + (xy 68.285031 116.963609) + (xy 68.285031 116.963611) + (xy 68.274893 117.13766) + (xy 67.92245 117.13766) + (xy 67.92245 116.974848) + (xy 67.883226 116.739794) + (xy 67.805849 116.514403) + (xy 67.78382 116.473698) + (xy 67.776287 116.422814) + (xy 67.803225 116.378991) + (xy 67.852028 116.362736) + (xy 67.884095 116.370902) + (xy 67.976443 116.417956) + (xy 67.976445 116.417957) + (xy 68.1025 116.437922) + (xy 68.228555 116.417957) + (xy 68.342271 116.360016) + (xy 68.432516 116.269771) + (xy 68.490457 116.156055) + (xy 68.510422 116.03) + (xy 68.509949 116.027016) + (xy 68.500163 115.965228) + (xy 68.490457 115.903945) + (xy 68.432516 115.790229) + (xy 68.342271 115.699984) + (xy 68.228555 115.642043) + (xy 68.228557 115.642043) + (xy 68.1025 115.622078) + (xy 67.976443 115.642043) + (xy 67.862728 115.699984) + (xy 67.772484 115.790228) + (xy 67.714543 115.903943) + (xy 67.694578 116.029999) + (xy 67.694578 116.03) + (xy 67.704114 116.090208) + (xy 67.694299 116.140703) + (xy 67.654323 116.173075) + (xy 67.602891 116.172177) + (xy 67.570497 116.148161) + (xy 67.546061 116.116766) + (xy 67.546057 116.116762) + (xy 67.370734 115.955367) + (xy 67.171231 115.825025) + (xy 66.953008 115.729303) + (xy 66.953003 115.729301) + (xy 66.953002 115.729301) + (xy 66.72199 115.670801) + (xy 66.721988 115.6708) + (xy 66.721986 115.6708) + (xy 66.54398 115.65605) + (xy 66.543972 115.65605) + (xy 66.425028 115.65605) + (xy 66.42502 115.65605) + (xy 66.247013 115.6708) + (xy 66.24701 115.670801) + (xy 66.015998 115.729301) + (xy 66.015991 115.729303) + (xy 65.797768 115.825025) + (xy 65.598265 115.955367) + (xy 65.422942 116.116762) + (xy 65.422938 116.116766) + (xy 65.276569 116.304822) + (xy 65.163153 116.514397) + (xy 65.163152 116.514399) + (xy 65.085775 116.739791) + (xy 65.085772 116.739801) + (xy 65.04655 116.974844) + (xy 65.04655 117.213155) + (xy 59.451622 117.213155) + (xy 59.442957 117.158445) + (xy 59.385016 117.044729) + (xy 59.294771 116.954484) + (xy 59.181055 116.896543) + (xy 59.181057 116.896543) + (xy 59.055 116.876578) + (xy 58.928943 116.896543) + (xy 58.815228 116.954484) + (xy 58.724984 117.044728) + (xy 58.667043 117.158443) + (xy 58.647078 117.284499) + (xy 58.647078 117.2845) + (xy 54.382922 117.2845) + (xy 54.362957 117.158445) + (xy 54.305016 117.044729) + (xy 54.214771 116.954484) + (xy 54.101055 116.896543) + (xy 54.101057 116.896543) + (xy 53.975 116.876578) + (xy 53.848943 116.896543) + (xy 53.735228 116.954484) + (xy 53.644984 117.044728) + (xy 53.587043 117.158443) + (xy 53.567078 117.284499) + (xy 53.567078 117.2845) + (xy 49.292865 117.2845) + (xy 49.302922 117.221) + (xy 49.301679 117.213155) + (xy 49.285552 117.111331) + (xy 49.282957 117.094945) + (xy 49.225016 116.981229) + (xy 49.134771 116.890984) + (xy 49.021055 116.833043) + (xy 49.021057 116.833043) + (xy 48.895 116.813078) + (xy 48.768943 116.833043) + (xy 48.655228 116.890984) + (xy 48.564984 116.981228) + (xy 48.507043 117.094943) + (xy 48.487078 117.220999) + (xy 48.487078 117.221) + (xy 46.101 117.221) + (xy 46.101 115.697) + (xy 63.854078 115.697) + (xy 63.874043 115.823056) + (xy 63.900502 115.874984) + (xy 63.931984 115.936771) + (xy 64.022229 116.027016) + (xy 64.135943 116.084956) + (xy 64.135945 116.084957) + (xy 64.262 116.104922) + (xy 64.388055 116.084957) + (xy 64.501771 116.027016) + (xy 64.592016 115.936771) + (xy 64.649957 115.823055) + (xy 64.669922 115.697) + (xy 64.649957 115.570945) + (xy 64.592016 115.457229) + (xy 64.514287 115.3795) + (xy 73.379078 115.3795) + (xy 73.399043 115.505556) + (xy 73.43236 115.570943) + (xy 73.456984 115.619271) + (xy 73.547229 115.709516) + (xy 73.660943 115.767456) + (xy 73.660945 115.767457) + (xy 73.787 115.787422) + (xy 73.913055 115.767457) + (xy 74.026771 115.709516) + (xy 74.117016 115.619271) + (xy 74.174957 115.505555) + (xy 74.194922 115.3795) + (xy 74.19025 115.35) + (xy 111.592443 115.35) + (xy 111.610977 115.478911) + (xy 111.665078 115.597373) + (xy 111.66508 115.597376) + (xy 111.750366 115.695801) + (xy 111.859926 115.766209) + (xy 111.984883 115.8029) + (xy 112.115117 115.8029) + (xy 112.240073 115.766209) + (xy 112.240073 115.766208) + (xy 112.240076 115.766208) + (xy 112.349636 115.695799) + (xy 112.434921 115.597374) + (xy 112.489023 115.478909) + (xy 112.507557 115.35) + (xy 121.592443 115.35) + (xy 121.610977 115.478911) + (xy 121.665078 115.597373) + (xy 121.66508 115.597376) + (xy 121.750366 115.695801) + (xy 121.859926 115.766209) + (xy 121.984883 115.8029) + (xy 122.115117 115.8029) + (xy 122.240073 115.766209) + (xy 122.240073 115.766208) + (xy 122.240076 115.766208) + (xy 122.349636 115.695799) + (xy 122.434921 115.597374) + (xy 122.489023 115.478909) + (xy 122.507557 115.35) + (xy 122.489023 115.221091) + (xy 122.470264 115.180016) + (xy 122.434921 115.102626) + (xy 122.434919 115.102623) + (xy 122.349633 115.004198) + (xy 122.240073 114.93379) + (xy 122.115117 114.8971) + (xy 121.984883 114.8971) + (xy 121.859926 114.93379) + (xy 121.750366 115.004198) + (xy 121.66508 115.102623) + (xy 121.665078 115.102626) + (xy 121.610977 115.221088) + (xy 121.592443 115.35) + (xy 112.507557 115.35) + (xy 112.489023 115.221091) + (xy 112.470264 115.180016) + (xy 112.434921 115.102626) + (xy 112.434919 115.102623) + (xy 112.349633 115.004198) + (xy 112.240073 114.93379) + (xy 112.115117 114.8971) + (xy 111.984883 114.8971) + (xy 111.859926 114.93379) + (xy 111.750366 115.004198) + (xy 111.66508 115.102623) + (xy 111.665078 115.102626) + (xy 111.610977 115.221088) + (xy 111.592443 115.35) + (xy 74.19025 115.35) + (xy 74.174957 115.253445) + (xy 74.117016 115.139729) + (xy 74.026771 115.049484) + (xy 73.913055 114.991543) + (xy 73.913057 114.991543) + (xy 73.787 114.971578) + (xy 73.660943 114.991543) + (xy 73.547228 115.049484) + (xy 73.456984 115.139728) + (xy 73.399043 115.253443) + (xy 73.379078 115.379499) + (xy 73.379078 115.3795) + (xy 64.514287 115.3795) + (xy 64.501771 115.366984) + (xy 64.388055 115.309043) + (xy 64.388057 115.309043) + (xy 64.262 115.289078) + (xy 64.135943 115.309043) + (xy 64.022228 115.366984) + (xy 63.931984 115.457228) + (xy 63.874043 115.570943) + (xy 63.854078 115.696999) + (xy 63.854078 115.697) + (xy 46.101 115.697) + (xy 46.101 115.126481) + (xy 46.118593 115.078143) + (xy 46.163142 115.052423) + (xy 46.210341 115.059478) + (xy 46.228942 115.068956) + (xy 46.228943 115.068956) + (xy 46.228945 115.068957) + (xy 46.355 115.088922) + (xy 46.481055 115.068957) + (xy 46.594771 115.011016) + (xy 46.685016 114.920771) + (xy 46.742957 114.807055) + (xy 46.762922 114.681) + (xy 51.027078 114.681) + (xy 51.047043 114.807056) + (xy 51.08036 114.872443) + (xy 51.104984 114.920771) + (xy 51.195229 115.011016) + (xy 51.308943 115.068956) + (xy 51.308945 115.068957) + (xy 51.435 115.088922) + (xy 51.561055 115.068957) + (xy 51.674771 115.011016) + (xy 51.765016 114.920771) + (xy 51.822957 114.807055) + (xy 51.832865 114.7445) + (xy 56.107078 114.7445) + (xy 56.127043 114.870556) + (xy 56.146082 114.907922) + (xy 56.184984 114.984271) + (xy 56.275229 115.074516) + (xy 56.388943 115.132456) + (xy 56.388945 115.132457) + (xy 56.515 115.152422) + (xy 56.641055 115.132457) + (xy 56.754771 115.074516) + (xy 56.845016 114.984271) + (xy 56.902957 114.870555) + (xy 56.922922 114.7445) + (xy 61.123578 114.7445) + (xy 61.143543 114.870556) + (xy 61.162582 114.907922) + (xy 61.201484 114.984271) + (xy 61.291729 115.074516) + (xy 61.405443 115.132456) + (xy 61.405445 115.132457) + (xy 61.5315 115.152422) + (xy 61.657555 115.132457) + (xy 61.771271 115.074516) + (xy 61.847287 114.9985) + (xy 63.155578 114.9985) + (xy 63.175543 115.124556) + (xy 63.233324 115.237957) + (xy 63.233484 115.238271) + (xy 63.323729 115.328516) + (xy 63.437443 115.386456) + (xy 63.437445 115.386457) + (xy 63.5635 115.406422) + (xy 63.689555 115.386457) + (xy 63.803271 115.328516) + (xy 63.893516 115.238271) + (xy 63.951457 115.124555) + (xy 63.971422 114.9985) + (xy 63.951457 114.872445) + (xy 63.893516 114.758729) + (xy 63.803271 114.668484) + (xy 63.689555 114.610543) + (xy 63.689557 114.610543) + (xy 63.5635 114.590578) + (xy 63.437443 114.610543) + (xy 63.323728 114.668484) + (xy 63.233484 114.758728) + (xy 63.175543 114.872443) + (xy 63.155578 114.998499) + (xy 63.155578 114.9985) + (xy 61.847287 114.9985) + (xy 61.861516 114.984271) + (xy 61.919457 114.870555) + (xy 61.939422 114.7445) + (xy 61.919457 114.618445) + (xy 61.861516 114.504729) + (xy 61.771271 114.414484) + (xy 61.657555 114.356543) + (xy 61.657557 114.356543) + (xy 61.5315 114.336578) + (xy 61.405443 114.356543) + (xy 61.291728 114.414484) + (xy 61.201484 114.504728) + (xy 61.143543 114.618443) + (xy 61.123578 114.744499) + (xy 61.123578 114.7445) + (xy 56.922922 114.7445) + (xy 56.902957 114.618445) + (xy 56.845016 114.504729) + (xy 56.754771 114.414484) + (xy 56.641055 114.356543) + (xy 56.641057 114.356543) + (xy 56.515 114.336578) + (xy 56.388943 114.356543) + (xy 56.275228 114.414484) + (xy 56.184984 114.504728) + (xy 56.127043 114.618443) + (xy 56.107078 114.744499) + (xy 56.107078 114.7445) + (xy 51.832865 114.7445) + (xy 51.842922 114.681) + (xy 51.822957 114.554945) + (xy 51.765016 114.441229) + (xy 51.674771 114.350984) + (xy 51.574709 114.3) + (xy 62.457078 114.3) + (xy 62.477043 114.426056) + (xy 62.509858 114.490459) + (xy 62.534984 114.539771) + (xy 62.625229 114.630016) + (xy 62.738943 114.687956) + (xy 62.738945 114.687957) + (xy 62.865 114.707922) + (xy 62.991055 114.687957) + (xy 63.104771 114.630016) + (xy 63.195016 114.539771) + (xy 63.240756 114.45) + (xy 87.392078 114.45) + (xy 87.412043 114.576056) + (xy 87.439537 114.630015) + (xy 87.469984 114.689771) + (xy 87.560229 114.780016) + (xy 87.673943 114.837956) + (xy 87.673945 114.837957) + (xy 87.8 114.857922) + (xy 87.850018 114.85) + (xy 99.342078 114.85) + (xy 99.362043 114.976056) + (xy 99.376384 115.004201) + (xy 99.419984 115.089771) + (xy 99.510229 115.180016) + (xy 99.623943 115.237956) + (xy 99.623945 115.237957) + (xy 99.75 115.257922) + (xy 99.876055 115.237957) + (xy 99.989771 115.180016) + (xy 100.080016 115.089771) + (xy 100.137957 114.976055) + (xy 100.157922 114.85) + (xy 100.156014 114.837956) + (xy 100.149337 114.795799) + (xy 100.137957 114.723945) + (xy 100.080016 114.610229) + (xy 99.989771 114.519984) + (xy 99.95055 114.5) + (xy 103.542078 114.5) + (xy 103.562043 114.626056) + (xy 103.611919 114.723943) + (xy 103.619984 114.739771) + (xy 103.710229 114.830016) + (xy 103.823943 114.887956) + (xy 103.823945 114.887957) + (xy 103.95 114.907922) + (xy 104.076055 114.887957) + (xy 104.189771 114.830016) + (xy 104.280016 114.739771) + (xy 104.337957 114.626055) + (xy 104.357922 114.5) + (xy 104.337957 114.373945) + (xy 104.280016 114.260229) + (xy 104.189771 114.169984) + (xy 104.076055 114.112043) + (xy 104.076057 114.112043) + (xy 103.95 114.092078) + (xy 103.823943 114.112043) + (xy 103.710228 114.169984) + (xy 103.619984 114.260228) + (xy 103.562043 114.373943) + (xy 103.542078 114.499999) + (xy 103.542078 114.5) + (xy 99.95055 114.5) + (xy 99.876055 114.462043) + (xy 99.876057 114.462043) + (xy 99.75 114.442078) + (xy 99.623943 114.462043) + (xy 99.510228 114.519984) + (xy 99.419984 114.610228) + (xy 99.362043 114.723943) + (xy 99.342078 114.849999) + (xy 99.342078 114.85) + (xy 87.850018 114.85) + (xy 87.926055 114.837957) + (xy 88.039771 114.780016) + (xy 88.130016 114.689771) + (xy 88.187957 114.576055) + (xy 88.207922 114.45) + (xy 88.206014 114.437956) + (xy 88.202297 114.414484) + (xy 88.187957 114.323945) + (xy 88.130016 114.210229) + (xy 88.039771 114.119984) + (xy 87.926055 114.062043) + (xy 87.926057 114.062043) + (xy 87.850019 114.05) + (xy 112.492078 114.05) + (xy 112.512043 114.176056) + (xy 112.5654 114.280774) + (xy 112.569984 114.289771) + (xy 112.660229 114.380016) + (xy 112.773943 114.437956) + (xy 112.773945 114.437957) + (xy 112.9 114.457922) + (xy 112.950018 114.45) + (xy 122.592443 114.45) + (xy 122.610977 114.578911) + (xy 122.665078 114.697373) + (xy 122.66508 114.697376) + (xy 122.750366 114.795801) + (xy 122.859926 114.866209) + (xy 122.984883 114.9029) + (xy 123.115117 114.9029) + (xy 123.240073 114.866209) + (xy 123.240073 114.866208) + (xy 123.240076 114.866208) + (xy 123.349636 114.795799) + (xy 123.434921 114.697374) + (xy 123.489023 114.578909) + (xy 123.507557 114.45) + (xy 123.489023 114.321091) + (xy 123.483405 114.30879) + (xy 123.479391 114.3) + (xy 125.992443 114.3) + (xy 126.010977 114.428911) + (xy 126.065078 114.547373) + (xy 126.06508 114.547376) + (xy 126.150366 114.645801) + (xy 126.259926 114.716209) + (xy 126.384883 114.7529) + (xy 126.515117 114.7529) + (xy 126.640073 114.716209) + (xy 126.640073 114.716208) + (xy 126.640076 114.716208) + (xy 126.749636 114.645799) + (xy 126.834921 114.547374) + (xy 126.889023 114.428909) + (xy 126.907557 114.3) + (xy 126.889023 114.171091) + (xy 126.888517 114.169984) + (xy 126.834921 114.052626) + (xy 126.834919 114.052623) + (xy 126.749633 113.954198) + (xy 126.640073 113.88379) + (xy 126.515117 113.8471) + (xy 126.384883 113.8471) + (xy 126.259926 113.88379) + (xy 126.150366 113.954198) + (xy 126.06508 114.052623) + (xy 126.065078 114.052626) + (xy 126.010977 114.171088) + (xy 125.992443 114.3) + (xy 123.479391 114.3) + (xy 123.434921 114.202626) + (xy 123.434919 114.202623) + (xy 123.356431 114.112043) + (xy 123.349636 114.104201) + (xy 123.349635 114.1042) + (xy 123.349633 114.104198) + (xy 123.240073 114.03379) + (xy 123.115117 113.9971) + (xy 122.984883 113.9971) + (xy 122.859926 114.03379) + (xy 122.750366 114.104198) + (xy 122.66508 114.202623) + (xy 122.665078 114.202626) + (xy 122.610977 114.321088) + (xy 122.592443 114.45) + (xy 112.950018 114.45) + (xy 113.026055 114.437957) + (xy 113.139771 114.380016) + (xy 113.230016 114.289771) + (xy 113.287957 114.176055) + (xy 113.307922 114.05) + (xy 113.287957 113.923945) + (xy 113.230016 113.810229) + (xy 113.139771 113.719984) + (xy 113.026055 113.662043) + (xy 113.026057 113.662043) + (xy 112.9 113.642078) + (xy 112.773943 113.662043) + (xy 112.660228 113.719984) + (xy 112.569984 113.810228) + (xy 112.512043 113.923943) + (xy 112.492078 114.049999) + (xy 112.492078 114.05) + (xy 87.850019 114.05) + (xy 87.8 114.042078) + (xy 87.673943 114.062043) + (xy 87.560228 114.119984) + (xy 87.469984 114.210228) + (xy 87.412043 114.323943) + (xy 87.392078 114.449999) + (xy 87.392078 114.45) + (xy 63.240756 114.45) + (xy 63.252957 114.426055) + (xy 63.272922 114.3) + (xy 63.252957 114.173945) + (xy 63.195016 114.060229) + (xy 63.104771 113.969984) + (xy 62.991055 113.912043) + (xy 62.991057 113.912043) + (xy 62.865 113.892078) + (xy 62.738943 113.912043) + (xy 62.625228 113.969984) + (xy 62.534984 114.060228) + (xy 62.477043 114.173943) + (xy 62.457078 114.299999) + (xy 62.457078 114.3) + (xy 51.574709 114.3) + (xy 51.561055 114.293043) + (xy 51.561057 114.293043) + (xy 51.435 114.273078) + (xy 51.308943 114.293043) + (xy 51.195228 114.350984) + (xy 51.104984 114.441228) + (xy 51.047043 114.554943) + (xy 51.027078 114.680999) + (xy 51.027078 114.681) + (xy 46.762922 114.681) + (xy 46.742957 114.554945) + (xy 46.685016 114.441229) + (xy 46.594771 114.350984) + (xy 46.481055 114.293043) + (xy 46.481057 114.293043) + (xy 46.355 114.273078) + (xy 46.228942 114.293043) + (xy 46.22894 114.293044) + (xy 46.210339 114.302522) + (xy 46.159283 114.30879) + (xy 46.116142 114.280774) + (xy 46.101 114.235518) + (xy 46.101 113.75) + (xy 67.942078 113.75) + (xy 67.962043 113.876056) + (xy 67.986443 113.923943) + (xy 68.019984 113.989771) + (xy 68.110229 114.080016) + (xy 68.223943 114.137956) + (xy 68.223945 114.137957) + (xy 68.35 114.157922) + (xy 68.476055 114.137957) + (xy 68.589771 114.080016) + (xy 68.680016 113.989771) + (xy 68.737957 113.876055) + (xy 68.757922 113.75) + (xy 69.542078 113.75) + (xy 69.562043 113.876056) + (xy 69.586443 113.923943) + (xy 69.619984 113.989771) + (xy 69.710229 114.080016) + (xy 69.823943 114.137956) + (xy 69.823945 114.137957) + (xy 69.95 114.157922) + (xy 70.076055 114.137957) + (xy 70.189771 114.080016) + (xy 70.280016 113.989771) + (xy 70.337957 113.876055) + (xy 70.357922 113.75) + (xy 84.092078 113.75) + (xy 84.112043 113.876056) + (xy 84.136443 113.923943) + (xy 84.169984 113.989771) + (xy 84.260229 114.080016) + (xy 84.373943 114.137956) + (xy 84.373945 114.137957) + (xy 84.5 114.157922) + (xy 84.626055 114.137957) + (xy 84.739771 114.080016) + (xy 84.830016 113.989771) + (xy 84.887957 113.876055) + (xy 84.907922 113.75) + (xy 84.887957 113.623945) + (xy 84.830016 113.510229) + (xy 84.739771 113.419984) + (xy 84.70055 113.4) + (xy 108.492078 113.4) + (xy 108.512043 113.526056) + (xy 108.561919 113.623943) + (xy 108.569984 113.639771) + (xy 108.660229 113.730016) + (xy 108.773943 113.787956) + (xy 108.773945 113.787957) + (xy 108.9 113.807922) + (xy 109.026055 113.787957) + (xy 109.139771 113.730016) + (xy 109.230016 113.639771) + (xy 109.287957 113.526055) + (xy 109.300003 113.45) + (xy 111.342078 113.45) + (xy 111.362043 113.576056) + (xy 111.419984 113.689771) + (xy 111.510229 113.780016) + (xy 111.623943 113.837956) + (xy 111.623945 113.837957) + (xy 111.75 113.857922) + (xy 111.876055 113.837957) + (xy 111.989771 113.780016) + (xy 112.080016 113.689771) + (xy 112.137957 113.576055) + (xy 112.157922 113.45) + (xy 113.642078 113.45) + (xy 113.662043 113.576056) + (xy 113.719984 113.689771) + (xy 113.810229 113.780016) + (xy 113.923943 113.837956) + (xy 113.923945 113.837957) + (xy 114.05 113.857922) + (xy 114.176055 113.837957) + (xy 114.289771 113.780016) + (xy 114.380016 113.689771) + (xy 114.437957 113.576055) + (xy 114.457922 113.45) + (xy 118.242078 113.45) + (xy 118.262043 113.576056) + (xy 118.319984 113.689771) + (xy 118.410229 113.780016) + (xy 118.523943 113.837956) + (xy 118.523945 113.837957) + (xy 118.65 113.857922) + (xy 118.776055 113.837957) + (xy 118.889771 113.780016) + (xy 118.980016 113.689771) + (xy 118.992637 113.665) + (xy 130.275078 113.665) + (xy 130.295043 113.791056) + (xy 130.338353 113.876056) + (xy 130.352984 113.904771) + (xy 130.443229 113.995016) + (xy 130.556943 114.052956) + (xy 130.556945 114.052957) + (xy 130.683 114.072922) + (xy 130.809055 114.052957) + (xy 130.922771 113.995016) + (xy 131.013016 113.904771) + (xy 131.070957 113.791055) + (xy 131.090922 113.665) + (xy 135.355078 113.665) + (xy 135.375043 113.791056) + (xy 135.418353 113.876056) + (xy 135.432984 113.904771) + (xy 135.523229 113.995016) + (xy 135.636943 114.052956) + (xy 135.636945 114.052957) + (xy 135.763 114.072922) + (xy 135.889055 114.052957) + (xy 136.002771 113.995016) + (xy 136.093016 113.904771) + (xy 136.150957 113.791055) + (xy 136.170922 113.665) + (xy 140.435078 113.665) + (xy 140.455043 113.791056) + (xy 140.498353 113.876056) + (xy 140.512984 113.904771) + (xy 140.603229 113.995016) + (xy 140.716943 114.052956) + (xy 140.716945 114.052957) + (xy 140.843 114.072922) + (xy 140.969055 114.052957) + (xy 141.082771 113.995016) + (xy 141.173016 113.904771) + (xy 141.230957 113.791055) + (xy 141.250922 113.665) + (xy 141.230957 113.538945) + (xy 141.173016 113.425229) + (xy 141.082771 113.334984) + (xy 140.969055 113.277043) + (xy 140.969057 113.277043) + (xy 140.843 113.257078) + (xy 140.716943 113.277043) + (xy 140.603228 113.334984) + (xy 140.512984 113.425228) + (xy 140.455043 113.538943) + (xy 140.435078 113.664999) + (xy 140.435078 113.665) + (xy 136.170922 113.665) + (xy 136.150957 113.538945) + (xy 136.093016 113.425229) + (xy 136.002771 113.334984) + (xy 135.889055 113.277043) + (xy 135.889057 113.277043) + (xy 135.763 113.257078) + (xy 135.636943 113.277043) + (xy 135.523228 113.334984) + (xy 135.432984 113.425228) + (xy 135.375043 113.538943) + (xy 135.355078 113.664999) + (xy 135.355078 113.665) + (xy 131.090922 113.665) + (xy 131.070957 113.538945) + (xy 131.013016 113.425229) + (xy 130.922771 113.334984) + (xy 130.809055 113.277043) + (xy 130.809057 113.277043) + (xy 130.683 113.257078) + (xy 130.556943 113.277043) + (xy 130.443228 113.334984) + (xy 130.352984 113.425228) + (xy 130.295043 113.538943) + (xy 130.275078 113.664999) + (xy 130.275078 113.665) + (xy 118.992637 113.665) + (xy 119.037957 113.576055) + (xy 119.057922 113.45) + (xy 119.057506 113.447376) + (xy 119.050003 113.399999) + (xy 119.037957 113.323945) + (xy 118.980016 113.210229) + (xy 118.889771 113.119984) + (xy 118.776055 113.062043) + (xy 118.776057 113.062043) + (xy 118.65 113.042078) + (xy 118.523943 113.062043) + (xy 118.410228 113.119984) + (xy 118.319984 113.210228) + (xy 118.262043 113.323943) + (xy 118.242078 113.449999) + (xy 118.242078 113.45) + (xy 114.457922 113.45) + (xy 114.457506 113.447376) + (xy 114.450003 113.399999) + (xy 114.437957 113.323945) + (xy 114.380016 113.210229) + (xy 114.289771 113.119984) + (xy 114.176055 113.062043) + (xy 114.176057 113.062043) + (xy 114.05 113.042078) + (xy 113.923943 113.062043) + (xy 113.810228 113.119984) + (xy 113.719984 113.210228) + (xy 113.662043 113.323943) + (xy 113.642078 113.449999) + (xy 113.642078 113.45) + (xy 112.157922 113.45) + (xy 112.157506 113.447376) + (xy 112.150003 113.399999) + (xy 112.137957 113.323945) + (xy 112.080016 113.210229) + (xy 111.989771 113.119984) + (xy 111.876055 113.062043) + (xy 111.876057 113.062043) + (xy 111.75 113.042078) + (xy 111.623943 113.062043) + (xy 111.510228 113.119984) + (xy 111.419984 113.210228) + (xy 111.362043 113.323943) + (xy 111.342078 113.449999) + (xy 111.342078 113.45) + (xy 109.300003 113.45) + (xy 109.307922 113.4) + (xy 109.287957 113.273945) + (xy 109.230016 113.160229) + (xy 109.139771 113.069984) + (xy 109.026055 113.012043) + (xy 109.026057 113.012043) + (xy 108.9 112.992078) + (xy 108.773943 113.012043) + (xy 108.660228 113.069984) + (xy 108.569984 113.160228) + (xy 108.512043 113.273943) + (xy 108.492078 113.399999) + (xy 108.492078 113.4) + (xy 84.70055 113.4) + (xy 84.626055 113.362043) + (xy 84.626057 113.362043) + (xy 84.5 113.342078) + (xy 84.373943 113.362043) + (xy 84.260228 113.419984) + (xy 84.169984 113.510228) + (xy 84.112043 113.623943) + (xy 84.092078 113.749999) + (xy 84.092078 113.75) + (xy 70.357922 113.75) + (xy 70.337957 113.623945) + (xy 70.280016 113.510229) + (xy 70.189771 113.419984) + (xy 70.076055 113.362043) + (xy 70.076057 113.362043) + (xy 69.95 113.342078) + (xy 69.823943 113.362043) + (xy 69.710228 113.419984) + (xy 69.619984 113.510228) + (xy 69.562043 113.623943) + (xy 69.542078 113.749999) + (xy 69.542078 113.75) + (xy 68.757922 113.75) + (xy 68.737957 113.623945) + (xy 68.680016 113.510229) + (xy 68.589771 113.419984) + (xy 68.476055 113.362043) + (xy 68.476057 113.362043) + (xy 68.35 113.342078) + (xy 68.223943 113.362043) + (xy 68.110228 113.419984) + (xy 68.019984 113.510228) + (xy 67.962043 113.623943) + (xy 67.942078 113.749999) + (xy 67.942078 113.75) + (xy 46.101 113.75) + (xy 46.101 113.2205) + (xy 62.139578 113.2205) + (xy 62.159543 113.346556) + (xy 62.176592 113.380016) + (xy 62.217484 113.460271) + (xy 62.307729 113.550516) + (xy 62.421443 113.608456) + (xy 62.421445 113.608457) + (xy 62.5475 113.628422) + (xy 62.673555 113.608457) + (xy 62.787271 113.550516) + (xy 62.877516 113.460271) + (xy 62.935457 113.346555) + (xy 62.955422 113.2205) + (xy 62.955266 113.219518) + (xy 62.945876 113.160228) + (xy 62.935457 113.094445) + (xy 62.877516 112.980729) + (xy 62.787271 112.890484) + (xy 62.687209 112.8395) + (xy 70.839078 112.8395) + (xy 70.859043 112.965556) + (xy 70.898033 113.042078) + (xy 70.916984 113.079271) + (xy 71.007229 113.169516) + (xy 71.120943 113.227456) + (xy 71.120945 113.227457) + (xy 71.247 113.247422) + (xy 71.373055 113.227457) + (xy 71.486771 113.169516) + (xy 71.577016 113.079271) + (xy 71.634957 112.965555) + (xy 71.64534 112.9) + (xy 92.042078 112.9) + (xy 92.062043 113.026056) + (xy 92.084426 113.069984) + (xy 92.119984 113.139771) + (xy 92.210229 113.230016) + (xy 92.323943 113.287956) + (xy 92.323945 113.287957) + (xy 92.45 113.307922) + (xy 92.576055 113.287957) + (xy 92.689771 113.230016) + (xy 92.780016 113.139771) + (xy 92.837957 113.026055) + (xy 92.857922 112.9) + (xy 99.642078 112.9) + (xy 99.662043 113.026056) + (xy 99.684426 113.069984) + (xy 99.719984 113.139771) + (xy 99.810229 113.230016) + (xy 99.923943 113.287956) + (xy 99.923945 113.287957) + (xy 100.05 113.307922) + (xy 100.176055 113.287957) + (xy 100.289771 113.230016) + (xy 100.380016 113.139771) + (xy 100.437957 113.026055) + (xy 100.457922 112.9) + (xy 100.437957 112.773945) + (xy 100.380016 112.660229) + (xy 100.369787 112.65) + (xy 114.342078 112.65) + (xy 114.362043 112.776056) + (xy 114.365984 112.78379) + (xy 114.419984 112.889771) + (xy 114.510229 112.980016) + (xy 114.623943 113.037956) + (xy 114.623945 113.037957) + (xy 114.75 113.057922) + (xy 114.876055 113.037957) + (xy 114.989771 112.980016) + (xy 115.080016 112.889771) + (xy 115.137957 112.776055) + (xy 115.157922 112.65) + (xy 122.142078 112.65) + (xy 122.162043 112.776056) + (xy 122.165984 112.78379) + (xy 122.219984 112.889771) + (xy 122.310229 112.980016) + (xy 122.423943 113.037956) + (xy 122.423945 113.037957) + (xy 122.55 113.057922) + (xy 122.600018 113.05) + (xy 125.142078 113.05) + (xy 125.162043 113.176056) + (xy 125.189537 113.230015) + (xy 125.219984 113.289771) + (xy 125.310229 113.380016) + (xy 125.423943 113.437956) + (xy 125.423945 113.437957) + (xy 125.55 113.457922) + (xy 125.676055 113.437957) + (xy 125.789771 113.380016) + (xy 125.880016 113.289771) + (xy 125.925756 113.2) + (xy 126.892443 113.2) + (xy 126.910977 113.328911) + (xy 126.965078 113.447373) + (xy 126.96508 113.447376) + (xy 127.050366 113.545801) + (xy 127.097445 113.576056) + (xy 127.147861 113.608456) + (xy 127.159926 113.616209) + (xy 127.284883 113.6529) + (xy 127.415117 113.6529) + (xy 127.540073 113.616209) + (xy 127.540073 113.616208) + (xy 127.540076 113.616208) + (xy 127.649636 113.545799) + (xy 127.734921 113.447374) + (xy 127.789023 113.328909) + (xy 127.807557 113.2) + (xy 127.789023 113.071091) + (xy 127.788517 113.069984) + (xy 127.734921 112.952626) + (xy 127.734919 112.952623) + (xy 127.649633 112.854198) + (xy 127.540073 112.78379) + (xy 127.415117 112.7471) + (xy 127.284883 112.7471) + (xy 127.159926 112.78379) + (xy 127.050366 112.854198) + (xy 126.96508 112.952623) + (xy 126.965078 112.952626) + (xy 126.910977 113.071088) + (xy 126.892443 113.2) + (xy 125.925756 113.2) + (xy 125.937957 113.176055) + (xy 125.957922 113.05) + (xy 125.956014 113.037956) + (xy 125.948748 112.992078) + (xy 125.937957 112.923945) + (xy 125.880016 112.810229) + (xy 125.789771 112.719984) + (xy 125.676055 112.662043) + (xy 125.676057 112.662043) + (xy 125.55 112.642078) + (xy 125.423943 112.662043) + (xy 125.310228 112.719984) + (xy 125.219984 112.810228) + (xy 125.162043 112.923943) + (xy 125.142078 113.049999) + (xy 125.142078 113.05) + (xy 122.600018 113.05) + (xy 122.676055 113.037957) + (xy 122.789771 112.980016) + (xy 122.880016 112.889771) + (xy 122.937957 112.776055) + (xy 122.957922 112.65) + (xy 122.937957 112.523945) + (xy 122.880016 112.410229) + (xy 122.789771 112.319984) + (xy 122.676055 112.262043) + (xy 122.676057 112.262043) + (xy 122.55 112.242078) + (xy 122.423943 112.262043) + (xy 122.310228 112.319984) + (xy 122.219984 112.410228) + (xy 122.162043 112.523943) + (xy 122.142078 112.649999) + (xy 122.142078 112.65) + (xy 115.157922 112.65) + (xy 115.137957 112.523945) + (xy 115.080016 112.410229) + (xy 114.989771 112.319984) + (xy 114.876055 112.262043) + (xy 114.876057 112.262043) + (xy 114.75 112.242078) + (xy 114.623943 112.262043) + (xy 114.510228 112.319984) + (xy 114.419984 112.410228) + (xy 114.362043 112.523943) + (xy 114.342078 112.649999) + (xy 114.342078 112.65) + (xy 100.369787 112.65) + (xy 100.289771 112.569984) + (xy 100.176055 112.512043) + (xy 100.176057 112.512043) + (xy 100.05 112.492078) + (xy 99.923943 112.512043) + (xy 99.810228 112.569984) + (xy 99.719984 112.660228) + (xy 99.662043 112.773943) + (xy 99.642078 112.899999) + (xy 99.642078 112.9) + (xy 92.857922 112.9) + (xy 92.837957 112.773945) + (xy 92.780016 112.660229) + (xy 92.689771 112.569984) + (xy 92.576055 112.512043) + (xy 92.576057 112.512043) + (xy 92.45 112.492078) + (xy 92.323943 112.512043) + (xy 92.210228 112.569984) + (xy 92.119984 112.660228) + (xy 92.062043 112.773943) + (xy 92.042078 112.899999) + (xy 92.042078 112.9) + (xy 71.64534 112.9) + (xy 71.654922 112.8395) + (xy 71.634957 112.713445) + (xy 71.577016 112.599729) + (xy 71.486771 112.509484) + (xy 71.373055 112.451543) + (xy 71.373057 112.451543) + (xy 71.247 112.431578) + (xy 71.120943 112.451543) + (xy 71.007228 112.509484) + (xy 70.916984 112.599728) + (xy 70.859043 112.713443) + (xy 70.839078 112.839499) + (xy 70.839078 112.8395) + (xy 62.687209 112.8395) + (xy 62.673555 112.832543) + (xy 62.673557 112.832543) + (xy 62.5475 112.812578) + (xy 62.421443 112.832543) + (xy 62.307728 112.890484) + (xy 62.217484 112.980728) + (xy 62.159543 113.094443) + (xy 62.139578 113.220499) + (xy 62.139578 113.2205) + (xy 46.101 113.2205) + (xy 46.101 112.141) + (xy 48.487078 112.141) + (xy 48.507043 112.267056) + (xy 48.539398 112.330555) + (xy 48.564984 112.380771) + (xy 48.655229 112.471016) + (xy 48.768943 112.528956) + (xy 48.768945 112.528957) + (xy 48.895 112.548922) + (xy 49.021055 112.528957) + (xy 49.134771 112.471016) + (xy 49.225016 112.380771) + (xy 49.282957 112.267055) + (xy 49.292865 112.2045) + (xy 53.567078 112.2045) + (xy 53.587043 112.330556) + (xy 53.612629 112.380771) + (xy 53.644984 112.444271) + (xy 53.735229 112.534516) + (xy 53.848943 112.592456) + (xy 53.848945 112.592457) + (xy 53.975 112.612422) + (xy 54.101055 112.592457) + (xy 54.214771 112.534516) + (xy 54.305016 112.444271) + (xy 54.362957 112.330555) + (xy 54.382922 112.2045) + (xy 58.647078 112.2045) + (xy 58.667043 112.330556) + (xy 58.692629 112.380771) + (xy 58.724984 112.444271) + (xy 58.815229 112.534516) + (xy 58.928943 112.592456) + (xy 58.928945 112.592457) + (xy 59.055 112.612422) + (xy 59.181055 112.592457) + (xy 59.294771 112.534516) + (xy 59.385016 112.444271) + (xy 59.442957 112.330555) + (xy 59.462922 112.2045) + (xy 59.442957 112.078445) + (xy 59.385016 111.964729) + (xy 59.294771 111.874484) + (xy 59.181055 111.816543) + (xy 59.181057 111.816543) + (xy 59.055 111.796578) + (xy 58.928943 111.816543) + (xy 58.815228 111.874484) + (xy 58.724984 111.964728) + (xy 58.667043 112.078443) + (xy 58.647078 112.204499) + (xy 58.647078 112.2045) + (xy 54.382922 112.2045) + (xy 54.362957 112.078445) + (xy 54.305016 111.964729) + (xy 54.214771 111.874484) + (xy 54.101055 111.816543) + (xy 54.101057 111.816543) + (xy 53.975 111.796578) + (xy 53.848943 111.816543) + (xy 53.735228 111.874484) + (xy 53.644984 111.964728) + (xy 53.587043 112.078443) + (xy 53.567078 112.204499) + (xy 53.567078 112.2045) + (xy 49.292865 112.2045) + (xy 49.302922 112.141) + (xy 49.282957 112.014945) + (xy 49.225016 111.901229) + (xy 49.134771 111.810984) + (xy 49.021055 111.753043) + (xy 49.021057 111.753043) + (xy 48.895 111.733078) + (xy 48.768943 111.753043) + (xy 48.655228 111.810984) + (xy 48.564984 111.901228) + (xy 48.507043 112.014943) + (xy 48.487078 112.140999) + (xy 48.487078 112.141) + (xy 46.101 112.141) + (xy 46.101 111.5695) + (xy 63.028578 111.5695) + (xy 63.048543 111.695556) + (xy 63.084924 111.766957) + (xy 63.106484 111.809271) + (xy 63.196729 111.899516) + (xy 63.310443 111.957456) + (xy 63.310445 111.957457) + (xy 63.4365 111.977422) + (xy 63.562555 111.957457) + (xy 63.676271 111.899516) + (xy 63.752287 111.8235) + (xy 69.124578 111.8235) + (xy 69.144543 111.949556) + (xy 69.158742 111.977422) + (xy 69.202484 112.063271) + (xy 69.292729 112.153516) + (xy 69.406443 112.211456) + (xy 69.406445 112.211457) + (xy 69.5325 112.231422) + (xy 69.658555 112.211457) + (xy 69.772271 112.153516) + (xy 69.862516 112.063271) + (xy 69.920457 111.949555) + (xy 69.940422 111.8235) + (xy 69.928781 111.75) + (xy 86.992078 111.75) + (xy 87.012043 111.876056) + (xy 87.062995 111.976055) + (xy 87.069984 111.989771) + (xy 87.160229 112.080016) + (xy 87.273943 112.137956) + (xy 87.273945 112.137957) + (xy 87.4 112.157922) + (xy 87.526055 112.137957) + (xy 87.639771 112.080016) + (xy 87.719787 112) + (xy 90.942443 112) + (xy 90.960977 112.128911) + (xy 91.015078 112.247373) + (xy 91.01508 112.247376) + (xy 91.100366 112.345801) + (xy 91.153607 112.380016) + (xy 91.20062 112.410229) + (xy 91.209926 112.416209) + (xy 91.334883 112.4529) + (xy 91.465117 112.4529) + (xy 91.590073 112.416209) + (xy 91.590073 112.416208) + (xy 91.590076 112.416208) + (xy 91.699636 112.345799) + (xy 91.784921 112.247374) + (xy 91.839023 112.128909) + (xy 91.857557 112) + (xy 91.839023 111.871091) + (xy 91.82939 111.85) + (xy 95.742078 111.85) + (xy 95.762043 111.976056) + (xy 95.781857 112.014943) + (xy 95.819984 112.089771) + (xy 95.910229 112.180016) + (xy 96.023943 112.237956) + (xy 96.023945 112.237957) + (xy 96.15 112.257922) + (xy 96.276055 112.237957) + (xy 96.389771 112.180016) + (xy 96.480016 112.089771) + (xy 96.525756 112) + (xy 97.942443 112) + (xy 97.960977 112.128911) + (xy 98.015078 112.247373) + (xy 98.01508 112.247376) + (xy 98.100366 112.345801) + (xy 98.153607 112.380016) + (xy 98.20062 112.410229) + (xy 98.209926 112.416209) + (xy 98.334883 112.4529) + (xy 98.465117 112.4529) + (xy 98.590073 112.416209) + (xy 98.590073 112.416208) + (xy 98.590076 112.416208) + (xy 98.699636 112.345799) + (xy 98.784921 112.247374) + (xy 98.839023 112.128909) + (xy 98.850368 112.05) + (xy 108.542078 112.05) + (xy 108.562043 112.176056) + (xy 108.595683 112.242078) + (xy 108.619984 112.289771) + (xy 108.710229 112.380016) + (xy 108.823943 112.437956) + (xy 108.823945 112.437957) + (xy 108.95 112.457922) + (xy 109.076055 112.437957) + (xy 109.189771 112.380016) + (xy 109.280016 112.289771) + (xy 109.337957 112.176055) + (xy 109.357922 112.05) + (xy 109.337957 111.923945) + (xy 109.30028 111.85) + (xy 111.342078 111.85) + (xy 111.362043 111.976056) + (xy 111.381857 112.014943) + (xy 111.419984 112.089771) + (xy 111.510229 112.180016) + (xy 111.623943 112.237956) + (xy 111.623945 112.237957) + (xy 111.75 112.257922) + (xy 111.876055 112.237957) + (xy 111.989771 112.180016) + (xy 112.080016 112.089771) + (xy 112.137957 111.976055) + (xy 112.157922 111.85) + (xy 113.642078 111.85) + (xy 113.662043 111.976056) + (xy 113.681857 112.014943) + (xy 113.719984 112.089771) + (xy 113.810229 112.180016) + (xy 113.923943 112.237956) + (xy 113.923945 112.237957) + (xy 114.05 112.257922) + (xy 114.176055 112.237957) + (xy 114.289771 112.180016) + (xy 114.380016 112.089771) + (xy 114.437957 111.976055) + (xy 114.457922 111.85) + (xy 118.242078 111.85) + (xy 118.262043 111.976056) + (xy 118.281857 112.014943) + (xy 118.319984 112.089771) + (xy 118.410229 112.180016) + (xy 118.523943 112.237956) + (xy 118.523945 112.237957) + (xy 118.65 112.257922) + (xy 118.776055 112.237957) + (xy 118.889771 112.180016) + (xy 118.980016 112.089771) + (xy 119.037957 111.976055) + (xy 119.057922 111.85) + (xy 119.037957 111.723945) + (xy 118.980016 111.610229) + (xy 118.889771 111.519984) + (xy 118.776055 111.462043) + (xy 118.776057 111.462043) + (xy 118.65 111.442078) + (xy 118.523943 111.462043) + (xy 118.410228 111.519984) + (xy 118.319984 111.610228) + (xy 118.262043 111.723943) + (xy 118.242078 111.849999) + (xy 118.242078 111.85) + (xy 114.457922 111.85) + (xy 114.437957 111.723945) + (xy 114.380016 111.610229) + (xy 114.289771 111.519984) + (xy 114.176055 111.462043) + (xy 114.176057 111.462043) + (xy 114.05 111.442078) + (xy 113.923943 111.462043) + (xy 113.810228 111.519984) + (xy 113.719984 111.610228) + (xy 113.662043 111.723943) + (xy 113.642078 111.849999) + (xy 113.642078 111.85) + (xy 112.157922 111.85) + (xy 112.137957 111.723945) + (xy 112.080016 111.610229) + (xy 111.989771 111.519984) + (xy 111.876055 111.462043) + (xy 111.876057 111.462043) + (xy 111.75 111.442078) + (xy 111.623943 111.462043) + (xy 111.510228 111.519984) + (xy 111.419984 111.610228) + (xy 111.362043 111.723943) + (xy 111.342078 111.849999) + (xy 111.342078 111.85) + (xy 109.30028 111.85) + (xy 109.280016 111.810229) + (xy 109.189771 111.719984) + (xy 109.076055 111.662043) + (xy 109.076057 111.662043) + (xy 108.95 111.642078) + (xy 108.823943 111.662043) + (xy 108.710228 111.719984) + (xy 108.619984 111.810228) + (xy 108.562043 111.923943) + (xy 108.542078 112.049999) + (xy 108.542078 112.05) + (xy 98.850368 112.05) + (xy 98.857557 112) + (xy 98.839023 111.871091) + (xy 98.82939 111.849999) + (xy 98.784921 111.752626) + (xy 98.784919 111.752623) + (xy 98.699633 111.654198) + (xy 98.590073 111.58379) + (xy 98.465117 111.5471) + (xy 98.334883 111.5471) + (xy 98.209926 111.58379) + (xy 98.100366 111.654198) + (xy 98.01508 111.752623) + (xy 98.015078 111.752626) + (xy 97.960977 111.871088) + (xy 97.942443 112) + (xy 96.525756 112) + (xy 96.537957 111.976055) + (xy 96.557922 111.85) + (xy 96.537957 111.723945) + (xy 96.480016 111.610229) + (xy 96.389771 111.519984) + (xy 96.276055 111.462043) + (xy 96.276057 111.462043) + (xy 96.15 111.442078) + (xy 96.023943 111.462043) + (xy 95.910228 111.519984) + (xy 95.819984 111.610228) + (xy 95.762043 111.723943) + (xy 95.742078 111.849999) + (xy 95.742078 111.85) + (xy 91.82939 111.85) + (xy 91.82939 111.849999) + (xy 91.784921 111.752626) + (xy 91.784919 111.752623) + (xy 91.699633 111.654198) + (xy 91.590073 111.58379) + (xy 91.465117 111.5471) + (xy 91.334883 111.5471) + (xy 91.209926 111.58379) + (xy 91.100366 111.654198) + (xy 91.01508 111.752623) + (xy 91.015078 111.752626) + (xy 90.960977 111.871088) + (xy 90.942443 112) + (xy 87.719787 112) + (xy 87.730016 111.989771) + (xy 87.787957 111.876055) + (xy 87.807922 111.75) + (xy 87.787957 111.623945) + (xy 87.730016 111.510229) + (xy 87.639771 111.419984) + (xy 87.526055 111.362043) + (xy 87.526057 111.362043) + (xy 87.4 111.342078) + (xy 87.273943 111.362043) + (xy 87.160228 111.419984) + (xy 87.069984 111.510228) + (xy 87.012043 111.623943) + (xy 86.992078 111.749999) + (xy 86.992078 111.75) + (xy 69.928781 111.75) + (xy 69.920457 111.697445) + (xy 69.862516 111.583729) + (xy 69.772271 111.493484) + (xy 69.658555 111.435543) + (xy 69.658557 111.435543) + (xy 69.5325 111.415578) + (xy 69.406443 111.435543) + (xy 69.292728 111.493484) + (xy 69.202484 111.583728) + (xy 69.144543 111.697443) + (xy 69.124578 111.823499) + (xy 69.124578 111.8235) + (xy 63.752287 111.8235) + (xy 63.766516 111.809271) + (xy 63.824457 111.695555) + (xy 63.844422 111.5695) + (xy 63.824457 111.443445) + (xy 63.791621 111.379) + (xy 65.378078 111.379) + (xy 65.398043 111.505056) + (xy 65.422421 111.5529) + (xy 65.455984 111.618771) + (xy 65.546229 111.709016) + (xy 65.659943 111.766956) + (xy 65.659945 111.766957) + (xy 65.786 111.786922) + (xy 65.912055 111.766957) + (xy 66.025771 111.709016) + (xy 66.116016 111.618771) + (xy 66.173957 111.505055) + (xy 66.193922 111.379) + (xy 66.775078 111.379) + (xy 66.795043 111.505056) + (xy 66.819421 111.5529) + (xy 66.852984 111.618771) + (xy 66.943229 111.709016) + (xy 67.056943 111.766956) + (xy 67.056945 111.766957) + (xy 67.183 111.786922) + (xy 67.309055 111.766957) + (xy 67.422771 111.709016) + (xy 67.513016 111.618771) + (xy 67.570957 111.505055) + (xy 67.590922 111.379) + (xy 68.172078 111.379) + (xy 68.192043 111.505056) + (xy 68.216421 111.5529) + (xy 68.249984 111.618771) + (xy 68.340229 111.709016) + (xy 68.453943 111.766956) + (xy 68.453945 111.766957) + (xy 68.58 111.786922) + (xy 68.706055 111.766957) + (xy 68.819771 111.709016) + (xy 68.910016 111.618771) + (xy 68.967957 111.505055) + (xy 68.987922 111.379) + (xy 68.967957 111.252945) + (xy 68.910016 111.139229) + (xy 68.870787 111.1) + (xy 91.942443 111.1) + (xy 91.960977 111.228911) + (xy 92.015078 111.347373) + (xy 92.01508 111.347376) + (xy 92.051816 111.389771) + (xy 92.098324 111.443445) + (xy 92.100366 111.445801) + (xy 92.153607 111.480016) + (xy 92.204865 111.512957) + (xy 92.209926 111.516209) + (xy 92.334883 111.5529) + (xy 92.465117 111.5529) + (xy 92.590073 111.516209) + (xy 92.590073 111.516208) + (xy 92.590076 111.516208) + (xy 92.699636 111.445799) + (xy 92.784921 111.347374) + (xy 92.839023 111.228909) + (xy 92.857557 111.1) + (xy 98.942443 111.1) + (xy 98.960977 111.228911) + (xy 99.015078 111.347373) + (xy 99.01508 111.347376) + (xy 99.051816 111.389771) + (xy 99.098324 111.443445) + (xy 99.100366 111.445801) + (xy 99.153607 111.480016) + (xy 99.204865 111.512957) + (xy 99.209926 111.516209) + (xy 99.334883 111.5529) + (xy 99.465117 111.5529) + (xy 99.474993 111.55) + (xy 100.592078 111.55) + (xy 100.612043 111.676056) + (xy 100.649719 111.749999) + (xy 100.669984 111.789771) + (xy 100.760229 111.880016) + (xy 100.873943 111.937956) + (xy 100.873945 111.937957) + (xy 101 111.957922) + (xy 101.126055 111.937957) + (xy 101.239771 111.880016) + (xy 101.330016 111.789771) + (xy 101.387957 111.676055) + (xy 101.407922 111.55) + (xy 101.406014 111.537956) + (xy 101.401623 111.510228) + (xy 101.387957 111.423945) + (xy 101.330016 111.310229) + (xy 101.239771 111.219984) + (xy 101.126055 111.162043) + (xy 101.126057 111.162043) + (xy 101.050019 111.15) + (xy 109.292078 111.15) + (xy 109.312043 111.276056) + (xy 109.339391 111.329729) + (xy 109.369984 111.389771) + (xy 109.460229 111.480016) + (xy 109.573943 111.537956) + (xy 109.573945 111.537957) + (xy 109.7 111.557922) + (xy 109.826055 111.537957) + (xy 109.939771 111.480016) + (xy 110.030016 111.389771) + (xy 110.087957 111.276055) + (xy 110.107922 111.15) + (xy 114.342078 111.15) + (xy 114.362043 111.276056) + (xy 114.389391 111.329729) + (xy 114.419984 111.389771) + (xy 114.510229 111.480016) + (xy 114.623943 111.537956) + (xy 114.623945 111.537957) + (xy 114.75 111.557922) + (xy 114.876055 111.537957) + (xy 114.989771 111.480016) + (xy 115.080016 111.389771) + (xy 115.137957 111.276055) + (xy 115.157922 111.15) + (xy 122.142078 111.15) + (xy 122.162043 111.276056) + (xy 122.189391 111.329729) + (xy 122.219984 111.389771) + (xy 122.310229 111.480016) + (xy 122.423943 111.537956) + (xy 122.423945 111.537957) + (xy 122.55 111.557922) + (xy 122.676055 111.537957) + (xy 122.789771 111.480016) + (xy 122.880016 111.389771) + (xy 122.937957 111.276055) + (xy 122.957922 111.15) + (xy 122.953962 111.125) + (xy 127.735078 111.125) + (xy 127.755043 111.251056) + (xy 127.795129 111.329728) + (xy 127.812984 111.364771) + (xy 127.903229 111.455016) + (xy 128.016943 111.512956) + (xy 128.016945 111.512957) + (xy 128.143 111.532922) + (xy 128.269055 111.512957) + (xy 128.382771 111.455016) + (xy 128.473016 111.364771) + (xy 128.530957 111.251055) + (xy 128.550922 111.125) + (xy 132.815078 111.125) + (xy 132.835043 111.251056) + (xy 132.875129 111.329728) + (xy 132.892984 111.364771) + (xy 132.983229 111.455016) + (xy 133.096943 111.512956) + (xy 133.096945 111.512957) + (xy 133.223 111.532922) + (xy 133.349055 111.512957) + (xy 133.462771 111.455016) + (xy 133.553016 111.364771) + (xy 133.610957 111.251055) + (xy 133.630922 111.125) + (xy 137.895078 111.125) + (xy 137.915043 111.251056) + (xy 137.955129 111.329728) + (xy 137.972984 111.364771) + (xy 138.063229 111.455016) + (xy 138.176943 111.512956) + (xy 138.176945 111.512957) + (xy 138.303 111.532922) + (xy 138.429055 111.512957) + (xy 138.542771 111.455016) + (xy 138.633016 111.364771) + (xy 138.690957 111.251055) + (xy 138.710922 111.125) + (xy 142.975078 111.125) + (xy 142.995043 111.251056) + (xy 143.035129 111.329728) + (xy 143.052984 111.364771) + (xy 143.143229 111.455016) + (xy 143.256943 111.512956) + (xy 143.256945 111.512957) + (xy 143.383 111.532922) + (xy 143.509055 111.512957) + (xy 143.622771 111.455016) + (xy 143.713016 111.364771) + (xy 143.770957 111.251055) + (xy 143.790922 111.125) + (xy 143.770957 110.998945) + (xy 143.713016 110.885229) + (xy 143.622771 110.794984) + (xy 143.509055 110.737043) + (xy 143.509057 110.737043) + (xy 143.383 110.717078) + (xy 143.256943 110.737043) + (xy 143.143228 110.794984) + (xy 143.052984 110.885228) + (xy 142.995043 110.998943) + (xy 142.975078 111.124999) + (xy 142.975078 111.125) + (xy 138.710922 111.125) + (xy 138.690957 110.998945) + (xy 138.633016 110.885229) + (xy 138.542771 110.794984) + (xy 138.429055 110.737043) + (xy 138.429057 110.737043) + (xy 138.303 110.717078) + (xy 138.176943 110.737043) + (xy 138.063228 110.794984) + (xy 137.972984 110.885228) + (xy 137.915043 110.998943) + (xy 137.895078 111.124999) + (xy 137.895078 111.125) + (xy 133.630922 111.125) + (xy 133.610957 110.998945) + (xy 133.553016 110.885229) + (xy 133.462771 110.794984) + (xy 133.349055 110.737043) + (xy 133.349057 110.737043) + (xy 133.223 110.717078) + (xy 133.096943 110.737043) + (xy 132.983228 110.794984) + (xy 132.892984 110.885228) + (xy 132.835043 110.998943) + (xy 132.815078 111.124999) + (xy 132.815078 111.125) + (xy 128.550922 111.125) + (xy 128.530957 110.998945) + (xy 128.473016 110.885229) + (xy 128.382771 110.794984) + (xy 128.269055 110.737043) + (xy 128.269057 110.737043) + (xy 128.143 110.717078) + (xy 128.016943 110.737043) + (xy 127.903228 110.794984) + (xy 127.812984 110.885228) + (xy 127.755043 110.998943) + (xy 127.735078 111.124999) + (xy 127.735078 111.125) + (xy 122.953962 111.125) + (xy 122.937957 111.023945) + (xy 122.880016 110.910229) + (xy 122.789771 110.819984) + (xy 122.676055 110.762043) + (xy 122.676057 110.762043) + (xy 122.55 110.742078) + (xy 122.423943 110.762043) + (xy 122.310228 110.819984) + (xy 122.219984 110.910228) + (xy 122.162043 111.023943) + (xy 122.142078 111.149999) + (xy 122.142078 111.15) + (xy 115.157922 111.15) + (xy 115.137957 111.023945) + (xy 115.080016 110.910229) + (xy 114.989771 110.819984) + (xy 114.876055 110.762043) + (xy 114.876057 110.762043) + (xy 114.75 110.742078) + (xy 114.623943 110.762043) + (xy 114.510228 110.819984) + (xy 114.419984 110.910228) + (xy 114.362043 111.023943) + (xy 114.342078 111.149999) + (xy 114.342078 111.15) + (xy 110.107922 111.15) + (xy 110.087957 111.023945) + (xy 110.030016 110.910229) + (xy 109.939771 110.819984) + (xy 109.826055 110.762043) + (xy 109.826057 110.762043) + (xy 109.7 110.742078) + (xy 109.573943 110.762043) + (xy 109.460228 110.819984) + (xy 109.369984 110.910228) + (xy 109.312043 111.023943) + (xy 109.292078 111.149999) + (xy 109.292078 111.15) + (xy 101.050019 111.15) + (xy 101 111.142078) + (xy 100.873943 111.162043) + (xy 100.760228 111.219984) + (xy 100.669984 111.310228) + (xy 100.612043 111.423943) + (xy 100.592078 111.549999) + (xy 100.592078 111.55) + (xy 99.474993 111.55) + (xy 99.590073 111.516209) + (xy 99.590073 111.516208) + (xy 99.590076 111.516208) + (xy 99.699636 111.445799) + (xy 99.784921 111.347374) + (xy 99.839023 111.228909) + (xy 99.857557 111.1) + (xy 99.839023 110.971091) + (xy 99.811227 110.910228) + (xy 99.784921 110.852626) + (xy 99.784919 110.852623) + (xy 99.699633 110.754198) + (xy 99.590073 110.68379) + (xy 99.474994 110.65) + (xy 107.492078 110.65) + (xy 107.512043 110.776056) + (xy 107.534442 110.820016) + (xy 107.569984 110.889771) + (xy 107.660229 110.980016) + (xy 107.773943 111.037956) + (xy 107.773945 111.037957) + (xy 107.9 111.057922) + (xy 108.026055 111.037957) + (xy 108.139771 110.980016) + (xy 108.230016 110.889771) + (xy 108.287957 110.776055) + (xy 108.307922 110.65) + (xy 118.242078 110.65) + (xy 118.262043 110.776056) + (xy 118.284442 110.820016) + (xy 118.319984 110.889771) + (xy 118.410229 110.980016) + (xy 118.523943 111.037956) + (xy 118.523945 111.037957) + (xy 118.65 111.057922) + (xy 118.776055 111.037957) + (xy 118.889771 110.980016) + (xy 118.980016 110.889771) + (xy 119.037957 110.776055) + (xy 119.057922 110.65) + (xy 119.037957 110.523945) + (xy 118.980016 110.410229) + (xy 118.889771 110.319984) + (xy 118.776055 110.262043) + (xy 118.776057 110.262043) + (xy 118.65 110.242078) + (xy 118.523943 110.262043) + (xy 118.410228 110.319984) + (xy 118.319984 110.410228) + (xy 118.262043 110.523943) + (xy 118.242078 110.649999) + (xy 118.242078 110.65) + (xy 108.307922 110.65) + (xy 108.287957 110.523945) + (xy 108.230016 110.410229) + (xy 108.139771 110.319984) + (xy 108.026055 110.262043) + (xy 108.026057 110.262043) + (xy 107.9 110.242078) + (xy 107.773943 110.262043) + (xy 107.660228 110.319984) + (xy 107.569984 110.410228) + (xy 107.512043 110.523943) + (xy 107.492078 110.649999) + (xy 107.492078 110.65) + (xy 99.474994 110.65) + (xy 99.465117 110.6471) + (xy 99.334883 110.6471) + (xy 99.209926 110.68379) + (xy 99.100366 110.754198) + (xy 99.01508 110.852623) + (xy 99.015078 110.852626) + (xy 98.960977 110.971088) + (xy 98.942443 111.1) + (xy 92.857557 111.1) + (xy 92.839023 110.971091) + (xy 92.811227 110.910228) + (xy 92.784921 110.852626) + (xy 92.784919 110.852623) + (xy 92.699633 110.754198) + (xy 92.590073 110.68379) + (xy 92.465117 110.6471) + (xy 92.334883 110.6471) + (xy 92.209926 110.68379) + (xy 92.100366 110.754198) + (xy 92.01508 110.852623) + (xy 92.015078 110.852626) + (xy 91.960977 110.971088) + (xy 91.942443 111.1) + (xy 68.870787 111.1) + (xy 68.819771 111.048984) + (xy 68.706055 110.991043) + (xy 68.706057 110.991043) + (xy 68.58 110.971078) + (xy 68.453943 110.991043) + (xy 68.340228 111.048984) + (xy 68.249984 111.139228) + (xy 68.192043 111.252943) + (xy 68.172078 111.378999) + (xy 68.172078 111.379) + (xy 67.590922 111.379) + (xy 67.570957 111.252945) + (xy 67.513016 111.139229) + (xy 67.422771 111.048984) + (xy 67.309055 110.991043) + (xy 67.309057 110.991043) + (xy 67.183 110.971078) + (xy 67.056943 110.991043) + (xy 66.943228 111.048984) + (xy 66.852984 111.139228) + (xy 66.795043 111.252943) + (xy 66.775078 111.378999) + (xy 66.775078 111.379) + (xy 66.193922 111.379) + (xy 66.173957 111.252945) + (xy 66.116016 111.139229) + (xy 66.025771 111.048984) + (xy 65.912055 110.991043) + (xy 65.912057 110.991043) + (xy 65.786 110.971078) + (xy 65.659943 110.991043) + (xy 65.546228 111.048984) + (xy 65.455984 111.139228) + (xy 65.398043 111.252943) + (xy 65.378078 111.378999) + (xy 65.378078 111.379) + (xy 63.791621 111.379) + (xy 63.766516 111.329729) + (xy 63.676271 111.239484) + (xy 63.562555 111.181543) + (xy 63.562557 111.181543) + (xy 63.4365 111.161578) + (xy 63.310443 111.181543) + (xy 63.196728 111.239484) + (xy 63.106484 111.329728) + (xy 63.048543 111.443443) + (xy 63.028578 111.569499) + (xy 63.028578 111.5695) + (xy 46.101 111.5695) + (xy 46.101 110.49) + (xy 62.139578 110.49) + (xy 62.159543 110.616056) + (xy 62.211017 110.717078) + (xy 62.217484 110.729771) + (xy 62.307729 110.820016) + (xy 62.421443 110.877956) + (xy 62.421445 110.877957) + (xy 62.5475 110.897922) + (xy 62.673555 110.877957) + (xy 62.787271 110.820016) + (xy 62.799787 110.8075) + (xy 63.917578 110.8075) + (xy 63.937543 110.933556) + (xy 63.956662 110.971078) + (xy 63.995484 111.047271) + (xy 64.085729 111.137516) + (xy 64.199443 111.195456) + (xy 64.199445 111.195457) + (xy 64.3255 111.215422) + (xy 64.451555 111.195457) + (xy 64.565271 111.137516) + (xy 64.655516 111.047271) + (xy 64.713457 110.933555) + (xy 64.733422 110.8075) + (xy 64.713457 110.681445) + (xy 64.655516 110.567729) + (xy 64.565271 110.477484) + (xy 64.451555 110.419543) + (xy 64.451557 110.419543) + (xy 64.3255 110.399578) + (xy 64.199443 110.419543) + (xy 64.085728 110.477484) + (xy 63.995484 110.567728) + (xy 63.937543 110.681443) + (xy 63.917578 110.807499) + (xy 63.917578 110.8075) + (xy 62.799787 110.8075) + (xy 62.877516 110.729771) + (xy 62.935457 110.616055) + (xy 62.955422 110.49) + (xy 62.955098 110.487957) + (xy 62.951664 110.466276) + (xy 62.935457 110.363945) + (xy 62.877516 110.250229) + (xy 62.787271 110.159984) + (xy 62.673555 110.102043) + (xy 62.673557 110.102043) + (xy 62.5475 110.082078) + (xy 62.421443 110.102043) + (xy 62.307728 110.159984) + (xy 62.217484 110.250228) + (xy 62.159543 110.363943) + (xy 62.139578 110.489999) + (xy 62.139578 110.49) + (xy 46.101 110.49) + (xy 46.101 110.046481) + (xy 46.118593 109.998143) + (xy 46.163142 109.972423) + (xy 46.210341 109.979478) + (xy 46.228942 109.988956) + (xy 46.228943 109.988956) + (xy 46.228945 109.988957) + (xy 46.355 110.008922) + (xy 46.481055 109.988957) + (xy 46.594771 109.931016) + (xy 46.685016 109.840771) + (xy 46.742957 109.727055) + (xy 46.762922 109.601) + (xy 51.027078 109.601) + (xy 51.047043 109.727056) + (xy 51.10355 109.837956) + (xy 51.104984 109.840771) + (xy 51.195229 109.931016) + (xy 51.308943 109.988956) + (xy 51.308945 109.988957) + (xy 51.435 110.008922) + (xy 51.561055 109.988957) + (xy 51.674771 109.931016) + (xy 51.765016 109.840771) + (xy 51.822957 109.727055) + (xy 51.832865 109.6645) + (xy 56.107078 109.6645) + (xy 56.127043 109.790556) + (xy 56.167514 109.869984) + (xy 56.184984 109.904271) + (xy 56.275229 109.994516) + (xy 56.388943 110.052456) + (xy 56.388945 110.052457) + (xy 56.515 110.072422) + (xy 56.641055 110.052457) + (xy 56.754771 109.994516) + (xy 56.845016 109.904271) + (xy 56.902957 109.790555) + (xy 56.922922 109.6645) + (xy 61.123578 109.6645) + (xy 61.143543 109.790556) + (xy 61.184014 109.869984) + (xy 61.201484 109.904271) + (xy 61.291729 109.994516) + (xy 61.405443 110.052456) + (xy 61.405445 110.052457) + (xy 61.5315 110.072422) + (xy 61.657555 110.052457) + (xy 61.771271 109.994516) + (xy 61.861516 109.904271) + (xy 61.918976 109.7915) + (xy 64.235078 109.7915) + (xy 64.255043 109.917556) + (xy 64.291424 109.988957) + (xy 64.312984 110.031271) + (xy 64.403229 110.121516) + (xy 64.516943 110.179456) + (xy 64.516945 110.179457) + (xy 64.643 110.199422) + (xy 64.769055 110.179457) + (xy 64.882771 110.121516) + (xy 64.973016 110.031271) + (xy 65.030957 109.917555) + (xy 65.049576 109.8) + (xy 85.992078 109.8) + (xy 86.012043 109.926056) + (xy 86.054266 110.008922) + (xy 86.069984 110.039771) + (xy 86.160229 110.130016) + (xy 86.273943 110.187956) + (xy 86.273945 110.187957) + (xy 86.4 110.207922) + (xy 86.450018 110.2) + (xy 90.792078 110.2) + (xy 90.812043 110.326056) + (xy 90.831349 110.363945) + (xy 90.869984 110.439771) + (xy 90.960229 110.530016) + (xy 91.073943 110.587956) + (xy 91.073945 110.587957) + (xy 91.2 110.607922) + (xy 91.326055 110.587957) + (xy 91.439771 110.530016) + (xy 91.530016 110.439771) + (xy 91.587957 110.326055) + (xy 91.607922 110.2) + (xy 97.792078 110.2) + (xy 97.812043 110.326056) + (xy 97.831349 110.363945) + (xy 97.869984 110.439771) + (xy 97.960229 110.530016) + (xy 98.073943 110.587956) + (xy 98.073945 110.587957) + (xy 98.2 110.607922) + (xy 98.326055 110.587957) + (xy 98.439771 110.530016) + (xy 98.530016 110.439771) + (xy 98.587957 110.326055) + (xy 98.607922 110.2) + (xy 98.60783 110.199422) + (xy 98.600003 110.149999) + (xy 98.592084 110.1) + (xy 104.842078 110.1) + (xy 104.862043 110.226056) + (xy 104.909902 110.319984) + (xy 104.919984 110.339771) + (xy 105.010229 110.430016) + (xy 105.123943 110.487956) + (xy 105.123945 110.487957) + (xy 105.25 110.507922) + (xy 105.376055 110.487957) + (xy 105.489771 110.430016) + (xy 105.580016 110.339771) + (xy 105.637957 110.226055) + (xy 105.650003 110.15) + (xy 109.292078 110.15) + (xy 109.312043 110.276056) + (xy 109.344508 110.339771) + (xy 109.369984 110.389771) + (xy 109.460229 110.480016) + (xy 109.573943 110.537956) + (xy 109.573945 110.537957) + (xy 109.7 110.557922) + (xy 109.826055 110.537957) + (xy 109.939771 110.480016) + (xy 110.030016 110.389771) + (xy 110.087957 110.276055) + (xy 110.107922 110.15) + (xy 114.342078 110.15) + (xy 114.362043 110.276056) + (xy 114.394508 110.339771) + (xy 114.419984 110.389771) + (xy 114.510229 110.480016) + (xy 114.623943 110.537956) + (xy 114.623945 110.537957) + (xy 114.75 110.557922) + (xy 114.876055 110.537957) + (xy 114.989771 110.480016) + (xy 115.080016 110.389771) + (xy 115.137957 110.276055) + (xy 115.157922 110.15) + (xy 122.142078 110.15) + (xy 122.162043 110.276056) + (xy 122.194508 110.339771) + (xy 122.219984 110.389771) + (xy 122.310229 110.480016) + (xy 122.423943 110.537956) + (xy 122.423945 110.537957) + (xy 122.55 110.557922) + (xy 122.676055 110.537957) + (xy 122.789771 110.480016) + (xy 122.880016 110.389771) + (xy 122.937957 110.276055) + (xy 122.957922 110.15) + (xy 122.937957 110.023945) + (xy 122.880016 109.910229) + (xy 122.789771 109.819984) + (xy 122.676055 109.762043) + (xy 122.676057 109.762043) + (xy 122.55 109.742078) + (xy 122.423943 109.762043) + (xy 122.310228 109.819984) + (xy 122.219984 109.910228) + (xy 122.162043 110.023943) + (xy 122.142078 110.149999) + (xy 122.142078 110.15) + (xy 115.157922 110.15) + (xy 115.137957 110.023945) + (xy 115.080016 109.910229) + (xy 114.989771 109.819984) + (xy 114.876055 109.762043) + (xy 114.876057 109.762043) + (xy 114.75 109.742078) + (xy 114.623943 109.762043) + (xy 114.510228 109.819984) + (xy 114.419984 109.910228) + (xy 114.362043 110.023943) + (xy 114.342078 110.149999) + (xy 114.342078 110.15) + (xy 110.107922 110.15) + (xy 110.087957 110.023945) + (xy 110.030016 109.910229) + (xy 109.939771 109.819984) + (xy 109.826055 109.762043) + (xy 109.826057 109.762043) + (xy 109.7 109.742078) + (xy 109.573943 109.762043) + (xy 109.460228 109.819984) + (xy 109.369984 109.910228) + (xy 109.312043 110.023943) + (xy 109.292078 110.149999) + (xy 109.292078 110.15) + (xy 105.650003 110.15) + (xy 105.657922 110.1) + (xy 105.637957 109.973945) + (xy 105.580016 109.860229) + (xy 105.489771 109.769984) + (xy 105.376055 109.712043) + (xy 105.376057 109.712043) + (xy 105.25 109.692078) + (xy 105.123943 109.712043) + (xy 105.010228 109.769984) + (xy 104.919984 109.860228) + (xy 104.862043 109.973943) + (xy 104.842078 110.099999) + (xy 104.842078 110.1) + (xy 98.592084 110.1) + (xy 98.587957 110.073945) + (xy 98.530016 109.960229) + (xy 98.439771 109.869984) + (xy 98.326055 109.812043) + (xy 98.326057 109.812043) + (xy 98.2 109.792078) + (xy 98.073943 109.812043) + (xy 97.960228 109.869984) + (xy 97.869984 109.960228) + (xy 97.812043 110.073943) + (xy 97.792078 110.199999) + (xy 97.792078 110.2) + (xy 91.607922 110.2) + (xy 91.60783 110.199422) + (xy 91.600003 110.149999) + (xy 91.587957 110.073945) + (xy 91.530016 109.960229) + (xy 91.439771 109.869984) + (xy 91.326055 109.812043) + (xy 91.326057 109.812043) + (xy 91.2 109.792078) + (xy 91.073943 109.812043) + (xy 90.960228 109.869984) + (xy 90.869984 109.960228) + (xy 90.812043 110.073943) + (xy 90.792078 110.199999) + (xy 90.792078 110.2) + (xy 86.450018 110.2) + (xy 86.526055 110.187957) + (xy 86.639771 110.130016) + (xy 86.730016 110.039771) + (xy 86.787957 109.926055) + (xy 86.807922 109.8) + (xy 86.787957 109.673945) + (xy 86.775756 109.65) + (xy 106.942078 109.65) + (xy 106.962043 109.776056) + (xy 106.995017 109.840771) + (xy 107.019984 109.889771) + (xy 107.110229 109.980016) + (xy 107.223943 110.037956) + (xy 107.223945 110.037957) + (xy 107.35 110.057922) + (xy 107.476055 110.037957) + (xy 107.589771 109.980016) + (xy 107.680016 109.889771) + (xy 107.737957 109.776055) + (xy 107.757922 109.65) + (xy 107.756014 109.637956) + (xy 107.754164 109.626276) + (xy 107.737957 109.523945) + (xy 107.680016 109.410229) + (xy 107.589771 109.319984) + (xy 107.476055 109.262043) + (xy 107.476057 109.262043) + (xy 107.400019 109.25) + (xy 108.542078 109.25) + (xy 108.562043 109.376056) + (xy 108.593311 109.437422) + (xy 108.619984 109.489771) + (xy 108.710229 109.580016) + (xy 108.823943 109.637956) + (xy 108.823945 109.637957) + (xy 108.95 109.657922) + (xy 109.076055 109.637957) + (xy 109.189771 109.580016) + (xy 109.280016 109.489771) + (xy 109.30028 109.45) + (xy 118.242078 109.45) + (xy 118.262043 109.576056) + (xy 118.299719 109.649999) + (xy 118.319984 109.689771) + (xy 118.410229 109.780016) + (xy 118.523943 109.837956) + (xy 118.523945 109.837957) + (xy 118.65 109.857922) + (xy 118.776055 109.837957) + (xy 118.889771 109.780016) + (xy 118.980016 109.689771) + (xy 119.037957 109.576055) + (xy 119.057922 109.45) + (xy 122.842078 109.45) + (xy 122.862043 109.576056) + (xy 122.899719 109.649999) + (xy 122.919984 109.689771) + (xy 123.010229 109.780016) + (xy 123.123943 109.837956) + (xy 123.123945 109.837957) + (xy 123.25 109.857922) + (xy 123.376055 109.837957) + (xy 123.489771 109.780016) + (xy 123.580016 109.689771) + (xy 123.637957 109.576055) + (xy 123.650003 109.5) + (xy 125.992443 109.5) + (xy 126.010977 109.628911) + (xy 126.065078 109.747373) + (xy 126.06508 109.747376) + (xy 126.150366 109.845801) + (xy 126.187997 109.869984) + (xy 126.25062 109.910229) + (xy 126.259926 109.916209) + (xy 126.384883 109.9529) + (xy 126.515117 109.9529) + (xy 126.640073 109.916209) + (xy 126.640073 109.916208) + (xy 126.640076 109.916208) + (xy 126.749636 109.845799) + (xy 126.834921 109.747374) + (xy 126.889023 109.628909) + (xy 126.907557 109.5) + (xy 126.889023 109.371091) + (xy 126.883736 109.359515) + (xy 126.834921 109.252626) + (xy 126.834919 109.252623) + (xy 126.749633 109.154198) + (xy 126.640073 109.08379) + (xy 126.515117 109.0471) + (xy 126.384883 109.0471) + (xy 126.259926 109.08379) + (xy 126.150366 109.154198) + (xy 126.06508 109.252623) + (xy 126.065078 109.252626) + (xy 126.010977 109.371088) + (xy 125.992443 109.5) + (xy 123.650003 109.5) + (xy 123.657922 109.45) + (xy 123.637957 109.323945) + (xy 123.580016 109.210229) + (xy 123.489771 109.119984) + (xy 123.376055 109.062043) + (xy 123.376057 109.062043) + (xy 123.25 109.042078) + (xy 123.123943 109.062043) + (xy 123.010228 109.119984) + (xy 122.919984 109.210228) + (xy 122.862043 109.323943) + (xy 122.842078 109.449999) + (xy 122.842078 109.45) + (xy 119.057922 109.45) + (xy 119.037957 109.323945) + (xy 118.980016 109.210229) + (xy 118.889771 109.119984) + (xy 118.776055 109.062043) + (xy 118.776057 109.062043) + (xy 118.65 109.042078) + (xy 118.523943 109.062043) + (xy 118.410228 109.119984) + (xy 118.319984 109.210228) + (xy 118.262043 109.323943) + (xy 118.242078 109.449999) + (xy 118.242078 109.45) + (xy 109.30028 109.45) + (xy 109.337957 109.376055) + (xy 109.357922 109.25) + (xy 109.337957 109.123945) + (xy 109.280016 109.010229) + (xy 109.189771 108.919984) + (xy 109.076055 108.862043) + (xy 109.076057 108.862043) + (xy 108.95 108.842078) + (xy 108.823943 108.862043) + (xy 108.710228 108.919984) + (xy 108.619984 109.010228) + (xy 108.562043 109.123943) + (xy 108.542078 109.249999) + (xy 108.542078 109.25) + (xy 107.400019 109.25) + (xy 107.35 109.242078) + (xy 107.223943 109.262043) + (xy 107.110228 109.319984) + (xy 107.019984 109.410228) + (xy 106.962043 109.523943) + (xy 106.942078 109.649999) + (xy 106.942078 109.65) + (xy 86.775756 109.65) + (xy 86.730016 109.560229) + (xy 86.639771 109.469984) + (xy 86.526055 109.412043) + (xy 86.526057 109.412043) + (xy 86.4 109.392078) + (xy 86.273943 109.412043) + (xy 86.160228 109.469984) + (xy 86.069984 109.560228) + (xy 86.012043 109.673943) + (xy 85.992078 109.799999) + (xy 85.992078 109.8) + (xy 65.049576 109.8) + (xy 65.050922 109.7915) + (xy 65.050772 109.790556) + (xy 65.047164 109.767776) + (xy 65.030957 109.665445) + (xy 64.973016 109.551729) + (xy 64.882771 109.461484) + (xy 64.769055 109.403543) + (xy 64.769057 109.403543) + (xy 64.643 109.383578) + (xy 64.516943 109.403543) + (xy 64.403228 109.461484) + (xy 64.312984 109.551728) + (xy 64.255043 109.665443) + (xy 64.235078 109.791499) + (xy 64.235078 109.7915) + (xy 61.918976 109.7915) + (xy 61.919457 109.790555) + (xy 61.939422 109.6645) + (xy 61.937125 109.65) + (xy 61.930461 109.607922) + (xy 61.919457 109.538445) + (xy 61.861516 109.424729) + (xy 61.771271 109.334484) + (xy 61.657555 109.276543) + (xy 61.657557 109.276543) + (xy 61.5315 109.256578) + (xy 61.405443 109.276543) + (xy 61.291728 109.334484) + (xy 61.201484 109.424728) + (xy 61.143543 109.538443) + (xy 61.123578 109.664499) + (xy 61.123578 109.6645) + (xy 56.922922 109.6645) + (xy 56.920625 109.65) + (xy 56.913961 109.607922) + (xy 56.902957 109.538445) + (xy 56.845016 109.424729) + (xy 56.754771 109.334484) + (xy 56.641055 109.276543) + (xy 56.641057 109.276543) + (xy 56.515 109.256578) + (xy 56.388943 109.276543) + (xy 56.275228 109.334484) + (xy 56.184984 109.424728) + (xy 56.127043 109.538443) + (xy 56.107078 109.664499) + (xy 56.107078 109.6645) + (xy 51.832865 109.6645) + (xy 51.842922 109.601) + (xy 51.839598 109.580016) + (xy 51.838971 109.576055) + (xy 51.822957 109.474945) + (xy 51.765016 109.361229) + (xy 51.674771 109.270984) + (xy 51.561055 109.213043) + (xy 51.561057 109.213043) + (xy 51.435 109.193078) + (xy 51.308943 109.213043) + (xy 51.195228 109.270984) + (xy 51.104984 109.361228) + (xy 51.047043 109.474943) + (xy 51.027078 109.600999) + (xy 51.027078 109.601) + (xy 46.762922 109.601) + (xy 46.759598 109.580016) + (xy 46.758971 109.576055) + (xy 46.742957 109.474945) + (xy 46.685016 109.361229) + (xy 46.594771 109.270984) + (xy 46.481055 109.213043) + (xy 46.481057 109.213043) + (xy 46.355 109.193078) + (xy 46.228942 109.213043) + (xy 46.22894 109.213044) + (xy 46.210339 109.222522) + (xy 46.159283 109.22879) + (xy 46.116142 109.200774) + (xy 46.101 109.155518) + (xy 46.101 109.0295) + (xy 70.775578 109.0295) + (xy 70.795543 109.155556) + (xy 70.843664 109.249999) + (xy 70.853484 109.269271) + (xy 70.943729 109.359516) + (xy 71.057443 109.417456) + (xy 71.057445 109.417457) + (xy 71.1835 109.437422) + (xy 71.309555 109.417457) + (xy 71.423271 109.359516) + (xy 71.513516 109.269271) + (xy 71.548811 109.2) + (xy 81.792078 109.2) + (xy 81.812043 109.326056) + (xy 81.841352 109.383578) + (xy 81.869984 109.439771) + (xy 81.960229 109.530016) + (xy 82.073943 109.587956) + (xy 82.073945 109.587957) + (xy 82.2 109.607922) + (xy 82.326055 109.587957) + (xy 82.439771 109.530016) + (xy 82.530016 109.439771) + (xy 82.587957 109.326055) + (xy 82.607922 109.2) + (xy 82.792078 109.2) + (xy 82.812043 109.326056) + (xy 82.841352 109.383578) + (xy 82.869984 109.439771) + (xy 82.960229 109.530016) + (xy 83.073943 109.587956) + (xy 83.073945 109.587957) + (xy 83.2 109.607922) + (xy 83.326055 109.587957) + (xy 83.439771 109.530016) + (xy 83.530016 109.439771) + (xy 83.587957 109.326055) + (xy 83.607922 109.2) + (xy 83.587957 109.073945) + (xy 83.530016 108.960229) + (xy 83.439771 108.869984) + (xy 83.326055 108.812043) + (xy 83.326057 108.812043) + (xy 83.2 108.792078) + (xy 83.073943 108.812043) + (xy 82.960228 108.869984) + (xy 82.869984 108.960228) + (xy 82.812043 109.073943) + (xy 82.792078 109.199999) + (xy 82.792078 109.2) + (xy 82.607922 109.2) + (xy 82.587957 109.073945) + (xy 82.530016 108.960229) + (xy 82.439771 108.869984) + (xy 82.326055 108.812043) + (xy 82.326057 108.812043) + (xy 82.2 108.792078) + (xy 82.073943 108.812043) + (xy 81.960228 108.869984) + (xy 81.869984 108.960228) + (xy 81.812043 109.073943) + (xy 81.792078 109.199999) + (xy 81.792078 109.2) + (xy 71.548811 109.2) + (xy 71.571457 109.155555) + (xy 71.591422 109.0295) + (xy 71.571457 108.903445) + (xy 71.513516 108.789729) + (xy 71.423271 108.699484) + (xy 71.309555 108.641543) + (xy 71.309557 108.641543) + (xy 71.1835 108.621578) + (xy 71.057443 108.641543) + (xy 70.943728 108.699484) + (xy 70.853484 108.789728) + (xy 70.795543 108.903443) + (xy 70.775578 109.029499) + (xy 70.775578 109.0295) + (xy 46.101 109.0295) + (xy 46.101 108.1405) + (xy 69.886578 108.1405) + (xy 69.906543 108.266556) + (xy 69.923584 108.3) + (xy 69.964484 108.380271) + (xy 70.054729 108.470516) + (xy 70.168443 108.528456) + (xy 70.168445 108.528457) + (xy 70.2945 108.548422) + (xy 70.420555 108.528457) + (xy 70.534271 108.470516) + (xy 70.624516 108.380271) + (xy 70.682457 108.266555) + (xy 70.702422 108.1405) + (xy 70.702266 108.139518) + (xy 70.696007 108.1) + (xy 78.692078 108.1) + (xy 78.712043 108.226056) + (xy 78.749719 108.299999) + (xy 78.769984 108.339771) + (xy 78.860229 108.430016) + (xy 78.973943 108.487956) + (xy 78.973945 108.487957) + (xy 79.1 108.507922) + (xy 79.226055 108.487957) + (xy 79.339771 108.430016) + (xy 79.430016 108.339771) + (xy 79.45028 108.3) + (xy 82.292078 108.3) + (xy 82.312043 108.426056) + (xy 82.344508 108.489771) + (xy 82.369984 108.539771) + (xy 82.460229 108.630016) + (xy 82.573943 108.687956) + (xy 82.573945 108.687957) + (xy 82.7 108.707922) + (xy 82.826055 108.687957) + (xy 82.939771 108.630016) + (xy 83.030016 108.539771) + (xy 83.087957 108.426055) + (xy 83.092084 108.4) + (xy 83.742078 108.4) + (xy 83.762043 108.526056) + (xy 83.789537 108.580015) + (xy 83.819984 108.639771) + (xy 83.910229 108.730016) + (xy 84.023943 108.787956) + (xy 84.023945 108.787957) + (xy 84.15 108.807922) + (xy 84.276055 108.787957) + (xy 84.389771 108.730016) + (xy 84.480016 108.639771) + (xy 84.525756 108.55) + (xy 85.042078 108.55) + (xy 85.062043 108.676056) + (xy 85.082502 108.716208) + (xy 85.119984 108.789771) + (xy 85.210229 108.880016) + (xy 85.323943 108.937956) + (xy 85.323945 108.937957) + (xy 85.45 108.957922) + (xy 85.576055 108.937957) + (xy 85.689771 108.880016) + (xy 85.780016 108.789771) + (xy 85.80028 108.75) + (xy 114.342078 108.75) + (xy 114.362043 108.876056) + (xy 114.403392 108.957208) + (xy 114.419984 108.989771) + (xy 114.510229 109.080016) + (xy 114.623943 109.137956) + (xy 114.623945 109.137957) + (xy 114.75 109.157922) + (xy 114.876055 109.137957) + (xy 114.989771 109.080016) + (xy 115.080016 108.989771) + (xy 115.137957 108.876055) + (xy 115.157922 108.75) + (xy 122.142078 108.75) + (xy 122.162043 108.876056) + (xy 122.203392 108.957208) + (xy 122.219984 108.989771) + (xy 122.310229 109.080016) + (xy 122.423943 109.137956) + (xy 122.423945 109.137957) + (xy 122.55 109.157922) + (xy 122.676055 109.137957) + (xy 122.789771 109.080016) + (xy 122.880016 108.989771) + (xy 122.937957 108.876055) + (xy 122.957922 108.75) + (xy 122.937957 108.623945) + (xy 122.880016 108.510229) + (xy 122.789771 108.419984) + (xy 122.676055 108.362043) + (xy 122.676057 108.362043) + (xy 122.55 108.342078) + (xy 122.423943 108.362043) + (xy 122.310228 108.419984) + (xy 122.219984 108.510228) + (xy 122.162043 108.623943) + (xy 122.142078 108.749999) + (xy 122.142078 108.75) + (xy 115.157922 108.75) + (xy 115.137957 108.623945) + (xy 115.080016 108.510229) + (xy 114.989771 108.419984) + (xy 114.876055 108.362043) + (xy 114.876057 108.362043) + (xy 114.75 108.342078) + (xy 114.623943 108.362043) + (xy 114.510228 108.419984) + (xy 114.419984 108.510228) + (xy 114.362043 108.623943) + (xy 114.342078 108.749999) + (xy 114.342078 108.75) + (xy 85.80028 108.75) + (xy 85.837957 108.676055) + (xy 85.857922 108.55) + (xy 85.857506 108.547376) + (xy 85.851623 108.510228) + (xy 85.837957 108.423945) + (xy 85.780016 108.310229) + (xy 85.689771 108.219984) + (xy 85.576055 108.162043) + (xy 85.576057 108.162043) + (xy 85.45 108.142078) + (xy 85.323943 108.162043) + (xy 85.210228 108.219984) + (xy 85.119984 108.310228) + (xy 85.062043 108.423943) + (xy 85.042078 108.549999) + (xy 85.042078 108.55) + (xy 84.525756 108.55) + (xy 84.537957 108.526055) + (xy 84.557922 108.4) + (xy 84.557044 108.394459) + (xy 84.548748 108.342078) + (xy 84.537957 108.273945) + (xy 84.480016 108.160229) + (xy 84.389771 108.069984) + (xy 84.276055 108.012043) + (xy 84.276057 108.012043) + (xy 84.15 107.992078) + (xy 84.023943 108.012043) + (xy 83.910228 108.069984) + (xy 83.819984 108.160228) + (xy 83.762043 108.273943) + (xy 83.742078 108.399999) + (xy 83.742078 108.4) + (xy 83.092084 108.4) + (xy 83.107922 108.3) + (xy 83.106014 108.287956) + (xy 83.102625 108.266555) + (xy 83.087957 108.173945) + (xy 83.030016 108.060229) + (xy 82.939771 107.969984) + (xy 82.826055 107.912043) + (xy 82.826057 107.912043) + (xy 82.750019 107.9) + (xy 88.642078 107.9) + (xy 88.662043 108.026056) + (xy 88.689537 108.080015) + (xy 88.719984 108.139771) + (xy 88.810229 108.230016) + (xy 88.923943 108.287956) + (xy 88.923945 108.287957) + (xy 89.05 108.307922) + (xy 89.176055 108.287957) + (xy 89.289771 108.230016) + (xy 89.380016 108.139771) + (xy 89.437957 108.026055) + (xy 89.457922 107.9) + (xy 90.742078 107.9) + (xy 90.762043 108.026056) + (xy 90.789537 108.080015) + (xy 90.819984 108.139771) + (xy 90.910229 108.230016) + (xy 91.023943 108.287956) + (xy 91.023945 108.287957) + (xy 91.15 108.307922) + (xy 91.276055 108.287957) + (xy 91.389771 108.230016) + (xy 91.480016 108.139771) + (xy 91.537957 108.026055) + (xy 91.557922 107.9) + (xy 97.742078 107.9) + (xy 97.762043 108.026056) + (xy 97.789537 108.080015) + (xy 97.819984 108.139771) + (xy 97.910229 108.230016) + (xy 98.023943 108.287956) + (xy 98.023945 108.287957) + (xy 98.15 108.307922) + (xy 98.276055 108.287957) + (xy 98.389771 108.230016) + (xy 98.480016 108.139771) + (xy 98.513018 108.075) + (xy 103.592078 108.075) + (xy 103.612043 108.201056) + (xy 103.639521 108.254984) + (xy 103.669984 108.314771) + (xy 103.760229 108.405016) + (xy 103.873943 108.462956) + (xy 103.873945 108.462957) + (xy 104 108.482922) + (xy 104.126055 108.462957) + (xy 104.239771 108.405016) + (xy 104.330016 108.314771) + (xy 104.387957 108.201055) + (xy 104.407922 108.075) + (xy 104.387957 107.948945) + (xy 104.330016 107.835229) + (xy 104.239771 107.744984) + (xy 104.126055 107.687043) + (xy 104.126057 107.687043) + (xy 104 107.667078) + (xy 103.873943 107.687043) + (xy 103.760228 107.744984) + (xy 103.669984 107.835228) + (xy 103.612043 107.948943) + (xy 103.592078 108.074999) + (xy 103.592078 108.075) + (xy 98.513018 108.075) + (xy 98.537957 108.026055) + (xy 98.557922 107.9) + (xy 98.537957 107.773945) + (xy 98.480016 107.660229) + (xy 98.389771 107.569984) + (xy 98.276055 107.512043) + (xy 98.276057 107.512043) + (xy 98.15 107.492078) + (xy 98.023943 107.512043) + (xy 97.910228 107.569984) + (xy 97.819984 107.660228) + (xy 97.762043 107.773943) + (xy 97.742078 107.899999) + (xy 97.742078 107.9) + (xy 91.557922 107.9) + (xy 91.537957 107.773945) + (xy 91.480016 107.660229) + (xy 91.389771 107.569984) + (xy 91.276055 107.512043) + (xy 91.276057 107.512043) + (xy 91.15 107.492078) + (xy 91.023943 107.512043) + (xy 90.910228 107.569984) + (xy 90.819984 107.660228) + (xy 90.762043 107.773943) + (xy 90.742078 107.899999) + (xy 90.742078 107.9) + (xy 89.457922 107.9) + (xy 89.437957 107.773945) + (xy 89.380016 107.660229) + (xy 89.289771 107.569984) + (xy 89.176055 107.512043) + (xy 89.176057 107.512043) + (xy 89.05 107.492078) + (xy 88.923943 107.512043) + (xy 88.810228 107.569984) + (xy 88.719984 107.660228) + (xy 88.662043 107.773943) + (xy 88.642078 107.899999) + (xy 88.642078 107.9) + (xy 82.750019 107.9) + (xy 82.7 107.892078) + (xy 82.573943 107.912043) + (xy 82.460228 107.969984) + (xy 82.369984 108.060228) + (xy 82.312043 108.173943) + (xy 82.292078 108.299999) + (xy 82.292078 108.3) + (xy 79.45028 108.3) + (xy 79.487957 108.226055) + (xy 79.507922 108.1) + (xy 79.487957 107.973945) + (xy 79.430016 107.860229) + (xy 79.339771 107.769984) + (xy 79.226055 107.712043) + (xy 79.226057 107.712043) + (xy 79.1 107.692078) + (xy 78.973943 107.712043) + (xy 78.860228 107.769984) + (xy 78.769984 107.860228) + (xy 78.712043 107.973943) + (xy 78.692078 108.099999) + (xy 78.692078 108.1) + (xy 70.696007 108.1) + (xy 70.692048 108.075) + (xy 70.682457 108.014445) + (xy 70.624516 107.900729) + (xy 70.534271 107.810484) + (xy 70.420555 107.752543) + (xy 70.420557 107.752543) + (xy 70.2945 107.732578) + (xy 70.168443 107.752543) + (xy 70.054728 107.810484) + (xy 69.964484 107.900728) + (xy 69.906543 108.014443) + (xy 69.886578 108.140499) + (xy 69.886578 108.1405) + (xy 46.101 108.1405) + (xy 46.101 107.6) + (xy 77.592078 107.6) + (xy 77.612043 107.726056) + (xy 77.667669 107.835228) + (xy 77.669984 107.839771) + (xy 77.760229 107.930016) + (xy 77.873943 107.987956) + (xy 77.873945 107.987957) + (xy 78 108.007922) + (xy 78.126055 107.987957) + (xy 78.239771 107.930016) + (xy 78.330016 107.839771) + (xy 78.387957 107.726055) + (xy 78.400003 107.65) + (xy 79.642078 107.65) + (xy 79.662043 107.776056) + (xy 79.694508 107.839771) + (xy 79.719984 107.889771) + (xy 79.810229 107.980016) + (xy 79.923943 108.037956) + (xy 79.923945 108.037957) + (xy 80.05 108.057922) + (xy 80.176055 108.037957) + (xy 80.289771 107.980016) + (xy 80.380016 107.889771) + (xy 80.437957 107.776055) + (xy 80.457922 107.65) + (xy 80.456014 107.637956) + (xy 80.450003 107.599999) + (xy 80.437957 107.523945) + (xy 80.380016 107.410229) + (xy 80.369787 107.4) + (xy 83.942078 107.4) + (xy 83.962043 107.526056) + (xy 83.965287 107.532422) + (xy 84.019984 107.639771) + (xy 84.110229 107.730016) + (xy 84.223943 107.787956) + (xy 84.223945 107.787957) + (xy 84.35 107.807922) + (xy 84.476055 107.787957) + (xy 84.589771 107.730016) + (xy 84.680016 107.639771) + (xy 84.737957 107.526055) + (xy 84.757922 107.4) + (xy 84.737957 107.273945) + (xy 84.680016 107.160229) + (xy 84.589771 107.069984) + (xy 84.476055 107.012043) + (xy 84.476057 107.012043) + (xy 84.35 106.992078) + (xy 84.223943 107.012043) + (xy 84.110228 107.069984) + (xy 84.019984 107.160228) + (xy 83.962043 107.273943) + (xy 83.942078 107.399999) + (xy 83.942078 107.4) + (xy 80.369787 107.4) + (xy 80.289771 107.319984) + (xy 80.176055 107.262043) + (xy 80.176057 107.262043) + (xy 80.05 107.242078) + (xy 79.923943 107.262043) + (xy 79.810228 107.319984) + (xy 79.719984 107.410228) + (xy 79.662043 107.523943) + (xy 79.642078 107.649999) + (xy 79.642078 107.65) + (xy 78.400003 107.65) + (xy 78.407922 107.6) + (xy 78.387957 107.473945) + (xy 78.330016 107.360229) + (xy 78.239771 107.269984) + (xy 78.126055 107.212043) + (xy 78.126057 107.212043) + (xy 78 107.192078) + (xy 77.873943 107.212043) + (xy 77.760228 107.269984) + (xy 77.669984 107.360228) + (xy 77.612043 107.473943) + (xy 77.592078 107.599999) + (xy 77.592078 107.6) + (xy 46.101 107.6) + (xy 46.101 107.061) + (xy 48.487078 107.061) + (xy 48.507043 107.187056) + (xy 48.554408 107.280015) + (xy 48.564984 107.300771) + (xy 48.655229 107.391016) + (xy 48.768943 107.448956) + (xy 48.768945 107.448957) + (xy 48.895 107.468922) + (xy 49.021055 107.448957) + (xy 49.134771 107.391016) + (xy 49.225016 107.300771) + (xy 49.282957 107.187055) + (xy 49.292865 107.1245) + (xy 53.567078 107.1245) + (xy 53.587043 107.250556) + (xy 53.643849 107.362043) + (xy 53.644984 107.364271) + (xy 53.735229 107.454516) + (xy 53.848943 107.512456) + (xy 53.848945 107.512457) + (xy 53.975 107.532422) + (xy 54.101055 107.512457) + (xy 54.214771 107.454516) + (xy 54.305016 107.364271) + (xy 54.362957 107.250555) + (xy 54.382922 107.1245) + (xy 58.647078 107.1245) + (xy 58.667043 107.250556) + (xy 58.723849 107.362043) + (xy 58.724984 107.364271) + (xy 58.815229 107.454516) + (xy 58.928943 107.512456) + (xy 58.928945 107.512457) + (xy 59.055 107.532422) + (xy 59.181055 107.512457) + (xy 59.294771 107.454516) + (xy 59.385016 107.364271) + (xy 59.442957 107.250555) + (xy 59.462922 107.1245) + (xy 59.442957 106.998445) + (xy 59.410121 106.934) + (xy 69.632578 106.934) + (xy 69.652543 107.060056) + (xy 69.703584 107.160229) + (xy 69.710484 107.173771) + (xy 69.800729 107.264016) + (xy 69.914443 107.321956) + (xy 69.914445 107.321957) + (xy 70.0405 107.341922) + (xy 70.166555 107.321957) + (xy 70.280271 107.264016) + (xy 70.370516 107.173771) + (xy 70.428457 107.060055) + (xy 70.448422 106.934) + (xy 70.446829 106.923945) + (xy 70.443037 106.9) + (xy 84.842078 106.9) + (xy 84.862043 107.026056) + (xy 84.912203 107.1245) + (xy 84.919984 107.139771) + (xy 85.010229 107.230016) + (xy 85.123943 107.287956) + (xy 85.123945 107.287957) + (xy 85.25 107.307922) + (xy 85.376055 107.287957) + (xy 85.489771 107.230016) + (xy 85.580016 107.139771) + (xy 85.637957 107.026055) + (xy 85.657922 106.9) + (xy 89.642078 106.9) + (xy 89.662043 107.026056) + (xy 89.712203 107.1245) + (xy 89.719984 107.139771) + (xy 89.810229 107.230016) + (xy 89.923943 107.287956) + (xy 89.923945 107.287957) + (xy 90.05 107.307922) + (xy 90.176055 107.287957) + (xy 90.289771 107.230016) + (xy 90.380016 107.139771) + (xy 90.437957 107.026055) + (xy 90.457922 106.9) + (xy 91.142078 106.9) + (xy 91.162043 107.026056) + (xy 91.212203 107.1245) + (xy 91.219984 107.139771) + (xy 91.310229 107.230016) + (xy 91.423943 107.287956) + (xy 91.423945 107.287957) + (xy 91.55 107.307922) + (xy 91.676055 107.287957) + (xy 91.789771 107.230016) + (xy 91.880016 107.139771) + (xy 91.937957 107.026055) + (xy 91.950003 106.95) + (xy 97.392078 106.95) + (xy 97.412043 107.076056) + (xy 97.444508 107.139771) + (xy 97.469984 107.189771) + (xy 97.560229 107.280016) + (xy 97.673943 107.337956) + (xy 97.673945 107.337957) + (xy 97.8 107.357922) + (xy 97.926055 107.337957) + (xy 98.039771 107.280016) + (xy 98.069787 107.25) + (xy 104.642078 107.25) + (xy 104.662043 107.376056) + (xy 104.695683 107.442078) + (xy 104.719984 107.489771) + (xy 104.810229 107.580016) + (xy 104.923943 107.637956) + (xy 104.923945 107.637957) + (xy 105.05 107.657922) + (xy 105.100018 107.65) + (xy 108.542078 107.65) + (xy 108.562043 107.776056) + (xy 108.594508 107.839771) + (xy 108.619984 107.889771) + (xy 108.710229 107.980016) + (xy 108.823943 108.037956) + (xy 108.823945 108.037957) + (xy 108.95 108.057922) + (xy 109.076055 108.037957) + (xy 109.189771 107.980016) + (xy 109.280016 107.889771) + (xy 109.30028 107.85) + (xy 109.542078 107.85) + (xy 109.562043 107.976056) + (xy 109.601056 108.052623) + (xy 109.619984 108.089771) + (xy 109.710229 108.180016) + (xy 109.823943 108.237956) + (xy 109.823945 108.237957) + (xy 109.95 108.257922) + (xy 110.000018 108.25) + (xy 118.242078 108.25) + (xy 118.262043 108.376056) + (xy 118.288973 108.428909) + (xy 118.319984 108.489771) + (xy 118.410229 108.580016) + (xy 118.523943 108.637956) + (xy 118.523945 108.637957) + (xy 118.65 108.657922) + (xy 118.776055 108.637957) + (xy 118.889771 108.580016) + (xy 118.980016 108.489771) + (xy 119.037957 108.376055) + (xy 119.050003 108.3) + (xy 126.992443 108.3) + (xy 127.010977 108.428911) + (xy 127.065078 108.547373) + (xy 127.06508 108.547376) + (xy 127.150366 108.645801) + (xy 127.197445 108.676056) + (xy 127.251907 108.711056) + (xy 127.259926 108.716209) + (xy 127.384883 108.7529) + (xy 127.515117 108.7529) + (xy 127.640073 108.716209) + (xy 127.640073 108.716208) + (xy 127.640076 108.716208) + (xy 127.749636 108.645799) + (xy 127.834921 108.547374) + (xy 127.889023 108.428909) + (xy 127.907557 108.3) + (xy 127.889023 108.171091) + (xy 127.884062 108.160229) + (xy 127.834921 108.052626) + (xy 127.834919 108.052623) + (xy 127.778885 107.987956) + (xy 127.749636 107.954201) + (xy 127.749635 107.9542) + (xy 127.749633 107.954198) + (xy 127.640073 107.88379) + (xy 127.515117 107.8471) + (xy 127.384883 107.8471) + (xy 127.259926 107.88379) + (xy 127.150366 107.954198) + (xy 127.06508 108.052623) + (xy 127.065078 108.052626) + (xy 127.010977 108.171088) + (xy 126.992443 108.3) + (xy 119.050003 108.3) + (xy 119.057922 108.25) + (xy 119.056014 108.237956) + (xy 119.05017 108.201055) + (xy 119.037957 108.123945) + (xy 118.980016 108.010229) + (xy 118.889771 107.919984) + (xy 118.776055 107.862043) + (xy 118.776057 107.862043) + (xy 118.65 107.842078) + (xy 118.523943 107.862043) + (xy 118.410228 107.919984) + (xy 118.319984 108.010228) + (xy 118.262043 108.123943) + (xy 118.242078 108.249999) + (xy 118.242078 108.25) + (xy 110.000018 108.25) + (xy 110.076055 108.237957) + (xy 110.189771 108.180016) + (xy 110.280016 108.089771) + (xy 110.337957 107.976055) + (xy 110.357922 107.85) + (xy 110.342084 107.75) + (xy 114.342078 107.75) + (xy 114.362043 107.876056) + (xy 114.384426 107.919984) + (xy 114.419984 107.989771) + (xy 114.510229 108.080016) + (xy 114.623943 108.137956) + (xy 114.623945 108.137957) + (xy 114.75 108.157922) + (xy 114.876055 108.137957) + (xy 114.989771 108.080016) + (xy 115.080016 107.989771) + (xy 115.137957 107.876055) + (xy 115.157922 107.75) + (xy 122.142078 107.75) + (xy 122.162043 107.876056) + (xy 122.184426 107.919984) + (xy 122.219984 107.989771) + (xy 122.310229 108.080016) + (xy 122.423943 108.137956) + (xy 122.423945 108.137957) + (xy 122.55 108.157922) + (xy 122.676055 108.137957) + (xy 122.789771 108.080016) + (xy 122.880016 107.989771) + (xy 122.937957 107.876055) + (xy 122.957922 107.75) + (xy 122.937957 107.623945) + (xy 122.880016 107.510229) + (xy 122.789771 107.419984) + (xy 122.676055 107.362043) + (xy 122.676057 107.362043) + (xy 122.55 107.342078) + (xy 122.423943 107.362043) + (xy 122.310228 107.419984) + (xy 122.219984 107.510228) + (xy 122.162043 107.623943) + (xy 122.142078 107.749999) + (xy 122.142078 107.75) + (xy 115.157922 107.75) + (xy 115.137957 107.623945) + (xy 115.080016 107.510229) + (xy 114.989771 107.419984) + (xy 114.876055 107.362043) + (xy 114.876057 107.362043) + (xy 114.75 107.342078) + (xy 114.623943 107.362043) + (xy 114.510228 107.419984) + (xy 114.419984 107.510228) + (xy 114.362043 107.623943) + (xy 114.342078 107.749999) + (xy 114.342078 107.75) + (xy 110.342084 107.75) + (xy 110.337957 107.723945) + (xy 110.280016 107.610229) + (xy 110.189771 107.519984) + (xy 110.076055 107.462043) + (xy 110.076057 107.462043) + (xy 109.95 107.442078) + (xy 109.823943 107.462043) + (xy 109.710228 107.519984) + (xy 109.619984 107.610228) + (xy 109.562043 107.723943) + (xy 109.542078 107.849999) + (xy 109.542078 107.85) + (xy 109.30028 107.85) + (xy 109.337957 107.776055) + (xy 109.357922 107.65) + (xy 109.356014 107.637956) + (xy 109.350003 107.599999) + (xy 109.337957 107.523945) + (xy 109.280016 107.410229) + (xy 109.189771 107.319984) + (xy 109.076055 107.262043) + (xy 109.076057 107.262043) + (xy 108.95 107.242078) + (xy 108.823943 107.262043) + (xy 108.710228 107.319984) + (xy 108.619984 107.410228) + (xy 108.562043 107.523943) + (xy 108.542078 107.649999) + (xy 108.542078 107.65) + (xy 105.100018 107.65) + (xy 105.176055 107.637957) + (xy 105.289771 107.580016) + (xy 105.380016 107.489771) + (xy 105.437957 107.376055) + (xy 105.457922 107.25) + (xy 105.457506 107.247376) + (xy 105.448748 107.192078) + (xy 105.437957 107.123945) + (xy 105.380016 107.010229) + (xy 105.289771 106.919984) + (xy 105.176055 106.862043) + (xy 105.176057 106.862043) + (xy 105.05 106.842078) + (xy 104.923943 106.862043) + (xy 104.810228 106.919984) + (xy 104.719984 107.010228) + (xy 104.662043 107.123943) + (xy 104.642078 107.249999) + (xy 104.642078 107.25) + (xy 98.069787 107.25) + (xy 98.130016 107.189771) + (xy 98.187957 107.076055) + (xy 98.207922 106.95) + (xy 98.187957 106.823945) + (xy 98.130016 106.710229) + (xy 98.039771 106.619984) + (xy 97.926055 106.562043) + (xy 97.926057 106.562043) + (xy 97.8 106.542078) + (xy 97.673943 106.562043) + (xy 97.560228 106.619984) + (xy 97.469984 106.710228) + (xy 97.412043 106.823943) + (xy 97.392078 106.949999) + (xy 97.392078 106.95) + (xy 91.950003 106.95) + (xy 91.957922 106.9) + (xy 91.937957 106.773945) + (xy 91.880016 106.660229) + (xy 91.789771 106.569984) + (xy 91.676055 106.512043) + (xy 91.676057 106.512043) + (xy 91.55 106.492078) + (xy 91.423943 106.512043) + (xy 91.310228 106.569984) + (xy 91.219984 106.660228) + (xy 91.162043 106.773943) + (xy 91.142078 106.899999) + (xy 91.142078 106.9) + (xy 90.457922 106.9) + (xy 90.437957 106.773945) + (xy 90.380016 106.660229) + (xy 90.289771 106.569984) + (xy 90.176055 106.512043) + (xy 90.176057 106.512043) + (xy 90.05 106.492078) + (xy 89.923943 106.512043) + (xy 89.810228 106.569984) + (xy 89.719984 106.660228) + (xy 89.662043 106.773943) + (xy 89.642078 106.899999) + (xy 89.642078 106.9) + (xy 85.657922 106.9) + (xy 85.637957 106.773945) + (xy 85.580016 106.660229) + (xy 85.489771 106.569984) + (xy 85.376055 106.512043) + (xy 85.376057 106.512043) + (xy 85.25 106.492078) + (xy 85.123943 106.512043) + (xy 85.010228 106.569984) + (xy 84.919984 106.660228) + (xy 84.862043 106.773943) + (xy 84.842078 106.899999) + (xy 84.842078 106.9) + (xy 70.443037 106.9) + (xy 70.440618 106.884728) + (xy 70.428457 106.807945) + (xy 70.370516 106.694229) + (xy 70.280271 106.603984) + (xy 70.166555 106.546043) + (xy 70.166557 106.546043) + (xy 70.0405 106.526078) + (xy 69.914443 106.546043) + (xy 69.800728 106.603984) + (xy 69.710484 106.694228) + (xy 69.652543 106.807943) + (xy 69.632578 106.933999) + (xy 69.632578 106.934) + (xy 59.410121 106.934) + (xy 59.385016 106.884729) + (xy 59.294771 106.794484) + (xy 59.181055 106.736543) + (xy 59.181057 106.736543) + (xy 59.055 106.716578) + (xy 58.928943 106.736543) + (xy 58.815228 106.794484) + (xy 58.724984 106.884728) + (xy 58.667043 106.998443) + (xy 58.647078 107.124499) + (xy 58.647078 107.1245) + (xy 54.382922 107.1245) + (xy 54.362957 106.998445) + (xy 54.305016 106.884729) + (xy 54.214771 106.794484) + (xy 54.101055 106.736543) + (xy 54.101057 106.736543) + (xy 53.975 106.716578) + (xy 53.848943 106.736543) + (xy 53.735228 106.794484) + (xy 53.644984 106.884728) + (xy 53.587043 106.998443) + (xy 53.567078 107.124499) + (xy 53.567078 107.1245) + (xy 49.292865 107.1245) + (xy 49.302922 107.061) + (xy 49.302772 107.060056) + (xy 49.294881 107.010229) + (xy 49.282957 106.934945) + (xy 49.225016 106.821229) + (xy 49.134771 106.730984) + (xy 49.021055 106.673043) + (xy 49.021057 106.673043) + (xy 48.895 106.653078) + (xy 48.768943 106.673043) + (xy 48.655228 106.730984) + (xy 48.564984 106.821228) + (xy 48.507043 106.934943) + (xy 48.487078 107.060999) + (xy 48.487078 107.061) + (xy 46.101 107.061) + (xy 46.101 106.4) + (xy 87.642078 106.4) + (xy 87.662043 106.526056) + (xy 87.684426 106.569984) + (xy 87.719984 106.639771) + (xy 87.810229 106.730016) + (xy 87.923943 106.787956) + (xy 87.923945 106.787957) + (xy 88.05 106.807922) + (xy 88.176055 106.787957) + (xy 88.289771 106.730016) + (xy 88.380016 106.639771) + (xy 88.437957 106.526055) + (xy 88.450003 106.45) + (xy 98.542329 106.45) + (xy 98.561331 106.594337) + (xy 98.579399 106.637956) + (xy 98.617043 106.728836) + (xy 98.617044 106.728838) + (xy 98.617045 106.728839) + (xy 98.705666 106.844333) + (xy 98.804257 106.919984) + (xy 98.821164 106.932957) + (xy 98.955664 106.988669) + (xy 99.1 107.007671) + (xy 99.244336 106.988669) + (xy 99.378836 106.932957) + (xy 99.494333 106.844333) + (xy 99.566717 106.75) + (xy 109.292078 106.75) + (xy 109.312043 106.876056) + (xy 109.369984 106.989771) + (xy 109.460229 107.080016) + (xy 109.573943 107.137956) + (xy 109.573945 107.137957) + (xy 109.7 107.157922) + (xy 109.826055 107.137957) + (xy 109.939771 107.080016) + (xy 110.019787 107) + (xy 110.392443 107) + (xy 110.410977 107.128911) + (xy 110.465078 107.247373) + (xy 110.46508 107.247376) + (xy 110.550366 107.345801) + (xy 110.603607 107.380016) + (xy 110.65062 107.410229) + (xy 110.659926 107.416209) + (xy 110.784883 107.4529) + (xy 110.915117 107.4529) + (xy 111.040073 107.416209) + (xy 111.040073 107.416208) + (xy 111.040076 107.416208) + (xy 111.149636 107.345799) + (xy 111.234921 107.247374) + (xy 111.289023 107.128909) + (xy 111.300368 107.05) + (xy 113.642078 107.05) + (xy 113.662043 107.176056) + (xy 113.700003 107.250556) + (xy 113.719984 107.289771) + (xy 113.810229 107.380016) + (xy 113.923943 107.437956) + (xy 113.923945 107.437957) + (xy 114.05 107.457922) + (xy 114.176055 107.437957) + (xy 114.289771 107.380016) + (xy 114.380016 107.289771) + (xy 114.437957 107.176055) + (xy 114.457922 107.05) + (xy 118.242078 107.05) + (xy 118.262043 107.176056) + (xy 118.300003 107.250556) + (xy 118.319984 107.289771) + (xy 118.410229 107.380016) + (xy 118.523943 107.437956) + (xy 118.523945 107.437957) + (xy 118.65 107.457922) + (xy 118.776055 107.437957) + (xy 118.889771 107.380016) + (xy 118.980016 107.289771) + (xy 119.037957 107.176055) + (xy 119.057922 107.05) + (xy 119.037957 106.923945) + (xy 118.980016 106.810229) + (xy 118.889771 106.719984) + (xy 118.776055 106.662043) + (xy 118.776057 106.662043) + (xy 118.65 106.642078) + (xy 118.523943 106.662043) + (xy 118.410228 106.719984) + (xy 118.319984 106.810228) + (xy 118.262043 106.923943) + (xy 118.242078 107.049999) + (xy 118.242078 107.05) + (xy 114.457922 107.05) + (xy 114.437957 106.923945) + (xy 114.380016 106.810229) + (xy 114.289771 106.719984) + (xy 114.176055 106.662043) + (xy 114.176057 106.662043) + (xy 114.05 106.642078) + (xy 113.923943 106.662043) + (xy 113.810228 106.719984) + (xy 113.719984 106.810228) + (xy 113.662043 106.923943) + (xy 113.642078 107.049999) + (xy 113.642078 107.05) + (xy 111.300368 107.05) + (xy 111.307557 107) + (xy 111.289023 106.871091) + (xy 111.266251 106.821229) + (xy 111.234921 106.752626) + (xy 111.234919 106.752623) + (xy 111.18379 106.693618) + (xy 111.149636 106.654201) + (xy 111.149635 106.6542) + (xy 111.149633 106.654198) + (xy 111.040073 106.58379) + (xy 110.915117 106.5471) + (xy 110.784883 106.5471) + (xy 110.659926 106.58379) + (xy 110.550366 106.654198) + (xy 110.46508 106.752623) + (xy 110.465078 106.752626) + (xy 110.410977 106.871088) + (xy 110.392443 107) + (xy 110.019787 107) + (xy 110.030016 106.989771) + (xy 110.087957 106.876055) + (xy 110.107922 106.75) + (xy 110.106014 106.737956) + (xy 110.103168 106.719984) + (xy 110.087957 106.623945) + (xy 110.030016 106.510229) + (xy 109.939771 106.419984) + (xy 109.826055 106.362043) + (xy 109.826057 106.362043) + (xy 109.750019 106.35) + (xy 114.342078 106.35) + (xy 114.362043 106.476056) + (xy 114.398242 106.5471) + (xy 114.419984 106.589771) + (xy 114.510229 106.680016) + (xy 114.623943 106.737956) + (xy 114.623945 106.737957) + (xy 114.75 106.757922) + (xy 114.876055 106.737957) + (xy 114.989771 106.680016) + (xy 115.080016 106.589771) + (xy 115.137957 106.476055) + (xy 115.157922 106.35) + (xy 122.142078 106.35) + (xy 122.162043 106.476056) + (xy 122.198242 106.5471) + (xy 122.219984 106.589771) + (xy 122.310229 106.680016) + (xy 122.423943 106.737956) + (xy 122.423945 106.737957) + (xy 122.55 106.757922) + (xy 122.676055 106.737957) + (xy 122.789771 106.680016) + (xy 122.880016 106.589771) + (xy 122.937957 106.476055) + (xy 122.957922 106.35) + (xy 122.956014 106.337956) + (xy 122.954164 106.326276) + (xy 122.937957 106.223945) + (xy 122.880016 106.110229) + (xy 122.814787 106.045) + (xy 142.975078 106.045) + (xy 142.995043 106.171056) + (xy 143.029131 106.237957) + (xy 143.052984 106.284771) + (xy 143.143229 106.375016) + (xy 143.256943 106.432956) + (xy 143.256945 106.432957) + (xy 143.383 106.452922) + (xy 143.509055 106.432957) + (xy 143.622771 106.375016) + (xy 143.713016 106.284771) + (xy 143.770957 106.171055) + (xy 143.790922 106.045) + (xy 143.770957 105.918945) + (xy 143.713016 105.805229) + (xy 143.622771 105.714984) + (xy 143.509055 105.657043) + (xy 143.509057 105.657043) + (xy 143.383 105.637078) + (xy 143.256943 105.657043) + (xy 143.143228 105.714984) + (xy 143.052984 105.805228) + (xy 142.995043 105.918943) + (xy 142.975078 106.044999) + (xy 142.975078 106.045) + (xy 122.814787 106.045) + (xy 122.789771 106.019984) + (xy 122.676055 105.962043) + (xy 122.676057 105.962043) + (xy 122.55 105.942078) + (xy 122.423943 105.962043) + (xy 122.310228 106.019984) + (xy 122.219984 106.110228) + (xy 122.162043 106.223943) + (xy 122.142078 106.349999) + (xy 122.142078 106.35) + (xy 115.157922 106.35) + (xy 115.156014 106.337956) + (xy 115.154164 106.326276) + (xy 115.137957 106.223945) + (xy 115.080016 106.110229) + (xy 114.989771 106.019984) + (xy 114.876055 105.962043) + (xy 114.876057 105.962043) + (xy 114.75 105.942078) + (xy 114.623943 105.962043) + (xy 114.510228 106.019984) + (xy 114.419984 106.110228) + (xy 114.362043 106.223943) + (xy 114.342078 106.349999) + (xy 114.342078 106.35) + (xy 109.750019 106.35) + (xy 109.7 106.342078) + (xy 109.573943 106.362043) + (xy 109.460228 106.419984) + (xy 109.369984 106.510228) + (xy 109.312043 106.623943) + (xy 109.292078 106.749999) + (xy 109.292078 106.75) + (xy 99.566717 106.75) + (xy 99.582957 106.728836) + (xy 99.638669 106.594336) + (xy 99.657671 106.45) + (xy 99.638669 106.305664) + (xy 99.582957 106.171165) + (xy 99.582955 106.171162) + (xy 99.494333 106.055666) + (xy 99.378839 105.967045) + (xy 99.378838 105.967044) + (xy 99.378836 105.967043) + (xy 99.291891 105.931029) + (xy 99.244337 105.911331) + (xy 99.1 105.892329) + (xy 98.955662 105.911331) + (xy 98.821163 105.967044) + (xy 98.821162 105.967044) + (xy 98.705666 106.055666) + (xy 98.617044 106.171162) + (xy 98.617044 106.171163) + (xy 98.561331 106.305662) + (xy 98.542329 106.45) + (xy 88.450003 106.45) + (xy 88.457922 106.4) + (xy 88.437957 106.273945) + (xy 88.380016 106.160229) + (xy 88.289771 106.069984) + (xy 88.176055 106.012043) + (xy 88.176057 106.012043) + (xy 88.05 105.992078) + (xy 87.923943 106.012043) + (xy 87.810228 106.069984) + (xy 87.719984 106.160228) + (xy 87.662043 106.273943) + (xy 87.642078 106.399999) + (xy 87.642078 106.4) + (xy 46.101 106.4) + (xy 46.101 105.664) + (xy 69.632578 105.664) + (xy 69.652543 105.790056) + (xy 69.69838 105.880015) + (xy 69.710484 105.903771) + (xy 69.800729 105.994016) + (xy 69.914443 106.051956) + (xy 69.914445 106.051957) + (xy 70.0405 106.071922) + (xy 70.166555 106.051957) + (xy 70.280271 105.994016) + (xy 70.324287 105.95) + (xy 77.792078 105.95) + (xy 77.812043 106.076056) + (xy 77.844508 106.139771) + (xy 77.869984 106.189771) + (xy 77.960229 106.280016) + (xy 78.073943 106.337956) + (xy 78.073945 106.337957) + (xy 78.2 106.357922) + (xy 78.326055 106.337957) + (xy 78.439771 106.280016) + (xy 78.530016 106.189771) + (xy 78.587957 106.076055) + (xy 78.607922 105.95) + (xy 78.606014 105.937956) + (xy 78.600003 105.9) + (xy 84.842078 105.9) + (xy 84.862043 106.026056) + (xy 84.889537 106.080015) + (xy 84.919984 106.139771) + (xy 85.010229 106.230016) + (xy 85.123943 106.287956) + (xy 85.123945 106.287957) + (xy 85.25 106.307922) + (xy 85.376055 106.287957) + (xy 85.489771 106.230016) + (xy 85.580016 106.139771) + (xy 85.637957 106.026055) + (xy 85.657922 105.9) + (xy 85.650003 105.85) + (xy 103.342078 105.85) + (xy 103.362043 105.976056) + (xy 103.412995 106.076055) + (xy 103.419984 106.089771) + (xy 103.510229 106.180016) + (xy 103.623943 106.237956) + (xy 103.623945 106.237957) + (xy 103.75 106.257922) + (xy 103.800018 106.25) + (xy 107.492078 106.25) + (xy 107.512043 106.376056) + (xy 107.562995 106.476055) + (xy 107.569984 106.489771) + (xy 107.660229 106.580016) + (xy 107.773943 106.637956) + (xy 107.773945 106.637957) + (xy 107.9 106.657922) + (xy 108.026055 106.637957) + (xy 108.139771 106.580016) + (xy 108.230016 106.489771) + (xy 108.287957 106.376055) + (xy 108.307922 106.25) + (xy 108.306014 106.237956) + (xy 108.303795 106.223943) + (xy 108.287957 106.123945) + (xy 108.230016 106.010229) + (xy 108.139771 105.919984) + (xy 108.026055 105.862043) + (xy 108.026057 105.862043) + (xy 107.9 105.842078) + (xy 107.773943 105.862043) + (xy 107.660228 105.919984) + (xy 107.569984 106.010228) + (xy 107.512043 106.123943) + (xy 107.492078 106.249999) + (xy 107.492078 106.25) + (xy 103.800018 106.25) + (xy 103.876055 106.237957) + (xy 103.989771 106.180016) + (xy 104.080016 106.089771) + (xy 104.137957 105.976055) + (xy 104.157922 105.85) + (xy 104.137957 105.723945) + (xy 104.080016 105.610229) + (xy 104.019787 105.55) + (xy 106.092078 105.55) + (xy 106.112043 105.676056) + (xy 106.147579 105.745799) + (xy 106.169984 105.789771) + (xy 106.260229 105.880016) + (xy 106.373943 105.937956) + (xy 106.373945 105.937957) + (xy 106.5 105.957922) + (xy 106.626055 105.937957) + (xy 106.739771 105.880016) + (xy 106.830016 105.789771) + (xy 106.85028 105.75) + (xy 109.292078 105.75) + (xy 109.312043 105.876056) + (xy 109.362995 105.976055) + (xy 109.369984 105.989771) + (xy 109.460229 106.080016) + (xy 109.573943 106.137956) + (xy 109.573945 106.137957) + (xy 109.7 106.157922) + (xy 109.826055 106.137957) + (xy 109.939771 106.080016) + (xy 110.030016 105.989771) + (xy 110.087957 105.876055) + (xy 110.092084 105.85) + (xy 118.242078 105.85) + (xy 118.262043 105.976056) + (xy 118.312995 106.076055) + (xy 118.319984 106.089771) + (xy 118.410229 106.180016) + (xy 118.523943 106.237956) + (xy 118.523945 106.237957) + (xy 118.65 106.257922) + (xy 118.776055 106.237957) + (xy 118.889771 106.180016) + (xy 118.980016 106.089771) + (xy 119.037957 105.976055) + (xy 119.057922 105.85) + (xy 119.037957 105.723945) + (xy 118.980016 105.610229) + (xy 118.889771 105.519984) + (xy 118.776055 105.462043) + (xy 118.776057 105.462043) + (xy 118.65 105.442078) + (xy 118.523943 105.462043) + (xy 118.410228 105.519984) + (xy 118.319984 105.610228) + (xy 118.262043 105.723943) + (xy 118.242078 105.849999) + (xy 118.242078 105.85) + (xy 110.092084 105.85) + (xy 110.107922 105.75) + (xy 110.106014 105.737956) + (xy 110.101623 105.710228) + (xy 110.087957 105.623945) + (xy 110.030016 105.510229) + (xy 109.939771 105.419984) + (xy 109.826055 105.362043) + (xy 109.826057 105.362043) + (xy 109.750019 105.35) + (xy 114.342078 105.35) + (xy 114.362043 105.476056) + (xy 114.395683 105.542078) + (xy 114.419984 105.589771) + (xy 114.510229 105.680016) + (xy 114.623943 105.737956) + (xy 114.623945 105.737957) + (xy 114.75 105.757922) + (xy 114.876055 105.737957) + (xy 114.989771 105.680016) + (xy 115.080016 105.589771) + (xy 115.137957 105.476055) + (xy 115.157922 105.35) + (xy 122.142078 105.35) + (xy 122.162043 105.476056) + (xy 122.195683 105.542078) + (xy 122.219984 105.589771) + (xy 122.310229 105.680016) + (xy 122.423943 105.737956) + (xy 122.423945 105.737957) + (xy 122.55 105.757922) + (xy 122.676055 105.737957) + (xy 122.789771 105.680016) + (xy 122.880016 105.589771) + (xy 122.937957 105.476055) + (xy 122.950003 105.4) + (xy 125.992443 105.4) + (xy 126.010977 105.528911) + (xy 126.065078 105.647373) + (xy 126.06508 105.647376) + (xy 126.150366 105.745801) + (xy 126.259926 105.816209) + (xy 126.384883 105.8529) + (xy 126.515117 105.8529) + (xy 126.640073 105.816209) + (xy 126.640073 105.816208) + (xy 126.640076 105.816208) + (xy 126.749636 105.745799) + (xy 126.834921 105.647374) + (xy 126.889023 105.528909) + (xy 126.907557 105.4) + (xy 126.889023 105.271091) + (xy 126.834921 105.152626) + (xy 126.834919 105.152623) + (xy 126.749633 105.054198) + (xy 126.640073 104.98379) + (xy 126.515117 104.9471) + (xy 126.384883 104.9471) + (xy 126.259926 104.98379) + (xy 126.150366 105.054198) + (xy 126.06508 105.152623) + (xy 126.065078 105.152626) + (xy 126.010977 105.271088) + (xy 125.992443 105.4) + (xy 122.950003 105.4) + (xy 122.957922 105.35) + (xy 122.937957 105.223945) + (xy 122.880016 105.110229) + (xy 122.789771 105.019984) + (xy 122.676055 104.962043) + (xy 122.676057 104.962043) + (xy 122.55 104.942078) + (xy 122.423943 104.962043) + (xy 122.310228 105.019984) + (xy 122.219984 105.110228) + (xy 122.162043 105.223943) + (xy 122.142078 105.349999) + (xy 122.142078 105.35) + (xy 115.157922 105.35) + (xy 115.137957 105.223945) + (xy 115.080016 105.110229) + (xy 114.989771 105.019984) + (xy 114.876055 104.962043) + (xy 114.876057 104.962043) + (xy 114.75 104.942078) + (xy 114.623943 104.962043) + (xy 114.510228 105.019984) + (xy 114.419984 105.110228) + (xy 114.362043 105.223943) + (xy 114.342078 105.349999) + (xy 114.342078 105.35) + (xy 109.750019 105.35) + (xy 109.7 105.342078) + (xy 109.573943 105.362043) + (xy 109.460228 105.419984) + (xy 109.369984 105.510228) + (xy 109.312043 105.623943) + (xy 109.292078 105.749999) + (xy 109.292078 105.75) + (xy 106.85028 105.75) + (xy 106.887957 105.676055) + (xy 106.907922 105.55) + (xy 106.906014 105.537956) + (xy 106.898748 105.492078) + (xy 106.887957 105.423945) + (xy 106.830016 105.310229) + (xy 106.739771 105.219984) + (xy 106.626055 105.162043) + (xy 106.626057 105.162043) + (xy 106.5 105.142078) + (xy 106.373943 105.162043) + (xy 106.260228 105.219984) + (xy 106.169984 105.310228) + (xy 106.112043 105.423943) + (xy 106.092078 105.549999) + (xy 106.092078 105.55) + (xy 104.019787 105.55) + (xy 103.989771 105.519984) + (xy 103.876055 105.462043) + (xy 103.876057 105.462043) + (xy 103.75 105.442078) + (xy 103.623943 105.462043) + (xy 103.510228 105.519984) + (xy 103.419984 105.610228) + (xy 103.362043 105.723943) + (xy 103.342078 105.849999) + (xy 103.342078 105.85) + (xy 85.650003 105.85) + (xy 85.637957 105.773945) + (xy 85.580016 105.660229) + (xy 85.489771 105.569984) + (xy 85.376055 105.512043) + (xy 85.376057 105.512043) + (xy 85.25 105.492078) + (xy 85.123943 105.512043) + (xy 85.010228 105.569984) + (xy 84.919984 105.660228) + (xy 84.862043 105.773943) + (xy 84.842078 105.899999) + (xy 84.842078 105.9) + (xy 78.600003 105.9) + (xy 78.598788 105.892329) + (xy 78.587957 105.823945) + (xy 78.530016 105.710229) + (xy 78.439771 105.619984) + (xy 78.326055 105.562043) + (xy 78.326057 105.562043) + (xy 78.2 105.542078) + (xy 78.073943 105.562043) + (xy 77.960228 105.619984) + (xy 77.869984 105.710228) + (xy 77.812043 105.823943) + (xy 77.792078 105.949999) + (xy 77.792078 105.95) + (xy 70.324287 105.95) + (xy 70.370516 105.903771) + (xy 70.428457 105.790055) + (xy 70.448422 105.664) + (xy 70.428457 105.537945) + (xy 70.370516 105.424229) + (xy 70.280271 105.333984) + (xy 70.166555 105.276043) + (xy 70.166557 105.276043) + (xy 70.0405 105.256078) + (xy 69.914443 105.276043) + (xy 69.800728 105.333984) + (xy 69.710484 105.424228) + (xy 69.652543 105.537943) + (xy 69.632578 105.663999) + (xy 69.632578 105.664) + (xy 46.101 105.664) + (xy 46.101 104.95) + (xy 46.542443 104.95) + (xy 46.560977 105.078911) + (xy 46.615078 105.197373) + (xy 46.61508 105.197376) + (xy 46.650243 105.237956) + (xy 46.683245 105.276043) + (xy 46.700366 105.295801) + (xy 46.809926 105.366209) + (xy 46.934883 105.4029) + (xy 47.065117 105.4029) + (xy 47.190073 105.366209) + (xy 47.190073 105.366208) + (xy 47.190076 105.366208) + (xy 47.299636 105.295799) + (xy 47.384921 105.197374) + (xy 47.406556 105.15) + (xy 102.467078 105.15) + (xy 102.487043 105.276056) + (xy 102.544984 105.389771) + (xy 102.635229 105.480016) + (xy 102.748943 105.537956) + (xy 102.748945 105.537957) + (xy 102.875 105.557922) + (xy 103.001055 105.537957) + (xy 103.114771 105.480016) + (xy 103.205016 105.389771) + (xy 103.262957 105.276055) + (xy 103.282922 105.15) + (xy 103.267084 105.05) + (xy 104.192443 105.05) + (xy 104.210977 105.178911) + (xy 104.265078 105.297373) + (xy 104.26508 105.297376) + (xy 104.350366 105.395801) + (xy 104.459926 105.466209) + (xy 104.584883 105.5029) + (xy 104.715117 105.5029) + (xy 104.840073 105.466209) + (xy 104.840073 105.466208) + (xy 104.840076 105.466208) + (xy 104.949636 105.395799) + (xy 105.034921 105.297374) + (xy 105.089023 105.178909) + (xy 105.107557 105.05) + (xy 105.089023 104.921091) + (xy 105.08602 104.914516) + (xy 105.056556 104.85) + (xy 108.542078 104.85) + (xy 108.562043 104.976056) + (xy 108.59972 105.05) + (xy 108.619984 105.089771) + (xy 108.710229 105.180016) + (xy 108.823943 105.237956) + (xy 108.823945 105.237957) + (xy 108.95 105.257922) + (xy 109.076055 105.237957) + (xy 109.189771 105.180016) + (xy 109.280016 105.089771) + (xy 109.337957 104.976055) + (xy 109.357922 104.85) + (xy 109.357202 104.845457) + (xy 109.350307 104.801922) + (xy 109.337957 104.723945) + (xy 109.30028 104.65) + (xy 118.242078 104.65) + (xy 118.262043 104.776056) + (xy 118.319059 104.887956) + (xy 118.319984 104.889771) + (xy 118.410229 104.980016) + (xy 118.523943 105.037956) + (xy 118.523945 105.037957) + (xy 118.65 105.057922) + (xy 118.776055 105.037957) + (xy 118.889771 104.980016) + (xy 118.980016 104.889771) + (xy 119.037957 104.776055) + (xy 119.057922 104.65) + (xy 122.842078 104.65) + (xy 122.862043 104.776056) + (xy 122.919059 104.887956) + (xy 122.919984 104.889771) + (xy 123.010229 104.980016) + (xy 123.123943 105.037956) + (xy 123.123945 105.037957) + (xy 123.25 105.057922) + (xy 123.376055 105.037957) + (xy 123.489771 104.980016) + (xy 123.580016 104.889771) + (xy 123.637957 104.776055) + (xy 123.657922 104.65) + (xy 125.142078 104.65) + (xy 125.162043 104.776056) + (xy 125.219059 104.887956) + (xy 125.219984 104.889771) + (xy 125.310229 104.980016) + (xy 125.423943 105.037956) + (xy 125.423945 105.037957) + (xy 125.55 105.057922) + (xy 125.676055 105.037957) + (xy 125.789771 104.980016) + (xy 125.880016 104.889771) + (xy 125.937957 104.776055) + (xy 125.957922 104.65) + (xy 125.957506 104.647376) + (xy 125.950668 104.604198) + (xy 125.937957 104.523945) + (xy 125.880016 104.410229) + (xy 125.789771 104.319984) + (xy 125.75055 104.3) + (xy 126.992443 104.3) + (xy 127.010977 104.428911) + (xy 127.065078 104.547373) + (xy 127.06508 104.547376) + (xy 127.150366 104.645801) + (xy 127.203607 104.680016) + (xy 127.251129 104.710556) + (xy 127.259926 104.716209) + (xy 127.384883 104.7529) + (xy 127.515117 104.7529) + (xy 127.640073 104.716209) + (xy 127.640073 104.716208) + (xy 127.640076 104.716208) + (xy 127.749636 104.645799) + (xy 127.834921 104.547374) + (xy 127.889023 104.428909) + (xy 127.907557 104.3) + (xy 127.889023 104.171091) + (xy 127.888517 104.169984) + (xy 127.834921 104.052626) + (xy 127.834919 104.052623) + (xy 127.777257 103.986078) + (xy 127.749636 103.954201) + (xy 127.749635 103.9542) + (xy 127.749633 103.954198) + (xy 127.640073 103.88379) + (xy 127.515117 103.8471) + (xy 127.384883 103.8471) + (xy 127.259926 103.88379) + (xy 127.150366 103.954198) + (xy 127.06508 104.052623) + (xy 127.065078 104.052626) + (xy 127.010977 104.171088) + (xy 126.992443 104.3) + (xy 125.75055 104.3) + (xy 125.676055 104.262043) + (xy 125.676057 104.262043) + (xy 125.55 104.242078) + (xy 125.423943 104.262043) + (xy 125.310228 104.319984) + (xy 125.219984 104.410228) + (xy 125.162043 104.523943) + (xy 125.142078 104.649999) + (xy 125.142078 104.65) + (xy 123.657922 104.65) + (xy 123.657506 104.647376) + (xy 123.650668 104.604198) + (xy 123.637957 104.523945) + (xy 123.580016 104.410229) + (xy 123.489771 104.319984) + (xy 123.376055 104.262043) + (xy 123.376057 104.262043) + (xy 123.25 104.242078) + (xy 123.123943 104.262043) + (xy 123.010228 104.319984) + (xy 122.919984 104.410228) + (xy 122.862043 104.523943) + (xy 122.842078 104.649999) + (xy 122.842078 104.65) + (xy 119.057922 104.65) + (xy 119.057506 104.647376) + (xy 119.050668 104.604198) + (xy 119.037957 104.523945) + (xy 118.980016 104.410229) + (xy 118.889771 104.319984) + (xy 118.776055 104.262043) + (xy 118.776057 104.262043) + (xy 118.65 104.242078) + (xy 118.523943 104.262043) + (xy 118.410228 104.319984) + (xy 118.319984 104.410228) + (xy 118.262043 104.523943) + (xy 118.242078 104.649999) + (xy 118.242078 104.65) + (xy 109.30028 104.65) + (xy 109.280016 104.610229) + (xy 109.189771 104.519984) + (xy 109.076055 104.462043) + (xy 109.076057 104.462043) + (xy 108.95 104.442078) + (xy 108.823943 104.462043) + (xy 108.710228 104.519984) + (xy 108.619984 104.610228) + (xy 108.562043 104.723943) + (xy 108.542078 104.849999) + (xy 108.542078 104.85) + (xy 105.056556 104.85) + (xy 105.034921 104.802626) + (xy 105.034919 104.802623) + (xy 104.978885 104.737956) + (xy 104.949636 104.704201) + (xy 104.949635 104.7042) + (xy 104.949633 104.704198) + (xy 104.840073 104.63379) + (xy 104.715117 104.5971) + (xy 104.584883 104.5971) + (xy 104.459926 104.63379) + (xy 104.350366 104.704198) + (xy 104.26508 104.802623) + (xy 104.265078 104.802626) + (xy 104.210977 104.921088) + (xy 104.192443 105.05) + (xy 103.267084 105.05) + (xy 103.262957 105.023945) + (xy 103.205016 104.910229) + (xy 103.114771 104.819984) + (xy 103.001055 104.762043) + (xy 103.001057 104.762043) + (xy 102.875 104.742078) + (xy 102.748943 104.762043) + (xy 102.635228 104.819984) + (xy 102.544984 104.910228) + (xy 102.487043 105.023943) + (xy 102.467078 105.149999) + (xy 102.467078 105.15) + (xy 47.406556 105.15) + (xy 47.439023 105.078909) + (xy 47.457557 104.95) + (xy 47.439023 104.821091) + (xy 47.438517 104.819984) + (xy 47.384921 104.702626) + (xy 47.384919 104.702623) + (xy 47.33379 104.643618) + (xy 47.299636 104.604201) + (xy 47.299635 104.6042) + (xy 47.299633 104.604198) + (xy 47.190073 104.53379) + (xy 47.065117 104.4971) + (xy 46.934883 104.4971) + (xy 46.809926 104.53379) + (xy 46.700366 104.604198) + (xy 46.61508 104.702623) + (xy 46.615078 104.702626) + (xy 46.560977 104.821088) + (xy 46.542443 104.95) + (xy 46.101 104.95) + (xy 46.101 104.4) + (xy 49.092443 104.4) + (xy 49.110977 104.528911) + (xy 49.165078 104.647373) + (xy 49.16508 104.647376) + (xy 49.250366 104.745801) + (xy 49.359926 104.816209) + (xy 49.484883 104.8529) + (xy 49.615117 104.8529) + (xy 49.740073 104.816209) + (xy 49.740073 104.816208) + (xy 49.740076 104.816208) + (xy 49.849636 104.745799) + (xy 49.934921 104.647374) + (xy 49.963635 104.5845) + (xy 51.027078 104.5845) + (xy 51.047043 104.710556) + (xy 51.083424 104.781957) + (xy 51.104984 104.824271) + (xy 51.195229 104.914516) + (xy 51.308943 104.972456) + (xy 51.308945 104.972457) + (xy 51.435 104.992422) + (xy 51.561055 104.972457) + (xy 51.674771 104.914516) + (xy 51.765016 104.824271) + (xy 51.822957 104.710555) + (xy 51.842922 104.5845) + (xy 56.107078 104.5845) + (xy 56.127043 104.710556) + (xy 56.163424 104.781957) + (xy 56.184984 104.824271) + (xy 56.275229 104.914516) + (xy 56.388943 104.972456) + (xy 56.388945 104.972457) + (xy 56.515 104.992422) + (xy 56.641055 104.972457) + (xy 56.754771 104.914516) + (xy 56.845016 104.824271) + (xy 56.902957 104.710555) + (xy 56.922922 104.5845) + (xy 56.922772 104.583556) + (xy 56.91489 104.53379) + (xy 56.902957 104.458445) + (xy 56.902476 104.4575) + (xy 59.663078 104.4575) + (xy 59.683043 104.583556) + (xy 59.704698 104.626056) + (xy 59.740984 104.697271) + (xy 59.831229 104.787516) + (xy 59.944943 104.845456) + (xy 59.944945 104.845457) + (xy 60.071 104.865422) + (xy 60.197055 104.845457) + (xy 60.310771 104.787516) + (xy 60.401016 104.697271) + (xy 60.458957 104.583555) + (xy 60.478922 104.4575) + (xy 60.468865 104.394) + (xy 69.632578 104.394) + (xy 69.652543 104.520056) + (xy 69.706553 104.626056) + (xy 69.710484 104.633771) + (xy 69.800729 104.724016) + (xy 69.914443 104.781956) + (xy 69.914445 104.781957) + (xy 70.0405 104.801922) + (xy 70.166555 104.781957) + (xy 70.280271 104.724016) + (xy 70.304287 104.7) + (xy 75.942078 104.7) + (xy 75.962043 104.826056) + (xy 76.010466 104.921091) + (xy 76.019984 104.939771) + (xy 76.110229 105.030016) + (xy 76.223943 105.087956) + (xy 76.223945 105.087957) + (xy 76.35 105.107922) + (xy 76.476055 105.087957) + (xy 76.589771 105.030016) + (xy 76.680016 104.939771) + (xy 76.737957 104.826055) + (xy 76.757922 104.7) + (xy 76.750003 104.65) + (xy 84.742078 104.65) + (xy 84.762043 104.776056) + (xy 84.819059 104.887956) + (xy 84.819984 104.889771) + (xy 84.910229 104.980016) + (xy 85.023943 105.037956) + (xy 85.023945 105.037957) + (xy 85.15 105.057922) + (xy 85.276055 105.037957) + (xy 85.389771 104.980016) + (xy 85.480016 104.889771) + (xy 85.537957 104.776055) + (xy 85.557922 104.65) + (xy 85.557506 104.647376) + (xy 85.550668 104.604198) + (xy 85.537957 104.523945) + (xy 85.480016 104.410229) + (xy 85.389771 104.319984) + (xy 85.276055 104.262043) + (xy 85.276057 104.262043) + (xy 85.15 104.242078) + (xy 85.023943 104.262043) + (xy 84.910228 104.319984) + (xy 84.819984 104.410228) + (xy 84.762043 104.523943) + (xy 84.742078 104.649999) + (xy 84.742078 104.65) + (xy 76.750003 104.65) + (xy 76.737957 104.573945) + (xy 76.680016 104.460229) + (xy 76.589771 104.369984) + (xy 76.476055 104.312043) + (xy 76.476057 104.312043) + (xy 76.35 104.292078) + (xy 76.223943 104.312043) + (xy 76.110228 104.369984) + (xy 76.019984 104.460228) + (xy 75.962043 104.573943) + (xy 75.942078 104.699999) + (xy 75.942078 104.7) + (xy 70.304287 104.7) + (xy 70.370516 104.633771) + (xy 70.428457 104.520055) + (xy 70.448422 104.394) + (xy 70.428457 104.267945) + (xy 70.370516 104.154229) + (xy 70.316287 104.1) + (xy 89.042078 104.1) + (xy 89.062043 104.226056) + (xy 89.083386 104.267943) + (xy 89.119984 104.339771) + (xy 89.210229 104.430016) + (xy 89.323943 104.487956) + (xy 89.323945 104.487957) + (xy 89.45 104.507922) + (xy 89.500018 104.5) + (xy 100.092078 104.5) + (xy 100.112043 104.626056) + (xy 100.161919 104.723943) + (xy 100.169984 104.739771) + (xy 100.260229 104.830016) + (xy 100.373943 104.887956) + (xy 100.373945 104.887957) + (xy 100.5 104.907922) + (xy 100.626055 104.887957) + (xy 100.739771 104.830016) + (xy 100.830016 104.739771) + (xy 100.887957 104.626055) + (xy 100.907922 104.5) + (xy 100.906014 104.487956) + (xy 100.901191 104.4575) + (xy 100.887957 104.373945) + (xy 100.875756 104.35) + (xy 107.642078 104.35) + (xy 107.662043 104.476056) + (xy 107.686443 104.523943) + (xy 107.719984 104.589771) + (xy 107.810229 104.680016) + (xy 107.923943 104.737956) + (xy 107.923945 104.737957) + (xy 108.05 104.757922) + (xy 108.176055 104.737957) + (xy 108.289771 104.680016) + (xy 108.380016 104.589771) + (xy 108.437957 104.476055) + (xy 108.457922 104.35) + (xy 108.437957 104.223945) + (xy 108.380016 104.110229) + (xy 108.289771 104.019984) + (xy 108.176055 103.962043) + (xy 108.176057 103.962043) + (xy 108.05 103.942078) + (xy 107.923943 103.962043) + (xy 107.810228 104.019984) + (xy 107.719984 104.110228) + (xy 107.662043 104.223943) + (xy 107.642078 104.349999) + (xy 107.642078 104.35) + (xy 100.875756 104.35) + (xy 100.830016 104.260229) + (xy 100.739771 104.169984) + (xy 100.626055 104.112043) + (xy 100.626057 104.112043) + (xy 100.5 104.092078) + (xy 100.373943 104.112043) + (xy 100.260228 104.169984) + (xy 100.169984 104.260228) + (xy 100.112043 104.373943) + (xy 100.092078 104.499999) + (xy 100.092078 104.5) + (xy 89.500018 104.5) + (xy 89.576055 104.487957) + (xy 89.689771 104.430016) + (xy 89.780016 104.339771) + (xy 89.837957 104.226055) + (xy 89.857922 104.1) + (xy 89.842084 104) + (xy 99.192078 104) + (xy 99.212043 104.126056) + (xy 99.258753 104.217729) + (xy 99.269984 104.239771) + (xy 99.360229 104.330016) + (xy 99.473943 104.387956) + (xy 99.473945 104.387957) + (xy 99.6 104.407922) + (xy 99.726055 104.387957) + (xy 99.839771 104.330016) + (xy 99.930016 104.239771) + (xy 99.987957 104.126055) + (xy 100.007922 104) + (xy 99.987957 103.873945) + (xy 99.975756 103.85) + (xy 114.342078 103.85) + (xy 114.362043 103.976056) + (xy 114.384426 104.019984) + (xy 114.419984 104.089771) + (xy 114.510229 104.180016) + (xy 114.623943 104.237956) + (xy 114.623945 104.237957) + (xy 114.75 104.257922) + (xy 114.876055 104.237957) + (xy 114.989771 104.180016) + (xy 115.080016 104.089771) + (xy 115.137957 103.976055) + (xy 115.157922 103.85) + (xy 122.142078 103.85) + (xy 122.162043 103.976056) + (xy 122.184426 104.019984) + (xy 122.219984 104.089771) + (xy 122.310229 104.180016) + (xy 122.423943 104.237956) + (xy 122.423945 104.237957) + (xy 122.55 104.257922) + (xy 122.676055 104.237957) + (xy 122.789771 104.180016) + (xy 122.880016 104.089771) + (xy 122.937957 103.976055) + (xy 122.957922 103.85) + (xy 122.937957 103.723945) + (xy 122.880016 103.610229) + (xy 122.789771 103.519984) + (xy 122.676055 103.462043) + (xy 122.676057 103.462043) + (xy 122.55 103.442078) + (xy 122.423943 103.462043) + (xy 122.310228 103.519984) + (xy 122.219984 103.610228) + (xy 122.162043 103.723943) + (xy 122.142078 103.849999) + (xy 122.142078 103.85) + (xy 115.157922 103.85) + (xy 115.137957 103.723945) + (xy 115.080016 103.610229) + (xy 114.989771 103.519984) + (xy 114.876055 103.462043) + (xy 114.876057 103.462043) + (xy 114.75 103.442078) + (xy 114.623943 103.462043) + (xy 114.510228 103.519984) + (xy 114.419984 103.610228) + (xy 114.362043 103.723943) + (xy 114.342078 103.849999) + (xy 114.342078 103.85) + (xy 99.975756 103.85) + (xy 99.930016 103.760229) + (xy 99.839771 103.669984) + (xy 99.726055 103.612043) + (xy 99.726057 103.612043) + (xy 99.6 103.592078) + (xy 99.473943 103.612043) + (xy 99.360228 103.669984) + (xy 99.269984 103.760228) + (xy 99.212043 103.873943) + (xy 99.192078 103.999999) + (xy 99.192078 104) + (xy 89.842084 104) + (xy 89.837957 103.973945) + (xy 89.780016 103.860229) + (xy 89.689771 103.769984) + (xy 89.576055 103.712043) + (xy 89.576057 103.712043) + (xy 89.45 103.692078) + (xy 89.323943 103.712043) + (xy 89.210228 103.769984) + (xy 89.119984 103.860228) + (xy 89.062043 103.973943) + (xy 89.042078 104.099999) + (xy 89.042078 104.1) + (xy 70.316287 104.1) + (xy 70.280271 104.063984) + (xy 70.166555 104.006043) + (xy 70.166557 104.006043) + (xy 70.0405 103.986078) + (xy 69.914443 104.006043) + (xy 69.800728 104.063984) + (xy 69.710484 104.154228) + (xy 69.652543 104.267943) + (xy 69.632578 104.393999) + (xy 69.632578 104.394) + (xy 60.468865 104.394) + (xy 60.458957 104.331445) + (xy 60.401016 104.217729) + (xy 60.310771 104.127484) + (xy 60.197055 104.069543) + (xy 60.197057 104.069543) + (xy 60.071 104.049578) + (xy 59.944943 104.069543) + (xy 59.831228 104.127484) + (xy 59.740984 104.217728) + (xy 59.683043 104.331443) + (xy 59.663078 104.457499) + (xy 59.663078 104.4575) + (xy 56.902476 104.4575) + (xy 56.845016 104.344729) + (xy 56.754771 104.254484) + (xy 56.641055 104.196543) + (xy 56.641057 104.196543) + (xy 56.515 104.176578) + (xy 56.388943 104.196543) + (xy 56.275228 104.254484) + (xy 56.184984 104.344728) + (xy 56.127043 104.458443) + (xy 56.107078 104.584499) + (xy 56.107078 104.5845) + (xy 51.842922 104.5845) + (xy 51.842772 104.583556) + (xy 51.83489 104.53379) + (xy 51.822957 104.458445) + (xy 51.765016 104.344729) + (xy 51.674771 104.254484) + (xy 51.561055 104.196543) + (xy 51.561057 104.196543) + (xy 51.435 104.176578) + (xy 51.308943 104.196543) + (xy 51.195228 104.254484) + (xy 51.104984 104.344728) + (xy 51.047043 104.458443) + (xy 51.027078 104.584499) + (xy 51.027078 104.5845) + (xy 49.963635 104.5845) + (xy 49.989023 104.528909) + (xy 50.007557 104.4) + (xy 49.989023 104.271091) + (xy 49.987586 104.267945) + (xy 49.934921 104.152626) + (xy 49.934919 104.152623) + (xy 49.858113 104.063984) + (xy 49.849636 104.054201) + (xy 49.849635 104.0542) + (xy 49.849633 104.054198) + (xy 49.740073 103.98379) + (xy 49.615117 103.9471) + (xy 49.484883 103.9471) + (xy 49.359926 103.98379) + (xy 49.250366 104.054198) + (xy 49.16508 104.152623) + (xy 49.165078 104.152626) + (xy 49.110977 104.271088) + (xy 49.092443 104.4) + (xy 46.101 104.4) + (xy 46.101 103.8225) + (xy 58.647078 103.8225) + (xy 58.667043 103.948556) + (xy 58.681055 103.976055) + (xy 58.724984 104.062271) + (xy 58.815229 104.152516) + (xy 58.928943 104.210456) + (xy 58.928945 104.210457) + (xy 59.055 104.230422) + (xy 59.181055 104.210457) + (xy 59.294771 104.152516) + (xy 59.385016 104.062271) + (xy 59.442957 103.948555) + (xy 59.462922 103.8225) + (xy 59.442957 103.696445) + (xy 59.385016 103.582729) + (xy 59.307287 103.505) + (xy 64.235078 103.505) + (xy 64.255043 103.631056) + (xy 64.28836 103.696443) + (xy 64.312984 103.744771) + (xy 64.403229 103.835016) + (xy 64.516943 103.892956) + (xy 64.516945 103.892957) + (xy 64.643 103.912922) + (xy 64.769055 103.892957) + (xy 64.882771 103.835016) + (xy 64.973016 103.744771) + (xy 65.030957 103.631055) + (xy 65.050922 103.505) + (xy 65.050772 103.504056) + (xy 65.044118 103.462043) + (xy 65.030957 103.378945) + (xy 64.973016 103.265229) + (xy 64.882771 103.174984) + (xy 64.782709 103.124) + (xy 69.632578 103.124) + (xy 69.652543 103.250056) + (xy 69.685358 103.314459) + (xy 69.710484 103.363771) + (xy 69.800729 103.454016) + (xy 69.914443 103.511956) + (xy 69.914445 103.511957) + (xy 70.0405 103.531922) + (xy 70.166555 103.511957) + (xy 70.280271 103.454016) + (xy 70.370516 103.363771) + (xy 70.428457 103.250055) + (xy 70.448422 103.124) + (xy 76.808078 103.124) + (xy 76.828043 103.250056) + (xy 76.860858 103.314459) + (xy 76.885984 103.363771) + (xy 76.976229 103.454016) + (xy 77.089943 103.511956) + (xy 77.089945 103.511957) + (xy 77.216 103.531922) + (xy 77.342055 103.511957) + (xy 77.455771 103.454016) + (xy 77.546016 103.363771) + (xy 77.603957 103.250055) + (xy 77.623922 103.124) + (xy 78.078078 103.124) + (xy 78.098043 103.250056) + (xy 78.130858 103.314459) + (xy 78.155984 103.363771) + (xy 78.246229 103.454016) + (xy 78.359943 103.511956) + (xy 78.359945 103.511957) + (xy 78.486 103.531922) + (xy 78.612055 103.511957) + (xy 78.725771 103.454016) + (xy 78.816016 103.363771) + (xy 78.873957 103.250055) + (xy 78.893922 103.124) + (xy 79.348078 103.124) + (xy 79.368043 103.250056) + (xy 79.400858 103.314459) + (xy 79.425984 103.363771) + (xy 79.516229 103.454016) + (xy 79.629943 103.511956) + (xy 79.629945 103.511957) + (xy 79.756 103.531922) + (xy 79.882055 103.511957) + (xy 79.995771 103.454016) + (xy 80.049787 103.4) + (xy 87.142078 103.4) + (xy 87.162043 103.526056) + (xy 87.219984 103.639771) + (xy 87.310229 103.730016) + (xy 87.423943 103.787956) + (xy 87.423945 103.787957) + (xy 87.55 103.807922) + (xy 87.676055 103.787957) + (xy 87.789771 103.730016) + (xy 87.880016 103.639771) + (xy 87.937957 103.526055) + (xy 87.957922 103.4) + (xy 87.950003 103.35) + (xy 108.992443 103.35) + (xy 109.010977 103.478911) + (xy 109.065078 103.597373) + (xy 109.06508 103.597376) + (xy 109.150366 103.695801) + (xy 109.203607 103.730016) + (xy 109.259533 103.765957) + (xy 109.259926 103.766209) + (xy 109.384883 103.8029) + (xy 109.515117 103.8029) + (xy 109.640073 103.766209) + (xy 109.640073 103.766208) + (xy 109.640076 103.766208) + (xy 109.749636 103.695799) + (xy 109.834921 103.597374) + (xy 109.889023 103.478909) + (xy 109.907557 103.35) + (xy 109.889023 103.221091) + (xy 109.885977 103.214422) + (xy 109.834921 103.102626) + (xy 109.834919 103.102623) + (xy 109.789321 103.05) + (xy 111.342078 103.05) + (xy 111.362043 103.176056) + (xy 111.371419 103.194457) + (xy 111.419984 103.289771) + (xy 111.510229 103.380016) + (xy 111.623943 103.437956) + (xy 111.623945 103.437957) + (xy 111.75 103.457922) + (xy 111.876055 103.437957) + (xy 111.989771 103.380016) + (xy 112.080016 103.289771) + (xy 112.137957 103.176055) + (xy 112.157922 103.05) + (xy 113.642078 103.05) + (xy 113.662043 103.176056) + (xy 113.671419 103.194457) + (xy 113.719984 103.289771) + (xy 113.810229 103.380016) + (xy 113.923943 103.437956) + (xy 113.923945 103.437957) + (xy 114.05 103.457922) + (xy 114.176055 103.437957) + (xy 114.289771 103.380016) + (xy 114.380016 103.289771) + (xy 114.437957 103.176055) + (xy 114.457922 103.05) + (xy 117.042078 103.05) + (xy 117.062043 103.176056) + (xy 117.071419 103.194457) + (xy 117.119984 103.289771) + (xy 117.210229 103.380016) + (xy 117.323943 103.437956) + (xy 117.323945 103.437957) + (xy 117.45 103.457922) + (xy 117.576055 103.437957) + (xy 117.689771 103.380016) + (xy 117.780016 103.289771) + (xy 117.837957 103.176055) + (xy 117.857922 103.05) + (xy 119.442078 103.05) + (xy 119.462043 103.176056) + (xy 119.471419 103.194457) + (xy 119.519984 103.289771) + (xy 119.610229 103.380016) + (xy 119.723943 103.437956) + (xy 119.723945 103.437957) + (xy 119.85 103.457922) + (xy 119.976055 103.437957) + (xy 120.089771 103.380016) + (xy 120.180016 103.289771) + (xy 120.237957 103.176055) + (xy 120.257922 103.05) + (xy 120.257331 103.046271) + (xy 120.25191 103.012043) + (xy 120.237957 102.923945) + (xy 120.180016 102.810229) + (xy 120.089771 102.719984) + (xy 119.976055 102.662043) + (xy 119.976057 102.662043) + (xy 119.85 102.642078) + (xy 119.723943 102.662043) + (xy 119.610228 102.719984) + (xy 119.519984 102.810228) + (xy 119.462043 102.923943) + (xy 119.442078 103.049999) + (xy 119.442078 103.05) + (xy 117.857922 103.05) + (xy 117.857331 103.046271) + (xy 117.85191 103.012043) + (xy 117.837957 102.923945) + (xy 117.780016 102.810229) + (xy 117.689771 102.719984) + (xy 117.576055 102.662043) + (xy 117.576057 102.662043) + (xy 117.45 102.642078) + (xy 117.323943 102.662043) + (xy 117.210228 102.719984) + (xy 117.119984 102.810228) + (xy 117.062043 102.923943) + (xy 117.042078 103.049999) + (xy 117.042078 103.05) + (xy 114.457922 103.05) + (xy 114.457331 103.046271) + (xy 114.45191 103.012043) + (xy 114.437957 102.923945) + (xy 114.380016 102.810229) + (xy 114.289771 102.719984) + (xy 114.176055 102.662043) + (xy 114.176057 102.662043) + (xy 114.05 102.642078) + (xy 113.923943 102.662043) + (xy 113.810228 102.719984) + (xy 113.719984 102.810228) + (xy 113.662043 102.923943) + (xy 113.642078 103.049999) + (xy 113.642078 103.05) + (xy 112.157922 103.05) + (xy 112.157331 103.046271) + (xy 112.15191 103.012043) + (xy 112.137957 102.923945) + (xy 112.080016 102.810229) + (xy 111.989771 102.719984) + (xy 111.876055 102.662043) + (xy 111.876057 102.662043) + (xy 111.75 102.642078) + (xy 111.623943 102.662043) + (xy 111.510228 102.719984) + (xy 111.419984 102.810228) + (xy 111.362043 102.923943) + (xy 111.342078 103.049999) + (xy 111.342078 103.05) + (xy 109.789321 103.05) + (xy 109.749633 103.004198) + (xy 109.640073 102.93379) + (xy 109.515117 102.8971) + (xy 109.384883 102.8971) + (xy 109.259926 102.93379) + (xy 109.150366 103.004198) + (xy 109.06508 103.102623) + (xy 109.065078 103.102626) + (xy 109.010977 103.221088) + (xy 108.992443 103.35) + (xy 87.950003 103.35) + (xy 87.937957 103.273945) + (xy 87.880016 103.160229) + (xy 87.789771 103.069984) + (xy 87.676055 103.012043) + (xy 87.676057 103.012043) + (xy 87.55 102.992078) + (xy 87.423943 103.012043) + (xy 87.310228 103.069984) + (xy 87.219984 103.160228) + (xy 87.162043 103.273943) + (xy 87.142078 103.399999) + (xy 87.142078 103.4) + (xy 80.049787 103.4) + (xy 80.086016 103.363771) + (xy 80.143957 103.250055) + (xy 80.163922 103.124) + (xy 80.143957 102.997945) + (xy 80.093542 102.899) + (xy 81.542078 102.899) + (xy 81.562043 103.025056) + (xy 81.612457 103.123999) + (xy 81.619984 103.138771) + (xy 81.710229 103.229016) + (xy 81.823943 103.286956) + (xy 81.823945 103.286957) + (xy 81.95 103.306922) + (xy 82.076055 103.286957) + (xy 82.189771 103.229016) + (xy 82.280016 103.138771) + (xy 82.337957 103.025055) + (xy 82.357922 102.899) + (xy 83.242078 102.899) + (xy 83.262043 103.025056) + (xy 83.312457 103.123999) + (xy 83.319984 103.138771) + (xy 83.410229 103.229016) + (xy 83.523943 103.286956) + (xy 83.523945 103.286957) + (xy 83.65 103.306922) + (xy 83.776055 103.286957) + (xy 83.889771 103.229016) + (xy 83.980016 103.138771) + (xy 84.037957 103.025055) + (xy 84.057922 102.899) + (xy 84.037957 102.772945) + (xy 83.980016 102.659229) + (xy 83.920787 102.6) + (xy 87.792078 102.6) + (xy 87.812043 102.726056) + (xy 87.869984 102.839771) + (xy 87.960229 102.930016) + (xy 88.073943 102.987956) + (xy 88.073945 102.987957) + (xy 88.2 103.007922) + (xy 88.326055 102.987957) + (xy 88.439771 102.930016) + (xy 88.530016 102.839771) + (xy 88.587957 102.726055) + (xy 88.607922 102.6) + (xy 88.587957 102.473945) + (xy 88.530016 102.360229) + (xy 88.469787 102.3) + (xy 95.492329 102.3) + (xy 95.511331 102.444337) + (xy 95.524647 102.476484) + (xy 95.567043 102.578836) + (xy 95.567044 102.578838) + (xy 95.567045 102.578839) + (xy 95.655666 102.694333) + (xy 95.758116 102.772945) + (xy 95.771164 102.782957) + (xy 95.905664 102.838669) + (xy 96.05 102.857671) + (xy 96.194336 102.838669) + (xy 96.328836 102.782957) + (xy 96.444333 102.694333) + (xy 96.532957 102.578836) + (xy 96.565612 102.5) + (xy 99.142078 102.5) + (xy 99.162043 102.626056) + (xy 99.186443 102.673943) + (xy 99.219984 102.739771) + (xy 99.310229 102.830016) + (xy 99.423943 102.887956) + (xy 99.423945 102.887957) + (xy 99.55 102.907922) + (xy 99.676055 102.887957) + (xy 99.789771 102.830016) + (xy 99.819787 102.8) + (xy 100.142078 102.8) + (xy 100.162043 102.926056) + (xy 100.201859 103.004198) + (xy 100.219984 103.039771) + (xy 100.310229 103.130016) + (xy 100.423943 103.187956) + (xy 100.423945 103.187957) + (xy 100.55 103.207922) + (xy 100.676055 103.187957) + (xy 100.789771 103.130016) + (xy 100.880016 103.039771) + (xy 100.937957 102.926055) + (xy 100.957922 102.8) + (xy 100.950003 102.75) + (xy 102.442078 102.75) + (xy 102.462043 102.876056) + (xy 102.49146 102.93379) + (xy 102.519984 102.989771) + (xy 102.610229 103.080016) + (xy 102.723943 103.137956) + (xy 102.723945 103.137957) + (xy 102.85 103.157922) + (xy 102.976055 103.137957) + (xy 103.089771 103.080016) + (xy 103.180016 102.989771) + (xy 103.237957 102.876055) + (xy 103.257922 102.75) + (xy 103.255711 102.736043) + (xy 103.249105 102.694333) + (xy 103.237957 102.623945) + (xy 103.180016 102.510229) + (xy 103.119787 102.45) + (xy 110.342443 102.45) + (xy 110.360977 102.578911) + (xy 110.415078 102.697373) + (xy 110.41508 102.697376) + (xy 110.451816 102.739771) + (xy 110.498791 102.793984) + (xy 110.500366 102.795801) + (xy 110.553607 102.830016) + (xy 110.596638 102.85767) + (xy 110.609926 102.866209) + (xy 110.734883 102.9029) + (xy 110.865117 102.9029) + (xy 110.990073 102.866209) + (xy 110.990073 102.866208) + (xy 110.990076 102.866208) + (xy 111.099636 102.795799) + (xy 111.184921 102.697374) + (xy 111.239023 102.578909) + (xy 111.257557 102.45) + (xy 111.239023 102.321091) + (xy 111.211227 102.260228) + (xy 111.206556 102.25) + (xy 122.192078 102.25) + (xy 122.212043 102.376056) + (xy 122.234426 102.419984) + (xy 122.269984 102.489771) + (xy 122.360229 102.580016) + (xy 122.473943 102.637956) + (xy 122.473945 102.637957) + (xy 122.6 102.657922) + (xy 122.726055 102.637957) + (xy 122.839771 102.580016) + (xy 122.930016 102.489771) + (xy 122.987957 102.376055) + (xy 123.007922 102.25) + (xy 122.987957 102.123945) + (xy 122.930016 102.010229) + (xy 122.839771 101.919984) + (xy 122.726055 101.862043) + (xy 122.726057 101.862043) + (xy 122.6 101.842078) + (xy 122.473943 101.862043) + (xy 122.360228 101.919984) + (xy 122.269984 102.010228) + (xy 122.212043 102.123943) + (xy 122.192078 102.249999) + (xy 122.192078 102.25) + (xy 111.206556 102.25) + (xy 111.184921 102.202626) + (xy 111.184919 102.202623) + (xy 111.099633 102.104198) + (xy 110.990073 102.03379) + (xy 110.865117 101.9971) + (xy 110.734883 101.9971) + (xy 110.609926 102.03379) + (xy 110.500366 102.104198) + (xy 110.41508 102.202623) + (xy 110.415078 102.202626) + (xy 110.360977 102.321088) + (xy 110.342443 102.45) + (xy 103.119787 102.45) + (xy 103.089771 102.419984) + (xy 102.976055 102.362043) + (xy 102.976057 102.362043) + (xy 102.85 102.342078) + (xy 102.723943 102.362043) + (xy 102.610228 102.419984) + (xy 102.519984 102.510228) + (xy 102.462043 102.623943) + (xy 102.442078 102.749999) + (xy 102.442078 102.75) + (xy 100.950003 102.75) + (xy 100.937957 102.673945) + (xy 100.880016 102.560229) + (xy 100.789771 102.469984) + (xy 100.676055 102.412043) + (xy 100.676057 102.412043) + (xy 100.55 102.392078) + (xy 100.423943 102.412043) + (xy 100.310228 102.469984) + (xy 100.219984 102.560228) + (xy 100.162043 102.673943) + (xy 100.142078 102.799999) + (xy 100.142078 102.8) + (xy 99.819787 102.8) + (xy 99.880016 102.739771) + (xy 99.937957 102.626055) + (xy 99.957922 102.5) + (xy 99.937957 102.373945) + (xy 99.880016 102.260229) + (xy 99.789771 102.169984) + (xy 99.676055 102.112043) + (xy 99.676057 102.112043) + (xy 99.55 102.092078) + (xy 99.423943 102.112043) + (xy 99.310228 102.169984) + (xy 99.219984 102.260228) + (xy 99.162043 102.373943) + (xy 99.142078 102.499999) + (xy 99.142078 102.5) + (xy 96.565612 102.5) + (xy 96.588669 102.444336) + (xy 96.607671 102.3) + (xy 96.588669 102.155664) + (xy 96.532957 102.021165) + (xy 96.524565 102.010228) + (xy 96.444333 101.905666) + (xy 96.436949 101.9) + (xy 98.342078 101.9) + (xy 98.362043 102.026056) + (xy 98.365984 102.03379) + (xy 98.419984 102.139771) + (xy 98.510229 102.230016) + (xy 98.623943 102.287956) + (xy 98.623945 102.287957) + (xy 98.75 102.307922) + (xy 98.876055 102.287957) + (xy 98.989771 102.230016) + (xy 99.080016 102.139771) + (xy 99.137957 102.026055) + (xy 99.157922 101.9) + (xy 99.137957 101.773945) + (xy 99.080016 101.660229) + (xy 98.989771 101.569984) + (xy 98.876055 101.512043) + (xy 98.876057 101.512043) + (xy 98.75 101.492078) + (xy 98.623943 101.512043) + (xy 98.510228 101.569984) + (xy 98.419984 101.660228) + (xy 98.362043 101.773943) + (xy 98.342078 101.899999) + (xy 98.342078 101.9) + (xy 96.436949 101.9) + (xy 96.328839 101.817045) + (xy 96.328838 101.817044) + (xy 96.328836 101.817043) + (xy 96.212812 101.768984) + (xy 96.194337 101.761331) + (xy 96.05 101.742329) + (xy 95.905662 101.761331) + (xy 95.822447 101.795801) + (xy 95.773179 101.816209) + (xy 95.771163 101.817044) + (xy 95.771162 101.817044) + (xy 95.655666 101.905666) + (xy 95.567044 102.021162) + (xy 95.567044 102.021163) + (xy 95.567043 102.021164) + (xy 95.567043 102.021165) + (xy 95.561813 102.033792) + (xy 95.511331 102.155662) + (xy 95.492329 102.3) + (xy 88.469787 102.3) + (xy 88.439771 102.269984) + (xy 88.326055 102.212043) + (xy 88.326057 102.212043) + (xy 88.2 102.192078) + (xy 88.073943 102.212043) + (xy 87.960228 102.269984) + (xy 87.869984 102.360228) + (xy 87.812043 102.473943) + (xy 87.792078 102.599999) + (xy 87.792078 102.6) + (xy 83.920787 102.6) + (xy 83.889771 102.568984) + (xy 83.776055 102.511043) + (xy 83.776057 102.511043) + (xy 83.65 102.491078) + (xy 83.523943 102.511043) + (xy 83.410228 102.568984) + (xy 83.319984 102.659228) + (xy 83.262043 102.772943) + (xy 83.242078 102.898999) + (xy 83.242078 102.899) + (xy 82.357922 102.899) + (xy 82.337957 102.772945) + (xy 82.280016 102.659229) + (xy 82.189771 102.568984) + (xy 82.076055 102.511043) + (xy 82.076057 102.511043) + (xy 81.95 102.491078) + (xy 81.823943 102.511043) + (xy 81.710228 102.568984) + (xy 81.619984 102.659228) + (xy 81.562043 102.772943) + (xy 81.542078 102.898999) + (xy 81.542078 102.899) + (xy 80.093542 102.899) + (xy 80.086016 102.884229) + (xy 79.995771 102.793984) + (xy 79.882055 102.736043) + (xy 79.882057 102.736043) + (xy 79.756 102.716078) + (xy 79.629943 102.736043) + (xy 79.516228 102.793984) + (xy 79.425984 102.884228) + (xy 79.368043 102.997943) + (xy 79.348078 103.123999) + (xy 79.348078 103.124) + (xy 78.893922 103.124) + (xy 78.873957 102.997945) + (xy 78.816016 102.884229) + (xy 78.725771 102.793984) + (xy 78.612055 102.736043) + (xy 78.612057 102.736043) + (xy 78.486 102.716078) + (xy 78.359943 102.736043) + (xy 78.246228 102.793984) + (xy 78.155984 102.884228) + (xy 78.098043 102.997943) + (xy 78.078078 103.123999) + (xy 78.078078 103.124) + (xy 77.623922 103.124) + (xy 77.603957 102.997945) + (xy 77.546016 102.884229) + (xy 77.455771 102.793984) + (xy 77.342055 102.736043) + (xy 77.342057 102.736043) + (xy 77.216 102.716078) + (xy 77.089943 102.736043) + (xy 76.976228 102.793984) + (xy 76.885984 102.884228) + (xy 76.828043 102.997943) + (xy 76.808078 103.123999) + (xy 76.808078 103.124) + (xy 70.448422 103.124) + (xy 70.428457 102.997945) + (xy 70.370516 102.884229) + (xy 70.280271 102.793984) + (xy 70.166555 102.736043) + (xy 70.166557 102.736043) + (xy 70.0405 102.716078) + (xy 69.914443 102.736043) + (xy 69.800728 102.793984) + (xy 69.710484 102.884228) + (xy 69.652543 102.997943) + (xy 69.632578 103.123999) + (xy 69.632578 103.124) + (xy 64.782709 103.124) + (xy 64.769055 103.117043) + (xy 64.769057 103.117043) + (xy 64.643 103.097078) + (xy 64.516943 103.117043) + (xy 64.403228 103.174984) + (xy 64.312984 103.265228) + (xy 64.255043 103.378943) + (xy 64.235078 103.504999) + (xy 64.235078 103.505) + (xy 59.307287 103.505) + (xy 59.294771 103.492484) + (xy 59.181055 103.434543) + (xy 59.181057 103.434543) + (xy 59.055 103.414578) + (xy 58.928943 103.434543) + (xy 58.815228 103.492484) + (xy 58.724984 103.582728) + (xy 58.667043 103.696443) + (xy 58.647078 103.822499) + (xy 58.647078 103.8225) + (xy 46.101 103.8225) + (xy 46.101 103.378) + (xy 56.996078 103.378) + (xy 57.016043 103.504056) + (xy 57.027253 103.526056) + (xy 57.073984 103.617771) + (xy 57.164229 103.708016) + (xy 57.277943 103.765956) + (xy 57.277945 103.765957) + (xy 57.404 103.785922) + (xy 57.530055 103.765957) + (xy 57.643771 103.708016) + (xy 57.734016 103.617771) + (xy 57.791957 103.504055) + (xy 57.811922 103.378) + (xy 57.791957 103.251945) + (xy 57.734016 103.138229) + (xy 57.643771 103.047984) + (xy 57.530055 102.990043) + (xy 57.530057 102.990043) + (xy 57.404 102.970078) + (xy 57.277943 102.990043) + (xy 57.164228 103.047984) + (xy 57.073984 103.138228) + (xy 57.016043 103.251943) + (xy 56.996078 103.377999) + (xy 56.996078 103.378) + (xy 46.101 103.378) + (xy 46.101 102.9) + (xy 50.342078 102.9) + (xy 50.362043 103.026056) + (xy 50.419059 103.137956) + (xy 50.419984 103.139771) + (xy 50.510229 103.230016) + (xy 50.623943 103.287956) + (xy 50.623945 103.287957) + (xy 50.75 103.307922) + (xy 50.876055 103.287957) + (xy 50.989771 103.230016) + (xy 51.080016 103.139771) + (xy 51.137957 103.026055) + (xy 51.157922 102.9) + (xy 51.157763 102.898999) + (xy 51.151218 102.85767) + (xy 51.143113 102.8065) + (xy 52.805078 102.8065) + (xy 52.825043 102.932556) + (xy 52.854196 102.989771) + (xy 52.882984 103.046271) + (xy 52.973229 103.136516) + (xy 53.086943 103.194456) + (xy 53.086945 103.194457) + (xy 53.213 103.214422) + (xy 53.339055 103.194457) + (xy 53.452771 103.136516) + (xy 53.543016 103.046271) + (xy 53.600957 102.932555) + (xy 53.620922 102.8065) + (xy 59.155078 102.8065) + (xy 59.175043 102.932556) + (xy 59.204196 102.989771) + (xy 59.232984 103.046271) + (xy 59.323229 103.136516) + (xy 59.436943 103.194456) + (xy 59.436945 103.194457) + (xy 59.563 103.214422) + (xy 59.689055 103.194457) + (xy 59.802771 103.136516) + (xy 59.893016 103.046271) + (xy 59.950957 102.932555) + (xy 59.970922 102.8065) + (xy 60.425078 102.8065) + (xy 60.445043 102.932556) + (xy 60.474196 102.989771) + (xy 60.502984 103.046271) + (xy 60.593229 103.136516) + (xy 60.706943 103.194456) + (xy 60.706945 103.194457) + (xy 60.833 103.214422) + (xy 60.959055 103.194457) + (xy 61.072771 103.136516) + (xy 61.163016 103.046271) + (xy 61.220957 102.932555) + (xy 61.240922 102.8065) + (xy 61.239227 102.795801) + (xy 61.235766 102.773945) + (xy 61.220957 102.680445) + (xy 61.163016 102.566729) + (xy 61.072771 102.476484) + (xy 60.959055 102.418543) + (xy 60.959057 102.418543) + (xy 60.833 102.398578) + (xy 60.706943 102.418543) + (xy 60.593228 102.476484) + (xy 60.502984 102.566728) + (xy 60.445043 102.680443) + (xy 60.425078 102.806499) + (xy 60.425078 102.8065) + (xy 59.970922 102.8065) + (xy 59.969227 102.795801) + (xy 59.965766 102.773945) + (xy 59.950957 102.680445) + (xy 59.893016 102.566729) + (xy 59.802771 102.476484) + (xy 59.689055 102.418543) + (xy 59.689057 102.418543) + (xy 59.563 102.398578) + (xy 59.436943 102.418543) + (xy 59.323228 102.476484) + (xy 59.232984 102.566728) + (xy 59.175043 102.680443) + (xy 59.155078 102.806499) + (xy 59.155078 102.8065) + (xy 53.620922 102.8065) + (xy 53.619227 102.795801) + (xy 53.615766 102.773945) + (xy 53.600957 102.680445) + (xy 53.543016 102.566729) + (xy 53.452771 102.476484) + (xy 53.339055 102.418543) + (xy 53.339057 102.418543) + (xy 53.213 102.398578) + (xy 53.086943 102.418543) + (xy 52.973228 102.476484) + (xy 52.882984 102.566728) + (xy 52.825043 102.680443) + (xy 52.805078 102.806499) + (xy 52.805078 102.8065) + (xy 51.143113 102.8065) + (xy 51.137957 102.773945) + (xy 51.080016 102.660229) + (xy 50.989771 102.569984) + (xy 50.876055 102.512043) + (xy 50.876057 102.512043) + (xy 50.75 102.492078) + (xy 50.623943 102.512043) + (xy 50.510228 102.569984) + (xy 50.419984 102.660228) + (xy 50.362043 102.773943) + (xy 50.342078 102.899999) + (xy 50.342078 102.9) + (xy 46.101 102.9) + (xy 46.101 102.099) + (xy 82.392078 102.099) + (xy 82.412043 102.225056) + (xy 82.444093 102.287957) + (xy 82.469984 102.338771) + (xy 82.560229 102.429016) + (xy 82.673943 102.486956) + (xy 82.673945 102.486957) + (xy 82.8 102.506922) + (xy 82.926055 102.486957) + (xy 83.039771 102.429016) + (xy 83.130016 102.338771) + (xy 83.187957 102.225055) + (xy 83.207922 102.099) + (xy 83.187957 101.972945) + (xy 83.130016 101.859229) + (xy 83.039771 101.768984) + (xy 82.926055 101.711043) + (xy 82.926057 101.711043) + (xy 82.8 101.691078) + (xy 82.673943 101.711043) + (xy 82.560228 101.768984) + (xy 82.469984 101.859228) + (xy 82.412043 101.972943) + (xy 82.392078 102.098999) + (xy 82.392078 102.099) + (xy 46.101 102.099) + (xy 46.101 101.1) + (xy 50.342078 101.1) + (xy 50.362043 101.226056) + (xy 50.410466 101.321091) + (xy 50.419984 101.339771) + (xy 50.510229 101.430016) + (xy 50.623943 101.487956) + (xy 50.623945 101.487957) + (xy 50.75 101.507922) + (xy 50.876055 101.487957) + (xy 50.989771 101.430016) + (xy 51.019787 101.4) + (xy 84.842078 101.4) + (xy 84.862043 101.526056) + (xy 84.888973 101.578909) + (xy 84.919984 101.639771) + (xy 85.010229 101.730016) + (xy 85.123943 101.787956) + (xy 85.123945 101.787957) + (xy 85.25 101.807922) + (xy 85.376055 101.787957) + (xy 85.489771 101.730016) + (xy 85.580016 101.639771) + (xy 85.637957 101.526055) + (xy 85.657922 101.4) + (xy 87.092078 101.4) + (xy 87.112043 101.526056) + (xy 87.138973 101.578909) + (xy 87.169984 101.639771) + (xy 87.260229 101.730016) + (xy 87.373943 101.787956) + (xy 87.373945 101.787957) + (xy 87.5 101.807922) + (xy 87.626055 101.787957) + (xy 87.739771 101.730016) + (xy 87.830016 101.639771) + (xy 87.887957 101.526055) + (xy 87.900003 101.45) + (xy 100.092443 101.45) + (xy 100.110977 101.578911) + (xy 100.165078 101.697373) + (xy 100.16508 101.697376) + (xy 100.250366 101.795801) + (xy 100.315962 101.837956) + (xy 100.349064 101.859229) + (xy 100.359926 101.866209) + (xy 100.484883 101.9029) + (xy 100.615117 101.9029) + (xy 100.740073 101.866209) + (xy 100.740073 101.866208) + (xy 100.740076 101.866208) + (xy 100.849636 101.795799) + (xy 100.934921 101.697374) + (xy 100.989023 101.578909) + (xy 101.007557 101.45) + (xy 101.000368 101.4) + (xy 102.392443 101.4) + (xy 102.410977 101.528911) + (xy 102.465078 101.647373) + (xy 102.46508 101.647376) + (xy 102.550366 101.745801) + (xy 102.659926 101.816209) + (xy 102.784883 101.8529) + (xy 102.915117 101.8529) + (xy 103.040073 101.816209) + (xy 103.040073 101.816208) + (xy 103.040076 101.816208) + (xy 103.149636 101.745799) + (xy 103.234921 101.647374) + (xy 103.289023 101.528909) + (xy 103.300368 101.45) + (xy 113.642078 101.45) + (xy 113.662043 101.576056) + (xy 113.663497 101.578909) + (xy 113.719984 101.689771) + (xy 113.810229 101.780016) + (xy 113.923943 101.837956) + (xy 113.923945 101.837957) + (xy 114.05 101.857922) + (xy 114.176055 101.837957) + (xy 114.289771 101.780016) + (xy 114.380016 101.689771) + (xy 114.437957 101.576055) + (xy 114.457922 101.45) + (xy 122.842078 101.45) + (xy 122.862043 101.576056) + (xy 122.863497 101.578909) + (xy 122.919984 101.689771) + (xy 123.010229 101.780016) + (xy 123.123943 101.837956) + (xy 123.123945 101.837957) + (xy 123.25 101.857922) + (xy 123.376055 101.837957) + (xy 123.489771 101.780016) + (xy 123.580016 101.689771) + (xy 123.637957 101.576055) + (xy 123.657922 101.45) + (xy 123.637957 101.323945) + (xy 123.580016 101.210229) + (xy 123.489771 101.119984) + (xy 123.376055 101.062043) + (xy 123.376057 101.062043) + (xy 123.25 101.042078) + (xy 123.123943 101.062043) + (xy 123.010228 101.119984) + (xy 122.919984 101.210228) + (xy 122.862043 101.323943) + (xy 122.842078 101.449999) + (xy 122.842078 101.45) + (xy 114.457922 101.45) + (xy 114.437957 101.323945) + (xy 114.380016 101.210229) + (xy 114.289771 101.119984) + (xy 114.176055 101.062043) + (xy 114.176057 101.062043) + (xy 114.05 101.042078) + (xy 113.923943 101.062043) + (xy 113.810228 101.119984) + (xy 113.719984 101.210228) + (xy 113.662043 101.323943) + (xy 113.642078 101.449999) + (xy 113.642078 101.45) + (xy 103.300368 101.45) + (xy 103.307557 101.4) + (xy 103.289023 101.271091) + (xy 103.268455 101.226055) + (xy 103.234921 101.152626) + (xy 103.234919 101.152623) + (xy 103.149633 101.054198) + (xy 103.040073 100.98379) + (xy 102.915117 100.9471) + (xy 102.784883 100.9471) + (xy 102.659926 100.98379) + (xy 102.550366 101.054198) + (xy 102.46508 101.152623) + (xy 102.465078 101.152626) + (xy 102.410977 101.271088) + (xy 102.392443 101.4) + (xy 101.000368 101.4) + (xy 100.989023 101.321091) + (xy 100.977114 101.295015) + (xy 100.934921 101.202626) + (xy 100.934919 101.202623) + (xy 100.849633 101.104198) + (xy 100.740073 101.03379) + (xy 100.615117 100.9971) + (xy 100.484883 100.9971) + (xy 100.359926 101.03379) + (xy 100.250366 101.104198) + (xy 100.16508 101.202623) + (xy 100.165078 101.202626) + (xy 100.110977 101.321088) + (xy 100.092443 101.45) + (xy 87.900003 101.45) + (xy 87.907922 101.4) + (xy 87.887957 101.273945) + (xy 87.830016 101.160229) + (xy 87.739771 101.069984) + (xy 87.626055 101.012043) + (xy 87.626057 101.012043) + (xy 87.5 100.992078) + (xy 87.373943 101.012043) + (xy 87.260228 101.069984) + (xy 87.169984 101.160228) + (xy 87.112043 101.273943) + (xy 87.092078 101.399999) + (xy 87.092078 101.4) + (xy 85.657922 101.4) + (xy 85.637957 101.273945) + (xy 85.580016 101.160229) + (xy 85.489771 101.069984) + (xy 85.376055 101.012043) + (xy 85.376057 101.012043) + (xy 85.25 100.992078) + (xy 85.123943 101.012043) + (xy 85.010228 101.069984) + (xy 84.919984 101.160228) + (xy 84.862043 101.273943) + (xy 84.842078 101.399999) + (xy 84.842078 101.4) + (xy 51.019787 101.4) + (xy 51.080016 101.339771) + (xy 51.137957 101.226055) + (xy 51.157922 101.1) + (xy 51.156505 101.091056) + (xy 51.15191 101.062043) + (xy 51.137957 100.973945) + (xy 51.133399 100.965) + (xy 66.775078 100.965) + (xy 66.795043 101.091056) + (xy 66.801741 101.104201) + (xy 66.852984 101.204771) + (xy 66.943229 101.295016) + (xy 67.056943 101.352956) + (xy 67.056945 101.352957) + (xy 67.183 101.372922) + (xy 67.309055 101.352957) + (xy 67.422771 101.295016) + (xy 67.513016 101.204771) + (xy 67.570957 101.091055) + (xy 67.590922 100.965) + (xy 67.590772 100.964056) + (xy 67.577462 100.880016) + (xy 67.570957 100.838945) + (xy 67.513016 100.725229) + (xy 67.422771 100.634984) + (xy 67.309055 100.577043) + (xy 67.309057 100.577043) + (xy 67.183 100.557078) + (xy 67.056943 100.577043) + (xy 66.943228 100.634984) + (xy 66.852984 100.725228) + (xy 66.795043 100.838943) + (xy 66.775078 100.964999) + (xy 66.775078 100.965) + (xy 51.133399 100.965) + (xy 51.080016 100.860229) + (xy 50.989771 100.769984) + (xy 50.876055 100.712043) + (xy 50.876057 100.712043) + (xy 50.75 100.692078) + (xy 50.623943 100.712043) + (xy 50.510228 100.769984) + (xy 50.419984 100.860228) + (xy 50.362043 100.973943) + (xy 50.342078 101.099999) + (xy 50.342078 101.1) + (xy 46.101 101.1) + (xy 46.101 100.0125) + (xy 56.615078 100.0125) + (xy 56.635043 100.138556) + (xy 56.68569 100.237956) + (xy 56.692984 100.252271) + (xy 56.783229 100.342516) + (xy 56.896943 100.400456) + (xy 56.896945 100.400457) + (xy 57.023 100.420422) + (xy 57.149055 100.400457) + (xy 57.262771 100.342516) + (xy 57.353016 100.252271) + (xy 57.410957 100.138555) + (xy 57.430922 100.0125) + (xy 60.425078 100.0125) + (xy 60.445043 100.138556) + (xy 60.49569 100.237956) + (xy 60.502984 100.252271) + (xy 60.593229 100.342516) + (xy 60.706943 100.400456) + (xy 60.706945 100.400457) + (xy 60.833 100.420422) + (xy 60.959055 100.400457) + (xy 61.072771 100.342516) + (xy 61.163016 100.252271) + (xy 61.220957 100.138555) + (xy 61.230865 100.076) + (xy 62.520578 100.076) + (xy 62.540543 100.202056) + (xy 62.584312 100.287957) + (xy 62.598484 100.315771) + (xy 62.688729 100.406016) + (xy 62.802443 100.463956) + (xy 62.802445 100.463957) + (xy 62.9285 100.483922) + (xy 63.054555 100.463957) + (xy 63.168271 100.406016) + (xy 63.258516 100.315771) + (xy 63.316457 100.202055) + (xy 63.336422 100.076) + (xy 64.298578 100.076) + (xy 64.318543 100.202056) + (xy 64.362312 100.287957) + (xy 64.376484 100.315771) + (xy 64.466729 100.406016) + (xy 64.580443 100.463956) + (xy 64.580445 100.463957) + (xy 64.7065 100.483922) + (xy 64.832555 100.463957) + (xy 64.946271 100.406016) + (xy 65.036516 100.315771) + (xy 65.044552 100.3) + (xy 83.092078 100.3) + (xy 83.112043 100.426056) + (xy 83.14771 100.496056) + (xy 83.169984 100.539771) + (xy 83.260229 100.630016) + (xy 83.373943 100.687956) + (xy 83.373945 100.687957) + (xy 83.5 100.707922) + (xy 83.626055 100.687957) + (xy 83.739771 100.630016) + (xy 83.830016 100.539771) + (xy 83.887957 100.426055) + (xy 83.907922 100.3) + (xy 83.906014 100.287956) + (xy 83.90191 100.262043) + (xy 83.887957 100.173945) + (xy 83.875756 100.15) + (xy 84.842078 100.15) + (xy 84.862043 100.276056) + (xy 84.895906 100.342515) + (xy 84.919984 100.389771) + (xy 85.010229 100.480016) + (xy 85.123943 100.537956) + (xy 85.123945 100.537957) + (xy 85.25 100.557922) + (xy 85.376055 100.537957) + (xy 85.489771 100.480016) + (xy 85.580016 100.389771) + (xy 85.637957 100.276055) + (xy 85.657922 100.15) + (xy 87.092078 100.15) + (xy 87.112043 100.276056) + (xy 87.145906 100.342515) + (xy 87.169984 100.389771) + (xy 87.260229 100.480016) + (xy 87.373943 100.537956) + (xy 87.373945 100.537957) + (xy 87.5 100.557922) + (xy 87.626055 100.537957) + (xy 87.739771 100.480016) + (xy 87.819787 100.4) + (xy 100.142078 100.4) + (xy 100.162043 100.526056) + (xy 100.198817 100.598228) + (xy 100.219984 100.639771) + (xy 100.310229 100.730016) + (xy 100.423943 100.787956) + (xy 100.423945 100.787957) + (xy 100.55 100.807922) + (xy 100.676055 100.787957) + (xy 100.789771 100.730016) + (xy 100.880016 100.639771) + (xy 100.937957 100.526055) + (xy 100.957922 100.4) + (xy 100.953171 100.37) + (xy 102.442078 100.37) + (xy 102.462043 100.496056) + (xy 102.503308 100.577043) + (xy 102.519984 100.609771) + (xy 102.610229 100.700016) + (xy 102.723943 100.757956) + (xy 102.723945 100.757957) + (xy 102.85 100.777922) + (xy 102.976055 100.757957) + (xy 103.089771 100.700016) + (xy 103.180016 100.609771) + (xy 103.237957 100.496055) + (xy 103.257922 100.37) + (xy 103.237957 100.243945) + (xy 103.215566 100.2) + (xy 104.292078 100.2) + (xy 104.312043 100.326056) + (xy 104.352785 100.406016) + (xy 104.369984 100.439771) + (xy 104.460229 100.530016) + (xy 104.573943 100.587956) + (xy 104.573945 100.587957) + (xy 104.7 100.607922) + (xy 104.826055 100.587957) + (xy 104.939771 100.530016) + (xy 105.030016 100.439771) + (xy 105.087957 100.326055) + (xy 105.092084 100.3) + (xy 105.592078 100.3) + (xy 105.612043 100.426056) + (xy 105.64771 100.496056) + (xy 105.669984 100.539771) + (xy 105.760229 100.630016) + (xy 105.873943 100.687956) + (xy 105.873945 100.687957) + (xy 106 100.707922) + (xy 106.126055 100.687957) + (xy 106.239771 100.630016) + (xy 106.319787 100.55) + (xy 107.142078 100.55) + (xy 107.162043 100.676056) + (xy 107.203774 100.757957) + (xy 107.219984 100.789771) + (xy 107.310229 100.880016) + (xy 107.423943 100.937956) + (xy 107.423945 100.937957) + (xy 107.55 100.957922) + (xy 107.676055 100.937957) + (xy 107.789771 100.880016) + (xy 107.880016 100.789771) + (xy 107.937957 100.676055) + (xy 107.957922 100.55) + (xy 108.442078 100.55) + (xy 108.462043 100.676056) + (xy 108.503774 100.757957) + (xy 108.519984 100.789771) + (xy 108.610229 100.880016) + (xy 108.723943 100.937956) + (xy 108.723945 100.937957) + (xy 108.85 100.957922) + (xy 108.976055 100.937957) + (xy 109.089771 100.880016) + (xy 109.180016 100.789771) + (xy 109.237957 100.676055) + (xy 109.242084 100.65) + (xy 122.192078 100.65) + (xy 122.212043 100.776056) + (xy 122.22828 100.807922) + (xy 122.269984 100.889771) + (xy 122.360229 100.980016) + (xy 122.473943 101.037956) + (xy 122.473945 101.037957) + (xy 122.6 101.057922) + (xy 122.726055 101.037957) + (xy 122.839771 100.980016) + (xy 122.854787 100.965) + (xy 127.735078 100.965) + (xy 127.755043 101.091056) + (xy 127.761741 101.104201) + (xy 127.812984 101.204771) + (xy 127.903229 101.295016) + (xy 128.016943 101.352956) + (xy 128.016945 101.352957) + (xy 128.143 101.372922) + (xy 128.269055 101.352957) + (xy 128.382771 101.295016) + (xy 128.473016 101.204771) + (xy 128.530957 101.091055) + (xy 128.550922 100.965) + (xy 132.815078 100.965) + (xy 132.835043 101.091056) + (xy 132.841741 101.104201) + (xy 132.892984 101.204771) + (xy 132.983229 101.295016) + (xy 133.096943 101.352956) + (xy 133.096945 101.352957) + (xy 133.223 101.372922) + (xy 133.349055 101.352957) + (xy 133.462771 101.295016) + (xy 133.553016 101.204771) + (xy 133.610957 101.091055) + (xy 133.630922 100.965) + (xy 133.630772 100.964056) + (xy 133.617462 100.880016) + (xy 133.610957 100.838945) + (xy 133.610476 100.838) + (xy 134.720078 100.838) + (xy 134.740043 100.964056) + (xy 134.78787 101.057922) + (xy 134.797984 101.077771) + (xy 134.888229 101.168016) + (xy 135.001943 101.225956) + (xy 135.001945 101.225957) + (xy 135.128 101.245922) + (xy 135.254055 101.225957) + (xy 135.367771 101.168016) + (xy 135.458016 101.077771) + (xy 135.515957 100.964055) + (xy 135.535922 100.838) + (xy 135.990078 100.838) + (xy 136.010043 100.964056) + (xy 136.05787 101.057922) + (xy 136.067984 101.077771) + (xy 136.158229 101.168016) + (xy 136.271943 101.225956) + (xy 136.271945 101.225957) + (xy 136.398 101.245922) + (xy 136.524055 101.225957) + (xy 136.637771 101.168016) + (xy 136.728016 101.077771) + (xy 136.785476 100.965) + (xy 137.895078 100.965) + (xy 137.915043 101.091056) + (xy 137.921741 101.104201) + (xy 137.972984 101.204771) + (xy 138.063229 101.295016) + (xy 138.176943 101.352956) + (xy 138.176945 101.352957) + (xy 138.303 101.372922) + (xy 138.429055 101.352957) + (xy 138.542771 101.295016) + (xy 138.633016 101.204771) + (xy 138.690957 101.091055) + (xy 138.710922 100.965) + (xy 142.975078 100.965) + (xy 142.995043 101.091056) + (xy 143.001741 101.104201) + (xy 143.052984 101.204771) + (xy 143.143229 101.295016) + (xy 143.256943 101.352956) + (xy 143.256945 101.352957) + (xy 143.383 101.372922) + (xy 143.509055 101.352957) + (xy 143.622771 101.295016) + (xy 143.713016 101.204771) + (xy 143.770957 101.091055) + (xy 143.790922 100.965) + (xy 143.790772 100.964056) + (xy 143.777462 100.880016) + (xy 143.770957 100.838945) + (xy 143.713016 100.725229) + (xy 143.622771 100.634984) + (xy 143.509055 100.577043) + (xy 143.509057 100.577043) + (xy 143.383 100.557078) + (xy 143.256943 100.577043) + (xy 143.143228 100.634984) + (xy 143.052984 100.725228) + (xy 142.995043 100.838943) + (xy 142.975078 100.964999) + (xy 142.975078 100.965) + (xy 138.710922 100.965) + (xy 138.710772 100.964056) + (xy 138.697462 100.880016) + (xy 138.690957 100.838945) + (xy 138.633016 100.725229) + (xy 138.542771 100.634984) + (xy 138.429055 100.577043) + (xy 138.429057 100.577043) + (xy 138.303 100.557078) + (xy 138.176943 100.577043) + (xy 138.063228 100.634984) + (xy 137.972984 100.725228) + (xy 137.915043 100.838943) + (xy 137.895078 100.964999) + (xy 137.895078 100.965) + (xy 136.785476 100.965) + (xy 136.785957 100.964055) + (xy 136.805922 100.838) + (xy 136.785957 100.711945) + (xy 136.728016 100.598229) + (xy 136.637771 100.507984) + (xy 136.524055 100.450043) + (xy 136.524057 100.450043) + (xy 136.398 100.430078) + (xy 136.271943 100.450043) + (xy 136.158228 100.507984) + (xy 136.067984 100.598228) + (xy 136.010043 100.711943) + (xy 135.990078 100.837999) + (xy 135.990078 100.838) + (xy 135.535922 100.838) + (xy 135.515957 100.711945) + (xy 135.458016 100.598229) + (xy 135.367771 100.507984) + (xy 135.254055 100.450043) + (xy 135.254057 100.450043) + (xy 135.128 100.430078) + (xy 135.001943 100.450043) + (xy 134.888228 100.507984) + (xy 134.797984 100.598228) + (xy 134.740043 100.711943) + (xy 134.720078 100.837999) + (xy 134.720078 100.838) + (xy 133.610476 100.838) + (xy 133.553016 100.725229) + (xy 133.462771 100.634984) + (xy 133.349055 100.577043) + (xy 133.349057 100.577043) + (xy 133.223 100.557078) + (xy 133.096943 100.577043) + (xy 132.983228 100.634984) + (xy 132.892984 100.725228) + (xy 132.835043 100.838943) + (xy 132.815078 100.964999) + (xy 132.815078 100.965) + (xy 128.550922 100.965) + (xy 128.550772 100.964056) + (xy 128.537462 100.880016) + (xy 128.530957 100.838945) + (xy 128.473016 100.725229) + (xy 128.382771 100.634984) + (xy 128.269055 100.577043) + (xy 128.269057 100.577043) + (xy 128.143 100.557078) + (xy 128.016943 100.577043) + (xy 127.903228 100.634984) + (xy 127.812984 100.725228) + (xy 127.755043 100.838943) + (xy 127.735078 100.964999) + (xy 127.735078 100.965) + (xy 122.854787 100.965) + (xy 122.930016 100.889771) + (xy 122.987957 100.776055) + (xy 123.007922 100.65) + (xy 122.987957 100.523945) + (xy 122.930016 100.410229) + (xy 122.839771 100.319984) + (xy 122.726055 100.262043) + (xy 122.726057 100.262043) + (xy 122.6 100.242078) + (xy 122.473943 100.262043) + (xy 122.360228 100.319984) + (xy 122.269984 100.410228) + (xy 122.212043 100.523943) + (xy 122.192078 100.649999) + (xy 122.192078 100.65) + (xy 109.242084 100.65) + (xy 109.257922 100.55) + (xy 109.256014 100.537956) + (xy 109.247456 100.483922) + (xy 109.237957 100.423945) + (xy 109.180016 100.310229) + (xy 109.089771 100.219984) + (xy 108.976055 100.162043) + (xy 108.976057 100.162043) + (xy 108.85 100.142078) + (xy 108.723943 100.162043) + (xy 108.610228 100.219984) + (xy 108.519984 100.310228) + (xy 108.462043 100.423943) + (xy 108.442078 100.549999) + (xy 108.442078 100.55) + (xy 107.957922 100.55) + (xy 107.956014 100.537956) + (xy 107.947456 100.483922) + (xy 107.937957 100.423945) + (xy 107.880016 100.310229) + (xy 107.789771 100.219984) + (xy 107.676055 100.162043) + (xy 107.676057 100.162043) + (xy 107.55 100.142078) + (xy 107.423943 100.162043) + (xy 107.310228 100.219984) + (xy 107.219984 100.310228) + (xy 107.162043 100.423943) + (xy 107.142078 100.549999) + (xy 107.142078 100.55) + (xy 106.319787 100.55) + (xy 106.330016 100.539771) + (xy 106.387957 100.426055) + (xy 106.407922 100.3) + (xy 106.406014 100.287956) + (xy 106.40191 100.262043) + (xy 106.387957 100.173945) + (xy 106.330016 100.060229) + (xy 106.239771 99.969984) + (xy 106.126055 99.912043) + (xy 106.126057 99.912043) + (xy 106 99.892078) + (xy 105.873943 99.912043) + (xy 105.760228 99.969984) + (xy 105.669984 100.060228) + (xy 105.612043 100.173943) + (xy 105.592078 100.299999) + (xy 105.592078 100.3) + (xy 105.092084 100.3) + (xy 105.107922 100.2) + (xy 105.106014 100.187956) + (xy 105.098748 100.142078) + (xy 105.087957 100.073945) + (xy 105.030016 99.960229) + (xy 104.939771 99.869984) + (xy 104.826055 99.812043) + (xy 104.826057 99.812043) + (xy 104.7 99.792078) + (xy 104.573943 99.812043) + (xy 104.460228 99.869984) + (xy 104.369984 99.960228) + (xy 104.312043 100.073943) + (xy 104.292078 100.199999) + (xy 104.292078 100.2) + (xy 103.215566 100.2) + (xy 103.180016 100.130229) + (xy 103.089771 100.039984) + (xy 102.976055 99.982043) + (xy 102.976057 99.982043) + (xy 102.85 99.962078) + (xy 102.723943 99.982043) + (xy 102.610228 100.039984) + (xy 102.519984 100.130228) + (xy 102.462043 100.243943) + (xy 102.442078 100.369999) + (xy 102.442078 100.37) + (xy 100.953171 100.37) + (xy 100.937957 100.273945) + (xy 100.880016 100.160229) + (xy 100.789771 100.069984) + (xy 100.676055 100.012043) + (xy 100.676057 100.012043) + (xy 100.55 99.992078) + (xy 100.423943 100.012043) + (xy 100.310228 100.069984) + (xy 100.219984 100.160228) + (xy 100.162043 100.273943) + (xy 100.142078 100.399999) + (xy 100.142078 100.4) + (xy 87.819787 100.4) + (xy 87.830016 100.389771) + (xy 87.887957 100.276055) + (xy 87.907922 100.15) + (xy 87.906109 100.138556) + (xy 87.904164 100.126276) + (xy 87.887957 100.023945) + (xy 87.830016 99.910229) + (xy 87.739771 99.819984) + (xy 87.626055 99.762043) + (xy 87.626057 99.762043) + (xy 87.5 99.742078) + (xy 87.373943 99.762043) + (xy 87.260228 99.819984) + (xy 87.169984 99.910228) + (xy 87.112043 100.023943) + (xy 87.092078 100.149999) + (xy 87.092078 100.15) + (xy 85.657922 100.15) + (xy 85.656109 100.138556) + (xy 85.654164 100.126276) + (xy 85.637957 100.023945) + (xy 85.580016 99.910229) + (xy 85.489771 99.819984) + (xy 85.376055 99.762043) + (xy 85.376057 99.762043) + (xy 85.25 99.742078) + (xy 85.123943 99.762043) + (xy 85.010228 99.819984) + (xy 84.919984 99.910228) + (xy 84.862043 100.023943) + (xy 84.842078 100.149999) + (xy 84.842078 100.15) + (xy 83.875756 100.15) + (xy 83.830016 100.060229) + (xy 83.739771 99.969984) + (xy 83.626055 99.912043) + (xy 83.626057 99.912043) + (xy 83.5 99.892078) + (xy 83.373943 99.912043) + (xy 83.260228 99.969984) + (xy 83.169984 100.060228) + (xy 83.112043 100.173943) + (xy 83.092078 100.299999) + (xy 83.092078 100.3) + (xy 65.044552 100.3) + (xy 65.094457 100.202055) + (xy 65.114422 100.076) + (xy 65.094457 99.949945) + (xy 65.036516 99.836229) + (xy 64.946271 99.745984) + (xy 64.832555 99.688043) + (xy 64.832557 99.688043) + (xy 64.7065 99.668078) + (xy 64.580443 99.688043) + (xy 64.466728 99.745984) + (xy 64.376484 99.836228) + (xy 64.318543 99.949943) + (xy 64.298578 100.075999) + (xy 64.298578 100.076) + (xy 63.336422 100.076) + (xy 63.316457 99.949945) + (xy 63.258516 99.836229) + (xy 63.168271 99.745984) + (xy 63.054555 99.688043) + (xy 63.054557 99.688043) + (xy 62.9285 99.668078) + (xy 62.802443 99.688043) + (xy 62.688728 99.745984) + (xy 62.598484 99.836228) + (xy 62.540543 99.949943) + (xy 62.520578 100.075999) + (xy 62.520578 100.076) + (xy 61.230865 100.076) + (xy 61.240922 100.0125) + (xy 61.237687 99.992078) + (xy 61.229403 99.939771) + (xy 61.220957 99.886445) + (xy 61.163016 99.772729) + (xy 61.072771 99.682484) + (xy 60.959055 99.624543) + (xy 60.959057 99.624543) + (xy 60.833 99.604578) + (xy 60.706943 99.624543) + (xy 60.593228 99.682484) + (xy 60.502984 99.772728) + (xy 60.445043 99.886443) + (xy 60.425078 100.012499) + (xy 60.425078 100.0125) + (xy 57.430922 100.0125) + (xy 57.427687 99.992078) + (xy 57.419403 99.939771) + (xy 57.410957 99.886445) + (xy 57.353016 99.772729) + (xy 57.262771 99.682484) + (xy 57.149055 99.624543) + (xy 57.149057 99.624543) + (xy 57.023 99.604578) + (xy 56.896943 99.624543) + (xy 56.783228 99.682484) + (xy 56.692984 99.772728) + (xy 56.635043 99.886443) + (xy 56.615078 100.012499) + (xy 56.615078 100.0125) + (xy 46.101 100.0125) + (xy 46.101 99.06) + (xy 63.409578 99.06) + (xy 63.429543 99.186056) + (xy 63.451942 99.230016) + (xy 63.487484 99.299771) + (xy 63.577729 99.390016) + (xy 63.691443 99.447956) + (xy 63.691445 99.447957) + (xy 63.8175 99.467922) + (xy 63.930656 99.45) + (xy 82.542078 99.45) + (xy 82.562043 99.576056) + (xy 82.594508 99.639771) + (xy 82.619984 99.689771) + (xy 82.710229 99.780016) + (xy 82.823943 99.837956) + (xy 82.823945 99.837957) + (xy 82.95 99.857922) + (xy 83.076055 99.837957) + (xy 83.189771 99.780016) + (xy 83.280016 99.689771) + (xy 83.30028 99.65) + (xy 88.092078 99.65) + (xy 88.112043 99.776056) + (xy 88.149719 99.849999) + (xy 88.169984 99.889771) + (xy 88.260229 99.980016) + (xy 88.373943 100.037956) + (xy 88.373945 100.037957) + (xy 88.5 100.057922) + (xy 88.626055 100.037957) + (xy 88.739771 99.980016) + (xy 88.819787 99.9) + (xy 99.242078 99.9) + (xy 99.262043 100.026056) + (xy 99.294508 100.089771) + (xy 99.319984 100.139771) + (xy 99.410229 100.230016) + (xy 99.523943 100.287956) + (xy 99.523945 100.287957) + (xy 99.65 100.307922) + (xy 99.776055 100.287957) + (xy 99.889771 100.230016) + (xy 99.980016 100.139771) + (xy 100.037957 100.026055) + (xy 100.057922 99.9) + (xy 100.056014 99.887956) + (xy 100.053168 99.869984) + (xy 100.037957 99.773945) + (xy 99.980016 99.660229) + (xy 99.889771 99.569984) + (xy 99.776055 99.512043) + (xy 99.776057 99.512043) + (xy 99.65 99.492078) + (xy 99.523943 99.512043) + (xy 99.410228 99.569984) + (xy 99.319984 99.660228) + (xy 99.262043 99.773943) + (xy 99.242078 99.899999) + (xy 99.242078 99.9) + (xy 88.819787 99.9) + (xy 88.830016 99.889771) + (xy 88.887957 99.776055) + (xy 88.907922 99.65) + (xy 88.887957 99.523945) + (xy 88.830016 99.410229) + (xy 88.819787 99.4) + (xy 102.442078 99.4) + (xy 102.462043 99.526056) + (xy 102.502052 99.604578) + (xy 102.519984 99.639771) + (xy 102.610229 99.730016) + (xy 102.723943 99.787956) + (xy 102.723945 99.787957) + (xy 102.85 99.807922) + (xy 102.976055 99.787957) + (xy 103.089771 99.730016) + (xy 103.180016 99.639771) + (xy 103.237957 99.526055) + (xy 103.242084 99.5) + (xy 106.442078 99.5) + (xy 106.462043 99.626056) + (xy 106.486443 99.673943) + (xy 106.519984 99.739771) + (xy 106.610229 99.830016) + (xy 106.723943 99.887956) + (xy 106.723945 99.887957) + (xy 106.85 99.907922) + (xy 106.976055 99.887957) + (xy 107.089771 99.830016) + (xy 107.180016 99.739771) + (xy 107.20028 99.7) + (xy 108.992078 99.7) + (xy 109.012043 99.826056) + (xy 109.044508 99.889771) + (xy 109.069984 99.939771) + (xy 109.160229 100.030016) + (xy 109.273943 100.087956) + (xy 109.273945 100.087957) + (xy 109.4 100.107922) + (xy 109.526055 100.087957) + (xy 109.639771 100.030016) + (xy 109.730016 99.939771) + (xy 109.787957 99.826055) + (xy 109.792084 99.8) + (xy 110.492078 99.8) + (xy 110.512043 99.926056) + (xy 110.539537 99.980015) + (xy 110.569984 100.039771) + (xy 110.660229 100.130016) + (xy 110.773943 100.187956) + (xy 110.773945 100.187957) + (xy 110.9 100.207922) + (xy 111.026055 100.187957) + (xy 111.139771 100.130016) + (xy 111.230016 100.039771) + (xy 111.287957 99.926055) + (xy 111.300003 99.85) + (xy 114.292078 99.85) + (xy 114.312043 99.976056) + (xy 114.344508 100.039771) + (xy 114.369984 100.089771) + (xy 114.460229 100.180016) + (xy 114.573943 100.237956) + (xy 114.573945 100.237957) + (xy 114.7 100.257922) + (xy 114.826055 100.237957) + (xy 114.939771 100.180016) + (xy 115.030016 100.089771) + (xy 115.087957 99.976055) + (xy 115.107922 99.85) + (xy 122.842078 99.85) + (xy 122.862043 99.976056) + (xy 122.894508 100.039771) + (xy 122.919984 100.089771) + (xy 123.010229 100.180016) + (xy 123.123943 100.237956) + (xy 123.123945 100.237957) + (xy 123.25 100.257922) + (xy 123.376055 100.237957) + (xy 123.489771 100.180016) + (xy 123.580016 100.089771) + (xy 123.637957 99.976055) + (xy 123.657922 99.85) + (xy 123.656014 99.837956) + (xy 123.65191 99.812043) + (xy 123.637957 99.723945) + (xy 123.580016 99.610229) + (xy 123.489771 99.519984) + (xy 123.376055 99.462043) + (xy 123.376057 99.462043) + (xy 123.25 99.442078) + (xy 123.123943 99.462043) + (xy 123.010228 99.519984) + (xy 122.919984 99.610228) + (xy 122.862043 99.723943) + (xy 122.842078 99.849999) + (xy 122.842078 99.85) + (xy 115.107922 99.85) + (xy 115.106014 99.837956) + (xy 115.10191 99.812043) + (xy 115.087957 99.723945) + (xy 115.030016 99.610229) + (xy 114.939771 99.519984) + (xy 114.826055 99.462043) + (xy 114.826057 99.462043) + (xy 114.7 99.442078) + (xy 114.573943 99.462043) + (xy 114.460228 99.519984) + (xy 114.369984 99.610228) + (xy 114.312043 99.723943) + (xy 114.292078 99.849999) + (xy 114.292078 99.85) + (xy 111.300003 99.85) + (xy 111.307922 99.8) + (xy 111.306014 99.787956) + (xy 111.298748 99.742078) + (xy 111.287957 99.673945) + (xy 111.230016 99.560229) + (xy 111.139771 99.469984) + (xy 111.026055 99.412043) + (xy 111.026057 99.412043) + (xy 110.9 99.392078) + (xy 110.773943 99.412043) + (xy 110.660228 99.469984) + (xy 110.569984 99.560228) + (xy 110.512043 99.673943) + (xy 110.492078 99.799999) + (xy 110.492078 99.8) + (xy 109.792084 99.8) + (xy 109.807922 99.7) + (xy 109.787957 99.573945) + (xy 109.730016 99.460229) + (xy 109.639771 99.369984) + (xy 109.526055 99.312043) + (xy 109.526057 99.312043) + (xy 109.4 99.292078) + (xy 109.273943 99.312043) + (xy 109.160228 99.369984) + (xy 109.069984 99.460228) + (xy 109.012043 99.573943) + (xy 108.992078 99.699999) + (xy 108.992078 99.7) + (xy 107.20028 99.7) + (xy 107.237957 99.626055) + (xy 107.257922 99.5) + (xy 107.237957 99.373945) + (xy 107.180016 99.260229) + (xy 107.089771 99.169984) + (xy 106.976055 99.112043) + (xy 106.976057 99.112043) + (xy 106.85 99.092078) + (xy 106.723943 99.112043) + (xy 106.610228 99.169984) + (xy 106.519984 99.260228) + (xy 106.462043 99.373943) + (xy 106.442078 99.499999) + (xy 106.442078 99.5) + (xy 103.242084 99.5) + (xy 103.257922 99.4) + (xy 103.237957 99.273945) + (xy 103.180016 99.160229) + (xy 103.089771 99.069984) + (xy 102.976055 99.012043) + (xy 102.976057 99.012043) + (xy 102.85 98.992078) + (xy 102.723943 99.012043) + (xy 102.610228 99.069984) + (xy 102.519984 99.160228) + (xy 102.462043 99.273943) + (xy 102.442078 99.399999) + (xy 102.442078 99.4) + (xy 88.819787 99.4) + (xy 88.739771 99.319984) + (xy 88.626055 99.262043) + (xy 88.626057 99.262043) + (xy 88.5 99.242078) + (xy 88.373943 99.262043) + (xy 88.260228 99.319984) + (xy 88.169984 99.410228) + (xy 88.112043 99.523943) + (xy 88.092078 99.649999) + (xy 88.092078 99.65) + (xy 83.30028 99.65) + (xy 83.337957 99.576055) + (xy 83.357922 99.45) + (xy 83.357598 99.447957) + (xy 83.348748 99.392078) + (xy 83.337957 99.323945) + (xy 83.280016 99.210229) + (xy 83.189771 99.119984) + (xy 83.076055 99.062043) + (xy 83.076057 99.062043) + (xy 82.95 99.042078) + (xy 82.823943 99.062043) + (xy 82.710228 99.119984) + (xy 82.619984 99.210228) + (xy 82.562043 99.323943) + (xy 82.542078 99.449999) + (xy 82.542078 99.45) + (xy 63.930656 99.45) + (xy 63.943555 99.447957) + (xy 64.057271 99.390016) + (xy 64.147516 99.299771) + (xy 64.205457 99.186055) + (xy 64.225422 99.06) + (xy 64.205457 98.933945) + (xy 64.188161 98.9) + (xy 100.142078 98.9) + (xy 100.162043 99.026056) + (xy 100.184426 99.069984) + (xy 100.219984 99.139771) + (xy 100.310229 99.230016) + (xy 100.423943 99.287956) + (xy 100.423945 99.287957) + (xy 100.55 99.307922) + (xy 100.676055 99.287957) + (xy 100.789771 99.230016) + (xy 100.880016 99.139771) + (xy 100.937957 99.026055) + (xy 100.957922 98.9) + (xy 104.642078 98.9) + (xy 104.662043 99.026056) + (xy 104.684426 99.069984) + (xy 104.719984 99.139771) + (xy 104.810229 99.230016) + (xy 104.923943 99.287956) + (xy 104.923945 99.287957) + (xy 105.05 99.307922) + (xy 105.176055 99.287957) + (xy 105.289771 99.230016) + (xy 105.380016 99.139771) + (xy 105.425756 99.05) + (xy 113.642078 99.05) + (xy 113.662043 99.176056) + (xy 113.679455 99.210228) + (xy 113.719984 99.289771) + (xy 113.810229 99.380016) + (xy 113.923943 99.437956) + (xy 113.923945 99.437957) + (xy 114.05 99.457922) + (xy 114.176055 99.437957) + (xy 114.289771 99.380016) + (xy 114.380016 99.289771) + (xy 114.437957 99.176055) + (xy 114.457922 99.05) + (xy 122.192078 99.05) + (xy 122.212043 99.176056) + (xy 122.229455 99.210228) + (xy 122.269984 99.289771) + (xy 122.360229 99.380016) + (xy 122.473943 99.437956) + (xy 122.473945 99.437957) + (xy 122.6 99.457922) + (xy 122.726055 99.437957) + (xy 122.839771 99.380016) + (xy 122.930016 99.289771) + (xy 122.987957 99.176055) + (xy 123.007922 99.05) + (xy 122.987957 98.923945) + (xy 122.930016 98.810229) + (xy 122.839771 98.719984) + (xy 122.726055 98.662043) + (xy 122.726057 98.662043) + (xy 122.6 98.642078) + (xy 122.473943 98.662043) + (xy 122.360228 98.719984) + (xy 122.269984 98.810228) + (xy 122.212043 98.923943) + (xy 122.192078 99.049999) + (xy 122.192078 99.05) + (xy 114.457922 99.05) + (xy 114.437957 98.923945) + (xy 114.380016 98.810229) + (xy 114.289771 98.719984) + (xy 114.176055 98.662043) + (xy 114.176057 98.662043) + (xy 114.05 98.642078) + (xy 113.923943 98.662043) + (xy 113.810228 98.719984) + (xy 113.719984 98.810228) + (xy 113.662043 98.923943) + (xy 113.642078 99.049999) + (xy 113.642078 99.05) + (xy 105.425756 99.05) + (xy 105.437957 99.026055) + (xy 105.457922 98.9) + (xy 105.437957 98.773945) + (xy 105.380016 98.660229) + (xy 105.289771 98.569984) + (xy 105.176055 98.512043) + (xy 105.176057 98.512043) + (xy 105.05 98.492078) + (xy 104.923943 98.512043) + (xy 104.810228 98.569984) + (xy 104.719984 98.660228) + (xy 104.662043 98.773943) + (xy 104.642078 98.899999) + (xy 104.642078 98.9) + (xy 100.957922 98.9) + (xy 100.937957 98.773945) + (xy 100.880016 98.660229) + (xy 100.789771 98.569984) + (xy 100.676055 98.512043) + (xy 100.676057 98.512043) + (xy 100.55 98.492078) + (xy 100.423943 98.512043) + (xy 100.310228 98.569984) + (xy 100.219984 98.660228) + (xy 100.162043 98.773943) + (xy 100.142078 98.899999) + (xy 100.142078 98.9) + (xy 64.188161 98.9) + (xy 64.147516 98.820229) + (xy 64.057271 98.729984) + (xy 63.943555 98.672043) + (xy 63.943557 98.672043) + (xy 63.8175 98.652078) + (xy 63.691443 98.672043) + (xy 63.577728 98.729984) + (xy 63.487484 98.820228) + (xy 63.429543 98.933943) + (xy 63.409578 99.059999) + (xy 63.409578 99.06) + (xy 46.101 99.06) + (xy 46.101 98.425) + (xy 64.235078 98.425) + (xy 64.255043 98.551056) + (xy 64.294256 98.628015) + (xy 64.312984 98.664771) + (xy 64.403229 98.755016) + (xy 64.516943 98.812956) + (xy 64.516945 98.812957) + (xy 64.643 98.832922) + (xy 64.769055 98.812957) + (xy 64.882771 98.755016) + (xy 64.973016 98.664771) + (xy 65.030957 98.551055) + (xy 65.050922 98.425) + (xy 69.315078 98.425) + (xy 69.335043 98.551056) + (xy 69.374256 98.628015) + (xy 69.392984 98.664771) + (xy 69.483229 98.755016) + (xy 69.596943 98.812956) + (xy 69.596945 98.812957) + (xy 69.723 98.832922) + (xy 69.849055 98.812957) + (xy 69.962771 98.755016) + (xy 70.053016 98.664771) + (xy 70.110957 98.551055) + (xy 70.130922 98.425) + (xy 70.130772 98.424056) + (xy 70.12317 98.376055) + (xy 70.110957 98.298945) + (xy 70.110476 98.298) + (xy 76.808078 98.298) + (xy 76.828043 98.424056) + (xy 76.828524 98.425) + (xy 76.885984 98.537771) + (xy 76.976229 98.628016) + (xy 77.089943 98.685956) + (xy 77.089945 98.685957) + (xy 77.216 98.705922) + (xy 77.342055 98.685957) + (xy 77.455771 98.628016) + (xy 77.546016 98.537771) + (xy 77.603957 98.424055) + (xy 77.607767 98.4) + (xy 88.042078 98.4) + (xy 88.062043 98.526056) + (xy 88.119059 98.637956) + (xy 88.119984 98.639771) + (xy 88.210229 98.730016) + (xy 88.323943 98.787956) + (xy 88.323945 98.787957) + (xy 88.45 98.807922) + (xy 88.576055 98.787957) + (xy 88.689771 98.730016) + (xy 88.780016 98.639771) + (xy 88.837957 98.526055) + (xy 88.857922 98.4) + (xy 102.442078 98.4) + (xy 102.462043 98.526056) + (xy 102.519059 98.637956) + (xy 102.519984 98.639771) + (xy 102.610229 98.730016) + (xy 102.723943 98.787956) + (xy 102.723945 98.787957) + (xy 102.85 98.807922) + (xy 102.976055 98.787957) + (xy 103.089771 98.730016) + (xy 103.180016 98.639771) + (xy 103.237957 98.526055) + (xy 103.257922 98.4) + (xy 103.237957 98.273945) + (xy 103.225756 98.25) + (xy 114.292078 98.25) + (xy 114.312043 98.376056) + (xy 114.369984 98.489771) + (xy 114.460229 98.580016) + (xy 114.573943 98.637956) + (xy 114.573945 98.637957) + (xy 114.7 98.657922) + (xy 114.826055 98.637957) + (xy 114.939771 98.580016) + (xy 115.030016 98.489771) + (xy 115.087957 98.376055) + (xy 115.107922 98.25) + (xy 122.842078 98.25) + (xy 122.862043 98.376056) + (xy 122.919984 98.489771) + (xy 123.010229 98.580016) + (xy 123.123943 98.637956) + (xy 123.123945 98.637957) + (xy 123.25 98.657922) + (xy 123.376055 98.637957) + (xy 123.489771 98.580016) + (xy 123.580016 98.489771) + (xy 123.613018 98.425) + (xy 130.275078 98.425) + (xy 130.295043 98.551056) + (xy 130.334256 98.628015) + (xy 130.352984 98.664771) + (xy 130.443229 98.755016) + (xy 130.556943 98.812956) + (xy 130.556945 98.812957) + (xy 130.683 98.832922) + (xy 130.809055 98.812957) + (xy 130.922771 98.755016) + (xy 131.013016 98.664771) + (xy 131.070957 98.551055) + (xy 131.090922 98.425) + (xy 135.355078 98.425) + (xy 135.375043 98.551056) + (xy 135.414256 98.628015) + (xy 135.432984 98.664771) + (xy 135.523229 98.755016) + (xy 135.636943 98.812956) + (xy 135.636945 98.812957) + (xy 135.763 98.832922) + (xy 135.889055 98.812957) + (xy 136.002771 98.755016) + (xy 136.093016 98.664771) + (xy 136.150957 98.551055) + (xy 136.170922 98.425) + (xy 140.435078 98.425) + (xy 140.455043 98.551056) + (xy 140.494256 98.628015) + (xy 140.512984 98.664771) + (xy 140.603229 98.755016) + (xy 140.716943 98.812956) + (xy 140.716945 98.812957) + (xy 140.843 98.832922) + (xy 140.969055 98.812957) + (xy 141.082771 98.755016) + (xy 141.173016 98.664771) + (xy 141.230957 98.551055) + (xy 141.250922 98.425) + (xy 141.250772 98.424056) + (xy 141.24317 98.376055) + (xy 141.230957 98.298945) + (xy 141.173016 98.185229) + (xy 141.082771 98.094984) + (xy 140.969055 98.037043) + (xy 140.969057 98.037043) + (xy 140.843 98.017078) + (xy 140.716943 98.037043) + (xy 140.603228 98.094984) + (xy 140.512984 98.185228) + (xy 140.455043 98.298943) + (xy 140.435078 98.424999) + (xy 140.435078 98.425) + (xy 136.170922 98.425) + (xy 136.170772 98.424056) + (xy 136.16317 98.376055) + (xy 136.150957 98.298945) + (xy 136.093016 98.185229) + (xy 136.002771 98.094984) + (xy 135.889055 98.037043) + (xy 135.889057 98.037043) + (xy 135.763 98.017078) + (xy 135.636943 98.037043) + (xy 135.523228 98.094984) + (xy 135.432984 98.185228) + (xy 135.375043 98.298943) + (xy 135.355078 98.424999) + (xy 135.355078 98.425) + (xy 131.090922 98.425) + (xy 131.090772 98.424056) + (xy 131.08317 98.376055) + (xy 131.070957 98.298945) + (xy 131.013016 98.185229) + (xy 130.922771 98.094984) + (xy 130.809055 98.037043) + (xy 130.809057 98.037043) + (xy 130.683 98.017078) + (xy 130.556943 98.037043) + (xy 130.443228 98.094984) + (xy 130.352984 98.185228) + (xy 130.295043 98.298943) + (xy 130.275078 98.424999) + (xy 130.275078 98.425) + (xy 123.613018 98.425) + (xy 123.637957 98.376055) + (xy 123.657922 98.25) + (xy 123.637957 98.123945) + (xy 123.580016 98.010229) + (xy 123.489771 97.919984) + (xy 123.376055 97.862043) + (xy 123.376057 97.862043) + (xy 123.25 97.842078) + (xy 123.123943 97.862043) + (xy 123.010228 97.919984) + (xy 122.919984 98.010228) + (xy 122.862043 98.123943) + (xy 122.842078 98.249999) + (xy 122.842078 98.25) + (xy 115.107922 98.25) + (xy 115.087957 98.123945) + (xy 115.030016 98.010229) + (xy 114.939771 97.919984) + (xy 114.826055 97.862043) + (xy 114.826057 97.862043) + (xy 114.7 97.842078) + (xy 114.573943 97.862043) + (xy 114.460228 97.919984) + (xy 114.369984 98.010228) + (xy 114.312043 98.123943) + (xy 114.292078 98.249999) + (xy 114.292078 98.25) + (xy 103.225756 98.25) + (xy 103.180016 98.160229) + (xy 103.089771 98.069984) + (xy 102.976055 98.012043) + (xy 102.976057 98.012043) + (xy 102.85 97.992078) + (xy 102.723943 98.012043) + (xy 102.610228 98.069984) + (xy 102.519984 98.160228) + (xy 102.462043 98.273943) + (xy 102.442078 98.399999) + (xy 102.442078 98.4) + (xy 88.857922 98.4) + (xy 88.837957 98.273945) + (xy 88.780016 98.160229) + (xy 88.689771 98.069984) + (xy 88.576055 98.012043) + (xy 88.576057 98.012043) + (xy 88.45 97.992078) + (xy 88.323943 98.012043) + (xy 88.210228 98.069984) + (xy 88.119984 98.160228) + (xy 88.062043 98.273943) + (xy 88.042078 98.399999) + (xy 88.042078 98.4) + (xy 77.607767 98.4) + (xy 77.623922 98.298) + (xy 77.603957 98.171945) + (xy 77.546016 98.058229) + (xy 77.455771 97.967984) + (xy 77.342055 97.910043) + (xy 77.342057 97.910043) + (xy 77.278647 97.9) + (xy 100.142078 97.9) + (xy 100.162043 98.026056) + (xy 100.178436 98.058228) + (xy 100.219984 98.139771) + (xy 100.310229 98.230016) + (xy 100.423943 98.287956) + (xy 100.423945 98.287957) + (xy 100.55 98.307922) + (xy 100.676055 98.287957) + (xy 100.789771 98.230016) + (xy 100.880016 98.139771) + (xy 100.937957 98.026055) + (xy 100.957922 97.9) + (xy 100.937957 97.773945) + (xy 100.880016 97.660229) + (xy 100.789771 97.569984) + (xy 100.676055 97.512043) + (xy 100.676057 97.512043) + (xy 100.55 97.492078) + (xy 100.423943 97.512043) + (xy 100.310228 97.569984) + (xy 100.219984 97.660228) + (xy 100.162043 97.773943) + (xy 100.142078 97.899999) + (xy 100.142078 97.9) + (xy 77.278647 97.9) + (xy 77.216 97.890078) + (xy 77.089943 97.910043) + (xy 76.976228 97.967984) + (xy 76.885984 98.058228) + (xy 76.828043 98.171943) + (xy 76.808078 98.297999) + (xy 76.808078 98.298) + (xy 70.110476 98.298) + (xy 70.053016 98.185229) + (xy 69.962771 98.094984) + (xy 69.849055 98.037043) + (xy 69.849057 98.037043) + (xy 69.723 98.017078) + (xy 69.596943 98.037043) + (xy 69.483228 98.094984) + (xy 69.392984 98.185228) + (xy 69.335043 98.298943) + (xy 69.315078 98.424999) + (xy 69.315078 98.425) + (xy 65.050922 98.425) + (xy 65.050772 98.424056) + (xy 65.04317 98.376055) + (xy 65.030957 98.298945) + (xy 64.973016 98.185229) + (xy 64.882771 98.094984) + (xy 64.769055 98.037043) + (xy 64.769057 98.037043) + (xy 64.643 98.017078) + (xy 64.516943 98.037043) + (xy 64.403228 98.094984) + (xy 64.312984 98.185228) + (xy 64.255043 98.298943) + (xy 64.235078 98.424999) + (xy 64.235078 98.425) + (xy 46.101 98.425) + (xy 46.101 97.8535) + (xy 61.695078 97.8535) + (xy 61.715043 97.979556) + (xy 61.744334 98.037043) + (xy 61.772984 98.093271) + (xy 61.863229 98.183516) + (xy 61.976943 98.241456) + (xy 61.976945 98.241457) + (xy 62.103 98.261422) + (xy 62.229055 98.241457) + (xy 62.342771 98.183516) + (xy 62.433016 98.093271) + (xy 62.490957 97.979555) + (xy 62.510922 97.8535) + (xy 62.490957 97.727445) + (xy 62.433016 97.613729) + (xy 62.342771 97.523484) + (xy 62.229055 97.465543) + (xy 62.229057 97.465543) + (xy 62.103 97.445578) + (xy 61.976943 97.465543) + (xy 61.863228 97.523484) + (xy 61.772984 97.613728) + (xy 61.715043 97.727443) + (xy 61.695078 97.853499) + (xy 61.695078 97.8535) + (xy 46.101 97.8535) + (xy 46.101 96.7) + (xy 50.342078 96.7) + (xy 50.362043 96.826056) + (xy 50.37828 96.857922) + (xy 50.419984 96.939771) + (xy 50.510229 97.030016) + (xy 50.623943 97.087956) + (xy 50.623945 97.087957) + (xy 50.75 97.107922) + (xy 50.876055 97.087957) + (xy 50.989771 97.030016) + (xy 51.019787 97) + (xy 52.092078 97) + (xy 52.112043 97.126056) + (xy 52.129455 97.160228) + (xy 52.169984 97.239771) + (xy 52.260229 97.330016) + (xy 52.373943 97.387956) + (xy 52.373945 97.387957) + (xy 52.5 97.407922) + (xy 52.626055 97.387957) + (xy 52.739771 97.330016) + (xy 52.830016 97.239771) + (xy 52.887957 97.126055) + (xy 52.89343 97.0915) + (xy 76.046078 97.0915) + (xy 76.066043 97.217556) + (xy 76.101914 97.287956) + (xy 76.123984 97.331271) + (xy 76.214229 97.421516) + (xy 76.327943 97.479456) + (xy 76.327945 97.479457) + (xy 76.454 97.499422) + (xy 76.580055 97.479457) + (xy 76.693771 97.421516) + (xy 76.715287 97.4) + (xy 83.092078 97.4) + (xy 83.112043 97.526056) + (xy 83.144508 97.589771) + (xy 83.169984 97.639771) + (xy 83.260229 97.730016) + (xy 83.373943 97.787956) + (xy 83.373945 97.787957) + (xy 83.5 97.807922) + (xy 83.626055 97.787957) + (xy 83.739771 97.730016) + (xy 83.830016 97.639771) + (xy 83.887957 97.526055) + (xy 83.907922 97.4) + (xy 83.906014 97.387956) + (xy 83.897036 97.331271) + (xy 83.887957 97.273945) + (xy 83.830016 97.160229) + (xy 83.819787 97.15) + (xy 84.792078 97.15) + (xy 84.812043 97.276056) + (xy 84.839537 97.330015) + (xy 84.869984 97.389771) + (xy 84.960229 97.480016) + (xy 85.073943 97.537956) + (xy 85.073945 97.537957) + (xy 85.2 97.557922) + (xy 85.326055 97.537957) + (xy 85.439771 97.480016) + (xy 85.519787 97.4) + (xy 88.042078 97.4) + (xy 88.062043 97.526056) + (xy 88.094508 97.589771) + (xy 88.119984 97.639771) + (xy 88.210229 97.730016) + (xy 88.323943 97.787956) + (xy 88.323945 97.787957) + (xy 88.45 97.807922) + (xy 88.576055 97.787957) + (xy 88.689771 97.730016) + (xy 88.780016 97.639771) + (xy 88.837957 97.526055) + (xy 88.857922 97.4) + (xy 88.856014 97.387956) + (xy 88.847036 97.331271) + (xy 88.837957 97.273945) + (xy 88.80028 97.2) + (xy 96.792078 97.2) + (xy 96.812043 97.326056) + (xy 96.844508 97.389771) + (xy 96.869984 97.439771) + (xy 96.960229 97.530016) + (xy 97.073943 97.587956) + (xy 97.073945 97.587957) + (xy 97.2 97.607922) + (xy 97.326055 97.587957) + (xy 97.439771 97.530016) + (xy 97.530016 97.439771) + (xy 97.575756 97.35) + (xy 99.092078 97.35) + (xy 99.112043 97.476056) + (xy 99.153756 97.557922) + (xy 99.169984 97.589771) + (xy 99.260229 97.680016) + (xy 99.373943 97.737956) + (xy 99.373945 97.737957) + (xy 99.5 97.757922) + (xy 99.626055 97.737957) + (xy 99.739771 97.680016) + (xy 99.830016 97.589771) + (xy 99.887957 97.476055) + (xy 99.900003 97.4) + (xy 102.442078 97.4) + (xy 102.462043 97.526056) + (xy 102.494508 97.589771) + (xy 102.519984 97.639771) + (xy 102.610229 97.730016) + (xy 102.723943 97.787956) + (xy 102.723945 97.787957) + (xy 102.85 97.807922) + (xy 102.976055 97.787957) + (xy 103.05055 97.75) + (xy 107.792078 97.75) + (xy 107.812043 97.876056) + (xy 107.834426 97.919984) + (xy 107.869984 97.989771) + (xy 107.960229 98.080016) + (xy 108.073943 98.137956) + (xy 108.073945 98.137957) + (xy 108.2 98.157922) + (xy 108.326055 98.137957) + (xy 108.439771 98.080016) + (xy 108.530016 97.989771) + (xy 108.587957 97.876055) + (xy 108.607922 97.75) + (xy 108.606014 97.737956) + (xy 108.604164 97.726276) + (xy 108.587957 97.623945) + (xy 108.530016 97.510229) + (xy 108.469787 97.45) + (xy 113.642078 97.45) + (xy 113.662043 97.576056) + (xy 113.686443 97.623943) + (xy 113.719984 97.689771) + (xy 113.810229 97.780016) + (xy 113.923943 97.837956) + (xy 113.923945 97.837957) + (xy 114.05 97.857922) + (xy 114.176055 97.837957) + (xy 114.289771 97.780016) + (xy 114.380016 97.689771) + (xy 114.437957 97.576055) + (xy 114.457922 97.45) + (xy 122.192078 97.45) + (xy 122.212043 97.576056) + (xy 122.236443 97.623943) + (xy 122.269984 97.689771) + (xy 122.360229 97.780016) + (xy 122.473943 97.837956) + (xy 122.473945 97.837957) + (xy 122.6 97.857922) + (xy 122.726055 97.837957) + (xy 122.839771 97.780016) + (xy 122.930016 97.689771) + (xy 122.987957 97.576055) + (xy 123.007922 97.45) + (xy 122.987957 97.323945) + (xy 122.930016 97.210229) + (xy 122.839771 97.119984) + (xy 122.726055 97.062043) + (xy 122.726057 97.062043) + (xy 122.6 97.042078) + (xy 122.473943 97.062043) + (xy 122.360228 97.119984) + (xy 122.269984 97.210228) + (xy 122.212043 97.323943) + (xy 122.192078 97.449999) + (xy 122.192078 97.45) + (xy 114.457922 97.45) + (xy 114.437957 97.323945) + (xy 114.380016 97.210229) + (xy 114.289771 97.119984) + (xy 114.176055 97.062043) + (xy 114.176057 97.062043) + (xy 114.05 97.042078) + (xy 113.923943 97.062043) + (xy 113.810228 97.119984) + (xy 113.719984 97.210228) + (xy 113.662043 97.323943) + (xy 113.642078 97.449999) + (xy 113.642078 97.45) + (xy 108.469787 97.45) + (xy 108.439771 97.419984) + (xy 108.326055 97.362043) + (xy 108.326057 97.362043) + (xy 108.2 97.342078) + (xy 108.073943 97.362043) + (xy 107.960228 97.419984) + (xy 107.869984 97.510228) + (xy 107.812043 97.623943) + (xy 107.792078 97.749999) + (xy 107.792078 97.75) + (xy 103.05055 97.75) + (xy 103.089771 97.730016) + (xy 103.180016 97.639771) + (xy 103.237957 97.526055) + (xy 103.257922 97.4) + (xy 103.256014 97.387956) + (xy 103.247036 97.331271) + (xy 103.237957 97.273945) + (xy 103.180016 97.160229) + (xy 103.089771 97.069984) + (xy 102.976055 97.012043) + (xy 102.976057 97.012043) + (xy 102.900019 97) + (xy 106.342078 97) + (xy 106.362043 97.126056) + (xy 106.379455 97.160228) + (xy 106.419984 97.239771) + (xy 106.510229 97.330016) + (xy 106.623943 97.387956) + (xy 106.623945 97.387957) + (xy 106.75 97.407922) + (xy 106.876055 97.387957) + (xy 106.989771 97.330016) + (xy 107.080016 97.239771) + (xy 107.137957 97.126055) + (xy 107.157922 97) + (xy 107.156014 96.987956) + (xy 107.148748 96.942078) + (xy 107.137957 96.873945) + (xy 107.080016 96.760229) + (xy 106.989771 96.669984) + (xy 106.876055 96.612043) + (xy 106.876057 96.612043) + (xy 106.75 96.592078) + (xy 106.623943 96.612043) + (xy 106.510228 96.669984) + (xy 106.419984 96.760228) + (xy 106.362043 96.873943) + (xy 106.342078 96.999999) + (xy 106.342078 97) + (xy 102.900019 97) + (xy 102.85 96.992078) + (xy 102.723943 97.012043) + (xy 102.610228 97.069984) + (xy 102.519984 97.160228) + (xy 102.462043 97.273943) + (xy 102.442078 97.399999) + (xy 102.442078 97.4) + (xy 99.900003 97.4) + (xy 99.907922 97.35) + (xy 99.887957 97.223945) + (xy 99.830016 97.110229) + (xy 99.739771 97.019984) + (xy 99.626055 96.962043) + (xy 99.626057 96.962043) + (xy 99.5 96.942078) + (xy 99.373943 96.962043) + (xy 99.260228 97.019984) + (xy 99.169984 97.110228) + (xy 99.112043 97.223943) + (xy 99.092078 97.349999) + (xy 99.092078 97.35) + (xy 97.575756 97.35) + (xy 97.587957 97.326055) + (xy 97.607922 97.2) + (xy 97.587957 97.073945) + (xy 97.530016 96.960229) + (xy 97.469787 96.9) + (xy 100.142078 96.9) + (xy 100.162043 97.026056) + (xy 100.195388 97.091499) + (xy 100.219984 97.139771) + (xy 100.310229 97.230016) + (xy 100.423943 97.287956) + (xy 100.423945 97.287957) + (xy 100.55 97.307922) + (xy 100.676055 97.287957) + (xy 100.789771 97.230016) + (xy 100.880016 97.139771) + (xy 100.937957 97.026055) + (xy 100.957922 96.9) + (xy 100.937957 96.773945) + (xy 100.880016 96.660229) + (xy 100.789771 96.569984) + (xy 100.676055 96.512043) + (xy 100.676057 96.512043) + (xy 100.55 96.492078) + (xy 100.423943 96.512043) + (xy 100.310228 96.569984) + (xy 100.219984 96.660228) + (xy 100.162043 96.773943) + (xy 100.142078 96.899999) + (xy 100.142078 96.9) + (xy 97.469787 96.9) + (xy 97.439771 96.869984) + (xy 97.326055 96.812043) + (xy 97.326057 96.812043) + (xy 97.2 96.792078) + (xy 97.073943 96.812043) + (xy 96.960228 96.869984) + (xy 96.869984 96.960228) + (xy 96.812043 97.073943) + (xy 96.792078 97.199999) + (xy 96.792078 97.2) + (xy 88.80028 97.2) + (xy 88.780016 97.160229) + (xy 88.689771 97.069984) + (xy 88.576055 97.012043) + (xy 88.576057 97.012043) + (xy 88.45 96.992078) + (xy 88.323943 97.012043) + (xy 88.210228 97.069984) + (xy 88.119984 97.160228) + (xy 88.062043 97.273943) + (xy 88.042078 97.399999) + (xy 88.042078 97.4) + (xy 85.519787 97.4) + (xy 85.530016 97.389771) + (xy 85.587957 97.276055) + (xy 85.607922 97.15) + (xy 85.587957 97.023945) + (xy 85.530016 96.910229) + (xy 85.439771 96.819984) + (xy 85.326055 96.762043) + (xy 85.326057 96.762043) + (xy 85.2 96.742078) + (xy 85.073943 96.762043) + (xy 84.960228 96.819984) + (xy 84.869984 96.910228) + (xy 84.812043 97.023943) + (xy 84.792078 97.149999) + (xy 84.792078 97.15) + (xy 83.819787 97.15) + (xy 83.739771 97.069984) + (xy 83.626055 97.012043) + (xy 83.626057 97.012043) + (xy 83.5 96.992078) + (xy 83.373943 97.012043) + (xy 83.260228 97.069984) + (xy 83.169984 97.160228) + (xy 83.112043 97.273943) + (xy 83.092078 97.399999) + (xy 83.092078 97.4) + (xy 76.715287 97.4) + (xy 76.784016 97.331271) + (xy 76.841957 97.217555) + (xy 76.861922 97.0915) + (xy 76.841957 96.965445) + (xy 76.784016 96.851729) + (xy 76.693771 96.761484) + (xy 76.580055 96.703543) + (xy 76.580057 96.703543) + (xy 76.454 96.683578) + (xy 76.327943 96.703543) + (xy 76.214228 96.761484) + (xy 76.123984 96.851728) + (xy 76.066043 96.965443) + (xy 76.046078 97.091499) + (xy 76.046078 97.0915) + (xy 52.89343 97.0915) + (xy 52.907922 97) + (xy 52.906014 96.987956) + (xy 52.898748 96.942078) + (xy 52.887957 96.873945) + (xy 52.830016 96.760229) + (xy 52.739771 96.669984) + (xy 52.626055 96.612043) + (xy 52.626057 96.612043) + (xy 52.5 96.592078) + (xy 52.373943 96.612043) + (xy 52.260228 96.669984) + (xy 52.169984 96.760228) + (xy 52.112043 96.873943) + (xy 52.092078 96.999999) + (xy 52.092078 97) + (xy 51.019787 97) + (xy 51.080016 96.939771) + (xy 51.137957 96.826055) + (xy 51.157922 96.7) + (xy 51.157331 96.696271) + (xy 51.150003 96.649999) + (xy 51.137957 96.573945) + (xy 51.080016 96.460229) + (xy 50.989771 96.369984) + (xy 50.876055 96.312043) + (xy 50.876057 96.312043) + (xy 50.75 96.292078) + (xy 50.623943 96.312043) + (xy 50.510228 96.369984) + (xy 50.419984 96.460228) + (xy 50.362043 96.573943) + (xy 50.342078 96.699999) + (xy 50.342078 96.7) + (xy 46.101 96.7) + (xy 46.101 96.2) + (xy 51.242078 96.2) + (xy 51.262043 96.326056) + (xy 51.268107 96.337957) + (xy 51.319984 96.439771) + (xy 51.410229 96.530016) + (xy 51.523943 96.587956) + (xy 51.523945 96.587957) + (xy 51.65 96.607922) + (xy 51.776055 96.587957) + (xy 51.889771 96.530016) + (xy 51.963287 96.4565) + (xy 62.393578 96.4565) + (xy 62.413543 96.582556) + (xy 62.442696 96.639771) + (xy 62.471484 96.696271) + (xy 62.561729 96.786516) + (xy 62.675443 96.844456) + (xy 62.675445 96.844457) + (xy 62.8015 96.864422) + (xy 62.927555 96.844457) + (xy 63.041271 96.786516) + (xy 63.131516 96.696271) + (xy 63.180568 96.6) + (xy 82.092078 96.6) + (xy 82.112043 96.726056) + (xy 82.145683 96.792078) + (xy 82.169984 96.839771) + (xy 82.260229 96.930016) + (xy 82.373943 96.987956) + (xy 82.373945 96.987957) + (xy 82.5 97.007922) + (xy 82.626055 96.987957) + (xy 82.739771 96.930016) + (xy 82.830016 96.839771) + (xy 82.887957 96.726055) + (xy 82.907922 96.6) + (xy 82.906014 96.587956) + (xy 82.903168 96.569984) + (xy 82.887957 96.473945) + (xy 82.85028 96.4) + (xy 97.692078 96.4) + (xy 97.712043 96.526056) + (xy 97.745683 96.592078) + (xy 97.769984 96.639771) + (xy 97.860229 96.730016) + (xy 97.973943 96.787956) + (xy 97.973945 96.787957) + (xy 98.1 96.807922) + (xy 98.226055 96.787957) + (xy 98.339771 96.730016) + (xy 98.430016 96.639771) + (xy 98.487957 96.526055) + (xy 98.507922 96.4) + (xy 98.492084 96.3) + (xy 98.742078 96.3) + (xy 98.762043 96.426056) + (xy 98.777555 96.456499) + (xy 98.819984 96.539771) + (xy 98.910229 96.630016) + (xy 99.023943 96.687956) + (xy 99.023945 96.687957) + (xy 99.15 96.707922) + (xy 99.276055 96.687957) + (xy 99.389771 96.630016) + (xy 99.480016 96.539771) + (xy 99.537957 96.426055) + (xy 99.542084 96.4) + (xy 102.442078 96.4) + (xy 102.462043 96.526056) + (xy 102.495683 96.592078) + (xy 102.519984 96.639771) + (xy 102.610229 96.730016) + (xy 102.723943 96.787956) + (xy 102.723945 96.787957) + (xy 102.85 96.807922) + (xy 102.976055 96.787957) + (xy 103.089771 96.730016) + (xy 103.180016 96.639771) + (xy 103.237957 96.526055) + (xy 103.250003 96.45) + (xy 110.342078 96.45) + (xy 110.362043 96.576056) + (xy 110.419059 96.687956) + (xy 110.419984 96.689771) + (xy 110.510229 96.780016) + (xy 110.623943 96.837956) + (xy 110.623945 96.837957) + (xy 110.75 96.857922) + (xy 110.876055 96.837957) + (xy 110.989771 96.780016) + (xy 111.080016 96.689771) + (xy 111.10028 96.65) + (xy 114.292078 96.65) + (xy 114.312043 96.776056) + (xy 114.344508 96.839771) + (xy 114.369984 96.889771) + (xy 114.460229 96.980016) + (xy 114.573943 97.037956) + (xy 114.573945 97.037957) + (xy 114.7 97.057922) + (xy 114.826055 97.037957) + (xy 114.939771 96.980016) + (xy 115.030016 96.889771) + (xy 115.087957 96.776055) + (xy 115.107922 96.65) + (xy 122.842078 96.65) + (xy 122.862043 96.776056) + (xy 122.894508 96.839771) + (xy 122.919984 96.889771) + (xy 123.010229 96.980016) + (xy 123.123943 97.037956) + (xy 123.123945 97.037957) + (xy 123.25 97.057922) + (xy 123.376055 97.037957) + (xy 123.489771 96.980016) + (xy 123.580016 96.889771) + (xy 123.637957 96.776055) + (xy 123.657922 96.65) + (xy 123.637957 96.523945) + (xy 123.580016 96.410229) + (xy 123.489771 96.319984) + (xy 123.376055 96.262043) + (xy 123.376057 96.262043) + (xy 123.25 96.242078) + (xy 123.123943 96.262043) + (xy 123.010228 96.319984) + (xy 122.919984 96.410228) + (xy 122.862043 96.523943) + (xy 122.842078 96.649999) + (xy 122.842078 96.65) + (xy 115.107922 96.65) + (xy 115.087957 96.523945) + (xy 115.030016 96.410229) + (xy 114.939771 96.319984) + (xy 114.826055 96.262043) + (xy 114.826057 96.262043) + (xy 114.7 96.242078) + (xy 114.573943 96.262043) + (xy 114.460228 96.319984) + (xy 114.369984 96.410228) + (xy 114.312043 96.523943) + (xy 114.292078 96.649999) + (xy 114.292078 96.65) + (xy 111.10028 96.65) + (xy 111.137957 96.576055) + (xy 111.157922 96.45) + (xy 111.137957 96.323945) + (xy 111.080016 96.210229) + (xy 110.989771 96.119984) + (xy 110.876055 96.062043) + (xy 110.876057 96.062043) + (xy 110.75 96.042078) + (xy 110.623943 96.062043) + (xy 110.510228 96.119984) + (xy 110.419984 96.210228) + (xy 110.362043 96.323943) + (xy 110.342078 96.449999) + (xy 110.342078 96.45) + (xy 103.250003 96.45) + (xy 103.257922 96.4) + (xy 103.237957 96.273945) + (xy 103.180016 96.160229) + (xy 103.089771 96.069984) + (xy 102.976055 96.012043) + (xy 102.976057 96.012043) + (xy 102.85 95.992078) + (xy 102.723943 96.012043) + (xy 102.610228 96.069984) + (xy 102.519984 96.160228) + (xy 102.462043 96.273943) + (xy 102.442078 96.399999) + (xy 102.442078 96.4) + (xy 99.542084 96.4) + (xy 99.557922 96.3) + (xy 99.556014 96.287956) + (xy 99.55191 96.262043) + (xy 99.537957 96.173945) + (xy 99.480016 96.060229) + (xy 99.389771 95.969984) + (xy 99.276055 95.912043) + (xy 99.276057 95.912043) + (xy 99.200019 95.9) + (xy 100.142078 95.9) + (xy 100.162043 96.026056) + (xy 100.190781 96.082457) + (xy 100.219984 96.139771) + (xy 100.310229 96.230016) + (xy 100.423943 96.287956) + (xy 100.423945 96.287957) + (xy 100.55 96.307922) + (xy 100.676055 96.287957) + (xy 100.789771 96.230016) + (xy 100.880016 96.139771) + (xy 100.937957 96.026055) + (xy 100.957922 95.9) + (xy 100.937957 95.773945) + (xy 100.880016 95.660229) + (xy 100.789771 95.569984) + (xy 100.676055 95.512043) + (xy 100.676057 95.512043) + (xy 100.55 95.492078) + (xy 100.423943 95.512043) + (xy 100.310228 95.569984) + (xy 100.219984 95.660228) + (xy 100.162043 95.773943) + (xy 100.142078 95.899999) + (xy 100.142078 95.9) + (xy 99.200019 95.9) + (xy 99.15 95.892078) + (xy 99.023943 95.912043) + (xy 98.910228 95.969984) + (xy 98.819984 96.060228) + (xy 98.762043 96.173943) + (xy 98.742078 96.299999) + (xy 98.742078 96.3) + (xy 98.492084 96.3) + (xy 98.487957 96.273945) + (xy 98.430016 96.160229) + (xy 98.339771 96.069984) + (xy 98.226055 96.012043) + (xy 98.226057 96.012043) + (xy 98.1 95.992078) + (xy 97.973943 96.012043) + (xy 97.860228 96.069984) + (xy 97.769984 96.160228) + (xy 97.712043 96.273943) + (xy 97.692078 96.399999) + (xy 97.692078 96.4) + (xy 82.85028 96.4) + (xy 82.830016 96.360229) + (xy 82.739771 96.269984) + (xy 82.626055 96.212043) + (xy 82.626057 96.212043) + (xy 82.5 96.192078) + (xy 82.373943 96.212043) + (xy 82.260228 96.269984) + (xy 82.169984 96.360228) + (xy 82.112043 96.473943) + (xy 82.092078 96.599999) + (xy 82.092078 96.6) + (xy 63.180568 96.6) + (xy 63.189457 96.582555) + (xy 63.209422 96.4565) + (xy 63.189457 96.330445) + (xy 63.131516 96.216729) + (xy 63.041271 96.126484) + (xy 62.927555 96.068543) + (xy 62.927557 96.068543) + (xy 62.8015 96.048578) + (xy 62.675443 96.068543) + (xy 62.561728 96.126484) + (xy 62.471484 96.216728) + (xy 62.413543 96.330443) + (xy 62.393578 96.456499) + (xy 62.393578 96.4565) + (xy 51.963287 96.4565) + (xy 51.980016 96.439771) + (xy 52.037957 96.326055) + (xy 52.057922 96.2) + (xy 52.037957 96.073945) + (xy 51.980016 95.960229) + (xy 51.904787 95.885) + (xy 66.775078 95.885) + (xy 66.795043 96.011056) + (xy 66.831424 96.082457) + (xy 66.852984 96.124771) + (xy 66.943229 96.215016) + (xy 67.056943 96.272956) + (xy 67.056945 96.272957) + (xy 67.183 96.292922) + (xy 67.309055 96.272957) + (xy 67.422771 96.215016) + (xy 67.513016 96.124771) + (xy 67.570957 96.011055) + (xy 67.590922 95.885) + (xy 67.580865 95.8215) + (xy 76.808078 95.8215) + (xy 76.828043 95.947556) + (xy 76.868041 96.026056) + (xy 76.885984 96.061271) + (xy 76.976229 96.151516) + (xy 77.089943 96.209456) + (xy 77.089945 96.209457) + (xy 77.216 96.229422) + (xy 77.342055 96.209457) + (xy 77.455771 96.151516) + (xy 77.546016 96.061271) + (xy 77.603957 95.947555) + (xy 77.623922 95.8215) + (xy 77.623772 95.820556) + (xy 77.608805 95.726055) + (xy 77.603957 95.695445) + (xy 77.603476 95.6945) + (xy 77.824078 95.6945) + (xy 77.844043 95.820556) + (xy 77.884522 95.9) + (xy 77.901984 95.934271) + (xy 77.992229 96.024516) + (xy 78.105943 96.082456) + (xy 78.105945 96.082457) + (xy 78.232 96.102422) + (xy 78.358055 96.082457) + (xy 78.471771 96.024516) + (xy 78.562016 95.934271) + (xy 78.619957 95.820555) + (xy 78.639922 95.6945) + (xy 79.602078 95.6945) + (xy 79.622043 95.820556) + (xy 79.662522 95.9) + (xy 79.679984 95.934271) + (xy 79.770229 96.024516) + (xy 79.883943 96.082456) + (xy 79.883945 96.082457) + (xy 80.01 96.102422) + (xy 80.136055 96.082457) + (xy 80.249771 96.024516) + (xy 80.340016 95.934271) + (xy 80.397957 95.820555) + (xy 80.417922 95.6945) + (xy 80.681578 95.6945) + (xy 80.701543 95.820556) + (xy 80.742022 95.9) + (xy 80.759484 95.934271) + (xy 80.849729 96.024516) + (xy 80.963443 96.082456) + (xy 80.963445 96.082457) + (xy 81.0895 96.102422) + (xy 81.215555 96.082457) + (xy 81.329271 96.024516) + (xy 81.419516 95.934271) + (xy 81.477457 95.820555) + (xy 81.497422 95.6945) + (xy 81.477457 95.568445) + (xy 81.419516 95.454729) + (xy 81.364787 95.4) + (xy 84.842078 95.4) + (xy 84.862043 95.526056) + (xy 84.919984 95.639771) + (xy 85.010229 95.730016) + (xy 85.123943 95.787956) + (xy 85.123945 95.787957) + (xy 85.25 95.807922) + (xy 85.376055 95.787957) + (xy 85.489771 95.730016) + (xy 85.580016 95.639771) + (xy 85.60028 95.6) + (xy 88.592078 95.6) + (xy 88.612043 95.726056) + (xy 88.660674 95.821499) + (xy 88.669984 95.839771) + (xy 88.760229 95.930016) + (xy 88.873943 95.987956) + (xy 88.873945 95.987957) + (xy 89 96.007922) + (xy 89.126055 95.987957) + (xy 89.20055 95.95) + (xy 96.292078 95.95) + (xy 96.312043 96.076056) + (xy 96.350492 96.151516) + (xy 96.369984 96.189771) + (xy 96.460229 96.280016) + (xy 96.573943 96.337956) + (xy 96.573945 96.337957) + (xy 96.7 96.357922) + (xy 96.826055 96.337957) + (xy 96.939771 96.280016) + (xy 97.030016 96.189771) + (xy 97.087957 96.076055) + (xy 97.107922 95.95) + (xy 97.087957 95.823945) + (xy 97.030016 95.710229) + (xy 96.939771 95.619984) + (xy 96.826055 95.562043) + (xy 96.826057 95.562043) + (xy 96.7 95.542078) + (xy 96.573943 95.562043) + (xy 96.460228 95.619984) + (xy 96.369984 95.710228) + (xy 96.312043 95.823943) + (xy 96.292078 95.949999) + (xy 96.292078 95.95) + (xy 89.20055 95.95) + (xy 89.239771 95.930016) + (xy 89.330016 95.839771) + (xy 89.387957 95.726055) + (xy 89.407922 95.6) + (xy 89.387957 95.473945) + (xy 89.330016 95.360229) + (xy 89.319787 95.35) + (xy 89.642078 95.35) + (xy 89.662043 95.476056) + (xy 89.689999 95.530922) + (xy 89.719984 95.589771) + (xy 89.810229 95.680016) + (xy 89.923943 95.737956) + (xy 89.923945 95.737957) + (xy 90.05 95.757922) + (xy 90.176055 95.737957) + (xy 90.289771 95.680016) + (xy 90.380016 95.589771) + (xy 90.437957 95.476055) + (xy 90.457922 95.35) + (xy 90.437957 95.223945) + (xy 90.380016 95.110229) + (xy 90.289771 95.019984) + (xy 90.176055 94.962043) + (xy 90.176057 94.962043) + (xy 90.05 94.942078) + (xy 89.923943 94.962043) + (xy 89.810228 95.019984) + (xy 89.719984 95.110228) + (xy 89.662043 95.223943) + (xy 89.642078 95.349999) + (xy 89.642078 95.35) + (xy 89.319787 95.35) + (xy 89.239771 95.269984) + (xy 89.126055 95.212043) + (xy 89.126057 95.212043) + (xy 89 95.192078) + (xy 88.873943 95.212043) + (xy 88.760228 95.269984) + (xy 88.669984 95.360228) + (xy 88.612043 95.473943) + (xy 88.592078 95.599999) + (xy 88.592078 95.6) + (xy 85.60028 95.6) + (xy 85.637957 95.526055) + (xy 85.657922 95.4) + (xy 85.656014 95.387956) + (xy 85.652297 95.364484) + (xy 85.637957 95.273945) + (xy 85.580016 95.160229) + (xy 85.489771 95.069984) + (xy 85.376055 95.012043) + (xy 85.376057 95.012043) + (xy 85.25 94.992078) + (xy 85.123943 95.012043) + (xy 85.010228 95.069984) + (xy 84.919984 95.160228) + (xy 84.862043 95.273943) + (xy 84.842078 95.399999) + (xy 84.842078 95.4) + (xy 81.364787 95.4) + (xy 81.329271 95.364484) + (xy 81.215555 95.306543) + (xy 81.215557 95.306543) + (xy 81.0895 95.286578) + (xy 80.963443 95.306543) + (xy 80.849728 95.364484) + (xy 80.759484 95.454728) + (xy 80.701543 95.568443) + (xy 80.681578 95.694499) + (xy 80.681578 95.6945) + (xy 80.417922 95.6945) + (xy 80.397957 95.568445) + (xy 80.340016 95.454729) + (xy 80.249771 95.364484) + (xy 80.136055 95.306543) + (xy 80.136057 95.306543) + (xy 80.01 95.286578) + (xy 79.883943 95.306543) + (xy 79.770228 95.364484) + (xy 79.679984 95.454728) + (xy 79.622043 95.568443) + (xy 79.602078 95.694499) + (xy 79.602078 95.6945) + (xy 78.639922 95.6945) + (xy 78.619957 95.568445) + (xy 78.562016 95.454729) + (xy 78.471771 95.364484) + (xy 78.358055 95.306543) + (xy 78.358057 95.306543) + (xy 78.232 95.286578) + (xy 78.105943 95.306543) + (xy 77.992228 95.364484) + (xy 77.901984 95.454728) + (xy 77.844043 95.568443) + (xy 77.824078 95.694499) + (xy 77.824078 95.6945) + (xy 77.603476 95.6945) + (xy 77.546016 95.581729) + (xy 77.455771 95.491484) + (xy 77.342055 95.433543) + (xy 77.342057 95.433543) + (xy 77.216 95.413578) + (xy 77.089943 95.433543) + (xy 76.976228 95.491484) + (xy 76.885984 95.581728) + (xy 76.828043 95.695443) + (xy 76.808078 95.821499) + (xy 76.808078 95.8215) + (xy 67.580865 95.8215) + (xy 67.570957 95.758945) + (xy 67.513016 95.645229) + (xy 67.422771 95.554984) + (xy 67.309055 95.497043) + (xy 67.309057 95.497043) + (xy 67.183 95.477078) + (xy 67.056943 95.497043) + (xy 66.943228 95.554984) + (xy 66.852984 95.645228) + (xy 66.795043 95.758943) + (xy 66.775078 95.884999) + (xy 66.775078 95.885) + (xy 51.904787 95.885) + (xy 51.889771 95.869984) + (xy 51.776055 95.812043) + (xy 51.776057 95.812043) + (xy 51.65 95.792078) + (xy 51.523943 95.812043) + (xy 51.410228 95.869984) + (xy 51.319984 95.960228) + (xy 51.262043 96.073943) + (xy 51.242078 96.199999) + (xy 51.242078 96.2) + (xy 46.101 96.2) + (xy 46.101 95.2) + (xy 49.092443 95.2) + (xy 49.110977 95.328911) + (xy 49.165078 95.447373) + (xy 49.16508 95.447376) + (xy 49.250366 95.545801) + (xy 49.318787 95.589771) + (xy 49.35062 95.610229) + (xy 49.359926 95.616209) + (xy 49.484883 95.6529) + (xy 49.615117 95.6529) + (xy 49.740073 95.616209) + (xy 49.740073 95.616208) + (xy 49.740076 95.616208) + (xy 49.849636 95.545799) + (xy 49.934921 95.447374) + (xy 49.989023 95.328909) + (xy 50.007557 95.2) + (xy 49.996486 95.123) + (xy 53.440078 95.123) + (xy 53.460043 95.249056) + (xy 53.511476 95.349999) + (xy 53.517984 95.362771) + (xy 53.608229 95.453016) + (xy 53.721943 95.510956) + (xy 53.721945 95.510957) + (xy 53.848 95.530922) + (xy 53.974055 95.510957) + (xy 54.087771 95.453016) + (xy 54.178016 95.362771) + (xy 54.235957 95.249055) + (xy 54.255922 95.123) + (xy 54.252738 95.1029) + (xy 54.246267 95.062043) + (xy 54.245864 95.0595) + (xy 55.980078 95.0595) + (xy 56.000043 95.185556) + (xy 56.057984 95.299271) + (xy 56.148229 95.389516) + (xy 56.261943 95.447456) + (xy 56.261945 95.447457) + (xy 56.388 95.467422) + (xy 56.514055 95.447457) + (xy 56.627771 95.389516) + (xy 56.718016 95.299271) + (xy 56.775957 95.185555) + (xy 56.795922 95.0595) + (xy 61.695078 95.0595) + (xy 61.715043 95.185556) + (xy 61.772984 95.299271) + (xy 61.863229 95.389516) + (xy 61.976943 95.447456) + (xy 61.976945 95.447457) + (xy 62.103 95.467422) + (xy 62.229055 95.447457) + (xy 62.342771 95.389516) + (xy 62.433016 95.299271) + (xy 62.490957 95.185555) + (xy 62.510922 95.0595) + (xy 62.490957 94.933445) + (xy 62.44844 94.85) + (xy 85.942078 94.85) + (xy 85.962043 94.976056) + (xy 86.010466 95.071091) + (xy 86.019984 95.089771) + (xy 86.110229 95.180016) + (xy 86.223943 95.237956) + (xy 86.223945 95.237957) + (xy 86.35 95.257922) + (xy 86.476055 95.237957) + (xy 86.589771 95.180016) + (xy 86.680016 95.089771) + (xy 86.737957 94.976055) + (xy 86.757922 94.85) + (xy 91.292078 94.85) + (xy 91.312043 94.976056) + (xy 91.360466 95.071091) + (xy 91.369984 95.089771) + (xy 91.460229 95.180016) + (xy 91.573943 95.237956) + (xy 91.573945 95.237957) + (xy 91.7 95.257922) + (xy 91.750018 95.25) + (xy 95.542078 95.25) + (xy 95.562043 95.376056) + (xy 95.598424 95.447457) + (xy 95.619984 95.489771) + (xy 95.710229 95.580016) + (xy 95.823943 95.637956) + (xy 95.823945 95.637957) + (xy 95.95 95.657922) + (xy 96.076055 95.637957) + (xy 96.189771 95.580016) + (xy 96.280016 95.489771) + (xy 96.337957 95.376055) + (xy 96.357922 95.25) + (xy 96.357772 95.249056) + (xy 96.347715 95.185555) + (xy 96.337957 95.123945) + (xy 96.280016 95.010229) + (xy 96.269787 95) + (xy 96.542078 95) + (xy 96.562043 95.126056) + (xy 96.59236 95.185556) + (xy 96.619984 95.239771) + (xy 96.710229 95.330016) + (xy 96.823943 95.387956) + (xy 96.823945 95.387957) + (xy 96.95 95.407922) + (xy 97.000018 95.4) + (xy 102.442078 95.4) + (xy 102.462043 95.526056) + (xy 102.519984 95.639771) + (xy 102.610229 95.730016) + (xy 102.723943 95.787956) + (xy 102.723945 95.787957) + (xy 102.85 95.807922) + (xy 102.976055 95.787957) + (xy 103.089771 95.730016) + (xy 103.180016 95.639771) + (xy 103.237957 95.526055) + (xy 103.250003 95.45) + (xy 109.342078 95.45) + (xy 109.362043 95.576056) + (xy 109.393946 95.638668) + (xy 109.419984 95.689771) + (xy 109.510229 95.780016) + (xy 109.623943 95.837956) + (xy 109.623945 95.837957) + (xy 109.75 95.857922) + (xy 109.800018 95.85) + (xy 113.642078 95.85) + (xy 113.662043 95.976056) + (xy 113.705856 96.062043) + (xy 113.719984 96.089771) + (xy 113.810229 96.180016) + (xy 113.923943 96.237956) + (xy 113.923945 96.237957) + (xy 114.05 96.257922) + (xy 114.176055 96.237957) + (xy 114.289771 96.180016) + (xy 114.380016 96.089771) + (xy 114.437957 95.976055) + (xy 114.457922 95.85) + (xy 122.192078 95.85) + (xy 122.212043 95.976056) + (xy 122.255856 96.062043) + (xy 122.269984 96.089771) + (xy 122.360229 96.180016) + (xy 122.473943 96.237956) + (xy 122.473945 96.237957) + (xy 122.6 96.257922) + (xy 122.726055 96.237957) + (xy 122.839771 96.180016) + (xy 122.930016 96.089771) + (xy 122.987957 95.976055) + (xy 123.002379 95.885) + (xy 127.735078 95.885) + (xy 127.755043 96.011056) + (xy 127.791424 96.082457) + (xy 127.812984 96.124771) + (xy 127.903229 96.215016) + (xy 128.016943 96.272956) + (xy 128.016945 96.272957) + (xy 128.143 96.292922) + (xy 128.269055 96.272957) + (xy 128.382771 96.215016) + (xy 128.473016 96.124771) + (xy 128.530957 96.011055) + (xy 128.550922 95.885) + (xy 132.815078 95.885) + (xy 132.835043 96.011056) + (xy 132.871424 96.082457) + (xy 132.892984 96.124771) + (xy 132.983229 96.215016) + (xy 133.096943 96.272956) + (xy 133.096945 96.272957) + (xy 133.223 96.292922) + (xy 133.349055 96.272957) + (xy 133.462771 96.215016) + (xy 133.553016 96.124771) + (xy 133.610957 96.011055) + (xy 133.630922 95.885) + (xy 137.895078 95.885) + (xy 137.915043 96.011056) + (xy 137.951424 96.082457) + (xy 137.972984 96.124771) + (xy 138.063229 96.215016) + (xy 138.176943 96.272956) + (xy 138.176945 96.272957) + (xy 138.303 96.292922) + (xy 138.429055 96.272957) + (xy 138.542771 96.215016) + (xy 138.633016 96.124771) + (xy 138.690957 96.011055) + (xy 138.710922 95.885) + (xy 142.975078 95.885) + (xy 142.995043 96.011056) + (xy 143.031424 96.082457) + (xy 143.052984 96.124771) + (xy 143.143229 96.215016) + (xy 143.256943 96.272956) + (xy 143.256945 96.272957) + (xy 143.383 96.292922) + (xy 143.509055 96.272957) + (xy 143.622771 96.215016) + (xy 143.713016 96.124771) + (xy 143.770957 96.011055) + (xy 143.790922 95.885) + (xy 143.770957 95.758945) + (xy 143.713016 95.645229) + (xy 143.622771 95.554984) + (xy 143.509055 95.497043) + (xy 143.509057 95.497043) + (xy 143.383 95.477078) + (xy 143.256943 95.497043) + (xy 143.143228 95.554984) + (xy 143.052984 95.645228) + (xy 142.995043 95.758943) + (xy 142.975078 95.884999) + (xy 142.975078 95.885) + (xy 138.710922 95.885) + (xy 138.690957 95.758945) + (xy 138.633016 95.645229) + (xy 138.542771 95.554984) + (xy 138.429055 95.497043) + (xy 138.429057 95.497043) + (xy 138.303 95.477078) + (xy 138.176943 95.497043) + (xy 138.063228 95.554984) + (xy 137.972984 95.645228) + (xy 137.915043 95.758943) + (xy 137.895078 95.884999) + (xy 137.895078 95.885) + (xy 133.630922 95.885) + (xy 133.610957 95.758945) + (xy 133.553016 95.645229) + (xy 133.462771 95.554984) + (xy 133.349055 95.497043) + (xy 133.349057 95.497043) + (xy 133.223 95.477078) + (xy 133.096943 95.497043) + (xy 132.983228 95.554984) + (xy 132.892984 95.645228) + (xy 132.835043 95.758943) + (xy 132.815078 95.884999) + (xy 132.815078 95.885) + (xy 128.550922 95.885) + (xy 128.530957 95.758945) + (xy 128.473016 95.645229) + (xy 128.382771 95.554984) + (xy 128.269055 95.497043) + (xy 128.269057 95.497043) + (xy 128.143 95.477078) + (xy 128.016943 95.497043) + (xy 127.903228 95.554984) + (xy 127.812984 95.645228) + (xy 127.755043 95.758943) + (xy 127.735078 95.884999) + (xy 127.735078 95.885) + (xy 123.002379 95.885) + (xy 123.007922 95.85) + (xy 123.006014 95.837956) + (xy 123.00191 95.812043) + (xy 122.987957 95.723945) + (xy 122.930016 95.610229) + (xy 122.839771 95.519984) + (xy 122.726055 95.462043) + (xy 122.726057 95.462043) + (xy 122.6 95.442078) + (xy 122.473943 95.462043) + (xy 122.360228 95.519984) + (xy 122.269984 95.610228) + (xy 122.212043 95.723943) + (xy 122.192078 95.849999) + (xy 122.192078 95.85) + (xy 114.457922 95.85) + (xy 114.456014 95.837956) + (xy 114.45191 95.812043) + (xy 114.437957 95.723945) + (xy 114.380016 95.610229) + (xy 114.289771 95.519984) + (xy 114.176055 95.462043) + (xy 114.176057 95.462043) + (xy 114.05 95.442078) + (xy 113.923943 95.462043) + (xy 113.810228 95.519984) + (xy 113.719984 95.610228) + (xy 113.662043 95.723943) + (xy 113.642078 95.849999) + (xy 113.642078 95.85) + (xy 109.800018 95.85) + (xy 109.876055 95.837957) + (xy 109.989771 95.780016) + (xy 110.080016 95.689771) + (xy 110.137957 95.576055) + (xy 110.157922 95.45) + (xy 110.157506 95.447376) + (xy 110.152153 95.413578) + (xy 110.137957 95.323945) + (xy 110.080016 95.210229) + (xy 109.989771 95.119984) + (xy 109.876055 95.062043) + (xy 109.876057 95.062043) + (xy 109.800019 95.05) + (xy 114.292078 95.05) + (xy 114.312043 95.176056) + (xy 114.344508 95.239771) + (xy 114.369984 95.289771) + (xy 114.460229 95.380016) + (xy 114.573943 95.437956) + (xy 114.573945 95.437957) + (xy 114.7 95.457922) + (xy 114.826055 95.437957) + (xy 114.939771 95.380016) + (xy 115.030016 95.289771) + (xy 115.087957 95.176055) + (xy 115.107922 95.05) + (xy 122.842078 95.05) + (xy 122.862043 95.176056) + (xy 122.894508 95.239771) + (xy 122.919984 95.289771) + (xy 123.010229 95.380016) + (xy 123.123943 95.437956) + (xy 123.123945 95.437957) + (xy 123.25 95.457922) + (xy 123.376055 95.437957) + (xy 123.489771 95.380016) + (xy 123.580016 95.289771) + (xy 123.637957 95.176055) + (xy 123.650003 95.1) + (xy 125.892329 95.1) + (xy 125.911331 95.244337) + (xy 125.928828 95.286578) + (xy 125.967043 95.378836) + (xy 125.967044 95.378838) + (xy 125.967045 95.378839) + (xy 126.055666 95.494333) + (xy 126.154257 95.569984) + (xy 126.171164 95.582957) + (xy 126.305664 95.638669) + (xy 126.45 95.657671) + (xy 126.594336 95.638669) + (xy 126.728836 95.582957) + (xy 126.844333 95.494333) + (xy 126.932957 95.378836) + (xy 126.988669 95.244336) + (xy 127.007671 95.1) + (xy 126.988669 94.955664) + (xy 126.932957 94.821165) + (xy 126.931855 94.819729) + (xy 126.844333 94.705666) + (xy 126.728839 94.617045) + (xy 126.728838 94.617044) + (xy 126.728836 94.617043) + (xy 126.639443 94.580015) + (xy 126.594337 94.561331) + (xy 126.45 94.542329) + (xy 126.305662 94.561331) + (xy 126.194238 94.607485) + (xy 126.176098 94.615) + (xy 126.171163 94.617044) + (xy 126.171162 94.617044) + (xy 126.055666 94.705666) + (xy 125.967044 94.821162) + (xy 125.967044 94.821163) + (xy 125.911331 94.955662) + (xy 125.892329 95.1) + (xy 123.650003 95.1) + (xy 123.657922 95.05) + (xy 123.637957 94.923945) + (xy 123.580016 94.810229) + (xy 123.489771 94.719984) + (xy 123.376055 94.662043) + (xy 123.376057 94.662043) + (xy 123.25 94.642078) + (xy 123.123943 94.662043) + (xy 123.010228 94.719984) + (xy 122.919984 94.810228) + (xy 122.862043 94.923943) + (xy 122.842078 95.049999) + (xy 122.842078 95.05) + (xy 115.107922 95.05) + (xy 115.087957 94.923945) + (xy 115.030016 94.810229) + (xy 114.939771 94.719984) + (xy 114.826055 94.662043) + (xy 114.826057 94.662043) + (xy 114.7 94.642078) + (xy 114.573943 94.662043) + (xy 114.460228 94.719984) + (xy 114.369984 94.810228) + (xy 114.312043 94.923943) + (xy 114.292078 95.049999) + (xy 114.292078 95.05) + (xy 109.800019 95.05) + (xy 109.75 95.042078) + (xy 109.623943 95.062043) + (xy 109.510228 95.119984) + (xy 109.419984 95.210228) + (xy 109.362043 95.323943) + (xy 109.342078 95.449999) + (xy 109.342078 95.45) + (xy 103.250003 95.45) + (xy 103.257922 95.4) + (xy 103.256014 95.387956) + (xy 103.252297 95.364484) + (xy 103.237957 95.273945) + (xy 103.180016 95.160229) + (xy 103.089771 95.069984) + (xy 102.976055 95.012043) + (xy 102.976057 95.012043) + (xy 102.85 94.992078) + (xy 102.723943 95.012043) + (xy 102.610228 95.069984) + (xy 102.519984 95.160228) + (xy 102.462043 95.273943) + (xy 102.442078 95.399999) + (xy 102.442078 95.4) + (xy 97.000018 95.4) + (xy 97.076055 95.387957) + (xy 97.189771 95.330016) + (xy 97.280016 95.239771) + (xy 97.337957 95.126055) + (xy 97.357922 95) + (xy 97.342084 94.9) + (xy 98.242078 94.9) + (xy 98.262043 95.026056) + (xy 98.282502 95.066208) + (xy 98.319984 95.139771) + (xy 98.410229 95.230016) + (xy 98.523943 95.287956) + (xy 98.523945 95.287957) + (xy 98.65 95.307922) + (xy 98.776055 95.287957) + (xy 98.889771 95.230016) + (xy 98.980016 95.139771) + (xy 99.037957 95.026055) + (xy 99.057922 94.9) + (xy 99.242078 94.9) + (xy 99.262043 95.026056) + (xy 99.282502 95.066208) + (xy 99.319984 95.139771) + (xy 99.410229 95.230016) + (xy 99.523943 95.287956) + (xy 99.523945 95.287957) + (xy 99.65 95.307922) + (xy 99.776055 95.287957) + (xy 99.889771 95.230016) + (xy 99.980016 95.139771) + (xy 100.037957 95.026055) + (xy 100.057922 94.9) + (xy 100.057506 94.897376) + (xy 100.048748 94.842078) + (xy 100.037957 94.773945) + (xy 99.980016 94.660229) + (xy 99.889771 94.569984) + (xy 99.776055 94.512043) + (xy 99.776057 94.512043) + (xy 99.65 94.492078) + (xy 99.523943 94.512043) + (xy 99.410228 94.569984) + (xy 99.319984 94.660228) + (xy 99.262043 94.773943) + (xy 99.242078 94.899999) + (xy 99.242078 94.9) + (xy 99.057922 94.9) + (xy 99.057506 94.897376) + (xy 99.048748 94.842078) + (xy 99.037957 94.773945) + (xy 98.980016 94.660229) + (xy 98.889771 94.569984) + (xy 98.776055 94.512043) + (xy 98.776057 94.512043) + (xy 98.65 94.492078) + (xy 98.523943 94.512043) + (xy 98.410228 94.569984) + (xy 98.319984 94.660228) + (xy 98.262043 94.773943) + (xy 98.242078 94.899999) + (xy 98.242078 94.9) + (xy 97.342084 94.9) + (xy 97.337957 94.873945) + (xy 97.280016 94.760229) + (xy 97.189771 94.669984) + (xy 97.076055 94.612043) + (xy 97.076057 94.612043) + (xy 96.95 94.592078) + (xy 96.823943 94.612043) + (xy 96.710228 94.669984) + (xy 96.619984 94.760228) + (xy 96.562043 94.873943) + (xy 96.542078 94.999999) + (xy 96.542078 95) + (xy 96.269787 95) + (xy 96.189771 94.919984) + (xy 96.076055 94.862043) + (xy 96.076057 94.862043) + (xy 95.95 94.842078) + (xy 95.823943 94.862043) + (xy 95.710228 94.919984) + (xy 95.619984 95.010228) + (xy 95.562043 95.123943) + (xy 95.542078 95.249999) + (xy 95.542078 95.25) + (xy 91.750018 95.25) + (xy 91.826055 95.237957) + (xy 91.939771 95.180016) + (xy 92.030016 95.089771) + (xy 92.087957 94.976055) + (xy 92.107922 94.85) + (xy 92.087957 94.723945) + (xy 92.030016 94.610229) + (xy 91.939771 94.519984) + (xy 91.826055 94.462043) + (xy 91.826057 94.462043) + (xy 91.7 94.442078) + (xy 91.573943 94.462043) + (xy 91.460228 94.519984) + (xy 91.369984 94.610228) + (xy 91.312043 94.723943) + (xy 91.292078 94.849999) + (xy 91.292078 94.85) + (xy 86.757922 94.85) + (xy 86.737957 94.723945) + (xy 86.680016 94.610229) + (xy 86.589771 94.519984) + (xy 86.476055 94.462043) + (xy 86.476057 94.462043) + (xy 86.35 94.442078) + (xy 86.223943 94.462043) + (xy 86.110228 94.519984) + (xy 86.019984 94.610228) + (xy 85.962043 94.723943) + (xy 85.942078 94.849999) + (xy 85.942078 94.85) + (xy 62.44844 94.85) + (xy 62.433016 94.819729) + (xy 62.342771 94.729484) + (xy 62.229055 94.671543) + (xy 62.229057 94.671543) + (xy 62.103 94.651578) + (xy 61.976943 94.671543) + (xy 61.863228 94.729484) + (xy 61.772984 94.819728) + (xy 61.715043 94.933443) + (xy 61.695078 95.059499) + (xy 61.695078 95.0595) + (xy 56.795922 95.0595) + (xy 56.775957 94.933445) + (xy 56.718016 94.819729) + (xy 56.627771 94.729484) + (xy 56.514055 94.671543) + (xy 56.514057 94.671543) + (xy 56.388 94.651578) + (xy 56.261943 94.671543) + (xy 56.148228 94.729484) + (xy 56.057984 94.819728) + (xy 56.000043 94.933443) + (xy 55.980078 95.059499) + (xy 55.980078 95.0595) + (xy 54.245864 95.0595) + (xy 54.235957 94.996945) + (xy 54.178016 94.883229) + (xy 54.087771 94.792984) + (xy 53.974055 94.735043) + (xy 53.974057 94.735043) + (xy 53.848 94.715078) + (xy 53.721943 94.735043) + (xy 53.608228 94.792984) + (xy 53.517984 94.883228) + (xy 53.460043 94.996943) + (xy 53.440078 95.122999) + (xy 53.440078 95.123) + (xy 49.996486 95.123) + (xy 49.989023 95.071091) + (xy 49.988517 95.069984) + (xy 49.934921 94.952626) + (xy 49.934919 94.952623) + (xy 49.873304 94.881516) + (xy 49.849636 94.854201) + (xy 49.849635 94.8542) + (xy 49.849633 94.854198) + (xy 49.740073 94.78379) + (xy 49.615117 94.7471) + (xy 49.484883 94.7471) + (xy 49.359926 94.78379) + (xy 49.250366 94.854198) + (xy 49.16508 94.952623) + (xy 49.165078 94.952626) + (xy 49.110977 95.071088) + (xy 49.092443 95.2) + (xy 46.101 95.2) + (xy 46.101 94.65) + (xy 46.542443 94.65) + (xy 46.560977 94.778911) + (xy 46.615078 94.897373) + (xy 46.61508 94.897376) + (xy 46.700366 94.995801) + (xy 46.747445 95.026056) + (xy 46.799484 95.059499) + (xy 46.809926 95.066209) + (xy 46.934883 95.1029) + (xy 47.065117 95.1029) + (xy 47.190073 95.066209) + (xy 47.190073 95.066208) + (xy 47.190076 95.066208) + (xy 47.299636 94.995799) + (xy 47.384921 94.897374) + (xy 47.439023 94.778909) + (xy 47.457557 94.65) + (xy 47.452525 94.615) + (xy 50.265078 94.615) + (xy 50.285043 94.741056) + (xy 50.310629 94.791271) + (xy 50.342984 94.854771) + (xy 50.433229 94.945016) + (xy 50.546943 95.002956) + (xy 50.546945 95.002957) + (xy 50.673 95.022922) + (xy 50.799055 95.002957) + (xy 50.912771 94.945016) + (xy 51.003016 94.854771) + (xy 51.060957 94.741055) + (xy 51.080922 94.615) + (xy 51.070865 94.5515) + (xy 51.916078 94.5515) + (xy 51.936043 94.677556) + (xy 51.978167 94.760228) + (xy 51.993984 94.791271) + (xy 52.084229 94.881516) + (xy 52.197943 94.939456) + (xy 52.197945 94.939457) + (xy 52.324 94.959422) + (xy 52.450055 94.939457) + (xy 52.563771 94.881516) + (xy 52.654016 94.791271) + (xy 52.711957 94.677555) + (xy 52.731922 94.5515) + (xy 52.730469 94.542329) + (xy 52.72502 94.507922) + (xy 52.711957 94.425445) + (xy 52.673822 94.3506) + (xy 54.992078 94.3506) + (xy 55.012043 94.476656) + (xy 55.034684 94.521091) + (xy 55.069984 94.590371) + (xy 55.160229 94.680616) + (xy 55.273943 94.738556) + (xy 55.273945 94.738557) + (xy 55.4 94.758522) + (xy 55.526055 94.738557) + (xy 55.639771 94.680616) + (xy 55.730016 94.590371) + (xy 55.787957 94.476655) + (xy 55.807922 94.3506) + (xy 55.787957 94.224545) + (xy 55.730016 94.110829) + (xy 55.719187 94.1) + (xy 82.742078 94.1) + (xy 82.762043 94.226056) + (xy 82.796832 94.294333) + (xy 82.819984 94.339771) + (xy 82.910229 94.430016) + (xy 83.023943 94.487956) + (xy 83.023945 94.487957) + (xy 83.15 94.507922) + (xy 83.276055 94.487957) + (xy 83.389771 94.430016) + (xy 83.480016 94.339771) + (xy 83.537957 94.226055) + (xy 83.557922 94.1) + (xy 83.537957 93.973945) + (xy 83.525756 93.95) + (xy 84.242078 93.95) + (xy 84.262043 94.076056) + (xy 84.299719 94.149999) + (xy 84.319984 94.189771) + (xy 84.410229 94.280016) + (xy 84.523943 94.337956) + (xy 84.523945 94.337957) + (xy 84.65 94.357922) + (xy 84.776055 94.337957) + (xy 84.889771 94.280016) + (xy 84.919787 94.25) + (xy 113.642078 94.25) + (xy 113.662043 94.376056) + (xy 113.687207 94.425443) + (xy 113.719984 94.489771) + (xy 113.810229 94.580016) + (xy 113.923943 94.637956) + (xy 113.923945 94.637957) + (xy 114.05 94.657922) + (xy 114.176055 94.637957) + (xy 114.289771 94.580016) + (xy 114.380016 94.489771) + (xy 114.437957 94.376055) + (xy 114.457922 94.25) + (xy 122.192078 94.25) + (xy 122.212043 94.376056) + (xy 122.237207 94.425443) + (xy 122.269984 94.489771) + (xy 122.360229 94.580016) + (xy 122.473943 94.637956) + (xy 122.473945 94.637957) + (xy 122.6 94.657922) + (xy 122.726055 94.637957) + (xy 122.839771 94.580016) + (xy 122.930016 94.489771) + (xy 122.987957 94.376055) + (xy 123.007922 94.25) + (xy 122.992084 94.15) + (xy 125.192078 94.15) + (xy 125.212043 94.276056) + (xy 125.262995 94.376055) + (xy 125.269984 94.389771) + (xy 125.360229 94.480016) + (xy 125.473943 94.537956) + (xy 125.473945 94.537957) + (xy 125.6 94.557922) + (xy 125.726055 94.537957) + (xy 125.839771 94.480016) + (xy 125.930016 94.389771) + (xy 125.987957 94.276055) + (xy 126.007922 94.15) + (xy 125.987957 94.023945) + (xy 125.930016 93.910229) + (xy 125.919787 93.9) + (xy 126.892329 93.9) + (xy 126.911331 94.044337) + (xy 126.92447 94.076056) + (xy 126.967043 94.178836) + (xy 126.967044 94.178838) + (xy 126.967045 94.178839) + (xy 127.055666 94.294333) + (xy 127.161091 94.375228) + (xy 127.171164 94.382957) + (xy 127.305664 94.438669) + (xy 127.45 94.457671) + (xy 127.594336 94.438669) + (xy 127.728836 94.382957) + (xy 127.844333 94.294333) + (xy 127.932957 94.178836) + (xy 127.988669 94.044336) + (xy 128.007671 93.9) + (xy 127.988669 93.755664) + (xy 127.932957 93.621165) + (xy 127.915225 93.598056) + (xy 127.844333 93.505666) + (xy 127.728839 93.417045) + (xy 127.728838 93.417044) + (xy 127.728836 93.417043) + (xy 127.641891 93.381029) + (xy 127.594337 93.361331) + (xy 127.470289 93.345) + (xy 130.275078 93.345) + (xy 130.295043 93.471056) + (xy 130.352984 93.584771) + (xy 130.443229 93.675016) + (xy 130.556943 93.732956) + (xy 130.556945 93.732957) + (xy 130.683 93.752922) + (xy 130.809055 93.732957) + (xy 130.922771 93.675016) + (xy 131.013016 93.584771) + (xy 131.070957 93.471055) + (xy 131.090922 93.345) + (xy 135.355078 93.345) + (xy 135.375043 93.471056) + (xy 135.432984 93.584771) + (xy 135.523229 93.675016) + (xy 135.636943 93.732956) + (xy 135.636945 93.732957) + (xy 135.763 93.752922) + (xy 135.889055 93.732957) + (xy 136.002771 93.675016) + (xy 136.093016 93.584771) + (xy 136.150957 93.471055) + (xy 136.170922 93.345) + (xy 140.435078 93.345) + (xy 140.455043 93.471056) + (xy 140.512984 93.584771) + (xy 140.603229 93.675016) + (xy 140.716943 93.732956) + (xy 140.716945 93.732957) + (xy 140.843 93.752922) + (xy 140.969055 93.732957) + (xy 141.082771 93.675016) + (xy 141.173016 93.584771) + (xy 141.230957 93.471055) + (xy 141.250922 93.345) + (xy 141.230957 93.218945) + (xy 141.173016 93.105229) + (xy 141.082771 93.014984) + (xy 140.969055 92.957043) + (xy 140.969057 92.957043) + (xy 140.843 92.937078) + (xy 140.716943 92.957043) + (xy 140.603228 93.014984) + (xy 140.512984 93.105228) + (xy 140.455043 93.218943) + (xy 140.435078 93.344999) + (xy 140.435078 93.345) + (xy 136.170922 93.345) + (xy 136.150957 93.218945) + (xy 136.093016 93.105229) + (xy 136.002771 93.014984) + (xy 135.889055 92.957043) + (xy 135.889057 92.957043) + (xy 135.763 92.937078) + (xy 135.636943 92.957043) + (xy 135.523228 93.014984) + (xy 135.432984 93.105228) + (xy 135.375043 93.218943) + (xy 135.355078 93.344999) + (xy 135.355078 93.345) + (xy 131.090922 93.345) + (xy 131.070957 93.218945) + (xy 131.013016 93.105229) + (xy 130.922771 93.014984) + (xy 130.809055 92.957043) + (xy 130.809057 92.957043) + (xy 130.683 92.937078) + (xy 130.556943 92.957043) + (xy 130.443228 93.014984) + (xy 130.352984 93.105228) + (xy 130.295043 93.218943) + (xy 130.275078 93.344999) + (xy 130.275078 93.345) + (xy 127.470289 93.345) + (xy 127.45 93.342329) + (xy 127.305662 93.361331) + (xy 127.171163 93.417044) + (xy 127.171162 93.417044) + (xy 127.055666 93.505666) + (xy 126.967044 93.621162) + (xy 126.967044 93.621163) + (xy 126.911331 93.755662) + (xy 126.892329 93.9) + (xy 125.919787 93.9) + (xy 125.839771 93.819984) + (xy 125.726055 93.762043) + (xy 125.726057 93.762043) + (xy 125.6 93.742078) + (xy 125.473943 93.762043) + (xy 125.360228 93.819984) + (xy 125.269984 93.910228) + (xy 125.212043 94.023943) + (xy 125.192078 94.149999) + (xy 125.192078 94.15) + (xy 122.992084 94.15) + (xy 122.987957 94.123945) + (xy 122.930016 94.010229) + (xy 122.839771 93.919984) + (xy 122.726055 93.862043) + (xy 122.726057 93.862043) + (xy 122.6 93.842078) + (xy 122.473943 93.862043) + (xy 122.360228 93.919984) + (xy 122.269984 94.010228) + (xy 122.212043 94.123943) + (xy 122.192078 94.249999) + (xy 122.192078 94.25) + (xy 114.457922 94.25) + (xy 114.437957 94.123945) + (xy 114.380016 94.010229) + (xy 114.289771 93.919984) + (xy 114.176055 93.862043) + (xy 114.176057 93.862043) + (xy 114.05 93.842078) + (xy 113.923943 93.862043) + (xy 113.810228 93.919984) + (xy 113.719984 94.010228) + (xy 113.662043 94.123943) + (xy 113.642078 94.249999) + (xy 113.642078 94.25) + (xy 84.919787 94.25) + (xy 84.980016 94.189771) + (xy 85.037957 94.076055) + (xy 85.057922 93.95) + (xy 85.037957 93.823945) + (xy 84.980016 93.710229) + (xy 84.889771 93.619984) + (xy 84.776055 93.562043) + (xy 84.776057 93.562043) + (xy 84.65 93.542078) + (xy 84.523943 93.562043) + (xy 84.410228 93.619984) + (xy 84.319984 93.710228) + (xy 84.262043 93.823943) + (xy 84.242078 93.949999) + (xy 84.242078 93.95) + (xy 83.525756 93.95) + (xy 83.480016 93.860229) + (xy 83.389771 93.769984) + (xy 83.276055 93.712043) + (xy 83.276057 93.712043) + (xy 83.15 93.692078) + (xy 83.023943 93.712043) + (xy 82.910228 93.769984) + (xy 82.819984 93.860228) + (xy 82.762043 93.973943) + (xy 82.742078 94.099999) + (xy 82.742078 94.1) + (xy 55.719187 94.1) + (xy 55.639771 94.020584) + (xy 55.526055 93.962643) + (xy 55.526057 93.962643) + (xy 55.4 93.942678) + (xy 55.273943 93.962643) + (xy 55.160228 94.020584) + (xy 55.069984 94.110828) + (xy 55.012043 94.224543) + (xy 54.992078 94.350599) + (xy 54.992078 94.3506) + (xy 52.673822 94.3506) + (xy 52.654016 94.311729) + (xy 52.563771 94.221484) + (xy 52.450055 94.163543) + (xy 52.450057 94.163543) + (xy 52.324 94.143578) + (xy 52.197943 94.163543) + (xy 52.084228 94.221484) + (xy 51.993984 94.311728) + (xy 51.936043 94.425443) + (xy 51.916078 94.551499) + (xy 51.916078 94.5515) + (xy 51.070865 94.5515) + (xy 51.060957 94.488945) + (xy 51.003016 94.375229) + (xy 50.912771 94.284984) + (xy 50.799055 94.227043) + (xy 50.799057 94.227043) + (xy 50.673 94.207078) + (xy 50.546943 94.227043) + (xy 50.433228 94.284984) + (xy 50.342984 94.375228) + (xy 50.285043 94.488943) + (xy 50.265078 94.614999) + (xy 50.265078 94.615) + (xy 47.452525 94.615) + (xy 47.439023 94.521091) + (xy 47.438517 94.519984) + (xy 47.384921 94.402626) + (xy 47.384919 94.402623) + (xy 47.299633 94.304198) + (xy 47.190073 94.23379) + (xy 47.065117 94.1971) + (xy 46.934883 94.1971) + (xy 46.809926 94.23379) + (xy 46.700366 94.304198) + (xy 46.61508 94.402623) + (xy 46.615078 94.402626) + (xy 46.560977 94.521088) + (xy 46.542443 94.65) + (xy 46.101 94.65) + (xy 46.101 93.917481) + (xy 46.118593 93.869143) + (xy 46.163142 93.843423) + (xy 46.210341 93.850478) + (xy 46.228942 93.859956) + (xy 46.228943 93.859956) + (xy 46.228945 93.859957) + (xy 46.355 93.879922) + (xy 46.481055 93.859957) + (xy 46.594771 93.802016) + (xy 46.685016 93.711771) + (xy 46.742957 93.598055) + (xy 46.762922 93.472) + (xy 46.762772 93.471056) + (xy 46.749611 93.387957) + (xy 46.742957 93.345945) + (xy 46.742476 93.345) + (xy 49.566578 93.345) + (xy 49.586543 93.471056) + (xy 49.644484 93.584771) + (xy 49.734729 93.675016) + (xy 49.848443 93.732956) + (xy 49.848445 93.732957) + (xy 49.9745 93.752922) + (xy 50.100555 93.732957) + (xy 50.214271 93.675016) + (xy 50.304516 93.584771) + (xy 50.362457 93.471055) + (xy 50.382422 93.345) + (xy 64.235078 93.345) + (xy 64.255043 93.471056) + (xy 64.312984 93.584771) + (xy 64.403229 93.675016) + (xy 64.516943 93.732956) + (xy 64.516945 93.732957) + (xy 64.643 93.752922) + (xy 64.769055 93.732957) + (xy 64.882771 93.675016) + (xy 64.973016 93.584771) + (xy 65.030957 93.471055) + (xy 65.050922 93.345) + (xy 69.315078 93.345) + (xy 69.335043 93.471056) + (xy 69.392984 93.584771) + (xy 69.483229 93.675016) + (xy 69.596943 93.732956) + (xy 69.596945 93.732957) + (xy 69.723 93.752922) + (xy 69.849055 93.732957) + (xy 69.962771 93.675016) + (xy 70.053016 93.584771) + (xy 70.110957 93.471055) + (xy 70.114292 93.45) + (xy 114.292078 93.45) + (xy 114.312043 93.576056) + (xy 114.356109 93.66254) + (xy 114.369984 93.689771) + (xy 114.460229 93.780016) + (xy 114.573943 93.837956) + (xy 114.573945 93.837957) + (xy 114.7 93.857922) + (xy 114.826055 93.837957) + (xy 114.939771 93.780016) + (xy 115.030016 93.689771) + (xy 115.087957 93.576055) + (xy 115.107922 93.45) + (xy 121.542078 93.45) + (xy 121.562043 93.576056) + (xy 121.606109 93.66254) + (xy 121.619984 93.689771) + (xy 121.710229 93.780016) + (xy 121.823943 93.837956) + (xy 121.823945 93.837957) + (xy 121.95 93.857922) + (xy 122.076055 93.837957) + (xy 122.189771 93.780016) + (xy 122.280016 93.689771) + (xy 122.337957 93.576055) + (xy 122.357922 93.45) + (xy 122.337957 93.323945) + (xy 122.280016 93.210229) + (xy 122.189771 93.119984) + (xy 122.076055 93.062043) + (xy 122.076057 93.062043) + (xy 121.95 93.042078) + (xy 121.823943 93.062043) + (xy 121.710228 93.119984) + (xy 121.619984 93.210228) + (xy 121.562043 93.323943) + (xy 121.542078 93.449999) + (xy 121.542078 93.45) + (xy 115.107922 93.45) + (xy 115.087957 93.323945) + (xy 115.030016 93.210229) + (xy 114.939771 93.119984) + (xy 114.826055 93.062043) + (xy 114.826057 93.062043) + (xy 114.7 93.042078) + (xy 114.573943 93.062043) + (xy 114.460228 93.119984) + (xy 114.369984 93.210228) + (xy 114.312043 93.323943) + (xy 114.292078 93.449999) + (xy 114.292078 93.45) + (xy 70.114292 93.45) + (xy 70.130922 93.345) + (xy 70.110957 93.218945) + (xy 70.053016 93.105229) + (xy 69.962771 93.014984) + (xy 69.849055 92.957043) + (xy 69.849057 92.957043) + (xy 69.723 92.937078) + (xy 69.596943 92.957043) + (xy 69.483228 93.014984) + (xy 69.392984 93.105228) + (xy 69.335043 93.218943) + (xy 69.315078 93.344999) + (xy 69.315078 93.345) + (xy 65.050922 93.345) + (xy 65.030957 93.218945) + (xy 64.973016 93.105229) + (xy 64.882771 93.014984) + (xy 64.769055 92.957043) + (xy 64.769057 92.957043) + (xy 64.643 92.937078) + (xy 64.516943 92.957043) + (xy 64.403228 93.014984) + (xy 64.312984 93.105228) + (xy 64.255043 93.218943) + (xy 64.235078 93.344999) + (xy 64.235078 93.345) + (xy 50.382422 93.345) + (xy 50.362457 93.218945) + (xy 50.304516 93.105229) + (xy 50.214271 93.014984) + (xy 50.100555 92.957043) + (xy 50.100557 92.957043) + (xy 49.9745 92.937078) + (xy 49.848443 92.957043) + (xy 49.734728 93.014984) + (xy 49.644484 93.105228) + (xy 49.586543 93.218943) + (xy 49.566578 93.344999) + (xy 49.566578 93.345) + (xy 46.742476 93.345) + (xy 46.685016 93.232229) + (xy 46.594771 93.141984) + (xy 46.481055 93.084043) + (xy 46.481057 93.084043) + (xy 46.355 93.064078) + (xy 46.354999 93.064078) + (xy 46.309862 93.071227) + (xy 46.259367 93.061412) + (xy 46.226995 93.021436) + (xy 46.224359 92.982203) + (xy 46.226026 92.973865) + (xy 46.232501 92.954996) + (xy 46.259749 92.9005) + (xy 56.996078 92.9005) + (xy 57.016043 93.026556) + (xy 57.023952 93.042078) + (xy 57.073984 93.140271) + (xy 57.164229 93.230516) + (xy 57.277943 93.288456) + (xy 57.277945 93.288457) + (xy 57.404 93.308422) + (xy 57.530055 93.288457) + (xy 57.643771 93.230516) + (xy 57.734016 93.140271) + (xy 57.791957 93.026555) + (xy 57.811922 92.9005) + (xy 58.774078 92.9005) + (xy 58.794043 93.026556) + (xy 58.801952 93.042078) + (xy 58.851984 93.140271) + (xy 58.942229 93.230516) + (xy 59.055943 93.288456) + (xy 59.055945 93.288457) + (xy 59.182 93.308422) + (xy 59.308055 93.288457) + (xy 59.421771 93.230516) + (xy 59.512016 93.140271) + (xy 59.569957 93.026555) + (xy 59.589922 92.9005) + (xy 59.589843 92.9) + (xy 86.742078 92.9) + (xy 86.762043 93.026056) + (xy 86.802384 93.105229) + (xy 86.819984 93.139771) + (xy 86.910229 93.230016) + (xy 87.023943 93.287956) + (xy 87.023945 93.287957) + (xy 87.15 93.307922) + (xy 87.276055 93.287957) + (xy 87.389771 93.230016) + (xy 87.480016 93.139771) + (xy 87.537957 93.026055) + (xy 87.557922 92.9) + (xy 87.556014 92.887956) + (xy 87.553795 92.873943) + (xy 87.537957 92.773945) + (xy 87.480016 92.660229) + (xy 87.389771 92.569984) + (xy 87.35055 92.55) + (xy 88.892078 92.55) + (xy 88.912043 92.676056) + (xy 88.944508 92.739771) + (xy 88.969984 92.789771) + (xy 89.060229 92.880016) + (xy 89.173943 92.937956) + (xy 89.173945 92.937957) + (xy 89.3 92.957922) + (xy 89.426055 92.937957) + (xy 89.539771 92.880016) + (xy 89.630016 92.789771) + (xy 89.687957 92.676055) + (xy 89.700003 92.6) + (xy 91.192078 92.6) + (xy 91.212043 92.726056) + (xy 91.244508 92.789771) + (xy 91.269984 92.839771) + (xy 91.360229 92.930016) + (xy 91.473943 92.987956) + (xy 91.473945 92.987957) + (xy 91.6 93.007922) + (xy 91.726055 92.987957) + (xy 91.839771 92.930016) + (xy 91.930016 92.839771) + (xy 91.987957 92.726055) + (xy 92.007922 92.6) + (xy 92.00792 92.59999) + (xy 95.892078 92.59999) + (xy 95.912043 92.726046) + (xy 95.936448 92.773943) + (xy 95.969984 92.839761) + (xy 96.060229 92.930006) + (xy 96.173943 92.987946) + (xy 96.173945 92.987947) + (xy 96.3 93.007912) + (xy 96.426055 92.987947) + (xy 96.539771 92.930006) + (xy 96.630016 92.839761) + (xy 96.687957 92.726045) + (xy 96.70792 92.6) + (xy 98.292078 92.6) + (xy 98.312043 92.726056) + (xy 98.344508 92.789771) + (xy 98.369984 92.839771) + (xy 98.460229 92.930016) + (xy 98.573943 92.987956) + (xy 98.573945 92.987957) + (xy 98.7 93.007922) + (xy 98.826055 92.987957) + (xy 98.939771 92.930016) + (xy 99.030016 92.839771) + (xy 99.087957 92.726055) + (xy 99.107922 92.6) + (xy 99.642078 92.6) + (xy 99.662043 92.726056) + (xy 99.694508 92.789771) + (xy 99.719984 92.839771) + (xy 99.810229 92.930016) + (xy 99.923943 92.987956) + (xy 99.923945 92.987957) + (xy 100.05 93.007922) + (xy 100.100018 93) + (xy 100.742078 93) + (xy 100.762043 93.126056) + (xy 100.796406 93.193496) + (xy 100.819984 93.239771) + (xy 100.910229 93.330016) + (xy 101.023943 93.387956) + (xy 101.023945 93.387957) + (xy 101.15 93.407922) + (xy 101.276055 93.387957) + (xy 101.389771 93.330016) + (xy 101.480016 93.239771) + (xy 101.537957 93.126055) + (xy 101.557922 93) + (xy 101.556014 92.987956) + (xy 101.55262 92.966522) + (xy 101.537957 92.873945) + (xy 101.480016 92.760229) + (xy 101.389771 92.669984) + (xy 101.276055 92.612043) + (xy 101.276057 92.612043) + (xy 101.15 92.592078) + (xy 101.023943 92.612043) + (xy 100.910228 92.669984) + (xy 100.819984 92.760228) + (xy 100.762043 92.873943) + (xy 100.742078 92.999999) + (xy 100.742078 93) + (xy 100.100018 93) + (xy 100.176055 92.987957) + (xy 100.289771 92.930016) + (xy 100.380016 92.839771) + (xy 100.437957 92.726055) + (xy 100.457922 92.6) + (xy 100.45792 92.59999) + (xy 100.445876 92.523945) + (xy 100.442084 92.5) + (xy 111.342078 92.5) + (xy 111.362043 92.626056) + (xy 111.405686 92.71171) + (xy 111.419984 92.739771) + (xy 111.510229 92.830016) + (xy 111.623943 92.887956) + (xy 111.623945 92.887957) + (xy 111.75 92.907922) + (xy 111.876055 92.887957) + (xy 111.989771 92.830016) + (xy 112.080016 92.739771) + (xy 112.125756 92.65) + (xy 113.642078 92.65) + (xy 113.662043 92.776056) + (xy 113.694508 92.839771) + (xy 113.719984 92.889771) + (xy 113.810229 92.980016) + (xy 113.923943 93.037956) + (xy 113.923945 93.037957) + (xy 114.05 93.057922) + (xy 114.176055 93.037957) + (xy 114.289771 92.980016) + (xy 114.380016 92.889771) + (xy 114.437957 92.776055) + (xy 114.457922 92.65) + (xy 114.437957 92.523945) + (xy 114.380016 92.410229) + (xy 114.289771 92.319984) + (xy 114.176055 92.262043) + (xy 114.176057 92.262043) + (xy 114.05 92.242078) + (xy 113.923943 92.262043) + (xy 113.810228 92.319984) + (xy 113.719984 92.410228) + (xy 113.662043 92.523943) + (xy 113.642078 92.649999) + (xy 113.642078 92.65) + (xy 112.125756 92.65) + (xy 112.137957 92.626055) + (xy 112.157922 92.5) + (xy 112.156746 92.492578) + (xy 112.145876 92.423945) + (xy 112.137957 92.373945) + (xy 112.080016 92.260229) + (xy 111.989771 92.169984) + (xy 111.876055 92.112043) + (xy 111.876057 92.112043) + (xy 111.75 92.092078) + (xy 111.623943 92.112043) + (xy 111.510228 92.169984) + (xy 111.419984 92.260228) + (xy 111.362043 92.373943) + (xy 111.342078 92.499999) + (xy 111.342078 92.5) + (xy 100.442084 92.5) + (xy 100.437957 92.473945) + (xy 100.380016 92.360229) + (xy 100.289771 92.269984) + (xy 100.176055 92.212043) + (xy 100.176057 92.212043) + (xy 100.05 92.192078) + (xy 99.923943 92.212043) + (xy 99.810228 92.269984) + (xy 99.719984 92.360228) + (xy 99.662043 92.473943) + (xy 99.642078 92.599999) + (xy 99.642078 92.6) + (xy 99.107922 92.6) + (xy 99.10792 92.59999) + (xy 99.095876 92.523945) + (xy 99.087957 92.473945) + (xy 99.030016 92.360229) + (xy 98.939771 92.269984) + (xy 98.826055 92.212043) + (xy 98.826057 92.212043) + (xy 98.7 92.192078) + (xy 98.573943 92.212043) + (xy 98.460228 92.269984) + (xy 98.369984 92.360228) + (xy 98.312043 92.473943) + (xy 98.292078 92.599999) + (xy 98.292078 92.6) + (xy 96.70792 92.6) + (xy 96.707922 92.59999) + (xy 96.707213 92.595516) + (xy 96.699438 92.546422) + (xy 96.687957 92.473935) + (xy 96.630016 92.360219) + (xy 96.539771 92.269974) + (xy 96.426055 92.212033) + (xy 96.426057 92.212033) + (xy 96.3 92.192068) + (xy 96.173943 92.212033) + (xy 96.060228 92.269974) + (xy 95.969984 92.360218) + (xy 95.912043 92.473933) + (xy 95.892078 92.599989) + (xy 95.892078 92.59999) + (xy 92.00792 92.59999) + (xy 91.995876 92.523945) + (xy 91.987957 92.473945) + (xy 91.930016 92.360229) + (xy 91.839771 92.269984) + (xy 91.726055 92.212043) + (xy 91.726057 92.212043) + (xy 91.6 92.192078) + (xy 91.473943 92.212043) + (xy 91.360228 92.269984) + (xy 91.269984 92.360228) + (xy 91.212043 92.473943) + (xy 91.192078 92.599999) + (xy 91.192078 92.6) + (xy 89.700003 92.6) + (xy 89.707922 92.55) + (xy 89.687957 92.423945) + (xy 89.630016 92.310229) + (xy 89.539771 92.219984) + (xy 89.426055 92.162043) + (xy 89.426057 92.162043) + (xy 89.3 92.142078) + (xy 89.173943 92.162043) + (xy 89.060228 92.219984) + (xy 88.969984 92.310228) + (xy 88.912043 92.423943) + (xy 88.892078 92.549999) + (xy 88.892078 92.55) + (xy 87.35055 92.55) + (xy 87.276055 92.512043) + (xy 87.276057 92.512043) + (xy 87.15 92.492078) + (xy 87.023943 92.512043) + (xy 86.910228 92.569984) + (xy 86.819984 92.660228) + (xy 86.762043 92.773943) + (xy 86.742078 92.899999) + (xy 86.742078 92.9) + (xy 59.589843 92.9) + (xy 59.589766 92.899518) + (xy 59.585716 92.873943) + (xy 59.569957 92.774445) + (xy 59.512016 92.660729) + (xy 59.421771 92.570484) + (xy 59.308055 92.512543) + (xy 59.308057 92.512543) + (xy 59.182 92.492578) + (xy 59.055943 92.512543) + (xy 58.942228 92.570484) + (xy 58.851984 92.660728) + (xy 58.794043 92.774443) + (xy 58.774078 92.900499) + (xy 58.774078 92.9005) + (xy 57.811922 92.9005) + (xy 57.811766 92.899518) + (xy 57.807716 92.873943) + (xy 57.791957 92.774445) + (xy 57.734016 92.660729) + (xy 57.643771 92.570484) + (xy 57.530055 92.512543) + (xy 57.530057 92.512543) + (xy 57.404 92.492578) + (xy 57.277943 92.512543) + (xy 57.164228 92.570484) + (xy 57.073984 92.660728) + (xy 57.016043 92.774443) + (xy 56.996078 92.900499) + (xy 56.996078 92.9005) + (xy 46.259749 92.9005) + (xy 46.476544 92.46691) + (xy 46.49063 92.44737) + (xy 47.088 91.85) + (xy 49.942078 91.85) + (xy 49.962043 91.976056) + (xy 50.01445 92.078909) + (xy 50.019984 92.089771) + (xy 50.110229 92.180016) + (xy 50.223943 92.237956) + (xy 50.223945 92.237957) + (xy 50.35 92.257922) + (xy 50.476055 92.237957) + (xy 50.589771 92.180016) + (xy 50.680016 92.089771) + (xy 50.737957 91.976055) + (xy 50.757922 91.85) + (xy 50.737957 91.723945) + (xy 50.700586 91.6506) + (xy 51.692078 91.6506) + (xy 51.712043 91.776656) + (xy 51.749414 91.85) + (xy 51.769984 91.890371) + (xy 51.860229 91.980616) + (xy 51.973943 92.038556) + (xy 51.973945 92.038557) + (xy 52.1 92.058522) + (xy 52.226055 92.038557) + (xy 52.339771 91.980616) + (xy 52.430016 91.890371) + (xy 52.433007 91.8845) + (xy 57.885078 91.8845) + (xy 57.905043 92.010556) + (xy 57.958876 92.116208) + (xy 57.962984 92.124271) + (xy 58.053229 92.214516) + (xy 58.166943 92.272456) + (xy 58.166945 92.272457) + (xy 58.293 92.292422) + (xy 58.419055 92.272457) + (xy 58.432709 92.2655) + (xy 60.425078 92.2655) + (xy 60.445043 92.391556) + (xy 60.487022 92.473943) + (xy 60.502984 92.505271) + (xy 60.593229 92.595516) + (xy 60.706943 92.653456) + (xy 60.706945 92.653457) + (xy 60.833 92.673422) + (xy 60.959055 92.653457) + (xy 61.072771 92.595516) + (xy 61.163016 92.505271) + (xy 61.220957 92.391555) + (xy 61.240922 92.2655) + (xy 61.240772 92.264556) + (xy 61.229293 92.192078) + (xy 61.220957 92.139445) + (xy 61.220476 92.1385) + (xy 63.346078 92.1385) + (xy 63.366043 92.264556) + (xy 63.414786 92.360219) + (xy 63.423984 92.378271) + (xy 63.514229 92.468516) + (xy 63.627943 92.526456) + (xy 63.627945 92.526457) + (xy 63.754 92.546422) + (xy 63.880055 92.526457) + (xy 63.993771 92.468516) + (xy 64.084016 92.378271) + (xy 64.141957 92.264555) + (xy 64.161922 92.1385) + (xy 64.141957 92.012445) + (xy 64.084016 91.898729) + (xy 63.993771 91.808484) + (xy 63.880055 91.750543) + (xy 63.880057 91.750543) + (xy 63.754 91.730578) + (xy 63.627943 91.750543) + (xy 63.514228 91.808484) + (xy 63.423984 91.898728) + (xy 63.366043 92.012443) + (xy 63.346078 92.138499) + (xy 63.346078 92.1385) + (xy 61.220476 92.1385) + (xy 61.163016 92.025729) + (xy 61.072771 91.935484) + (xy 60.959055 91.877543) + (xy 60.959057 91.877543) + (xy 60.833 91.857578) + (xy 60.706943 91.877543) + (xy 60.593228 91.935484) + (xy 60.502984 92.025728) + (xy 60.445043 92.139443) + (xy 60.425078 92.265499) + (xy 60.425078 92.2655) + (xy 58.432709 92.2655) + (xy 58.532771 92.214516) + (xy 58.623016 92.124271) + (xy 58.680957 92.010555) + (xy 58.700922 91.8845) + (xy 58.680957 91.758445) + (xy 58.651178 91.7) + (xy 88.092443 91.7) + (xy 88.110977 91.828911) + (xy 88.165078 91.947373) + (xy 88.16508 91.947376) + (xy 88.250366 92.045801) + (xy 88.359926 92.116209) + (xy 88.484883 92.1529) + (xy 88.615117 92.1529) + (xy 88.740073 92.116209) + (xy 88.740073 92.116208) + (xy 88.740076 92.116208) + (xy 88.849636 92.045799) + (xy 88.934921 91.947374) + (xy 88.989023 91.828909) + (xy 89.007557 91.7) + (xy 95.092443 91.7) + (xy 95.110977 91.828911) + (xy 95.165078 91.947373) + (xy 95.16508 91.947376) + (xy 95.250366 92.045801) + (xy 95.359926 92.116209) + (xy 95.484883 92.1529) + (xy 95.615117 92.1529) + (xy 95.740073 92.116209) + (xy 95.740073 92.116208) + (xy 95.740076 92.116208) + (xy 95.849636 92.045799) + (xy 95.932646 91.95) + (xy 112.442443 91.95) + (xy 112.460977 92.078911) + (xy 112.515078 92.197373) + (xy 112.51508 92.197376) + (xy 112.600366 92.295801) + (xy 112.637997 92.319984) + (xy 112.70062 92.360229) + (xy 112.709926 92.366209) + (xy 112.834883 92.4029) + (xy 112.965117 92.4029) + (xy 113.090073 92.366209) + (xy 113.090073 92.366208) + (xy 113.090076 92.366208) + (xy 113.199636 92.295799) + (xy 113.284921 92.197374) + (xy 113.339023 92.078909) + (xy 113.357557 91.95) + (xy 113.339023 91.821091) + (xy 113.333922 91.809922) + (xy 113.284921 91.702626) + (xy 113.284919 91.702623) + (xy 113.239321 91.65) + (xy 122.592443 91.65) + (xy 122.610977 91.778911) + (xy 122.665078 91.897373) + (xy 122.66508 91.897376) + (xy 122.750366 91.995801) + (xy 122.859926 92.066209) + (xy 122.984883 92.1029) + (xy 123.115117 92.1029) + (xy 123.240073 92.066209) + (xy 123.240073 92.066208) + (xy 123.240076 92.066208) + (xy 123.349636 91.995799) + (xy 123.434921 91.897374) + (xy 123.489023 91.778909) + (xy 123.507557 91.65) + (xy 123.489023 91.521091) + (xy 123.488517 91.519984) + (xy 123.434921 91.402626) + (xy 123.434919 91.402623) + (xy 123.363832 91.320584) + (xy 123.349636 91.304201) + (xy 123.349635 91.3042) + (xy 123.349633 91.304198) + (xy 123.240073 91.23379) + (xy 123.115117 91.1971) + (xy 122.984883 91.1971) + (xy 122.859926 91.23379) + (xy 122.750366 91.304198) + (xy 122.66508 91.402623) + (xy 122.665078 91.402626) + (xy 122.610977 91.521088) + (xy 122.592443 91.65) + (xy 113.239321 91.65) + (xy 113.199633 91.604198) + (xy 113.090073 91.53379) + (xy 112.965117 91.4971) + (xy 112.834883 91.4971) + (xy 112.709926 91.53379) + (xy 112.600366 91.604198) + (xy 112.51508 91.702623) + (xy 112.515078 91.702626) + (xy 112.460977 91.821088) + (xy 112.442443 91.95) + (xy 95.932646 91.95) + (xy 95.934921 91.947374) + (xy 95.989023 91.828909) + (xy 96.007557 91.7) + (xy 95.989023 91.571091) + (xy 95.966188 91.521091) + (xy 95.934921 91.452626) + (xy 95.934919 91.452623) + (xy 95.849633 91.354198) + (xy 95.740073 91.28379) + (xy 95.615117 91.2471) + (xy 95.484883 91.2471) + (xy 95.359926 91.28379) + (xy 95.250366 91.354198) + (xy 95.16508 91.452623) + (xy 95.165078 91.452626) + (xy 95.110977 91.571088) + (xy 95.092443 91.7) + (xy 89.007557 91.7) + (xy 88.989023 91.571091) + (xy 88.966188 91.521091) + (xy 88.934921 91.452626) + (xy 88.934919 91.452623) + (xy 88.849633 91.354198) + (xy 88.740073 91.28379) + (xy 88.615117 91.2471) + (xy 88.484883 91.2471) + (xy 88.359926 91.28379) + (xy 88.250366 91.354198) + (xy 88.16508 91.452623) + (xy 88.165078 91.452626) + (xy 88.110977 91.571088) + (xy 88.092443 91.7) + (xy 58.651178 91.7) + (xy 58.623016 91.644729) + (xy 58.532771 91.554484) + (xy 58.419055 91.496543) + (xy 58.419057 91.496543) + (xy 58.293 91.476578) + (xy 58.166943 91.496543) + (xy 58.053228 91.554484) + (xy 57.962984 91.644728) + (xy 57.905043 91.758443) + (xy 57.885078 91.884499) + (xy 57.885078 91.8845) + (xy 52.433007 91.8845) + (xy 52.487957 91.776655) + (xy 52.507922 91.6506) + (xy 52.487957 91.524545) + (xy 52.430016 91.410829) + (xy 52.339771 91.320584) + (xy 52.226055 91.262643) + (xy 52.226057 91.262643) + (xy 52.1 91.242678) + (xy 51.973943 91.262643) + (xy 51.860228 91.320584) + (xy 51.769984 91.410828) + (xy 51.712043 91.524543) + (xy 51.692078 91.650599) + (xy 51.692078 91.6506) + (xy 50.700586 91.6506) + (xy 50.680016 91.610229) + (xy 50.589771 91.519984) + (xy 50.476055 91.462043) + (xy 50.476057 91.462043) + (xy 50.35 91.442078) + (xy 50.223943 91.462043) + (xy 50.110228 91.519984) + (xy 50.019984 91.610228) + (xy 49.962043 91.723943) + (xy 49.942078 91.849999) + (xy 49.942078 91.85) + (xy 47.088 91.85) + (xy 47.441457 91.496543) + (xy 48.428934 90.509065) + (xy 48.475553 90.487326) + (xy 48.52524 90.50064) + (xy 48.554745 90.542777) + (xy 48.550261 90.594021) + (xy 48.549111 90.59638) + (xy 48.507043 90.678943) + (xy 48.487078 90.804999) + (xy 48.487078 90.805) + (xy 48.507043 90.931056) + (xy 48.540834 90.997374) + (xy 48.564984 91.044771) + (xy 48.655229 91.135016) + (xy 48.768943 91.192956) + (xy 48.768945 91.192957) + (xy 48.895 91.212922) + (xy 49.021055 91.192957) + (xy 49.034709 91.186) + (xy 56.742078 91.186) + (xy 56.762043 91.312056) + (xy 56.808191 91.402626) + (xy 56.819984 91.425771) + (xy 56.910229 91.516016) + (xy 57.023943 91.573956) + (xy 57.023945 91.573957) + (xy 57.15 91.593922) + (xy 57.276055 91.573957) + (xy 57.389771 91.516016) + (xy 57.480016 91.425771) + (xy 57.537957 91.312055) + (xy 57.557922 91.186) + (xy 57.537957 91.059945) + (xy 57.480016 90.946229) + (xy 57.389771 90.855984) + (xy 57.276055 90.798043) + (xy 57.276057 90.798043) + (xy 57.15 90.778078) + (xy 57.023943 90.798043) + (xy 56.910228 90.855984) + (xy 56.819984 90.946228) + (xy 56.762043 91.059943) + (xy 56.742078 91.185999) + (xy 56.742078 91.186) + (xy 49.034709 91.186) + (xy 49.134771 91.135016) + (xy 49.225016 91.044771) + (xy 49.282957 90.931055) + (xy 49.302922 90.805) + (xy 49.282957 90.678945) + (xy 49.225016 90.565229) + (xy 49.134771 90.474984) + (xy 49.021055 90.417043) + (xy 49.021057 90.417043) + (xy 48.895 90.397078) + (xy 48.768943 90.417043) + (xy 48.68638 90.459111) + (xy 48.635323 90.46538) + (xy 48.592182 90.437363) + (xy 48.577143 90.388171) + (xy 48.597243 90.34082) + (xy 48.599037 90.338962) + (xy 48.640999 90.297) + (xy 51.598578 90.297) + (xy 51.618543 90.423056) + (xy 51.670255 90.524545) + (xy 51.676484 90.536771) + (xy 51.766729 90.627016) + (xy 51.880443 90.684956) + (xy 51.880445 90.684957) + (xy 52.0065 90.704922) + (xy 52.132555 90.684957) + (xy 52.199985 90.6506) + (xy 52.792078 90.6506) + (xy 52.812043 90.776656) + (xy 52.852463 90.855984) + (xy 52.869984 90.890371) + (xy 52.960229 90.980616) + (xy 53.073943 91.038556) + (xy 53.073945 91.038557) + (xy 53.2 91.058522) + (xy 53.326055 91.038557) + (xy 53.439771 90.980616) + (xy 53.530016 90.890371) + (xy 53.587957 90.776655) + (xy 53.607922 90.6506) + (xy 53.587957 90.524545) + (xy 53.569082 90.4875) + (xy 58.901078 90.4875) + (xy 58.921043 90.613556) + (xy 58.957424 90.684957) + (xy 58.978984 90.727271) + (xy 59.069229 90.817516) + (xy 59.182943 90.875456) + (xy 59.182945 90.875457) + (xy 59.309 90.895422) + (xy 59.435055 90.875457) + (xy 59.548771 90.817516) + (xy 59.561287 90.805) + (xy 61.695078 90.805) + (xy 61.715043 90.931056) + (xy 61.748834 90.997374) + (xy 61.772984 91.044771) + (xy 61.863229 91.135016) + (xy 61.976943 91.192956) + (xy 61.976945 91.192957) + (xy 62.103 91.212922) + (xy 62.229055 91.192957) + (xy 62.342771 91.135016) + (xy 62.433016 91.044771) + (xy 62.490957 90.931055) + (xy 62.510922 90.805) + (xy 66.775078 90.805) + (xy 66.795043 90.931056) + (xy 66.828834 90.997374) + (xy 66.852984 91.044771) + (xy 66.943229 91.135016) + (xy 67.056943 91.192956) + (xy 67.056945 91.192957) + (xy 67.183 91.212922) + (xy 67.309055 91.192957) + (xy 67.422771 91.135016) + (xy 67.513016 91.044771) + (xy 67.570957 90.931055) + (xy 67.590922 90.805) + (xy 71.855078 90.805) + (xy 71.875043 90.931056) + (xy 71.908834 90.997374) + (xy 71.932984 91.044771) + (xy 72.023229 91.135016) + (xy 72.136943 91.192956) + (xy 72.136945 91.192957) + (xy 72.263 91.212922) + (xy 72.389055 91.192957) + (xy 72.502771 91.135016) + (xy 72.593016 91.044771) + (xy 72.650957 90.931055) + (xy 72.670922 90.805) + (xy 72.67013 90.8) + (xy 89.092443 90.8) + (xy 89.110977 90.928911) + (xy 89.165078 91.047373) + (xy 89.16508 91.047376) + (xy 89.250366 91.145801) + (xy 89.359926 91.216209) + (xy 89.484883 91.2529) + (xy 89.615117 91.2529) + (xy 89.740073 91.216209) + (xy 89.740073 91.216208) + (xy 89.740076 91.216208) + (xy 89.849636 91.145799) + (xy 89.934921 91.047374) + (xy 89.989023 90.928909) + (xy 90.007557 90.8) + (xy 96.092443 90.8) + (xy 96.110977 90.928911) + (xy 96.165078 91.047373) + (xy 96.16508 91.047376) + (xy 96.250366 91.145801) + (xy 96.359926 91.216209) + (xy 96.484883 91.2529) + (xy 96.615117 91.2529) + (xy 96.740073 91.216209) + (xy 96.740073 91.216208) + (xy 96.740076 91.216208) + (xy 96.849636 91.145799) + (xy 96.934921 91.047374) + (xy 96.989023 90.928909) + (xy 97.006838 90.805) + (xy 102.335078 90.805) + (xy 102.355043 90.931056) + (xy 102.388834 90.997374) + (xy 102.412984 91.044771) + (xy 102.503229 91.135016) + (xy 102.616943 91.192956) + (xy 102.616945 91.192957) + (xy 102.743 91.212922) + (xy 102.869055 91.192957) + (xy 102.982771 91.135016) + (xy 103.073016 91.044771) + (xy 103.130957 90.931055) + (xy 103.150922 90.805) + (xy 107.415078 90.805) + (xy 107.435043 90.931056) + (xy 107.468834 90.997374) + (xy 107.492984 91.044771) + (xy 107.583229 91.135016) + (xy 107.696943 91.192956) + (xy 107.696945 91.192957) + (xy 107.823 91.212922) + (xy 107.949055 91.192957) + (xy 108.062771 91.135016) + (xy 108.153016 91.044771) + (xy 108.210957 90.931055) + (xy 108.230922 90.805) + (xy 108.222211 90.75) + (xy 111.592443 90.75) + (xy 111.610977 90.878911) + (xy 111.665078 90.997373) + (xy 111.66508 90.997376) + (xy 111.750366 91.095801) + (xy 111.859926 91.166209) + (xy 111.984883 91.2029) + (xy 112.115117 91.2029) + (xy 112.240073 91.166209) + (xy 112.240073 91.166208) + (xy 112.240076 91.166208) + (xy 112.349636 91.095799) + (xy 112.434921 90.997374) + (xy 112.489023 90.878909) + (xy 112.499649 90.805) + (xy 117.575078 90.805) + (xy 117.595043 90.931056) + (xy 117.628834 90.997374) + (xy 117.652984 91.044771) + (xy 117.743229 91.135016) + (xy 117.856943 91.192956) + (xy 117.856945 91.192957) + (xy 117.983 91.212922) + (xy 118.109055 91.192957) + (xy 118.222771 91.135016) + (xy 118.313016 91.044771) + (xy 118.370957 90.931055) + (xy 118.390922 90.805) + (xy 118.382211 90.75) + (xy 121.592443 90.75) + (xy 121.610977 90.878911) + (xy 121.665078 90.997373) + (xy 121.66508 90.997376) + (xy 121.750366 91.095801) + (xy 121.859926 91.166209) + (xy 121.984883 91.2029) + (xy 122.115117 91.2029) + (xy 122.240073 91.166209) + (xy 122.240073 91.166208) + (xy 122.240076 91.166208) + (xy 122.349636 91.095799) + (xy 122.434921 90.997374) + (xy 122.489023 90.878909) + (xy 122.499649 90.805) + (xy 127.735078 90.805) + (xy 127.755043 90.931056) + (xy 127.788834 90.997374) + (xy 127.812984 91.044771) + (xy 127.903229 91.135016) + (xy 128.016943 91.192956) + (xy 128.016945 91.192957) + (xy 128.143 91.212922) + (xy 128.269055 91.192957) + (xy 128.382771 91.135016) + (xy 128.473016 91.044771) + (xy 128.530957 90.931055) + (xy 128.550922 90.805) + (xy 132.815078 90.805) + (xy 132.835043 90.931056) + (xy 132.868834 90.997374) + (xy 132.892984 91.044771) + (xy 132.983229 91.135016) + (xy 133.096943 91.192956) + (xy 133.096945 91.192957) + (xy 133.223 91.212922) + (xy 133.349055 91.192957) + (xy 133.462771 91.135016) + (xy 133.553016 91.044771) + (xy 133.610476 90.932) + (xy 134.720078 90.932) + (xy 134.740043 91.058056) + (xy 134.79515 91.166209) + (xy 134.797984 91.171771) + (xy 134.888229 91.262016) + (xy 135.001943 91.319956) + (xy 135.001945 91.319957) + (xy 135.128 91.339922) + (xy 135.254055 91.319957) + (xy 135.367771 91.262016) + (xy 135.458016 91.171771) + (xy 135.515957 91.058055) + (xy 135.535922 90.932) + (xy 135.990078 90.932) + (xy 136.010043 91.058056) + (xy 136.06515 91.166209) + (xy 136.067984 91.171771) + (xy 136.158229 91.262016) + (xy 136.271943 91.319956) + (xy 136.271945 91.319957) + (xy 136.398 91.339922) + (xy 136.524055 91.319957) + (xy 136.637771 91.262016) + (xy 136.728016 91.171771) + (xy 136.785957 91.058055) + (xy 136.805922 90.932) + (xy 136.805772 90.931056) + (xy 136.799329 90.890371) + (xy 136.785957 90.805945) + (xy 136.785476 90.805) + (xy 137.895078 90.805) + (xy 137.915043 90.931056) + (xy 137.948834 90.997374) + (xy 137.972984 91.044771) + (xy 138.063229 91.135016) + (xy 138.176943 91.192956) + (xy 138.176945 91.192957) + (xy 138.303 91.212922) + (xy 138.429055 91.192957) + (xy 138.542771 91.135016) + (xy 138.633016 91.044771) + (xy 138.690957 90.931055) + (xy 138.710922 90.805) + (xy 142.975078 90.805) + (xy 142.995043 90.931056) + (xy 143.028834 90.997374) + (xy 143.052984 91.044771) + (xy 143.143229 91.135016) + (xy 143.256943 91.192956) + (xy 143.256945 91.192957) + (xy 143.383 91.212922) + (xy 143.509055 91.192957) + (xy 143.622771 91.135016) + (xy 143.713016 91.044771) + (xy 143.770957 90.931055) + (xy 143.790922 90.805) + (xy 143.770957 90.678945) + (xy 143.713016 90.565229) + (xy 143.622771 90.474984) + (xy 143.509055 90.417043) + (xy 143.509057 90.417043) + (xy 143.383 90.397078) + (xy 143.256943 90.417043) + (xy 143.143228 90.474984) + (xy 143.052984 90.565228) + (xy 142.995043 90.678943) + (xy 142.975078 90.804999) + (xy 142.975078 90.805) + (xy 138.710922 90.805) + (xy 138.690957 90.678945) + (xy 138.633016 90.565229) + (xy 138.542771 90.474984) + (xy 138.429055 90.417043) + (xy 138.429057 90.417043) + (xy 138.303 90.397078) + (xy 138.176943 90.417043) + (xy 138.063228 90.474984) + (xy 137.972984 90.565228) + (xy 137.915043 90.678943) + (xy 137.895078 90.804999) + (xy 137.895078 90.805) + (xy 136.785476 90.805) + (xy 136.728016 90.692229) + (xy 136.637771 90.601984) + (xy 136.524055 90.544043) + (xy 136.524057 90.544043) + (xy 136.398 90.524078) + (xy 136.271943 90.544043) + (xy 136.158228 90.601984) + (xy 136.067984 90.692228) + (xy 136.010043 90.805943) + (xy 135.990078 90.931999) + (xy 135.990078 90.932) + (xy 135.535922 90.932) + (xy 135.535772 90.931056) + (xy 135.529329 90.890371) + (xy 135.515957 90.805945) + (xy 135.458016 90.692229) + (xy 135.367771 90.601984) + (xy 135.254055 90.544043) + (xy 135.254057 90.544043) + (xy 135.128 90.524078) + (xy 135.001943 90.544043) + (xy 134.888228 90.601984) + (xy 134.797984 90.692228) + (xy 134.740043 90.805943) + (xy 134.720078 90.931999) + (xy 134.720078 90.932) + (xy 133.610476 90.932) + (xy 133.610957 90.931055) + (xy 133.630922 90.805) + (xy 133.610957 90.678945) + (xy 133.553016 90.565229) + (xy 133.462771 90.474984) + (xy 133.349055 90.417043) + (xy 133.349057 90.417043) + (xy 133.223 90.397078) + (xy 133.096943 90.417043) + (xy 132.983228 90.474984) + (xy 132.892984 90.565228) + (xy 132.835043 90.678943) + (xy 132.815078 90.804999) + (xy 132.815078 90.805) + (xy 128.550922 90.805) + (xy 128.530957 90.678945) + (xy 128.473016 90.565229) + (xy 128.382771 90.474984) + (xy 128.269055 90.417043) + (xy 128.269057 90.417043) + (xy 128.143 90.397078) + (xy 128.016943 90.417043) + (xy 127.903228 90.474984) + (xy 127.812984 90.565228) + (xy 127.755043 90.678943) + (xy 127.735078 90.804999) + (xy 127.735078 90.805) + (xy 122.499649 90.805) + (xy 122.507557 90.75) + (xy 122.489023 90.621091) + (xy 122.485581 90.613555) + (xy 122.434921 90.502626) + (xy 122.434919 90.502623) + (xy 122.365973 90.423055) + (xy 122.349636 90.404201) + (xy 122.349635 90.4042) + (xy 122.349633 90.404198) + (xy 122.240073 90.33379) + (xy 122.115117 90.2971) + (xy 121.984883 90.2971) + (xy 121.859926 90.33379) + (xy 121.750366 90.404198) + (xy 121.66508 90.502623) + (xy 121.665078 90.502626) + (xy 121.610977 90.621088) + (xy 121.592443 90.75) + (xy 118.382211 90.75) + (xy 118.370957 90.678945) + (xy 118.313016 90.565229) + (xy 118.222771 90.474984) + (xy 118.109055 90.417043) + (xy 118.109057 90.417043) + (xy 117.983 90.397078) + (xy 117.856943 90.417043) + (xy 117.743228 90.474984) + (xy 117.652984 90.565228) + (xy 117.595043 90.678943) + (xy 117.575078 90.804999) + (xy 117.575078 90.805) + (xy 112.499649 90.805) + (xy 112.507557 90.75) + (xy 112.489023 90.621091) + (xy 112.485581 90.613555) + (xy 112.434921 90.502626) + (xy 112.434919 90.502623) + (xy 112.365973 90.423055) + (xy 112.349636 90.404201) + (xy 112.349635 90.4042) + (xy 112.349633 90.404198) + (xy 112.240073 90.33379) + (xy 112.115117 90.2971) + (xy 111.984883 90.2971) + (xy 111.859926 90.33379) + (xy 111.750366 90.404198) + (xy 111.66508 90.502623) + (xy 111.665078 90.502626) + (xy 111.610977 90.621088) + (xy 111.592443 90.75) + (xy 108.222211 90.75) + (xy 108.210957 90.678945) + (xy 108.153016 90.565229) + (xy 108.062771 90.474984) + (xy 107.949055 90.417043) + (xy 107.949057 90.417043) + (xy 107.823 90.397078) + (xy 107.696943 90.417043) + (xy 107.583228 90.474984) + (xy 107.492984 90.565228) + (xy 107.435043 90.678943) + (xy 107.415078 90.804999) + (xy 107.415078 90.805) + (xy 103.150922 90.805) + (xy 103.130957 90.678945) + (xy 103.073016 90.565229) + (xy 102.982771 90.474984) + (xy 102.869055 90.417043) + (xy 102.869057 90.417043) + (xy 102.743 90.397078) + (xy 102.616943 90.417043) + (xy 102.503228 90.474984) + (xy 102.412984 90.565228) + (xy 102.355043 90.678943) + (xy 102.335078 90.804999) + (xy 102.335078 90.805) + (xy 97.006838 90.805) + (xy 97.007557 90.8) + (xy 96.989023 90.671091) + (xy 96.979664 90.650599) + (xy 96.934921 90.552626) + (xy 96.934919 90.552623) + (xy 96.867644 90.474984) + (xy 96.849636 90.454201) + (xy 96.849635 90.4542) + (xy 96.849633 90.454198) + (xy 96.740073 90.38379) + (xy 96.615117 90.3471) + (xy 96.484883 90.3471) + (xy 96.359926 90.38379) + (xy 96.250366 90.454198) + (xy 96.16508 90.552623) + (xy 96.165078 90.552626) + (xy 96.110977 90.671088) + (xy 96.092443 90.8) + (xy 90.007557 90.8) + (xy 89.989023 90.671091) + (xy 89.979664 90.650599) + (xy 89.934921 90.552626) + (xy 89.934919 90.552623) + (xy 89.867644 90.474984) + (xy 89.849636 90.454201) + (xy 89.849635 90.4542) + (xy 89.849633 90.454198) + (xy 89.740073 90.38379) + (xy 89.615117 90.3471) + (xy 89.484883 90.3471) + (xy 89.359926 90.38379) + (xy 89.250366 90.454198) + (xy 89.16508 90.552623) + (xy 89.165078 90.552626) + (xy 89.110977 90.671088) + (xy 89.092443 90.8) + (xy 72.67013 90.8) + (xy 72.650957 90.678945) + (xy 72.593016 90.565229) + (xy 72.502771 90.474984) + (xy 72.389055 90.417043) + (xy 72.389057 90.417043) + (xy 72.263 90.397078) + (xy 72.136943 90.417043) + (xy 72.023228 90.474984) + (xy 71.932984 90.565228) + (xy 71.875043 90.678943) + (xy 71.855078 90.804999) + (xy 71.855078 90.805) + (xy 67.590922 90.805) + (xy 67.570957 90.678945) + (xy 67.513016 90.565229) + (xy 67.422771 90.474984) + (xy 67.309055 90.417043) + (xy 67.309057 90.417043) + (xy 67.183 90.397078) + (xy 67.056943 90.417043) + (xy 66.943228 90.474984) + (xy 66.852984 90.565228) + (xy 66.795043 90.678943) + (xy 66.775078 90.804999) + (xy 66.775078 90.805) + (xy 62.510922 90.805) + (xy 62.490957 90.678945) + (xy 62.433016 90.565229) + (xy 62.342771 90.474984) + (xy 62.229055 90.417043) + (xy 62.229057 90.417043) + (xy 62.103 90.397078) + (xy 61.976943 90.417043) + (xy 61.863228 90.474984) + (xy 61.772984 90.565228) + (xy 61.715043 90.678943) + (xy 61.695078 90.804999) + (xy 61.695078 90.805) + (xy 59.561287 90.805) + (xy 59.639016 90.727271) + (xy 59.696957 90.613555) + (xy 59.716922 90.4875) + (xy 59.716894 90.487326) + (xy 59.711648 90.454201) + (xy 59.696957 90.361445) + (xy 59.639016 90.247729) + (xy 59.548771 90.157484) + (xy 59.435055 90.099543) + (xy 59.435057 90.099543) + (xy 59.309 90.079578) + (xy 59.182943 90.099543) + (xy 59.069228 90.157484) + (xy 58.978984 90.247728) + (xy 58.921043 90.361443) + (xy 58.901078 90.487499) + (xy 58.901078 90.4875) + (xy 53.569082 90.4875) + (xy 53.530016 90.410829) + (xy 53.439771 90.320584) + (xy 53.326055 90.262643) + (xy 53.326057 90.262643) + (xy 53.2 90.242678) + (xy 53.073943 90.262643) + (xy 52.960228 90.320584) + (xy 52.869984 90.410828) + (xy 52.812043 90.524543) + (xy 52.792078 90.650599) + (xy 52.792078 90.6506) + (xy 52.199985 90.6506) + (xy 52.246271 90.627016) + (xy 52.336516 90.536771) + (xy 52.394457 90.423055) + (xy 52.414422 90.297) + (xy 52.394457 90.170945) + (xy 52.336516 90.057229) + (xy 52.246271 89.966984) + (xy 52.146209 89.916) + (xy 56.107078 89.916) + (xy 56.127043 90.042056) + (xy 56.146162 90.079578) + (xy 56.184984 90.155771) + (xy 56.275229 90.246016) + (xy 56.388943 90.303956) + (xy 56.388945 90.303957) + (xy 56.515 90.323922) + (xy 56.641055 90.303957) + (xy 56.754771 90.246016) + (xy 56.845016 90.155771) + (xy 56.902957 90.042055) + (xy 56.922922 89.916) + (xy 56.912469 89.85) + (xy 112.592443 89.85) + (xy 112.610977 89.978911) + (xy 112.665078 90.097373) + (xy 112.66508 90.097376) + (xy 112.750366 90.195801) + (xy 112.859926 90.266209) + (xy 112.984883 90.3029) + (xy 113.115117 90.3029) + (xy 113.240073 90.266209) + (xy 113.240073 90.266208) + (xy 113.240076 90.266208) + (xy 113.349636 90.195799) + (xy 113.434921 90.097374) + (xy 113.489023 89.978909) + (xy 113.507557 89.85) + (xy 122.592443 89.85) + (xy 122.610977 89.978911) + (xy 122.665078 90.097373) + (xy 122.66508 90.097376) + (xy 122.750366 90.195801) + (xy 122.859926 90.266209) + (xy 122.984883 90.3029) + (xy 123.115117 90.3029) + (xy 123.240073 90.266209) + (xy 123.240073 90.266208) + (xy 123.240076 90.266208) + (xy 123.349636 90.195799) + (xy 123.434921 90.097374) + (xy 123.489023 89.978909) + (xy 123.507557 89.85) + (xy 123.489023 89.721091) + (xy 123.468534 89.676228) + (xy 123.434921 89.602626) + (xy 123.434919 89.602623) + (xy 123.349633 89.504198) + (xy 123.240073 89.43379) + (xy 123.115117 89.3971) + (xy 122.984883 89.3971) + (xy 122.859926 89.43379) + (xy 122.750366 89.504198) + (xy 122.66508 89.602623) + (xy 122.665078 89.602626) + (xy 122.610977 89.721088) + (xy 122.592443 89.85) + (xy 113.507557 89.85) + (xy 113.489023 89.721091) + (xy 113.468534 89.676228) + (xy 113.434921 89.602626) + (xy 113.434919 89.602623) + (xy 113.349633 89.504198) + (xy 113.240073 89.43379) + (xy 113.115117 89.3971) + (xy 112.984883 89.3971) + (xy 112.859926 89.43379) + (xy 112.750366 89.504198) + (xy 112.66508 89.602623) + (xy 112.665078 89.602626) + (xy 112.610977 89.721088) + (xy 112.592443 89.85) + (xy 56.912469 89.85) + (xy 56.902957 89.789945) + (xy 56.845016 89.676229) + (xy 56.754771 89.585984) + (xy 56.641055 89.528043) + (xy 56.641057 89.528043) + (xy 56.515 89.508078) + (xy 56.388943 89.528043) + (xy 56.275228 89.585984) + (xy 56.184984 89.676228) + (xy 56.127043 89.789943) + (xy 56.107078 89.915999) + (xy 56.107078 89.916) + (xy 52.146209 89.916) + (xy 52.132555 89.909043) + (xy 52.132557 89.909043) + (xy 52.0065 89.889078) + (xy 51.880443 89.909043) + (xy 51.766728 89.966984) + (xy 51.676484 90.057228) + (xy 51.618543 90.170943) + (xy 51.598578 90.296999) + (xy 51.598578 90.297) + (xy 48.640999 90.297) + (xy 49.837399 89.1006) + (xy 53.492078 89.1006) + (xy 53.512043 89.226656) + (xy 53.569984 89.340371) + (xy 53.660229 89.430616) + (xy 53.773943 89.488556) + (xy 53.773945 89.488557) + (xy 53.9 89.508522) + (xy 54.026055 89.488557) + (xy 54.139771 89.430616) + (xy 54.230016 89.340371) + (xy 54.287957 89.226655) + (xy 54.307922 89.1006) + (xy 54.287957 88.974545) + (xy 54.230016 88.860829) + (xy 54.142187 88.773) + (xy 55.027578 88.773) + (xy 55.047543 88.899056) + (xy 55.086006 88.974543) + (xy 55.105484 89.012771) + (xy 55.195729 89.103016) + (xy 55.309443 89.160956) + (xy 55.309445 89.160957) + (xy 55.4355 89.180922) + (xy 55.561555 89.160957) + (xy 55.675271 89.103016) + (xy 55.765516 89.012771) + (xy 55.823457 88.899055) + (xy 55.843422 88.773) + (xy 55.823457 88.646945) + (xy 55.765516 88.533229) + (xy 55.675271 88.442984) + (xy 55.561555 88.385043) + (xy 55.561557 88.385043) + (xy 55.4355 88.365078) + (xy 55.309443 88.385043) + (xy 55.195728 88.442984) + (xy 55.105484 88.533228) + (xy 55.047543 88.646943) + (xy 55.027578 88.772999) + (xy 55.027578 88.773) + (xy 54.142187 88.773) + (xy 54.139771 88.770584) + (xy 54.026055 88.712643) + (xy 54.026057 88.712643) + (xy 53.9 88.692678) + (xy 53.773943 88.712643) + (xy 53.660228 88.770584) + (xy 53.569984 88.860828) + (xy 53.512043 88.974543) + (xy 53.492078 89.100599) + (xy 53.492078 89.1006) + (xy 49.837399 89.1006) + (xy 50.968934 87.969065) + (xy 51.015553 87.947326) + (xy 51.06524 87.96064) + (xy 51.094745 88.002777) + (xy 51.090261 88.054021) + (xy 51.089111 88.05638) + (xy 51.047043 88.138943) + (xy 51.027078 88.264999) + (xy 51.027078 88.265) + (xy 51.047043 88.391056) + (xy 51.104984 88.504771) + (xy 51.195229 88.595016) + (xy 51.308943 88.652956) + (xy 51.308945 88.652957) + (xy 51.435 88.672922) + (xy 51.561055 88.652957) + (xy 51.674771 88.595016) + (xy 51.765016 88.504771) + (xy 51.822957 88.391055) + (xy 51.842922 88.265) + (xy 59.155078 88.265) + (xy 59.175043 88.391056) + (xy 59.232984 88.504771) + (xy 59.323229 88.595016) + (xy 59.436943 88.652956) + (xy 59.436945 88.652957) + (xy 59.563 88.672922) + (xy 59.689055 88.652957) + (xy 59.802771 88.595016) + (xy 59.893016 88.504771) + (xy 59.950957 88.391055) + (xy 59.970922 88.265) + (xy 64.235078 88.265) + (xy 64.255043 88.391056) + (xy 64.312984 88.504771) + (xy 64.403229 88.595016) + (xy 64.516943 88.652956) + (xy 64.516945 88.652957) + (xy 64.643 88.672922) + (xy 64.769055 88.652957) + (xy 64.882771 88.595016) + (xy 64.973016 88.504771) + (xy 65.030957 88.391055) + (xy 65.050922 88.265) + (xy 69.315078 88.265) + (xy 69.335043 88.391056) + (xy 69.392984 88.504771) + (xy 69.483229 88.595016) + (xy 69.596943 88.652956) + (xy 69.596945 88.652957) + (xy 69.723 88.672922) + (xy 69.849055 88.652957) + (xy 69.962771 88.595016) + (xy 70.053016 88.504771) + (xy 70.110957 88.391055) + (xy 70.130922 88.265) + (xy 74.395078 88.265) + (xy 74.415043 88.391056) + (xy 74.472984 88.504771) + (xy 74.563229 88.595016) + (xy 74.676943 88.652956) + (xy 74.676945 88.652957) + (xy 74.803 88.672922) + (xy 74.929055 88.652957) + (xy 75.042771 88.595016) + (xy 75.133016 88.504771) + (xy 75.190957 88.391055) + (xy 75.210922 88.265) + (xy 79.475078 88.265) + (xy 79.495043 88.391056) + (xy 79.552984 88.504771) + (xy 79.643229 88.595016) + (xy 79.756943 88.652956) + (xy 79.756945 88.652957) + (xy 79.883 88.672922) + (xy 80.009055 88.652957) + (xy 80.122771 88.595016) + (xy 80.213016 88.504771) + (xy 80.270476 88.392) + (xy 84.555078 88.392) + (xy 84.575043 88.518056) + (xy 84.614256 88.595015) + (xy 84.632984 88.631771) + (xy 84.723229 88.722016) + (xy 84.836943 88.779956) + (xy 84.836945 88.779957) + (xy 84.963 88.799922) + (xy 85.089055 88.779957) + (xy 85.202771 88.722016) + (xy 85.293016 88.631771) + (xy 85.350957 88.518055) + (xy 85.370922 88.392) + (xy 85.370772 88.391056) + (xy 85.366658 88.365078) + (xy 85.350957 88.265945) + (xy 85.350476 88.265) + (xy 94.715078 88.265) + (xy 94.735043 88.391056) + (xy 94.792984 88.504771) + (xy 94.883229 88.595016) + (xy 94.996943 88.652956) + (xy 94.996945 88.652957) + (xy 95.123 88.672922) + (xy 95.249055 88.652957) + (xy 95.362771 88.595016) + (xy 95.453016 88.504771) + (xy 95.510957 88.391055) + (xy 95.530922 88.265) + (xy 99.795078 88.265) + (xy 99.815043 88.391056) + (xy 99.872984 88.504771) + (xy 99.963229 88.595016) + (xy 100.076943 88.652956) + (xy 100.076945 88.652957) + (xy 100.203 88.672922) + (xy 100.329055 88.652957) + (xy 100.442771 88.595016) + (xy 100.533016 88.504771) + (xy 100.590957 88.391055) + (xy 100.610922 88.265) + (xy 104.875078 88.265) + (xy 104.895043 88.391056) + (xy 104.952984 88.504771) + (xy 105.043229 88.595016) + (xy 105.156943 88.652956) + (xy 105.156945 88.652957) + (xy 105.283 88.672922) + (xy 105.409055 88.652957) + (xy 105.522771 88.595016) + (xy 105.613016 88.504771) + (xy 105.670957 88.391055) + (xy 105.690922 88.265) + (xy 109.955078 88.265) + (xy 109.975043 88.391056) + (xy 110.032984 88.504771) + (xy 110.123229 88.595016) + (xy 110.236943 88.652956) + (xy 110.236945 88.652957) + (xy 110.363 88.672922) + (xy 110.489055 88.652957) + (xy 110.602771 88.595016) + (xy 110.693016 88.504771) + (xy 110.750957 88.391055) + (xy 110.770922 88.265) + (xy 115.035078 88.265) + (xy 115.055043 88.391056) + (xy 115.112984 88.504771) + (xy 115.203229 88.595016) + (xy 115.316943 88.652956) + (xy 115.316945 88.652957) + (xy 115.443 88.672922) + (xy 115.569055 88.652957) + (xy 115.682771 88.595016) + (xy 115.773016 88.504771) + (xy 115.830957 88.391055) + (xy 115.850922 88.265) + (xy 120.115078 88.265) + (xy 120.135043 88.391056) + (xy 120.192984 88.504771) + (xy 120.283229 88.595016) + (xy 120.396943 88.652956) + (xy 120.396945 88.652957) + (xy 120.523 88.672922) + (xy 120.649055 88.652957) + (xy 120.762771 88.595016) + (xy 120.853016 88.504771) + (xy 120.910957 88.391055) + (xy 120.930922 88.265) + (xy 125.195078 88.265) + (xy 125.215043 88.391056) + (xy 125.272984 88.504771) + (xy 125.363229 88.595016) + (xy 125.476943 88.652956) + (xy 125.476945 88.652957) + (xy 125.603 88.672922) + (xy 125.729055 88.652957) + (xy 125.842771 88.595016) + (xy 125.933016 88.504771) + (xy 125.990957 88.391055) + (xy 126.010922 88.265) + (xy 130.275078 88.265) + (xy 130.295043 88.391056) + (xy 130.352984 88.504771) + (xy 130.443229 88.595016) + (xy 130.556943 88.652956) + (xy 130.556945 88.652957) + (xy 130.683 88.672922) + (xy 130.809055 88.652957) + (xy 130.922771 88.595016) + (xy 131.013016 88.504771) + (xy 131.070957 88.391055) + (xy 131.090922 88.265) + (xy 135.355078 88.265) + (xy 135.375043 88.391056) + (xy 135.432984 88.504771) + (xy 135.523229 88.595016) + (xy 135.636943 88.652956) + (xy 135.636945 88.652957) + (xy 135.763 88.672922) + (xy 135.889055 88.652957) + (xy 136.002771 88.595016) + (xy 136.093016 88.504771) + (xy 136.150957 88.391055) + (xy 136.170922 88.265) + (xy 140.435078 88.265) + (xy 140.455043 88.391056) + (xy 140.512984 88.504771) + (xy 140.603229 88.595016) + (xy 140.716943 88.652956) + (xy 140.716945 88.652957) + (xy 140.843 88.672922) + (xy 140.969055 88.652957) + (xy 141.082771 88.595016) + (xy 141.173016 88.504771) + (xy 141.230957 88.391055) + (xy 141.250922 88.265) + (xy 141.230957 88.138945) + (xy 141.173016 88.025229) + (xy 141.082771 87.934984) + (xy 140.969055 87.877043) + (xy 140.969057 87.877043) + (xy 140.843 87.857078) + (xy 140.716943 87.877043) + (xy 140.603228 87.934984) + (xy 140.512984 88.025228) + (xy 140.455043 88.138943) + (xy 140.435078 88.264999) + (xy 140.435078 88.265) + (xy 136.170922 88.265) + (xy 136.150957 88.138945) + (xy 136.093016 88.025229) + (xy 136.002771 87.934984) + (xy 135.889055 87.877043) + (xy 135.889057 87.877043) + (xy 135.763 87.857078) + (xy 135.636943 87.877043) + (xy 135.523228 87.934984) + (xy 135.432984 88.025228) + (xy 135.375043 88.138943) + (xy 135.355078 88.264999) + (xy 135.355078 88.265) + (xy 131.090922 88.265) + (xy 131.070957 88.138945) + (xy 131.013016 88.025229) + (xy 130.922771 87.934984) + (xy 130.809055 87.877043) + (xy 130.809057 87.877043) + (xy 130.683 87.857078) + (xy 130.556943 87.877043) + (xy 130.443228 87.934984) + (xy 130.352984 88.025228) + (xy 130.295043 88.138943) + (xy 130.275078 88.264999) + (xy 130.275078 88.265) + (xy 126.010922 88.265) + (xy 125.990957 88.138945) + (xy 125.933016 88.025229) + (xy 125.842771 87.934984) + (xy 125.729055 87.877043) + (xy 125.729057 87.877043) + (xy 125.603 87.857078) + (xy 125.476943 87.877043) + (xy 125.363228 87.934984) + (xy 125.272984 88.025228) + (xy 125.215043 88.138943) + (xy 125.195078 88.264999) + (xy 125.195078 88.265) + (xy 120.930922 88.265) + (xy 120.910957 88.138945) + (xy 120.853016 88.025229) + (xy 120.762771 87.934984) + (xy 120.649055 87.877043) + (xy 120.649057 87.877043) + (xy 120.523 87.857078) + (xy 120.396943 87.877043) + (xy 120.283228 87.934984) + (xy 120.192984 88.025228) + (xy 120.135043 88.138943) + (xy 120.115078 88.264999) + (xy 120.115078 88.265) + (xy 115.850922 88.265) + (xy 115.830957 88.138945) + (xy 115.773016 88.025229) + (xy 115.682771 87.934984) + (xy 115.569055 87.877043) + (xy 115.569057 87.877043) + (xy 115.443 87.857078) + (xy 115.316943 87.877043) + (xy 115.203228 87.934984) + (xy 115.112984 88.025228) + (xy 115.055043 88.138943) + (xy 115.035078 88.264999) + (xy 115.035078 88.265) + (xy 110.770922 88.265) + (xy 110.750957 88.138945) + (xy 110.693016 88.025229) + (xy 110.602771 87.934984) + (xy 110.489055 87.877043) + (xy 110.489057 87.877043) + (xy 110.363 87.857078) + (xy 110.236943 87.877043) + (xy 110.123228 87.934984) + (xy 110.032984 88.025228) + (xy 109.975043 88.138943) + (xy 109.955078 88.264999) + (xy 109.955078 88.265) + (xy 105.690922 88.265) + (xy 105.670957 88.138945) + (xy 105.613016 88.025229) + (xy 105.522771 87.934984) + (xy 105.409055 87.877043) + (xy 105.409057 87.877043) + (xy 105.283 87.857078) + (xy 105.156943 87.877043) + (xy 105.043228 87.934984) + (xy 104.952984 88.025228) + (xy 104.895043 88.138943) + (xy 104.875078 88.264999) + (xy 104.875078 88.265) + (xy 100.610922 88.265) + (xy 100.590957 88.138945) + (xy 100.533016 88.025229) + (xy 100.442771 87.934984) + (xy 100.329055 87.877043) + (xy 100.329057 87.877043) + (xy 100.203 87.857078) + (xy 100.076943 87.877043) + (xy 99.963228 87.934984) + (xy 99.872984 88.025228) + (xy 99.815043 88.138943) + (xy 99.795078 88.264999) + (xy 99.795078 88.265) + (xy 95.530922 88.265) + (xy 95.510957 88.138945) + (xy 95.453016 88.025229) + (xy 95.362771 87.934984) + (xy 95.249055 87.877043) + (xy 95.249057 87.877043) + (xy 95.123 87.857078) + (xy 94.996943 87.877043) + (xy 94.883228 87.934984) + (xy 94.792984 88.025228) + (xy 94.735043 88.138943) + (xy 94.715078 88.264999) + (xy 94.715078 88.265) + (xy 85.350476 88.265) + (xy 85.293016 88.152229) + (xy 85.202771 88.061984) + (xy 85.089055 88.004043) + (xy 85.089057 88.004043) + (xy 84.963 87.984078) + (xy 84.836943 88.004043) + (xy 84.723228 88.061984) + (xy 84.632984 88.152228) + (xy 84.575043 88.265943) + (xy 84.555078 88.391999) + (xy 84.555078 88.392) + (xy 80.270476 88.392) + (xy 80.270957 88.391055) + (xy 80.290922 88.265) + (xy 80.270957 88.138945) + (xy 80.213016 88.025229) + (xy 80.122771 87.934984) + (xy 80.009055 87.877043) + (xy 80.009057 87.877043) + (xy 79.883 87.857078) + (xy 79.756943 87.877043) + (xy 79.643228 87.934984) + (xy 79.552984 88.025228) + (xy 79.495043 88.138943) + (xy 79.475078 88.264999) + (xy 79.475078 88.265) + (xy 75.210922 88.265) + (xy 75.190957 88.138945) + (xy 75.133016 88.025229) + (xy 75.042771 87.934984) + (xy 74.929055 87.877043) + (xy 74.929057 87.877043) + (xy 74.803 87.857078) + (xy 74.676943 87.877043) + (xy 74.563228 87.934984) + (xy 74.472984 88.025228) + (xy 74.415043 88.138943) + (xy 74.395078 88.264999) + (xy 74.395078 88.265) + (xy 70.130922 88.265) + (xy 70.110957 88.138945) + (xy 70.053016 88.025229) + (xy 69.962771 87.934984) + (xy 69.849055 87.877043) + (xy 69.849057 87.877043) + (xy 69.723 87.857078) + (xy 69.596943 87.877043) + (xy 69.483228 87.934984) + (xy 69.392984 88.025228) + (xy 69.335043 88.138943) + (xy 69.315078 88.264999) + (xy 69.315078 88.265) + (xy 65.050922 88.265) + (xy 65.030957 88.138945) + (xy 64.973016 88.025229) + (xy 64.882771 87.934984) + (xy 64.769055 87.877043) + (xy 64.769057 87.877043) + (xy 64.643 87.857078) + (xy 64.516943 87.877043) + (xy 64.403228 87.934984) + (xy 64.312984 88.025228) + (xy 64.255043 88.138943) + (xy 64.235078 88.264999) + (xy 64.235078 88.265) + (xy 59.970922 88.265) + (xy 59.950957 88.138945) + (xy 59.893016 88.025229) + (xy 59.802771 87.934984) + (xy 59.689055 87.877043) + (xy 59.689057 87.877043) + (xy 59.563 87.857078) + (xy 59.436943 87.877043) + (xy 59.323228 87.934984) + (xy 59.232984 88.025228) + (xy 59.175043 88.138943) + (xy 59.155078 88.264999) + (xy 59.155078 88.265) + (xy 51.842922 88.265) + (xy 51.822957 88.138945) + (xy 51.765016 88.025229) + (xy 51.674771 87.934984) + (xy 51.561055 87.877043) + (xy 51.561057 87.877043) + (xy 51.435 87.857078) + (xy 51.308943 87.877043) + (xy 51.22638 87.919111) + (xy 51.175323 87.92538) + (xy 51.132182 87.897363) + (xy 51.117143 87.848171) + (xy 51.137243 87.80082) + (xy 51.139037 87.798962) + (xy 52.006499 86.9315) + (xy 87.095078 86.9315) + (xy 87.115043 87.057556) + (xy 87.172984 87.171271) + (xy 87.263229 87.261516) + (xy 87.376943 87.319456) + (xy 87.376945 87.319457) + (xy 87.503 87.339422) + (xy 87.629055 87.319457) + (xy 87.742771 87.261516) + (xy 87.833016 87.171271) + (xy 87.890957 87.057555) + (xy 87.910922 86.9315) + (xy 92.175078 86.9315) + (xy 92.195043 87.057556) + (xy 92.252984 87.171271) + (xy 92.343229 87.261516) + (xy 92.456943 87.319456) + (xy 92.456945 87.319457) + (xy 92.583 87.339422) + (xy 92.709055 87.319457) + (xy 92.822771 87.261516) + (xy 92.913016 87.171271) + (xy 92.970957 87.057555) + (xy 92.990922 86.9315) + (xy 97.255078 86.9315) + (xy 97.275043 87.057556) + (xy 97.332984 87.171271) + (xy 97.423229 87.261516) + (xy 97.536943 87.319456) + (xy 97.536945 87.319457) + (xy 97.663 87.339422) + (xy 97.789055 87.319457) + (xy 97.902771 87.261516) + (xy 97.993016 87.171271) + (xy 98.050957 87.057555) + (xy 98.070922 86.9315) + (xy 107.415078 86.9315) + (xy 107.435043 87.057556) + (xy 107.492984 87.171271) + (xy 107.583229 87.261516) + (xy 107.696943 87.319456) + (xy 107.696945 87.319457) + (xy 107.823 87.339422) + (xy 107.949055 87.319457) + (xy 108.062771 87.261516) + (xy 108.153016 87.171271) + (xy 108.210957 87.057555) + (xy 108.230922 86.9315) + (xy 112.495078 86.9315) + (xy 112.515043 87.057556) + (xy 112.572984 87.171271) + (xy 112.663229 87.261516) + (xy 112.776943 87.319456) + (xy 112.776945 87.319457) + (xy 112.903 87.339422) + (xy 113.029055 87.319457) + (xy 113.142771 87.261516) + (xy 113.233016 87.171271) + (xy 113.290957 87.057555) + (xy 113.310922 86.9315) + (xy 117.575078 86.9315) + (xy 117.595043 87.057556) + (xy 117.652984 87.171271) + (xy 117.743229 87.261516) + (xy 117.856943 87.319456) + (xy 117.856945 87.319457) + (xy 117.983 87.339422) + (xy 118.109055 87.319457) + (xy 118.222771 87.261516) + (xy 118.313016 87.171271) + (xy 118.370957 87.057555) + (xy 118.390922 86.9315) + (xy 118.370957 86.805445) + (xy 118.313016 86.691729) + (xy 118.222771 86.601484) + (xy 118.109055 86.543543) + (xy 118.109057 86.543543) + (xy 117.983 86.523578) + (xy 117.856943 86.543543) + (xy 117.743228 86.601484) + (xy 117.652984 86.691728) + (xy 117.595043 86.805443) + (xy 117.575078 86.931499) + (xy 117.575078 86.9315) + (xy 113.310922 86.9315) + (xy 113.290957 86.805445) + (xy 113.233016 86.691729) + (xy 113.142771 86.601484) + (xy 113.029055 86.543543) + (xy 113.029057 86.543543) + (xy 112.903 86.523578) + (xy 112.776943 86.543543) + (xy 112.663228 86.601484) + (xy 112.572984 86.691728) + (xy 112.515043 86.805443) + (xy 112.495078 86.931499) + (xy 112.495078 86.9315) + (xy 108.230922 86.9315) + (xy 108.210957 86.805445) + (xy 108.153016 86.691729) + (xy 108.062771 86.601484) + (xy 107.949055 86.543543) + (xy 107.949057 86.543543) + (xy 107.823 86.523578) + (xy 107.696943 86.543543) + (xy 107.583228 86.601484) + (xy 107.492984 86.691728) + (xy 107.435043 86.805443) + (xy 107.415078 86.931499) + (xy 107.415078 86.9315) + (xy 98.070922 86.9315) + (xy 98.050957 86.805445) + (xy 97.993016 86.691729) + (xy 97.902771 86.601484) + (xy 97.789055 86.543543) + (xy 97.789057 86.543543) + (xy 97.663 86.523578) + (xy 97.536943 86.543543) + (xy 97.423228 86.601484) + (xy 97.332984 86.691728) + (xy 97.275043 86.805443) + (xy 97.255078 86.931499) + (xy 97.255078 86.9315) + (xy 92.990922 86.9315) + (xy 92.970957 86.805445) + (xy 92.913016 86.691729) + (xy 92.822771 86.601484) + (xy 92.709055 86.543543) + (xy 92.709057 86.543543) + (xy 92.583 86.523578) + (xy 92.456943 86.543543) + (xy 92.343228 86.601484) + (xy 92.252984 86.691728) + (xy 92.195043 86.805443) + (xy 92.175078 86.931499) + (xy 92.175078 86.9315) + (xy 87.910922 86.9315) + (xy 87.890957 86.805445) + (xy 87.833016 86.691729) + (xy 87.742771 86.601484) + (xy 87.629055 86.543543) + (xy 87.629057 86.543543) + (xy 87.503 86.523578) + (xy 87.376943 86.543543) + (xy 87.263228 86.601484) + (xy 87.172984 86.691728) + (xy 87.115043 86.805443) + (xy 87.095078 86.931499) + (xy 87.095078 86.9315) + (xy 52.006499 86.9315) + (xy 52.577999 86.36) + (xy 57.504078 86.36) + (xy 57.524043 86.486056) + (xy 57.543162 86.523578) + (xy 57.581984 86.599771) + (xy 57.672229 86.690016) + (xy 57.785943 86.747956) + (xy 57.785945 86.747957) + (xy 57.912 86.767922) + (xy 58.038055 86.747957) + (xy 58.151771 86.690016) + (xy 58.242016 86.599771) + (xy 58.299957 86.486055) + (xy 58.319922 86.36) + (xy 58.299957 86.233945) + (xy 58.242016 86.120229) + (xy 58.151771 86.029984) + (xy 58.038055 85.972043) + (xy 58.038057 85.972043) + (xy 57.912 85.952078) + (xy 57.785943 85.972043) + (xy 57.672228 86.029984) + (xy 57.581984 86.120228) + (xy 57.524043 86.233943) + (xy 57.504078 86.359999) + (xy 57.504078 86.36) + (xy 52.577999 86.36) + (xy 53.508934 85.429065) + (xy 53.555553 85.407326) + (xy 53.60524 85.42064) + (xy 53.634745 85.462777) + (xy 53.630261 85.514021) + (xy 53.629111 85.51638) + (xy 53.587043 85.598943) + (xy 53.567078 85.724999) + (xy 53.567078 85.725) + (xy 53.587043 85.851056) + (xy 53.638517 85.952078) + (xy 53.644984 85.964771) + (xy 53.735229 86.055016) + (xy 53.848943 86.112956) + (xy 53.848945 86.112957) + (xy 53.975 86.132922) + (xy 54.101055 86.112957) + (xy 54.214771 86.055016) + (xy 54.305016 85.964771) + (xy 54.362957 85.851055) + (xy 54.382922 85.725) + (xy 61.695078 85.725) + (xy 61.715043 85.851056) + (xy 61.766517 85.952078) + (xy 61.772984 85.964771) + (xy 61.863229 86.055016) + (xy 61.976943 86.112956) + (xy 61.976945 86.112957) + (xy 62.103 86.132922) + (xy 62.229055 86.112957) + (xy 62.342771 86.055016) + (xy 62.433016 85.964771) + (xy 62.490957 85.851055) + (xy 62.510922 85.725) + (xy 66.775078 85.725) + (xy 66.795043 85.851056) + (xy 66.846517 85.952078) + (xy 66.852984 85.964771) + (xy 66.943229 86.055016) + (xy 67.056943 86.112956) + (xy 67.056945 86.112957) + (xy 67.183 86.132922) + (xy 67.309055 86.112957) + (xy 67.422771 86.055016) + (xy 67.513016 85.964771) + (xy 67.570957 85.851055) + (xy 67.590922 85.725) + (xy 71.855078 85.725) + (xy 71.875043 85.851056) + (xy 71.926517 85.952078) + (xy 71.932984 85.964771) + (xy 72.023229 86.055016) + (xy 72.136943 86.112956) + (xy 72.136945 86.112957) + (xy 72.263 86.132922) + (xy 72.389055 86.112957) + (xy 72.502771 86.055016) + (xy 72.593016 85.964771) + (xy 72.650957 85.851055) + (xy 72.670922 85.725) + (xy 76.935078 85.725) + (xy 76.955043 85.851056) + (xy 77.006517 85.952078) + (xy 77.012984 85.964771) + (xy 77.103229 86.055016) + (xy 77.216943 86.112956) + (xy 77.216945 86.112957) + (xy 77.343 86.132922) + (xy 77.469055 86.112957) + (xy 77.582771 86.055016) + (xy 77.673016 85.964771) + (xy 77.730957 85.851055) + (xy 77.750922 85.725) + (xy 82.015078 85.725) + (xy 82.035043 85.851056) + (xy 82.086517 85.952078) + (xy 82.092984 85.964771) + (xy 82.183229 86.055016) + (xy 82.296943 86.112956) + (xy 82.296945 86.112957) + (xy 82.423 86.132922) + (xy 82.549055 86.112957) + (xy 82.662771 86.055016) + (xy 82.753016 85.964771) + (xy 82.810957 85.851055) + (xy 82.830922 85.725) + (xy 102.335078 85.725) + (xy 102.355043 85.851056) + (xy 102.406517 85.952078) + (xy 102.412984 85.964771) + (xy 102.503229 86.055016) + (xy 102.616943 86.112956) + (xy 102.616945 86.112957) + (xy 102.743 86.132922) + (xy 102.869055 86.112957) + (xy 102.982771 86.055016) + (xy 103.073016 85.964771) + (xy 103.130957 85.851055) + (xy 103.150922 85.725) + (xy 122.655078 85.725) + (xy 122.675043 85.851056) + (xy 122.726517 85.952078) + (xy 122.732984 85.964771) + (xy 122.823229 86.055016) + (xy 122.936943 86.112956) + (xy 122.936945 86.112957) + (xy 123.063 86.132922) + (xy 123.189055 86.112957) + (xy 123.302771 86.055016) + (xy 123.393016 85.964771) + (xy 123.450957 85.851055) + (xy 123.470922 85.725) + (xy 127.735078 85.725) + (xy 127.755043 85.851056) + (xy 127.806517 85.952078) + (xy 127.812984 85.964771) + (xy 127.903229 86.055016) + (xy 128.016943 86.112956) + (xy 128.016945 86.112957) + (xy 128.143 86.132922) + (xy 128.269055 86.112957) + (xy 128.382771 86.055016) + (xy 128.473016 85.964771) + (xy 128.530957 85.851055) + (xy 128.550922 85.725) + (xy 132.815078 85.725) + (xy 132.835043 85.851056) + (xy 132.886517 85.952078) + (xy 132.892984 85.964771) + (xy 132.983229 86.055016) + (xy 133.096943 86.112956) + (xy 133.096945 86.112957) + (xy 133.223 86.132922) + (xy 133.349055 86.112957) + (xy 133.462771 86.055016) + (xy 133.553016 85.964771) + (xy 133.610957 85.851055) + (xy 133.630922 85.725) + (xy 137.895078 85.725) + (xy 137.915043 85.851056) + (xy 137.966517 85.952078) + (xy 137.972984 85.964771) + (xy 138.063229 86.055016) + (xy 138.176943 86.112956) + (xy 138.176945 86.112957) + (xy 138.303 86.132922) + (xy 138.429055 86.112957) + (xy 138.542771 86.055016) + (xy 138.633016 85.964771) + (xy 138.690957 85.851055) + (xy 138.710922 85.725) + (xy 142.975078 85.725) + (xy 142.995043 85.851056) + (xy 143.046517 85.952078) + (xy 143.052984 85.964771) + (xy 143.143229 86.055016) + (xy 143.256943 86.112956) + (xy 143.256945 86.112957) + (xy 143.383 86.132922) + (xy 143.509055 86.112957) + (xy 143.622771 86.055016) + (xy 143.713016 85.964771) + (xy 143.770957 85.851055) + (xy 143.790922 85.725) + (xy 143.770957 85.598945) + (xy 143.713016 85.485229) + (xy 143.622771 85.394984) + (xy 143.509055 85.337043) + (xy 143.509057 85.337043) + (xy 143.383 85.317078) + (xy 143.256943 85.337043) + (xy 143.143228 85.394984) + (xy 143.052984 85.485228) + (xy 142.995043 85.598943) + (xy 142.975078 85.724999) + (xy 142.975078 85.725) + (xy 138.710922 85.725) + (xy 138.690957 85.598945) + (xy 138.633016 85.485229) + (xy 138.542771 85.394984) + (xy 138.429055 85.337043) + (xy 138.429057 85.337043) + (xy 138.303 85.317078) + (xy 138.176943 85.337043) + (xy 138.063228 85.394984) + (xy 137.972984 85.485228) + (xy 137.915043 85.598943) + (xy 137.895078 85.724999) + (xy 137.895078 85.725) + (xy 133.630922 85.725) + (xy 133.610957 85.598945) + (xy 133.553016 85.485229) + (xy 133.462771 85.394984) + (xy 133.349055 85.337043) + (xy 133.349057 85.337043) + (xy 133.223 85.317078) + (xy 133.096943 85.337043) + (xy 132.983228 85.394984) + (xy 132.892984 85.485228) + (xy 132.835043 85.598943) + (xy 132.815078 85.724999) + (xy 132.815078 85.725) + (xy 128.550922 85.725) + (xy 128.530957 85.598945) + (xy 128.473016 85.485229) + (xy 128.382771 85.394984) + (xy 128.269055 85.337043) + (xy 128.269057 85.337043) + (xy 128.143 85.317078) + (xy 128.016943 85.337043) + (xy 127.903228 85.394984) + (xy 127.812984 85.485228) + (xy 127.755043 85.598943) + (xy 127.735078 85.724999) + (xy 127.735078 85.725) + (xy 123.470922 85.725) + (xy 123.450957 85.598945) + (xy 123.393016 85.485229) + (xy 123.302771 85.394984) + (xy 123.189055 85.337043) + (xy 123.189057 85.337043) + (xy 123.063 85.317078) + (xy 122.936943 85.337043) + (xy 122.823228 85.394984) + (xy 122.732984 85.485228) + (xy 122.675043 85.598943) + (xy 122.655078 85.724999) + (xy 122.655078 85.725) + (xy 103.150922 85.725) + (xy 103.130957 85.598945) + (xy 103.073016 85.485229) + (xy 102.982771 85.394984) + (xy 102.869055 85.337043) + (xy 102.869057 85.337043) + (xy 102.743 85.317078) + (xy 102.616943 85.337043) + (xy 102.503228 85.394984) + (xy 102.412984 85.485228) + (xy 102.355043 85.598943) + (xy 102.335078 85.724999) + (xy 102.335078 85.725) + (xy 82.830922 85.725) + (xy 82.810957 85.598945) + (xy 82.753016 85.485229) + (xy 82.662771 85.394984) + (xy 82.549055 85.337043) + (xy 82.549057 85.337043) + (xy 82.423 85.317078) + (xy 82.296943 85.337043) + (xy 82.183228 85.394984) + (xy 82.092984 85.485228) + (xy 82.035043 85.598943) + (xy 82.015078 85.724999) + (xy 82.015078 85.725) + (xy 77.750922 85.725) + (xy 77.730957 85.598945) + (xy 77.673016 85.485229) + (xy 77.582771 85.394984) + (xy 77.469055 85.337043) + (xy 77.469057 85.337043) + (xy 77.343 85.317078) + (xy 77.216943 85.337043) + (xy 77.103228 85.394984) + (xy 77.012984 85.485228) + (xy 76.955043 85.598943) + (xy 76.935078 85.724999) + (xy 76.935078 85.725) + (xy 72.670922 85.725) + (xy 72.650957 85.598945) + (xy 72.593016 85.485229) + (xy 72.502771 85.394984) + (xy 72.389055 85.337043) + (xy 72.389057 85.337043) + (xy 72.263 85.317078) + (xy 72.136943 85.337043) + (xy 72.023228 85.394984) + (xy 71.932984 85.485228) + (xy 71.875043 85.598943) + (xy 71.855078 85.724999) + (xy 71.855078 85.725) + (xy 67.590922 85.725) + (xy 67.570957 85.598945) + (xy 67.513016 85.485229) + (xy 67.422771 85.394984) + (xy 67.309055 85.337043) + (xy 67.309057 85.337043) + (xy 67.183 85.317078) + (xy 67.056943 85.337043) + (xy 66.943228 85.394984) + (xy 66.852984 85.485228) + (xy 66.795043 85.598943) + (xy 66.775078 85.724999) + (xy 66.775078 85.725) + (xy 62.510922 85.725) + (xy 62.490957 85.598945) + (xy 62.433016 85.485229) + (xy 62.342771 85.394984) + (xy 62.229055 85.337043) + (xy 62.229057 85.337043) + (xy 62.103 85.317078) + (xy 61.976943 85.337043) + (xy 61.863228 85.394984) + (xy 61.772984 85.485228) + (xy 61.715043 85.598943) + (xy 61.695078 85.724999) + (xy 61.695078 85.725) + (xy 54.382922 85.725) + (xy 54.362957 85.598945) + (xy 54.305016 85.485229) + (xy 54.214771 85.394984) + (xy 54.101055 85.337043) + (xy 54.101057 85.337043) + (xy 53.975 85.317078) + (xy 53.848943 85.337043) + (xy 53.76638 85.379111) + (xy 53.715323 85.38538) + (xy 53.672182 85.357363) + (xy 53.657143 85.308171) + (xy 53.677243 85.26082) + (xy 53.679037 85.258962) + (xy 54.274014 84.663985) + (xy 56.192845 84.663985) + (xy 56.202578 84.843502) + (xy 56.202578 84.843505) + (xy 56.250672 85.01672) + (xy 56.250675 85.016728) + (xy 56.334878 85.175552) + (xy 56.334882 85.175557) + (xy 56.451265 85.312574) + (xy 56.451267 85.312576) + (xy 56.593423 85.42064) + (xy 56.594382 85.421369) + (xy 56.757541 85.496854) + (xy 56.933113 85.5355) + (xy 56.933115 85.5355) + (xy 57.067814 85.5355) + (xy 57.067816 85.5355) + (xy 57.201721 85.520937) + (xy 57.372085 85.463535) + (xy 57.526126 85.370851) + (xy 57.656642 85.24722) + (xy 57.757529 85.098423) + (xy 57.82407 84.931416) + (xy 57.82407 84.93141) + (xy 57.824072 84.931407) + (xy 57.853154 84.754015) + (xy 57.853155 84.75401) + (xy 57.843422 84.574499) + (xy 57.795327 84.401277) + (xy 57.756478 84.328) + (xy 59.536078 84.328) + (xy 59.556043 84.454056) + (xy 59.572615 84.48658) + (xy 59.613984 84.567771) + (xy 59.704229 84.658016) + (xy 59.817943 84.715956) + (xy 59.817945 84.715957) + (xy 59.944 84.735922) + (xy 60.070055 84.715957) + (xy 60.183771 84.658016) + (xy 60.274016 84.567771) + (xy 60.331957 84.454055) + (xy 60.351922 84.328) + (xy 60.350588 84.31958) + (xy 60.348164 84.304276) + (xy 60.331957 84.201945) + (xy 60.274016 84.088229) + (xy 60.183771 83.997984) + (xy 60.070055 83.940043) + (xy 60.070057 83.940043) + (xy 59.944 83.920078) + (xy 59.817943 83.940043) + (xy 59.704228 83.997984) + (xy 59.613984 84.088228) + (xy 59.556043 84.201943) + (xy 59.536078 84.327999) + (xy 59.536078 84.328) + (xy 57.756478 84.328) + (xy 57.711121 84.242447) + (xy 57.711117 84.242442) + (xy 57.594734 84.105425) + (xy 57.594732 84.105423) + (xy 57.45162 83.996632) + (xy 57.28846 83.921146) + (xy 57.244566 83.911484) + (xy 57.112887 83.8825) + (xy 56.978184 83.8825) + (xy 56.945506 83.886053) + (xy 56.844282 83.897062) + (xy 56.844279 83.897063) + (xy 56.673915 83.954464) + (xy 56.519875 84.047148) + (xy 56.51987 84.047152) + (xy 56.389357 84.17078) + (xy 56.389356 84.170782) + (xy 56.288473 84.319572) + (xy 56.288469 84.31958) + (xy 56.221931 84.48658) + (xy 56.221927 84.486592) + (xy 56.192845 84.663983) + (xy 56.192845 84.663985) + (xy 54.274014 84.663985) + (xy 56.048934 82.889065) + (xy 56.095553 82.867326) + (xy 56.14524 82.88064) + (xy 56.174745 82.922777) + (xy 56.170261 82.974021) + (xy 56.169111 82.97638) + (xy 56.127043 83.058943) + (xy 56.107078 83.184999) + (xy 56.107078 83.185) + (xy 56.127043 83.311056) + (xy 56.184984 83.424771) + (xy 56.275229 83.515016) + (xy 56.388943 83.572956) + (xy 56.388945 83.572957) + (xy 56.515 83.592922) + (xy 56.641055 83.572957) + (xy 56.754771 83.515016) + (xy 56.845016 83.424771) + (xy 56.902957 83.311055) + (xy 56.922922 83.185) + (xy 64.235078 83.185) + (xy 64.255043 83.311056) + (xy 64.312984 83.424771) + (xy 64.403229 83.515016) + (xy 64.516943 83.572956) + (xy 64.516945 83.572957) + (xy 64.643 83.592922) + (xy 64.769055 83.572957) + (xy 64.882771 83.515016) + (xy 64.973016 83.424771) + (xy 65.030957 83.311055) + (xy 65.050922 83.185) + (xy 69.315078 83.185) + (xy 69.335043 83.311056) + (xy 69.392984 83.424771) + (xy 69.483229 83.515016) + (xy 69.596943 83.572956) + (xy 69.596945 83.572957) + (xy 69.723 83.592922) + (xy 69.849055 83.572957) + (xy 69.962771 83.515016) + (xy 70.053016 83.424771) + (xy 70.110957 83.311055) + (xy 70.130922 83.185) + (xy 74.395078 83.185) + (xy 74.415043 83.311056) + (xy 74.472984 83.424771) + (xy 74.563229 83.515016) + (xy 74.676943 83.572956) + (xy 74.676945 83.572957) + (xy 74.803 83.592922) + (xy 74.929055 83.572957) + (xy 75.042771 83.515016) + (xy 75.133016 83.424771) + (xy 75.190957 83.311055) + (xy 75.210922 83.185) + (xy 79.475078 83.185) + (xy 79.495043 83.311056) + (xy 79.552984 83.424771) + (xy 79.643229 83.515016) + (xy 79.756943 83.572956) + (xy 79.756945 83.572957) + (xy 79.883 83.592922) + (xy 80.009055 83.572957) + (xy 80.122771 83.515016) + (xy 80.213016 83.424771) + (xy 80.270957 83.311055) + (xy 80.290922 83.185) + (xy 99.795078 83.185) + (xy 99.815043 83.311056) + (xy 99.872984 83.424771) + (xy 99.963229 83.515016) + (xy 100.076943 83.572956) + (xy 100.076945 83.572957) + (xy 100.203 83.592922) + (xy 100.329055 83.572957) + (xy 100.442771 83.515016) + (xy 100.533016 83.424771) + (xy 100.590957 83.311055) + (xy 100.610922 83.185) + (xy 104.875078 83.185) + (xy 104.895043 83.311056) + (xy 104.952984 83.424771) + (xy 105.043229 83.515016) + (xy 105.156943 83.572956) + (xy 105.156945 83.572957) + (xy 105.283 83.592922) + (xy 105.409055 83.572957) + (xy 105.522771 83.515016) + (xy 105.613016 83.424771) + (xy 105.670957 83.311055) + (xy 105.690922 83.185) + (xy 120.115078 83.185) + (xy 120.135043 83.311056) + (xy 120.192984 83.424771) + (xy 120.283229 83.515016) + (xy 120.396943 83.572956) + (xy 120.396945 83.572957) + (xy 120.523 83.592922) + (xy 120.649055 83.572957) + (xy 120.762771 83.515016) + (xy 120.853016 83.424771) + (xy 120.910957 83.311055) + (xy 120.930922 83.185) + (xy 125.195078 83.185) + (xy 125.215043 83.311056) + (xy 125.272984 83.424771) + (xy 125.363229 83.515016) + (xy 125.476943 83.572956) + (xy 125.476945 83.572957) + (xy 125.603 83.592922) + (xy 125.729055 83.572957) + (xy 125.842771 83.515016) + (xy 125.933016 83.424771) + (xy 125.990957 83.311055) + (xy 126.010922 83.185) + (xy 130.275078 83.185) + (xy 130.295043 83.311056) + (xy 130.352984 83.424771) + (xy 130.443229 83.515016) + (xy 130.556943 83.572956) + (xy 130.556945 83.572957) + (xy 130.683 83.592922) + (xy 130.809055 83.572957) + (xy 130.922771 83.515016) + (xy 131.013016 83.424771) + (xy 131.070957 83.311055) + (xy 131.090922 83.185) + (xy 135.355078 83.185) + (xy 135.375043 83.311056) + (xy 135.432984 83.424771) + (xy 135.523229 83.515016) + (xy 135.636943 83.572956) + (xy 135.636945 83.572957) + (xy 135.763 83.592922) + (xy 135.889055 83.572957) + (xy 136.002771 83.515016) + (xy 136.093016 83.424771) + (xy 136.150957 83.311055) + (xy 136.170922 83.185) + (xy 136.150957 83.058945) + (xy 136.093016 82.945229) + (xy 136.002771 82.854984) + (xy 135.889055 82.797043) + (xy 135.889057 82.797043) + (xy 135.763 82.777078) + (xy 135.636943 82.797043) + (xy 135.523228 82.854984) + (xy 135.432984 82.945228) + (xy 135.375043 83.058943) + (xy 135.355078 83.184999) + (xy 135.355078 83.185) + (xy 131.090922 83.185) + (xy 131.070957 83.058945) + (xy 131.013016 82.945229) + (xy 130.922771 82.854984) + (xy 130.809055 82.797043) + (xy 130.809057 82.797043) + (xy 130.683 82.777078) + (xy 130.556943 82.797043) + (xy 130.443228 82.854984) + (xy 130.352984 82.945228) + (xy 130.295043 83.058943) + (xy 130.275078 83.184999) + (xy 130.275078 83.185) + (xy 126.010922 83.185) + (xy 125.990957 83.058945) + (xy 125.933016 82.945229) + (xy 125.842771 82.854984) + (xy 125.729055 82.797043) + (xy 125.729057 82.797043) + (xy 125.603 82.777078) + (xy 125.476943 82.797043) + (xy 125.363228 82.854984) + (xy 125.272984 82.945228) + (xy 125.215043 83.058943) + (xy 125.195078 83.184999) + (xy 125.195078 83.185) + (xy 120.930922 83.185) + (xy 120.910957 83.058945) + (xy 120.853016 82.945229) + (xy 120.762771 82.854984) + (xy 120.649055 82.797043) + (xy 120.649057 82.797043) + (xy 120.523 82.777078) + (xy 120.396943 82.797043) + (xy 120.283228 82.854984) + (xy 120.192984 82.945228) + (xy 120.135043 83.058943) + (xy 120.115078 83.184999) + (xy 120.115078 83.185) + (xy 105.690922 83.185) + (xy 105.670957 83.058945) + (xy 105.613016 82.945229) + (xy 105.522771 82.854984) + (xy 105.409055 82.797043) + (xy 105.409057 82.797043) + (xy 105.283 82.777078) + (xy 105.156943 82.797043) + (xy 105.043228 82.854984) + (xy 104.952984 82.945228) + (xy 104.895043 83.058943) + (xy 104.875078 83.184999) + (xy 104.875078 83.185) + (xy 100.610922 83.185) + (xy 100.590957 83.058945) + (xy 100.533016 82.945229) + (xy 100.442771 82.854984) + (xy 100.329055 82.797043) + (xy 100.329057 82.797043) + (xy 100.203 82.777078) + (xy 100.076943 82.797043) + (xy 99.963228 82.854984) + (xy 99.872984 82.945228) + (xy 99.815043 83.058943) + (xy 99.795078 83.184999) + (xy 99.795078 83.185) + (xy 80.290922 83.185) + (xy 80.270957 83.058945) + (xy 80.213016 82.945229) + (xy 80.122771 82.854984) + (xy 80.009055 82.797043) + (xy 80.009057 82.797043) + (xy 79.883 82.777078) + (xy 79.756943 82.797043) + (xy 79.643228 82.854984) + (xy 79.552984 82.945228) + (xy 79.495043 83.058943) + (xy 79.475078 83.184999) + (xy 79.475078 83.185) + (xy 75.210922 83.185) + (xy 75.190957 83.058945) + (xy 75.133016 82.945229) + (xy 75.042771 82.854984) + (xy 74.929055 82.797043) + (xy 74.929057 82.797043) + (xy 74.803 82.777078) + (xy 74.676943 82.797043) + (xy 74.563228 82.854984) + (xy 74.472984 82.945228) + (xy 74.415043 83.058943) + (xy 74.395078 83.184999) + (xy 74.395078 83.185) + (xy 70.130922 83.185) + (xy 70.110957 83.058945) + (xy 70.053016 82.945229) + (xy 69.962771 82.854984) + (xy 69.849055 82.797043) + (xy 69.849057 82.797043) + (xy 69.723 82.777078) + (xy 69.596943 82.797043) + (xy 69.483228 82.854984) + (xy 69.392984 82.945228) + (xy 69.335043 83.058943) + (xy 69.315078 83.184999) + (xy 69.315078 83.185) + (xy 65.050922 83.185) + (xy 65.030957 83.058945) + (xy 64.973016 82.945229) + (xy 64.882771 82.854984) + (xy 64.769055 82.797043) + (xy 64.769057 82.797043) + (xy 64.643 82.777078) + (xy 64.516943 82.797043) + (xy 64.403228 82.854984) + (xy 64.312984 82.945228) + (xy 64.255043 83.058943) + (xy 64.235078 83.184999) + (xy 64.235078 83.185) + (xy 56.922922 83.185) + (xy 56.902957 83.058945) + (xy 56.845016 82.945229) + (xy 56.754771 82.854984) + (xy 56.641055 82.797043) + (xy 56.641057 82.797043) + (xy 56.515 82.777078) + (xy 56.388943 82.797043) + (xy 56.30638 82.839111) + (xy 56.255323 82.84538) + (xy 56.212182 82.817363) + (xy 56.197143 82.768171) + (xy 56.217243 82.72082) + (xy 56.219037 82.718962) + (xy 56.560009 82.37799) + (xy 139.631845 82.37799) + (xy 139.636726 82.46801) + (xy 139.641578 82.557502) + (xy 139.641578 82.557505) + (xy 139.689672 82.73072) + (xy 139.689675 82.730728) + (xy 139.773878 82.889552) + (xy 139.773882 82.889557) + (xy 139.890265 83.026574) + (xy 139.890267 83.026576) + (xy 140.033379 83.135367) + (xy 140.033382 83.135369) + (xy 140.196541 83.210854) + (xy 140.372113 83.2495) + (xy 140.372115 83.2495) + (xy 140.506814 83.2495) + (xy 140.506816 83.2495) + (xy 140.640721 83.234937) + (xy 140.811085 83.177535) + (xy 140.965126 83.084851) + (xy 141.095642 82.96122) + (xy 141.196529 82.812423) + (xy 141.26307 82.645416) + (xy 141.26307 82.64541) + (xy 141.263072 82.645407) + (xy 141.292154 82.468015) + (xy 141.292155 82.46801) + (xy 141.282422 82.288499) + (xy 141.234327 82.115277) + (xy 141.191014 82.03358) + (xy 141.150121 81.956447) + (xy 141.150117 81.956442) + (xy 141.033734 81.819425) + (xy 141.033732 81.819423) + (xy 140.89062 81.710632) + (xy 140.72746 81.635146) + (xy 140.683566 81.625484) + (xy 140.551887 81.5965) + (xy 140.417184 81.5965) + (xy 140.384506 81.600053) + (xy 140.283282 81.611062) + (xy 140.283279 81.611063) + (xy 140.112915 81.668464) + (xy 139.958875 81.761148) + (xy 139.95887 81.761152) + (xy 139.828357 81.88478) + (xy 139.828356 81.884782) + (xy 139.727473 82.033572) + (xy 139.727469 82.03358) + (xy 139.660931 82.20058) + (xy 139.660927 82.200592) + (xy 139.631845 82.377983) + (xy 139.631845 82.377985) + (xy 139.631845 82.377988) + (xy 139.631845 82.37799) + (xy 56.560009 82.37799) + (xy 58.15737 80.78063) + (xy 58.17691 80.766544) + (xy 58.664996 80.522501) + (xy 58.683865 80.516026) + (xy 58.692202 80.514359) + (xy 58.74305 80.522128) + (xy 58.77701 80.560765) + (xy 58.781227 80.599862) + (xy 58.774078 80.644999) + (xy 58.774078 80.645) + (xy 58.794043 80.771056) + (xy 58.851984 80.884771) + (xy 58.942229 80.975016) + (xy 59.055943 81.032956) + (xy 59.055945 81.032957) + (xy 59.182 81.052922) + (xy 59.308055 81.032957) + (xy 59.421771 80.975016) + (xy 59.512016 80.884771) + (xy 59.569957 80.771055) + (xy 59.589922 80.645) + (xy 59.569957 80.518945) + (xy 59.569956 80.518943) + (xy 59.569956 80.518942) + (xy 59.560478 80.500341) + (xy 59.554208 80.449285) + (xy 59.582223 80.406143) + (xy 59.627481 80.391) + (xy 61.657519 80.391) + ) + ) + ) +) diff --git a/GR8RAM.kicad_pro b/Hardware/MAX/GR8RAM.kicad_pro similarity index 73% rename from GR8RAM.kicad_pro rename to Hardware/MAX/GR8RAM.kicad_pro index 3edc8af..658ae99 100644 --- a/GR8RAM.kicad_pro +++ b/Hardware/MAX/GR8RAM.kicad_pro @@ -1,9 +1,10 @@ { "board": { + "3dviewports": [], "design_settings": { "defaults": { "board_outline_line_width": 0.15, - "copper_line_width": 0.15, + "copper_line_width": 0.15239999999999998, "copper_text_italic": false, "copper_text_size_h": 1.5, "copper_text_size_v": 1.5, @@ -48,7 +49,13 @@ "min_clearance": 0.15239999999999998 } }, - "diff_pair_dimensions": [], + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], "drc_exclusions": [], "meta": { "filename": "board_design_settings.json", @@ -57,32 +64,43 @@ "rule_severities": { "annular_width": "error", "clearance": "error", + "connection_width": "warning", "copper_edge_clearance": "error", - "courtyards_overlap": "error", + "copper_sliver": "error", + "courtyards_overlap": "warning", "diff_pair_gap_out_of_range": "error", "diff_pair_uncoupled_length_too_long": "error", "drill_out_of_range": "error", - "duplicate_footprints": "warning", - "extra_footprint": "warning", + "duplicate_footprints": "error", + "extra_footprint": "error", + "footprint": "error", "footprint_type_mismatch": "error", "hole_clearance": "error", "hole_near_hole": "error", "invalid_outline": "error", + "isolated_copper": "warning", "item_on_disabled_layer": "error", "items_not_allowed": "error", "length_out_of_range": "error", + "lib_footprint_issues": "ignore", + "lib_footprint_mismatch": "warning", "malformed_courtyard": "error", "microvia_drill_out_of_range": "error", "missing_courtyard": "ignore", - "missing_footprint": "warning", - "net_conflict": "warning", + "missing_footprint": "error", + "net_conflict": "error", "npth_inside_courtyard": "ignore", "padstack": "error", "pth_inside_courtyard": "ignore", "shorting_items": "error", + "silk_edge_clearance": "warning", "silk_over_copper": "warning", "silk_overlap": "warning", "skew_out_of_range": "error", + "solder_mask_bridge": "ignore", + "starved_thermal": "error", + "text_height": "warning", + "text_thickness": "warning", "through_hole_pad_without_hole": "error", "too_many_vias": "error", "track_dangling": "warning", @@ -91,7 +109,6 @@ "unconnected_items": "error", "unresolved_variable": "error", "via_dangling": "warning", - "zone_has_empty_net": "error", "zones_intersect": "error" }, "rule_severitieslegacy_courtyards_overlap": true, @@ -100,21 +117,67 @@ "allow_blind_buried_vias": false, "allow_microvias": false, "max_error": 0.005, - "min_clearance": 0.0, - "min_copper_edge_clearance": 0.075, + "min_clearance": 0.15, + "min_connection": 0.12, + "min_copper_edge_clearance": 0.4064, "min_hole_clearance": 0.25, - "min_hole_to_hole": 0.25, + "min_hole_to_hole": 0.254, "min_microvia_diameter": 0.19999999999999998, "min_microvia_drill": 0.09999999999999999, + "min_resolved_spokes": 2, "min_silk_clearance": 0.0, - "min_through_hole_diameter": 0.19999999999999998, + "min_text_height": 0.7999999999999999, + "min_text_thickness": 0.08, + "min_through_hole_diameter": 0.3, "min_track_width": 0.15, - "min_via_annular_width": 0.049999999999999996, + "min_via_annular_width": 0.09999999999999999, "min_via_diameter": 0.5, + "solder_mask_to_copper_clearance": 0.0, "use_height_for_length_calcs": true }, + "teardrop_options": [ + { + "td_allow_use_two_tracks": true, + "td_curve_segcount": 5, + "td_on_pad_in_zone": false, + "td_onpadsmd": true, + "td_onroundshapesonly": false, + "td_ontrackend": false, + "td_onviapad": true + } + ], + "teardrop_parameters": [ + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_round_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_rect_shape", + "td_width_to_size_filter_ratio": 0.9 + }, + { + "td_curve_segcount": 0, + "td_height_ratio": 1.0, + "td_length_ratio": 0.5, + "td_maxheight": 2.0, + "td_maxlen": 1.0, + "td_target_name": "td_track_end", + "td_width_to_size_filter_ratio": 0.9 + } + ], "track_widths": [ 0.0, + 0.15, 0.2, 0.25, 0.3, @@ -123,7 +186,6 @@ 0.45, 0.5, 0.6, - 0.762, 0.8, 1.0, 1.27, @@ -134,6 +196,10 @@ "diameter": 0.0, "drill": 0.0 }, + { + "diameter": 0.5, + "drill": 0.3 + }, { "diameter": 0.6, "drill": 0.3 @@ -154,7 +220,8 @@ "zones_allow_external_fillets": false, "zones_use_no_outline": true }, - "layer_presets": [] + "layer_presets": [], + "viewports": [] }, "boards": [], "cvpcb": { @@ -338,18 +405,23 @@ "rule_severities": { "bus_definition_conflict": "error", "bus_entry_needed": "error", - "bus_label_syntax": "error", "bus_to_bus_conflict": "error", "bus_to_net_conflict": "error", + "conflicting_netclasses": "error", "different_unit_footprint": "error", "different_unit_net": "error", "duplicate_reference": "error", "duplicate_sheet_names": "error", + "endpoint_off_grid": "warning", "extra_units": "error", "global_label_dangling": "warning", "hier_label_mismatch": "error", "label_dangling": "error", "lib_symbol_issues": "warning", + "missing_bidi_pin": "warning", + "missing_input_pin": "warning", + "missing_power_pin": "error", + "missing_unit": "warning", "multiple_net_names": "warning", "net_not_bus_member": "warning", "no_connect_connected": "warning", @@ -359,6 +431,7 @@ "pin_to_pin": "warning", "power_pin_not_driven": "error", "similar_labels": "warning", + "simulation_model_issue": "ignore", "unannotated": "error", "unit_value_mismatch": "error", "unresolved_variable": "error", @@ -376,7 +449,7 @@ "net_settings": { "classes": [ { - "bus_width": 12.0, + "bus_width": 12, "clearance": 0.15, "diff_pair_gap": 0.25, "diff_pair_via_gap": 0.25, @@ -389,14 +462,16 @@ "schematic_color": "rgba(0, 0, 0, 0.000)", "track_width": 0.15, "via_diameter": 0.5, - "via_drill": 0.2, - "wire_width": 6.0 + "via_drill": 0.3, + "wire_width": 6 } ], "meta": { - "version": 2 + "version": 3 }, - "net_colors": null + "net_colors": null, + "netclass_assignments": null, + "netclass_patterns": [] }, "pcbnew": { "last_paths": { @@ -412,6 +487,8 @@ "schematic": { "annotate_start_num": 0, "drawing": { + "dashed_lines_dash_length_ratio": 12.0, + "dashed_lines_gap_length_ratio": 3.0, "default_line_thickness": 6.0, "default_text_size": 50.0, "field_names": [], @@ -443,7 +520,11 @@ "page_layout_descr_file": "", "plot_directory": "", "spice_adjust_passive_values": false, + "spice_current_sheet_as_root": false, "spice_external_command": "spice \"%I\"", + "spice_model_current_sheet_as_root": true, + "spice_save_all_currents": false, + "spice_save_all_voltages": false, "subpart_first_id": 65, "subpart_id_separator": 0 }, @@ -451,10 +532,6 @@ [ "a29f8df0-3fae-4edf-8d9c-bd5a875b13e3", "" - ], - [ - "00000000-0000-0000-0000-00005d4d21a0", - "Docs" ] ], "text_variables": {} diff --git a/GR8RAM.kicad_sch b/Hardware/MAX/GR8RAM.kicad_sch similarity index 54% rename from GR8RAM.kicad_sch rename to Hardware/MAX/GR8RAM.kicad_sch index 4949f68..bfd2f2d 100644 --- a/GR8RAM.kicad_sch +++ b/Hardware/MAX/GR8RAM.kicad_sch @@ -1,4 +1,4 @@ -(kicad_sch (version 20211123) (generator eeschema) +(kicad_sch (version 20230121) (generator eeschema) (uuid a29f8df0-3fae-4edf-8d9c-bd5a875b13e3) @@ -12,71 +12,222 @@ ) (lib_symbols + (symbol "Connector:USB_B_Micro" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (at -5.08 11.43 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "USB_B_Micro" (at -5.08 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 3.81 -1.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector USB micro" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB Micro Type B connector" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "USB*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "USB_B_Micro_0_1" + (rectangle (start -5.08 -7.62) (end 5.08 7.62) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (circle (center -3.81 2.159) (radius 0.635) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (circle (center -0.635 3.429) (radius 0.381) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (rectangle (start -0.127 -7.62) (end 0.127 -6.858) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 2.159) + (xy 0.635 2.159) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -3.175 2.159) + (xy -2.54 2.159) + (xy -1.27 3.429) + (xy -0.635 3.429) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 2.159) + (xy -1.905 2.159) + (xy -1.27 0.889) + (xy 0 0.889) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.635 2.794) + (xy 0.635 1.524) + (xy 1.905 2.159) + (xy 0.635 2.794) + ) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -4.318 5.588) + (xy -1.778 5.588) + (xy -2.032 4.826) + (xy -4.064 4.826) + (xy -4.318 5.588) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -4.699 5.842) + (xy -4.699 5.588) + (xy -4.445 4.826) + (xy -4.445 4.572) + (xy -1.651 4.572) + (xy -1.651 4.826) + (xy -1.397 5.588) + (xy -1.397 5.842) + (xy -4.699 5.842) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 0.254 1.27) (end -0.508 0.508) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (rectangle (start 5.08 -5.207) (end 4.318 -4.953) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 -2.667) (end 4.318 -2.413) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 -0.127) (end 4.318 0.127) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (rectangle (start 5.08 4.953) (end 4.318 5.207) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "USB_B_Micro_1_1" + (pin power_out line (at 7.62 5.08 180) (length 2.54) + (name "VBUS" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 -2.54 180) (length 2.54) + (name "D-" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 7.62 0 180) (length 2.54) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 7.62 -5.08 180) (length 2.54) + (name "ID" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 0 -10.16 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 -10.16 90) (length 2.54) + (name "Shield" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "J" (id 0) (at 1.27 7.62 0) + (property "Reference" "J" (at 1.27 7.62 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Conn_02x05_Odd_Even" (id 1) (at 1.27 -7.62 0) + (property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (property "ki_keywords" "connector" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "Conn_02x05_Odd_Even_1_1" (rectangle (start -1.27 -4.953) (end 0 -5.207) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -2.413) (end 0 -2.667) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 0.127) (end 0 -0.127) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 2.667) (end 0 2.413) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 5.207) (end 0 4.953) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 6.35) (end 3.81 -6.35) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) (rectangle (start 3.81 -4.953) (end 2.54 -5.207) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -2.413) (end 2.54 -2.667) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 0.127) (end 2.54 -0.127) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 2.667) (end 2.54 2.413) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 5.207) (end 2.54 4.953) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (pin passive line (at -5.08 5.08 0) (length 3.81) @@ -122,230 +273,230 @@ ) ) (symbol "Connector_Generic:Conn_02x25_Counter_Clockwise" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "J" (id 0) (at 1.27 33.02 0) + (property "Reference" "J" (at 1.27 33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Conn_02x25_Counter_Clockwise" (id 1) (at 1.27 -33.02 0) + (property "Value" "Conn_02x25_Counter_Clockwise" (at 1.27 -33.02 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (property "ki_keywords" "connector" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Generic connector, double row, 02x25, counter clockwise pin numbering scheme (similar to DIP packge numbering), script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (property "ki_description" "Generic connector, double row, 02x25, counter clockwise pin numbering scheme (similar to DIP packge numbering), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "Connector*:*_2x??_*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "Conn_02x25_Counter_Clockwise_1_1" (rectangle (start -1.27 -30.353) (end 0 -30.607) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -27.813) (end 0 -28.067) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -25.273) (end 0 -25.527) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -22.733) (end 0 -22.987) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -20.193) (end 0 -20.447) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -17.653) (end 0 -17.907) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -15.113) (end 0 -15.367) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -12.573) (end 0 -12.827) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -10.033) (end 0 -10.287) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -7.493) (end 0 -7.747) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -4.953) (end 0 -5.207) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 -2.413) (end 0 -2.667) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 0.127) (end 0 -0.127) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 2.667) (end 0 2.413) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 5.207) (end 0 4.953) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 7.747) (end 0 7.493) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 10.287) (end 0 10.033) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 12.827) (end 0 12.573) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 15.367) (end 0 15.113) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 17.907) (end 0 17.653) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 20.447) (end 0 20.193) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 22.987) (end 0 22.733) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 25.527) (end 0 25.273) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 28.067) (end 0 27.813) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 30.607) (end 0 30.353) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start -1.27 31.75) (end 3.81 -31.75) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) (rectangle (start 3.81 -30.353) (end 2.54 -30.607) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -27.813) (end 2.54 -28.067) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -25.273) (end 2.54 -25.527) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -22.733) (end 2.54 -22.987) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -20.193) (end 2.54 -20.447) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -17.653) (end 2.54 -17.907) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -15.113) (end 2.54 -15.367) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -12.573) (end 2.54 -12.827) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -10.033) (end 2.54 -10.287) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -7.493) (end 2.54 -7.747) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -4.953) (end 2.54 -5.207) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 -2.413) (end 2.54 -2.667) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 0.127) (end 2.54 -0.127) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 2.667) (end 2.54 2.413) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 5.207) (end 2.54 4.953) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 7.747) (end 2.54 7.493) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 10.287) (end 2.54 10.033) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 12.827) (end 2.54 12.573) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 15.367) (end 2.54 15.113) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 17.907) (end 2.54 17.653) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 20.447) (end 2.54 20.193) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 22.987) (end 2.54 22.733) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 25.527) (end 2.54 25.273) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 28.067) (end 2.54 27.813) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (rectangle (start 3.81 30.607) (end 2.54 30.353) - (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (stroke (width 0.1524) (type default)) (fill (type none)) ) (pin passive line (at -5.08 30.48 0) (length 3.81) @@ -551,25 +702,25 @@ ) ) (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) - (property "Reference" "C" (id 0) (at 0.254 1.778 0) + (property "Reference" "C" (at 0.254 1.778 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "C_Small" (id 1) (at 0.254 -2.032 0) + (property "Value" "C_Small" (at 0.254 -2.032 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "capacitor cap" (id 4) (at 0 0 0) + (property "ki_keywords" "capacitor cap" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Unpolarized capacitor, small symbol" (id 5) (at 0 0 0) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "C_*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "C_Small_0_1" @@ -578,7 +729,7 @@ (xy -1.524 -0.508) (xy 1.524 -0.508) ) - (stroke (width 0.3302) (type default) (color 0 0 0 0)) + (stroke (width 0.3302) (type default)) (fill (type none)) ) (polyline @@ -586,7 +737,7 @@ (xy -1.524 0.508) (xy 1.524 0.508) ) - (stroke (width 0.3048) (type default) (color 0 0 0 0)) + (stroke (width 0.3048) (type default)) (fill (type none)) ) ) @@ -601,174 +752,114 @@ ) ) ) - (symbol "Device:R_Pack04" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) - (property "Reference" "RN" (id 0) (at -7.62 0 90) - (effects (font (size 1.27 1.27))) + (symbol "Device:Crystal_GND24_Small" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Y" (at 1.27 4.445 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "R_Pack04" (id 1) (at 5.08 0 90) - (effects (font (size 1.27 1.27))) + (property "Value" "Crystal_GND24_Small" (at 1.27 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 6.985 0 90) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "R network parallel topology isolated" (id 4) (at 0 0 0) + (property "ki_keywords" "quartz ceramic resonator oscillator" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "4 resistor network, parallel topology" (id 5) (at 0 0 0) + (property "ki_description" "Four pin crystal, GND on pins 2 and 4, small symbol" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "DIP* SOIC* R*Array*Concave* R*Array*Convex*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "Crystal*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "R_Pack04_0_1" - (rectangle (start -6.35 -2.413) (end 3.81 2.413) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - (rectangle (start -5.715 1.905) (end -4.445 -1.905) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start -3.175 1.905) (end -1.905 -1.905) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start -0.635 1.905) (end 0.635 -1.905) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (symbol "Crystal_GND24_Small_0_1" + (rectangle (start -0.762 -1.524) (end 0.762 1.524) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline (pts - (xy -5.08 -2.54) - (xy -5.08 -1.905) + (xy -1.27 -0.762) + (xy -1.27 0.762) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0.381) (type default)) (fill (type none)) ) (polyline (pts - (xy -5.08 1.905) - (xy -5.08 2.54) + (xy 1.27 -0.762) + (xy 1.27 0.762) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0.381) (type default)) (fill (type none)) ) (polyline (pts - (xy -2.54 -2.54) - (xy -2.54 -1.905) + (xy -1.27 -1.27) + (xy -1.27 -1.905) + (xy 1.27 -1.905) + (xy 1.27 -1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline (pts - (xy -2.54 1.905) - (xy -2.54 2.54) + (xy -1.27 1.27) + (xy -1.27 1.905) + (xy 1.27 1.905) + (xy 1.27 1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 -2.54) - (xy 0 -1.905) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 0 1.905) - (xy 0 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 2.54 -2.54) - (xy 2.54 -1.905) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 2.54 1.905) - (xy 2.54 2.54) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (rectangle (start 1.905 1.905) (end 3.175 -1.905) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) ) - (symbol "R_Pack04_1_1" - (pin passive line (at -5.08 -5.08 90) (length 2.54) - (name "R1.1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) + (symbol "Crystal_GND24_Small_1_1" + (pin passive line (at -2.54 0 0) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 0.762 0.762)))) ) - (pin passive line (at -2.54 -5.08 90) (length 2.54) - (name "R2.1" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) + (pin passive line (at 0 -2.54 90) (length 0.635) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 0.762 0.762)))) ) - (pin passive line (at 0 -5.08 90) (length 2.54) - (name "R3.1" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) + (pin passive line (at 2.54 0 180) (length 1.27) + (name "3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 0.762 0.762)))) ) - (pin passive line (at 2.54 -5.08 90) (length 2.54) - (name "R4.1" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 2.54 5.08 270) (length 2.54) - (name "R4.2" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 5.08 270) (length 2.54) - (name "R3.2" (effects (font (size 1.27 1.27)))) - (number "6" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -2.54 5.08 270) (length 2.54) - (name "R2.2" (effects (font (size 1.27 1.27)))) - (number "7" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at -5.08 5.08 270) (length 2.54) - (name "R1.2" (effects (font (size 1.27 1.27)))) - (number "8" (effects (font (size 1.27 1.27)))) + (pin passive line (at 0 2.54 270) (length 0.635) + (name "4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 0.762 0.762)))) ) ) ) (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) - (property "Reference" "R" (id 0) (at 0.762 0.508 0) + (property "Reference" "R" (at 0.762 0.508 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "R_Small" (id 1) (at 0.762 -1.016 0) + (property "Value" "R_Small" (at 0.762 -1.016 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "R resistor" (id 4) (at 0 0 0) + (property "ki_keywords" "R resistor" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Resistor, small symbol" (id 5) (at 0 0 0) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "R_*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "R_Small_0_1" (rectangle (start -0.762 1.778) (end 0.762 -1.778) - (stroke (width 0.2032) (type default) (color 0 0 0 0)) + (stroke (width 0.2032) (type default)) (fill (type none)) ) ) @@ -784,21 +875,21 @@ ) ) (symbol "GW_Logic:741G125GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 6.35 0) + (property "Reference" "U" (at 0 6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "741G125GW" (id 1) (at 0 -6.35 0) + (property "Value" "741G125GW" (at 0 -6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "stdpads:SOT-353" (id 2) (at 0 -7.62 0) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 0 -5.08 0) + (property "Datasheet" "" (at 0 -5.08 0) (effects (font (size 1.524 1.524)) hide) ) (symbol "741G125GW_0_1" (rectangle (start 5.08 -5.08) (end -5.08 5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -826,21 +917,21 @@ ) ) (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 15.24 0) + (property "Reference" "U" (at 0 15.24 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "74245" (id 1) (at 0 0 90) + (property "Value" "74245" (at 0 0 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 -16.51 0) + (property "Footprint" "" (at 0 -16.51 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 0 2.54 0) + (property "Datasheet" "" (at 0 2.54 0) (effects (font (size 1.524 1.524)) hide) ) (symbol "74245_0_1" (rectangle (start -5.08 13.97) (end 5.08 -13.97) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -928,21 +1019,21 @@ ) ) (symbol "GW_Logic:Oscillator_4P" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 6.35 0) + (property "Reference" "U" (at 0 6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Oscillator_4P" (id 1) (at 0 -3.81 0) + (property "Value" "Oscillator_4P" (at 0 -3.81 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "Oscillator_4P_0_1" (rectangle (start -6.35 5.08) (end 6.35 -2.54) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -965,35 +1056,35 @@ ) ) ) - (symbol "GW_PLD:EPM240T100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 1.27 0) + (symbol "GW_PLD:5M240ZT100" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 1.27 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "EPM240T100" (id 1) (at 0 -1.27 0) + (property "Value" "5M240ZT100" (at 0 -1.27 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (id 2) (at 0 -2.54 0) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 0 -2.54 0) (effects (font (size 0.508 0.508)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "MAX2 TQFP" (id 4) (at 0 0 0) + (property "ki_keywords" "MAX2 TQFP" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Altera MAX2 CPLD with 240 LE" (id 5) (at 0 0 0) + (property "ki_description" "Altera MAX2 CPLD with 240 LE" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "*QFP*P0.5mm*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "*QFP*P0.5mm*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "EPM240T100_1_1" + (symbol "5M240ZT100_1_1" (rectangle (start -20.32 55.88) (end 20.32 -55.88) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) - (pin bidirectional line (at 25.4 53.34 180) (length 5.08) - (name "IO2_1" (effects (font (size 1.27 1.27)))) + (pin power_in line (at 10.16 -60.96 90) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) (pin power_in line (at -5.08 -60.96 90) (length 5.08) @@ -1395,21 +1486,21 @@ ) ) (symbol "GW_Power:AP2125" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 6.35 0) + (property "Reference" "U" (at 0 6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "AP2125" (id 1) (at 0 -6.35 0) + (property "Value" "AP2125" (at 0 -6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "stdpads:SOT-23" (id 2) (at 0 -7.62 0) + (property "Footprint" "stdpads:SOT-23" (at 0 -7.62 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 0 -2.54 0) + (property "Datasheet" "" (at 0 -2.54 0) (effects (font (size 1.524 1.524)) hide) ) (symbol "AP2125_0_1" (rectangle (start -6.35 5.08) (end 6.35 -5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -1429,21 +1520,21 @@ ) ) (symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 29.21 0) + (property "Reference" "U" (at 0 29.21 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "SDRAM-16Mx16-TSOP2-54" (id 1) (at 0 0 90) + (property "Value" "SDRAM-16Mx16-TSOP2-54" (at 0 0 90) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "stdpads:Winbond_TSOPII-54" (id 2) (at 0 -41.91 0) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0) (effects (font (size 1.27 1.27) italic) hide) ) - (property "Datasheet" "" (id 3) (at 0 -6.35 0) + (property "Datasheet" "" (at 0 -6.35 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "SDRAM-16Mx16-TSOP2-54_0_1" (rectangle (start -7.62 27.94) (end 7.62 -35.56) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -1663,21 +1754,21 @@ ) ) (symbol "GW_RAM:SPIFlash-SO-8" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 8.89 0) + (property "Reference" "U" (at 0 8.89 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "SPIFlash-SO-8" (id 1) (at 0 -6.35 0) + (property "Value" "SPIFlash-SO-8" (at 0 -6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "stdpads:stdpads:SOIC-8_5.3mm" (id 2) (at 0 -7.62 0) + (property "Footprint" "stdpads:stdpads:SOIC-8_5.3mm" (at 0 -7.62 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) (symbol "SPIFlash-SO-8_0_1" (rectangle (start -8.89 7.62) (end 8.89 -5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -1716,89 +1807,184 @@ ) ) ) + (symbol "Interface_USB:CH340G" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 13.97 0) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "CH340G" (at 1.27 13.97 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" (at 1.27 -13.97 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "http://www.datasheet5.com/pdf-local-2195953" (at -8.89 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "USB UART Serial Converter Interface" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "USB serial converter, UART, SOIC-16" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOIC*3.9x9.9mm*P1.27mm*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "CH340G_0_1" + (rectangle (start -7.62 12.7) (end 7.62 -12.7) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "CH340G_1_1" + (pin power_in line (at 0 -15.24 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 0 180) (length 2.54) + (name "~{DSR}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "~{RI}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -5.08 180) (length 2.54) + (name "~{DCD}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -7.62 180) (length 2.54) + (name "~{DTR}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -10.16 180) (length 2.54) + (name "~{RTS}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 7.62 0) (length 2.54) + (name "R232" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 15.24 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 10.16 180) (length 2.54) + (name "TXD" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 7.62 180) (length 2.54) + (name "RXD" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -2.54 15.24 270) (length 2.54) + (name "V3" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 2.54 0) (length 2.54) + (name "UD+" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 0 0) (length 2.54) + (name "UD-" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -5.08 0) (length 2.54) + (name "XI" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -10.16 -10.16 0) (length 2.54) + (name "XO" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 2.54 180) (length 2.54) + (name "~{CTS}" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "Mechanical:Fiducial" (in_bom yes) (on_board yes) - (property "Reference" "FID" (id 0) (at 0 5.08 0) + (property "Reference" "FID" (at 0 5.08 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "Fiducial" (id 1) (at 0 3.175 0) + (property "Value" "Fiducial" (at 0 3.175 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "fiducial marker" (id 4) (at 0 0 0) + (property "ki_keywords" "fiducial marker" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Fiducial Marker" (id 5) (at 0 0 0) + (property "ki_description" "Fiducial Marker" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "Fiducial*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "Fiducial*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "Fiducial_0_1" (circle (center 0 0) (radius 1.27) - (stroke (width 0.508) (type default) (color 0 0 0 0)) + (stroke (width 0.508) (type default)) (fill (type background)) ) ) ) (symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) - (property "Reference" "H" (id 0) (at 0 5.08 0) + (property "Reference" "H" (at 0 5.08 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "MountingHole" (id 1) (at 0 3.175 0) + (property "Value" "MountingHole" (at 0 3.175 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (property "ki_keywords" "mounting hole" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Mounting Hole without connection" (id 5) (at 0 0 0) + (property "ki_description" "Mounting Hole without connection" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "MountingHole*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "MountingHole*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "MountingHole_0_1" (circle (center 0 0) (radius 1.27) - (stroke (width 1.27) (type default) (color 0 0 0 0)) + (stroke (width 1.27) (type default)) (fill (type none)) ) ) ) (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "H" (id 0) (at 0 6.35 0) + (property "Reference" "H" (at 0 6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "MountingHole_Pad" (id 1) (at 0 4.445 0) + (property "Value" "MountingHole_Pad" (at 0 4.445 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (property "ki_keywords" "mounting hole" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Mounting Hole with connection" (id 5) (at 0 0 0) + (property "ki_description" "Mounting Hole with connection" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "MountingHole*Pad*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "MountingHole*Pad*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "MountingHole_Pad_0_1" (circle (center 0 1.27) (radius 1.27) - (stroke (width 1.27) (type default) (color 0 0 0 0)) + (stroke (width 1.27) (type default)) (fill (type none)) ) ) @@ -1809,35 +1995,86 @@ ) ) ) + (symbol "Regulator_Linear:AP2127K-1.8" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at -5.08 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "AP2127K-1.8" (at 0 5.715 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (at 0 8.255 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP2127.pdf" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "linear regulator ldo fixed positive" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA low dropout linear regulator, shutdown pin, 2.5V-6V input voltage, 1.8V fixed positive output, SOT-23-5" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP2127K-1.8_0_1" + (rectangle (start -5.08 4.445) (end 5.08 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP2127K-1.8_1_1" + (pin power_in line (at -7.62 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -7.62 0 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 5.08 0 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 7.62 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "Switch:SW_DIP_x02" (pin_names (offset 0) hide) (in_bom yes) (on_board yes) - (property "Reference" "SW" (id 0) (at 0 6.35 0) + (property "Reference" "SW" (at 0 6.35 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "SW_DIP_x02" (id 1) (at 0 -3.81 0) + (property "Value" "SW_DIP_x02" (at 0 -3.81 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 0 0 0) + (property "Datasheet" "~" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "dip switch" (id 4) (at 0 0 0) + (property "ki_keywords" "dip switch" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "2x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol" (id 5) (at 0 0 0) + (property "ki_description" "2x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "SW?DIP?x2*" (id 6) (at 0 0 0) + (property "ki_fp_filters" "SW?DIP?x2*" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "SW_DIP_x02_0_0" (circle (center -2.032 0) (radius 0.508) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (circle (center -2.032 2.54) (radius 0.508) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -1845,7 +2082,7 @@ (xy -1.524 0.127) (xy 2.3622 1.1684) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -1853,21 +2090,21 @@ (xy -1.524 2.667) (xy 2.3622 3.7084) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (circle (center 2.032 0) (radius 0.508) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (circle (center 2.032 2.54) (radius 0.508) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) ) (symbol "SW_DIP_x02_0_1" (rectangle (start -3.81 5.08) (end 3.81 -2.54) - (stroke (width 0.254) (type default) (color 0 0 0 0)) + (stroke (width 0.254) (type default)) (fill (type background)) ) ) @@ -1891,22 +2128,22 @@ ) ) (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (property "Reference" "#PWR" (at 0 -3.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+12V" (id 1) (at 0 3.556 0) + (property "Value" "+12V" (at 0 3.556 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "power-flag" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (id 5) (at 0 0 0) + (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "+12V_0_1" @@ -1915,7 +2152,7 @@ (xy -0.762 1.27) (xy 0 2.54) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -1923,7 +2160,7 @@ (xy 0 0) (xy 0 2.54) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -1931,7 +2168,7 @@ (xy 0 2.54) (xy 0.762 1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) ) @@ -1942,23 +2179,75 @@ ) ) ) - (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (symbol "power:+1V8" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 0 3.556 0) + (property "Value" "+1V8" (at 0 3.556 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "global power" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (id 5) (at 0 0 0) + (property "ki_description" "Power symbol creates a global label with name \"+1V8\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V8_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V8_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V8" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "+3V3_0_1" @@ -1967,7 +2256,7 @@ (xy -0.762 1.27) (xy 0 2.54) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -1975,7 +2264,7 @@ (xy 0 0) (xy 0 2.54) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -1983,7 +2272,7 @@ (xy 0 2.54) (xy 0.762 1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) ) @@ -1995,22 +2284,22 @@ ) ) (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (property "Reference" "#PWR" (at 0 -3.81 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 0 3.556 0) + (property "Value" "+5V" (at 0 3.556 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "power-flag" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "+5V_0_1" @@ -2019,7 +2308,7 @@ (xy -0.762 1.27) (xy 0 2.54) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -2027,7 +2316,7 @@ (xy 0 0) (xy 0 2.54) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) (polyline @@ -2035,7 +2324,7 @@ (xy 0 2.54) (xy 0.762 1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) ) @@ -2047,22 +2336,22 @@ ) ) (symbol "power:-12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 2.54 0) + (property "Reference" "#PWR" (at 0 2.54 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "-12V" (id 1) (at 0 3.81 0) + (property "Value" "-12V" (at 0 3.81 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "power-flag" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (id 5) (at 0 0 0) + (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "-12V_0_0" @@ -2081,28 +2370,28 @@ (xy -0.762 1.27) (xy 0 1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type outline)) ) ) ) (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 2.54 0) + (property "Reference" "#PWR" (at 0 2.54 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "-5V" (id 1) (at 0 3.81 0) + (property "Value" "-5V" (at 0 3.81 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "power-flag" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (id 5) (at 0 0 0) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "-5V_0_0" @@ -2121,28 +2410,28 @@ (xy -0.762 1.27) (xy 0 1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type outline)) ) ) ) (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (property "Reference" "#PWR" (at 0 -6.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 0 -3.81 0) + (property "Value" "GND" (at 0 -3.81 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "power-flag" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (symbol "GND_0_1" @@ -2155,7 +2444,7 @@ (xy -1.27 -1.27) (xy 0 -1.27) ) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (fill (type none)) ) ) @@ -2168,146 +2457,140 @@ ) ) - (junction (at 200.66 27.94) (diameter 0) (color 0 0 0 0) + (junction (at 214.63 27.94) (diameter 0) (color 0 0 0 0) (uuid 022502e0-e724-4b75-bc35-3c5984dbeb76) ) - (junction (at 133.35 184.15) (diameter 0) (color 0 0 0 0) - (uuid 05e45f00-3c6b-4c0c-9ffb-3fe26fcda007) + (junction (at 224.79 161.29) (diameter 0) (color 0 0 0 0) + (uuid 0437abb6-6365-4357-8114-e2d537d2d6da) ) - (junction (at 208.28 17.78) (diameter 0) (color 0 0 0 0) + (junction (at 214.63 17.78) (diameter 0) (color 0 0 0 0) (uuid 065b9982-55f2-4822-977e-07e8a06e7b35) ) - (junction (at 115.57 44.45) (diameter 0) (color 0 0 0 0) - (uuid 08da8f18-02c3-4a28-a400-670f01755980) - ) - (junction (at 208.28 27.94) (diameter 0) (color 0 0 0 0) - (uuid 08ec951f-e7eb-41cf-9589-697107a98e88) - ) - (junction (at 162.56 43.18) (diameter 0) (color 0 0 0 0) + (junction (at 191.77 43.18) (diameter 0) (color 0 0 0 0) (uuid 09bbea88-8bd7-48ec-baae-1b4a9a11a40e) ) - (junction (at 177.8 38.1) (diameter 0) (color 0 0 0 0) - (uuid 0a5610bb-d01a-4417-8271-dc424dd2c838) + (junction (at 207.01 151.13) (diameter 0) (color 0 0 0 0) + (uuid 0a70b943-2fa3-44f1-a9b3-135884e77bbe) ) - (junction (at 231.14 43.18) (diameter 0) (color 0 0 0 0) - (uuid 0f0f7bb5-ade7-4a81-82b4-43be6a8ad05c) + (junction (at 55.88 173.99) (diameter 0) (color 0 0 0 0) + (uuid 0c1c4bf2-ad38-424d-b397-78a2a50712de) ) - (junction (at 57.15 173.99) (diameter 0) (color 0 0 0 0) + (junction (at 111.76 194.31) (diameter 0) (color 0 0 0 0) (uuid 0f560957-a8c5-442f-b20c-c2d88613742c) ) - (junction (at 162.56 38.1) (diameter 0) (color 0 0 0 0) + (junction (at 191.77 38.1) (diameter 0) (color 0 0 0 0) (uuid 0fb27e11-fde6-4a25-adbb-e9684771b369) ) - (junction (at 200.66 38.1) (diameter 0) (color 0 0 0 0) + (junction (at 229.87 38.1) (diameter 0) (color 0 0 0 0) (uuid 10b20c6b-8045-46d1-a965-0d7dd9a1b5fa) ) + (junction (at 191.77 33.02) (diameter 0) (color 0 0 0 0) + (uuid 10dc8b81-0e79-4f5d-bb6c-434f63082b78) + ) (junction (at 55.88 52.07) (diameter 0) (color 0 0 0 0) (uuid 1765d6b9-ca0e-49c2-8c3c-8ab35eb3909b) ) - (junction (at 218.44 22.86) (diameter 0) (color 0 0 0 0) - (uuid 19515fa4-c166-4b6e-837d-c01a89e98000) - ) (junction (at 115.57 166.37) (diameter 0) (color 0 0 0 0) (uuid 1b98de85-f9de-4825-baf2-c96991615275) ) - (junction (at 35.56 151.13) (diameter 0) (color 0 0 0 0) - (uuid 1cc5480b-56b7-4379-98e2-ccafc88911a7) + (junction (at 237.49 22.86) (diameter 0) (color 0 0 0 0) + (uuid 1bdf1324-ef7d-4f4d-9a41-28e9c858651e) ) - (junction (at 223.52 38.1) (diameter 0) (color 0 0 0 0) - (uuid 2102c637-9f11-48f1-aae6-b4139dc22be2) + (junction (at 245.11 33.02) (diameter 0) (color 0 0 0 0) + (uuid 1e44b557-46a8-49a6-bd8b-2970d111d2e3) ) - (junction (at 228.6 17.78) (diameter 0) (color 0 0 0 0) - (uuid 29987966-1d19-4068-93f6-a61cdfb40ffa) + (junction (at 245.11 123.19) (diameter 0) (color 0 0 0 0) + (uuid 22204a20-8000-43a4-8a59-0c8fb5a490a1) ) - (junction (at 104.14 194.31) (diameter 0) (color 0 0 0 0) + (junction (at 214.63 38.1) (diameter 0) (color 0 0 0 0) + (uuid 2a441f61-9bf6-402b-9f49-cde78512d59b) + ) + (junction (at 21.59 146.05) (diameter 0) (color 0 0 0 0) (uuid 2dc66f7e-d85d-4081-ae71-fd8851d6aeda) ) - (junction (at 154.94 43.18) (diameter 0) (color 0 0 0 0) - (uuid 2f3fba7a-cf45-4bd8-9035-07e6fa0b4732) + (junction (at 115.57 44.45) (diameter 0) (color 0 0 0 0) + (uuid 2ed2f67b-a031-4ac2-b2d4-cbfbc7e21eb9) ) - (junction (at 231.14 27.94) (diameter 0) (color 0 0 0 0) + (junction (at 222.25 27.94) (diameter 0) (color 0 0 0 0) + (uuid 3008a882-a6af-46d7-b27e-62b45fb6bac2) + ) + (junction (at 245.11 27.94) (diameter 0) (color 0 0 0 0) (uuid 34ce7009-187e-4541-a14e-708b3a2903d9) ) (junction (at 128.27 166.37) (diameter 0) (color 0 0 0 0) (uuid 34ddb753-e57c-4ca8-a67b-d7cdf62cae93) ) - (junction (at 215.9 38.1) (diameter 0) (color 0 0 0 0) + (junction (at 245.11 38.1) (diameter 0) (color 0 0 0 0) (uuid 3656bb3f-f8a4-4f3a-8e9a-ec6203c87a56) ) (junction (at 123.19 166.37) (diameter 0) (color 0 0 0 0) (uuid 37728c8e-efcc-462c-a749-47b6bfcbaf37) ) - (junction (at 238.76 43.18) (diameter 0) (color 0 0 0 0) - (uuid 3a1a39fc-8030-4c93-9d9c-d79ba6824099) + (junction (at 252.73 22.86) (diameter 0) (color 0 0 0 0) + (uuid 39311a60-78b8-49a4-8dc0-ad09a96ae765) ) - (junction (at 147.32 38.1) (diameter 0) (color 0 0 0 0) - (uuid 3c3e06bd-c8bb-4ec8-84e0-f7f9437909b3) + (junction (at 184.15 27.94) (diameter 0) (color 0 0 0 0) + (uuid 3f33461e-6a88-45fa-a39f-cc641394734b) ) - (junction (at 170.18 27.94) (diameter 0) (color 0 0 0 0) - (uuid 3e87b259-dfc1-4885-8dcf-7e7ae39674ed) - ) - (junction (at 223.52 27.94) (diameter 0) (color 0 0 0 0) + (junction (at 237.49 27.94) (diameter 0) (color 0 0 0 0) (uuid 3fa05934-8ad1-40a9-af5c-98ad298eb412) ) - (junction (at 185.42 43.18) (diameter 0) (color 0 0 0 0) - (uuid 4160bbf7-ffff-4c5c-a647-5ee58ddecf06) - ) - (junction (at 238.76 33.02) (diameter 0) (color 0 0 0 0) - (uuid 41c18011-40db-4384-9ba4-c0158d0d9d6a) - ) - (junction (at 231.14 38.1) (diameter 0) (color 0 0 0 0) - (uuid 4346fe55-f906-453a-b81a-1c013104a598) - ) (junction (at 110.49 44.45) (diameter 0) (color 0 0 0 0) (uuid 444b2eaf-241d-42e5-8717-27a83d099c5b) ) - (junction (at 154.94 38.1) (diameter 0) (color 0 0 0 0) - (uuid 456c5e47-d71e-4708-b061-1e61634d8648) + (junction (at 222.25 33.02) (diameter 0) (color 0 0 0 0) + (uuid 46a29c43-f7a3-4cd0-804b-1af85a1b1f19) ) - (junction (at 215.9 33.02) (diameter 0) (color 0 0 0 0) - (uuid 49fec31e-3712-4229-8142-b191d90a97d0) + (junction (at 207.01 22.86) (diameter 0) (color 0 0 0 0) + (uuid 4fdee335-b6df-4cd6-990a-be6774a4f35f) + ) + (junction (at 76.2 156.21) (diameter 0) (color 0 0 0 0) + (uuid 55954775-3de4-4fba-84fe-40ec8f574a59) ) (junction (at 118.11 166.37) (diameter 0) (color 0 0 0 0) (uuid 5698a460-6e24-4857-84d8-4a43acd2325d) ) - (junction (at 162.56 33.02) (diameter 0) (color 0 0 0 0) - (uuid 56d2bc5d-fd72-4542-ab0f-053a5fd60efa) - ) - (junction (at 154.94 27.94) (diameter 0) (color 0 0 0 0) - (uuid 58cc7831-f944-4d33-8c61-2fd5bebc61e0) - ) - (junction (at 147.32 27.94) (diameter 0) (color 0 0 0 0) - (uuid 5eedf685-0df3-4da8-aded-0e6ed1cb2507) - ) - (junction (at 27.94 182.88) (diameter 0) (color 0 0 0 0) - (uuid 631c7be5-8dc2-4df4-ab73-737bb928e763) + (junction (at 184.15 38.1) (diameter 0) (color 0 0 0 0) + (uuid 5770763d-6825-49a2-8bcf-06540091cf7a) ) (junction (at 35.56 133.35) (diameter 0) (color 0 0 0 0) (uuid 637f12be-fa48-4ce4-96b2-04c21a8795c8) ) - (junction (at 228.6 22.86) (diameter 0) (color 0 0 0 0) - (uuid 6474aa6c-825c-4f0f-9938-759b68df02a5) - ) (junction (at 118.11 44.45) (diameter 0) (color 0 0 0 0) (uuid 653e74f0-0a40-4ab5-8f5c-787bbaf1d723) ) - (junction (at 200.66 33.02) (diameter 0) (color 0 0 0 0) + (junction (at 207.01 33.02) (diameter 0) (color 0 0 0 0) (uuid 66ca01b3-51ff-4294-9b77-4492e98f6aec) ) - (junction (at 238.76 22.86) (diameter 0) (color 0 0 0 0) - (uuid 6ba19f6c-fa3a-4bf3-8c57-119de0f02b65) + (junction (at 184.15 17.78) (diameter 0) (color 0 0 0 0) + (uuid 696af939-867e-4af3-b6ca-2e5e06602532) ) - (junction (at 185.42 33.02) (diameter 0) (color 0 0 0 0) - (uuid 72366acb-6c86-4134-89df-01ed6e4dc8e0) + (junction (at 260.35 22.86) (diameter 0) (color 0 0 0 0) + (uuid 69909b93-a3d1-498a-aa4a-1f073b2b62b1) ) - (junction (at 185.42 27.94) (diameter 0) (color 0 0 0 0) + (junction (at 26.67 173.99) (diameter 0) (color 0 0 0 0) + (uuid 6a61ffb9-4481-40f5-a4be-b3d72cc466e2) + ) + (junction (at 252.73 43.18) (diameter 0) (color 0 0 0 0) + (uuid 6c183445-4d30-455d-a1f5-539b79d4b5cb) + ) + (junction (at 199.39 27.94) (diameter 0) (color 0 0 0 0) (uuid 7274c82d-0cb9-47de-b093-7d848f491410) ) (junction (at 113.03 166.37) (diameter 0) (color 0 0 0 0) (uuid 74096bdc-b668-408c-af3a-b048c20bd605) ) - (junction (at 185.42 38.1) (diameter 0) (color 0 0 0 0) - (uuid 7582a530-a952-46c1-b7eb-75006524ba29) + (junction (at 233.68 123.19) (diameter 0) (color 0 0 0 0) + (uuid 7a1644f4-da52-40f4-a904-03a98db62f09) + ) + (junction (at 252.73 33.02) (diameter 0) (color 0 0 0 0) + (uuid 7b4762e7-77bb-481d-ba04-f793e0a6abf3) + ) + (junction (at 252.73 113.03) (diameter 0) (color 0 0 0 0) + (uuid 7d204f5b-eb71-4af6-ba55-6e1706ad30fe) + ) + (junction (at 229.87 22.86) (diameter 0) (color 0 0 0 0) + (uuid 80c4f615-fe06-4055-b547-a67fd424538a) ) (junction (at 120.65 166.37) (diameter 0) (color 0 0 0 0) (uuid 8220ba36-5fda-4461-95e2-49a5bc0c76af) @@ -2318,2708 +2601,2918 @@ (junction (at 125.73 166.37) (diameter 0) (color 0 0 0 0) (uuid 848c6095-3966-404d-9f2a-51150fd8dc54) ) - (junction (at 231.14 33.02) (diameter 0) (color 0 0 0 0) + (junction (at 204.47 161.29) (diameter 0) (color 0 0 0 0) + (uuid 866cc9f6-1cc4-4653-a8be-a297f477827a) + ) + (junction (at 237.49 33.02) (diameter 0) (color 0 0 0 0) (uuid 87ba184f-bff5-4989-8217-6af375cc3dd8) ) (junction (at 55.88 49.53) (diameter 0) (color 0 0 0 0) (uuid 8ade7975-64a0-440a-8545-11958836bf48) ) - (junction (at 208.28 33.02) (diameter 0) (color 0 0 0 0) + (junction (at 214.63 33.02) (diameter 0) (color 0 0 0 0) (uuid 8b3ba7fc-20b6-43c4-a020-80151e1caecc) ) - (junction (at 170.18 43.18) (diameter 0) (color 0 0 0 0) + (junction (at 199.39 43.18) (diameter 0) (color 0 0 0 0) (uuid 8b963561-586b-4575-b721-87e7914602c6) ) - (junction (at 208.28 43.18) (diameter 0) (color 0 0 0 0) + (junction (at 245.11 113.03) (diameter 0) (color 0 0 0 0) + (uuid 8c0f88b5-303f-40ca-95f8-f7522d83656d) + ) + (junction (at 237.49 43.18) (diameter 0) (color 0 0 0 0) (uuid 8e697b96-cf4c-43ef-b321-8c2422b088bf) ) + (junction (at 191.77 161.29) (diameter 0) (color 0 0 0 0) + (uuid 8f2d4a89-4dd2-451a-bd91-d067e91384e0) + ) (junction (at 172.72 52.07) (diameter 0) (color 0 0 0 0) (uuid 8fc062a7-114d-48eb-a8f8-71128838f380) ) - (junction (at 78.74 173.99) (diameter 0) (color 0 0 0 0) + (junction (at 207.01 38.1) (diameter 0) (color 0 0 0 0) + (uuid 90dcd4ff-861c-4f35-aa5f-4ec5fa685df6) + ) + (junction (at 133.35 194.31) (diameter 0) (color 0 0 0 0) (uuid 90f81af1-b6de-44aa-a46b-6504a157ce6c) ) - (junction (at 113.03 44.45) (diameter 0) (color 0 0 0 0) - (uuid 971d1932-4a99-4265-9c76-26e554bde4fe) + (junction (at 222.25 113.03) (diameter 0) (color 0 0 0 0) + (uuid 93a559c8-97da-47c1-8d23-6dd7282fc30c) ) - (junction (at 223.52 33.02) (diameter 0) (color 0 0 0 0) + (junction (at 191.77 130.81) (diameter 0) (color 0 0 0 0) + (uuid 9596e4bc-977c-4247-b1d9-05bbfb34ae02) + ) + (junction (at 229.87 33.02) (diameter 0) (color 0 0 0 0) (uuid 9e2492fd-e074-42db-8129-fe39460dc1e0) ) - (junction (at 170.18 33.02) (diameter 0) (color 0 0 0 0) - (uuid a2a0f5cc-b5aa-4e3e-8d85-23bdc2f59aec) + (junction (at 176.53 17.78) (diameter 0) (color 0 0 0 0) + (uuid a7beee52-fd4d-4eab-9fc6-0639d2a0bcf0) ) - (junction (at 215.9 27.94) (diameter 0) (color 0 0 0 0) - (uuid a3fab380-991d-404b-95d5-1c209b047b6e) + (junction (at 83.82 156.21) (diameter 0) (color 0 0 0 0) + (uuid acd0a65b-8e48-4a7f-a8fc-bf421d2465bf) ) - (junction (at 27.94 180.34) (diameter 0) (color 0 0 0 0) - (uuid af76ce95-feca-41fb-bf31-edaa26d6766a) + (junction (at 229.87 27.94) (diameter 0) (color 0 0 0 0) + (uuid ad123c82-8eb5-42a4-a6e6-a5a116411fdd) + ) + (junction (at 191.77 22.86) (diameter 0) (color 0 0 0 0) + (uuid afa97180-1a7d-40b6-9538-c57db367999a) ) (junction (at 120.65 44.45) (diameter 0) (color 0 0 0 0) (uuid b24c67bf-acb7-486e-9d7b-fb513b8c7fc6) ) - (junction (at 143.51 184.15) (diameter 0) (color 0 0 0 0) - (uuid b45059f3-613f-4b7a-a70a-ed75a9e941e6) + (junction (at 207.01 156.21) (diameter 0) (color 0 0 0 0) + (uuid b5ad0d15-22b6-477a-ac91-25ad942c21db) ) - (junction (at 177.8 33.02) (diameter 0) (color 0 0 0 0) + (junction (at 199.39 22.86) (diameter 0) (color 0 0 0 0) (uuid b66b83a0-313f-4b03-b851-c6e9577a6eb7) ) - (junction (at 57.15 189.23) (diameter 0) (color 0 0 0 0) + (junction (at 55.88 156.21) (diameter 0) (color 0 0 0 0) (uuid b794d099-f823-4d35-9755-ca1c45247ee9) ) - (junction (at 238.76 27.94) (diameter 0) (color 0 0 0 0) - (uuid b853d9ac-7829-468f-99ac-dc9996502e94) - ) - (junction (at 170.18 38.1) (diameter 0) (color 0 0 0 0) + (junction (at 199.39 38.1) (diameter 0) (color 0 0 0 0) (uuid b8c8c7a1-d546-4878-9de9-463ec76dff98) ) - (junction (at 162.56 27.94) (diameter 0) (color 0 0 0 0) - (uuid ba116096-3ccc-4cc8-a185-5325439e4e24) + (junction (at 260.35 33.02) (diameter 0) (color 0 0 0 0) + (uuid bc54703c-70bd-44e9-bb6b-e4f5157540fc) ) - (junction (at 27.94 185.42) (diameter 0) (color 0 0 0 0) - (uuid c210293b-1d7a-4e96-92e9-058784106727) + (junction (at 252.73 27.94) (diameter 0) (color 0 0 0 0) + (uuid c593491c-ec91-4b5b-beac-1de90725bd7b) ) - (junction (at 223.52 43.18) (diameter 0) (color 0 0 0 0) - (uuid c7cd39db-931a-4d86-96b8-57e6b39f58f9) + (junction (at 229.87 17.78) (diameter 0) (color 0 0 0 0) + (uuid c693a515-8093-4d5b-b9bf-334dff5f7b83) + ) + (junction (at 252.73 38.1) (diameter 0) (color 0 0 0 0) + (uuid ccf2d526-7f49-4fa6-8853-ec3252fef3bf) + ) + (junction (at 214.63 22.86) (diameter 0) (color 0 0 0 0) + (uuid cd17f08e-5cba-4621-8cc4-973929772807) + ) + (junction (at 214.63 43.18) (diameter 0) (color 0 0 0 0) + (uuid ce7b5210-765d-4855-bbb3-0ef10b97e821) ) (junction (at 172.72 110.49) (diameter 0) (color 0 0 0 0) (uuid d0cd3439-276c-41ba-b38d-f84f6da38415) ) - (junction (at 154.94 33.02) (diameter 0) (color 0 0 0 0) - (uuid d45d1afe-78e6-4045-862c-b274469da903) + (junction (at 222.25 22.86) (diameter 0) (color 0 0 0 0) + (uuid d3798a45-8d5c-4a00-80c5-1899f3fffe6b) ) - (junction (at 193.04 27.94) (diameter 0) (color 0 0 0 0) + (junction (at 252.73 17.78) (diameter 0) (color 0 0 0 0) + (uuid d408a923-08de-43be-9b92-358fae847a71) + ) + (junction (at 207.01 27.94) (diameter 0) (color 0 0 0 0) (uuid d655bb0a-cbf9-4908-ad60-7024ff468fbd) ) - (junction (at 208.28 38.1) (diameter 0) (color 0 0 0 0) + (junction (at 237.49 38.1) (diameter 0) (color 0 0 0 0) (uuid d68dca9b-48b3-498b-9b5f-3b3838250f82) ) - (junction (at 177.8 27.94) (diameter 0) (color 0 0 0 0) - (uuid dad2f9a9-292b-4f7e-9524-a263f3c1ba74) + (junction (at 184.15 22.86) (diameter 0) (color 0 0 0 0) + (uuid d744d648-126d-42eb-80ee-983fef87d74c) ) - (junction (at 193.04 33.02) (diameter 0) (color 0 0 0 0) + (junction (at 175.26 146.05) (diameter 0) (color 0 0 0 0) + (uuid d9127ed4-2057-4a37-99d9-9564ed19e399) + ) + (junction (at 237.49 161.29) (diameter 0) (color 0 0 0 0) + (uuid dbe1786c-b436-42a5-bdc9-1895b4d52a4e) + ) + (junction (at 191.77 27.94) (diameter 0) (color 0 0 0 0) + (uuid dbfc7e42-552a-4494-a451-c4ef6ddc5ed3) + ) + (junction (at 245.11 22.86) (diameter 0) (color 0 0 0 0) + (uuid dcfaa9a0-4311-418d-9bec-92db07477d76) + ) + (junction (at 234.95 161.29) (diameter 0) (color 0 0 0 0) + (uuid dda9c0b0-306f-4179-9160-4e642a3320f3) + ) + (junction (at 199.39 33.02) (diameter 0) (color 0 0 0 0) (uuid de552ae9-cde6-4643-8cc7-9de2579dadae) ) - (junction (at 246.38 33.02) (diameter 0) (color 0 0 0 0) - (uuid e07e1653-d05d-4bf2-bea3-6515a06de065) + (junction (at 130.81 166.37) (diameter 0) (color 0 0 0 0) + (uuid e52e4d0c-4c3d-4ffb-a2ba-36eb3a37ca1f) ) - (junction (at 177.8 43.18) (diameter 0) (color 0 0 0 0) - (uuid e4504518-96e7-4c9e-8457-7273f5a490f1) + (junction (at 260.35 43.18) (diameter 0) (color 0 0 0 0) + (uuid e778072e-d6a9-4b06-b15a-c71e5351616b) ) - (junction (at 215.9 43.18) (diameter 0) (color 0 0 0 0) + (junction (at 245.11 43.18) (diameter 0) (color 0 0 0 0) (uuid eb6a726e-fed9-4891-95fa-b4d4a5f77b35) ) - (junction (at 193.04 38.1) (diameter 0) (color 0 0 0 0) + (junction (at 207.01 43.18) (diameter 0) (color 0 0 0 0) + (uuid ec8da9e3-49a5-4279-9d3a-5934986ee18c) + ) + (junction (at 191.77 17.78) (diameter 0) (color 0 0 0 0) + (uuid eccc3abe-9722-44b0-82d1-fe2f045a438f) + ) + (junction (at 226.06 113.03) (diameter 0) (color 0 0 0 0) + (uuid f3d50286-4863-4fab-abb9-554b94f7e8b5) + ) + (junction (at 222.25 38.1) (diameter 0) (color 0 0 0 0) (uuid f503ea07-bcf1-4924-930a-6f7e9cd312f8) ) - (junction (at 200.66 43.18) (diameter 0) (color 0 0 0 0) + (junction (at 229.87 43.18) (diameter 0) (color 0 0 0 0) (uuid f6a3288e-9575-42bb-af05-a920d59aded8) ) (junction (at 125.73 44.45) (diameter 0) (color 0 0 0 0) (uuid fd4dd248-3e78-4985-a4fc-58bc05b74cbf) ) - (junction (at 193.04 43.18) (diameter 0) (color 0 0 0 0) + (junction (at 252.73 123.19) (diameter 0) (color 0 0 0 0) + (uuid fd8eb6bb-387a-460f-b161-b4dab6d472d0) + ) + (junction (at 222.25 43.18) (diameter 0) (color 0 0 0 0) (uuid fe6d9604-2924-4f38-950b-a31e8a281973) ) - (no_connect (at 22.86 171.45) (uuid 015f5586-ba76-4a98-9114-f5cd2c67134d)) - (no_connect (at 22.86 148.59) (uuid 12fa3c3f-3d14-451a-a6a8-884fd1b32fa7)) + (no_connect (at 22.86 165.1) (uuid 015f5586-ba76-4a98-9114-f5cd2c67134d)) + (no_connect (at 227.33 148.59) (uuid 0aec6fd3-b203-40c6-abfd-574b16ddc913)) (no_connect (at 22.86 130.81) (uuid 1cb22080-0f59-4c18-a6e6-8685ef44ec53)) (no_connect (at 76.2 52.07) (uuid 341dde39-440e-4d05-8def-6a5cecefd88c)) - (no_connect (at 80.01 170.18) (uuid 475ed8b3-90bf-48cd-bce5-d8f48b689541)) - (no_connect (at 35.56 171.45) (uuid 541721d1-074b-496e-a833-813044b3e8ca)) - (no_connect (at 90.17 172.72) (uuid 5f312b85-6822-40a3-b417-2df49696ca2d)) - (no_connect (at 17.78 185.42) (uuid 751d823e-1d7b-4501-9658-d06d459b0e16)) + (no_connect (at 35.56 165.1) (uuid 541721d1-074b-496e-a833-813044b3e8ca)) (no_connect (at 35.56 128.27) (uuid 8bdea5f6-7a53-427a-92b8-fd15994c2e8c)) - (no_connect (at 90.17 170.18) (uuid 99186658-0361-40ba-ae93-62f23c5622e6)) (no_connect (at 35.56 130.81) (uuid a599509f-fbb9-4db4-9adf-9e96bab1138d)) - (no_connect (at 35.56 148.59) (uuid d18f2428-546f-4066-8ffb-7653303685db)) - (no_connect (at 35.56 146.05) (uuid d95c6650-fcd9-4184-97fe-fde43ea5c0cd)) (no_connect (at 76.2 49.53) (uuid e7893166-2c2c-41b4-bd84-76ebc2e06551)) - (no_connect (at 17.78 182.88) (uuid fc2e9f96-3bed-4896-b995-f56e799f1c77)) - (no_connect (at 80.01 172.72) (uuid fc83cd71-1198-4019-87a1-dc154bceead3)) (bus_entry (at 83.82 77.47) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 008da5b9-6f95-4113-b7d0-d93ac62efd33) ) (bus_entry (at 45.72 80.01) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 009b5465-0a65-4237-93e7-eb65321eeb18) ) (bus_entry (at 45.72 82.55) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 00f3ea8b-8a54-4e56-84ff-d98f6c00496c) ) (bus_entry (at 15.24 97.79) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 014d13cd-26ad-4d0e-86ad-a43b541cab14) ) (bus_entry (at 81.28 100.33) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 04cf2f2c-74bf-400d-b4f6-201720df00ed) ) (bus_entry (at 48.26 110.49) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0a1a4d88-972a-46ce-b25e-6cb796bd41f7) ) (bus_entry (at 81.28 140.97) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0ceb97d6-1b0f-4b71-921e-b0955c30c998) ) (bus_entry (at 83.82 64.77) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0fafc6b9-fd35-4a55-9270-7a8e7ce3cb13) ) (bus_entry (at 45.72 97.79) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 1199146e-a60b-416a-b503-e77d6d2892f9) ) (bus_entry (at 81.28 143.51) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 1241b7f2-e266-4f5c-8a97-9f0f9d0eef37) ) (bus_entry (at 81.28 128.27) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 18c61c95-8af1-4986-b67e-c7af9c15ab6b) ) (bus_entry (at 45.72 123.19) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 18d11f32-e1a6-4f29-8e3c-0bfeb07299bd) ) (bus_entry (at 81.28 97.79) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 1bdd5841-68b7-42e2-9447-cbdb608d8a08) ) (bus_entry (at 45.72 77.47) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 221bef83-3ea7-4d3f-adeb-53a8a07c6273) ) (bus_entry (at 83.82 67.31) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 27b2eb82-662b-42d8-90e6-830fec4bb8d2) ) (bus_entry (at 81.28 105.41) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2878a73c-5447-4cd9-8194-14f52ab9459c) ) (bus_entry (at 86.36 64.77) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 29126f72-63f7-4275-8b12-6b96a71c6f17) ) (bus_entry (at 45.72 72.39) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 29bb7297-26fb-4776-9266-2355d022bab0) ) (bus_entry (at 86.36 57.15) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2ea8fa6f-efc3-40fe-bcf9-05bfa46ead4f) ) (bus_entry (at 48.26 95.25) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 30c33e3e-fb78-498d-bffe-76273d527004) ) (bus_entry (at 86.36 115.57) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3249bd81-9fd4-4194-9b4f-2e333b2195b8) ) (bus_entry (at 81.28 133.35) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 35ef9c4a-35f6-467b-a704-b1d9354880cf) ) (bus_entry (at 45.72 77.47) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 36d783e7-096f-4c97-9672-7e08c083b87b) ) (bus_entry (at 15.24 107.95) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 443bc73a-8dc0-4e2f-a292-a5eff00efa5b) ) (bus_entry (at 81.28 107.95) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 44646447-0a8e-4aec-a74e-22bf765d0f33) ) (bus_entry (at 45.72 107.95) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 477892a1-722e-4cda-bb6c-fcdb8ba5f93e) ) (bus_entry (at 45.72 102.87) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 479331ff-c540-41f4-84e6-b48d65171e59) ) (bus_entry (at 45.72 72.39) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4ba06b66-7669-4c70-b585-f5d4c9c33527) ) (bus_entry (at 45.72 64.77) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4c843bdb-6c9e-40dd-85e2-0567846e18ba) ) (bus_entry (at 45.72 85.09) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4d586a18-26c5-441e-a9ff-8125ee516126) ) (bus_entry (at 81.28 128.27) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4e27930e-1827-4788-aa6b-487321d46602) ) (bus_entry (at 48.26 102.87) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 57276367-9ce4-4738-88d7-6e8cb94c966c) ) (bus_entry (at 48.26 97.79) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5b0a5a46-7b51-4262-a80e-d33dd1806615) ) (bus_entry (at 83.82 74.93) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5d3d7893-1d11-4f1d-9052-85cf0e07d281) ) (bus_entry (at 45.72 59.69) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 60aa0ce8-9d0e-48ca-bbf9-866403979e9b) ) (bus_entry (at 45.72 95.25) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 60ff6322-62e2-4602-9bc0-7a0f0a5ecfbf) ) (bus_entry (at 81.28 125.73) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6241e6d3-a754-45b6-9f7c-e43019b93226) ) (bus_entry (at 45.72 120.65) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6325c32f-c82a-4357-b022-f9c7e76f412e) ) (bus_entry (at 15.24 102.87) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 633292d3-80c5-4986-be82-ce926e9f09f4) ) (bus_entry (at 83.82 92.71) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 63c56ea4-91a3-4172-b9de-a4388cc8f894) ) (bus_entry (at 83.82 62.23) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 66218487-e316-4467-9eba-79d4626ab24e) ) (bus_entry (at 45.72 130.81) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6afc19cf-38b4-47a3-bc2b-445b18724310) ) (bus_entry (at 45.72 62.23) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6ffdf05e-e119-49f9-85e9-13e4901df42a) ) (bus_entry (at 86.36 110.49) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 718e5c6d-0e4c-46d8-a149-2f2bfc54c7f1) ) (bus_entry (at 45.72 67.31) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 72b36951-3ec7-4569-9c88-cf9b4afe1cae) ) (bus_entry (at 15.24 100.33) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7744b6ee-910d-401d-b730-65c35d3d8092) ) (bus_entry (at 83.82 72.39) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 79476267-290e-445f-995b-0afd0e11a4b5) ) (bus_entry (at 15.24 113.03) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 83021f70-e61e-4ad3-bae7-b9f02b28be4f) ) (bus_entry (at 45.72 128.27) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 84d296ba-3d39-4264-ad19-947f90c54396) ) (bus_entry (at 83.82 69.85) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8b290a17-6328-4178-9131-29524d345539) ) (bus_entry (at 86.36 72.39) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8d063f79-9282-4820-bcf4-1ff3c006cf08) ) (bus_entry (at 45.72 87.63) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9186fd02-f30d-4e17-aa38-378ab73e3908) ) (bus_entry (at 81.28 102.87) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 955cc99e-a129-42cf-abc7-aa99813fdb5f) ) (bus_entry (at 86.36 67.31) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9da1ace0-4181-4f12-80f8-16786a9e5c07) ) (bus_entry (at 86.36 118.11) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9e0e6fc0-a269-4822-b93d-4c5e6689ff11) ) (bus_entry (at 15.24 95.25) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a25b7e01-1754-4cc9-8a14-3d9c461e5af5) ) (bus_entry (at 81.28 138.43) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a7f25f41-0b4c-4430-b6cd-b2160b2db099) ) (bus_entry (at 45.72 125.73) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a90361cd-254c-4d27-ae1f-9a6c85bafe28) ) (bus_entry (at 45.72 90.17) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid aa130053-a451-4f12-97f7-3d4d891a5f83) ) (bus_entry (at 83.82 80.01) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid aeb03be9-98f0-43f6-9432-1bb35aa04bab) ) (bus_entry (at 86.36 62.23) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid af186015-d283-4209-aade-a247e5de01df) ) (bus_entry (at 45.72 105.41) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b09666f9-12f1-4ee9-8877-2292c94258ca) ) (bus_entry (at 45.72 74.93) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b52d6ff3-fef1-496e-8dd5-ebb89b6bce6a) ) (bus_entry (at 81.28 135.89) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b8b961e9-8a60-45fc-999a-a7a3baff4e0d) ) (bus_entry (at 45.72 69.85) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid bc0dbc57-3ae8-4ce5-a05c-2d6003bba475) ) (bus_entry (at 48.26 105.41) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid bdf40d30-88ff-4479-bad1-69529464b61b) ) (bus_entry (at 81.28 113.03) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c25449d6-d734-4953-b762-98f82a830248) ) (bus_entry (at 48.26 92.71) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c3b3d7f4-943f-4cff-b180-87ef3e1bcbff) ) (bus_entry (at 81.28 130.81) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c8a44971-63c1-4a19-879d-b6647b2dc08d) ) (bus_entry (at 45.72 138.43) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c8a7af6e-c432-4fa3-91ee-c8bf0c5a9ebe) ) (bus_entry (at 48.26 107.95) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c9b9e62d-dede-4d1a-9a05-275614f8bdb2) ) (bus_entry (at 45.72 74.93) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cb6062da-8dcd-4826-92fd-4071e9e97213) ) (bus_entry (at 86.36 113.03) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cbde200f-1075-469a-89f8-abbdcf30e36a) ) (bus_entry (at 45.72 100.33) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cc15f583-a41b-43af-ba94-a75455506a96) ) (bus_entry (at 15.24 110.49) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cc75e5ae-3348-4e7a-bd16-4df685ee47bd) ) (bus_entry (at 45.72 135.89) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d01102e9-b170-4eb1-a0a4-9a31feb850b7) ) (bus_entry (at 81.28 110.49) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d7e4abd8-69f5-4706-b12e-898194e5bf56) ) (bus_entry (at 86.36 52.07) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid da546d77-4b03-4562-8fc6-837fd68e7691) ) (bus_entry (at 15.24 105.41) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid dda1e6ca-91ec-4136-b90b-3c54d79454b9) ) (bus_entry (at 86.36 54.61) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e2fac877-439c-4da0-af2e-5fdc70f85d42) ) (bus_entry (at 48.26 100.33) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e5217a0c-7f55-4c30-adda-7f8d95709d1b) ) (bus_entry (at 45.72 92.71) (size -2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e7369115-d491-4ef3-be3d-f5298992c3e8) ) (bus_entry (at 45.72 69.85) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid eb8d02e9-145c-465d-b6a8-bae84d47a94b) ) (bus_entry (at 81.28 125.73) (size 2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f357ddb5-3f44-43b0-b00d-d64f5c62ba4a) ) (bus_entry (at 86.36 107.95) (size -2.54 -2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f50dae73-c5b5-475d-ac8c-5b555be54fa3) ) (bus_entry (at 45.72 133.35) (size 2.54 2.54) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid fe14c012-3d58-4e5e-9a37-4b9765a7f764) ) + (wire (pts (xy 245.11 116.84) (xy 245.11 113.03)) + (stroke (width 0) (type default)) + (uuid 0008c943-3dd6-4a01-96aa-0394a71c6a7b) + ) (wire (pts (xy 172.72 110.49) (xy 172.72 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 00e38d63-5436-49db-81f5-697421f168fc) ) (wire (pts (xy 48.26 64.77) (xy 55.88 64.77)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 011ee658-718d-416a-85fd-961729cd1ee5) ) + (wire (pts (xy 234.95 161.29) (xy 224.79 161.29)) + (stroke (width 0) (type default)) + (uuid 012317a0-a7f6-48c1-9cec-ba02741e5149) + ) (bus (pts (xy 83.82 54.61) (xy 83.82 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 035a586d-4657-4874-b535-597c93ba28eb) ) - (wire (pts (xy 215.9 27.94) (xy 223.52 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 044de712-d3da-40ed-9c9f-d91ef285c74c) + (wire (pts (xy 175.26 151.13) (xy 181.61 151.13)) + (stroke (width 0) (type default)) + (uuid 03c28709-452b-41bb-8209-ee7ff5f7e12d) ) - (wire (pts (xy 208.28 17.78) (xy 218.44 17.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 76.2 162.56) (xy 77.47 162.56)) + (stroke (width 0) (type default)) + (uuid 03e9e204-442f-4203-b98f-8e5fddc0772f) + ) + (wire (pts (xy 214.63 17.78) (xy 222.25 17.78)) + (stroke (width 0) (type default)) (uuid 046ca2d8-3ca1-4c64-8090-c45e9adcf30e) ) (wire (pts (xy 35.56 102.87) (xy 43.18 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0520f61d-4522-4301-a3fa-8ed0bf060f69) ) - (wire (pts (xy 43.18 179.07) (xy 39.37 179.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 43.18 172.72) (xy 39.37 172.72)) + (stroke (width 0) (type default)) (uuid 0554bea0-89b2-4e25-9ea3-4c73921c94cb) ) (bus (pts (xy 45.72 102.87) (xy 45.72 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 06aa4d63-54fd-4ea9-bc14-d46ce29463e8) ) - (wire (pts (xy 193.04 43.18) (xy 200.66 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 13.97 181.61) (xy 19.05 181.61)) + (stroke (width 0) (type default)) + (uuid 074cd8c5-45d2-48a0-908b-0c1a4ca96a27) + ) + (wire (pts (xy 222.25 43.18) (xy 229.87 43.18)) + (stroke (width 0) (type default)) (uuid 082aed28-f9e8-49e7-96ee-b5aa9f0319c7) ) (bus (pts (xy 83.82 80.01) (xy 83.82 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 09021c9d-fb5f-49e1-ba7c-c21435e561a6) ) (wire (pts (xy 113.03 166.37) (xy 110.49 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0938c137-668b-4d2f-b92b-cadb1df72bdb) ) - (wire (pts (xy 215.9 33.02) (xy 223.52 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 0b110cbc-e477-4bdc-9c81-26a3d588d354) + (wire (pts (xy 237.49 153.67) (xy 237.49 161.29)) + (stroke (width 0) (type default)) + (uuid 0beb6602-b993-47d2-88a4-0194904b3286) ) (wire (pts (xy 17.78 95.25) (xy 22.86 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0cbeb329-a88d-4a47-a5c2-a1d693de2f8c) ) (bus (pts (xy 45.72 95.25) (xy 45.72 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0db3a4ae-3ea3-4c7e-8e92-2deac5406ee4) ) (bus (pts (xy 83.82 69.85) (xy 83.82 72.39)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0e1dff80-5beb-4f26-bd8b-beb7d6138194) ) + + (wire (pts (xy 222.25 27.94) (xy 229.87 27.94)) + (stroke (width 0) (type default)) + (uuid 0e204ff2-8adf-4027-81bb-0f70417d29ce) + ) (bus (pts (xy 45.72 100.33) (xy 45.72 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0e827e9d-19d0-4830-adee-e829669aa4c6) ) (bus (pts (xy 15.24 113.03) (xy 15.24 118.11)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0fa50f25-2088-41a0-bcf9-363465cb9c86) ) (wire (pts (xy 86.36 72.39) (xy 95.25 72.39)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 0ff398d7-e6e2-4972-a7a4-438407886f34) ) (bus (pts (xy 83.82 49.53) (xy 83.82 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 10fa1a8c-62cb-4b8f-b916-b18d737ff71b) ) + (wire (pts (xy 82.55 162.56) (xy 83.82 162.56)) + (stroke (width 0) (type default)) + (uuid 12641ca3-ee99-4f92-a812-0c80421eedb1) + ) (wire (pts (xy 76.2 143.51) (xy 81.28 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 12a24e86-2c38-4685-bba9-fff8dddb4cb0) ) + (wire (pts (xy 260.35 27.94) (xy 252.73 27.94)) + (stroke (width 0) (type default)) + (uuid 13d8cd78-bf5c-4899-a105-46ef76a1b75b) + ) (wire (pts (xy 35.56 87.63) (xy 43.18 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 143ed874-a01f-4ced-ba4e-bbb66ddd1f70) ) (wire (pts (xy 86.36 115.57) (xy 95.25 115.57)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 1527299a-08b3-47c3-929f-a75c83be365e) ) (wire (pts (xy 86.36 52.07) (xy 95.25 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 153169ce-9fac-4868-bc4e-e1381c5bb726) ) - (wire (pts (xy 154.94 38.1) (xy 162.56 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 83.82 156.21) (xy 88.9 156.21)) + (stroke (width 0) (type default)) + (uuid 15521fac-245b-41ae-8ce3-8d37b5e09f06) + ) + (wire (pts (xy 184.15 38.1) (xy 191.77 38.1)) + (stroke (width 0) (type default)) (uuid 162e5bdd-61a8-46a3-8485-826b5d58e1a1) ) - (wire (pts (xy 208.28 43.18) (xy 215.9 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 237.49 43.18) (xy 245.11 43.18)) + (stroke (width 0) (type default)) (uuid 165f4d8d-26a9-4cf2-a8d6-9936cd983be4) ) + (wire (pts (xy 171.45 135.89) (xy 181.61 135.89)) + (stroke (width 0) (type default)) + (uuid 18634be7-8167-4641-91db-43ff5d89f2f4) + ) (wire (pts (xy 86.36 67.31) (xy 95.25 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 18dee026-9999-4f10-8c36-736131349406) ) - (wire (pts (xy 78.74 173.99) (xy 78.74 175.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 82.55 156.21) (xy 83.82 156.21)) + (stroke (width 0) (type default)) + (uuid 1afaeac9-b64b-4274-ab11-765b4c0723ed) + ) + (wire (pts (xy 133.35 194.31) (xy 133.35 195.58)) + (stroke (width 0) (type default)) (uuid 1b023dd4-5185-4576-b544-68a05b9c360b) ) + (wire (pts (xy 245.11 123.19) (xy 233.68 123.19)) + (stroke (width 0) (type default)) + (uuid 1c0de80e-c764-4d0d-8291-57e8ed1d0e6b) + ) (wire (pts (xy 48.26 100.33) (xy 55.88 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 1f9ae101-c652-4998-a503-17aedf3d5746) ) (bus (pts (xy 83.82 72.39) (xy 83.82 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2003b036-8b3c-4aca-b184-4e1151380b3d) ) - (wire (pts (xy 223.52 33.02) (xy 231.14 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2028d85e-9e27-4758-8c0b-559fad072813) - ) (wire (pts (xy 76.2 107.95) (xy 81.28 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2035ea48-3ef5-4d7f-8c3c-50981b30c89a) ) (wire (pts (xy 86.36 57.15) (xy 95.25 57.15)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2276ec6c-cdcc-4369-86b4-8267d991001e) ) - (wire (pts (xy 35.56 187.96) (xy 40.64 187.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 35.56 181.61) (xy 40.64 181.61)) + (stroke (width 0) (type default)) (uuid 22962957-1efd-404d-83db-5b233b6c15b0) ) (wire (pts (xy 48.26 135.89) (xy 55.88 135.89)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 22bb6c80-05a9-4d89-98b0-f4c23fe6c1ce) ) - (wire (pts (xy 215.9 38.1) (xy 223.52 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 219.71 138.43) (xy 227.33 138.43)) + (stroke (width 0) (type default)) + (uuid 24d15e40-2b80-460d-b70e-9d3f18ac5e2e) + ) + (wire (pts (xy 214.63 43.18) (xy 222.25 43.18)) + (stroke (width 0) (type default)) + (uuid 25802047-e86c-4d26-a27e-a145ce4b9ee5) + ) + (wire (pts (xy 245.11 38.1) (xy 252.73 38.1)) + (stroke (width 0) (type default)) (uuid 272c2a78-b5f5-4b61-aed3-ec69e0e92729) ) (bus (pts (xy 45.72 82.55) (xy 45.72 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 27d14751-8ad8-40f8-9089-3e1fa7b928f0) ) (wire (pts (xy 35.56 95.25) (xy 43.18 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2891767f-251c-48c4-91c0-deb1b368f45c) ) - (wire (pts (xy 231.14 33.02) (xy 238.76 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 204.47 153.67) (xy 204.47 161.29)) + (stroke (width 0) (type default)) + (uuid 290a5711-eb7f-4a16-a2a9-a2847382ca3c) + ) + (wire (pts (xy 245.11 33.02) (xy 252.73 33.02)) + (stroke (width 0) (type default)) (uuid 291935ec-f8ff-41f0-8717-e68b8af7b8c1) ) - (wire (pts (xy 55.88 173.99) (xy 57.15 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 110.49 194.31) (xy 111.76 194.31)) + (stroke (width 0) (type default)) (uuid 2a6075ae-c7fa-41db-86b8-3f996740bdc2) ) (bus (pts (xy 83.82 92.71) (xy 83.82 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2b838b29-91e5-4403-94ec-fc802981d71e) ) + (wire (pts (xy 222.25 113.03) (xy 226.06 113.03)) + (stroke (width 0) (type default)) + (uuid 2c3f40c2-33cd-4aa2-bb90-27c62a746458) + ) + (wire (pts (xy 13.97 173.99) (xy 19.05 173.99)) + (stroke (width 0) (type default)) + (uuid 2d824d93-d60f-49a8-952f-4b0c03b72d38) + ) (wire (pts (xy 48.26 130.81) (xy 55.88 130.81)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2db910a0-b943-40b4-b81f-068ba5265f56) ) (wire (pts (xy 81.28 26.67) (xy 78.74 26.67)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2e0a9f64-1b78-4597-8d50-d12d2268a95a) ) + (wire (pts (xy 222.25 123.19) (xy 222.25 121.92)) + (stroke (width 0) (type default)) + (uuid 2e6f96c4-3623-4d60-af53-82e0429ae251) + ) (wire (pts (xy 76.2 113.03) (xy 81.28 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 2e90e294-82e1-45da-9bf1-b91dfe0dc8f6) ) - (wire (pts (xy 238.76 33.02) (xy 246.38 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2ec9be40-1d5a-4e2d-8a4d-4be2d3c079d5) - ) - (wire (pts (xy 215.9 27.94) (xy 208.28 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2eea20e6-112c-411a-b615-885ae773135a) - ) - (wire (pts (xy 128.27 184.15) (xy 133.35 184.15)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2fb9964c-4cd4-4e81-b5e8-f78759d3adb5) - ) (bus (pts (xy 83.82 130.81) (xy 83.82 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3146f40a-80f4-4c3b-9be4-8dd47844df7c) ) - (wire (pts (xy 147.32 43.18) (xy 154.94 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 184.15 33.02) (xy 191.77 33.02)) + (stroke (width 0) (type default)) (uuid 319c683d-aed6-4e7d-aee2-ff9871746d52) ) - (wire (pts (xy 162.56 33.02) (xy 170.18 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 191.77 22.86) (xy 199.39 22.86)) + (stroke (width 0) (type default)) (uuid 31bfc3e7-147b-4531-a0c5-e3a305c1647d) ) + (wire (pts (xy 55.88 171.45) (xy 55.88 173.99)) + (stroke (width 0) (type default)) + (uuid 33c5518b-5621-4201-a6e5-b388b2601a4a) + ) (wire (pts (xy 78.74 118.11) (xy 81.28 118.11)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 348dc703-3cab-4547-b664-e8b335a6083c) ) (bus (pts (xy 45.72 69.85) (xy 45.72 72.39)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3594873d-d94b-4e9e-b5b1-5b1442595696) ) - (wire (pts (xy 185.42 27.94) (xy 193.04 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 199.39 27.94) (xy 207.01 27.94)) + (stroke (width 0) (type default)) (uuid 363189af-2faa-46a4-b025-5a779d801f2e) ) - (wire (pts (xy 185.42 33.02) (xy 193.04 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 199.39 33.02) (xy 207.01 33.02)) + (stroke (width 0) (type default)) (uuid 37657eee-b379-4145-b65d-79c82b53e49e) ) (bus (pts (xy 83.82 77.47) (xy 83.82 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 38245fc1-692f-48ac-9b95-5dcdd53bb2cc) ) - (wire (pts (xy 177.8 27.94) (xy 185.42 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 214.63 33.02) (xy 207.01 33.02)) + (stroke (width 0) (type default)) + (uuid 385bcea2-3877-4c62-8561-9c5ac5e1ff27) + ) + (wire (pts (xy 191.77 27.94) (xy 199.39 27.94)) + (stroke (width 0) (type default)) (uuid 386faf3f-2adf-472a-84bf-bd511edf2429) ) (wire (pts (xy 76.2 74.93) (xy 81.28 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3b686d17-1000-4762-ba31-589d599a3edf) ) (bus (pts (xy 83.82 64.77) (xy 83.82 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3b853872-c4b2-4105-bd4b-c660ed233e20) ) + + (wire (pts (xy 175.26 148.59) (xy 175.26 146.05)) + (stroke (width 0) (type default)) + (uuid 3b85adc2-3eff-49b5-a80c-ed71d9e788a0) + ) (bus (pts (xy 83.82 95.25) (xy 83.82 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3c59d496-929c-4866-a736-85d5ef79b78e) ) (wire (pts (xy 48.26 57.15) (xy 35.56 57.15)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3c66e6e2-f12d-4b23-910e-e478d272dfd5) ) (wire (pts (xy 76.2 138.43) (xy 81.28 138.43)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3e0392c0-affc-4114-9de5-1f1cfe79418a) ) - (wire (pts (xy 215.9 43.18) (xy 223.52 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 245.11 43.18) (xy 252.73 43.18)) + (stroke (width 0) (type default)) (uuid 3f2a6679-91d7-4b6c-bf5c-c4d5abb2bc44) ) (wire (pts (xy 48.26 125.73) (xy 55.88 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 3f8a5430-68a9-4732-9b89-4e00dd8ae219) ) - (wire (pts (xy 133.35 184.15) (xy 143.51 184.15)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 40b38567-9d6a-4691-bccf-1b4dbe39957b) - ) (wire (pts (xy 35.56 105.41) (xy 43.18 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 411d4270-c66c-4318-b7fb-1470d34862b8) ) (wire (pts (xy 48.26 140.97) (xy 55.88 140.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 42ff012d-5eb7-42b9-bb45-415cf26799c6) ) (bus (pts (xy 83.82 123.19) (xy 83.82 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 43f341b3-06e9-4e7a-a26e-5365b89d76bf) ) (bus (pts (xy 83.82 105.41) (xy 83.82 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 45790863-58e3-47e1-82a9-3311e184debd) ) (wire (pts (xy 110.49 44.45) (xy 113.03 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 469f89fd-f629-46b7-b106-a0088168c9ec) ) - (wire (pts (xy 20.32 168.91) (xy 22.86 168.91)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 20.32 162.56) (xy 22.86 162.56)) + (stroke (width 0) (type default)) (uuid 46cbe85d-ff47-428e-b187-4ebd50a66e0c) ) - (wire (pts (xy 231.14 27.94) (xy 238.76 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 49a65079-57a9-46fc-8711-1d7f2cab8dbf) - ) (bus (pts (xy 83.82 107.95) (xy 83.82 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4a43c2ae-511e-4d31-8344-6a916e9b4647) ) (wire (pts (xy 50.8 29.21) (xy 55.88 29.21)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4a54c707-7b6f-4a3d-a74d-5e3526114aba) ) + (wire (pts (xy 201.93 138.43) (xy 204.47 138.43)) + (stroke (width 0) (type default)) + (uuid 4b58868e-1fa2-4007-b0e5-7dde35454ff5) + ) (bus (pts (xy 15.24 95.25) (xy 15.24 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4d51bc15-1f84-46be-8e16-e836b10f854e) ) (bus (pts (xy 15.24 97.79) (xy 15.24 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 4d5b5acb-5815-4167-8685-dd6668c837fe) ) - - (wire (pts (xy 228.6 22.86) (xy 238.76 22.86)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5099f397-6fe7-454f-899c-34e2b5f22ca7) - ) (bus (pts (xy 83.82 59.69) (xy 83.82 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 51152442-ab32-4b3f-888d-08979e9813e7) ) (bus (pts (xy 45.72 92.71) (xy 45.72 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 518dedf8-1fa8-4d20-96f6-9f614a19ef78) ) + + (wire (pts (xy 224.79 161.29) (xy 214.63 161.29)) + (stroke (width 0) (type default)) + (uuid 53fcaef6-333e-40fc-b719-4f905cf289d6) + ) (bus (pts (xy 45.72 128.27) (xy 45.72 130.81)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 54987869-31c3-4a07-9dfa-baffc5294665) ) (wire (pts (xy 76.2 64.77) (xy 81.28 64.77)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5701b80f-f006-4814-81c9-0c7f006088a9) ) (wire (pts (xy 86.36 118.11) (xy 95.25 118.11)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 58a87288-e2bf-4c88-9871-a753efc69e9d) ) + (wire (pts (xy 237.49 22.86) (xy 245.11 22.86)) + (stroke (width 0) (type default)) + (uuid 58cc1c23-75c9-4a1e-b1d5-adc44466f9da) + ) + (wire (pts (xy 130.81 166.37) (xy 128.27 166.37)) + (stroke (width 0) (type default)) + (uuid 58d9160c-71d8-4e1d-be22-0624e33e6da9) + ) (wire (pts (xy 48.26 62.23) (xy 55.88 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 593b8647-0095-46cc-ba23-3cf2a86edb5e) ) - (wire (pts (xy 200.66 43.18) (xy 208.28 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 229.87 43.18) (xy 237.49 43.18)) + (stroke (width 0) (type default)) (uuid 59f60168-cced-43c9-aaa5-41a1a8a2f631) ) (bus (pts (xy 83.82 74.93) (xy 83.82 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5b34b011-d722-453c-956e-3018c966e116) ) + (wire (pts (xy 181.61 148.59) (xy 175.26 148.59)) + (stroke (width 0) (type default)) + (uuid 5bb9c42c-e8ea-41ab-af5b-38bf31d4d162) + ) (wire (pts (xy 48.26 102.87) (xy 55.88 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5c30b9b4-3014-4f50-9329-27a539b67e01) ) - (wire (pts (xy 238.76 43.18) (xy 231.14 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5e6153e6-2c19-46de-9a8e-b310a2a07861) + (wire (pts (xy 201.93 156.21) (xy 207.01 156.21)) + (stroke (width 0) (type default)) + (uuid 5eb3443a-165f-4b54-91d2-b3d3e2861550) ) (wire (pts (xy 38.1 128.27) (xy 35.56 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5f31b97b-d794-46d6-bbd9-7a5638bcf704) ) - (wire (pts (xy 57.15 168.91) (xy 55.88 168.91)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 111.76 189.23) (xy 110.49 189.23)) + (stroke (width 0) (type default)) (uuid 5f38bdb2-3657-474e-8e86-d6bb0b298110) ) - (wire (pts (xy 57.15 171.45) (xy 54.61 171.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 111.76 191.77) (xy 88.9 191.77)) + (stroke (width 0) (type default)) (uuid 5f6afe3e-3cb2-473a-819c-dc94ae52a6be) ) (wire (pts (xy 41.91 123.19) (xy 35.56 123.19)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 5ff19d63-2cb4-438b-93c4-e66d37a05329) ) (wire (pts (xy 41.91 123.19) (xy 41.91 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 616287d9-a51f-498c-8b91-be46a0aa3a7f) ) + (wire (pts (xy 252.73 113.03) (xy 245.11 113.03)) + (stroke (width 0) (type default)) + (uuid 62a3d9f5-e54b-4de5-9a8d-8be6eae79fac) + ) (bus (pts (xy 45.72 125.73) (xy 45.72 128.27)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 630631f2-80a4-431a-8668-641e61361415) ) (bus (pts (xy 15.24 102.87) (xy 15.24 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 636f1d33-6937-48b5-a3b2-7c6eee4be461) ) - (wire (pts (xy 185.42 43.18) (xy 193.04 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 645bdbdc-8f65-42ef-a021-2d3e7d74a739) - ) (wire (pts (xy 76.2 140.97) (xy 81.28 140.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6513181c-0a6a-4560-9a18-17450c36ae2a) ) + (wire (pts (xy 179.07 138.43) (xy 181.61 138.43)) + (stroke (width 0) (type default)) + (uuid 653fb42f-ea54-44b0-9c48-2ed7bee7d094) + ) (wire (pts (xy 76.2 69.85) (xy 81.28 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 66bc2bca-dab7-4947-a0ff-403cdaf9fb89) ) - (wire (pts (xy 54.61 158.75) (xy 57.15 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 692d87e9-6b70-46cc-9c78-b75193a484cc) - ) (wire (pts (xy 35.56 85.09) (xy 43.18 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 699feae1-8cdd-4d2b-947f-f24849c73cdb) ) (wire (pts (xy 78.74 92.71) (xy 76.2 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6a2bcc72-047b-4846-8583-1109e3552669) ) - (wire (pts (xy 154.94 33.02) (xy 162.56 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6ae963fb-e34f-4e11-9adf-78839a5b2ef1) - ) (wire (pts (xy 17.78 107.95) (xy 22.86 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6d0c9e39-9878-44c8-8283-9a59e45006fa) ) - (wire (pts (xy 27.94 180.34) (xy 27.94 182.88)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6d2a06fb-0b1e-452a-ab38-11a5f45e1b32) + (wire (pts (xy 245.11 33.02) (xy 237.49 33.02)) + (stroke (width 0) (type default)) + (uuid 6df977fb-39ba-4944-9254-4f340c906dcd) ) (bus (pts (xy 45.72 64.77) (xy 45.72 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 6f087b71-3534-4c93-b174-cedaa211facf) ) + (wire (pts (xy 209.55 153.67) (xy 209.55 161.29)) + (stroke (width 0) (type default)) + (uuid 6f99b04e-ee07-425c-9b22-77b5b4281f5d) + ) (wire (pts (xy 39.37 128.27) (xy 38.1 128.27)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 701e1517-e8cf-46f4-b538-98e721c97380) ) (wire (pts (xy 35.56 90.17) (xy 43.18 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 71f92193-19b0-44ed-bc7f-77535083d769) ) (wire (pts (xy 48.26 80.01) (xy 55.88 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 72508b1f-1505-46cb-9d37-2081c5a12aca) ) - (wire (pts (xy 113.03 44.45) (xy 115.57 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7255cbd1-8d38-4545-be9a-7fc5488ef942) + (wire (pts (xy 207.01 22.86) (xy 214.63 22.86)) + (stroke (width 0) (type default)) + (uuid 744cf5e9-74e6-44bc-a105-cc4ae9462e96) ) - (wire (pts (xy 208.28 38.1) (xy 215.9 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 237.49 38.1) (xy 245.11 38.1)) + (stroke (width 0) (type default)) (uuid 74855e0d-40e4-4940-a544-edae9207b2ea) ) - (wire (pts (xy 162.56 27.94) (xy 170.18 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 184.15 17.78) (xy 191.77 17.78)) + (stroke (width 0) (type default)) + (uuid 751a6535-5fff-4ac9-b598-d3799d7067d1) + ) + (wire (pts (xy 191.77 17.78) (xy 199.39 17.78)) + (stroke (width 0) (type default)) (uuid 7668b629-abd6-4e14-be84-df90ae487fc6) ) - (wire (pts (xy 78.74 175.26) (xy 80.01 175.26)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 133.35 195.58) (xy 137.16 195.58)) + (stroke (width 0) (type default)) (uuid 76afa8e0-9b3a-439d-843c-ad039d3b6354) ) + (wire (pts (xy 229.87 22.86) (xy 237.49 22.86)) + (stroke (width 0) (type default)) + (uuid 76ed1b37-3a47-42be-b469-ebea0250725b) + ) (wire (pts (xy 78.74 87.63) (xy 78.74 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 775e8983-a723-43c5-bf00-61681f0840f3) ) (wire (pts (xy 35.56 110.49) (xy 43.18 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 795e68e2-c9ba-45cf-9bff-89b8fae05b5a) ) (wire (pts (xy 76.2 105.41) (xy 81.28 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7a2f50f6-0c99-4e8d-9c2a-8f2f961d2e6d) ) (wire (pts (xy 48.26 72.39) (xy 55.88 72.39)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7a74c4b1-6243-4a12-85a2-bc41d346e7aa) ) + (wire (pts (xy 214.63 38.1) (xy 222.25 38.1)) + (stroke (width 0) (type default)) + (uuid 7c313cef-9e6e-4672-ac22-1cf429705a4a) + ) + (wire (pts (xy 191.77 161.29) (xy 204.47 161.29)) + (stroke (width 0) (type default)) + (uuid 7c3538f6-5faf-4376-8d23-a07aca07d7f2) + ) (wire (pts (xy 17.78 105.41) (xy 22.86 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7c411b3e-aca2-424f-b644-2d21c9d80fa7) ) (wire (pts (xy 76.2 130.81) (xy 81.28 130.81)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7d0dab95-9e7a-486e-a1d7-fc48860fd57d) ) (wire (pts (xy 48.26 67.31) (xy 55.88 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7d76d925-f900-42af-a03f-bb32d2381b09) ) (bus (pts (xy 45.72 87.63) (xy 45.72 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7dab1c48-2db3-41ff-9544-ed253612fab8) ) - (wire (pts (xy 170.18 27.94) (xy 177.8 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7f064424-06a6-4f5b-87d6-1970ae527766) + (wire (pts (xy 245.11 113.03) (xy 241.3 113.03)) + (stroke (width 0) (type default)) + (uuid 7ec0e0f8-9266-4c8c-8dc7-f57e1ca65c9b) + ) + (wire (pts (xy 76.2 156.21) (xy 76.2 162.56)) + (stroke (width 0) (type default)) + (uuid 7f36ce1e-b6fe-4234-8439-01dc9303acf9) ) (wire (pts (xy 78.74 57.15) (xy 78.74 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 7f9683c1-2203-43df-8fa1-719a0dc360df) ) (wire (pts (xy 48.26 123.19) (xy 55.88 123.19)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 802c2dc3-ca9f-491e-9d66-7893e89ac34c) ) (wire (pts (xy 17.78 100.33) (xy 22.86 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 810ed4ff-ffe2-4032-9af6-fb5ada3bae5b) ) (bus (pts (xy 45.72 133.35) (xy 45.72 135.89)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 814d0842-0441-4379-b585-a39b2a5f7729) ) (wire (pts (xy 120.65 44.45) (xy 123.19 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 81b95d0d-8967-4ed1-8d40-39925d015ae8) ) - (wire (pts (xy 162.56 43.18) (xy 170.18 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 191.77 43.18) (xy 199.39 43.18)) + (stroke (width 0) (type default)) (uuid 82204892-ec79-4d38-a593-52fb9a9b4b87) ) - (wire (pts (xy 41.91 151.13) (xy 35.56 151.13)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 851f3d61-ba3b-4e6e-abd4-cafa4d9b64cb) - ) (wire (pts (xy 48.26 95.25) (xy 55.88 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 88cb65f4-7e9e-44eb-8692-3b6e2e788a94) ) + (wire (pts (xy 234.95 153.67) (xy 234.95 161.29)) + (stroke (width 0) (type default)) + (uuid 88e75a14-dc19-42fe-bf86-ed923b57e215) + ) (bus (pts (xy 45.72 130.81) (xy 45.72 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8905f389-265b-40f7-9e5b-31ba212922eb) ) (bus (pts (xy 45.72 135.89) (xy 45.72 138.43)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 893885bd-ce08-4a08-a285-c01011b15d68) ) (bus (pts (xy 45.72 67.31) (xy 45.72 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8960d3d5-822a-47fd-9f7a-9679e160a330) ) (bus (pts (xy 45.72 72.39) (xy 45.72 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8a01f664-a9db-40d1-8745-89f74b069e18) ) + (wire (pts (xy 82.55 173.99) (xy 88.9 173.99)) + (stroke (width 0) (type default)) + (uuid 8c6467e5-4ae6-41bd-8c40-e66523d09aad) + ) (wire (pts (xy 76.2 128.27) (xy 81.28 128.27)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8cd050d6-228c-4da0-9533-b4f8d14cfb34) ) (bus (pts (xy 45.72 97.79) (xy 45.72 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8e8dd6e2-0760-450a-b3b5-baaab08ea631) ) - (wire (pts (xy 35.56 187.96) (xy 35.56 185.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 35.56 181.61) (xy 35.56 179.07)) + (stroke (width 0) (type default)) (uuid 8eb98c56-17e4-4de6-a3e3-06dcfa392040) ) (wire (pts (xy 118.11 44.45) (xy 120.65 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8ef1307e-4e79-474d-a93c-be38f714571c) ) + (wire (pts (xy 176.53 17.78) (xy 184.15 17.78)) + (stroke (width 0) (type default)) + (uuid 8f48cd72-a7a1-4697-9c3a-4552e08e1979) + ) (wire (pts (xy 35.56 107.95) (xy 43.18 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 8fcec304-c6b1-4655-8326-beacd0476953) ) (wire (pts (xy 172.72 52.07) (xy 172.72 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 917920ab-0c6e-4927-974d-ef342cdd4f63) ) (wire (pts (xy 76.2 72.39) (xy 81.28 72.39)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9286cf02-1563-41d2-9931-c192c33bab31) ) - (wire (pts (xy 27.94 182.88) (xy 27.94 185.42)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 929a9b03-e99e-4b88-8e16-759f8c6b59a5) - ) - (wire (pts (xy 147.32 27.94) (xy 154.94 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 252.73 17.78) (xy 260.35 17.78)) + (stroke (width 0) (type default)) (uuid 92a23ed4-a5ea-4cea-bc33-0a83191a0d32) ) + (wire (pts (xy 252.73 123.19) (xy 252.73 121.92)) + (stroke (width 0) (type default)) + (uuid 936a521f-c1f2-402d-bf6b-577eaff52e3d) + ) (bus (pts (xy 83.82 128.27) (xy 83.82 130.81)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 936e9709-ea0d-41e8-980c-96f1c0577c46) ) - (wire (pts (xy 78.74 173.99) (xy 77.47 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 133.35 194.31) (xy 132.08 194.31)) + (stroke (width 0) (type default)) (uuid 946404ba-9297-43ec-9d67-30184041145f) ) (wire (pts (xy 76.2 95.25) (xy 81.28 95.25)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 94a10cae-6ef2-4b64-9d98-fb22aa3306cc) ) (wire (pts (xy 76.2 100.33) (xy 81.28 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9565d2ee-a4f1-4d08-b2c9-0264233a0d2b) ) (wire (pts (xy 48.26 128.27) (xy 55.88 128.27)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 96de0051-7945-413a-9219-1ab367546962) ) (bus (pts (xy 83.82 102.87) (xy 83.82 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9886ad43-0f0e-44df-9436-442bccd1eec1) ) (bus (pts (xy 83.82 138.43) (xy 83.82 140.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9890580c-c40f-4751-8e32-f937403c3be1) ) - - (wire (pts (xy 54.61 171.45) (xy 54.61 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 98970bf0-1168-4b4e-a1c9-3b0c8d7eaacf) - ) (bus (pts (xy 45.72 123.19) (xy 45.72 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 996fba41-cee2-4fc9-9baa-3b07cc03b389) ) + (wire (pts (xy 199.39 33.02) (xy 191.77 33.02)) + (stroke (width 0) (type default)) + (uuid 99eec81d-c3b1-4d29-ac1a-7ad5b2879896) + ) (wire (pts (xy 48.26 105.41) (xy 55.88 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9a2d648d-863a-4b7b-80f9-d537185c212b) ) - (wire (pts (xy 41.91 140.97) (xy 35.56 140.97)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9a8ad8bb-d9a9-4b2b-bc88-ea6fd2676d45) - ) (wire (pts (xy 78.74 26.67) (xy 78.74 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9aaeec6e-84fe-4644-b0bc-5de24626ff48) ) (wire (pts (xy 76.2 67.31) (xy 81.28 67.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9b6bb172-1ac4-440a-ac75-c1917d9d59c7) ) (wire (pts (xy 35.56 97.79) (xy 43.18 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9bac9ad3-a7b9-47f0-87c7-d8630653df68) ) (wire (pts (xy 17.78 110.49) (xy 22.86 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9c607e49-ee5c-4e85-a7da-6fede9912412) ) (wire (pts (xy 35.56 54.61) (xy 48.26 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9c8eae28-a7c3-4e6a-bd81-98cf70031070) ) - (wire (pts (xy 147.32 33.02) (xy 154.94 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9de304ba-fba7-4896-b969-9d87a3522d74) - ) (bus (pts (xy 45.72 59.69) (xy 45.72 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9e18f8b3-9e1a-4022-9224-10c12ca8a28d) ) (wire (pts (xy 86.36 54.61) (xy 95.25 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid 9e427954-2486-4c91-89b5-6af73a073442) ) - (wire (pts (xy 177.8 38.1) (xy 185.42 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 83.82 162.56) (xy 83.82 156.21)) + (stroke (width 0) (type default)) + (uuid 9f1c5b88-71fc-48a3-81f5-cfd2b9d2335b) + ) + (wire (pts (xy 199.39 38.1) (xy 207.01 38.1)) + (stroke (width 0) (type default)) (uuid 9f4abbc0-6ac3-48f0-b823-2c1c19349540) ) - (wire (pts (xy 228.6 17.78) (xy 238.76 17.78)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9f95f1fc-aa31-4ce6-996a-4b385731d8eb) + (wire (pts (xy 227.33 143.51) (xy 201.93 143.51)) + (stroke (width 0) (type default)) + (uuid 9f94eb9f-73f8-4e7c-a047-6b72ee67bf7a) ) - (wire (pts (xy 193.04 27.94) (xy 200.66 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 207.01 27.94) (xy 214.63 27.94)) + (stroke (width 0) (type default)) (uuid 9f969b13-1795-4747-8326-93bdc304ed56) ) (wire (pts (xy 81.28 87.63) (xy 78.74 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a0e7a81b-2259-4f8d-8368-ba75f2004714) ) - (wire (pts (xy 208.28 22.86) (xy 218.44 22.86)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 214.63 22.86) (xy 222.25 22.86)) + (stroke (width 0) (type default)) (uuid a12b751e-ae7a-468c-af3d-31ed4d501b01) ) + (wire (pts (xy 252.73 116.84) (xy 252.73 113.03)) + (stroke (width 0) (type default)) + (uuid a1a1b42d-741c-4baf-8639-7e7a16104c95) + ) + (wire (pts (xy 24.13 181.61) (xy 26.67 181.61)) + (stroke (width 0) (type default)) + (uuid a1d114db-6e1e-47b5-8bd9-10dc8b3f37be) + ) (bus (pts (xy 45.72 120.65) (xy 45.72 123.19)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a2557724-90fa-40be-b27d-876976c66739) ) (bus (pts (xy 83.82 67.31) (xy 83.82 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a2c5b768-9c13-43b5-a00f-122b7f97e9a1) ) - (wire (pts (xy 223.52 27.94) (xy 231.14 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 224.79 151.13) (xy 224.79 156.21)) + (stroke (width 0) (type default)) + (uuid a30ec26b-2b57-4e79-8fdf-e45ee8a6bf3a) + ) + (wire (pts (xy 245.11 123.19) (xy 252.73 123.19)) + (stroke (width 0) (type default)) + (uuid a3ae3468-b936-44fa-b850-1fcacce81e72) + ) + (wire (pts (xy 207.01 43.18) (xy 214.63 43.18)) + (stroke (width 0) (type default)) + (uuid a4010f49-c1b2-4f2b-90cb-3e495b5a05d4) + ) + (wire (pts (xy 237.49 27.94) (xy 245.11 27.94)) + (stroke (width 0) (type default)) (uuid a48f5fff-52e4-4ae8-8faa-7084c7ae8a28) ) + (wire (pts (xy 207.01 156.21) (xy 214.63 156.21)) + (stroke (width 0) (type default)) + (uuid a4d6540b-ae41-45b3-b760-9bd3fb89e093) + ) (wire (pts (xy 76.2 125.73) (xy 81.28 125.73)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a5be2cb8-c68d-4180-8412-69a6b4c5b1d4) ) (wire (pts (xy 125.73 44.45) (xy 128.27 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a647641f-bf16-4177-91ee-b01f347ff91c) ) - (wire (pts (xy 78.74 167.64) (xy 78.74 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 133.35 187.96) (xy 133.35 194.31)) + (stroke (width 0) (type default)) (uuid a64aeb89-c24a-493b-9aab-87a6be930bde) ) (wire (pts (xy 50.8 59.69) (xy 55.88 59.69)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a67dbe3b-ec7d-4ea5-b0e5-715c5263d8da) ) (wire (pts (xy 76.2 97.79) (xy 81.28 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid a7fc0812-140f-4d96-9cd8-ead8c1c610b1) ) - (wire (pts (xy 38.1 146.05) (xy 35.56 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a917c6d9-225d-4c90-bf25-fe8eff8abd3f) + (wire (pts (xy 77.47 156.21) (xy 76.2 156.21)) + (stroke (width 0) (type default)) + (uuid a84a5735-2605-4b36-a7c3-3faa4c9e8362) ) (wire (pts (xy 86.36 113.03) (xy 95.25 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid aa288a22-ea1d-474d-8dae-efe971580843) ) (bus (pts (xy 83.82 62.23) (xy 83.82 64.77)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ada81143-ea66-42a6-b1ba-11b9aa4a2a66) ) (wire (pts (xy 76.2 102.87) (xy 81.28 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ae0e6b31-27d7-4383-a4fc-7557b0a19382) ) - (wire (pts (xy 208.28 33.02) (xy 215.9 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 252.73 43.18) (xy 260.35 43.18)) + (stroke (width 0) (type default)) + (uuid ae425812-2cdd-4d85-b9c3-b549ecee20cc) + ) + (wire (pts (xy 222.25 33.02) (xy 229.87 33.02)) + (stroke (width 0) (type default)) (uuid ae8bb5ae-95ee-4e2d-8a0c-ae5b6149b4e3) ) (wire (pts (xy 35.56 77.47) (xy 43.18 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid af347946-e3da-4427-87ab-77b747929f50) ) (bus (pts (xy 83.82 52.07) (xy 83.82 54.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid af7cff00-e7cc-4759-9db8-72681c67917e) ) + (wire (pts (xy 209.55 161.29) (xy 204.47 161.29)) + (stroke (width 0) (type default)) + (uuid afd488fb-b4bd-417c-bf90-a93beaf81915) + ) (wire (pts (xy 78.74 62.23) (xy 76.2 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b0054ce1-b60e-41de-a6a2-bf712784dd39) ) (wire (pts (xy 86.36 62.23) (xy 95.25 62.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b121f1ff-8472-460b-ab2d-5110ddd1ca28) ) - (wire (pts (xy 185.42 38.1) (xy 193.04 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b1ba92d5-0d41-4be9-b483-47d08dc1785d) - ) - (wire (pts (xy 27.94 185.42) (xy 27.94 187.96)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b21299b9-3c4d-43df-b399-7f9b08eb5470) - ) (bus (pts (xy 83.82 133.35) (xy 83.82 135.89)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b2552c89-d6e2-4329-a750-575614595c94) ) (wire (pts (xy 76.2 82.55) (xy 81.28 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b287f145-851e-45cc-b200-e62677b551d5) ) + (wire (pts (xy 142.24 195.58) (xy 149.86 195.58)) + (stroke (width 0) (type default)) + (uuid b3210e06-affb-4ec4-8b9e-72d16753feb9) + ) (bus (pts (xy 15.24 105.41) (xy 15.24 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b554d09c-e37d-48d9-a2d6-1bb26fba0299) ) + (wire (pts (xy 175.26 146.05) (xy 181.61 146.05)) + (stroke (width 0) (type default)) + (uuid b6564e1e-1fe5-47af-849d-80cfe3ead5c2) + ) (wire (pts (xy 35.56 80.01) (xy 43.18 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b6cd701f-4223-4e72-a305-466869ccb250) ) - (wire (pts (xy 170.18 33.02) (xy 177.8 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b7c09c15-282b-4731-8942-008851172201) + (wire (pts (xy 245.11 17.78) (xy 240.03 17.78)) + (stroke (width 0) (type default)) + (uuid b7f9f741-3bda-44dc-961e-673aa2ff6e97) ) (bus (pts (xy 45.72 77.47) (xy 45.72 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid b869203c-fdc4-444a-aea1-443e8e3fc45e) ) - (wire (pts (xy 200.66 33.02) (xy 208.28 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b9d4de74-d246-495d-8b63-12ab2133d6d6) + (wire (pts (xy 77.47 173.99) (xy 76.2 173.99)) + (stroke (width 0) (type default)) + (uuid b879c476-f766-4d91-9c12-38b759042ebb) ) (wire (pts (xy 76.2 110.49) (xy 81.28 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ba6fc20e-7eff-4d5f-81e4-d1fad93be155) ) (bus (pts (xy 45.72 80.01) (xy 45.72 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ba92c38f-30a9-42e1-92e4-52962b9ac58d) ) + (wire (pts (xy 260.35 113.03) (xy 257.81 113.03)) + (stroke (width 0) (type default)) + (uuid bd71425f-17e2-4601-a4af-bb9ed7fb9388) + ) + (wire (pts (xy 201.93 146.05) (xy 227.33 146.05)) + (stroke (width 0) (type default)) + (uuid bdbc458b-4b4c-4240-906f-19b3b5c2bb32) + ) (wire (pts (xy 53.34 143.51) (xy 55.88 143.51)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid bde95c06-433a-4c03-bc48-e3abcdb4e054) ) - (wire (pts (xy 170.18 43.18) (xy 177.8 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bf6104a1-a529-4c00-b4ae-92001543f7ec) + (wire (pts (xy 229.87 27.94) (xy 237.49 27.94)) + (stroke (width 0) (type default)) + (uuid be1dee37-181a-43f0-bddb-01bd291d148a) ) - (wire (pts (xy 246.38 27.94) (xy 238.76 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 245.11 27.94) (xy 252.73 27.94)) + (stroke (width 0) (type default)) (uuid c10ace36-a93c-4c08-ac75-059ef9e1f71c) ) + (wire (pts (xy 222.25 123.19) (xy 233.68 123.19)) + (stroke (width 0) (type default)) + (uuid c1cdf61b-195a-447c-98a6-037f69afde43) + ) + (wire (pts (xy 176.53 22.86) (xy 184.15 22.86)) + (stroke (width 0) (type default)) + (uuid c376b7f4-3081-4859-b1d4-80b356aff922) + ) + (wire (pts (xy 201.93 151.13) (xy 207.01 151.13)) + (stroke (width 0) (type default)) + (uuid c3b8b0f9-510c-4224-99d1-69820fc49ad7) + ) (wire (pts (xy 48.26 92.71) (xy 55.88 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c4cab9c5-d6e5-4660-b910-603a51b56783) ) - (wire (pts (xy 231.14 38.1) (xy 238.76 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid c512fed3-9770-476b-b048-e781b4f3cd72) - ) - (wire (pts (xy 55.88 168.91) (xy 55.88 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 110.49 189.23) (xy 110.49 194.31)) + (stroke (width 0) (type default)) (uuid c67ad10d-2f75-4ec6-a139-47058f7f06b2) ) (bus (pts (xy 45.72 105.41) (xy 45.72 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c6ed29f5-fb74-49e9-88df-44db5f547df2) ) - (wire (pts (xy 40.64 166.37) (xy 35.56 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 40.64 160.02) (xy 35.56 160.02)) + (stroke (width 0) (type default)) (uuid c7f7bd58-1ebd-40fd-a39d-a95530a751b6) ) (wire (pts (xy 35.56 100.33) (xy 43.18 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid c8b92953-cd23-44e6-85ce-083fb8c3f20f) ) - (wire (pts (xy 41.91 140.97) (xy 41.91 151.13)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ca6e2466-a90a-4dab-be16-b070610e5087) + (wire (pts (xy 24.13 173.99) (xy 26.67 173.99)) + (stroke (width 0) (type default)) + (uuid ca7ba58e-c67a-45cc-8430-1b96d66b87a9) ) - (wire (pts (xy 154.94 43.18) (xy 162.56 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 184.15 43.18) (xy 191.77 43.18)) + (stroke (width 0) (type default)) (uuid cb1a49ef-0a06-4f40-9008-61d1d1c36198) ) (bus (pts (xy 83.82 113.03) (xy 83.82 115.57)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cbfc698c-b03d-44a0-9a15-dec18c32f47b) ) - (wire (pts (xy 43.18 179.07) (xy 43.18 180.34)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 43.18 172.72) (xy 43.18 173.99)) + (stroke (width 0) (type default)) (uuid cd1cff81-9d8a-4511-96d6-4ddb79484001) ) (bus (pts (xy 45.72 118.11) (xy 45.72 120.65)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cd48b13f-c989-4ac1-a7f0-053afcd77527) ) (wire (pts (xy 76.2 77.47) (xy 81.28 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cebb9021-66d3-4116-98d4-5e6f3c1552be) ) (bus (pts (xy 15.24 100.33) (xy 15.24 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cf6f2c16-658c-413a-a205-7b0072d954ea) ) (wire (pts (xy 76.2 135.89) (xy 81.28 135.89)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid cf815d51-c956-4c5a-adde-c373cb025b07) ) (bus (pts (xy 83.82 135.89) (xy 83.82 138.43)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d14fc59c-4df7-453d-bea4-bbdefdbfac05) ) (wire (pts (xy 76.2 80.01) (xy 81.28 80.01)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d1eca865-05c5-48a4-96cf-ed5f8a640e25) ) (wire (pts (xy 86.36 107.95) (xy 95.25 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d372e2ac-d81e-48b7-8c55-9bbe58eeffc3) ) (wire (pts (xy 55.88 49.53) (xy 55.88 52.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d396ce56-1974-47b7-a41b-ae2b20ef835c) ) (bus (pts (xy 83.82 125.73) (xy 83.82 128.27)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d3ae4f16-f2c4-4f96-870b-6cacc0daffd8) ) (bus (pts (xy 83.82 97.79) (xy 83.82 100.33)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d3c3a789-4328-45f3-af1e-7b7f37747c06) ) (wire (pts (xy 78.74 31.75) (xy 76.2 31.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d3e133b7-2c84-4206-a2b1-e693cb57fe56) ) (wire (pts (xy 48.26 107.95) (xy 55.88 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d4db7f11-8cfe-40d2-b021-b36f05241701) ) (wire (pts (xy 123.19 166.37) (xy 120.65 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d4e4ffa8-e3e2-4590-b9df-630d1880f3e4) ) - (wire (pts (xy 104.14 191.77) (xy 104.14 194.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 21.59 143.51) (xy 21.59 146.05)) + (stroke (width 0) (type default)) (uuid d5a7688c-7438-4b6d-999f-4f2a3cb18fd6) ) - (wire (pts (xy 185.42 43.18) (xy 177.8 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 199.39 43.18) (xy 207.01 43.18)) + (stroke (width 0) (type default)) (uuid d5f4d798-57d3-493b-b57c-3b6e89508879) ) (wire (pts (xy 78.74 123.19) (xy 78.74 118.11)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d6040293-95f0-436a-938c-ad69875a4be8) ) - (wire (pts (xy 57.15 186.69) (xy 57.15 189.23)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 142.24 187.96) (xy 149.86 187.96)) + (stroke (width 0) (type default)) + (uuid d6aff4e5-b1c7-4542-b955-ac8dce718190) + ) + (wire (pts (xy 55.88 153.67) (xy 55.88 156.21)) + (stroke (width 0) (type default)) (uuid d72c89a6-7578-4468-964e-2a845431195f) ) - (wire (pts (xy 223.52 38.1) (xy 231.14 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d767f2ff-12ec-4778-96cb-3fdd7a473d60) - ) (wire (pts (xy 35.56 82.55) (xy 43.18 82.55)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d88958ac-68cd-4955-a63f-0eaa329dec86) ) (wire (pts (xy 125.73 166.37) (xy 123.19 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d8dc9b6c-67d0-4a0d-a791-6f7d43ef3652) ) (bus (pts (xy 83.82 100.33) (xy 83.82 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid d8ff5903-8c73-494a-b71b-a4849b9a5726) ) - (wire (pts (xy 170.18 38.1) (xy 177.8 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid da862bae-4511-4bb9-b18d-fa60a2737feb) - ) (wire (pts (xy 86.36 64.77) (xy 95.25 64.77)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid db532ed2-914c-41b4-b389-de2bf235d0a7) ) + (wire (pts (xy 207.01 151.13) (xy 224.79 151.13)) + (stroke (width 0) (type default)) + (uuid db651498-1f10-4f12-ad37-15a97e754f34) + ) (wire (pts (xy 81.28 57.15) (xy 78.74 57.15)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid dc1d84c8-33da-4489-be8e-2a1de3001779) ) + (wire (pts (xy 226.06 113.03) (xy 226.06 115.57)) + (stroke (width 0) (type default)) + (uuid dc39c960-1fff-4260-9f52-f5607558d365) + ) (wire (pts (xy 128.27 166.37) (xy 125.73 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid dc628a9d-67e8-4a03-b99f-8cc7a42af6ef) ) (wire (pts (xy 76.2 133.35) (xy 81.28 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid dca1d7db-c913-4d73-a2cc-fdc9651eda69) ) + (wire (pts (xy 222.25 33.02) (xy 214.63 33.02)) + (stroke (width 0) (type default)) + (uuid dd7308d0-a6a6-4066-ace5-998c9c99b16e) + ) (wire (pts (xy 115.57 166.37) (xy 113.03 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid dde4c43d-f33e-48ba-86f3-779fdfce00c2) ) (bus (pts (xy 45.72 90.17) (xy 45.72 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid de1f8893-19b4-44f6-a2d6-60129abca588) ) - (wire (pts (xy 162.56 38.1) (xy 170.18 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 191.77 38.1) (xy 199.39 38.1)) + (stroke (width 0) (type default)) (uuid dec284d9-246c-4619-8dcc-8f4886f9349e) ) - (wire (pts (xy 80.01 167.64) (xy 78.74 167.64)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 133.35 187.96) (xy 137.16 187.96)) + (stroke (width 0) (type default)) (uuid df2a6036-7274-4398-9365-148b6ddab90d) ) (wire (pts (xy 123.19 44.45) (xy 125.73 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e07c4b69-e0b4-4217-9b28-38d44f166b31) ) (wire (pts (xy 50.8 90.17) (xy 55.88 90.17)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e0b36e60-bb2b-489c-a764-1b81e551ce62) ) (wire (pts (xy 35.56 72.39) (xy 43.18 72.39)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e5864fe6-2a71-47f0-90ce-38c3f8901580) ) (wire (pts (xy 48.26 97.79) (xy 55.88 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e5b328f6-dc69-4905-ae98-2dc3200a51d6) ) (wire (pts (xy 17.78 92.71) (xy 22.86 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e5e5220d-5b7e-47da-a902-b997ec8d4d58) ) (bus (pts (xy 15.24 107.95) (xy 15.24 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e735969f-8792-46e2-ba57-f570ed602196) ) (wire (pts (xy 35.56 74.93) (xy 43.18 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e7e08b48-3d04-49da-8349-6de530a20c67) ) (wire (pts (xy 86.36 110.49) (xy 95.25 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid e9a9fba3-7cfa-45ca-926c-a5a8ecd7e3a4) ) + (wire (pts (xy 252.73 22.86) (xy 260.35 22.86)) + (stroke (width 0) (type default)) + (uuid ea209d35-d1fc-429c-8a34-768682398b7b) + ) (wire (pts (xy 76.2 123.19) (xy 78.74 123.19)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ea28e946-b74f-4ba8-ac7b-b1884c5e7296) ) + (wire (pts (xy 260.35 33.02) (xy 252.73 33.02)) + (stroke (width 0) (type default)) + (uuid ea366f01-bb1b-4632-9ad8-228e6afd6f05) + ) (bus (pts (xy 45.72 118.11) (xy 15.24 118.11)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid eac8d865-0226-4958-b547-6b5592f39713) ) (wire (pts (xy 115.57 44.45) (xy 118.11 44.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ec2e3d8a-128c-4be8-b432-9738bca934ae) ) + (wire (pts (xy 194.31 130.81) (xy 191.77 130.81)) + (stroke (width 0) (type default)) + (uuid ed45d89e-c9bd-4a75-930c-86f01de69e24) + ) (wire (pts (xy 48.26 74.93) (xy 55.88 74.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid ed8a7f02-cf05-41d0-97b4-4388ef205e73) ) (wire (pts (xy 48.26 77.47) (xy 55.88 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid eed466bf-cd88-4860-9abf-41a594ca08bd) ) - (wire (pts (xy 200.66 38.1) (xy 208.28 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 229.87 38.1) (xy 237.49 38.1)) + (stroke (width 0) (type default)) (uuid ef94502b-f22d-4da7-a17f-4100090b03a1) ) (bus (pts (xy 45.72 62.23) (xy 45.72 64.77)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f007aeaa-a00c-4946-8634-e7655039efd7) ) (bus (pts (xy 45.72 85.09) (xy 45.72 87.63)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f0c0ad3a-f62e-41d4-92aa-8ad7562c7c87) ) + (wire (pts (xy 245.11 22.86) (xy 252.73 22.86)) + (stroke (width 0) (type default)) + (uuid f197822b-3fbb-40c9-8fe8-3633033922e6) + ) (wire (pts (xy 48.26 69.85) (xy 55.88 69.85)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f1e619ac-5067-41df-8384-776ec70a6093) ) - (wire (pts (xy 154.94 27.94) (xy 162.56 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f203116d-f256-4611-a03e-9536bbedaf2f) + (wire (pts (xy 222.25 113.03) (xy 222.25 116.84)) + (stroke (width 0) (type default)) + (uuid f1e82498-0fef-4655-9c31-e163f2c3776d) ) (wire (pts (xy 17.78 102.87) (xy 22.86 102.87)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f2480d0c-9b08-4037-9175-b2369af04d4c) ) (bus (pts (xy 83.82 110.49) (xy 83.82 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f2ad4f56-a8d6-42ab-908a-f7491bcc26f4) ) (wire (pts (xy 17.78 97.79) (xy 22.86 97.79)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f345e52a-8e0a-425a-b438-90809dd3b799) ) + (wire (pts (xy 245.11 123.19) (xy 245.11 121.92)) + (stroke (width 0) (type default)) + (uuid f37e0a3e-b05c-4ad3-915c-779af4008935) + ) + (wire (pts (xy 207.01 38.1) (xy 214.63 38.1)) + (stroke (width 0) (type default)) + (uuid f43d8a4b-896b-4c72-bd7f-7f8e613a7806) + ) (wire (pts (xy 55.88 46.99) (xy 55.88 49.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f47374c3-cb2a-4769-880f-830c9b19222e) ) - (wire (pts (xy 218.44 22.86) (xy 228.6 22.86)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f48f1d12-9008-4743-81e2-bdec45db64a1) - ) - (wire (pts (xy 39.37 146.05) (xy 38.1 146.05)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f4a1ab68-998b-43e3-aa33-40b58210bc99) - ) (bus (pts (xy 45.72 74.93) (xy 45.72 77.47)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f4a761ee-9250-47cd-a9f5-0a22091937ac) ) + (wire (pts (xy 222.25 22.86) (xy 229.87 22.86)) + (stroke (width 0) (type default)) + (uuid f4ae6d1b-837b-4f28-bda6-3ef311da88f0) + ) (wire (pts (xy 48.26 138.43) (xy 55.88 138.43)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f64497d1-1d62-44a4-8e5e-6fba4ebc969a) ) - (wire (pts (xy 223.52 43.18) (xy 231.14 43.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f674b8e7-203d-419e-988a-58e0f9ae4fad) - ) - (wire (pts (xy 193.04 38.1) (xy 200.66 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 222.25 38.1) (xy 229.87 38.1)) + (stroke (width 0) (type default)) (uuid f67bbef3-6f59-49ba-8890-d1f9dc9f9ad6) ) + (wire (pts (xy 26.67 181.61) (xy 26.67 173.99)) + (stroke (width 0) (type default)) + (uuid f7f3d4d7-f75d-4218-b239-d8f5901746d2) + ) + (wire (pts (xy 252.73 38.1) (xy 260.35 38.1)) + (stroke (width 0) (type default)) + (uuid f829c45b-ef1c-40fa-8e80-a8d9843cfb4d) + ) + (wire (pts (xy 184.15 22.86) (xy 191.77 22.86)) + (stroke (width 0) (type default)) + (uuid f8866787-a725-4d91-9f80-9269f81da2f3) + ) (wire (pts (xy 48.26 133.35) (xy 55.88 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid f8bd6470-fafd-47f2-8ed5-9449988187ce) ) - (wire (pts (xy 177.8 33.02) (xy 185.42 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f934a442-23d6-4e5b-908f-bb9199ad6f8b) + (wire (pts (xy 179.07 138.43) (xy 179.07 133.35)) + (stroke (width 0) (type default)) + (uuid f931dd95-5977-451e-81c5-d4be749275b2) ) (wire (pts (xy 41.91 133.35) (xy 35.56 133.35)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid fa00d3f4-bb71-4b1d-aa40-ae9267e2c41f) ) (wire (pts (xy 48.26 110.49) (xy 55.88 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid faa1812c-fdf3-47ae-9cf4-ae06a263bfbd) ) - (wire (pts (xy 200.66 27.94) (xy 208.28 27.94)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 214.63 27.94) (xy 222.25 27.94)) + (stroke (width 0) (type default)) (uuid fb0b1440-18be-4b5f-b469-b4cfaf66fc53) ) - (wire (pts (xy 193.04 33.02) (xy 200.66 33.02)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fb0bf2a0-d317-42f7-b022-b5e05481f6be) + (wire (pts (xy 207.01 22.86) (xy 199.39 22.86)) + (stroke (width 0) (type default)) + (uuid fb0d0462-6a2d-4d6d-ab19-413d81dabb38) + ) + (wire (pts (xy 229.87 17.78) (xy 237.49 17.78)) + (stroke (width 0) (type default)) + (uuid fb4fcac6-8eb5-4a60-8129-af98f353b13c) ) (wire (pts (xy 120.65 166.37) (xy 118.11 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid fbb5e77c-4b41-4796-ad13-1b9e2bbc3c81) ) (bus (pts (xy 15.24 110.49) (xy 15.24 113.03)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid fc067f8c-6d12-4f38-a53f-43911ef10aa7) ) (wire (pts (xy 35.56 92.71) (xy 43.18 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid fd3499d5-6fd2-49a4-bdb0-109cee899fde) ) (wire (pts (xy 118.11 166.37) (xy 115.57 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (stroke (width 0) (type default)) (uuid fdc57161-f7f8-4584-b0ec-8c1aa24339c6) ) - (wire (pts (xy 147.32 38.1) (xy 154.94 38.1)) - (stroke (width 0) (type default) (color 0 0 0 0)) + (wire (pts (xy 237.49 33.02) (xy 229.87 33.02)) + (stroke (width 0) (type default)) + (uuid fef2cd25-7e28-4cea-b9fa-f3be51d6aa20) + ) + (wire (pts (xy 237.49 161.29) (xy 234.95 161.29)) + (stroke (width 0) (type default)) + (uuid ff23e675-3865-4a2f-bdde-be6bb233aab8) + ) + (wire (pts (xy 184.15 27.94) (xy 191.77 27.94)) + (stroke (width 0) (type default)) (uuid ffa442c7-cbef-461f-8613-c211201cec06) ) - (label "Dr5" (at 146.05 54.61 0) + (label "SD5" (at 146.05 54.61 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 003974b6-cb8f-491b-a226-fc7891eb9a62) ) - (label "SA3" (at 146.05 120.65 0) + (label "SA3" (at 146.05 120.65 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 004b7456-c25a-480f-88f6-723c1bcd9939) ) - (label "RA7" (at 76.2 113.03 0) + (label "RA7" (at 76.2 113.03 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 01f82238-6335-48fe-8b0a-6853e227345a) ) - (label "MOSI" (at 199.39 143.51 0) + (label "MOSI" (at 242.57 66.04 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 02538207-54a8-4266-8d51-23871852b2ff) ) - (label "A4" (at 35.56 100.33 0) + (label "A4" (at 35.56 100.33 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 026ac84e-b8b2-4dd2-b675-8323c24fd778) ) - (label "RD7" (at 76.2 143.51 0) + (label "RD7" (at 76.2 143.51 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 03f57fb4-32a3-4bc6-85b9-fd8ece4a9592) ) - (label "SD1" (at 198.12 54.61 0) + (label "RA4" (at 95.25 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 052254c6-d787-4f8a-9526-fd269d684dbe) + ) + (label "SD1" (at 198.12 54.61 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 076046ab-4b56-4060-b8d9-0d80806d0277) ) - (label "RA1" (at 146.05 151.13 0) + (label "USB5V" (at 219.71 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 093faf23-ceb1-437e-884d-f725e6fb1b1c) + ) + (label "RA1" (at 146.05 151.13 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 09c6ca89-863f-42d4-867e-9a769c316610) ) - (label "A5" (at 35.56 97.79 0) + (label "A5" (at 35.56 97.79 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0bcafe80-ffba-4f1e-ae51-95a595b006db) ) - (label "FW1" (at 146.05 143.51 0) + (label "FW1" (at 146.05 143.51 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0c9bbc06-f1c0-4359-8448-9c515b32a886) ) - (label "PHI1" (at 22.86 82.55 180) + (label "PHI1" (at 22.86 82.55 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 0cc45b5b-96b3-4284-9cae-a3a9e324a916) ) - (label "FCK" (at 199.39 140.97 0) + (label "FCK" (at 242.57 63.5 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0d993e48-cea3-4104-9c5a-d8f97b64a3ac) ) - (label "RA6" (at 76.2 110.49 0) + (label "RA6" (at 76.2 110.49 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0e249018-17e7-42b3-ae5d-5ebf3ae299ae) ) - (label "RD3" (at 146.05 133.35 0) + (label "RD3" (at 146.05 133.35 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0e592cd4-1950-44ef-9727-8e526f4c4e12) ) - (label "~{IRQ}" (at 22.86 62.23 180) + (label "~{IRQ}" (at 22.86 62.23 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 0f324b67-75ef-407f-8dbc-3c1fc5c2abba) ) - (label "SCKE" (at 172.72 100.33 180) + (label "SCKE" (at 172.72 100.33 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 0fd35a3e-b394-4aae-875a-fac843f9cbb7) ) - (label "D6" (at 22.86 95.25 180) + (label "D6" (at 22.86 95.25 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 109caac1-5036-4f23-9a66-f569d871501b) ) - (label "RCLK" (at 90.17 167.64 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) + (label "RCLK" (at 149.86 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 10d8ad0e-6a08-4053-92aa-23a15910fd21) ) - (label "SD0" (at 198.12 52.07 0) + (label "SD0" (at 198.12 52.07 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 1171ce37-6ad7-4662-bb68-5592c945ebf3) ) - (label "F~{CS}" (at 95.25 59.69 180) + (label "F~{CS}" (at 95.25 59.69 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 11c7c8d4-4c4b-4330-bb59-1eec2e98b255) ) - (label "Dr7" (at 146.05 59.69 0) + (label "SD7" (at 146.05 59.69 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 122b5574-57fe-4d2d-80bf-3cabd28e7128) ) - (label "A2" (at 55.88 97.79 180) + (label "A2" (at 55.88 97.79 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 13bbfffc-affb-4b43-9eb1-f2ed90a8a919) ) - (label "SD5" (at 198.12 64.77 0) + (label "SD5" (at 198.12 64.77 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 16121028-bdf5-49c0-aae7-e28fe5bfa771) ) - (label "F~{CS}" (at 171.45 135.89 180) + (label "F~{CS}" (at 214.63 58.42 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 17ed3508-fa2e-4593-a799-bfd39a6cc14d) ) - (label "SD1" (at 171.45 121.92 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 180245d9-4a3f-4d1b-adcc-b4eafac722e0) - ) - (label "D1" (at 55.88 125.73 180) + (label "D1" (at 55.88 125.73 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 18ca5aef-6a2c-41ac-9e7f-bf7acb716e53) ) - (label "SD3" (at 198.12 59.69 0) + (label "SD3" (at 198.12 59.69 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 196a8dd5-5fd6-4c7f-ae4a-0104bd82e61b) ) - (label "D5" (at 22.86 97.79 180) + (label "D5" (at 22.86 97.79 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 19b0959e-a79b-43b2-a5ad-525ced7e9131) ) - (label "A5" (at 55.88 105.41 180) + (label "A5" (at 55.88 105.41 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 1ab71a3c-340b-469a-ada5-4f87f0b7b2fa) ) - (label "~{NMI}" (at 22.86 59.69 180) + (label "~{NMI}" (at 22.86 59.69 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 1c68b844-c861-46b7-b734-0242168a4220) ) - (label "SD1" (at 198.12 87.63 0) + (label "SD1" (at 198.12 87.63 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 1fbb0219-551e-409b-a61b-76e8cebdfb9d) ) - (label "A14" (at 55.88 77.47 180) + (label "A14" (at 55.88 77.47 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 20caf6d2-76a7-497e-ac56-f6d31eb9027b) ) - (label "RA11" (at 90.17 107.95 0) + (label "RA11" (at 90.17 107.95 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 21573090-1953-4b11-9042-108ae79fe9c5) ) - (label "~{IOSTRB}" (at 35.56 64.77 0) + (label "~{IOSTRB}" (at 35.56 64.77 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 224768bc-6009-43ba-aa4a-70cbaa15b5a3) ) - (label "RD6" (at 146.05 140.97 0) + (label "RD6" (at 146.05 140.97 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2295a793-dfca-4b86-a3e5-abf1834e2790) ) - (label "FW1" (at 119.38 191.77 0) + (label "FW1" (at 36.83 143.51 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 22ab392d-1989-4185-9178-8083812ea067) ) - (label "Dr5" (at 199.39 121.92 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 2454fd1b-3484-4838-8b7e-d26357238fe1) - ) - (label "RD3" (at 76.2 133.35 0) + (label "RD3" (at 76.2 133.35 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 24b72b0d-63b8-4e06-89d0-e94dcf39a600) ) - (label "ACLK" (at 146.05 77.47 0) + (label "ACLK" (at 146.05 77.47 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2522909e-6f5c-4f36-9c3a-869dca14e50f) ) - (label "~{RDY}" (at 35.56 62.23 0) + (label "~{RDY}" (at 35.56 62.23 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 26801cfb-b53b-4a6a-a2f4-5f4986565765) ) - (label "RA13" (at 76.2 77.47 0) + (label "RA13" (at 76.2 77.47 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 269f19c3-6824-45a8-be29-fa58d70cbb42) ) - (label "TMS" (at 22.86 146.05 180) + (label "~{RES}" (at 88.9 156.21 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 26bc8641-9bca-4204-9709-deedbe202a36) - ) - (label "~{RES}" (at 82.55 189.23 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 282c8e53-3acc-42f0-a92a-6aa976b97a93) ) - (label "R~{IOSEL}" (at 95.25 120.65 180) + (label "R~{IOSEL}" (at 95.25 120.65 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 28b01cd2-da3a-46ec-8825-b0f31a0b8987) ) - (label "SD4" (at 189.23 119.38 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 28e37b45-f843-47c2-85c9-ca19f5430ece) - ) - (label "Ddir" (at 55.88 120.65 180) + (label "Ddir" (at 55.88 120.65 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 2b5a9ad3-7ec4-447d-916c-47adf5f9674f) ) - (label "ACLK" (at 90.17 175.26 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) + (label "ACLK" (at 149.86 195.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 2b64d2cb-d62a-4762-97ea-f1b0d4293c4f) ) - (label "TDO" (at 95.25 156.21 180) + (label "TDO" (at 95.25 156.21 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 2c488362-c230-4f6d-82f9-a229b1171a23) ) - (label "RA13" (at 90.17 113.03 0) + (label "RA13" (at 90.17 113.03 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2cd3975a-2259-4fa9-8133-e1586b9b9618) ) - (label "SA11" (at 146.05 90.17 0) + (label "SA11" (at 146.05 90.17 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2d617fad-47fe-4db9-836a-4bceb9c31c3b) ) - (label "SA10" (at 146.05 97.79 0) + (label "SA10" (at 146.05 97.79 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2e36ce87-4661-4b8f-956a-16dc559e1b50) ) - (label "A13" (at 55.88 74.93 180) + (label "A13" (at 55.88 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 2f291a4b-4ecb-4692-9ad2-324f9784c0d4) ) - (label "RD1" (at 146.05 128.27 0) + (label "RD1" (at 146.05 128.27 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 300aa512-2f66-4c26-a530-50c091b3a099) ) - (label "D7" (at 22.86 92.71 180) + (label "D7" (at 22.86 92.71 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 31540a7e-dc9e-4e4d-96b1-dab15efa5f4b) ) - (label "A9" (at 55.88 64.77 180) + (label "A9" (at 55.88 64.77 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 319639ae-c2c5-486d-93b1-d03bb1b64252) ) - (label "SA10" (at 172.72 85.09 180) + (label "IRQ~{OE}" (at 55.88 168.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 32bfc5fd-822c-4275-9e3a-d7e4ff65f119) + ) + (label "SA10" (at 172.72 85.09 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 3326423d-8df7-4a7e-a354-349430b8fbd7) ) - (label "A2" (at 35.56 105.41 0) + (label "A2" (at 35.56 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 34cdc1c9-c9e2-44c4-9677-c1c7d7efd83d) ) - (label "A10" (at 35.56 85.09 0) + (label "A10" (at 35.56 85.09 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 34d03349-6d78-4165-a683-2d8b76f2bae8) ) - (label "A8" (at 35.56 90.17 0) + (label "UTCK" (at 171.45 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 34fd4a6b-5978-482f-a187-edd968ddfe0b) + ) + (label "A8" (at 35.56 90.17 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 37b6c6d6-3e12-4736-912a-ea6e2bf06721) ) - (label "RA12" (at 76.2 74.93 0) + (label "RA12" (at 76.2 74.93 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 38cfe839-c630-43d3-a9ec-6a89ba9e318a) ) - (label "Dr0" (at 95.25 143.51 180) + (label "SD0" (at 95.25 143.51 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 3a45fb3b-7899-44f2-a78a-f676359df67b) ) - (label "A10" (at 55.88 67.31 180) + (label "A10" (at 55.88 67.31 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 3a70978e-dcc2-4620-a99c-514362812927) ) - (label "RA8" (at 95.25 64.77 180) + (label "RA8" (at 95.25 64.77 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 3b6dda98-f455-4961-854e-3c4cceecffcc) ) - (label "SD7" (at 189.23 127 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3c5e5ea9-793d-46e3-86bc-5884c4490dc7) - ) - (label "TDI" (at 22.86 133.35 180) + (label "TDI" (at 22.86 133.35 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 3c9169cc-3a77-4ae0-8afc-cbfc472a28c5) ) - (label "TDO" (at 22.86 125.73 180) + (label "TDO" (at 22.86 125.73 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 3e57b728-64e6-4470-8f27-a43c0dd85050) ) - (label "SA1" (at 172.72 62.23 180) + (label "SA1" (at 172.72 62.23 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 4185c36c-c66e-4dbd-be5d-841e551f4885) ) - (label "RA9" (at 95.25 67.31 180) + (label "RA9" (at 95.25 67.31 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 42f10020-b50a-4739-a546-6b63e441c980) ) - (label "SD5" (at 198.12 77.47 0) + (label "SD5" (at 198.12 77.47 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 43707e99-bdd7-4b02-9974-540ed6c2b0aa) ) - (label "RD4" (at 76.2 135.89 0) + (label "RD4" (at 76.2 135.89 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4431c0f6-83ea-4eee-95a8-991da2f03ccd) ) - (label "Dr6" (at 199.39 124.46 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 45884597-7014-4461-83ee-9975c42b9a53) - ) - (label "MISO" (at 95.25 77.47 180) + (label "MISO" (at 95.25 77.47 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 46491a9d-8b3d-4c74-b09a-70c876f162e5) ) - (label "SBA1" (at 146.05 92.71 0) + (label "SBA1" (at 146.05 92.71 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4688ff87-8262-46f4-ad96-b5f4e529cfa9) ) - (label "RA8" (at 76.2 64.77 0) + (label "RA8" (at 76.2 64.77 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 49575217-40b0-4890-8acf-12982cca52b5) ) - (label "7M" (at 22.86 77.47 180) + (label "7M" (at 22.86 77.47 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 4a850cb6-bb24-4274-a902-e49f34f0a0e3) ) - (label "INTin" (at 22.86 57.15 180) + (label "INTin" (at 22.86 57.15 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 4b03e854-02fe-44cc-bece-f8268b7cae54) ) - (label "RPHI0" (at 76.2 39.37 0) + (label "RPHI0" (at 76.2 39.37 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4b1fce17-dec7-457e-ba3b-a77604e77dc9) ) - (label "RA9" (at 76.2 67.31 0) + (label "RA9" (at 76.2 67.31 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4cafb73d-1ad8-4d24-acf7-63d78095ae46) ) - (label "FD2" (at 17.78 187.96 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) + (label "FD2" (at 13.97 181.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4cfd9a02-97ef-4af4-a6b8-db9be1a8fda5) ) - (label "SA9" (at 146.05 95.25 0) + (label "SA9" (at 146.05 95.25 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4d3a1f72-d521-46ae-8fe1-3f8221038335) ) - (label "SA9" (at 172.72 82.55 180) + (label "SA9" (at 172.72 82.55 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 4d4fecdd-be4a-47e9-9085-2268d5852d8f) ) - (label "SA11" (at 172.72 87.63 180) + (label "SA11" (at 172.72 87.63 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 4ec618ae-096f-4256-9328-005ee04f13d6) ) - (label "SDQMH" (at 146.05 67.31 0) + (label "SDQMH" (at 146.05 67.31 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4f4bd227-fa4c-47f4-ad05-ee16ad4c58c2) ) - (label "D6" (at 55.88 138.43 180) + (label "D6" (at 55.88 138.43 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 501880c3-8633-456f-9add-0e8fa1932ba6) ) - (label "D3" (at 55.88 130.81 180) + (label "D3" (at 55.88 130.81 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 528fd7da-c9a6-40ae-9f1a-60f6a7f4d534) ) - (label "R~{W}" (at 55.88 44.45 180) + (label "R~{W}" (at 55.88 44.45 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 52a8f1be-73ca-41a8-bc24-2320706b0ec1) ) - (label "RA12" (at 90.17 110.49 0) + (label "RA12" (at 90.17 110.49 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 53719fc4-141e-4c58-98cd-ab3bf9a4e1c0) ) - (label "SD2" (at 171.45 124.46 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 54212c01-b363-47b8-a145-45c40df316f4) - ) - (label "RA11" (at 76.2 72.39 0) + (label "RA11" (at 76.2 72.39 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5889287d-b845-4684-b23e-663811b25d27) ) - (label "SCKE" (at 146.05 80.01 0) + (label "SCKE" (at 146.05 80.01 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5b70b09b-6762-4725-9d48-805300c0bdc8) ) - (label "RD2" (at 146.05 130.81 0) + (label "RD2" (at 146.05 130.81 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 5bbde4f9-fcdb-4d27-a2d6-3847fcdd87ba) ) - (label "SBA1" (at 172.72 95.25 180) + (label "SBA1" (at 172.72 95.25 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 5d9921f1-08b3-4cc9-8cf7-e9a72ca2fdb7) ) - (label "A11" (at 55.88 69.85 180) + (label "A11" (at 55.88 69.85 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 62a1f3d4-027d-4ecf-a37a-6fcf4263e9d2) ) - (label "SA8" (at 146.05 100.33 0) + (label "SA8" (at 146.05 100.33 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6316acb7-63a1-40e7-8695-2822d4a240b5) ) - (label "RA5" (at 76.2 107.95 0) + (label "RA5" (at 76.2 107.95 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 63489ebf-0f52-43a6-a0ab-158b1a7d4988) ) - (label "FD2" (at 22.86 166.37 180) + (label "FD2" (at 22.86 160.02 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 63caf46e-0228-40de-b819-c6bd29dd1711) ) - (label "RA0" (at 146.05 156.21 0) + (label "RA0" (at 146.05 156.21 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 64d1d0fe-4fd6-4a55-8314-56a651e1ccab) ) - (label "RR~{W}in" (at 95.25 130.81 180) + (label "TCK" (at 166.37 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 67d58d9f-c5b3-4583-914e-a8d1cf297c98) + ) + (label "RR~{W}in" (at 95.25 130.81 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 68039801-1b0f-480a-861d-d55f24af0c17) ) - (label "Q3" (at 22.86 80.01 180) + (label "Q3" (at 22.86 80.01 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6b7c1048-12b6-46b2-b762-fa3ad30472dd) ) - (label "SD7" (at 198.12 69.85 0) + (label "SD7" (at 198.12 69.85 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6bd115d6-07e0-45db-8f2e-3cbb0429104f) ) - (label "SBA0" (at 146.05 87.63 0) + (label "SBA0" (at 146.05 87.63 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6ce41a48-c5e2-4d5f-8548-1c7b5c309a8a) ) - (label "SA6" (at 146.05 107.95 0) + (label "SA6" (at 146.05 107.95 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6e9883d7-9642-4425-a248-b92a09f0624c) ) - (label "RA4" (at 146.05 52.07 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 6ea0f2f7-b064-4b8f-bd17-48195d1c83d1) - ) - (label "FW0" (at 119.38 194.31 0) + (label "FW0" (at 36.83 146.05 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 6fd21292-6577-40e1-bbda-18906b5e9f6f) ) - (label "Ddir" (at 95.25 80.01 180) + (label "Ddir" (at 95.25 80.01 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 70abf340-8b3e-403e-a5e2-d8f35caa2f87) ) - (label "R~{RES}" (at 95.25 133.35 180) + (label "R~{RES}" (at 95.25 133.35 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 70cda344-73be-4466-a097-1fd56f3b19e2) ) - (label "SA4" (at 172.72 69.85 180) + (label "SA4" (at 172.72 69.85 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 71c6e723-673c-45a9-a0e4-9742220c52a3) ) - (label "A1" (at 55.88 95.25 180) + (label "A1" (at 55.88 95.25 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 71f8d568-0f23-4ff2-8e60-1600ce517a48) ) - (label "RA10" (at 95.25 72.39 180) + (label "RA10" (at 95.25 72.39 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 725579dd-9ec6-473d-8843-6a11e99f108c) ) - (label "FD2" (at 171.45 140.97 180) + (label "FD2" (at 214.63 63.5 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 73fbe87f-3928-49c2-bf87-839d907c6aef) ) - (label "INTout" (at 35.56 57.15 0) + (label "INTout" (at 35.56 57.15 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 752417ee-7d0b-4ac8-a22c-26669881a2ab) ) - (label "A15" (at 55.88 80.01 180) + (label "A15" (at 55.88 80.01 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 759788bd-3cb9-4d38-b58c-5cb10b7dca6b) ) - (label "TCK" (at 22.86 123.19 180) + (label "TCK" (at 17.78 123.19 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 75b944f9-bf25-4dc7-8104-e9f80b4f359b) ) - (label "SD3" (at 198.12 82.55 0) + (label "SD3" (at 198.12 82.55 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 79770cd5-32d7-429a-8248-0d9e6212231a) ) - (label "D4" (at 55.88 133.35 180) + (label "D4" (at 55.88 133.35 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7a879184-fad8-4feb-afb5-86fe8d34f1f7) ) - (label "SD0" (at 198.12 90.17 0) + (label "SD0" (at 198.12 90.17 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7bfba61b-6752-4a45-9ee6-5984dcb15041) ) - (label "A0" (at 55.88 92.71 180) + (label "A0" (at 55.88 92.71 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7c00778a-4692-4f9b-87d5-2d355077ce1e) ) - (label "D3" (at 22.86 102.87 180) + (label "D3" (at 22.86 102.87 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7c04618d-9115-4179-b234-a8faf854ea92) ) - (label "Dr4" (at 95.25 146.05 180) + (label "SD4" (at 95.25 146.05 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7c0866b5-b180-4be6-9e62-43f5b191d6d4) ) - (label "PHI0" (at 55.88 36.83 180) + (label "PHI0" (at 55.88 36.83 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 7c2008c8-0626-4a09-a873-065e83502a0e) ) - (label "RA2" (at 76.2 100.33 0) + (label "RA2" (at 76.2 100.33 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7db990e4-92e1-4f99-b4d2-435bbec1ba83) ) - (label "RD0" (at 146.05 125.73 0) + (label "RD0" (at 146.05 125.73 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7de6564c-7ad6-4d57-a54c-8d2835ff5cdc) ) - (label "RD0" (at 76.2 125.73 0) + (label "RD0" (at 76.2 125.73 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7e1217ba-8a3d-4079-8d7b-b45f90cfbf53) ) - (label "SA2" (at 146.05 115.57 0) + (label "SA2" (at 146.05 115.57 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 832b5a8c-7fe2-47ff-beee-cebf840750bb) ) - (label "RES~{OE}" (at 57.15 184.15 180) + (label "RES~{OE}" (at 55.88 151.13 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 83c5181e-f5ee-453c-ae5c-d7256ba8837d) ) - (label "S~{CS}" (at 146.05 82.55 0) + (label "S~{CS}" (at 146.05 82.55 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 843b53af-dd34-4db8-aa6b-5035b25affc7) ) - (label "SA8" (at 172.72 80.01 180) + (label "SA8" (at 172.72 80.01 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8458d41c-5d62-455d-b6e1-9f718c0faac9) ) - (label "RA6" (at 95.25 54.61 180) + (label "RA6" (at 95.25 54.61 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8615dae0-65cf-4932-8e6f-9a0f32429a5e) ) - (label "R~{IOSEL}" (at 76.2 34.29 0) + (label "R~{IOSEL}" (at 76.2 34.29 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 869d6302-ae22-478f-9723-3feacbb12eef) ) - (label "A7" (at 35.56 92.71 0) + (label "A7" (at 35.56 92.71 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 86dc7a78-7d51-4111-9eea-8a8f7977eb16) ) - (label "S~{CAS}" (at 146.05 72.39 0) + (label "S~{CAS}" (at 146.05 72.39 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 8765371a-21c2-4fe3-a3af-88f5eb1f02a0) ) - (label "TCK" (at 39.37 179.07 0) + (label "TCK" (at 39.37 172.72 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 88606262-3ac5-44a1-aacc-18b26cf4d396) ) - (label "SD5" (at 189.23 121.92 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 88610282-a92d-4c3d-917a-ea95d59e0759) - ) - (label "A13" (at 35.56 77.47 0) + (label "A13" (at 35.56 77.47 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 88d2c4b8-79f2-4e8b-9f70-b7e0ed9c70f8) ) - (label "TDI" (at 22.86 151.13 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 89a3dae6-dcb5-435b-a383-656b6a19a316) - ) - (label "A15" (at 35.56 72.39 0) + (label "A15" (at 35.56 72.39 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 89c0bc4d-eee5-4a77-ac35-d30b35db5cbe) ) - (label "TDI" (at 95.25 151.13 180) + (label "TDI" (at 95.25 151.13 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 89df70f4-3579-42b9-861e-6beb04a3b25e) ) - (label "MOSI" (at 35.56 168.91 0) + (label "MOSI" (at 35.56 162.56 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 8aeae536-fd36-430e-be47-1a856eced2fc) ) - (label "MISO" (at 22.86 163.83 180) + (label "MISO" (at 22.86 157.48 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8bd46048-cab7-4adf-af9a-bc2710c1894c) ) - (label "~{DEVSEL}" (at 22.86 90.17 180) + (label "~{DEVSEL}" (at 22.86 90.17 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8c1605f9-6c91-4701-96bf-e753661d5e23) ) - (label "TCK" (at 95.25 153.67 180) + (label "TCK" (at 95.25 153.67 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8cb5a828-8cef-4784-b78d-175b49646952) ) - (label "SA7" (at 172.72 77.47 180) + (label "SA7" (at 172.72 77.47 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 8de2d84c-ff45-4d4f-bc49-c166f6ae6b91) ) - (label "RA1" (at 76.2 97.79 0) + (label "RA1" (at 76.2 97.79 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 8efee08b-b92e-4ba6-8722-c058e18114fe) ) - (label "RD5" (at 76.2 138.43 0) + (label "RD5" (at 76.2 138.43 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 90e761f6-1432-4f73-ad28-fa8869b7ec31) ) - (label "RA5" (at 95.25 52.07 180) + (label "RA5" (at 95.25 52.07 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 91c82043-0b26-427f-b23c-6094224ddfc2) ) - (label "D7" (at 55.88 140.97 180) + (label "D7" (at 55.88 140.97 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 91fe070a-a49b-4bc5-805a-42f23e10d114) ) - (label "SA12" (at 172.72 90.17 180) + (label "SA12" (at 172.72 90.17 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 92035a88-6c95-4a61-bd8a-cb8dd9e5018a) ) - (label "SA12" (at 146.05 85.09 0) + (label "SA12" (at 146.05 85.09 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 92bd1111-b941-4c03-b7ec-a08a9359bc50) ) - (label "SA6" (at 172.72 74.93 180) + (label "SA6" (at 172.72 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 935057d5-6882-4c15-9a35-54677912ba12) ) - (label "A3" (at 55.88 100.33 180) + (label "A3" (at 55.88 100.33 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 97581b9a-3f6b-4e88-8768-6fdb60e6aca6) ) - (label "RA3" (at 95.25 57.15 180) + (label "RA3" (at 95.25 57.15 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 97e5f992-979e-4291-bd9a-a77c3fd4b1b5) ) - (label "Dr0" (at 181.61 119.38 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 97fe2a5c-4eee-4c7a-9c43-47749b396494) - ) - (label "SD6" (at 189.23 124.46 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 98914cc3-56fe-40bb-820a-3d157225c145) - ) - (label "F~{CS}" (at 22.86 161.29 180) + (label "F~{CS}" (at 22.86 154.94 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 992a2b00-5e28-4edd-88b5-994891512d8d) ) - (label "SD2" (at 198.12 85.09 0) + (label "SD2" (at 198.12 85.09 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 99332785-d9f1-4363-9377-26ddc18e6d2c) ) - (label "RCLK" (at 172.72 102.87 180) + (label "RCLK" (at 172.72 102.87 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 997c2f12-73ba-4c01-9ee0-42e37cbab790) ) - (label "D0" (at 22.86 110.49 180) + (label "D0" (at 22.86 110.49 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 998b7fa5-31a5-472e-9572-49d5226d6098) ) - (label "SD3" (at 171.45 127 180) + (label "TMS" (at 181.61 156.21 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 99dfa524-0366-4808-b4e8-328fc38e8656) + (uuid 9a98fc39-ab1d-4c5d-8188-a8b68b2f3dc0) ) - (label "SDQMH" (at 198.12 95.25 0) + (label "SDQMH" (at 198.12 95.25 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 9dcdc92b-2219-4a4a-8954-45f02cc3ab25) ) - (label "RR~{W}in" (at 76.2 46.99 0) + (label "RR~{W}in" (at 76.2 46.99 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 9f782c92-a5e8-49db-bfda-752b35522ce4) ) - (label "~{DMA}" (at 35.56 59.69 0) + (label "~{DMA}" (at 35.56 59.69 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 9f80220c-1612-4589-b9ca-a5579617bdb8) ) - (label "RD4" (at 146.05 135.89 0) + (label "RD4" (at 146.05 135.89 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid a150f0c9-1a23-4200-b489-18791f6d5ce5) ) - (label "Dr1" (at 95.25 135.89 180) + (label "SD1" (at 95.25 135.89 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a323243c-4cab-4689-aa04-1e663cf86177) ) - (label "R~{DEVSEL}" (at 95.25 123.19 180) + (label "R~{DEVSEL}" (at 95.25 123.19 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a49e8613-3cd2-48ed-8977-6bb5023f7722) ) - (label "A7" (at 55.88 110.49 180) + (label "A7" (at 55.88 110.49 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a5c8e189-1ddc-4a66-984b-e0fd1529d346) ) - (label "TMS" (at 95.25 148.59 180) + (label "TMS" (at 95.25 148.59 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a5e6f7cb-0a81-4357-a11f-231d23300342) ) - (label "RD2" (at 76.2 130.81 0) + (label "RD2" (at 76.2 130.81 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid a6738794-75ae-48a6-8949-ed8717400d71) ) - (label "A12" (at 35.56 80.01 0) + (label "A12" (at 35.56 80.01 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid a7531a95-7ca1-4f34-955e-18120cec99e6) ) - (label "SA0" (at 172.72 59.69 180) + (label "SA0" (at 172.72 59.69 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a8b4bc7e-da32-4fb8-b71a-d7b47c6f741f) ) - (label "~{IOSEL}" (at 35.56 113.03 0) + (label "TDI" (at 181.61 153.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a9332946-d6d6-496e-814f-f39d18b13e92) + ) + (label "~{IOSEL}" (at 35.56 113.03 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid aa79024d-ca7e-4c24-b127-7df08bbd0c75) ) - (label "FD3" (at 17.78 180.34 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) + (label "FD3" (at 13.97 173.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid aadc3df5-0e2d-4f3d-b72e-6f184da74c89) ) - (label "SDQML" (at 146.05 123.19 0) + (label "SDQML" (at 146.05 123.19 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid acb0068c-c0e7-44cf-a209-296716acb6a2) ) - (label "Dr4" (at 199.39 119.38 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ae77c3c8-1144-468e-ad5b-a0b4090735bd) - ) - (label "RPHI0" (at 95.25 125.73 180) + (label "RPHI0" (at 95.25 125.73 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid af6ac8e6-193c-4bd2-ac0b-7f515b538a8b) ) - (label "SD2" (at 198.12 57.15 0) + (label "USB5V" (at 240.03 17.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b025ad26-a316-4d95-b951-022a75c38c06) + ) + (label "SD2" (at 198.12 57.15 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid b0271cdd-de22-4bf4-8f55-fc137cfbd4ec) ) - (label "SA3" (at 172.72 67.31 180) + (label "TDO" (at 181.61 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b412bf03-dc68-4565-b299-400a62c04063) + ) + (label "SA3" (at 172.72 67.31 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b4833916-7a3e-4498-86fb-ec6d13262ffe) ) - (label "DMAin" (at 22.86 54.61 180) + (label "DMAin" (at 22.86 54.61 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b5071759-a4d7-4769-be02-251f23cd4454) ) - (label "RA7" (at 95.25 62.23 180) + (label "RA7" (at 95.25 62.23 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b547dd70-2ea7-4cfd-a1ee-911561975d81) ) - (label "TDO" (at 22.86 143.51 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid b54cae5b-c17c-4ed7-b249-2e7d5e83609a) - ) - (label "SA7" (at 146.05 110.49 0) + (label "SA7" (at 146.05 110.49 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid b55dabdc-b790-4740-9349-75159cff975a) ) - (label "FW0" (at 146.05 146.05 0) + (label "FW0" (at 146.05 146.05 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid b606e532-e4c7-444d-b9ff-879f52cfde92) ) - (label "SA4" (at 146.05 105.41 0) + (label "SA4" (at 146.05 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid b66731e7-61d5-4447-bf6a-e91a62b82298) ) - (label "RD6" (at 76.2 140.97 0) + (label "RD6" (at 76.2 140.97 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid b78cb2c1-ae4b-4d9b-acd8-d7fe342342f2) ) - (label "SA5" (at 146.05 118.11 0) + (label "SA5" (at 146.05 118.11 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid b8b15b51-8345-4a1d-8ecf-04fc15b9e450) ) - (label "TMS" (at 22.86 128.27 180) + (label "TMS" (at 22.86 128.27 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid bac7c5b3-99df-445a-ade9-1e608bbbe27e) ) - (label "A9" (at 35.56 87.63 0) + (label "A9" (at 35.56 87.63 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid bb4b1afc-c46e-451d-8dad-36b7dec82f26) ) - (label "FD3" (at 35.56 163.83 0) + (label "FD3" (at 35.56 157.48 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid bc3b3f93-69e0-44a5-b919-319b81d13095) ) - (label "RA10" (at 76.2 69.85 0) + (label "RA10" (at 76.2 69.85 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid be4b72db-0e02-4d9b-844a-aff689b4e648) ) - (label "RES~{OE}" (at 95.25 102.87 180) + (label "RES~{OE}" (at 95.25 102.87 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid be5bbcc0-5b09-43de-a42f-297f80f602a5) ) - (label "S~{CS}" (at 198.12 102.87 0) + (label "S~{CS}" (at 198.12 102.87 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c088f712-1abe-4cac-9a8b-d564931395aa) ) - (label "RA2" (at 146.05 148.59 0) + (label "RA2" (at 146.05 148.59 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c2a9d834-7cb1-4ec5-b0ba-ae56215ff9fc) ) - (label "Dr3" (at 181.61 127 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c3c499b1-9227-4e4b-9982-f9f1aa6203b9) - ) - (label "D5" (at 55.88 135.89 180) + (label "D5" (at 55.88 135.89 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c454102f-dc92-4550-9492-797fc8e6b49c) ) - (label "A1" (at 35.56 107.95 0) + (label "A1" (at 35.56 107.95 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c49d23ab-146d-4089-864f-2d22b5b414b9) ) - (label "Dr7" (at 199.39 127 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c514e30c-e48e-4ca5-ab44-8b3afedef1f2) - ) - (label "RA15" (at 90.17 118.11 0) + (label "RA15" (at 90.17 118.11 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c5565d96-c729-4597-a74f-7f75befcc39d) ) - (label "SA0" (at 146.05 102.87 0) + (label "SA0" (at 146.05 102.87 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c56bbebe-0c9a-418d-911e-b8ba7c53125d) ) - (label "TMS" (at 40.64 187.96 180) + (label "~{IRQ}" (at 88.9 173.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c6663560-4ef0-4981-ab35-88550cb7b51d) + ) + (label "TMS" (at 40.64 181.61 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c66a19ed-90c0-4502-ae75-6a4c4ab9f297) ) - (label "A6" (at 55.88 107.95 180) + (label "A6" (at 55.88 107.95 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c71f56c1-5b7c-4373-9716-fffac482104c) ) - (label "A0" (at 35.56 110.49 0) + (label "A0" (at 35.56 110.49 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c7af8405-da2e-4a34-b9b8-518f342f8995) ) - (label "Dr2" (at 146.05 64.77 0) + (label "SD2" (at 146.05 64.77 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid c81031ca-cd56-4ea3-b0db-833cbbdd7b2e) ) - (label "SBA0" (at 172.72 92.71 180) + (label "SBA0" (at 172.72 92.71 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c8b6b273-3d20-4a46-8069-f6d608563604) ) - (label "DMAout" (at 35.56 54.61 0) + (label "DMAout" (at 35.56 54.61 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid cada57e2-1fa7-4b9d-a2a0-2218773d5c50) ) - (label "SA2" (at 172.72 64.77 180) + (label "SA2" (at 172.72 64.77 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid cc48dd41-7768-48d3-b096-2c4cc2126c9d) ) - (label "~{IOSTRB}" (at 55.88 39.37 180) + (label "~{IOSTRB}" (at 55.88 39.37 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid ccc4cc25-ac17-45ef-825c-e079951ffb21) ) - (label "RA3" (at 76.2 102.87 0) + (label "RA3" (at 76.2 102.87 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid cd5e758d-cb66-484a-ae8b-21f53ceee49e) ) - (label "MOSI" (at 95.25 74.93 180) + (label "MOSI" (at 95.25 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid cdfb661b-489b-4b76-99f4-62b92bb1ab18) ) - (label "Dr1" (at 181.61 121.92 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ce72ea62-9343-4a4f-81bf-8ac601f5d005) - ) - (label "SD6" (at 198.12 67.31 0) + (label "SD6" (at 198.12 67.31 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d0a0deb1-4f0f-4ede-b730-2c6d67cb9618) ) - (label "~{RES}" (at 55.88 41.91 180) + (label "~{RES}" (at 55.88 41.91 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid d102186a-5b58-41d0-9985-3dbb3593f397) ) - (label "Dr3" (at 146.05 62.23 0) + (label "SD3" (at 146.05 62.23 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d1817a81-d444-4cd9-95f6-174ec9e2a60e) ) - (label "R~{W}" (at 35.56 69.85 0) + (label "R~{W}" (at 35.56 69.85 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d21cc5e4-177a-4e1d-a8d5-060ed33e5b8e) ) - (label "~{RES}" (at 22.86 64.77 180) + (label "~{RES}" (at 22.86 64.77 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid d2d7bea6-0c22-495f-8666-323b30e03150) ) - (label "S~{RAS}" (at 198.12 110.49 0) + (label "S~{RAS}" (at 198.12 110.49 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d3d57924-54a6-421d-a3a0-a044fc909e88) ) - (label "SD4" (at 198.12 80.01 0) + (label "SD4" (at 198.12 80.01 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d4c9471f-7503-4339-928c-d1abae1eede6) ) - (label "RD1" (at 76.2 128.27 0) + (label "RD1" (at 76.2 128.27 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d692b5e6-71b2-4fa6-bc83-618add8d8fef) ) - (label "DMAin" (at 48.26 54.61 180) + (label "DMAin" (at 48.26 54.61 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid d8370835-89ad-4b62-9f40-d0c10470788a) ) - (label "A3" (at 35.56 102.87 0) + (label "A3" (at 35.56 102.87 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid da25bf79-0abb-4fac-a221-ca5c574dfc29) ) - (label "S~{RAS}" (at 146.05 74.93 0) + (label "S~{RAS}" (at 146.05 74.93 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid da337fe1-c322-4637-ad26-2622b82ac8ee) ) - (label "RA14" (at 76.2 80.01 0) + (label "RA14" (at 76.2 80.01 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid da481376-0e49-44d3-91b8-aaa39b869dd1) ) - (label "R~{IOSTRB}" (at 76.2 41.91 0) + (label "R~{IOSTRB}" (at 76.2 41.91 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid da6f4122-0ecc-496f-b0fd-e4abef534976) ) - (label "SDQML" (at 198.12 92.71 0) + (label "SDQML" (at 198.12 92.71 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid dae72997-44fc-4275-b36f-cd70bf46cfba) ) - (label "A4" (at 55.88 102.87 180) + (label "A4" (at 55.88 102.87 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid dbe92a0d-89cb-4d3f-9497-c2c1d93a3018) ) - (label "FD3" (at 199.39 138.43 0) + (label "FD3" (at 242.57 60.96 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid dd334895-c8ff-4719-bac4-c0b289bb5899) ) - (label "RD7" (at 146.05 153.67 0) + (label "RD7" (at 146.05 153.67 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid dff67d5c-d976-4516-ae67-dbbdb70f8ddd) ) - (label "SA5" (at 172.72 72.39 180) + (label "SA5" (at 172.72 72.39 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e091e263-c616-48ef-a460-465c70218987) ) - (label "SD6" (at 198.12 74.93 0) + (label "SD6" (at 198.12 74.93 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e17e6c0e-7e5b-43f0-ad48-0a2760b45b04) ) - (label "R~{DEVSEL}" (at 76.2 36.83 0) + (label "R~{DEVSEL}" (at 76.2 36.83 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e1b88aa4-d887-4eea-83ff-5c009f4390c4) ) - (label "A14" (at 35.56 74.93 0) + (label "A14" (at 35.56 74.93 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e1c30a32-820e-4b17-aec9-5cb8b76f0ccc) ) - (label "RA0" (at 76.2 95.25 0) + (label "RA0" (at 76.2 95.25 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e300709f-6c72-488d-a598-efcbd6d3af54) ) - (label "A6" (at 35.56 95.25 0) + (label "A6" (at 35.56 95.25 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e32ee344-1030-4498-9cac-bfbf7540faf4) ) - (label "~{IOSEL}" (at 55.88 31.75 180) + (label "~{IOSEL}" (at 55.88 31.75 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e36988d2-ecb2-461b-a443-7006f447e828) ) - (label "D2" (at 55.88 128.27 180) + (label "D2" (at 55.88 128.27 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e413cfad-d7bd-41ab-b8dd-4b67484671a6) ) - (label "Dr6" (at 146.05 57.15 0) + (label "SD6" (at 146.05 57.15 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e42fd0d4-9927-4308-81d9-4cca814c8ea9) ) - (label "D1" (at 22.86 107.95 180) + (label "D1" (at 22.86 107.95 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e4d2f565-25a0-48c6-be59-f4bf31ad2558) ) - (label "SD7" (at 198.12 72.39 0) + (label "SD7" (at 198.12 72.39 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e4e20505-1208-4100-a4aa-676f50844c06) ) - (label "D2" (at 22.86 105.41 180) + (label "D2" (at 22.86 105.41 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e502d1d5-04b0-4d4b-b5c3-8c52d09668e7) ) - (label "COLORREF" (at 22.86 74.93 180) + (label "COLORREF" (at 22.86 74.93 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e5203297-b913-4288-a576-12a92185cb52) ) - (label "FCK" (at 45.72 166.37 0) + (label "FCK" (at 45.72 160.02 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e65bab67-68b7-4b22-a939-6f2c05164d2a) ) - (label "D4" (at 22.86 100.33 180) + (label "D4" (at 22.86 100.33 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e67b9f8c-019b-4145-98a4-96545f6bb128) ) - (label "RA4" (at 76.2 105.41 0) + (label "RA4" (at 76.2 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e6d68f56-4a40-4849-b8d1-13d5ca292900) ) - (label "RD5" (at 146.05 138.43 0) + (label "RD5" (at 146.05 138.43 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e77c17df-b20e-4e7d-b937-f281c75a0014) ) - (label "~{INH}" (at 22.86 67.31 180) + (label "~{INH}" (at 22.86 67.31 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e7bb7815-0d52-4bb8-b29a-8cf960bd2905) ) - (label "FCK" (at 95.25 69.85 180) + (label "FCK" (at 95.25 69.85 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e80b0e91-f15f-4e36-9a9c-b2cfd5a01d2a) ) - (label "SD4" (at 198.12 62.23 0) + (label "SD4" (at 198.12 62.23 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e97b5984-9f0f-43a4-9b8a-838eef4cceb2) ) - (label "S~{WE}" (at 198.12 105.41 0) + (label "S~{WE}" (at 198.12 105.41 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid ea6fde00-59dc-4a79-a647-7e38199fae0e) ) - (label "SA1" (at 146.05 113.03 0) + (label "SA1" (at 146.05 113.03 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid eafb53d1-7486-4935-b154-2efbffbed6ca) ) - (label "INTin" (at 48.26 57.15 180) + (label "INTin" (at 48.26 57.15 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid eb1b2aa2-a3cc-4a96-87ec-70fcae365f0f) ) - (label "S~{WE}" (at 146.05 69.85 0) + (label "S~{WE}" (at 146.05 69.85 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid ed952427-2217-4500-9bbc-0c2746b198ad) ) - (label "PHI0" (at 22.86 87.63 180) + (label "PHI0" (at 22.86 87.63 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f1447ad6-651c-45be-a2d6-33bddf672c2c) ) - (label "R~{RES}" (at 76.2 44.45 0) + (label "R~{RES}" (at 76.2 44.45 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f1782535-55f4-4299-bd4f-6f51b0b7259c) ) - (label "MISO" (at 171.45 138.43 180) + (label "MISO" (at 214.63 60.96 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f33ec0db-ef0f-4576-8054-2833161a8f30) ) - (label "A12" (at 55.88 72.39 180) + (label "A12" (at 55.88 72.39 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f447e585-df78-4239-b8cb-4653b3837bb1) ) - (label "~{DEVSEL}" (at 55.88 34.29 180) + (label "~{DEVSEL}" (at 55.88 34.29 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f4a8afbe-ed68-4253-959f-6be4d2cbf8c5) ) - (label "USER1" (at 22.86 85.09 180) + (label "USER1" (at 22.86 85.09 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f6c644f4-3036-41a6-9e14-2c08c079c6cd) ) - (label "R~{IOSTRB}" (at 95.25 128.27 180) + (label "R~{IOSTRB}" (at 95.25 128.27 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f6dcb5b4-0971-448a-b9ab-6db37a750704) ) - (label "S~{CAS}" (at 198.12 107.95 0) + (label "S~{CAS}" (at 198.12 107.95 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f73b5500-6337-4860-a114-6e307f65ec9f) ) - (label "SD0" (at 171.45 119.38 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid f8f3a9fc-1e34-4573-a767-508104e8d242) - ) - (label "A11" (at 35.56 82.55 0) + (label "A11" (at 35.56 82.55 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f8fc38ec-0b98-40bc-ae2f-e5cc29973bca) ) - (label "RA15" (at 76.2 82.55 0) + (label "RA15" (at 76.2 82.55 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid f988d6ea-11c5-4837-b1d1-5c292ded50c6) ) - (label "D0" (at 55.88 123.19 180) + (label "D0" (at 55.88 123.19 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f9b1563b-384a-447c-9f47-736504e995c8) ) - (label "Dr2" (at 181.61 124.46 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid fb30f9bb-6a0b-4d8a-82b0-266eab794bc6) - ) - (label "A8" (at 55.88 62.23 180) + (label "A8" (at 55.88 62.23 180) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid fc4ad874-c922-4070-89f9-7262080469d8) ) - (label "TCK" (at 22.86 140.97 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid fd5f7d77-0f73-4021-88a8-0641f0fe8d98) - ) - (label "RA14" (at 90.17 115.57 0) + (label "RA14" (at 90.17 115.57 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid fe4869dc-e96e-4bb4-a38d-2ca990635f2d) ) - (label "VIDSYNC" (at 35.56 67.31 0) + (label "IRQ~{OE}" (at 95.25 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fe78f832-677b-43e4-ba5c-df579afffa2d) + ) + (label "VIDSYNC" (at 35.56 67.31 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid fef37e8b-0ff0-4da2-8a57-acaf19551d1a) ) - (symbol (lib_id "Device:C_Small") (at 238.76 20.32 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 237.49 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005cc13929) - (property "Reference" "C11" (id 0) (at 240.03 19.05 0) + (property "Reference" "C11" (at 238.76 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 240.03 21.59 0) + (property "Value" "10u" (at 238.76 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 238.76 20.32 0) + (property "Footprint" "stdpads:C_0805" (at 237.49 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 238.76 20.32 0) + (property "Datasheet" "" (at 237.49 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 238.76 20.32 0) + (property "LCSC Part" "C15850" (at 237.49 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid a0695738-7af6-419e-8116-01442839aacb)) (pin "2" (uuid dc2ae10b-7309-4ed2-baee-9111e5e1cbc9)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C11") (unit 1) + ) + ) + ) ) (symbol (lib_id "Connector_Generic:Conn_02x25_Counter_Clockwise") (at 30.48 82.55 180) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005cfc517d) - (property "Reference" "J1" (id 0) (at 29.21 49.53 0)) - (property "Value" "AppleIIBus" (id 1) (at 29.21 115.57 0)) - (property "Footprint" "stdpads:AppleIIBus_Edge" (id 2) (at 30.48 82.55 0) + (property "Reference" "J1" (at 29.21 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AppleIIBus" (at 29.21 115.57 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:AppleIIBus_Edge" (at 30.48 82.55 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 30.48 82.55 0) + (property "Datasheet" "~" (at 30.48 82.55 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 9ff5177b-4bfb-4aae-a415-94e6368c412a)) @@ -5072,157 +5565,205 @@ (pin "7" (uuid 22092cce-d385-4bb7-a697-ead1917d943f)) (pin "8" (uuid 5685e99e-ba7e-4c8d-a3d8-8fe2d18b6a06)) (pin "9" (uuid b82eac96-548e-4330-8147-4c032a9779e8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J1") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:-12V") (at 22.86 69.85 90) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005cfeec44) - (property "Reference" "#PWR0102" (id 0) (at 20.32 69.85 0) + (property "Reference" "#PWR0102" (at 20.32 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "-12V" (id 1) (at 20.32 69.85 90) + (property "Value" "-12V" (at 20.32 69.85 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 22.86 69.85 0) + (property "Footprint" "" (at 22.86 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 22.86 69.85 0) + (property "Datasheet" "" (at 22.86 69.85 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d9f2cfc8-d883-4942-b0f7-7f5925afaaa3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0102") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:-5V") (at 22.86 72.39 90) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005cfefece) - (property "Reference" "#PWR0101" (id 0) (at 20.32 72.39 0) + (property "Reference" "#PWR0101" (at 20.32 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "-5V" (id 1) (at 20.32 72.39 90) + (property "Value" "-5V" (at 20.32 72.39 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 22.86 72.39 0) + (property "Footprint" "" (at 22.86 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 22.86 72.39 0) + (property "Datasheet" "" (at 22.86 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 914358ab-9943-4c36-80c6-af02d9fa347e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0101") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 22.86 52.07 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005d11fea8) - (property "Reference" "#PWR0108" (id 0) (at 16.51 52.07 0) + (property "Reference" "#PWR0108" (at 16.51 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 17.78 52.07 90)) - (property "Footprint" "" (id 2) (at 22.86 52.07 0) + (property "Value" "GND" (at 17.78 52.07 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 22.86 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 22.86 52.07 0) + (property "Datasheet" "" (at 22.86 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid bdea12a2-2bc1-4239-be1f-49df65c20ec0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0108") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 133.35 186.69 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 245.11 45.72 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005d12ab6d) - (property "Reference" "C3" (id 0) (at 134.62 185.42 0) + (property "Reference" "C3" (at 246.38 44.45 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 134.62 187.96 0) + (property "Value" "10u" (at 246.38 46.99 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 133.35 186.69 0) + (property "Footprint" "stdpads:C_0805" (at 245.11 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 133.35 186.69 0) + (property "Datasheet" "" (at 245.11 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 133.35 186.69 0) + (property "LCSC Part" "C15850" (at 245.11 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 889b7988-1077-42f7-83aa-edd157867819)) (pin "2" (uuid e79f0671-f01d-45ed-880e-82be7512c2d1)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C3") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:-5V") (at 133.35 189.23 180) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:-5V") (at 245.11 48.26 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005d12d2df) - (property "Reference" "#PWR0112" (id 0) (at 133.35 191.77 0) + (property "Reference" "#PWR0112" (at 245.11 50.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "-5V" (id 1) (at 133.35 193.04 0)) - (property "Footprint" "" (id 2) (at 133.35 189.23 0) + (property "Value" "-5V" (at 245.11 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 245.11 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 133.35 189.23 0) + (property "Datasheet" "" (at 245.11 48.26 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 43d45407-1872-4d43-a661-973a38a988da)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0112") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:Fiducial") (at 16.51 199.39 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:Fiducial") (at 16.51 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005d321d2b) - (property "Reference" "FID2" (id 0) (at 18.669 198.2216 0) + (property "Reference" "FID2" (at 18.669 193.1416 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "Fiducial" (id 1) (at 18.669 200.533 0) + (property "Value" "Fiducial" (at 18.669 195.453 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:Fiducial" (id 2) (at 16.51 199.39 0) + (property "Footprint" "stdpads:Fiducial" (at 16.51 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 16.51 199.39 0) + (property "Datasheet" "~" (at 16.51 194.31 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID2") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:Fiducial") (at 31.75 194.31 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:Fiducial") (at 31.75 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005d321da8) - (property "Reference" "FID3" (id 0) (at 33.909 193.1416 0) + (property "Reference" "FID3" (at 33.909 188.0616 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "Fiducial" (id 1) (at 33.909 195.453 0) + (property "Value" "Fiducial" (at 33.909 190.373 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:Fiducial" (id 2) (at 31.75 194.31 0) + (property "Footprint" "stdpads:Fiducial" (at 31.75 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 31.75 194.31 0) + (property "Datasheet" "~" (at 31.75 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - ) - - (symbol (lib_id "power:GND") (at 128.27 184.15 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00005d908483) - (property "Reference" "#PWR0115" (id 0) (at 128.27 190.5 0) - (effects (font (size 1.27 1.27)) hide) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID3") (unit 1) + ) + ) ) - (property "Value" "GND" (id 1) (at 128.27 187.96 0)) - (property "Footprint" "" (id 2) (at 128.27 184.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 128.27 184.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 5bce6910-55ea-4910-b304-87259c09561e)) ) (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 27.94 128.27 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005e4199b1) - (property "Reference" "J2" (id 0) (at 29.21 120.65 0)) - (property "Value" "JTAG" (id 1) (at 29.21 135.89 0)) - (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (id 2) (at 27.94 128.27 0) + (property "Reference" "J2" (at 29.21 120.65 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "JTAG" (at 29.21 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 27.94 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 27.94 128.27 0) + (property "Datasheet" "~" (at 27.94 128.27 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f981648a-9051-4db0-ae04-701549d3961c)) @@ -5235,118 +5776,168 @@ (pin "7" (uuid 5162987c-ba0e-48a9-b339-be2441c4705f)) (pin "8" (uuid 7897f435-ca2e-4185-ae83-837e505bab70)) (pin "9" (uuid 5eb4aecd-e0df-42c4-9716-e679c869f63c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J2") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 162.56 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 191.77 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005e680811) - (property "Reference" "C12" (id 0) (at 163.83 29.21 0) + (property "Reference" "C12" (at 193.04 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 163.83 31.75 0) + (property "Value" "2u2" (at 193.04 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 162.56 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 191.77 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 162.56 30.48 0) + (property "Datasheet" "~" (at 191.77 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 162.56 30.48 0) + (property "LCSC Part" "C23630" (at 191.77 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 2d97daf5-8313-4876-b8d6-0e364fe2f148)) (pin "2" (uuid 9a744607-d61d-4f17-b3bc-8c366b63de19)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C12") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 143.51 181.61 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 207.01 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005e8640a9) - (property "Reference" "C4" (id 0) (at 144.78 180.34 0) + (property "Reference" "C4" (at 208.28 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 144.78 182.88 0) + (property "Value" "10u" (at 208.28 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 143.51 181.61 0) + (property "Footprint" "stdpads:C_0805" (at 207.01 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 143.51 181.61 0) + (property "Datasheet" "" (at 207.01 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 143.51 181.61 0) + (property "LCSC Part" "C15850" (at 207.01 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5bc4085b-1275-4275-91d1-1be3e047a6ab)) (pin "2" (uuid 66a471df-9e27-4c02-97f6-035bd21a62a3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C4") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 143.51 186.69 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 252.73 45.72 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005e8640ba) - (property "Reference" "C2" (id 0) (at 144.78 185.42 0) + (property "Reference" "C2" (at 254 44.45 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 144.78 187.96 0) + (property "Value" "10u" (at 254 46.99 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 143.51 186.69 0) + (property "Footprint" "stdpads:C_0805" (at 252.73 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 143.51 186.69 0) + (property "Datasheet" "" (at 252.73 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 143.51 186.69 0) + (property "LCSC Part" "C15850" (at 252.73 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 913eb625-8010-4705-9dba-28581a25b3e0)) (pin "2" (uuid d1c434bf-b7f0-418c-819d-86a4a535331b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C2") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:-12V") (at 143.51 189.23 0) (mirror x) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:-12V") (at 252.73 48.26 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005e86fe3d) - (property "Reference" "#PWR0127" (id 0) (at 143.51 191.77 0) + (property "Reference" "#PWR0127" (at 252.73 50.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "-12V" (id 1) (at 143.51 193.04 0)) - (property "Footprint" "" (id 2) (at 143.51 189.23 0) + (property "Value" "-12V" (at 252.73 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 252.73 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 143.51 189.23 0) + (property "Datasheet" "" (at 252.73 48.26 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e0b72a8b-67fb-4e7a-aded-f100d8af0ab6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0127") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+12V") (at 143.51 179.07 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+12V") (at 207.01 17.78 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005e875a47) - (property "Reference" "#PWR0128" (id 0) (at 143.51 182.88 0) + (property "Reference" "#PWR0128" (at 207.01 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+12V" (id 1) (at 143.51 175.26 0)) - (property "Footprint" "" (id 2) (at 143.51 179.07 0) + (property "Value" "+12V" (at 207.01 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 207.01 17.78 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 143.51 179.07 0) + (property "Datasheet" "" (at 207.01 17.78 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f9956e6f-c3ae-49ff-9b0d-066510b0ba40)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0128") (unit 1) + ) + ) + ) ) (symbol (lib_id "GW_Logic:74245") (at 66.04 71.12 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3a6cfe) - (property "Reference" "U9" (id 0) (at 66.04 55.88 0)) - (property "Value" "74AHC245PW" (id 1) (at 66.04 71.12 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 66.04 87.63 0) + (property "Reference" "U9" (at 66.04 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 71.12 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 87.63 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 66.04 68.58 0) + (property "Datasheet" "" (at 66.04 68.58 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C5516" (id 4) (at 66.04 71.12 0) + (property "LCSC Part" "C5516" (at 66.04 71.12 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d62acfcd-78e9-48e2-8cfb-4057b64de403)) @@ -5369,20 +5960,31 @@ (pin "7" (uuid bf5bff82-2993-4c62-8b41-21e2a1a34eab)) (pin "8" (uuid 2108f818-6fd7-4088-b15a-befe58ba058e)) (pin "9" (uuid e8e183ef-e20b-4007-8bc1-334764b225d3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U9") (unit 1) + ) + ) + ) ) (symbol (lib_id "GW_Logic:74245") (at 66.04 101.6 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3ca754) - (property "Reference" "U4" (id 0) (at 66.04 86.36 0)) - (property "Value" "74AHC245PW" (id 1) (at 66.04 101.6 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 66.04 118.11 0) + (property "Reference" "U4" (at 66.04 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 101.6 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 118.11 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 66.04 99.06 0) + (property "Datasheet" "" (at 66.04 99.06 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C5516" (id 4) (at 66.04 101.6 0) + (property "LCSC Part" "C5516" (at 66.04 101.6 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e16ced8b-d26f-4435-8a0f-f0bef66c43ad)) @@ -5405,20 +6007,31 @@ (pin "7" (uuid 5427ddc0-d96f-43bd-a5d9-b8b11c7e0a97)) (pin "8" (uuid 171a73d0-178b-4eb4-abc7-99b18df5c0d6)) (pin "9" (uuid 4e47e4af-bbb8-4836-acf7-ed8caa23763c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U4") (unit 1) + ) + ) + ) ) (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 185.42 77.47 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3e609f) - (property "Reference" "U2" (id 0) (at 185.42 48.26 0)) - (property "Value" "W9825" (id 1) (at 185.42 77.47 90)) - (property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (id 2) (at 185.42 119.38 0) + (property "Reference" "U2" (at 185.42 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9825" (at 185.42 77.47 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm" (at 185.42 119.38 0) (effects (font (size 1.27 1.27) italic) hide) ) - (property "Datasheet" "" (id 3) (at 185.42 83.82 0) + (property "Datasheet" "" (at 185.42 83.82 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C62246" (id 4) (at 185.42 77.47 0) + (property "LCSC Part" "C62246" (at 185.42 77.47 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f4d1b110-55bd-41b0-a39c-5dabf4472eb2)) @@ -5474,375 +6087,540 @@ (pin "7" (uuid 86b22f09-59ca-4a8d-81ce-f44eea393466)) (pin "8" (uuid d926ac38-d11c-4d9e-978c-95fd4122b466)) (pin "9" (uuid 47d0e121-9040-4b4c-9cb2-92cb3ddca634)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U2") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 172.72 52.07 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3e79b8) - (property "Reference" "#PWR0151" (id 0) (at 172.72 55.88 0) + (property "Reference" "#PWR0151" (at 172.72 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 172.72 48.26 0)) - (property "Footprint" "" (id 2) (at 172.72 52.07 0) + (property "Value" "+3V3" (at 172.72 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 172.72 52.07 0) + (property "Datasheet" "" (at 172.72 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid a234104b-29ee-46dd-b1c7-a7162f374fcf)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0151") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 76.2 59.69 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3fdcf2) - (property "Reference" "#PWR0117" (id 0) (at 76.2 63.5 0) + (property "Reference" "#PWR0117" (at 76.2 63.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 76.2 55.88 0)) - (property "Footprint" "" (id 2) (at 76.2 59.69 0) + (property "Value" "+3V3" (at 76.2 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 76.2 59.69 0) + (property "Datasheet" "" (at 76.2 59.69 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1b9e0624-2feb-4d8b-9181-d73925756ba3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0117") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 76.2 90.17 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3fe31a) - (property "Reference" "#PWR0116" (id 0) (at 76.2 93.98 0) + (property "Reference" "#PWR0116" (at 76.2 93.98 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 76.2 86.36 0)) - (property "Footprint" "" (id 2) (at 76.2 90.17 0) + (property "Value" "+3V3" (at 76.2 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 76.2 90.17 0) + (property "Datasheet" "" (at 76.2 90.17 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e82faff1-b691-4551-94bb-116aeaab8477)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0116") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 55.88 82.55 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3fe8f9) - (property "Reference" "#PWR0114" (id 0) (at 55.88 88.9 0) + (property "Reference" "#PWR0114" (at 55.88 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 55.88 86.36 0)) - (property "Footprint" "" (id 2) (at 55.88 82.55 0) + (property "Value" "GND" (at 55.88 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 82.55 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 55.88 82.55 0) + (property "Datasheet" "" (at 55.88 82.55 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f1af136d-fc23-40d6-9ece-115c567a709f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0114") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 55.88 113.03 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f3fed6f) - (property "Reference" "#PWR0106" (id 0) (at 55.88 119.38 0) + (property "Reference" "#PWR0106" (at 55.88 119.38 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 55.88 116.84 0)) - (property "Footprint" "" (id 2) (at 55.88 113.03 0) + (property "Value" "GND" (at 55.88 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 55.88 113.03 0) + (property "Datasheet" "" (at 55.88 113.03 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 85efdcf2-b2af-4074-a8ec-46a9b78ab437)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0106") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 172.72 110.49 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f400f2c) - (property "Reference" "#PWR0152" (id 0) (at 172.72 116.84 0) + (property "Reference" "#PWR0152" (at 172.72 116.84 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 172.72 114.3 0)) - (property "Footprint" "" (id 2) (at 172.72 110.49 0) + (property "Value" "GND" (at 172.72 114.3 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 172.72 110.49 0) + (property "Datasheet" "" (at 172.72 110.49 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5946d17a-6d30-42c7-bf95-061ef09ccfda)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0152") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 228.6 20.32 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 229.87 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d0f9) - (property "Reference" "C10" (id 0) (at 229.87 19.05 0) + (property "Reference" "C10" (at 231.14 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 229.87 21.59 0) + (property "Value" "10u" (at 231.14 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 228.6 20.32 0) + (property "Footprint" "stdpads:C_0805" (at 229.87 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 228.6 20.32 0) + (property "Datasheet" "" (at 229.87 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 228.6 20.32 0) + (property "LCSC Part" "C15850" (at 229.87 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 93e058e0-43e0-4bf0-be09-e8187d75986d)) (pin "2" (uuid ba248b1c-93a1-452e-a951-92d8b621f7ad)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C10") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:MountingHole") (at 17.78 39.37 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:MountingHole") (at 229.87 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d0fd) - (property "Reference" "H4" (id 0) (at 20.32 39.37 0) + (property "Reference" "H4" (at 232.41 46.99 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" " " (id 1) (at 20.32 40.386 0) + (property "Value" " " (at 232.41 48.006 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 17.78 39.37 0) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 229.87 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 17.78 39.37 0) + (property "Datasheet" "~" (at 229.87 46.99 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H4") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:MountingHole") (at 25.4 33.02 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:MountingHole") (at 237.49 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d0fe) - (property "Reference" "H5" (id 0) (at 27.94 33.02 0) + (property "Reference" "H5" (at 240.03 46.99 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" " " (id 1) (at 27.94 34.036 0) + (property "Value" " " (at 240.03 48.006 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 25.4 33.02 0) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 237.49 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 25.4 33.02 0) + (property "Datasheet" "~" (at 237.49 46.99 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H5") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+12V") (at 22.86 113.03 90) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d102) - (property "Reference" "#PWR0103" (id 0) (at 26.67 113.03 0) + (property "Reference" "#PWR0103" (at 26.67 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+12V" (id 1) (at 24.13 115.57 90) + (property "Value" "+12V" (at 24.13 115.57 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 22.86 113.03 0) + (property "Footprint" "" (at 22.86 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 22.86 113.03 0) + (property "Datasheet" "" (at 22.86 113.03 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 193d007f-8099-4c51-b9f0-e58141240683)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0103") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 208.28 20.32 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 214.63 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d107) - (property "Reference" "C1" (id 0) (at 209.55 19.05 0) + (property "Reference" "C1" (at 215.9 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 209.55 21.59 0) + (property "Value" "10u" (at 215.9 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 208.28 20.32 0) + (property "Footprint" "stdpads:C_0805" (at 214.63 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 208.28 20.32 0) + (property "Datasheet" "" (at 214.63 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 208.28 20.32 0) + (property "LCSC Part" "C15850" (at 214.63 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d61d35e9-ae5d-4248-aadd-6607f8e44d93)) (pin "2" (uuid bae4f346-51ed-4f38-b503-905634a12292)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C1") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 218.44 20.32 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 222.25 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d109) - (property "Reference" "C7" (id 0) (at 219.71 19.05 0) + (property "Reference" "C7" (at 223.52 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "10u" (id 1) (at 219.71 21.59 0) + (property "Value" "10u" (at 223.52 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0805" (id 2) (at 218.44 20.32 0) + (property "Footprint" "stdpads:C_0805" (at 222.25 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 218.44 20.32 0) + (property "Datasheet" "" (at 222.25 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C15850" (id 4) (at 218.44 20.32 0) + (property "LCSC Part" "C15850" (at 222.25 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1e8a0701-c17d-4728-b9b2-72d709ece4bf)) (pin "2" (uuid 11407f72-296b-486c-8b76-b290a026e092)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C7") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:Fiducial") (at 16.51 194.31 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:Fiducial") (at 16.51 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d10c) - (property "Reference" "FID1" (id 0) (at 18.669 193.1416 0) + (property "Reference" "FID1" (at 18.669 188.0616 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "Fiducial" (id 1) (at 18.669 195.453 0) + (property "Value" "Fiducial" (at 18.669 190.373 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:Fiducial" (id 2) (at 16.51 194.31 0) + (property "Footprint" "stdpads:Fiducial" (at 16.51 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 16.51 194.31 0) + (property "Datasheet" "~" (at 16.51 189.23 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID1") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:Fiducial") (at 31.75 199.39 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:Fiducial") (at 31.75 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d10f) - (property "Reference" "FID4" (id 0) (at 33.909 198.2216 0) + (property "Reference" "FID4" (at 33.909 193.1416 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "Fiducial" (id 1) (at 33.909 200.533 0) + (property "Value" "Fiducial" (at 33.909 195.453 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:Fiducial" (id 2) (at 31.75 199.39 0) + (property "Footprint" "stdpads:Fiducial" (at 31.75 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 31.75 199.39 0) + (property "Datasheet" "~" (at 31.75 194.31 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID4") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:Fiducial") (at 46.99 199.39 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:Fiducial") (at 16.51 199.39 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d110) - (property "Reference" "FID5" (id 0) (at 49.149 198.2216 0) + (property "Reference" "FID5" (at 18.669 198.2216 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "Fiducial" (id 1) (at 49.149 200.533 0) + (property "Value" "Fiducial" (at 18.669 200.533 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:Fiducial" (id 2) (at 46.99 199.39 0) + (property "Footprint" "stdpads:Fiducial" (at 16.51 199.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 46.99 199.39 0) + (property "Datasheet" "~" (at 16.51 199.39 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "FID5") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 170.18 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 199.39 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d114) - (property "Reference" "C13" (id 0) (at 171.45 29.21 0) + (property "Reference" "C13" (at 200.66 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 171.45 31.75 0) + (property "Value" "2u2" (at 200.66 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 170.18 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 199.39 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 170.18 30.48 0) + (property "Datasheet" "~" (at 199.39 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 170.18 30.48 0) + (property "LCSC Part" "C23630" (at 199.39 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 720bbb24-9924-4a02-9dbf-a7fbaed0aefc)) (pin "2" (uuid 8a5ff09f-7252-4a5d-bcb9-7621274b5a31)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C13") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 35.56 133.35 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d117) - (property "Reference" "#PWR0130" (id 0) (at 35.56 139.7 0) + (property "Reference" "#PWR0130" (at 35.56 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 35.56 137.16 0)) - (property "Footprint" "" (id 2) (at 35.56 133.35 0) + (property "Value" "GND" (at 35.56 137.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 35.56 133.35 0) + (property "Datasheet" "" (at 35.56 133.35 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ecd17538-5422-4aa3-9951-3654d3d4054e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0130") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:MountingHole_Pad") (at 17.78 27.94 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 207.01 45.72 0) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d121) - (property "Reference" "H1" (id 0) (at 20.32 26.6446 0) + (property "Reference" "H1" (at 209.55 47.0154 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" " " (id 1) (at 20.32 28.956 0) + (property "Value" " " (at 209.55 44.704 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (id 2) (at 17.78 27.94 0) + (property "Footprint" "stdpads:PasteHole_1.1mm_PTH" (at 207.01 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 17.78 27.94 0) + (property "Datasheet" "~" (at 207.01 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 960654b4-29a3-4176-bd1f-b4393dae5e89)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H1") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:MountingHole") (at 25.4 26.67 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:MountingHole") (at 214.63 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d122) - (property "Reference" "H2" (id 0) (at 27.94 26.67 0) + (property "Reference" "H2" (at 217.17 46.99 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" " " (id 1) (at 27.94 27.686 0) + (property "Value" " " (at 217.17 48.006 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 25.4 26.67 0) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 214.63 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 25.4 26.67 0) + (property "Datasheet" "~" (at 214.63 46.99 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H2") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Mechanical:MountingHole") (at 33.02 26.67 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Mechanical:MountingHole") (at 222.25 46.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f45d123) - (property "Reference" "H3" (id 0) (at 35.56 26.67 0) + (property "Reference" "H3" (at 224.79 46.99 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" " " (id 1) (at 35.56 27.686 0) + (property "Value" " " (at 224.79 48.006 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 33.02 26.67 0) + (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (at 222.25 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 33.02 26.67 0) + (property "Datasheet" "~" (at 222.25 46.99 0) (effects (font (size 1.27 1.27)) hide) ) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "H3") (unit 1) + ) + ) + ) ) (symbol (lib_id "GW_Logic:74245") (at 66.04 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f5039e1) - (property "Reference" "U5" (id 0) (at 66.04 25.4 0)) - (property "Value" "74AHC245PW" (id 1) (at 66.04 40.64 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 66.04 57.15 0) + (property "Reference" "U5" (at 66.04 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 40.64 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 57.15 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 66.04 38.1 0) + (property "Datasheet" "" (at 66.04 38.1 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C5516" (id 4) (at 66.04 40.64 0) + (property "LCSC Part" "C5516" (at 66.04 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 9be2511d-ade2-4226-92b7-3aaaf18f4666)) @@ -5865,168 +6643,262 @@ (pin "7" (uuid 178bb273-5a55-4b3d-8fce-d62581bd2b81)) (pin "8" (uuid b6b5d245-df61-4abd-8a5e-4327b4e28372)) (pin "9" (uuid bf3377ce-92eb-435f-aeed-d28c36d72737)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U5") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+5V") (at 35.56 52.07 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f518eba) - (property "Reference" "#PWR0105" (id 0) (at 35.56 55.88 0) + (property "Reference" "#PWR0105" (at 35.56 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 35.56 48.26 0)) - (property "Footprint" "" (id 2) (at 35.56 52.07 0) + (property "Value" "+5V" (at 35.56 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 35.56 52.07 0) + (property "Datasheet" "" (at 35.56 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 914c5b3a-21b5-462d-8f55-ca0b3cc81d2e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0105") (unit 1) + ) + ) + ) ) - (symbol (lib_id "GW_Logic:Oscillator_4P") (at 66.04 158.75 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "GW_Logic:Oscillator_4P") (at 80.01 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f710408) - (property "Reference" "U13" (id 0) (at 66.04 152.4 0)) - (property "Value" "25M" (id 1) (at 66.04 162.56 0)) - (property "Footprint" "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (id 2) (at 66.04 158.75 0) + (property "Reference" "U13" (at 80.01 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "25M" (at 80.01 195.58 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (at 80.01 191.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 66.04 158.75 0) + (property "Datasheet" "" (at 80.01 191.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C669088" (id 4) (at 66.04 158.75 0) + (property "LCSC Part" "C669088" (at 80.01 191.77 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 8990791b-000f-4111-b559-4220d588528a)) (pin "2" (uuid 1dfd57e6-2750-423f-b47b-e3e12643c855)) (pin "3" (uuid 4b5c7ac3-16d7-4416-8e1b-c06ef9cd09b5)) (pin "4" (uuid cc258a86-5c4a-4cd1-bc12-24c79bcb6bfd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U13") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 74.93 158.75 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 71.12 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f71138f) - (property "Reference" "#PWR0104" (id 0) (at 74.93 165.1 0) + (property "Reference" "#PWR0104" (at 71.12 198.12 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 74.93 162.56 0)) - (property "Footprint" "" (id 2) (at 74.93 158.75 0) + (property "Value" "GND" (at 71.12 195.58 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 71.12 191.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 74.93 158.75 0) + (property "Datasheet" "" (at 71.12 191.77 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 272f63a1-f713-42f0-8f58-4fac6dd018cc)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0104") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 57.15 156.21 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 88.9 189.23 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f711604) - (property "Reference" "#PWR0125" (id 0) (at 57.15 160.02 0) + (property "Reference" "#PWR0125" (at 88.9 193.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 57.15 152.4 0)) - (property "Footprint" "" (id 2) (at 57.15 156.21 0) + (property "Value" "+3V3" (at 88.9 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 88.9 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 57.15 156.21 0) + (property "Datasheet" "" (at 88.9 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ead84776-ed6d-4174-bd4e-7ec24866ecbd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0125") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 74.93 156.21 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 71.12 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f712209) - (property "Reference" "#PWR0129" (id 0) (at 74.93 160.02 0) + (property "Reference" "#PWR0129" (at 71.12 193.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 74.93 152.4 0)) - (property "Footprint" "" (id 2) (at 74.93 156.21 0) + (property "Value" "+3V3" (at 71.12 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 71.12 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 74.93 156.21 0) + (property "Datasheet" "" (at 71.12 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5363aa10-d5af-4a6f-b8d7-b6072faeb087)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0129") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 76.2 29.21 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f7523bc) - (property "Reference" "#PWR0118" (id 0) (at 76.2 33.02 0) + (property "Reference" "#PWR0118" (at 76.2 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 76.2 25.4 0)) - (property "Footprint" "" (id 2) (at 76.2 29.21 0) + (property "Value" "+3V3" (at 76.2 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 76.2 29.21 0) + (property "Datasheet" "" (at 76.2 29.21 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 27efb570-bb39-4d73-adb9-f0d14b77f4de)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0118") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 81.28 26.67 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f7669d3) - (property "Reference" "#PWR0119" (id 0) (at 81.28 33.02 0) + (property "Reference" "#PWR0119" (at 81.28 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 81.28 30.48 0)) - (property "Footprint" "" (id 2) (at 81.28 26.67 0) + (property "Value" "GND" (at 81.28 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 26.67 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 81.28 26.67 0) + (property "Datasheet" "" (at 81.28 26.67 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid cad2873e-719f-4144-9660-5fc414d59799)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0119") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 50.8 29.21 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f7c78ed) - (property "Reference" "#PWR0121" (id 0) (at 50.8 33.02 0) + (property "Reference" "#PWR0121" (at 50.8 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 50.8 25.4 0)) - (property "Footprint" "" (id 2) (at 50.8 29.21 0) + (property "Value" "+3V3" (at 50.8 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 50.8 29.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 50.8 29.21 0) + (property "Datasheet" "" (at 50.8 29.21 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d475b65c-8aff-4afb-97e1-c54e2ac8b8df)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0121") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 55.88 52.07 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005f83aa58) - (property "Reference" "#PWR0122" (id 0) (at 55.88 58.42 0) + (property "Reference" "#PWR0122" (at 55.88 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 55.88 55.88 0)) - (property "Footprint" "" (id 2) (at 55.88 52.07 0) + (property "Value" "GND" (at 55.88 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 52.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 55.88 52.07 0) + (property "Datasheet" "" (at 55.88 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid dd287ecc-48c7-4569-84ef-5639ce72358e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0122") (unit 1) + ) + ) + ) ) (symbol (lib_id "GW_Logic:74245") (at 66.04 132.08 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005fd6dfdd) - (property "Reference" "U6" (id 0) (at 66.04 116.84 0)) - (property "Value" "74AHC245PW" (id 1) (at 66.04 132.08 90)) - (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (id 2) (at 66.04 148.59 0) + (property "Reference" "U6" (at 66.04 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245PW" (at 66.04 132.08 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 66.04 148.59 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 66.04 129.54 0) + (property "Datasheet" "" (at 66.04 129.54 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C5516" (id 4) (at 66.04 132.08 0) + (property "LCSC Part" "C5516" (at 66.04 132.08 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 6d6ba75b-1862-4e3b-9547-9c8624ed35d7)) @@ -6049,117 +6921,131 @@ (pin "7" (uuid 07b460de-371b-40e0-90f0-93226a458746)) (pin "8" (uuid 9b222da4-70a1-43e3-bfee-4b3715b4e51c)) (pin "9" (uuid 8f84dd96-de49-4917-98a1-dcaa5ccefa9d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U6") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 76.2 120.65 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005fd6f855) - (property "Reference" "#PWR0123" (id 0) (at 76.2 124.46 0) + (property "Reference" "#PWR0123" (at 76.2 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 76.2 116.84 0)) - (property "Footprint" "" (id 2) (at 76.2 120.65 0) + (property "Value" "+3V3" (at 76.2 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 120.65 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 76.2 120.65 0) + (property "Datasheet" "" (at 76.2 120.65 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c7e381d8-ee41-45c6-90eb-5d8a70d113f4)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0123") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 53.34 143.51 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005fd721d9) - (property "Reference" "#PWR0126" (id 0) (at 53.34 149.86 0) + (property "Reference" "#PWR0126" (at 53.34 149.86 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 53.34 147.32 0)) - (property "Footprint" "" (id 2) (at 53.34 143.51 0) + (property "Value" "GND" (at 53.34 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 53.34 143.51 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 53.34 143.51 0) + (property "Datasheet" "" (at 53.34 143.51 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid fa579a4b-0a1e-45bd-8ebd-0fe5f24110b3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0126") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 81.28 57.15 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005fe804c8) - (property "Reference" "#PWR0107" (id 0) (at 81.28 63.5 0) + (property "Reference" "#PWR0107" (at 81.28 63.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 81.28 60.96 0)) - (property "Footprint" "" (id 2) (at 81.28 57.15 0) + (property "Value" "GND" (at 81.28 60.96 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 57.15 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 81.28 57.15 0) + (property "Datasheet" "" (at 81.28 57.15 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid fc2e6937-75ad-4c5e-862d-3bdf44129aa7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0107") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:GND") (at 81.28 87.63 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00005fea8027) - (property "Reference" "#PWR0113" (id 0) (at 81.28 93.98 0) + (property "Reference" "#PWR0113" (at 81.28 93.98 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 81.28 91.44 0)) - (property "Footprint" "" (id 2) (at 81.28 87.63 0) + (property "Value" "GND" (at 81.28 91.44 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 87.63 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 81.28 87.63 0) + (property "Datasheet" "" (at 81.28 87.63 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 327dfcfa-f52d-4951-82e8-820abf17d1b1)) - ) - - (symbol (lib_id "power:GND") (at 17.78 30.48 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00005ff3d1f3) - (property "Reference" "#PWR0109" (id 0) (at 17.78 36.83 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 17.78 34.29 0)) - (property "Footprint" "" (id 2) (at 17.78 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 17.78 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d0bc009c-d82a-462e-93a3-53eae1df5f45)) - ) - - (symbol (lib_id "Mechanical:MountingHole") (at 33.02 33.02 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00005ff3d1f9) - (property "Reference" "H6" (id 0) (at 35.56 33.02 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" " " (id 1) (at 35.56 34.036 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "stdpads:PasteHole_1.152mm_NPTH" (id 2) (at 33.02 33.02 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 33.02 33.02 0) - (effects (font (size 1.27 1.27)) hide) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0113") (unit 1) + ) + ) ) ) - (symbol (lib_id "GW_Logic:741G125GW") (at 67.31 171.45 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "GW_Logic:741G125GW") (at 121.92 191.77 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000600066d4) - (property "Reference" "U16" (id 0) (at 68.58 171.45 0)) - (property "Value" "74LVC1G125GW" (id 1) (at 67.31 177.8 0)) - (property "Footprint" "stdpads:SOT-353" (id 2) (at 67.31 179.07 0) + (property "Reference" "U16" (at 123.19 191.77 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G125GW" (at 121.92 198.12 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 121.92 199.39 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 67.31 176.53 0) + (property "Datasheet" "" (at 121.92 196.85 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C12519" (id 4) (at 67.31 171.45 0) + (property "LCSC Part" "C12519" (at 121.92 191.77 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid cc888f43-ca19-462c-adfd-2e20cb8dfede)) @@ -6167,76 +7053,81 @@ (pin "3" (uuid 0d5f0063-2997-415b-9799-75c937163557)) (pin "4" (uuid eace7729-e4d3-4128-bd9f-a5e3326cc2de)) (pin "5" (uuid 86fdabc7-3c2d-4842-81f0-390980c737eb)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U16") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 57.15 173.99 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 111.76 194.31 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000060049c56) - (property "Reference" "#PWR0136" (id 0) (at 57.15 180.34 0) + (property "Reference" "#PWR0136" (at 111.76 200.66 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 57.15 177.8 0)) - (property "Footprint" "" (id 2) (at 57.15 173.99 0) + (property "Value" "GND" (at 111.76 198.12 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 111.76 194.31 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 57.15 173.99 0) + (property "Datasheet" "" (at 111.76 194.31 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f9c9da1f-0e0d-4e35-9865-f07faa925b0f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0136") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 77.47 168.91 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 132.08 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006006b98d) - (property "Reference" "#PWR0141" (id 0) (at 77.47 172.72 0) + (property "Reference" "#PWR0141" (at 132.08 193.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 77.47 165.1 0)) - (property "Footprint" "" (id 2) (at 77.47 168.91 0) + (property "Value" "+3V3" (at 132.08 185.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 132.08 189.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 77.47 168.91 0) + (property "Datasheet" "" (at 132.08 189.23 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid cc830e9f-e8c6-4eb8-897d-fbe572bc2b6c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0141") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:R_Pack04") (at 85.09 170.18 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-00006006d01e) - (property "Reference" "RN1" (id 0) (at 85.09 165.1 90)) - (property "Value" "4x33" (id 1) (at 85.09 177.8 90)) - (property "Footprint" "stdpads:R4_0402" (id 2) (at 85.09 163.195 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 85.09 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C25501" (id 4) (at 85.09 170.18 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 10b7a7e3-d9dd-4fc6-b9a1-925f489e94d0)) - (pin "2" (uuid aada76e4-0d7c-47c4-9c7e-35d8df0791ca)) - (pin "3" (uuid b63cf49d-ce07-465a-aab3-e2d4a195530e)) - (pin "4" (uuid 82e568da-903e-41ac-963d-d3294f0b39c3)) - (pin "5" (uuid aa0b8d25-848b-4739-80af-5e56edd94fc8)) - (pin "6" (uuid e205c85d-545e-4caf-a814-f7d0e94d19fe)) - (pin "7" (uuid 7ba21785-b853-4c68-8aac-d6661bcf4b12)) - (pin "8" (uuid f2681407-fae3-42b5-b6d7-8f7b7fe06cca)) - ) - - (symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 185.42 140.97 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "GW_RAM:SPIFlash-SO-8") (at 228.6 63.5 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006009ebad) - (property "Reference" "U3" (id 0) (at 185.42 132.08 0)) - (property "Value" "W25Q128JVSIQ" (id 1) (at 185.42 147.32 0)) - (property "Footprint" "stdpads:SOIC-8_5.3mm" (id 2) (at 185.42 148.59 0) + (property "Reference" "U3" (at 228.6 54.61 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W25Q128JVSIQ" (at 228.6 69.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8_5.3mm" (at 228.6 71.12 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 185.42 140.97 0) + (property "Datasheet" "" (at 228.6 63.5 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "LCSC Part" "C164122" (id 4) (at 185.42 140.97 0) + (property "LCSC Part" "C97521" (at 228.6 63.5 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid fcd7587b-250b-43ce-aec7-cf368d21a790)) @@ -6247,52 +7138,81 @@ (pin "6" (uuid 1b4ddb93-8b89-4cee-8edf-377cf41d0c0a)) (pin "7" (uuid 06223826-442d-48ae-af5b-7e727ec15539)) (pin "8" (uuid 00d7bdb8-e5cc-4c48-a1a8-da005ded2e3f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U3") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 199.39 135.89 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 242.57 58.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000600a01e5) - (property "Reference" "#PWR0142" (id 0) (at 199.39 139.7 0) + (property "Reference" "#PWR0142" (at 242.57 62.23 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 199.39 132.08 0)) - (property "Footprint" "" (id 2) (at 199.39 135.89 0) + (property "Value" "+3V3" (at 242.57 54.61 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 242.57 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 199.39 135.89 0) + (property "Datasheet" "" (at 242.57 58.42 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 2d984774-10c5-4a20-8f63-2c34b15b3e99)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0142") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 171.45 143.51 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 214.63 66.04 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000600a3591) - (property "Reference" "#PWR0143" (id 0) (at 171.45 149.86 0) + (property "Reference" "#PWR0143" (at 214.63 72.39 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 171.45 147.32 0)) - (property "Footprint" "" (id 2) (at 171.45 143.51 0) + (property "Value" "GND" (at 214.63 69.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 214.63 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 171.45 143.51 0) + (property "Datasheet" "" (at 214.63 66.04 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 320e4724-6d2c-4fdb-8f38-e333191a5637)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0143") (unit 1) + ) + ) + ) ) - (symbol (lib_id "GW_Logic:741G125GW") (at 67.31 186.69 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "GW_Logic:741G125GW") (at 66.04 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000602499a6) - (property "Reference" "U14" (id 0) (at 68.58 186.69 0)) - (property "Value" "74LVC1G125GW" (id 1) (at 67.31 193.04 0)) - (property "Footprint" "stdpads:SOT-353" (id 2) (at 67.31 194.31 0) + (property "Reference" "U14" (at 67.31 153.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G125GW" (at 66.04 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 66.04 161.29 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 67.31 191.77 0) + (property "Datasheet" "" (at 66.04 158.75 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C12519" (id 4) (at 67.31 186.69 0) + (property "LCSC Part" "C12519" (at 66.04 153.67 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 06b2597a-7b06-4c2d-b3aa-db28e4d2d6bb)) @@ -6300,257 +7220,228 @@ (pin "3" (uuid 483dd64e-74ad-4e0b-8c97-c0bda14b5bdc)) (pin "4" (uuid f28220b6-cf8e-4547-99ed-20754e5edd04)) (pin "5" (uuid c2aff66a-62a8-4aa1-b4d9-790546e6099d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U14") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:R_Small") (at 80.01 189.23 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000602499ad) - (property "Reference" "R22" (id 0) (at 80.01 185.42 90)) - (property "Value" "33" (id 1) (at 80.01 187.96 90) - (effects (font (size 1.27 1.27)) (justify bottom)) - ) - (property "Footprint" "stdpads:R_0603" (id 2) (at 80.01 189.23 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 80.01 189.23 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C23140" (id 4) (at 80.01 189.23 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid f917d6d0-234e-4961-85b0-ecc42951988a)) - (pin "2" (uuid 70360e87-cd28-463c-b340-4363199e3805)) - ) - - (symbol (lib_id "power:+3V3") (at 77.47 184.15 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 76.2 151.13 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000602499b3) - (property "Reference" "#PWR0182" (id 0) (at 77.47 187.96 0) + (property "Reference" "#PWR0182" (at 76.2 154.94 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 77.47 180.34 0)) - (property "Footprint" "" (id 2) (at 77.47 184.15 0) + (property "Value" "+3V3" (at 76.2 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 151.13 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 77.47 184.15 0) + (property "Datasheet" "" (at 76.2 151.13 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 6a2a9055-b7a0-4475-ae90-6830aeae6af2)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0182") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 57.15 189.23 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 55.88 156.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000602499b9) - (property "Reference" "#PWR0181" (id 0) (at 57.15 195.58 0) + (property "Reference" "#PWR0181" (at 55.88 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 57.15 193.04 0)) - (property "Footprint" "" (id 2) (at 57.15 189.23 0) + (property "Value" "GND" (at 55.88 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 156.21 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 57.15 189.23 0) + (property "Datasheet" "" (at 55.88 156.21 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e9fa7908-c2ef-44b7-9c54-c9ac90fe023a)) - ) - - (symbol (lib_id "Device:R_Pack04") (at 194.31 121.92 90) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000602f02a7) - (property "Reference" "RN2" (id 0) (at 194.31 116.84 90)) - (property "Value" "4x33" (id 1) (at 194.31 129.54 90)) - (property "Footprint" "stdpads:R4_0402" (id 2) (at 194.31 114.935 90) - (effects (font (size 1.27 1.27)) hide) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0181") (unit 1) + ) + ) ) - (property "Datasheet" "~" (id 3) (at 194.31 121.92 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C25501" (id 4) (at 194.31 121.92 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 68c2e5ee-bfba-4305-9518-fc0b0f2daa4f)) - (pin "2" (uuid 8a539dc2-f476-48de-9eae-7d7ce05370cf)) - (pin "3" (uuid 6268c652-d638-4cca-ac66-175d0b3f43a2)) - (pin "4" (uuid 0a6850b9-6c76-4a15-b2c7-adfe81b113a0)) - (pin "5" (uuid 61c8c7e1-b45c-40a9-a906-069e8954db6f)) - (pin "6" (uuid 3afb1882-64a8-4616-8ab1-e516fbd0d301)) - (pin "7" (uuid 8b12d6ca-96bc-4894-ae10-3b779e7695b2)) - (pin "8" (uuid 1e40aa64-be02-4113-a49d-9bae7a5331ad)) - ) - - (symbol (lib_id "Device:R_Pack04") (at 176.53 121.92 90) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000602f6b86) - (property "Reference" "RN3" (id 0) (at 176.53 116.84 90)) - (property "Value" "4x33" (id 1) (at 176.53 129.54 90)) - (property "Footprint" "stdpads:R4_0402" (id 2) (at 176.53 114.935 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 176.53 121.92 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C25501" (id 4) (at 176.53 121.92 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7cc17185-4e55-4e0f-9f60-cae6a356a4ff)) - (pin "2" (uuid 5e8a4a02-5ed1-4eab-8321-d0ba5905ba64)) - (pin "3" (uuid 55311643-d7b8-4a29-b19e-bce86aa84e1d)) - (pin "4" (uuid e50d54c8-69a5-436e-84c9-b61c59cc4992)) - (pin "5" (uuid 74b15c23-64fb-4b0d-a247-7ccfe0bd3167)) - (pin "6" (uuid 7c8d2f28-70e0-4d09-928e-f0dced190bae)) - (pin "7" (uuid f750b89a-6e6b-4d07-847d-c0551bec44ba)) - (pin "8" (uuid 527f3c91-95f0-4b0d-b126-85c3de1e0a0e)) ) (symbol (lib_id "power:GND") (at 81.28 118.11 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006033a776) - (property "Reference" "#PWR0162" (id 0) (at 81.28 124.46 0) + (property "Reference" "#PWR0162" (at 81.28 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 81.28 121.92 0)) - (property "Footprint" "" (id 2) (at 81.28 118.11 0) + (property "Value" "GND" (at 81.28 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 118.11 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 81.28 118.11 0) + (property "Datasheet" "" (at 81.28 118.11 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b0cf295d-b814-41ea-ba36-4580ee138ec6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0162") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 50.8 90.17 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006070cf46) - (property "Reference" "#PWR0134" (id 0) (at 50.8 93.98 0) + (property "Reference" "#PWR0134" (at 50.8 93.98 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 50.8 86.36 0)) - (property "Footprint" "" (id 2) (at 50.8 90.17 0) + (property "Value" "+3V3" (at 50.8 86.36 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 50.8 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 50.8 90.17 0) + (property "Datasheet" "" (at 50.8 90.17 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5e0e1251-015c-4df1-8b19-0718597c2462)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0134") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 35.56 161.29 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 35.56 154.94 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000060783041) - (property "Reference" "#PWR0140" (id 0) (at 35.56 165.1 0) + (property "Reference" "#PWR0140" (at 35.56 158.75 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 35.56 157.48 0)) - (property "Footprint" "" (id 2) (at 35.56 161.29 0) + (property "Value" "+3V3" (at 35.56 151.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 154.94 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 35.56 161.29 0) + (property "Datasheet" "" (at 35.56 154.94 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b20cab7f-487c-496f-a37f-94ed3e2e9e68)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0140") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 20.32 168.91 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 20.32 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006078304a) - (property "Reference" "#PWR0183" (id 0) (at 20.32 175.26 0) + (property "Reference" "#PWR0183" (at 20.32 168.91 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 20.32 172.72 0)) - (property "Footprint" "" (id 2) (at 20.32 168.91 0) + (property "Value" "GND" (at 20.32 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 20.32 162.56 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 20.32 168.91 0) + (property "Datasheet" "" (at 20.32 162.56 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c7439e90-c6b8-47b2-9d17-a06c395fe26e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0183") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:R_Small") (at 35.56 182.88 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000607acb3c) - (property "Reference" "R28" (id 0) (at 36.83 181.61 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "22k" (id 1) (at 36.83 184.15 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "stdpads:R_0603" (id 2) (at 35.56 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 35.56 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C31850" (id 4) (at 35.56 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid e0620f9d-14ab-44ef-b2d9-f963a77b47d1)) - (pin "2" (uuid 2992f162-3bfb-436e-88d2-ef9157d22121)) - ) - - (symbol (lib_id "Device:R_Small") (at 43.18 182.88 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000607ad37b) - (property "Reference" "R29" (id 0) (at 44.45 181.61 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "22k" (id 1) (at 44.45 184.15 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "stdpads:R_0603" (id 2) (at 43.18 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 43.18 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C31850" (id 4) (at 43.18 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid dfc6c58b-b2d7-478a-8280-348471912cc8)) - (pin "2" (uuid b4182176-94b4-4042-a047-ced8894735c1)) - ) - - (symbol (lib_id "power:+3V3") (at 35.56 180.34 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 35.56 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000607ad4e1) - (property "Reference" "#PWR0133" (id 0) (at 35.56 184.15 0) + (property "Reference" "#PWR0133" (at 35.56 177.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 35.56 176.53 0)) - (property "Footprint" "" (id 2) (at 35.56 180.34 0) + (property "Value" "+3V3" (at 35.56 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 173.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 35.56 180.34 0) + (property "Datasheet" "" (at 35.56 173.99 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 120f23c7-4d4a-4621-8913-08ec35a41e2c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0133") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 43.18 185.42 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 43.18 179.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000607adade) - (property "Reference" "#PWR0161" (id 0) (at 43.18 191.77 0) + (property "Reference" "#PWR0161" (at 43.18 185.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 43.18 189.23 0)) - (property "Footprint" "" (id 2) (at 43.18 185.42 0) + (property "Value" "GND" (at 43.18 182.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 43.18 179.07 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 43.18 185.42 0) + (property "Datasheet" "" (at 43.18 179.07 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 97526e5b-f3c1-4be4-bbaf-223c60948cda)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0161") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 27.94 166.37 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 27.94 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000607c0f47) - (property "Reference" "J5" (id 0) (at 29.21 158.75 0)) - (property "Value" "JTAG" (id 1) (at 29.21 173.99 0)) - (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (id 2) (at 27.94 166.37 0) + (property "Reference" "J5" (at 29.21 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Flash" (at 29.21 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical" (at 27.94 160.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 27.94 166.37 0) + (property "Datasheet" "~" (at 27.94 160.02 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 54b4b40d-a786-41e6-9f87-4dcc19fce6d5)) @@ -6563,490 +7454,431 @@ (pin "7" (uuid e109b596-defe-4fa5-8030-057b98f07ef8)) (pin "8" (uuid 039b5e6d-01aa-4a6d-ae69-1ff629b7ab9d)) (pin "9" (uuid 5ffe0a63-1ea9-40af-83d8-b3d2717da57e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J5") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 39.37 146.05 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000607e1082) - (property "Reference" "#PWR0184" (id 0) (at 39.37 149.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3V3" (id 1) (at 39.37 142.24 0)) - (property "Footprint" "" (id 2) (at 39.37 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 39.37 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 2f677aa4-d5a3-4a37-97a5-385066770256)) - ) - - (symbol (lib_id "power:GND") (at 35.56 151.13 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000607e1090) - (property "Reference" "#PWR0185" (id 0) (at 35.56 157.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 35.56 154.94 0)) - (property "Footprint" "" (id 2) (at 35.56 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 35.56 151.13 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid d8a4490e-3c6c-4c39-94ad-54b48fb697b8)) - ) - - (symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 27.94 146.05 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000607e109b) - (property "Reference" "J4" (id 0) (at 29.21 138.43 0)) - (property "Value" "JTAG" (id 1) (at 29.21 153.67 0)) - (property "Footprint" "Connector_IDC:IDC-Header_2x05_P2.54mm_Vertical" (id 2) (at 27.94 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 27.94 146.05 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bee0e1c1-b842-441d-85d5-538540a63a14)) - (pin "10" (uuid 2e484963-b269-42b3-9486-24df28465ede)) - (pin "2" (uuid d07f21eb-823b-4131-acb0-2fd90e8c76eb)) - (pin "3" (uuid 4cf23c4a-c03c-4897-b0d2-e5da4fd74f72)) - (pin "4" (uuid e57bcf50-81ce-4f5a-839b-106d5a693317)) - (pin "5" (uuid 2d21e9d4-5f95-4bd5-bafa-7195b8da2940)) - (pin "6" (uuid 31aad1ff-f8eb-44a2-b0e6-6f2533cadd8a)) - (pin "7" (uuid 08278bf4-f6e9-44ff-9adf-6e32e38a8a34)) - (pin "8" (uuid 2a66cc3a-c090-4ce0-a61f-f7e30ae6a985)) - (pin "9" (uuid 5828e2b1-6c83-4929-88ca-4d736ceaf660)) - ) - - (symbol (lib_id "power:+5V") (at 208.28 17.78 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+5V") (at 214.63 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000607fa428) - (property "Reference" "#PWR0120" (id 0) (at 208.28 21.59 0) + (property "Reference" "#PWR0120" (at 214.63 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 208.28 13.97 0)) - (property "Footprint" "" (id 2) (at 208.28 17.78 0) + (property "Value" "+5V" (at 214.63 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 214.63 17.78 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 208.28 17.78 0) + (property "Datasheet" "" (at 214.63 17.78 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 45d0be27-92b3-4bdb-8caf-0545fa02b1bd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0120") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 246.38 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 252.73 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000060865cde) - (property "Reference" "C5" (id 0) (at 247.65 29.21 0) + (property "Reference" "C5" (at 254 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 247.65 31.75 0) + (property "Value" "2u2" (at 254 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 246.38 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 252.73 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 246.38 30.48 0) + (property "Datasheet" "~" (at 252.73 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 246.38 30.48 0) + (property "LCSC Part" "C23630" (at 252.73 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 6aa6a254-6dca-4eff-802c-f8352985b98b)) (pin "2" (uuid e8158edc-20a3-40c7-babc-1f71692cea6b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C5") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 246.38 33.02 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 260.35 33.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000608796ed) - (property "Reference" "#PWR0135" (id 0) (at 246.38 39.37 0) + (property "Reference" "#PWR0135" (at 260.35 39.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 246.38 36.83 0)) - (property "Footprint" "" (id 2) (at 246.38 33.02 0) + (property "Value" "GND" (at 260.35 36.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 33.02 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 246.38 33.02 0) + (property "Datasheet" "" (at 260.35 33.02 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1545871b-4439-4ca7-937c-38293a364c16)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0135") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 238.76 22.86 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000608bc858) - (property "Reference" "#PWR0145" (id 0) (at 238.76 29.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 238.76 26.67 0)) - (property "Footprint" "" (id 2) (at 238.76 22.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 238.76 22.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7143a182-7a9c-425b-bad6-52a2349997b2)) - ) - - (symbol (lib_id "power:GND") (at 100.33 184.15 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 224.79 93.98 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000609b96f7) - (property "Reference" "#PWR0144" (id 0) (at 100.33 190.5 0) + (property "Reference" "#PWR0144" (at 224.79 100.33 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 100.33 187.96 0)) - (property "Footprint" "" (id 2) (at 100.33 184.15 0) + (property "Value" "GND" (at 224.79 97.79 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 224.79 93.98 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 100.33 184.15 0) + (property "Datasheet" "" (at 224.79 93.98 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 71f84c36-6a99-4d10-95c3-11e6a7154235)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0144") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+5V") (at 100.33 179.07 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+5V") (at 224.79 88.9 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000060ab8bee) - (property "Reference" "#PWR0110" (id 0) (at 100.33 182.88 0) + (property "Reference" "#PWR0110" (at 224.79 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+5V" (id 1) (at 100.33 175.26 0)) - (property "Footprint" "" (id 2) (at 100.33 179.07 0) + (property "Value" "+5V" (at 224.79 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 224.79 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 100.33 179.07 0) + (property "Datasheet" "" (at 224.79 88.9 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 4e7cb327-d3ed-4082-8343-f97db8234673)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0110") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 50.8 59.69 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000060aef493) - (property "Reference" "#PWR0131" (id 0) (at 50.8 63.5 0) + (property "Reference" "#PWR0131" (at 50.8 63.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 50.8 55.88 0)) - (property "Footprint" "" (id 2) (at 50.8 59.69 0) + (property "Value" "+3V3" (at 50.8 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 50.8 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 50.8 59.69 0) + (property "Datasheet" "" (at 50.8 59.69 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c856dd84-8993-42b6-a987-d0482188ef78)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0131") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:R_Small") (at 43.18 166.37 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000060bd9796) - (property "Reference" "R31" (id 0) (at 43.18 162.56 90)) - (property "Value" "33" (id 1) (at 43.18 165.1 90) - (effects (font (size 1.27 1.27)) (justify bottom)) - ) - (property "Footprint" "stdpads:R_0603" (id 2) (at 43.18 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 43.18 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C23140" (id 4) (at 43.18 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 329abfeb-e90a-48d1-aa93-d33fbb034f52)) - (pin "2" (uuid 0a9744e5-cf0b-4d68-a1b2-02bef642f6c7)) - ) - - (symbol (lib_id "GW_Power:AP2125") (at 111.76 181.61 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "GW_Power:AP2125") (at 236.22 91.44 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000060cd7812) - (property "Reference" "U8" (id 0) (at 111.76 181.61 0)) - (property "Value" "XC6206P332MR" (id 1) (at 111.76 175.26 0)) - (property "Footprint" "stdpads:SOT-23" (id 2) (at 111.76 189.23 0) + (property "Reference" "U8" (at 236.22 91.44 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "XC6206P332MR" (at 236.22 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-23" (at 236.22 99.06 0) (effects (font (size 1.27 1.27)) (justify top) hide) ) - (property "Datasheet" "" (id 3) (at 111.76 184.15 0) + (property "Datasheet" "" (at 236.22 93.98 0) (effects (font (size 1.524 1.524)) hide) ) - (property "LCSC Part" "C5446" (id 4) (at 111.76 181.61 0) + (property "LCSC Part" "C5446" (at 236.22 91.44 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid be08acd7-a864-4f45-92a0-9002e4167f37)) (pin "2" (uuid 76926de7-4e2d-46c2-94e3-06e636e68fe8)) (pin "3" (uuid 687376ad-5699-4b83-a0f3-7beeae7f0dfc)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U8") (unit 1) + ) + ) + ) ) (symbol (lib_id "power:+3V3") (at 39.37 128.27 0) (unit 1) - (in_bom yes) (on_board yes) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006101622a) - (property "Reference" "#PWR0137" (id 0) (at 39.37 132.08 0) + (property "Reference" "#PWR0137" (at 39.37 132.08 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 39.37 124.46 0)) - (property "Footprint" "" (id 2) (at 39.37 128.27 0) + (property "Value" "+3V3" (at 39.37 124.46 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 39.37 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 39.37 128.27 0) + (property "Datasheet" "" (at 39.37 128.27 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d00fd3c4-c025-4e55-a99a-45a0290a21ff)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0137") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 110.49 44.45 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 115.57 44.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000611d4157) - (property "Reference" "#PWR0138" (id 0) (at 110.49 48.26 0) + (property "Reference" "#PWR0138" (at 115.57 48.26 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 110.49 40.64 0)) - (property "Footprint" "" (id 2) (at 110.49 44.45 0) + (property "Value" "+3V3" (at 115.57 40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 115.57 44.45 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 110.49 44.45 0) + (property "Datasheet" "" (at 115.57 44.45 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5d9c22fb-42c3-4167-a359-ed95935ae3c8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0138") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 128.27 166.37 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 130.81 166.37 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000612a2608) - (property "Reference" "#PWR0139" (id 0) (at 128.27 172.72 0) + (property "Reference" "#PWR0139" (at 130.81 172.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 128.27 170.18 0)) - (property "Footprint" "" (id 2) (at 128.27 166.37 0) + (property "Value" "GND" (at 130.81 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 130.81 166.37 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 128.27 166.37 0) + (property "Datasheet" "" (at 130.81 166.37 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid cb009da4-648f-43fe-9e7c-121350173aa3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0139") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 238.76 30.48 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000612b7ae0) - (property "Reference" "C42" (id 0) (at 240.03 29.21 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "2u2" (id 1) (at 240.03 31.75 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 238.76 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 238.76 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C23630" (id 4) (at 238.76 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 370ed447-d7cd-4823-87ec-12ecbaeb3901)) - (pin "2" (uuid b2fcc79a-db1f-4688-be2b-7c1638ddbd6a)) - ) - - (symbol (lib_id "Device:C_Small") (at 223.52 40.64 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000612b7ae7) - (property "Reference" "C43" (id 0) (at 224.79 39.37 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "2u2" (id 1) (at 224.79 41.91 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 223.52 40.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 223.52 40.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C23630" (id 4) (at 223.52 40.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 571fd41a-0f2a-4609-84bb-638b7c931737)) - (pin "2" (uuid 78074ad5-1cab-4d1f-9c03-7f6c195bd93c)) - ) - - (symbol (lib_id "Device:C_Small") (at 231.14 40.64 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000612b7aee) - (property "Reference" "C44" (id 0) (at 232.41 39.37 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "2u2" (id 1) (at 232.41 41.91 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 231.14 40.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 231.14 40.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C23630" (id 4) (at 231.14 40.64 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 13f4df76-5af2-405c-b137-958f9a82537a)) - (pin "2" (uuid c96e7a55-b8eb-44d6-be3d-c0744c75107b)) - ) - - (symbol (lib_id "Device:C_Small") (at 154.94 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 207.01 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000612ba8a4) - (property "Reference" "C32" (id 0) (at 156.21 29.21 0) + (property "Reference" "C32" (at 208.28 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 156.21 31.75 0) + (property "Value" "2u2" (at 208.28 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 154.94 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 207.01 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 154.94 30.48 0) + (property "Datasheet" "~" (at 207.01 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 154.94 30.48 0) + (property "LCSC Part" "C23630" (at 207.01 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid b830ae61-5cf3-45d7-b837-1eb84500b62b)) (pin "2" (uuid a4adf70d-579e-48be-98b3-0c3dd0c56bb8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C32") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 238.76 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 252.73 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000612ba8af) - (property "Reference" "C33" (id 0) (at 240.03 39.37 0) + (property "Reference" "C33" (at 254 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 240.03 41.91 0) + (property "Value" "2u2" (at 254 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 238.76 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 252.73 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 238.76 40.64 0) + (property "Datasheet" "~" (at 252.73 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 238.76 40.64 0) + (property "LCSC Part" "C23630" (at 252.73 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1343725e-9684-4f2f-a5e1-c30ef0b6ffdb)) (pin "2" (uuid 0f3c1f1f-bc5c-40a5-8d11-b338ec9f5c1a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C33") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 223.52 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 237.49 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000612ba8bd) - (property "Reference" "C34" (id 0) (at 224.79 29.21 0) + (property "Reference" "C34" (at 238.76 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 224.79 31.75 0) + (property "Value" "2u2" (at 238.76 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 223.52 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 237.49 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 223.52 30.48 0) + (property "Datasheet" "~" (at 237.49 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 223.52 30.48 0) + (property "LCSC Part" "C23630" (at 237.49 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5ebe4b78-5e04-419b-9eb4-1964a098f8c7)) (pin "2" (uuid d5106b60-b56c-4f88-b55e-53548875bdb0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C34") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:R_Pack04") (at 22.86 182.88 270) (mirror x) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000613f8109) - (property "Reference" "RN5" (id 0) (at 22.86 177.8 90)) - (property "Value" "4x10k" (id 1) (at 22.86 190.5 90)) - (property "Footprint" "stdpads:R4_0402" (id 2) (at 22.86 175.895 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 22.86 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "LCSC Part" "C25725" (id 4) (at 22.86 182.88 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a66ae5a0-1026-4e58-8b7d-a865e1e240b4)) - (pin "2" (uuid 72736ef0-440f-445e-90eb-c81ae8522fbc)) - (pin "3" (uuid 62b9c199-3c77-4c44-a4fe-53867aefe7d2)) - (pin "4" (uuid d6b6326d-c925-4334-96f5-218472fffcc2)) - (pin "5" (uuid 038aeddc-6d2a-4762-b5a9-bb808a6321d9)) - (pin "6" (uuid dc9dea80-2c29-4fad-a713-8cffd4365ce9)) - (pin "7" (uuid 5423be76-3cf4-4929-b119-321703c4311f)) - (pin "8" (uuid af66195b-d32c-42e8-b5e8-081fb74851c7)) - ) - - (symbol (lib_id "power:+3V3") (at 27.94 180.34 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000614500eb) - (property "Reference" "#PWR0188" (id 0) (at 27.94 184.15 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3V3" (id 1) (at 27.94 176.53 0)) - (property "Footprint" "" (id 2) (at 27.94 180.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 27.94 180.34 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1a74e4ef-9f04-445e-a4d4-879b5e2f061a)) - ) - - (symbol (lib_id "power:+3V3") (at 228.6 17.78 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 229.87 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000615786c9) - (property "Reference" "#PWR0132" (id 0) (at 228.6 21.59 0) + (property "Reference" "#PWR0132" (at 229.87 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 228.6 13.97 0)) - (property "Footprint" "" (id 2) (at 228.6 17.78 0) + (property "Value" "+3V3" (at 229.87 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 229.87 17.78 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 228.6 17.78 0) + (property "Datasheet" "" (at 229.87 17.78 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid af0b7ede-9db5-4358-b16c-eb69570a85f6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0132") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 231.14 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 245.11 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000615a02a1) - (property "Reference" "C35" (id 0) (at 232.41 29.21 0) + (property "Reference" "C35" (at 246.38 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 232.41 31.75 0) + (property "Value" "2u2" (at 246.38 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 231.14 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 245.11 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 231.14 30.48 0) + (property "Datasheet" "~" (at 245.11 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 231.14 30.48 0) + (property "LCSC Part" "C23630" (at 245.11 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 578b99d6-2038-49e2-ba3f-2fe7246eede8)) (pin "2" (uuid e74ed292-90c2-433d-a1fa-f8bad9630c30)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C35") (unit 1) + ) + ) + ) ) - (symbol (lib_id "GW_PLD:EPM240T100") (at 120.65 105.41 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "GW_PLD:5M240ZT100") (at 120.65 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000619f5fd5) - (property "Reference" "U1" (id 0) (at 120.65 39.8526 0)) - (property "Value" "EPM240T100C5N" (id 1) (at 120.65 42.164 0)) - (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (id 2) (at 120.65 107.95 0) + (property "Reference" "U1" (at 120.65 104.14 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "EPM240T100C5N" (at 120.65 106.68 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TQFP-100_14x14mm_P0.5mm" (at 120.65 107.95 0) (effects (font (size 0.508 0.508)) hide) ) - (property "Datasheet" "https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/max2/max2_mii5v1.pdf" (id 3) (at 120.65 105.41 0) + (property "Datasheet" "https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/hb/max2/max2_mii5v1.pdf" (at 120.65 105.41 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C10041" (id 4) (at 120.65 105.41 0) + (property "LCSC Part" "C10041" (at 120.65 105.41 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid be2a08e0-4c4c-4f3d-89e7-66ba2148d9d7)) @@ -7149,880 +7981,2047 @@ (pin "97" (uuid b3a9743e-0986-4452-a05e-5d2e63b68298)) (pin "98" (uuid a4846049-f592-4cc8-a6ee-d1d1f746da0a)) (pin "99" (uuid a58daa40-507a-419c-b0b1-c7945fc38402)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U1") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 123.19 179.07 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 247.65 88.9 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000621959b2) - (property "Reference" "#PWR0147" (id 0) (at 123.19 182.88 0) + (property "Reference" "#PWR0147" (at 247.65 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 123.19 175.26 0)) - (property "Footprint" "" (id 2) (at 123.19 179.07 0) + (property "Value" "+3V3" (at 247.65 85.09 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 247.65 88.9 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 123.19 179.07 0) + (property "Datasheet" "" (at 247.65 88.9 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid f74d16ce-07bc-40a1-9379-7e7fa809b111)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0147") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 147.32 27.94 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:+3V3") (at 184.15 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000621b7313) - (property "Reference" "#PWR0111" (id 0) (at 147.32 31.75 0) + (property "Reference" "#PWR0111" (at 184.15 21.59 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "+3V3" (id 1) (at 147.32 24.13 0)) - (property "Footprint" "" (id 2) (at 147.32 27.94 0) + (property "Value" "+3V3" (at 184.15 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 184.15 17.78 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 147.32 27.94 0) + (property "Datasheet" "" (at 184.15 17.78 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 359328a1-2e45-4637-9116-e4956dfd9f84)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0111") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 177.8 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 191.77 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006232c221) - (property "Reference" "C14" (id 0) (at 179.07 29.21 0) + (property "Reference" "C14" (at 193.04 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 179.07 31.75 0) + (property "Value" "2u2" (at 193.04 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 177.8 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 191.77 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 177.8 30.48 0) + (property "Datasheet" "~" (at 191.77 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 177.8 30.48 0) + (property "LCSC Part" "C23630" (at 191.77 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 782d0516-a833-459e-a87d-9ace26f3c757)) (pin "2" (uuid 4b76b72a-cd52-4c96-bcd7-b7eb37e45a71)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C14") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 185.42 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 199.39 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006232c22f) - (property "Reference" "C15" (id 0) (at 186.69 29.21 0) + (property "Reference" "C15" (at 200.66 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 186.69 31.75 0) + (property "Value" "2u2" (at 200.66 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 185.42 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 199.39 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 185.42 30.48 0) + (property "Datasheet" "~" (at 199.39 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 185.42 30.48 0) + (property "LCSC Part" "C23630" (at 199.39 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 479c40f2-7703-48f4-8386-001f52989ce5)) (pin "2" (uuid ce235a51-cd72-49ff-8b9b-9bd3774fb51f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C15") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 238.76 43.18 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 260.35 43.18 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000623a6fcc) - (property "Reference" "#PWR0124" (id 0) (at 238.76 49.53 0) + (property "Reference" "#PWR0124" (at 260.35 49.53 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 238.76 46.99 0)) - (property "Footprint" "" (id 2) (at 238.76 43.18 0) + (property "Value" "GND" (at 260.35 46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 43.18 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 238.76 43.18 0) + (property "Datasheet" "" (at 260.35 43.18 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 007f8120-3a7a-4eff-b5ad-02945f6ed8e9)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0124") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 193.04 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 207.01 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bbf3) - (property "Reference" "C16" (id 0) (at 194.31 29.21 0) + (property "Reference" "C16" (at 208.28 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 194.31 31.75 0) + (property "Value" "2u2" (at 208.28 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 193.04 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 207.01 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 193.04 30.48 0) + (property "Datasheet" "~" (at 207.01 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 193.04 30.48 0) + (property "LCSC Part" "C23630" (at 207.01 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1bac41cd-1f61-46e1-8657-b5b2484c5645)) (pin "2" (uuid b2849f68-fe47-4de0-b285-7371cb755c79)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C16") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 208.28 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 252.73 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bc03) - (property "Reference" "C19" (id 0) (at 209.55 29.21 0) + (property "Reference" "C19" (at 254 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 209.55 31.75 0) + (property "Value" "2u2" (at 254 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 208.28 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 252.73 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 208.28 30.48 0) + (property "Datasheet" "~" (at 252.73 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 208.28 30.48 0) + (property "LCSC Part" "C23630" (at 252.73 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid a8b6928f-6637-43e5-ade6-ae9793370a2c)) (pin "2" (uuid 0481ae54-85dc-4c8f-ace8-8b00b4191c14)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C19") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 215.9 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 260.35 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bc0c) - (property "Reference" "C20" (id 0) (at 217.17 29.21 0) + (property "Reference" "C20" (at 261.62 19.05 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 217.17 31.75 0) + (property "Value" "2u2" (at 261.62 21.59 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 215.9 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 260.35 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 215.9 30.48 0) + (property "Datasheet" "~" (at 260.35 20.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 215.9 30.48 0) + (property "LCSC Part" "C23630" (at 260.35 20.32 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 958d1a77-c57a-4740-a2b1-92069d0be992)) (pin "2" (uuid d803dee4-2f70-4c55-be7c-684086c372ca)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C20") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 162.56 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 191.77 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bc14) - (property "Reference" "C21" (id 0) (at 163.83 39.37 0) + (property "Reference" "C21" (at 193.04 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 163.83 41.91 0) + (property "Value" "2u2" (at 193.04 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 162.56 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 191.77 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 162.56 40.64 0) + (property "Datasheet" "~" (at 191.77 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 162.56 40.64 0) + (property "LCSC Part" "C23630" (at 191.77 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid c8ebcf56-ab51-4286-a3b5-56505a1e9990)) (pin "2" (uuid 75b9c91b-0604-4669-a4db-6d5324694214)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C21") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 170.18 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 199.39 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bc26) - (property "Reference" "C22" (id 0) (at 171.45 39.37 0) + (property "Reference" "C22" (at 200.66 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 171.45 41.91 0) + (property "Value" "2u2" (at 200.66 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 170.18 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 199.39 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 170.18 40.64 0) + (property "Datasheet" "~" (at 199.39 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 170.18 40.64 0) + (property "LCSC Part" "C23630" (at 199.39 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ac5e020e-ce9e-470e-9c13-077e1d3a37a1)) (pin "2" (uuid 6d4e8352-b3b3-4e7f-9252-867f20e93f0a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C22") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 177.8 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 214.63 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bc34) - (property "Reference" "C23" (id 0) (at 179.07 39.37 0) + (property "Reference" "C23" (at 215.9 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 179.07 41.91 0) + (property "Value" "2u2" (at 215.9 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 177.8 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 214.63 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 177.8 40.64 0) + (property "Datasheet" "~" (at 214.63 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 177.8 40.64 0) + (property "LCSC Part" "C23630" (at 214.63 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 69d06453-87b1-47cc-a62c-a599f560277b)) (pin "2" (uuid c3aa655f-9a6d-45a1-bed4-a713137df7ca)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C23") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 200.66 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 214.63 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-00006288bc40) - (property "Reference" "C18" (id 0) (at 201.93 29.21 0) + (property "Reference" "C18" (at 215.9 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 201.93 31.75 0) + (property "Value" "2u2" (at 215.9 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 200.66 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 214.63 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 200.66 30.48 0) + (property "Datasheet" "~" (at 214.63 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 200.66 30.48 0) + (property "LCSC Part" "C23630" (at 214.63 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 68a99320-95a1-446a-a935-f7e0ec32cfc3)) (pin "2" (uuid 5215e55b-0b9f-4775-bad9-a5a13a7b1b56)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C18") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 185.42 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 222.25 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb40) - (property "Reference" "C24" (id 0) (at 186.69 39.37 0) + (property "Reference" "C24" (at 223.52 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 186.69 41.91 0) + (property "Value" "2u2" (at 223.52 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 185.42 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 222.25 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 185.42 40.64 0) + (property "Datasheet" "~" (at 222.25 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 185.42 40.64 0) + (property "LCSC Part" "C23630" (at 222.25 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 9dc37e5b-0117-4b30-bbb6-13710814b0cf)) (pin "2" (uuid 31a6abab-2a1c-4858-a076-141d460f469b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C24") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 193.04 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 222.25 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb46) - (property "Reference" "C25" (id 0) (at 194.31 39.37 0) + (property "Reference" "C25" (at 223.52 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 194.31 41.91 0) + (property "Value" "2u2" (at 223.52 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 193.04 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 222.25 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 193.04 40.64 0) + (property "Datasheet" "~" (at 222.25 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 193.04 40.64 0) + (property "LCSC Part" "C23630" (at 222.25 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 0aa11e74-55a0-43d9-8aee-547d1e70b18e)) (pin "2" (uuid 9370a49a-7963-4b2a-af2c-c2cd9c12366b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C25") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 208.28 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 237.49 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb50) - (property "Reference" "C27" (id 0) (at 209.55 39.37 0) + (property "Reference" "C27" (at 238.76 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 209.55 41.91 0) + (property "Value" "2u2" (at 238.76 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 208.28 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 237.49 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 208.28 40.64 0) + (property "Datasheet" "~" (at 237.49 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 208.28 40.64 0) + (property "LCSC Part" "C23630" (at 237.49 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d8ff2e89-0199-4be5-869e-87310c440162)) (pin "2" (uuid 9b0cff53-291a-4702-b8dc-44bb01e61293)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C27") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 215.9 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 245.11 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb59) - (property "Reference" "C28" (id 0) (at 217.17 39.37 0) + (property "Reference" "C28" (at 246.38 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 217.17 41.91 0) + (property "Value" "2u2" (at 246.38 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 215.9 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 245.11 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 215.9 40.64 0) + (property "Datasheet" "~" (at 245.11 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 215.9 40.64 0) + (property "LCSC Part" "C23630" (at 245.11 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 035bdc84-2249-4a94-8451-5aeed9b42bb8)) (pin "2" (uuid 2fb1fa3f-67b4-4443-bb42-00e0b50000f4)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C28") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 147.32 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 184.15 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb61) - (property "Reference" "C29" (id 0) (at 148.59 39.37 0) + (property "Reference" "C29" (at 185.42 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 148.59 41.91 0) + (property "Value" "2u2" (at 185.42 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 147.32 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 184.15 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 147.32 40.64 0) + (property "Datasheet" "~" (at 184.15 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 147.32 40.64 0) + (property "LCSC Part" "C23630" (at 184.15 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 54d447ed-ecb0-4641-8563-09c9efa7df49)) (pin "2" (uuid 70232c01-7fc0-4126-82cc-0623442915e7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C29") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:+3V3") (at 147.32 38.1 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-0000628afb67) - (property "Reference" "#PWR0165" (id 0) (at 147.32 41.91 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "+3V3" (id 1) (at 147.32 34.29 0)) - (property "Footprint" "" (id 2) (at 147.32 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 147.32 38.1 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 04fe47ae-4766-471c-8e3b-94a64bc81510)) - ) - - (symbol (lib_id "Device:C_Small") (at 154.94 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 184.15 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb73) - (property "Reference" "C30" (id 0) (at 156.21 39.37 0) + (property "Reference" "C30" (at 185.42 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 156.21 41.91 0) + (property "Value" "2u2" (at 185.42 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 154.94 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 184.15 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 154.94 40.64 0) + (property "Datasheet" "~" (at 184.15 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 154.94 40.64 0) + (property "LCSC Part" "C23630" (at 184.15 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 848b9538-2d58-4033-a17d-c5449fb2184a)) (pin "2" (uuid 8ebbd1d9-0c96-49ea-aefd-e5785f478386)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C30") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 147.32 30.48 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 229.87 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb81) - (property "Reference" "C31" (id 0) (at 148.59 29.21 0) + (property "Reference" "C31" (at 231.14 29.21 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 148.59 31.75 0) + (property "Value" "2u2" (at 231.14 31.75 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 147.32 30.48 0) + (property "Footprint" "stdpads:C_0603" (at 229.87 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 147.32 30.48 0) + (property "Datasheet" "~" (at 229.87 30.48 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 147.32 30.48 0) + (property "LCSC Part" "C23630" (at 229.87 30.48 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 44671e4b-c3f2-40ce-9f5f-ae56c7ceee2e)) (pin "2" (uuid d9ffaf3d-3fc5-4a41-a15b-35f838708be6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C31") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Device:C_Small") (at 200.66 40.64 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Device:C_Small") (at 229.87 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-0000628afb8d) - (property "Reference" "C26" (id 0) (at 201.93 39.37 0) + (property "Reference" "C26" (at 231.14 39.37 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "2u2" (id 1) (at 201.93 41.91 0) + (property "Value" "2u2" (at 231.14 41.91 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "stdpads:C_0603" (id 2) (at 200.66 40.64 0) + (property "Footprint" "stdpads:C_0603" (at 229.87 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 200.66 40.64 0) + (property "Datasheet" "~" (at 229.87 40.64 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C23630" (id 4) (at 200.66 40.64 0) + (property "LCSC Part" "C23630" (at 229.87 40.64 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 977da54a-ce5b-4036-bf2b-f5258df507a9)) (pin "2" (uuid 3573852b-1da4-4c92-9c09-5e2b6ebaa16f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C26") (unit 1) + ) + ) + ) ) - (symbol (lib_id "Switch:SW_DIP_x02") (at 111.76 194.31 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "Switch:SW_DIP_x02") (at 29.21 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000062d6aa13) - (property "Reference" "SW1" (id 0) (at 111.76 187.96 0)) - (property "Value" "FW" (id 1) (at 111.76 198.12 0)) - (property "Footprint" "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" (id 2) (at 111.76 194.31 0) + (property "Reference" "SW1" (at 29.21 139.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "FW" (at 29.21 149.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm" (at 29.21 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 111.76 194.31 0) + (property "Datasheet" "~" (at 29.21 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "LCSC Part" "C319052" (id 4) (at 111.76 194.31 0) + (property "LCSC Part" "C319052" (at 29.21 146.05 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 32d101e9-aea6-4b56-91b3-4beaad4a7921)) (pin "2" (uuid 63a86c0b-142c-4744-8de1-6e3cde1583de)) (pin "3" (uuid 703150a7-37b9-4b76-9d37-11ae64256376)) (pin "4" (uuid 828b8d03-83cc-420b-b714-b293db456120)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "SW1") (unit 1) + ) + ) + ) ) - (symbol (lib_id "power:GND") (at 104.14 194.31 0) (unit 1) - (in_bom yes) (on_board yes) + (symbol (lib_id "power:GND") (at 21.59 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (uuid 00000000-0000-0000-0000-000062d6c729) - (property "Reference" "#PWR0146" (id 0) (at 104.14 200.66 0) + (property "Reference" "#PWR0146" (at 21.59 152.4 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 104.14 198.12 0)) - (property "Footprint" "" (id 2) (at 104.14 194.31 0) + (property "Value" "GND" (at 21.59 149.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 21.59 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 104.14 194.31 0) + (property "Datasheet" "" (at 21.59 146.05 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5ab73428-d0c8-4ba7-be53-e4ec8278507c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR0146") (unit 1) + ) + ) + ) ) - (sheet (at 19.05 16.51) (size 12.7 3.81) (fields_autoplaced) - (stroke (width 0) (type solid) (color 0 0 0 0)) - (fill (color 0 0 0 0.0000)) - (uuid 00000000-0000-0000-0000-00005d4d21a0) - (property "Sheet name" "Docs" (id 0) (at 19.05 15.7984 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) + (symbol (lib_id "power:+3V3") (at 175.26 146.05 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 01130334-8129-4ea5-82d1-3625e3df05a6) + (property "Reference" "#PWR03" (at 175.26 149.86 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Sheet file" "Docs.kicad_sch" (id 1) (at 19.05 20.9046 0) - (effects (font (size 1.27 1.27)) (justify left top)) + (property "Value" "+3V3" (at 175.26 142.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 175.26 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 175.26 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c9c7eacf-05bb-4660-9d85-8f05c4ed943e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR03") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 76.2 168.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 050df0b5-d218-444d-8ebc-12c01a62dcd6) + (property "Reference" "#PWR020" (at 76.2 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 76.2 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 76.2 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 76.2 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dbf598e5-a058-4ebd-9d6c-f346257f8967)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR020") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 21.59 181.61 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0543afe9-a146-46d0-a0b5-b6d98e2c947e) + (property "Reference" "R4" (at 21.59 177.8 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 21.59 180.34 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:R_0603" (at 21.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 21.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 21.59 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9700dbc0-6476-41ae-8a6b-f18db380661b)) + (pin "2" (uuid f443731b-aae6-4991-9e4c-7b92cc2a5bff)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 176.53 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 099d6d7f-a8e0-48f3-913b-78018c1dd773) + (property "Reference" "C42" (at 177.8 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 177.8 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 176.53 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 176.53 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 176.53 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 11f94edf-4b49-4c78-bd72-5e79fa37cfe8)) + (pin "2" (uuid 14078c8f-4afd-4e50-b01d-17e070644a9a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C42") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 119.38 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0d2c9175-4f46-4599-953c-235c9655beab) + (property "Reference" "C37" (at 243.84 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 243.84 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 245.11 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ca23bb1-7e46-48ad-8d68-a0d5eff53b95)) + (pin "2" (uuid 05ef0366-ee10-46a8-9baf-c47ffca9a538)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C37") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 224.79 158.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 107189a5-7228-421d-a045-83b47ccfcee2) + (property "Reference" "C9" (at 227.33 157.48 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22p" (at 227.33 160.02 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 224.79 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 224.79 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1653" (at 224.79 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d693add1-72ae-429a-8f70-062ede48ee4d)) + (pin "2" (uuid 6143b2f6-a53b-4c3c-b2b7-f27b5cecab1d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 43.18 176.53 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 16097157-cf39-4e60-b9c2-b01dba5f05da) + (property "Reference" "R8" (at 44.45 175.26 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 44.45 177.8 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 43.18 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 43.18 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 43.18 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d4c9f4ef-38a7-476f-b4a6-712907fced0e)) + (pin "2" (uuid 8f6e0c67-dd97-4743-81fd-51736628a7c7)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Interface_USB:CH340G") (at 191.77 146.05 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 17749572-f7ca-40dc-9dcf-fe6e4c69f426) + (property "Reference" "U7" (at 196.85 162.56 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "CH340G" (at 196.85 160.02 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-16_3.9mm" (at 190.5 160.02 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "" (at 200.66 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C14267" (at 191.77 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d2e3c09d-3cf7-41ec-85c0-0dfe5ddb62fc)) + (pin "10" (uuid 1c665354-7cf1-4758-b134-fcfb28bc8267)) + (pin "11" (uuid 67280eff-4c9c-48a2-a3a7-8662ee16fc7e)) + (pin "12" (uuid 1cb43e1f-ea10-4742-9c84-9ca8e1b6e557)) + (pin "13" (uuid c668f754-430f-4761-9f0a-ed4fced22a2f)) + (pin "14" (uuid 1bcd078f-eb88-4d52-99bf-327c00d6e762)) + (pin "15" (uuid 8e74758c-f0bb-49bb-a6cc-0b2ef70a2f57)) + (pin "16" (uuid 91a5a572-4dd3-47ce-9891-a0a39c142565)) + (pin "2" (uuid 7205724a-c91a-468b-a312-597bb23df9fe)) + (pin "3" (uuid a58d181c-fadf-4882-82f5-7f3ff8375077)) + (pin "4" (uuid 2e08c833-6a6c-420e-a4c8-0cf8cd10c402)) + (pin "5" (uuid c9b0bd55-7bb9-472a-9a19-844b400c1b25)) + (pin "6" (uuid 40f5d3e3-29cf-44e2-8429-4dec2989dc6e)) + (pin "7" (uuid a68e0dbd-42fb-43ea-8f51-6b22257438a1)) + (pin "8" (uuid 87e4c798-adcc-442d-8b75-79e20ccecf43)) + (pin "9" (uuid d6de21b9-b2fd-4391-bbc9-fc1519dfdb09)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 35.56 176.53 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1aa525ce-b203-4d1d-9dda-67b9ac46b9de) + (property "Reference" "R7" (at 36.83 175.26 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 36.83 177.8 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 35.56 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 35.56 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 35.56 176.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a2720ef-ba8b-42b4-b0a7-e17a647e916a)) + (pin "2" (uuid 2b715d66-a850-45bc-9244-a35eeb997580)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:Crystal_GND24_Small") (at 207.01 153.67 90) (mirror x) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1ff27991-90b0-41b9-8444-a05c908e6330) + (property "Reference" "Y1" (at 210.82 154.94 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "12M" (at 210.82 152.4 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" (at 207.01 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 207.01 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C9002" (at 207.01 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 251876f4-06fa-45b9-b3e1-5f2b2ba9edda)) + (pin "2" (uuid 7db94b84-f3f6-43e3-950d-0bb3496bb70e)) + (pin "3" (uuid e90c0ef9-5922-4507-9ba4-d64c5361c206)) + (pin "4" (uuid a161f5d7-e9c0-4876-a8ac-868ec6b92aa1)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "Y1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 166.37 138.43 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 2269598a-c4ed-4307-82fd-3b31a482058d) + (property "Reference" "C41" (at 163.83 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22p" (at 163.83 139.7 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 166.37 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 166.37 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1653" (at 166.37 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a9cdc55-13bc-4a0f-bfa9-f50af345343f)) + (pin "2" (uuid c688f92f-d847-48ae-a5ca-ba33603607c8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C41") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 179.07 133.35 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 259cab5f-ec22-4bd0-96a0-9e52ffb49f81) + (property "Reference" "#PWR04" (at 179.07 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 179.07 129.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 179.07 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 179.07 133.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 807696e2-6937-43e6-b055-a8a2413325bd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR04") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G125GW") (at 66.04 171.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 34eb1b62-d430-4cf8-a467-c965eda7492d) + (property "Reference" "U11" (at 67.31 171.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G125GW" (at 66.04 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 66.04 179.07 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 66.04 176.53 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "LCSC Part" "C12519" (at 66.04 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f842e77d-3f58-4416-8411-78aaa09f2494)) + (pin "2" (uuid 51e1fbf7-692c-43b2-a4b1-5fa2e87b9c27)) + (pin "3" (uuid 75b4c67b-0cef-40ec-a44d-452707ea7624)) + (pin "4" (uuid ccb769e6-1a8d-46ef-a72a-310fa403c5f1)) + (pin "5" (uuid 59afdfe6-1244-42b9-b6f8-a29e518e68d0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 237.49 161.29 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3f89a76d-8174-4c5c-bc9a-7a1c83390b85) + (property "Reference" "#PWR08" (at 237.49 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 237.49 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 237.49 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 237.49 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7441e0e9-6e4d-45a4-b56e-794009ef3cbc)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR08") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 252.73 119.38 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 45d209ce-ab9e-4001-943d-62bcde7d4e77) + (property "Reference" "C38" (at 251.46 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 251.46 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 252.73 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a5093772-3dd8-4e3f-9a42-ec95bec7685a)) + (pin "2" (uuid ff38e3a4-95bd-4610-83a0-a44104c3d667)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C38") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Linear:AP2127K-1.8") (at 233.68 115.57 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4878f9d5-72d8-4fbc-937f-0c70c5c8c93a) + (property "Reference" "U10" (at 233.68 115.57 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "AP2127K-1.8TRG1" (at 233.68 110.49 0) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:SOT-23-5" (at 233.68 107.315 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 233.68 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C151375" (at 233.68 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 233.68 115.57 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1140a5ee-a437-435d-b7a1-48ef1bd010c2)) + (pin "2" (uuid 10f1f6cb-fdaa-4f27-8dee-e1a737a2e8cc)) + (pin "3" (uuid 08c5ac7a-0699-4b7e-b15e-a3cd3202f2b8)) + (pin "4" (uuid 998c58d4-8505-4df5-9524-02c0fa2532e7)) + (pin "5" (uuid 75cb9fed-a21c-414b-b617-df0be2b5d177)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "U10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V8") (at 245.11 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 49ac167e-845d-4f60-a8e4-0de72e02fe77) + (property "Reference" "#PWR013" (at 245.11 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 245.11 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 245.11 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 245.11 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dd4d7d6a-d11b-46ea-936d-b100eee32285)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR013") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 43.18 160.02 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4c965377-ef93-41aa-a4f7-6f336ae07d77) + (property "Reference" "R9" (at 43.18 156.21 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 43.18 158.75 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 43.18 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 43.18 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 43.18 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 35cf9a41-449d-4ea1-a797-f9d8b2243922)) + (pin "2" (uuid 7aea0866-ecae-4c19-9549-692c7ebb5555)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 191.77 161.29 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4f743f25-6e57-4ccb-9a0a-0b05e959d9d7) + (property "Reference" "#PWR06" (at 191.77 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 191.77 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 191.77 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 191.77 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e7e61a30-4bc5-4e4b-8362-d4a8368595ef)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR06") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V8") (at 110.49 44.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 520e42e8-f375-481e-9a2d-50a6de95686d) + (property "Reference" "#PWR09" (at 110.49 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 110.49 40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 110.49 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 110.49 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8b261aab-e8ac-410b-8ba0-444aa4a3c078)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR09") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 139.7 195.58 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 56cf74c7-8e27-41bd-94f2-0d0c9e3b7cfc) + (property "Reference" "R3" (at 139.7 191.77 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 139.7 194.31 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 139.7 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 139.7 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 139.7 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 451a47c6-2728-46d9-a365-d2600ea38ce7)) + (pin "2" (uuid b4455d0f-8b5c-4b6c-90fd-f92634ca8165)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 245.11 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5869edf6-a470-4200-8d87-6959f7d6512f) + (property "Reference" "C6" (at 246.38 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 246.38 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 245.11 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 245.11 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 245.11 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 79f3eb5e-3bfe-4648-a110-e9b4a765cd7c)) + (pin "2" (uuid d2d30e29-3b41-4f9c-a46d-3942c2342920)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 176.53 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 60a98ff6-b551-4d6d-a2e7-8d3bd96d55b7) + (property "Reference" "#PWR021" (at 176.53 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 176.53 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 176.53 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 176.53 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f83e5d14-12c9-4331-8042-378c1f179ac3)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR021") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 80.01 156.21 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 676cc247-875b-4936-9ac3-4936c933ca37) + (property "Reference" "R10" (at 80.01 152.4 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "22" (at 80.01 154.94 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 80.01 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 80.01 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23345" (at 80.01 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6cdee9a5-e329-4fc0-af31-049cb9ab5eac)) + (pin "2" (uuid 5aaeb490-9d38-426e-b5b1-5998f0ce9348)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 139.7 187.96 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6b220e06-24ae-4c76-ba7a-7970bc4633d4) + (property "Reference" "R2" (at 139.7 184.15 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 139.7 186.69 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 139.7 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 139.7 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 139.7 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 68fd61f6-ad03-4559-84d6-4a5faa0ea7b3)) + (pin "2" (uuid 70861692-2e06-4738-9733-cb3c0f2d93a8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 55.88 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 79093868-86ac-41bb-8f50-477321fc9040) + (property "Reference" "#PWR019" (at 55.88 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 55.88 177.8 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 55.88 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 55.88 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7187025b-bb93-4e81-8383-7fe3adee3865)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR019") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 80.01 162.56 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7f02bd1d-3ceb-4b8d-a3ef-2a0349fbbc6b) + (property "Reference" "R11" (at 80.01 158.75 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "DNP" (at 80.01 161.29 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 80.01 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 80.01 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "" (at 80.01 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid cf1c705e-8e10-4a33-ab40-e19a348379d1)) + (pin "2" (uuid e85e9a56-c213-4e1f-8c2e-3ce7c5cb8bdd)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 204.47 138.43 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7fa4204d-7282-4bcc-b29a-6710d4a2aca3) + (property "Reference" "#PWR07" (at 204.47 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 204.47 142.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 204.47 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 204.47 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 18688b31-4297-46c0-8068-f0b3208b878f)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR07") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 260.35 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 835c2a22-7ee3-4aaa-89b8-c845f8ab0f2e) + (property "Reference" "C40" (at 261.62 39.37 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 261.62 41.91 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 260.35 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 260.35 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 260.35 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 490fc4d8-ddd6-474f-a2f4-263ac11e5a03)) + (pin "2" (uuid aa465c7d-7d70-464f-83d7-e063ee182202)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C40") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 260.35 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 87ce543e-a5bd-4663-b123-82da87d6513f) + (property "Reference" "C39" (at 261.62 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 261.62 31.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 260.35 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 260.35 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 260.35 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 872b9dc4-ce05-41fd-bf51-5850bf656d49)) + (pin "2" (uuid 380af14e-bcb6-48d2-930f-bce5baa51993)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C39") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 175.26 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8ab126c4-1665-4b5e-9736-67bc5548b3c8) + (property "Reference" "#PWR017" (at 175.26 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 175.26 154.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 175.26 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 175.26 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b0fef3d-fa77-4f7e-9c55-9388237d4b41)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR017") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 166.37 140.97 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8f939418-f6df-4fff-8034-69b1f5d6b1d9) + (property "Reference" "#PWR016" (at 166.37 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 166.37 144.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 166.37 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 166.37 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e441e181-1d99-42b5-92b3-2916ed44ce3a)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR016") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 191.77 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a165851c-5f45-4e02-a1c2-2c8c8e05af54) + (property "Reference" "#PWR05" (at 191.77 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 191.77 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 191.77 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 191.77 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 47387853-f076-4ac9-8ead-19aa9545c8ac)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR05") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 26.67 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a275a360-467e-4deb-82a2-89a075db555e) + (property "Reference" "#PWR01" (at 26.67 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 26.67 170.18 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 26.67 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 931bf55c-494f-41b2-995f-c45b8b222d5d)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR01") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 20.32 123.19 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a2e84b69-057c-42aa-8c36-49bd71c2b60f) + (property "Reference" "R12" (at 20.32 119.38 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 20.32 121.92 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 20.32 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 20.32 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 20.32 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65d3f06f-0074-4496-87a9-36ebd9f72c6c)) + (pin "2" (uuid b4f41185-d223-443d-9dc2-ac94e99f9ca0)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V8") (at 252.73 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a497a97a-eaf4-43c3-9f19-f3bb3a9c1318) + (property "Reference" "#PWR010" (at 252.73 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V8" (at 252.73 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 252.73 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d7b2bf4f-66fe-4023-b456-8ec167f39cde)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR010") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 222.25 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ae400110-3009-40d6-a30f-0d7cab00d72f) + (property "Reference" "#PWR012" (at 222.25 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 222.25 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 222.25 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 222.25 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2561883d-a4ad-4101-93a1-ba1bbc1e118e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR012") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 80.01 173.99 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b1655eaa-2a10-4ed9-badb-1b7a4eeb6c42) + (property "Reference" "R13" (at 80.01 170.18 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 80.01 172.72 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 80.01 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 80.01 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23345" (at 80.01 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eafdfa22-3476-4d6a-b73f-7fd867132237)) + (pin "2" (uuid b16fffed-c6c2-4864-a0f2-1008577933a5)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 260.35 22.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b45a8868-874e-4849-87f9-a2930af0d7a0) + (property "Reference" "#PWR02" (at 260.35 29.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 260.35 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 260.35 22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43cb8da3-5e32-442f-a5af-ae870786e945)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR02") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 214.63 158.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b8696251-28f1-4aee-b72c-e15a5fc265f4) + (property "Reference" "C8" (at 217.17 157.48 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "22p" (at 217.17 160.02 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 214.63 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 214.63 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C1653" (at 214.63 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 28132225-ca7c-42a6-adcd-47c555859193)) + (pin "2" (uuid e5b81b08-d4f6-41e2-b1aa-e4c3ef073245)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 184.15 38.1 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid bcf34468-f0aa-4d18-9d4f-e15e2bb7479e) + (property "Reference" "#PWR011" (at 184.15 41.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 184.15 34.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 184.15 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 184.15 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4e566ca3-4b77-4ef8-93d4-5da00e309f5c)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR011") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 21.59 173.99 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c3c97bc0-a381-4992-9201-866bebb05148) + (property "Reference" "R6" (at 21.59 170.18 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "10k" (at 21.59 172.72 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:R_0603" (at 21.59 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 21.59 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C25804" (at 21.59 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0dbd61c1-7fea-4985-a2ad-6b6667bd0312)) + (pin "2" (uuid 71f81a46-bd1e-41ca-a5ec-aa2764061893)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 252.73 123.19 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c77819bb-2c6d-4310-9d9c-24ea6363906c) + (property "Reference" "#PWR015" (at 252.73 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 252.73 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 252.73 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 252.73 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65d58691-4129-4619-8b14-57649442ac90)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR015") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 260.35 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid cc763959-8de2-499e-a9ed-d209ebf8d4c0) + (property "Reference" "#PWR014" (at 260.35 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 260.35 109.22 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 260.35 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 260.35 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6205f941-029d-4dd3-be02-19b265472ec8)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR014") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 222.25 119.38 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d3daf6ee-cf5e-4dae-bf62-dcb0689daf50) + (property "Reference" "C36" (at 223.52 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 223.52 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C15850" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 222.25 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f3007add-f775-4af7-9e2d-405d1d50e04b)) + (pin "2" (uuid 94b53aa5-b73d-4a22-8b67-b687d421b21b)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C36") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 184.15 20.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid dcc93b90-4b44-4597-aa52-7b6bd50839c5) + (property "Reference" "C17" (at 185.42 19.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 185.42 21.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 184.15 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 184.15 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23630" (at 184.15 20.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3504fe88-e7e5-45a4-9d6c-15057ccb8a41)) + (pin "2" (uuid 4e4d02fa-453d-4966-b116-cac36c7200e9)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "C17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Connector:USB_B_Micro") (at 234.95 143.51 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ef9de558-c2d0-40a8-a30e-1e763a5812b8) + (property "Reference" "J3" (at 233.5022 131.6482 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "microUSB" (at 233.5022 133.9596 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:USB_Micro-B_Amphenol_10118192-0001" (at 231.14 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 231.14 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C132564" (at 234.95 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 86c3b2f5-07cf-4194-ab6b-d6073dce35a2)) + (pin "2" (uuid 7d486948-9da0-4b2c-b7b3-19d2793b4ae4)) + (pin "3" (uuid 57c3a425-b94d-4335-afa7-9bdf0465f1a6)) + (pin "4" (uuid 9d5d8c6e-b145-484e-9915-50b311bfa5c6)) + (pin "5" (uuid 77e06e21-c615-4962-a613-c97fa52be894)) + (pin "6" (uuid 1ef805fd-cfdf-428c-a904-e20ef3351d97)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "J3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 255.27 113.03 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fbae1f80-08fe-4aeb-aa42-3ad080c13081) + (property "Reference" "R1" (at 255.27 111.76 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Value" "0" (at 255.27 114.3 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:R_0805" (at 255.27 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 255.27 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C17477" (at 255.27 113.03 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Notes" "" (at 255.27 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e5b03d40-8a32-4074-9c28-b6d5cacf3b8f)) + (pin "2" (uuid 9e13a97b-cfd1-4c91-a97e-5e3c0f944e3e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 168.91 135.89 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fd9245fc-9285-47a5-bf4d-53aa8c9f0755) + (property "Reference" "R5" (at 168.91 132.08 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "47" (at 168.91 134.62 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "stdpads:R_0603" (at 168.91 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 168.91 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "LCSC Part" "C23182" (at 168.91 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c6ddb451-b300-4a7e-b97f-4e1acf45a630)) + (pin "2" (uuid be2959e0-0102-4434-ac3d-b97b6d220d0e)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 184.15 27.94 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fdf76e7c-f750-4aac-a7d9-285eda9644bf) + (property "Reference" "#PWR018" (at 184.15 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 184.15 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 184.15 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 184.15 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 31e86463-8932-4de0-ae94-2d690dcdfce6)) + (instances + (project "GR8RAM" + (path "/a29f8df0-3fae-4edf-8d9c-bd5a875b13e3" + (reference "#PWR018") (unit 1) + ) + ) ) ) (sheet_instances (path "/" (page "1")) - (path "/00000000-0000-0000-0000-00005d4d21a0" (page "2")) - ) - - (symbol_instances - (path "/00000000-0000-0000-0000-00005cfefece" - (reference "#PWR0101") (unit 1) (value "-5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005cfeec44" - (reference "#PWR0102") (unit 1) (value "-12V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f45d102" - (reference "#PWR0103") (unit 1) (value "+12V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f71138f" - (reference "#PWR0104") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f518eba" - (reference "#PWR0105") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f3fed6f" - (reference "#PWR0106") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005fe804c8" - (reference "#PWR0107") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005d11fea8" - (reference "#PWR0108") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005ff3d1f3" - (reference "#PWR0109") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060ab8bee" - (reference "#PWR0110") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000621b7313" - (reference "#PWR0111") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005d12d2df" - (reference "#PWR0112") (unit 1) (value "-5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005fea8027" - (reference "#PWR0113") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f3fe8f9" - (reference "#PWR0114") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005d908483" - (reference "#PWR0115") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f3fe31a" - (reference "#PWR0116") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f3fdcf2" - (reference "#PWR0117") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f7523bc" - (reference "#PWR0118") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f7669d3" - (reference "#PWR0119") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000607fa428" - (reference "#PWR0120") (unit 1) (value "+5V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f7c78ed" - (reference "#PWR0121") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f83aa58" - (reference "#PWR0122") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005fd6f855" - (reference "#PWR0123") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000623a6fcc" - (reference "#PWR0124") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f711604" - (reference "#PWR0125") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005fd721d9" - (reference "#PWR0126") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005e86fe3d" - (reference "#PWR0127") (unit 1) (value "-12V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005e875a47" - (reference "#PWR0128") (unit 1) (value "+12V") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f712209" - (reference "#PWR0129") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f45d117" - (reference "#PWR0130") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060aef493" - (reference "#PWR0131") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000615786c9" - (reference "#PWR0132") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000607ad4e1" - (reference "#PWR0133") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006070cf46" - (reference "#PWR0134") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000608796ed" - (reference "#PWR0135") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060049c56" - (reference "#PWR0136") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006101622a" - (reference "#PWR0137") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000611d4157" - (reference "#PWR0138") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000612a2608" - (reference "#PWR0139") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-000060783041" - (reference "#PWR0140") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006006b98d" - (reference "#PWR0141") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000600a01e5" - (reference "#PWR0142") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000600a3591" - (reference "#PWR0143") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000609b96f7" - (reference "#PWR0144") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000608bc858" - (reference "#PWR0145") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-000062d6c729" - (reference "#PWR0146") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000621959b2" - (reference "#PWR0147") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f3e79b8" - (reference "#PWR0151") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f400f2c" - (reference "#PWR0152") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000607adade" - (reference "#PWR0161") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006033a776" - (reference "#PWR0162") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000628afb67" - (reference "#PWR0165") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000602499b9" - (reference "#PWR0181") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000602499b3" - (reference "#PWR0182") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00006078304a" - (reference "#PWR0183") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000607e1082" - (reference "#PWR0184") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000607e1090" - (reference "#PWR0185") (unit 1) (value "GND") (footprint "") - ) - (path "/00000000-0000-0000-0000-0000614500eb" - (reference "#PWR0188") (unit 1) (value "+3V3") (footprint "") - ) - (path "/00000000-0000-0000-0000-00005f45d107" - (reference "C1") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-00005e8640ba" - (reference "C2") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-00005d12ab6d" - (reference "C3") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-00005e8640a9" - (reference "C4") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-000060865cde" - (reference "C5") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00005f45d109" - (reference "C7") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-00005f45d0f9" - (reference "C10") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-00005cc13929" - (reference "C11") (unit 1) (value "10u") (footprint "stdpads:C_0805") - ) - (path "/00000000-0000-0000-0000-00005e680811" - (reference "C12") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00005f45d114" - (reference "C13") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006232c221" - (reference "C14") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006232c22f" - (reference "C15") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bbf3" - (reference "C16") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bc40" - (reference "C18") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bc03" - (reference "C19") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bc0c" - (reference "C20") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bc14" - (reference "C21") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bc26" - (reference "C22") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00006288bc34" - (reference "C23") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb40" - (reference "C24") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb46" - (reference "C25") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb8d" - (reference "C26") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb50" - (reference "C27") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb59" - (reference "C28") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb61" - (reference "C29") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb73" - (reference "C30") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000628afb81" - (reference "C31") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000612ba8a4" - (reference "C32") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000612ba8af" - (reference "C33") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000612ba8bd" - (reference "C34") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000615a02a1" - (reference "C35") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000612b7ae0" - (reference "C42") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000612b7ae7" - (reference "C43") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-0000612b7aee" - (reference "C44") (unit 1) (value "2u2") (footprint "stdpads:C_0603") - ) - (path "/00000000-0000-0000-0000-00005f45d10c" - (reference "FID1") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") - ) - (path "/00000000-0000-0000-0000-00005d321d2b" - (reference "FID2") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") - ) - (path "/00000000-0000-0000-0000-00005d321da8" - (reference "FID3") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") - ) - (path "/00000000-0000-0000-0000-00005f45d10f" - (reference "FID4") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") - ) - (path "/00000000-0000-0000-0000-00005f45d110" - (reference "FID5") (unit 1) (value "Fiducial") (footprint "stdpads:Fiducial") - ) - (path "/00000000-0000-0000-0000-00005f45d121" - (reference "H1") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.1mm_PTH") - ) - (path "/00000000-0000-0000-0000-00005f45d122" - (reference "H2") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH") - ) - (path "/00000000-0000-0000-0000-00005f45d123" - (reference "H3") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH") - ) - (path "/00000000-0000-0000-0000-00005f45d0fd" - (reference "H4") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH") - ) - (path "/00000000-0000-0000-0000-00005f45d0fe" - (reference "H5") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH") - ) - (path "/00000000-0000-0000-0000-00005ff3d1f9" - (reference "H6") (unit 1) (value " ") (footprint "stdpads:PasteHole_1.152mm_NPTH") - ) - (path "/00000000-0000-0000-0000-00005cfc517d" - (reference "J1") (unit 1) (value "AppleIIBus") (footprint "stdpads:AppleIIBus_Edge") - ) - (path "/00000000-0000-0000-0000-00005e4199b1" - (reference "J2") (unit 1) (value "JTAG") (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical") - ) - (path "/00000000-0000-0000-0000-0000607e109b" - (reference "J4") (unit 1) (value "JTAG") (footprint "Connector_IDC:IDC-Header_2x05_P2.54mm_Vertical") - ) - (path "/00000000-0000-0000-0000-0000607c0f47" - (reference "J5") (unit 1) (value "JTAG") (footprint "Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical") - ) - (path "/00000000-0000-0000-0000-0000602499ad" - (reference "R22") (unit 1) (value "33") (footprint "stdpads:R_0603") - ) - (path "/00000000-0000-0000-0000-0000607acb3c" - (reference "R28") (unit 1) (value "22k") (footprint "stdpads:R_0603") - ) - (path "/00000000-0000-0000-0000-0000607ad37b" - (reference "R29") (unit 1) (value "22k") (footprint "stdpads:R_0603") - ) - (path "/00000000-0000-0000-0000-000060bd9796" - (reference "R31") (unit 1) (value "33") (footprint "stdpads:R_0603") - ) - (path "/00000000-0000-0000-0000-00006006d01e" - (reference "RN1") (unit 1) (value "4x33") (footprint "stdpads:R4_0402") - ) - (path "/00000000-0000-0000-0000-0000602f02a7" - (reference "RN2") (unit 1) (value "4x33") (footprint "stdpads:R4_0402") - ) - (path "/00000000-0000-0000-0000-0000602f6b86" - (reference "RN3") (unit 1) (value "4x33") (footprint "stdpads:R4_0402") - ) - (path "/00000000-0000-0000-0000-0000613f8109" - (reference "RN5") (unit 1) (value "4x10k") (footprint "stdpads:R4_0402") - ) - (path "/00000000-0000-0000-0000-000062d6aa13" - (reference "SW1") (unit 1) (value "FW") (footprint "stdpads:SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm") - ) - (path "/00000000-0000-0000-0000-0000619f5fd5" - (reference "U1") (unit 1) (value "EPM240T100C5N") (footprint "stdpads:TQFP-100_14x14mm_P0.5mm") - ) - (path "/00000000-0000-0000-0000-00005f3e609f" - (reference "U2") (unit 1) (value "W9825") (footprint "stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm") - ) - (path "/00000000-0000-0000-0000-00006009ebad" - (reference "U3") (unit 1) (value "W25Q128JVSIQ") (footprint "stdpads:SOIC-8_5.3mm") - ) - (path "/00000000-0000-0000-0000-00005f3ca754" - (reference "U4") (unit 1) (value "74AHC245PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-00005f5039e1" - (reference "U5") (unit 1) (value "74AHC245PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-00005fd6dfdd" - (reference "U6") (unit 1) (value "74AHC245PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-000060cd7812" - (reference "U8") (unit 1) (value "XC6206P332MR") (footprint "stdpads:SOT-23") - ) - (path "/00000000-0000-0000-0000-00005f3a6cfe" - (reference "U9") (unit 1) (value "74AHC245PW") (footprint "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm") - ) - (path "/00000000-0000-0000-0000-00005f710408" - (reference "U13") (unit 1) (value "25M") (footprint "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm") - ) - (path "/00000000-0000-0000-0000-0000602499a6" - (reference "U14") (unit 1) (value "74LVC1G125GW") (footprint "stdpads:SOT-353") - ) - (path "/00000000-0000-0000-0000-0000600066d4" - (reference "U16") (unit 1) (value "74LVC1G125GW") (footprint "stdpads:SOT-353") - ) ) ) diff --git a/Hardware/MAX/fp-lib-table b/Hardware/MAX/fp-lib-table new file mode 100644 index 0000000..ba5f00c --- /dev/null +++ b/Hardware/MAX/fp-lib-table @@ -0,0 +1,4 @@ +(fp_lib_table + (version 7) + (lib (name "stdpads")(type "KiCad")(uri "$(KIPRJMOD)/../../../stdpads.pretty")(options "")(descr "")) +) diff --git a/Hardware/MAX/sym-lib-table b/Hardware/MAX/sym-lib-table new file mode 100644 index 0000000..0be27b1 --- /dev/null +++ b/Hardware/MAX/sym-lib-table @@ -0,0 +1,7 @@ +(sym_lib_table + (version 7) + (lib (name "GW_RAM")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_RAM.kicad_sym")(options "")(descr "")) + (lib (name "GW_PLD")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_PLD.kicad_sym")(options "")(descr "")) + (lib (name "GW_Logic")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_Logic.kicad_sym")(options "")(descr "")) + (lib (name "GW_Power")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_Power.kicad_sym")(options "")(descr "")) +) diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..15d1c7e --- /dev/null +++ b/Makefile @@ -0,0 +1,67 @@ +KICAD = /Applications/KiCad/KiCad.app/Contents/MacOS/kicad-cli +LAYERS = F.Cu,In1.Cu,In2.Cu,B.Cu,F.Paste,F.SilkS,B.SilkS,F.Mask,B.Mask,Edge.Cuts +CHIPTYPE = $(shell echo $@ | cut -f2 -d"/") + +PYTHON = python3 +BOM_SCRIPT = ../GW_KiCADBuild/export_bom.py + +F_PCB = $@/../GR8RAM.kicad_pcb +F_SCH = $@/../GR8RAM.kicad_sch +F_NETLIST = $@/GR8RAM-NET.xml +F_BOM = $@/GR8RAM-BOM.csv +F_POS_N = $@/GR8RAM-top-pos +F_POS = $(F_POS_N).csv +F_POS_VCORE = $(F_POS_N).VCORE.csv +F_POS_JUMPER = $(F_POS_N).JUMPER.csv +F_ZIP = $@/GR8RAM.4205B.$(CHIPTYPE)-gerber.zip +F_SCHPDF = $@/GR8RAM.4205B.$(CHIPTYPE)-Schematic.pdf +F_PCBPDF = $@/GR8RAM.4205B.$(CHIPTYPE)-Placement.pdf + + +OPT_GERBER = -l $(LAYERS) --subtract-soldermask --no-netlist --no-x2 +CMD_GERBER = pcb export gerbers $(OPT_GERBER) -o $@/ $(F_PCB) + +CMD_DRILL = pcb export drill -o $@/ $(F_PCB) + +CMD_NETLIST = sch export netlist --format kicadxml -o $(F_NETLIST) $(F_SCH) + +OPT_POS = --smd-only --units mm --side front --format csv +CMD_POS = pcb export pos $(OPT_POS) -o $(F_POS) $(F_PCB) + +CMD_SCHPDF = sch export pdf --black-and-white --no-background-color -o $(F_SCHPDF) $(F_SCH) +CMD_PCBPDF = pcb export pdf --black-and-white -l F.Fab,Edge.Cuts -o $(F_PCBPDF) $(F_PCB) + + +.PHONY: all clean \ + Hardware/MAX Hardware/MAX/gerber Hardware/MAX/Documentation \ + Hardware/LCMXO2 Hardware/LCMXO2/gerber Hardware/LCMXO2/Documentation + +all: Hardware/MAX Hardware/LCMXO2 +clean: + rm -fr Hardware/MAX/gerber/ Hardware/MAX/Documentation/ + rm -fr Hardware/LCMXO2/gerber/ Hardware/LCMXO2/Documentation/ + + +Hardware/MAX: Hardware/MAX/gerber Hardware/MAX/Documentation +Hardware/LCMXO2: Hardware/LCMXO2/gerber Hardware/LCMXO2/Documentation + +Hardware/MAX/gerber Hardware/LCMXO2/gerber: + mkdir -p $@ + $(KICAD) $(CMD_GERBER) + $(KICAD) $(CMD_DRILL) + $(KICAD) $(CMD_POS) + $(KICAD) $(CMD_NETLIST) + sed -i '' 's/PosX/MidX/g' $(F_POS) + sed -i '' 's/PosY/MidY/g' $(F_POS) + sed -i '' 's/Rot/Rotation/g' $(F_POS) + $(PYTHON) $(BOM_SCRIPT) $(F_NETLIST) $(F_BOM) + cp $(F_POS) $(F_POS_VCORE) + cp $(F_POS) $(F_POS_JUMPER) + sed -i '' '/"R1"/d' $(F_POS_VCORE) + sed -i '' '/"U10"/d' $(F_POS_JUMPER) + rm -f $(F_ZIP) + zip -r $(F_ZIP) $@/ +Hardware/MAX/Documentation Hardware/LCMXO2/Documentation: + mkdir -p $@ + $(KICAD) $(CMD_SCHPDF) + $(KICAD) $(CMD_PCBPDF) diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index d8e9a51..5b2d357 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,23 +1,46 @@ -module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, - INTin, INTout, DMAin, DMAout, - nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, - RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, - SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, - nFCS, FCK, MISO, MOSI); - +module GR8RAM2( /* Clock signals */ - input C25M, PHI0; - reg PHI0r1, PHI0r2; - always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end + input C25M, + input PHI0, + input nRESin, + output reg nRESout, + input [1:0] SetFW, + output reg nIRQout, + input [15:0] BA, + input nWE, + inout [7:0] BD, + output BDdir, + /* Card select signals */ + input nIOSEL, + input nDEVSEL, + input nIOSTRB, + /* SDRAM bus */ + output reg [1:0] RBA, + output reg [12:0] RA, + output nRCS, + output reg nRAS, + output reg nCAS, + output reg nRWE, + output reg DQML, + output reg DQMH, + output reg RCKE, + output reg [7:0] RD, + /* SPI NOR flash */ + output reg nFCS, + output reg FCK, + inout MISO, + inout MOSI); + + /* PHI0 synchronization signals */ + reg PHI0r0, PHI0r1; + always @(negedge C25M) begin PHI0r0 <= PHI0; end + always @(posedge C25M) begin PHI0r1 <= PHI0r0; end - /* Reset filter */ - input nRES; - reg [3:0] nRESf = 0; - reg nRESr = 0; - always @(posedge C25M) begin - nRESf[3:0] <= { nRESf[2:0], nRES }; - nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; - end + /* Reset synchronization */ + reg nRESr0, nRESr; + always @(negedge C25M) nRESr0 <= nRESin; + always @(posedge C25M) nRESr <= nRESr0; + wire RES = RES; /* Firmware select */ input [1:0] SetFW; @@ -31,86 +54,91 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end wire [1:0] SetROM = ~SetFWr[1:0]; wire SetEN16MB = SetROM[1:0]==2'b11; - wire SetEN24bit = SetROM[1]; + wire SetEN24b = SetROM[1]; - /* State counter from PHI0 rising edge */ - reg [3:0] PS = 0; - wire PSStart = PS==0 && PHI0r1 && !PHI0r2; - always @(posedge C25M) begin - if (PSStart) PS <= 1; - else if (PS==0) PS <= 0; - else PS <= PS+1; - end - - /* Long state counter: counts from 0 to $3FFF */ - reg [13:0] LS = 0; - always @(posedge C25M) begin if (PS==15) LS <= LS+1; end - - /* Init state */ - output reg nRESout = 0; + /* State counters */ reg [2:0] IS = 0; + reg [24:0] S = 0; + wire Ready = IS[2]; + + /* Reset output disable */ + assign nRESout = Ready; + + /* Init state counter control */ + // IS 0 - wait and issue NOP CKE (ends at S[19:0]==20'hFFFFF) + // IS 1 - Load mode and AREF, issue SPI NOR read (ends at S[4:0]==5'h3F) + // IS 2 - Write driver (ends at S[16:0]==17'h1FFFF) + // IS 3 - Write image (ends at S[24:0]==25'h1FFFFFF) + // IS 7 - Operating mode always @(posedge C25M) begin - if (IS==7) nRESout <= 1; - else if (PS==15) begin - if (LS==14'h1FCE) IS <= 1; // PC all + load mode - else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select - else if (LS==14'h1FFA) IS <= 5; // SPI flash command - else if (LS==14'h1FFF) IS <= 6; // Flash load driver - else if (LS==14'h3FFF) IS <= 7; // Operating mode + case (IS[2:0]) begin + 3'h0: if (S[19:0]== 20'hFFFFF) IS[2:0] <= 3'h1; + 3'h1: if (S[19:0]== 5'h3F) IS[2:0] <= 3'h2; + 3'h2: if (S[19:0]== 17'h1FFFF) IS[2:0] <= 3'h3; + 3'h3: if (S[19:0]==25'h1FFFFFF) IS[2:0] <= 3'h7; end end - /* Apple IO area select signals */ - input nIOSEL, nDEVSEL, nIOSTRB; - - /* Apple address bus */ - input [15:0] RA; input nWE; - reg [11:0] RAr; reg nWEr; - reg CXXXr; - always @(posedge PHI0) begin - CXXXr <= RA[15:12]==4'hC; - RAr[11:0] <= RA[11:0]; - nWEr <= nWE; - end - - /* Apple select signals */ - wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (!RAr[11])); - wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; - wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; - wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; - wire RAMSpecSEL = RAMRegSpecSEL && (!SetEN24bit || SetEN16MB || !Addr[23]); - wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; - wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; - wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; - wire BankSEL = REGEN && !nDEVSEL && BankSpecSEL; - wire RAMRegSEL = !nDEVSEL && RAMRegSpecSEL; - wire RAMSEL = !nDEVSEL && RAMSpecSEL; - wire RAMWR = RAMSEL && !nWEr; - wire AddrHSEL = REGEN && !nDEVSEL && AddrHSpecSEL; - wire AddrMSEL = REGEN && !nDEVSEL && AddrMSpecSEL; - wire AddrLSEL = REGEN && !nDEVSEL && AddrLSpecSEL; - - /* IOROMEN and REGEN control */ - reg IOROMEN = 0; - reg REGEN = 0; - reg nIOSTRBr; - wire IOROMRES = RAr[10:0]==11'h7FF && !nIOSTRB && !nIOSTRBr; - always @(posedge C25M, negedge nRESr) begin - if (!nRESr) REGEN <= 0; - else if (PS==8 && !nIOSEL) REGEN <= 1; - end + /* RAM state counter control */ always @(posedge C25M) begin - nIOSTRBr <= nIOSTRB; - if (!nRESr) IOROMEN <= 0; - else if (PS==8 && IOROMRES) IOROMEN <= 0; - else if (PS==8 && !nIOSEL) IOROMEN <= 1; + if (IS[2:0]==3'h0 && S[19:0]== 20'hFFFFF || + IS[2:0]==3'h1 && S[19:0]== 5'h3F || + IS[2:0]==3'h2 && S[19:0]== 17'h1FFFF || + IS[2:0]==3'h3 && S[19:0]==25'h1FFFFFF) S <= 0; + else if (Ready) begin + S[24:4] <= 0; + if (S[3:0]==0 && PHI0r1) S[2:0] <= 4'h1; + else if (S[3:0]!=0) S[3:0] <= S[3:0]+4'h1; + end else S[24:0] <= S[24:0]+25'h1; end - /* Apple data bus */ - inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; - reg [7:0] RDD; - output RDdir = !(PHI0r2 && nWE && PHI0 && - (!nDEVSEL || !nIOSEL || (!nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + /* IOROMEN control */ + reg IOROMEN = 0; + always @(posedge C25M) begin + if (RES) IOROMEN <= 0; + else if (S[2:0]==3'h2) begin + if (!nIOSTRB && BA[10:0]==11'h7FF) IOROMEN <= 0; + else if (!nIOSEL) IOROMEN <= 1; + end + end + + /* RegEN control */ + reg RegEN = 0; + always @(posedge C25M) begin + if (RES) RegEN <= 0; + else if (S[2:0]==3'h2 && !nIOSEL) RegEN <= 1; + end + + /* ROM bank register */ + reg Bank = 0; + always @(posedge C25M, negedge nRESr) begin + if (RES) Bank <= 0; + else if (S[2:0]==3'h4 && BankSEL && !nWEr) begin + Bank <= RD[0]; + end + end + + /* RAMROMCS command signal */ + reg RAMROMCS; + always @(posedge C25M) begin + if (S[3:0]==4'h0) RAMROMCS <= !RES &&PHI0r1 && BA[15:12]==4'hC; + else if S[3:0]==4'h1) begin + RAMROMCS <= !RES && ( + (!nIOSEL) || + (!nIOSTRB && IOROMEN) || + (!nDEVSEL && RegEN && A[3:0]==4'h3)); + end else if (S[3:0]==4'h9) RAMROMCS <= !RES && RefC[2:0]==0; + end + + /* Register select command signals */ + reg RAMRegSEL; + reg AddrHWR, AddrMWR, AddrLWR; + always @(posedge C25M) begin + RAMRegSEL <= !RES && S[3:0]==4'h6 !nDEVSEL && BA[3:0]==4'h3; + AddrHWR <= !RES && S[3:0]==4'h6 !nDEVSEL && BA[3:0]==4'h2; + AddrMWR <= !RES && S[3:0]==4'h6 !nDEVSEL && BA[3:0]==4'h1; + AddrLWR <= !RES && S[3:0]==4'h6 !nDEVSEL && BA[3:0]==4'h0; + end /* Slinky address registers */ reg [23:0] Addr = 0; @@ -118,16 +146,16 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg AddrIncM = 0; reg AddrIncH = 0; always @(posedge C25M, negedge nRESr) begin - if (!nRESr) begin - Addr[23:0] <= 24'h000000; + if (RES) begin + Addr[23:0] <= 0; AddrIncL <= 0; AddrIncM <= 0; AddrIncH <= 0; end else begin - if (PS==8 && RAMRegSEL) AddrIncL <= 1; + if (RAMRegSEL) AddrIncL <= 1; else AddrIncL <= 0; - if (PS==8 && AddrLSEL && !nWEr) begin + if (AddrLWR) begin Addr[7:0] <= RD[7:0]; AddrIncM <= Addr[7] && !RD[7]; end else if (AddrIncL) begin @@ -135,7 +163,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, AddrIncM <= Addr[7:0]==8'hFF; end else AddrIncM <= 0; - if (PS==8 && AddrMSEL && !nWEr) begin + if (AddrMWR) begin Addr[15:8] <= RD[7:0]; AddrIncH <= Addr[15] && !RD[7]; end else if (AddrIncM) begin @@ -143,7 +171,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, AddrIncH <= Addr[15:8]==8'hFF; end else AddrIncH <= 0; - if (PS==8 && AddrHSEL && !nWEr) begin + if (AddrHWR) begin Addr[23:16] <= RD[7:0]; end else if (AddrIncH) begin Addr[23:16] <= Addr[23:16]+1; @@ -151,404 +179,142 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end end - /* ROM bank register */ - reg Bank = 0; - always @(posedge C25M, negedge nRESr) begin - if (!nRESr) Bank <= 0; - else if (PS==8 && BankSEL && !nWEr) begin - Bank <= RD[0]; - end - end - - /* SPI flash control signals */ - output nFCS = FCKOE ? !FCS : 1'bZ; - reg FCS = 0; - output FCK = FCKOE ? FCKout : 1'bZ; - reg FCKOE = 0; - reg FCKout = 0; - inout MOSI = MOSIOE ? MOSIout : 1'bZ; - reg MOSIOE = 0; - input MISO; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE - FCKout <= 1'b1; - end 1: begin // ACT - FCKout <= !(IS==5 || IS==6); - end 2: begin // RD - FCKout <= 1'b1; - end 3: begin // NOP CKE - FCKout <= !(IS==5 || IS==6); - end 4: begin // NOP CKE - FCKout <= 1'b1; - end 5: begin // NOP CKE - FCKout <= !(IS==5 || IS==6); - end 6: begin // NOP CKE - FCKout <= 1'b1; - end 7: begin // NOP CKE - FCKout <= !(IS==5 || IS==6); - end 8: begin // WR AP - FCKout <= 1'b1; - end 9: begin // NOP CKE - FCKout <= !(IS==5); - end 10: begin // PC all - FCKout <= 1'b1; - end 11: begin // AREF - FCKout <= !(IS==5); - end 12: begin // NOP CKE - FCKout <= 1'b1; - end 13: begin // NOP CKE - FCKout <= !(IS==5); - end 14: begin // NOP CKE - FCKout <= 1'b1; - end 15: begin // NOP CKE - FCKout <= !(IS==5); - end - endcase - FCS <= IS==4 || IS==5 || IS==6; - MOSIOE <= IS==5; - FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; - end - - /* SPI flash MOSI control */ - reg MOSIout = 0; - always @(posedge C25M) begin - case (PS[3:0]) - 1: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 7 - 3'h4: MOSIout <= 1'b0; // Address bit 23 - 3'h5: MOSIout <= 1'b0; // Address bit 15 - 3'h6: MOSIout <= 1'b0; // Address bit 7 - default MOSIout <= 1'b0; - endcase - end 3: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 6 - 3'h4: MOSIout <= 1'b0; // Address bit 22 - 3'h5: MOSIout <= SetROM[1]; // Address bit 14 - 3'h6: MOSIout <= 1'b0; // Address bit 6 - default MOSIout <= 1'b0; - endcase - end 5: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 5 - 3'h4: MOSIout <= 1'b0; // Address bit 21 - 3'h5: MOSIout <= SetROM[0]; // Address bit 13 - 3'h6: MOSIout <= 1'b0; // Address bit 5 - default MOSIout <= 1'b0; - endcase - end 7: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 4 - 3'h4: MOSIout <= 1'b0; // Address bit 20 - 3'h5: MOSIout <= 1'b0; // Address bit 12 - 3'h6: MOSIout <= 1'b0; // Address bit 4 - default MOSIout <= 1'b0; - endcase - end 9: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 3 - 3'h4: MOSIout <= 1'b0; // Address bit 19 - 3'h5: MOSIout <= 1'b0; // Address bit 11 - 3'h6: MOSIout <= 1'b0; // Address bit 3 - default MOSIout <= 1'b0; - endcase - end 11: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 2 - 3'h4: MOSIout <= 1'b0; // Address bit 18 - 3'h5: MOSIout <= 1'b0; // Address bit 10 - 3'h6: MOSIout <= 1'b0; // Address bit 2 - default MOSIout <= 1'b0; - endcase - end 13: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 1 - 3'h4: MOSIout <= 1'b0; // Address bit 16 - 3'h5: MOSIout <= 1'b0; // Address bit 9 - 3'h6: MOSIout <= 1'b0; // Address bit 1 - default MOSIout <= 1'b0; - endcase - end 15: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 0 - 3'h4: MOSIout <= 1'b0; // Address bit 15 - 3'h5: MOSIout <= 1'b0; // Address bit 7 - 3'h6: MOSIout <= 1'b0; // Address bit 0 - default MOSIout <= 1'b0; - endcase - end - endcase - end - - /* SDRAM data bus */ - inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; - reg [7:0] WRD; - reg SDOE = 0; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 1: begin // ACT - end 2: begin // RD - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 3: begin // NOP CKE - end 4: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 5: begin // NOP CKE - end 6: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 7: begin // NOP CKE - end 8: begin // WR AP - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 9: begin // NOP CKE - end 10: begin // PC all - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 11: begin // AREF - end 12: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 13: begin // NOP CKE - end 14: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 15: begin // NOP CKE - end - endcase - end - - /* Apple data bus from SDRAM */ + /* Apple II data output latch */ + reg [7:0] BDout; always @(negedge C25M) begin - if (PS==5) begin - if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; - else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; - else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; - else RDD[7:0] <= SD[7:0]; + if (S[2:0]==4'h6) begin + if (!nDEVSEL) case (BA[1:0]) + 4'h3: BDout[7:0] <= RD[7:0]; + 4'h2: BDout[7:0] <= SetEN24b ? Addr[23:16] { 4'hF, Addr[19:16] }; + 4'h1: BDout[7:0] <= Addr[15:8]; + 4'h0: BDout[7:0] <= Addr[7:0]; + defaut: BDout[7:0] <= 0; + endcase else BDout[7:0] <= RD[7:0]; end end - /* SDRAM command */ - output reg RCKE = 1; - output reg nRCS = 1; - output reg nRAS = 1; - output reg nCAS = 1; - output reg nSWE = 1; - wire RefReqd = LS[1:0] == 2'b11; always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE / NOP CKD - RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 1: begin // ACT CKE / NOP CKD (ACT) - RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); - nRCS <= !(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); - nRAS <= 0; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 2: begin // RD CKE / NOP CKD (RD) - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); - nRCS <= !(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); - nRAS <= 1; - nCAS <= 0; - nSWE <= 1; - SDOE <= 0; - end 3: begin // NOP CKE / CKD - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 4: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 5: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 6: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 7: begin // NOP CKE / CKD - RCKE <= IS==6 || (RAMWR && IS==7); - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 8: begin // WR AP CKE / NOP CKD (WR AP) - RCKE <= IS==6 || (RAMWR && IS==7); - nRCS <= !(IS==6 || (RAMWR && IS==7)); - nRAS <= 1; - nCAS <= 0; - nSWE <= 0; - SDOE <= IS==6 || (RAMWR && IS==7); - end 9: begin // NOP CKE / NOP CKD - RCKE <= 1; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 10: begin // PC all CKE / PC all CKD - RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); - nRCS <= 0; - nRAS <= 0; - nCAS <= 1; - nSWE <= 0; - SDOE <= 0; - end 11: begin // LDM CKE / AREF CKE / NOP CKD - RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); - nRCS <= !(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); - nRAS <= 0; - nCAS <= 0; - nSWE <= !(IS==1); - SDOE <= 0; - end default: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end - endcase - end - - /* SDRAM address */ - output reg DQML = 1; - output reg DQMH = 1; - output reg [1:0] SBA; - output reg [12:0] SA; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 1: begin // ACT - DQML <= 1'b1; - DQMH <= 1'b1; - if (IS==6) begin - SBA[1:0] <= { 2'b10 }; - SA[12:0] <= { 10'b0011000100, LS[12:10] }; - end else if (RAMSpecSEL) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; - SA[9:0] <= Addr[19:10]; - end else begin - SBA[1:0] <= 2'b10; - SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + case (IS[2:0]) + 3'h0: begin + // NOP CKE + end 3'h1: case (S[4:0]) + 5'h00: begin + // PC all CKE + end 5'h08: begin + // LDM CKE + end 5'h10, 5'h12, 5'h14, 5'h16, + 5'h18, 5'h1A, 5'h1C, 5'h1E: begin + // AREF CKE + end default: begin + // NOP CKE end - end 2: begin // RD - if (RAMSpecSEL) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:0] <= { 4'b0011, Addr[9:1] }; - DQML <= Addr[0]; - DQMH <= !Addr[0]; - end else begin - SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, RAr[9:1]}; - DQML <= RAr[0]; - DQMH <= !RAr[0]; + endcase 3'h2, 3'h3: case (S[2:0]) + 3'h0: begin + // NOP CKE + end 3'h1: begin + // AREF CKE + end 3'h2: begin + // NOP CKE + end 3'h3: begin + // ACT CKE + end 3'h4: begin + // WR CKE + end 3'h5: begin + // WR CKE + end 3'h6: begin + // NOP CKE + end 3'h7: begin + // PC all CKD end - end 3: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 4: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 5: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 6: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 7: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 8: begin // WR AP - if (IS==6) begin - SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, LS[9:1] }; - DQML <= LS[0]; - DQMH <= !LS[0]; - end else begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:0] <= { 4'b0011, Addr[9:1] }; - DQML <= Addr[0]; - DQMH <= !Addr[0]; + endcase default: case (S[3:0]) + 4'h1: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // NOP CKE + end else begin + // NOP CKD + end + end 4'h2: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // ACT CKE + end else begin + // NOP CKD + end + end 4'h3: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // RD CKE + end else begin + // NOP CKD + end + end 4'h4: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // PC all CKE + end else begin + // NOP CKD + end + end 4'h5: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // NOP CKD + end else begin + // NOP CKE + end + end 4'h6: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // NOP CKD + end else begin + // ACT CKE + end + end 4'h7: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // NOP CKD + end else begin + // WR CKE + end + end 4'h8: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // NOP CKD + end else begin + // NOP CKE + end + end 4'h9: begin + if (!RAMROMCS) begin + // NOP CKD + end else if (nWE) begin + // NOP CKD + end else begin + // PC all CKD + end + end 4'hA: begin + if (!RAMROMCS) begin + // NOP CKD + end else begin + // NOP CKE + end + end 4'hB: begin + if (!RAMROMCS) begin + // NOP CKD + end else begin + // AREF CKE + end + end default: begin + // NOP CKD end - end 9: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 10: begin // PC all - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 11: begin // AREF / load mode - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0001000100000; - end 12: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 13: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 14: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 15: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end + endcase endcase end diff --git a/fp-lib-table b/fp-lib-table deleted file mode 100644 index 0d7b8e4..0000000 --- a/fp-lib-table +++ /dev/null @@ -1,3 +0,0 @@ -(fp_lib_table - (lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../stdpads.pretty")(options "")(descr "")) -) diff --git a/gerber/GR8RAM-B_Cu.gbl b/gerber/GR8RAM-B_Cu.gbl deleted file mode 100644 index 5145df7..0000000 --- a/gerber/GR8RAM-B_Cu.gbl +++ /dev/null @@ -1,17657 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L4,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10R,1.727200X1.727200*% -%ADD11O,1.727200X1.727200*% -%ADD12C,0.787400*% -%ADD13C,0.100000*% -%ADD14C,2.000000*% -%ADD15C,0.800000*% -%ADD16C,1.524000*% -%ADD17C,0.500000*% -%ADD18C,0.762000*% -%ADD19C,0.600000*% -%ADD20C,0.508000*% -%ADD21C,0.800000*% -%ADD22C,1.524000*% -%ADD23C,0.500000*% -%ADD24C,0.450000*% -%ADD25C,0.150000*% -%ADD26C,0.152400*% -G04 APERTURE END LIST* -D10* -X64135000Y-108204000D03* -D11* -X61595000Y-108204000D03* -X64135000Y-105664000D03* -X61595000Y-105664000D03* -X64135000Y-103124000D03* -X61595000Y-103124000D03* -X64135000Y-100584000D03* -X61595000Y-100584000D03* -X64135000Y-98044000D03* -X61595000Y-98044000D03* -D12* -X61341000Y-119888000D03* -X62611000Y-119888000D03* -X63881000Y-119888000D03* -X65151000Y-119888000D03* -X66421000Y-119888000D03* -X66421000Y-118618000D03* -X65151000Y-118618000D03* -X63881000Y-118618000D03* -X62611000Y-118618000D03* -X61341000Y-118618000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -D13* -G36* -X129958345Y-131613835D02* -G01* -X129995329Y-131619321D01* -X130031598Y-131628406D01* -X130066802Y-131641002D01* -X130100602Y-131656988D01* -X130132672Y-131676210D01* -X130162704Y-131698483D01* -X130190408Y-131723592D01* -X130215517Y-131751296D01* -X130237790Y-131781328D01* -X130257012Y-131813398D01* -X130272998Y-131847198D01* -X130285594Y-131882402D01* -X130294679Y-131918671D01* -X130300165Y-131955655D01* -X130302000Y-131993000D01* -X130302000Y-138771000D01* -X130300165Y-138808345D01* -X130294679Y-138845329D01* -X130285594Y-138881598D01* -X130272998Y-138916802D01* -X130257012Y-138950602D01* -X130237790Y-138982672D01* -X130215517Y-139012704D01* -X130190408Y-139040408D01* -X130162704Y-139065517D01* -X130132672Y-139087790D01* -X130100602Y-139107012D01* -X130066802Y-139122998D01* -X130031598Y-139135594D01* -X129995329Y-139144679D01* -X129958345Y-139150165D01* -X129921000Y-139152000D01* -X129159000Y-139152000D01* -X129121655Y-139150165D01* -X129084671Y-139144679D01* -X129048402Y-139135594D01* -X129013198Y-139122998D01* -X128979398Y-139107012D01* -X128947328Y-139087790D01* -X128917296Y-139065517D01* -X128889592Y-139040408D01* -X128864483Y-139012704D01* -X128842210Y-138982672D01* -X128822988Y-138950602D01* -X128807002Y-138916802D01* -X128794406Y-138881598D01* -X128785321Y-138845329D01* -X128779835Y-138808345D01* -X128778000Y-138771000D01* -X128778000Y-131993000D01* -X128779835Y-131955655D01* -X128785321Y-131918671D01* -X128794406Y-131882402D01* -X128807002Y-131847198D01* -X128822988Y-131813398D01* -X128842210Y-131781328D01* -X128864483Y-131751296D01* -X128889592Y-131723592D01* -X128917296Y-131698483D01* -X128947328Y-131676210D01* -X128979398Y-131656988D01* -X129013198Y-131641002D01* -X129048402Y-131628406D01* -X129084671Y-131619321D01* -X129121655Y-131613835D01* -X129159000Y-131612000D01* -X129921000Y-131612000D01* -X129958345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X127418345Y-131613835D02* -G01* -X127455329Y-131619321D01* -X127491598Y-131628406D01* -X127526802Y-131641002D01* -X127560602Y-131656988D01* -X127592672Y-131676210D01* -X127622704Y-131698483D01* -X127650408Y-131723592D01* -X127675517Y-131751296D01* -X127697790Y-131781328D01* -X127717012Y-131813398D01* -X127732998Y-131847198D01* -X127745594Y-131882402D01* -X127754679Y-131918671D01* -X127760165Y-131955655D01* -X127762000Y-131993000D01* -X127762000Y-138771000D01* -X127760165Y-138808345D01* -X127754679Y-138845329D01* -X127745594Y-138881598D01* -X127732998Y-138916802D01* -X127717012Y-138950602D01* -X127697790Y-138982672D01* -X127675517Y-139012704D01* -X127650408Y-139040408D01* -X127622704Y-139065517D01* -X127592672Y-139087790D01* -X127560602Y-139107012D01* -X127526802Y-139122998D01* -X127491598Y-139135594D01* -X127455329Y-139144679D01* -X127418345Y-139150165D01* -X127381000Y-139152000D01* -X126619000Y-139152000D01* -X126581655Y-139150165D01* -X126544671Y-139144679D01* -X126508402Y-139135594D01* -X126473198Y-139122998D01* -X126439398Y-139107012D01* -X126407328Y-139087790D01* -X126377296Y-139065517D01* -X126349592Y-139040408D01* -X126324483Y-139012704D01* -X126302210Y-138982672D01* -X126282988Y-138950602D01* -X126267002Y-138916802D01* -X126254406Y-138881598D01* -X126245321Y-138845329D01* -X126239835Y-138808345D01* -X126238000Y-138771000D01* -X126238000Y-131993000D01* -X126239835Y-131955655D01* -X126245321Y-131918671D01* -X126254406Y-131882402D01* -X126267002Y-131847198D01* -X126282988Y-131813398D01* -X126302210Y-131781328D01* -X126324483Y-131751296D01* -X126349592Y-131723592D01* -X126377296Y-131698483D01* -X126407328Y-131676210D01* -X126439398Y-131656988D01* -X126473198Y-131641002D01* -X126508402Y-131628406D01* -X126544671Y-131619321D01* -X126581655Y-131613835D01* -X126619000Y-131612000D01* -X127381000Y-131612000D01* -X127418345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X124878345Y-131613835D02* -G01* -X124915329Y-131619321D01* -X124951598Y-131628406D01* -X124986802Y-131641002D01* -X125020602Y-131656988D01* -X125052672Y-131676210D01* -X125082704Y-131698483D01* -X125110408Y-131723592D01* -X125135517Y-131751296D01* -X125157790Y-131781328D01* -X125177012Y-131813398D01* -X125192998Y-131847198D01* -X125205594Y-131882402D01* -X125214679Y-131918671D01* -X125220165Y-131955655D01* -X125222000Y-131993000D01* -X125222000Y-138771000D01* -X125220165Y-138808345D01* -X125214679Y-138845329D01* -X125205594Y-138881598D01* -X125192998Y-138916802D01* -X125177012Y-138950602D01* -X125157790Y-138982672D01* -X125135517Y-139012704D01* -X125110408Y-139040408D01* -X125082704Y-139065517D01* -X125052672Y-139087790D01* -X125020602Y-139107012D01* -X124986802Y-139122998D01* -X124951598Y-139135594D01* -X124915329Y-139144679D01* -X124878345Y-139150165D01* -X124841000Y-139152000D01* -X124079000Y-139152000D01* -X124041655Y-139150165D01* -X124004671Y-139144679D01* -X123968402Y-139135594D01* -X123933198Y-139122998D01* -X123899398Y-139107012D01* -X123867328Y-139087790D01* -X123837296Y-139065517D01* -X123809592Y-139040408D01* -X123784483Y-139012704D01* -X123762210Y-138982672D01* -X123742988Y-138950602D01* -X123727002Y-138916802D01* -X123714406Y-138881598D01* -X123705321Y-138845329D01* -X123699835Y-138808345D01* -X123698000Y-138771000D01* -X123698000Y-131993000D01* -X123699835Y-131955655D01* -X123705321Y-131918671D01* -X123714406Y-131882402D01* -X123727002Y-131847198D01* -X123742988Y-131813398D01* -X123762210Y-131781328D01* -X123784483Y-131751296D01* -X123809592Y-131723592D01* -X123837296Y-131698483D01* -X123867328Y-131676210D01* -X123899398Y-131656988D01* -X123933198Y-131641002D01* -X123968402Y-131628406D01* -X124004671Y-131619321D01* -X124041655Y-131613835D01* -X124079000Y-131612000D01* -X124841000Y-131612000D01* -X124878345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X122338345Y-131613835D02* -G01* -X122375329Y-131619321D01* -X122411598Y-131628406D01* -X122446802Y-131641002D01* -X122480602Y-131656988D01* -X122512672Y-131676210D01* -X122542704Y-131698483D01* -X122570408Y-131723592D01* -X122595517Y-131751296D01* -X122617790Y-131781328D01* -X122637012Y-131813398D01* -X122652998Y-131847198D01* -X122665594Y-131882402D01* -X122674679Y-131918671D01* -X122680165Y-131955655D01* -X122682000Y-131993000D01* -X122682000Y-138771000D01* -X122680165Y-138808345D01* -X122674679Y-138845329D01* -X122665594Y-138881598D01* -X122652998Y-138916802D01* -X122637012Y-138950602D01* -X122617790Y-138982672D01* -X122595517Y-139012704D01* -X122570408Y-139040408D01* -X122542704Y-139065517D01* -X122512672Y-139087790D01* -X122480602Y-139107012D01* -X122446802Y-139122998D01* -X122411598Y-139135594D01* -X122375329Y-139144679D01* -X122338345Y-139150165D01* -X122301000Y-139152000D01* -X121539000Y-139152000D01* -X121501655Y-139150165D01* -X121464671Y-139144679D01* -X121428402Y-139135594D01* -X121393198Y-139122998D01* -X121359398Y-139107012D01* -X121327328Y-139087790D01* -X121297296Y-139065517D01* -X121269592Y-139040408D01* -X121244483Y-139012704D01* -X121222210Y-138982672D01* -X121202988Y-138950602D01* -X121187002Y-138916802D01* -X121174406Y-138881598D01* -X121165321Y-138845329D01* -X121159835Y-138808345D01* -X121158000Y-138771000D01* -X121158000Y-131993000D01* -X121159835Y-131955655D01* -X121165321Y-131918671D01* -X121174406Y-131882402D01* -X121187002Y-131847198D01* -X121202988Y-131813398D01* -X121222210Y-131781328D01* -X121244483Y-131751296D01* -X121269592Y-131723592D01* -X121297296Y-131698483D01* -X121327328Y-131676210D01* -X121359398Y-131656988D01* -X121393198Y-131641002D01* -X121428402Y-131628406D01* -X121464671Y-131619321D01* -X121501655Y-131613835D01* -X121539000Y-131612000D01* -X122301000Y-131612000D01* -X122338345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X119798345Y-131613835D02* -G01* -X119835329Y-131619321D01* -X119871598Y-131628406D01* -X119906802Y-131641002D01* -X119940602Y-131656988D01* -X119972672Y-131676210D01* -X120002704Y-131698483D01* -X120030408Y-131723592D01* -X120055517Y-131751296D01* -X120077790Y-131781328D01* -X120097012Y-131813398D01* -X120112998Y-131847198D01* -X120125594Y-131882402D01* -X120134679Y-131918671D01* -X120140165Y-131955655D01* -X120142000Y-131993000D01* -X120142000Y-138771000D01* -X120140165Y-138808345D01* -X120134679Y-138845329D01* -X120125594Y-138881598D01* -X120112998Y-138916802D01* -X120097012Y-138950602D01* -X120077790Y-138982672D01* -X120055517Y-139012704D01* -X120030408Y-139040408D01* -X120002704Y-139065517D01* -X119972672Y-139087790D01* -X119940602Y-139107012D01* -X119906802Y-139122998D01* -X119871598Y-139135594D01* -X119835329Y-139144679D01* -X119798345Y-139150165D01* -X119761000Y-139152000D01* -X118999000Y-139152000D01* -X118961655Y-139150165D01* -X118924671Y-139144679D01* -X118888402Y-139135594D01* -X118853198Y-139122998D01* -X118819398Y-139107012D01* -X118787328Y-139087790D01* -X118757296Y-139065517D01* -X118729592Y-139040408D01* -X118704483Y-139012704D01* -X118682210Y-138982672D01* -X118662988Y-138950602D01* -X118647002Y-138916802D01* -X118634406Y-138881598D01* -X118625321Y-138845329D01* -X118619835Y-138808345D01* -X118618000Y-138771000D01* -X118618000Y-131993000D01* -X118619835Y-131955655D01* -X118625321Y-131918671D01* -X118634406Y-131882402D01* -X118647002Y-131847198D01* -X118662988Y-131813398D01* -X118682210Y-131781328D01* -X118704483Y-131751296D01* -X118729592Y-131723592D01* -X118757296Y-131698483D01* -X118787328Y-131676210D01* -X118819398Y-131656988D01* -X118853198Y-131641002D01* -X118888402Y-131628406D01* -X118924671Y-131619321D01* -X118961655Y-131613835D01* -X118999000Y-131612000D01* -X119761000Y-131612000D01* -X119798345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X117258345Y-131613835D02* -G01* -X117295329Y-131619321D01* -X117331598Y-131628406D01* -X117366802Y-131641002D01* -X117400602Y-131656988D01* -X117432672Y-131676210D01* -X117462704Y-131698483D01* -X117490408Y-131723592D01* -X117515517Y-131751296D01* -X117537790Y-131781328D01* -X117557012Y-131813398D01* -X117572998Y-131847198D01* -X117585594Y-131882402D01* -X117594679Y-131918671D01* -X117600165Y-131955655D01* -X117602000Y-131993000D01* -X117602000Y-138771000D01* -X117600165Y-138808345D01* -X117594679Y-138845329D01* -X117585594Y-138881598D01* -X117572998Y-138916802D01* -X117557012Y-138950602D01* -X117537790Y-138982672D01* -X117515517Y-139012704D01* -X117490408Y-139040408D01* -X117462704Y-139065517D01* -X117432672Y-139087790D01* -X117400602Y-139107012D01* -X117366802Y-139122998D01* -X117331598Y-139135594D01* -X117295329Y-139144679D01* -X117258345Y-139150165D01* -X117221000Y-139152000D01* -X116459000Y-139152000D01* -X116421655Y-139150165D01* -X116384671Y-139144679D01* -X116348402Y-139135594D01* -X116313198Y-139122998D01* -X116279398Y-139107012D01* -X116247328Y-139087790D01* -X116217296Y-139065517D01* -X116189592Y-139040408D01* -X116164483Y-139012704D01* -X116142210Y-138982672D01* -X116122988Y-138950602D01* -X116107002Y-138916802D01* -X116094406Y-138881598D01* -X116085321Y-138845329D01* -X116079835Y-138808345D01* -X116078000Y-138771000D01* -X116078000Y-131993000D01* -X116079835Y-131955655D01* -X116085321Y-131918671D01* -X116094406Y-131882402D01* -X116107002Y-131847198D01* -X116122988Y-131813398D01* -X116142210Y-131781328D01* -X116164483Y-131751296D01* -X116189592Y-131723592D01* -X116217296Y-131698483D01* -X116247328Y-131676210D01* -X116279398Y-131656988D01* -X116313198Y-131641002D01* -X116348402Y-131628406D01* -X116384671Y-131619321D01* -X116421655Y-131613835D01* -X116459000Y-131612000D01* -X117221000Y-131612000D01* -X117258345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X114718345Y-131613835D02* -G01* -X114755329Y-131619321D01* -X114791598Y-131628406D01* -X114826802Y-131641002D01* -X114860602Y-131656988D01* -X114892672Y-131676210D01* -X114922704Y-131698483D01* -X114950408Y-131723592D01* -X114975517Y-131751296D01* -X114997790Y-131781328D01* -X115017012Y-131813398D01* -X115032998Y-131847198D01* -X115045594Y-131882402D01* -X115054679Y-131918671D01* -X115060165Y-131955655D01* -X115062000Y-131993000D01* -X115062000Y-138771000D01* -X115060165Y-138808345D01* -X115054679Y-138845329D01* -X115045594Y-138881598D01* -X115032998Y-138916802D01* -X115017012Y-138950602D01* -X114997790Y-138982672D01* -X114975517Y-139012704D01* -X114950408Y-139040408D01* -X114922704Y-139065517D01* -X114892672Y-139087790D01* -X114860602Y-139107012D01* -X114826802Y-139122998D01* -X114791598Y-139135594D01* -X114755329Y-139144679D01* -X114718345Y-139150165D01* -X114681000Y-139152000D01* -X113919000Y-139152000D01* -X113881655Y-139150165D01* -X113844671Y-139144679D01* -X113808402Y-139135594D01* -X113773198Y-139122998D01* -X113739398Y-139107012D01* -X113707328Y-139087790D01* -X113677296Y-139065517D01* -X113649592Y-139040408D01* -X113624483Y-139012704D01* -X113602210Y-138982672D01* -X113582988Y-138950602D01* -X113567002Y-138916802D01* -X113554406Y-138881598D01* -X113545321Y-138845329D01* -X113539835Y-138808345D01* -X113538000Y-138771000D01* -X113538000Y-131993000D01* -X113539835Y-131955655D01* -X113545321Y-131918671D01* -X113554406Y-131882402D01* -X113567002Y-131847198D01* -X113582988Y-131813398D01* -X113602210Y-131781328D01* -X113624483Y-131751296D01* -X113649592Y-131723592D01* -X113677296Y-131698483D01* -X113707328Y-131676210D01* -X113739398Y-131656988D01* -X113773198Y-131641002D01* -X113808402Y-131628406D01* -X113844671Y-131619321D01* -X113881655Y-131613835D01* -X113919000Y-131612000D01* -X114681000Y-131612000D01* -X114718345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X112178345Y-131613835D02* -G01* -X112215329Y-131619321D01* -X112251598Y-131628406D01* -X112286802Y-131641002D01* -X112320602Y-131656988D01* -X112352672Y-131676210D01* -X112382704Y-131698483D01* -X112410408Y-131723592D01* -X112435517Y-131751296D01* -X112457790Y-131781328D01* -X112477012Y-131813398D01* -X112492998Y-131847198D01* -X112505594Y-131882402D01* -X112514679Y-131918671D01* -X112520165Y-131955655D01* -X112522000Y-131993000D01* -X112522000Y-138771000D01* -X112520165Y-138808345D01* -X112514679Y-138845329D01* -X112505594Y-138881598D01* -X112492998Y-138916802D01* -X112477012Y-138950602D01* -X112457790Y-138982672D01* -X112435517Y-139012704D01* -X112410408Y-139040408D01* -X112382704Y-139065517D01* -X112352672Y-139087790D01* -X112320602Y-139107012D01* -X112286802Y-139122998D01* -X112251598Y-139135594D01* -X112215329Y-139144679D01* -X112178345Y-139150165D01* -X112141000Y-139152000D01* -X111379000Y-139152000D01* -X111341655Y-139150165D01* -X111304671Y-139144679D01* -X111268402Y-139135594D01* -X111233198Y-139122998D01* -X111199398Y-139107012D01* -X111167328Y-139087790D01* -X111137296Y-139065517D01* -X111109592Y-139040408D01* -X111084483Y-139012704D01* -X111062210Y-138982672D01* -X111042988Y-138950602D01* -X111027002Y-138916802D01* -X111014406Y-138881598D01* -X111005321Y-138845329D01* -X110999835Y-138808345D01* -X110998000Y-138771000D01* -X110998000Y-131993000D01* -X110999835Y-131955655D01* -X111005321Y-131918671D01* -X111014406Y-131882402D01* -X111027002Y-131847198D01* -X111042988Y-131813398D01* -X111062210Y-131781328D01* -X111084483Y-131751296D01* -X111109592Y-131723592D01* -X111137296Y-131698483D01* -X111167328Y-131676210D01* -X111199398Y-131656988D01* -X111233198Y-131641002D01* -X111268402Y-131628406D01* -X111304671Y-131619321D01* -X111341655Y-131613835D01* -X111379000Y-131612000D01* -X112141000Y-131612000D01* -X112178345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109638345Y-131613835D02* -G01* -X109675329Y-131619321D01* -X109711598Y-131628406D01* -X109746802Y-131641002D01* -X109780602Y-131656988D01* -X109812672Y-131676210D01* -X109842704Y-131698483D01* -X109870408Y-131723592D01* -X109895517Y-131751296D01* -X109917790Y-131781328D01* -X109937012Y-131813398D01* -X109952998Y-131847198D01* -X109965594Y-131882402D01* -X109974679Y-131918671D01* -X109980165Y-131955655D01* -X109982000Y-131993000D01* -X109982000Y-138771000D01* -X109980165Y-138808345D01* -X109974679Y-138845329D01* -X109965594Y-138881598D01* -X109952998Y-138916802D01* -X109937012Y-138950602D01* -X109917790Y-138982672D01* -X109895517Y-139012704D01* -X109870408Y-139040408D01* -X109842704Y-139065517D01* -X109812672Y-139087790D01* -X109780602Y-139107012D01* -X109746802Y-139122998D01* -X109711598Y-139135594D01* -X109675329Y-139144679D01* -X109638345Y-139150165D01* -X109601000Y-139152000D01* -X108839000Y-139152000D01* -X108801655Y-139150165D01* -X108764671Y-139144679D01* -X108728402Y-139135594D01* -X108693198Y-139122998D01* -X108659398Y-139107012D01* -X108627328Y-139087790D01* -X108597296Y-139065517D01* -X108569592Y-139040408D01* -X108544483Y-139012704D01* -X108522210Y-138982672D01* -X108502988Y-138950602D01* -X108487002Y-138916802D01* -X108474406Y-138881598D01* -X108465321Y-138845329D01* -X108459835Y-138808345D01* -X108458000Y-138771000D01* -X108458000Y-131993000D01* -X108459835Y-131955655D01* -X108465321Y-131918671D01* -X108474406Y-131882402D01* -X108487002Y-131847198D01* -X108502988Y-131813398D01* -X108522210Y-131781328D01* -X108544483Y-131751296D01* -X108569592Y-131723592D01* -X108597296Y-131698483D01* -X108627328Y-131676210D01* -X108659398Y-131656988D01* -X108693198Y-131641002D01* -X108728402Y-131628406D01* -X108764671Y-131619321D01* -X108801655Y-131613835D01* -X108839000Y-131612000D01* -X109601000Y-131612000D01* -X109638345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107098345Y-131613835D02* -G01* -X107135329Y-131619321D01* -X107171598Y-131628406D01* -X107206802Y-131641002D01* -X107240602Y-131656988D01* -X107272672Y-131676210D01* -X107302704Y-131698483D01* -X107330408Y-131723592D01* -X107355517Y-131751296D01* -X107377790Y-131781328D01* -X107397012Y-131813398D01* -X107412998Y-131847198D01* -X107425594Y-131882402D01* -X107434679Y-131918671D01* -X107440165Y-131955655D01* -X107442000Y-131993000D01* -X107442000Y-138771000D01* -X107440165Y-138808345D01* -X107434679Y-138845329D01* -X107425594Y-138881598D01* -X107412998Y-138916802D01* -X107397012Y-138950602D01* -X107377790Y-138982672D01* -X107355517Y-139012704D01* -X107330408Y-139040408D01* -X107302704Y-139065517D01* -X107272672Y-139087790D01* -X107240602Y-139107012D01* -X107206802Y-139122998D01* -X107171598Y-139135594D01* -X107135329Y-139144679D01* -X107098345Y-139150165D01* -X107061000Y-139152000D01* -X106299000Y-139152000D01* -X106261655Y-139150165D01* -X106224671Y-139144679D01* -X106188402Y-139135594D01* -X106153198Y-139122998D01* -X106119398Y-139107012D01* -X106087328Y-139087790D01* -X106057296Y-139065517D01* -X106029592Y-139040408D01* -X106004483Y-139012704D01* -X105982210Y-138982672D01* -X105962988Y-138950602D01* -X105947002Y-138916802D01* -X105934406Y-138881598D01* -X105925321Y-138845329D01* -X105919835Y-138808345D01* -X105918000Y-138771000D01* -X105918000Y-131993000D01* -X105919835Y-131955655D01* -X105925321Y-131918671D01* -X105934406Y-131882402D01* -X105947002Y-131847198D01* -X105962988Y-131813398D01* -X105982210Y-131781328D01* -X106004483Y-131751296D01* -X106029592Y-131723592D01* -X106057296Y-131698483D01* -X106087328Y-131676210D01* -X106119398Y-131656988D01* -X106153198Y-131641002D01* -X106188402Y-131628406D01* -X106224671Y-131619321D01* -X106261655Y-131613835D01* -X106299000Y-131612000D01* -X107061000Y-131612000D01* -X107098345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104558345Y-131613835D02* -G01* -X104595329Y-131619321D01* -X104631598Y-131628406D01* -X104666802Y-131641002D01* -X104700602Y-131656988D01* -X104732672Y-131676210D01* -X104762704Y-131698483D01* -X104790408Y-131723592D01* -X104815517Y-131751296D01* -X104837790Y-131781328D01* -X104857012Y-131813398D01* -X104872998Y-131847198D01* -X104885594Y-131882402D01* -X104894679Y-131918671D01* -X104900165Y-131955655D01* -X104902000Y-131993000D01* -X104902000Y-138771000D01* -X104900165Y-138808345D01* -X104894679Y-138845329D01* -X104885594Y-138881598D01* -X104872998Y-138916802D01* -X104857012Y-138950602D01* -X104837790Y-138982672D01* -X104815517Y-139012704D01* -X104790408Y-139040408D01* -X104762704Y-139065517D01* -X104732672Y-139087790D01* -X104700602Y-139107012D01* -X104666802Y-139122998D01* -X104631598Y-139135594D01* -X104595329Y-139144679D01* -X104558345Y-139150165D01* -X104521000Y-139152000D01* -X103759000Y-139152000D01* -X103721655Y-139150165D01* -X103684671Y-139144679D01* -X103648402Y-139135594D01* -X103613198Y-139122998D01* -X103579398Y-139107012D01* -X103547328Y-139087790D01* -X103517296Y-139065517D01* -X103489592Y-139040408D01* -X103464483Y-139012704D01* -X103442210Y-138982672D01* -X103422988Y-138950602D01* -X103407002Y-138916802D01* -X103394406Y-138881598D01* -X103385321Y-138845329D01* -X103379835Y-138808345D01* -X103378000Y-138771000D01* -X103378000Y-131993000D01* -X103379835Y-131955655D01* -X103385321Y-131918671D01* -X103394406Y-131882402D01* -X103407002Y-131847198D01* -X103422988Y-131813398D01* -X103442210Y-131781328D01* -X103464483Y-131751296D01* -X103489592Y-131723592D01* -X103517296Y-131698483D01* -X103547328Y-131676210D01* -X103579398Y-131656988D01* -X103613198Y-131641002D01* -X103648402Y-131628406D01* -X103684671Y-131619321D01* -X103721655Y-131613835D01* -X103759000Y-131612000D01* -X104521000Y-131612000D01* -X104558345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102018345Y-131613835D02* -G01* -X102055329Y-131619321D01* -X102091598Y-131628406D01* -X102126802Y-131641002D01* -X102160602Y-131656988D01* -X102192672Y-131676210D01* -X102222704Y-131698483D01* -X102250408Y-131723592D01* -X102275517Y-131751296D01* -X102297790Y-131781328D01* -X102317012Y-131813398D01* -X102332998Y-131847198D01* -X102345594Y-131882402D01* -X102354679Y-131918671D01* -X102360165Y-131955655D01* -X102362000Y-131993000D01* -X102362000Y-138771000D01* -X102360165Y-138808345D01* -X102354679Y-138845329D01* -X102345594Y-138881598D01* -X102332998Y-138916802D01* -X102317012Y-138950602D01* -X102297790Y-138982672D01* -X102275517Y-139012704D01* -X102250408Y-139040408D01* -X102222704Y-139065517D01* -X102192672Y-139087790D01* -X102160602Y-139107012D01* -X102126802Y-139122998D01* -X102091598Y-139135594D01* -X102055329Y-139144679D01* -X102018345Y-139150165D01* -X101981000Y-139152000D01* -X101219000Y-139152000D01* -X101181655Y-139150165D01* -X101144671Y-139144679D01* -X101108402Y-139135594D01* -X101073198Y-139122998D01* -X101039398Y-139107012D01* -X101007328Y-139087790D01* -X100977296Y-139065517D01* -X100949592Y-139040408D01* -X100924483Y-139012704D01* -X100902210Y-138982672D01* -X100882988Y-138950602D01* -X100867002Y-138916802D01* -X100854406Y-138881598D01* -X100845321Y-138845329D01* -X100839835Y-138808345D01* -X100838000Y-138771000D01* -X100838000Y-131993000D01* -X100839835Y-131955655D01* -X100845321Y-131918671D01* -X100854406Y-131882402D01* -X100867002Y-131847198D01* -X100882988Y-131813398D01* -X100902210Y-131781328D01* -X100924483Y-131751296D01* -X100949592Y-131723592D01* -X100977296Y-131698483D01* -X101007328Y-131676210D01* -X101039398Y-131656988D01* -X101073198Y-131641002D01* -X101108402Y-131628406D01* -X101144671Y-131619321D01* -X101181655Y-131613835D01* -X101219000Y-131612000D01* -X101981000Y-131612000D01* -X102018345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99478345Y-131613835D02* -G01* -X99515329Y-131619321D01* -X99551598Y-131628406D01* -X99586802Y-131641002D01* -X99620602Y-131656988D01* -X99652672Y-131676210D01* -X99682704Y-131698483D01* -X99710408Y-131723592D01* -X99735517Y-131751296D01* -X99757790Y-131781328D01* -X99777012Y-131813398D01* -X99792998Y-131847198D01* -X99805594Y-131882402D01* -X99814679Y-131918671D01* -X99820165Y-131955655D01* -X99822000Y-131993000D01* -X99822000Y-138771000D01* -X99820165Y-138808345D01* -X99814679Y-138845329D01* -X99805594Y-138881598D01* -X99792998Y-138916802D01* -X99777012Y-138950602D01* -X99757790Y-138982672D01* -X99735517Y-139012704D01* -X99710408Y-139040408D01* -X99682704Y-139065517D01* -X99652672Y-139087790D01* -X99620602Y-139107012D01* -X99586802Y-139122998D01* -X99551598Y-139135594D01* -X99515329Y-139144679D01* -X99478345Y-139150165D01* -X99441000Y-139152000D01* -X98679000Y-139152000D01* -X98641655Y-139150165D01* -X98604671Y-139144679D01* -X98568402Y-139135594D01* -X98533198Y-139122998D01* -X98499398Y-139107012D01* -X98467328Y-139087790D01* -X98437296Y-139065517D01* -X98409592Y-139040408D01* -X98384483Y-139012704D01* -X98362210Y-138982672D01* -X98342988Y-138950602D01* -X98327002Y-138916802D01* -X98314406Y-138881598D01* -X98305321Y-138845329D01* -X98299835Y-138808345D01* -X98298000Y-138771000D01* -X98298000Y-131993000D01* -X98299835Y-131955655D01* -X98305321Y-131918671D01* -X98314406Y-131882402D01* -X98327002Y-131847198D01* -X98342988Y-131813398D01* -X98362210Y-131781328D01* -X98384483Y-131751296D01* -X98409592Y-131723592D01* -X98437296Y-131698483D01* -X98467328Y-131676210D01* -X98499398Y-131656988D01* -X98533198Y-131641002D01* -X98568402Y-131628406D01* -X98604671Y-131619321D01* -X98641655Y-131613835D01* -X98679000Y-131612000D01* -X99441000Y-131612000D01* -X99478345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96938345Y-131613835D02* -G01* -X96975329Y-131619321D01* -X97011598Y-131628406D01* -X97046802Y-131641002D01* -X97080602Y-131656988D01* -X97112672Y-131676210D01* -X97142704Y-131698483D01* -X97170408Y-131723592D01* -X97195517Y-131751296D01* -X97217790Y-131781328D01* -X97237012Y-131813398D01* -X97252998Y-131847198D01* -X97265594Y-131882402D01* -X97274679Y-131918671D01* -X97280165Y-131955655D01* -X97282000Y-131993000D01* -X97282000Y-138771000D01* -X97280165Y-138808345D01* -X97274679Y-138845329D01* -X97265594Y-138881598D01* -X97252998Y-138916802D01* -X97237012Y-138950602D01* -X97217790Y-138982672D01* -X97195517Y-139012704D01* -X97170408Y-139040408D01* -X97142704Y-139065517D01* -X97112672Y-139087790D01* -X97080602Y-139107012D01* -X97046802Y-139122998D01* -X97011598Y-139135594D01* -X96975329Y-139144679D01* -X96938345Y-139150165D01* -X96901000Y-139152000D01* -X96139000Y-139152000D01* -X96101655Y-139150165D01* -X96064671Y-139144679D01* -X96028402Y-139135594D01* -X95993198Y-139122998D01* -X95959398Y-139107012D01* -X95927328Y-139087790D01* -X95897296Y-139065517D01* -X95869592Y-139040408D01* -X95844483Y-139012704D01* -X95822210Y-138982672D01* -X95802988Y-138950602D01* -X95787002Y-138916802D01* -X95774406Y-138881598D01* -X95765321Y-138845329D01* -X95759835Y-138808345D01* -X95758000Y-138771000D01* -X95758000Y-131993000D01* -X95759835Y-131955655D01* -X95765321Y-131918671D01* -X95774406Y-131882402D01* -X95787002Y-131847198D01* -X95802988Y-131813398D01* -X95822210Y-131781328D01* -X95844483Y-131751296D01* -X95869592Y-131723592D01* -X95897296Y-131698483D01* -X95927328Y-131676210D01* -X95959398Y-131656988D01* -X95993198Y-131641002D01* -X96028402Y-131628406D01* -X96064671Y-131619321D01* -X96101655Y-131613835D01* -X96139000Y-131612000D01* -X96901000Y-131612000D01* -X96938345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X94398345Y-131613835D02* -G01* -X94435329Y-131619321D01* -X94471598Y-131628406D01* -X94506802Y-131641002D01* -X94540602Y-131656988D01* -X94572672Y-131676210D01* -X94602704Y-131698483D01* -X94630408Y-131723592D01* -X94655517Y-131751296D01* -X94677790Y-131781328D01* -X94697012Y-131813398D01* -X94712998Y-131847198D01* -X94725594Y-131882402D01* -X94734679Y-131918671D01* -X94740165Y-131955655D01* -X94742000Y-131993000D01* -X94742000Y-138771000D01* -X94740165Y-138808345D01* -X94734679Y-138845329D01* -X94725594Y-138881598D01* -X94712998Y-138916802D01* -X94697012Y-138950602D01* -X94677790Y-138982672D01* -X94655517Y-139012704D01* -X94630408Y-139040408D01* -X94602704Y-139065517D01* -X94572672Y-139087790D01* -X94540602Y-139107012D01* -X94506802Y-139122998D01* -X94471598Y-139135594D01* -X94435329Y-139144679D01* -X94398345Y-139150165D01* -X94361000Y-139152000D01* -X93599000Y-139152000D01* -X93561655Y-139150165D01* -X93524671Y-139144679D01* -X93488402Y-139135594D01* -X93453198Y-139122998D01* -X93419398Y-139107012D01* -X93387328Y-139087790D01* -X93357296Y-139065517D01* -X93329592Y-139040408D01* -X93304483Y-139012704D01* -X93282210Y-138982672D01* -X93262988Y-138950602D01* -X93247002Y-138916802D01* -X93234406Y-138881598D01* -X93225321Y-138845329D01* -X93219835Y-138808345D01* -X93218000Y-138771000D01* -X93218000Y-131993000D01* -X93219835Y-131955655D01* -X93225321Y-131918671D01* -X93234406Y-131882402D01* -X93247002Y-131847198D01* -X93262988Y-131813398D01* -X93282210Y-131781328D01* -X93304483Y-131751296D01* -X93329592Y-131723592D01* -X93357296Y-131698483D01* -X93387328Y-131676210D01* -X93419398Y-131656988D01* -X93453198Y-131641002D01* -X93488402Y-131628406D01* -X93524671Y-131619321D01* -X93561655Y-131613835D01* -X93599000Y-131612000D01* -X94361000Y-131612000D01* -X94398345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91858345Y-131613835D02* -G01* -X91895329Y-131619321D01* -X91931598Y-131628406D01* -X91966802Y-131641002D01* -X92000602Y-131656988D01* -X92032672Y-131676210D01* -X92062704Y-131698483D01* -X92090408Y-131723592D01* -X92115517Y-131751296D01* -X92137790Y-131781328D01* -X92157012Y-131813398D01* -X92172998Y-131847198D01* -X92185594Y-131882402D01* -X92194679Y-131918671D01* -X92200165Y-131955655D01* -X92202000Y-131993000D01* -X92202000Y-138771000D01* -X92200165Y-138808345D01* -X92194679Y-138845329D01* -X92185594Y-138881598D01* -X92172998Y-138916802D01* -X92157012Y-138950602D01* -X92137790Y-138982672D01* -X92115517Y-139012704D01* -X92090408Y-139040408D01* -X92062704Y-139065517D01* -X92032672Y-139087790D01* -X92000602Y-139107012D01* -X91966802Y-139122998D01* -X91931598Y-139135594D01* -X91895329Y-139144679D01* -X91858345Y-139150165D01* -X91821000Y-139152000D01* -X91059000Y-139152000D01* -X91021655Y-139150165D01* -X90984671Y-139144679D01* -X90948402Y-139135594D01* -X90913198Y-139122998D01* -X90879398Y-139107012D01* -X90847328Y-139087790D01* -X90817296Y-139065517D01* -X90789592Y-139040408D01* -X90764483Y-139012704D01* -X90742210Y-138982672D01* -X90722988Y-138950602D01* -X90707002Y-138916802D01* -X90694406Y-138881598D01* -X90685321Y-138845329D01* -X90679835Y-138808345D01* -X90678000Y-138771000D01* -X90678000Y-131993000D01* -X90679835Y-131955655D01* -X90685321Y-131918671D01* -X90694406Y-131882402D01* -X90707002Y-131847198D01* -X90722988Y-131813398D01* -X90742210Y-131781328D01* -X90764483Y-131751296D01* -X90789592Y-131723592D01* -X90817296Y-131698483D01* -X90847328Y-131676210D01* -X90879398Y-131656988D01* -X90913198Y-131641002D01* -X90948402Y-131628406D01* -X90984671Y-131619321D01* -X91021655Y-131613835D01* -X91059000Y-131612000D01* -X91821000Y-131612000D01* -X91858345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89318345Y-131613835D02* -G01* -X89355329Y-131619321D01* -X89391598Y-131628406D01* -X89426802Y-131641002D01* -X89460602Y-131656988D01* -X89492672Y-131676210D01* -X89522704Y-131698483D01* -X89550408Y-131723592D01* -X89575517Y-131751296D01* -X89597790Y-131781328D01* -X89617012Y-131813398D01* -X89632998Y-131847198D01* -X89645594Y-131882402D01* -X89654679Y-131918671D01* -X89660165Y-131955655D01* -X89662000Y-131993000D01* -X89662000Y-138771000D01* -X89660165Y-138808345D01* -X89654679Y-138845329D01* -X89645594Y-138881598D01* -X89632998Y-138916802D01* -X89617012Y-138950602D01* -X89597790Y-138982672D01* -X89575517Y-139012704D01* -X89550408Y-139040408D01* -X89522704Y-139065517D01* -X89492672Y-139087790D01* -X89460602Y-139107012D01* -X89426802Y-139122998D01* -X89391598Y-139135594D01* -X89355329Y-139144679D01* -X89318345Y-139150165D01* -X89281000Y-139152000D01* -X88519000Y-139152000D01* -X88481655Y-139150165D01* -X88444671Y-139144679D01* -X88408402Y-139135594D01* -X88373198Y-139122998D01* -X88339398Y-139107012D01* -X88307328Y-139087790D01* -X88277296Y-139065517D01* -X88249592Y-139040408D01* -X88224483Y-139012704D01* -X88202210Y-138982672D01* -X88182988Y-138950602D01* -X88167002Y-138916802D01* -X88154406Y-138881598D01* -X88145321Y-138845329D01* -X88139835Y-138808345D01* -X88138000Y-138771000D01* -X88138000Y-131993000D01* -X88139835Y-131955655D01* -X88145321Y-131918671D01* -X88154406Y-131882402D01* -X88167002Y-131847198D01* -X88182988Y-131813398D01* -X88202210Y-131781328D01* -X88224483Y-131751296D01* -X88249592Y-131723592D01* -X88277296Y-131698483D01* -X88307328Y-131676210D01* -X88339398Y-131656988D01* -X88373198Y-131641002D01* -X88408402Y-131628406D01* -X88444671Y-131619321D01* -X88481655Y-131613835D01* -X88519000Y-131612000D01* -X89281000Y-131612000D01* -X89318345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X86778345Y-131613835D02* -G01* -X86815329Y-131619321D01* -X86851598Y-131628406D01* -X86886802Y-131641002D01* -X86920602Y-131656988D01* -X86952672Y-131676210D01* -X86982704Y-131698483D01* -X87010408Y-131723592D01* -X87035517Y-131751296D01* -X87057790Y-131781328D01* -X87077012Y-131813398D01* -X87092998Y-131847198D01* -X87105594Y-131882402D01* -X87114679Y-131918671D01* -X87120165Y-131955655D01* -X87122000Y-131993000D01* -X87122000Y-138771000D01* -X87120165Y-138808345D01* -X87114679Y-138845329D01* -X87105594Y-138881598D01* -X87092998Y-138916802D01* -X87077012Y-138950602D01* -X87057790Y-138982672D01* -X87035517Y-139012704D01* -X87010408Y-139040408D01* -X86982704Y-139065517D01* -X86952672Y-139087790D01* -X86920602Y-139107012D01* -X86886802Y-139122998D01* -X86851598Y-139135594D01* -X86815329Y-139144679D01* -X86778345Y-139150165D01* -X86741000Y-139152000D01* -X85979000Y-139152000D01* -X85941655Y-139150165D01* -X85904671Y-139144679D01* -X85868402Y-139135594D01* -X85833198Y-139122998D01* -X85799398Y-139107012D01* -X85767328Y-139087790D01* -X85737296Y-139065517D01* -X85709592Y-139040408D01* -X85684483Y-139012704D01* -X85662210Y-138982672D01* -X85642988Y-138950602D01* -X85627002Y-138916802D01* -X85614406Y-138881598D01* -X85605321Y-138845329D01* -X85599835Y-138808345D01* -X85598000Y-138771000D01* -X85598000Y-131993000D01* -X85599835Y-131955655D01* -X85605321Y-131918671D01* -X85614406Y-131882402D01* -X85627002Y-131847198D01* -X85642988Y-131813398D01* -X85662210Y-131781328D01* -X85684483Y-131751296D01* -X85709592Y-131723592D01* -X85737296Y-131698483D01* -X85767328Y-131676210D01* -X85799398Y-131656988D01* -X85833198Y-131641002D01* -X85868402Y-131628406D01* -X85904671Y-131619321D01* -X85941655Y-131613835D01* -X85979000Y-131612000D01* -X86741000Y-131612000D01* -X86778345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84238345Y-131613835D02* -G01* -X84275329Y-131619321D01* -X84311598Y-131628406D01* -X84346802Y-131641002D01* -X84380602Y-131656988D01* -X84412672Y-131676210D01* -X84442704Y-131698483D01* -X84470408Y-131723592D01* -X84495517Y-131751296D01* -X84517790Y-131781328D01* -X84537012Y-131813398D01* -X84552998Y-131847198D01* -X84565594Y-131882402D01* -X84574679Y-131918671D01* -X84580165Y-131955655D01* -X84582000Y-131993000D01* -X84582000Y-138771000D01* -X84580165Y-138808345D01* -X84574679Y-138845329D01* -X84565594Y-138881598D01* -X84552998Y-138916802D01* -X84537012Y-138950602D01* -X84517790Y-138982672D01* -X84495517Y-139012704D01* -X84470408Y-139040408D01* -X84442704Y-139065517D01* -X84412672Y-139087790D01* -X84380602Y-139107012D01* -X84346802Y-139122998D01* -X84311598Y-139135594D01* -X84275329Y-139144679D01* -X84238345Y-139150165D01* -X84201000Y-139152000D01* -X83439000Y-139152000D01* -X83401655Y-139150165D01* -X83364671Y-139144679D01* -X83328402Y-139135594D01* -X83293198Y-139122998D01* -X83259398Y-139107012D01* -X83227328Y-139087790D01* -X83197296Y-139065517D01* -X83169592Y-139040408D01* -X83144483Y-139012704D01* -X83122210Y-138982672D01* -X83102988Y-138950602D01* -X83087002Y-138916802D01* -X83074406Y-138881598D01* -X83065321Y-138845329D01* -X83059835Y-138808345D01* -X83058000Y-138771000D01* -X83058000Y-131993000D01* -X83059835Y-131955655D01* -X83065321Y-131918671D01* -X83074406Y-131882402D01* -X83087002Y-131847198D01* -X83102988Y-131813398D01* -X83122210Y-131781328D01* -X83144483Y-131751296D01* -X83169592Y-131723592D01* -X83197296Y-131698483D01* -X83227328Y-131676210D01* -X83259398Y-131656988D01* -X83293198Y-131641002D01* -X83328402Y-131628406D01* -X83364671Y-131619321D01* -X83401655Y-131613835D01* -X83439000Y-131612000D01* -X84201000Y-131612000D01* -X84238345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81698345Y-131613835D02* -G01* -X81735329Y-131619321D01* -X81771598Y-131628406D01* -X81806802Y-131641002D01* -X81840602Y-131656988D01* -X81872672Y-131676210D01* -X81902704Y-131698483D01* -X81930408Y-131723592D01* -X81955517Y-131751296D01* -X81977790Y-131781328D01* -X81997012Y-131813398D01* -X82012998Y-131847198D01* -X82025594Y-131882402D01* -X82034679Y-131918671D01* -X82040165Y-131955655D01* -X82042000Y-131993000D01* -X82042000Y-138771000D01* -X82040165Y-138808345D01* -X82034679Y-138845329D01* -X82025594Y-138881598D01* -X82012998Y-138916802D01* -X81997012Y-138950602D01* -X81977790Y-138982672D01* -X81955517Y-139012704D01* -X81930408Y-139040408D01* -X81902704Y-139065517D01* -X81872672Y-139087790D01* -X81840602Y-139107012D01* -X81806802Y-139122998D01* -X81771598Y-139135594D01* -X81735329Y-139144679D01* -X81698345Y-139150165D01* -X81661000Y-139152000D01* -X80899000Y-139152000D01* -X80861655Y-139150165D01* -X80824671Y-139144679D01* -X80788402Y-139135594D01* -X80753198Y-139122998D01* -X80719398Y-139107012D01* -X80687328Y-139087790D01* -X80657296Y-139065517D01* -X80629592Y-139040408D01* -X80604483Y-139012704D01* -X80582210Y-138982672D01* -X80562988Y-138950602D01* -X80547002Y-138916802D01* -X80534406Y-138881598D01* -X80525321Y-138845329D01* -X80519835Y-138808345D01* -X80518000Y-138771000D01* -X80518000Y-131993000D01* -X80519835Y-131955655D01* -X80525321Y-131918671D01* -X80534406Y-131882402D01* -X80547002Y-131847198D01* -X80562988Y-131813398D01* -X80582210Y-131781328D01* -X80604483Y-131751296D01* -X80629592Y-131723592D01* -X80657296Y-131698483D01* -X80687328Y-131676210D01* -X80719398Y-131656988D01* -X80753198Y-131641002D01* -X80788402Y-131628406D01* -X80824671Y-131619321D01* -X80861655Y-131613835D01* -X80899000Y-131612000D01* -X81661000Y-131612000D01* -X81698345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X79158345Y-131613835D02* -G01* -X79195329Y-131619321D01* -X79231598Y-131628406D01* -X79266802Y-131641002D01* -X79300602Y-131656988D01* -X79332672Y-131676210D01* -X79362704Y-131698483D01* -X79390408Y-131723592D01* -X79415517Y-131751296D01* -X79437790Y-131781328D01* -X79457012Y-131813398D01* -X79472998Y-131847198D01* -X79485594Y-131882402D01* -X79494679Y-131918671D01* -X79500165Y-131955655D01* -X79502000Y-131993000D01* -X79502000Y-138771000D01* -X79500165Y-138808345D01* -X79494679Y-138845329D01* -X79485594Y-138881598D01* -X79472998Y-138916802D01* -X79457012Y-138950602D01* -X79437790Y-138982672D01* -X79415517Y-139012704D01* -X79390408Y-139040408D01* -X79362704Y-139065517D01* -X79332672Y-139087790D01* -X79300602Y-139107012D01* -X79266802Y-139122998D01* -X79231598Y-139135594D01* -X79195329Y-139144679D01* -X79158345Y-139150165D01* -X79121000Y-139152000D01* -X78359000Y-139152000D01* -X78321655Y-139150165D01* -X78284671Y-139144679D01* -X78248402Y-139135594D01* -X78213198Y-139122998D01* -X78179398Y-139107012D01* -X78147328Y-139087790D01* -X78117296Y-139065517D01* -X78089592Y-139040408D01* -X78064483Y-139012704D01* -X78042210Y-138982672D01* -X78022988Y-138950602D01* -X78007002Y-138916802D01* -X77994406Y-138881598D01* -X77985321Y-138845329D01* -X77979835Y-138808345D01* -X77978000Y-138771000D01* -X77978000Y-131993000D01* -X77979835Y-131955655D01* -X77985321Y-131918671D01* -X77994406Y-131882402D01* -X78007002Y-131847198D01* -X78022988Y-131813398D01* -X78042210Y-131781328D01* -X78064483Y-131751296D01* -X78089592Y-131723592D01* -X78117296Y-131698483D01* -X78147328Y-131676210D01* -X78179398Y-131656988D01* -X78213198Y-131641002D01* -X78248402Y-131628406D01* -X78284671Y-131619321D01* -X78321655Y-131613835D01* -X78359000Y-131612000D01* -X79121000Y-131612000D01* -X79158345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X76618345Y-131613835D02* -G01* -X76655329Y-131619321D01* -X76691598Y-131628406D01* -X76726802Y-131641002D01* -X76760602Y-131656988D01* -X76792672Y-131676210D01* -X76822704Y-131698483D01* -X76850408Y-131723592D01* -X76875517Y-131751296D01* -X76897790Y-131781328D01* -X76917012Y-131813398D01* -X76932998Y-131847198D01* -X76945594Y-131882402D01* -X76954679Y-131918671D01* -X76960165Y-131955655D01* -X76962000Y-131993000D01* -X76962000Y-138771000D01* -X76960165Y-138808345D01* -X76954679Y-138845329D01* -X76945594Y-138881598D01* -X76932998Y-138916802D01* -X76917012Y-138950602D01* -X76897790Y-138982672D01* -X76875517Y-139012704D01* -X76850408Y-139040408D01* -X76822704Y-139065517D01* -X76792672Y-139087790D01* -X76760602Y-139107012D01* -X76726802Y-139122998D01* -X76691598Y-139135594D01* -X76655329Y-139144679D01* -X76618345Y-139150165D01* -X76581000Y-139152000D01* -X75819000Y-139152000D01* -X75781655Y-139150165D01* -X75744671Y-139144679D01* -X75708402Y-139135594D01* -X75673198Y-139122998D01* -X75639398Y-139107012D01* -X75607328Y-139087790D01* -X75577296Y-139065517D01* -X75549592Y-139040408D01* -X75524483Y-139012704D01* -X75502210Y-138982672D01* -X75482988Y-138950602D01* -X75467002Y-138916802D01* -X75454406Y-138881598D01* -X75445321Y-138845329D01* -X75439835Y-138808345D01* -X75438000Y-138771000D01* -X75438000Y-131993000D01* -X75439835Y-131955655D01* -X75445321Y-131918671D01* -X75454406Y-131882402D01* -X75467002Y-131847198D01* -X75482988Y-131813398D01* -X75502210Y-131781328D01* -X75524483Y-131751296D01* -X75549592Y-131723592D01* -X75577296Y-131698483D01* -X75607328Y-131676210D01* -X75639398Y-131656988D01* -X75673198Y-131641002D01* -X75708402Y-131628406D01* -X75744671Y-131619321D01* -X75781655Y-131613835D01* -X75819000Y-131612000D01* -X76581000Y-131612000D01* -X76618345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X132498345Y-131613835D02* -G01* -X132535329Y-131619321D01* -X132571598Y-131628406D01* -X132606802Y-131641002D01* -X132640602Y-131656988D01* -X132672672Y-131676210D01* -X132702704Y-131698483D01* -X132730408Y-131723592D01* -X132755517Y-131751296D01* -X132777790Y-131781328D01* -X132797012Y-131813398D01* -X132812998Y-131847198D01* -X132825594Y-131882402D01* -X132834679Y-131918671D01* -X132840165Y-131955655D01* -X132842000Y-131993000D01* -X132842000Y-138771000D01* -X132840165Y-138808345D01* -X132834679Y-138845329D01* -X132825594Y-138881598D01* -X132812998Y-138916802D01* -X132797012Y-138950602D01* -X132777790Y-138982672D01* -X132755517Y-139012704D01* -X132730408Y-139040408D01* -X132702704Y-139065517D01* -X132672672Y-139087790D01* -X132640602Y-139107012D01* -X132606802Y-139122998D01* -X132571598Y-139135594D01* -X132535329Y-139144679D01* -X132498345Y-139150165D01* -X132461000Y-139152000D01* -X131699000Y-139152000D01* -X131661655Y-139150165D01* -X131624671Y-139144679D01* -X131588402Y-139135594D01* -X131553198Y-139122998D01* -X131519398Y-139107012D01* -X131487328Y-139087790D01* -X131457296Y-139065517D01* -X131429592Y-139040408D01* -X131404483Y-139012704D01* -X131382210Y-138982672D01* -X131362988Y-138950602D01* -X131347002Y-138916802D01* -X131334406Y-138881598D01* -X131325321Y-138845329D01* -X131319835Y-138808345D01* -X131318000Y-138771000D01* -X131318000Y-131993000D01* -X131319835Y-131955655D01* -X131325321Y-131918671D01* -X131334406Y-131882402D01* -X131347002Y-131847198D01* -X131362988Y-131813398D01* -X131382210Y-131781328D01* -X131404483Y-131751296D01* -X131429592Y-131723592D01* -X131457296Y-131698483D01* -X131487328Y-131676210D01* -X131519398Y-131656988D01* -X131553198Y-131641002D01* -X131588402Y-131628406D01* -X131624671Y-131619321D01* -X131661655Y-131613835D01* -X131699000Y-131612000D01* -X132461000Y-131612000D01* -X132498345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135038345Y-131613835D02* -G01* -X135075329Y-131619321D01* -X135111598Y-131628406D01* -X135146802Y-131641002D01* -X135180602Y-131656988D01* -X135212672Y-131676210D01* -X135242704Y-131698483D01* -X135270408Y-131723592D01* -X135295517Y-131751296D01* -X135317790Y-131781328D01* -X135337012Y-131813398D01* -X135352998Y-131847198D01* -X135365594Y-131882402D01* -X135374679Y-131918671D01* -X135380165Y-131955655D01* -X135382000Y-131993000D01* -X135382000Y-138771000D01* -X135380165Y-138808345D01* -X135374679Y-138845329D01* -X135365594Y-138881598D01* -X135352998Y-138916802D01* -X135337012Y-138950602D01* -X135317790Y-138982672D01* -X135295517Y-139012704D01* -X135270408Y-139040408D01* -X135242704Y-139065517D01* -X135212672Y-139087790D01* -X135180602Y-139107012D01* -X135146802Y-139122998D01* -X135111598Y-139135594D01* -X135075329Y-139144679D01* -X135038345Y-139150165D01* -X135001000Y-139152000D01* -X134239000Y-139152000D01* -X134201655Y-139150165D01* -X134164671Y-139144679D01* -X134128402Y-139135594D01* -X134093198Y-139122998D01* -X134059398Y-139107012D01* -X134027328Y-139087790D01* -X133997296Y-139065517D01* -X133969592Y-139040408D01* -X133944483Y-139012704D01* -X133922210Y-138982672D01* -X133902988Y-138950602D01* -X133887002Y-138916802D01* -X133874406Y-138881598D01* -X133865321Y-138845329D01* -X133859835Y-138808345D01* -X133858000Y-138771000D01* -X133858000Y-131993000D01* -X133859835Y-131955655D01* -X133865321Y-131918671D01* -X133874406Y-131882402D01* -X133887002Y-131847198D01* -X133902988Y-131813398D01* -X133922210Y-131781328D01* -X133944483Y-131751296D01* -X133969592Y-131723592D01* -X133997296Y-131698483D01* -X134027328Y-131676210D01* -X134059398Y-131656988D01* -X134093198Y-131641002D01* -X134128402Y-131628406D01* -X134164671Y-131619321D01* -X134201655Y-131613835D01* -X134239000Y-131612000D01* -X135001000Y-131612000D01* -X135038345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X137578345Y-131613835D02* -G01* -X137615329Y-131619321D01* -X137651598Y-131628406D01* -X137686802Y-131641002D01* -X137720602Y-131656988D01* -X137752672Y-131676210D01* -X137782704Y-131698483D01* -X137810408Y-131723592D01* -X137835517Y-131751296D01* -X137857790Y-131781328D01* -X137877012Y-131813398D01* -X137892998Y-131847198D01* -X137905594Y-131882402D01* -X137914679Y-131918671D01* -X137920165Y-131955655D01* -X137922000Y-131993000D01* -X137922000Y-138771000D01* -X137920165Y-138808345D01* -X137914679Y-138845329D01* -X137905594Y-138881598D01* -X137892998Y-138916802D01* -X137877012Y-138950602D01* -X137857790Y-138982672D01* -X137835517Y-139012704D01* -X137810408Y-139040408D01* -X137782704Y-139065517D01* -X137752672Y-139087790D01* -X137720602Y-139107012D01* -X137686802Y-139122998D01* -X137651598Y-139135594D01* -X137615329Y-139144679D01* -X137578345Y-139150165D01* -X137541000Y-139152000D01* -X136779000Y-139152000D01* -X136741655Y-139150165D01* -X136704671Y-139144679D01* -X136668402Y-139135594D01* -X136633198Y-139122998D01* -X136599398Y-139107012D01* -X136567328Y-139087790D01* -X136537296Y-139065517D01* -X136509592Y-139040408D01* -X136484483Y-139012704D01* -X136462210Y-138982672D01* -X136442988Y-138950602D01* -X136427002Y-138916802D01* -X136414406Y-138881598D01* -X136405321Y-138845329D01* -X136399835Y-138808345D01* -X136398000Y-138771000D01* -X136398000Y-131993000D01* -X136399835Y-131955655D01* -X136405321Y-131918671D01* -X136414406Y-131882402D01* -X136427002Y-131847198D01* -X136442988Y-131813398D01* -X136462210Y-131781328D01* -X136484483Y-131751296D01* -X136509592Y-131723592D01* -X136537296Y-131698483D01* -X136567328Y-131676210D01* -X136599398Y-131656988D01* -X136633198Y-131641002D01* -X136668402Y-131628406D01* -X136704671Y-131619321D01* -X136741655Y-131613835D01* -X136779000Y-131612000D01* -X137541000Y-131612000D01* -X137578345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -D14* -X140462000Y-129540000D03* -D15* -X137160000Y-127000000D03* -X138303000Y-128270000D03* -D16* -X137160000Y-129794000D03* -D17* -X60200000Y-106850000D03* -X74803000Y-83185000D03* -X79883000Y-83185000D03* -X84963000Y-84074000D03* -X90043000Y-83820000D03* -X102743000Y-80645000D03* -X119850000Y-103050000D03* -X117450000Y-103050000D03* -X118650000Y-109450000D03* -X118650000Y-107050000D03* -X118650000Y-104650000D03* -X118650000Y-110650000D03* -X118650000Y-111850000D03* -X118650000Y-113450000D03* -X118650000Y-105850000D03* -X118650000Y-108250000D03* -X144780000Y-93345000D03* -X51435000Y-131318000D03* -X143002000Y-131318000D03* -X144780000Y-129540000D03* -X46355000Y-104521000D03* -X46355000Y-124841000D03* -X46355000Y-119761000D03* -X46355000Y-109601000D03* -X71755000Y-131318000D03* -X117983000Y-80645000D03* -X112903000Y-80645000D03* -X107823000Y-80645000D03* -X97663000Y-80645000D03* -X92583000Y-80645000D03* -X87503000Y-80645000D03* -X48133000Y-131318000D03* -X46355000Y-129540000D03* -X144780000Y-82423000D03* -X144780000Y-98425000D03* -X81788000Y-129349500D03* -X79950000Y-128350000D03* -X97790000Y-131318000D03* -X85090000Y-131318000D03* -X87630000Y-131318000D03* -X102870000Y-131318000D03* -X105410000Y-131318000D03* -X107950000Y-131318000D03* -X110490000Y-131318000D03* -X113030000Y-131318000D03* -X115570000Y-131318000D03* -X118110000Y-131318000D03* -X100330000Y-131318000D03* -X95250000Y-131318000D03* -X92710000Y-131318000D03* -X90170000Y-131318000D03* -X80010000Y-131318000D03* -X77470000Y-131318000D03* -X82550000Y-131318000D03* -X138303000Y-80645000D03* -X133223000Y-80645000D03* -X128143000Y-80645000D03* -X123063000Y-80645000D03* -X46355000Y-99441000D03* -X120650000Y-131318000D03* -X125730000Y-131318000D03* -X128270000Y-131318000D03* -X123190000Y-131318000D03* -X46355000Y-114681000D03* -X144780000Y-88265000D03* -X138430000Y-131318000D03* -X111750000Y-103050000D03* -X125550000Y-104650000D03* -D18* -X126450000Y-109500000D03* -D17* -X114050000Y-92650000D03* -X123250000Y-104650000D03* -X114050000Y-103050000D03* -X123250000Y-109450000D03* -X109950000Y-107950000D03* -D15* -X110850000Y-107000000D03* -D17* -X114050000Y-107050000D03* -X111750000Y-111850000D03* -X114050000Y-111850000D03* -X130810000Y-131318000D03* -X133350000Y-131318000D03* -X135890000Y-131318000D03* -X77475000Y-120550000D03* -X95875000Y-120550000D03* -D15* -X115443000Y-129540000D03* -X114300000Y-128270000D03* -X134366000Y-128270000D03* -X135509000Y-127000000D03* -X135509000Y-129540000D03* -D17* -X83950000Y-126100000D03* -X84600000Y-124950000D03* -X93150000Y-123800000D03* -X93150000Y-126100000D03* -X93800000Y-124950000D03* -X102350000Y-123800000D03* -X103000000Y-124950000D03* -X102350000Y-126100000D03* -X98200000Y-110200000D03* -X91200000Y-110200000D03* -X102850000Y-100400000D03* -X102850000Y-102750000D03* -X85250000Y-100150000D03* -X98700000Y-92600000D03* -X96300000Y-92599990D03* -X100550000Y-102800000D03* -X100550000Y-100400000D03* -X98650000Y-94900000D03* -X91150000Y-107900000D03* -X98150000Y-107900000D03* -D15* -X74549000Y-129540000D03* -X120777000Y-129540000D03* -D17* -X102875000Y-105150000D03* -D15* -X73406000Y-128524000D03* -D17* -X104750000Y-102600000D03* -D15* -X109450000Y-103350000D03* -D17* -X109800000Y-95500000D03* -X104000000Y-108075000D03* -X104700000Y-100200000D03* -X85200000Y-97150000D03* -X106350000Y-120150000D03* -X105050000Y-120550000D03* -X106850000Y-99500000D03* -X108050000Y-104350000D03* -X89300000Y-92550000D03* -X102250000Y-109400000D03* -X105250000Y-110100000D03* -X106500000Y-105550000D03* -X110750000Y-96450000D03* -X106750000Y-97000000D03* -X108200000Y-97750000D03* -X83950000Y-123800000D03* -X85450000Y-108550000D03* -D15* -X126450000Y-114300000D03* -D17* -X103750000Y-105850000D03* -X61341000Y-117792500D03* -X62611000Y-120713500D03* -X66103500Y-124714000D03* -X65786000Y-106299000D03* -X65786000Y-107696000D03* -D19* -X112900000Y-91950000D03* -D17* -X125600000Y-94150000D03* -D15* -X132750000Y-122300000D03* -D17* -X82423000Y-80645000D03* -X77343000Y-80645000D03* -X72263000Y-80645000D03* -X62103000Y-80645000D03* -X56515000Y-131318000D03* -X61595000Y-131318000D03* -X66675000Y-131318000D03* -X48895000Y-122301000D03* -X48895000Y-112141000D03* -X48895000Y-107061000D03* -X48895000Y-101981000D03* -X48895000Y-117221000D03* -X53975000Y-122301000D03* -X53975000Y-112141000D03* -X53975000Y-117221000D03* -X51435000Y-119761000D03* -X51435000Y-124841000D03* -X51435000Y-114681000D03* -X56400000Y-99441000D03* -X56388000Y-119761000D03* -X56388000Y-124841000D03* -X56400000Y-109600000D03* -X56388000Y-114681000D03* -X56400000Y-104521000D03* -X72263000Y-80645000D03* -X67183000Y-80645000D03* -X53848000Y-128016000D03* -X64008000Y-128016000D03* -X58928000Y-128016000D03* -X69088000Y-128016000D03* -X56515000Y-94361000D03* -X53975000Y-96901000D03* -X120523000Y-83185000D03* -X115443000Y-83947000D03* -X135763000Y-83185000D03* -X130683000Y-83185000D03* -X125603000Y-83185000D03* -X130683000Y-88265000D03* -X143383000Y-85725000D03* -X144780000Y-113665000D03* -X144780000Y-118745000D03* -X144780000Y-123825000D03* -X67183000Y-90805000D03* -X62103000Y-90805000D03* -X64643000Y-93345000D03* -X58166000Y-91059000D03* -X68650000Y-110500000D03* -X68650000Y-109100000D03* -X64643000Y-83185000D03* -X69723000Y-83185000D03* -X100203000Y-83947000D03* -X89450000Y-104100000D03* -X99750000Y-107350000D03* -X59563000Y-93345000D03* -X96150000Y-111850000D03* -X58050000Y-115200000D03* -X57400000Y-110050000D03* -X66800000Y-110050000D03* -X63100000Y-116150000D03* -X61531500Y-124714000D03* -X58750000Y-123850000D03* -X57400000Y-123900000D03* -X66800000Y-101400000D03* -X101150000Y-93000000D03* -X102500000Y-117600000D03* -X110100000Y-128450000D03* -X107200000Y-128400000D03* -X105200000Y-128700000D03* -X103150000Y-129100000D03* -X102100000Y-129700000D03* -X99550000Y-128550000D03* -X86850000Y-129950000D03* -X88050000Y-129650000D03* -X90300000Y-129350000D03* -X92550000Y-129050000D03* -X94500000Y-129900000D03* -X92550000Y-127950000D03* -X90900000Y-126300000D03* -X91950000Y-129850000D03* -X89400000Y-129850000D03* -X80750000Y-129900000D03* -X88400000Y-128050000D03* -X99750000Y-114850000D03* -X106900000Y-126800000D03* -X108900000Y-126800000D03* -X97450000Y-126900000D03* -X98550000Y-128050000D03* -X95000000Y-127900000D03* -X108900000Y-113400000D03* -X108100000Y-108450000D03* -D15* -X126450000Y-95100000D03* -D17* -X68350000Y-111600000D03* -X91600000Y-92600000D03* -X112900000Y-114050000D03* -X114050000Y-113450000D03* -X111750000Y-113450000D03* -D19* -X110800000Y-102450000D03* -D17* -X111750000Y-92500000D03* -X114400000Y-121000000D03* -X115000000Y-120100000D03* -X105400000Y-111400000D03* -X87800000Y-106400000D03* -X86650000Y-120550000D03* -X85150000Y-104650000D03* -X82800000Y-102000000D03* -X83650000Y-102800000D03* -X81950000Y-102800000D03* -X77216000Y-95821500D03* -X76350000Y-104700000D03* -X87150000Y-92900000D03* -X83150000Y-93800000D03* -X86400000Y-94450000D03* -X83500000Y-100300000D03* -X83500000Y-97400000D03* -X64135000Y-122428000D03* -X69723000Y-93345000D03* -X116950000Y-121500000D03* -X118650000Y-121500000D03* -X105400000Y-113100000D03* -X125550000Y-113050000D03* -X92450000Y-112900000D03* -X87500000Y-100150000D03* -X91700000Y-94850000D03* -D15* -X127450000Y-93900000D03* -X127450000Y-108300000D03* -D17* -X69850000Y-101250000D03* -X68150000Y-101250000D03* -X140843000Y-88265000D03* -X135763000Y-88265000D03* -X75700000Y-101650000D03* -X78000000Y-107250000D03* -X78200000Y-105950000D03* -X82500000Y-97800000D03* -D18* -X127450000Y-104300000D03* -D17* -X69750000Y-112950000D03* -X51435000Y-99441000D03* -X51435000Y-104521000D03* -X51435000Y-109601000D03* -X53975000Y-101981000D03* -X53975000Y-107061000D03* -X64600000Y-114250000D03* -X63690500Y-115062000D03* -X63373000Y-117665500D03* -X87400000Y-111750000D03* -X86400000Y-109800000D03* -X46355000Y-93472000D03* -X59182000Y-80645000D03* -X51435000Y-94361000D03* -X53975000Y-91821000D03* -X56515000Y-83185000D03* -X53975000Y-85725000D03* -X51435000Y-88265000D03* -X48895000Y-90805000D03* -X55880000Y-88519000D03* -X71755000Y-114681000D03* -X71755000Y-124841000D03* -X74295000Y-122301000D03* -X71755000Y-119761000D03* -X74295000Y-117221000D03* -X69215000Y-122301000D03* -X69215000Y-117221000D03* -X76835000Y-114681000D03* -X143002000Y-80645000D03* -X84500000Y-113750000D03* -X87800000Y-114450000D03* -X110900000Y-99800000D03* -X105050000Y-98950000D03* -X107550000Y-100550000D03* -X108850000Y-100550000D03* -X109400000Y-99700000D03* -X106000000Y-100300000D03* -X57912000Y-86360000D03* -X59944000Y-84328000D03* -X117800000Y-120550000D03* -X110363000Y-83947000D03* -X105283000Y-83947000D03* -X107823000Y-90805000D03* -X110363000Y-88265000D03* -X105283000Y-88265000D03* -X100203000Y-88265000D03* -X102743000Y-90805000D03* -X117983000Y-90805000D03* -X120523000Y-88265000D03* -X115443000Y-88265000D03* -X125603000Y-88265000D03* -X128143000Y-90805000D03* -X133223000Y-90805000D03* -X130683000Y-93345000D03* -X133223000Y-95885000D03* -X143383000Y-90805000D03* -X128143000Y-95885000D03* -X130683000Y-98425000D03* -X69000000Y-102200000D03* -X101000000Y-111550000D03* -X103950000Y-114500000D03* -X106350000Y-112250000D03* -X135763000Y-93345000D03* -X138303000Y-95885000D03* -X135763000Y-98425000D03* -X143383000Y-95885000D03* -X140843000Y-98425000D03* -X140843000Y-93345000D03* -X138303000Y-90805000D03* -D15* -X130350000Y-122300000D03* -X129150000Y-123350000D03* -X131550000Y-123550000D03* -X140100000Y-122300000D03* -X141300000Y-123550000D03* -D19* -X123050000Y-91650000D03* -X123050000Y-89850000D03* -X122050000Y-90750000D03* -X113050000Y-89850000D03* -X112050000Y-90750000D03* -X123050000Y-116250000D03* -X122050000Y-115350000D03* -X123050000Y-114450000D03* -X113050000Y-116250000D03* -X112050000Y-115350000D03* -X126450000Y-105400000D03* -X127350000Y-113200000D03* -X92400000Y-111100000D03* -X91400000Y-112000000D03* -X98400000Y-112000000D03* -X99400000Y-111100000D03* -X88550000Y-91700000D03* -X89550000Y-90800000D03* -X95550000Y-91700000D03* -X96550000Y-90800000D03* -X104650000Y-105050000D03* -X76600000Y-121400000D03* -X75700000Y-120400000D03* -X84900000Y-120400000D03* -X85800000Y-121400000D03* -D17* -X97200000Y-117950000D03* -X88000000Y-117950000D03* -X78800000Y-117950000D03* -X106400000Y-117950000D03* -X143383000Y-100965000D03* -X138303000Y-100965000D03* -X144780000Y-103505000D03* -X133223000Y-100965000D03* -X143383000Y-106045000D03* -X144780000Y-108585000D03* -X144780000Y-113665000D03* -X140843000Y-113665000D03* -X135763000Y-113665000D03* -X143383000Y-116205000D03* -X133223000Y-116205000D03* -X138303000Y-116205000D03* -X77216000Y-98298000D03* -X76454000Y-97091500D03* -X128143000Y-100965000D03* -X143383000Y-121285000D03* -X128143000Y-116205000D03* -X130683000Y-113665000D03* -X135128000Y-100838000D03* -X136398000Y-100838000D03* -X72263000Y-90805000D03* -X102743000Y-86487000D03* -X97663000Y-86487000D03* -X107823000Y-86487000D03* -X117983000Y-86487000D03* -X112903000Y-86487000D03* -X92583000Y-86487000D03* -X87503000Y-86487000D03* -X82423000Y-85725000D03* -X77343000Y-85725000D03* -X67183000Y-85725000D03* -X72263000Y-85725000D03* -X62103000Y-85725000D03* -X72263000Y-85725000D03* -X123063000Y-85725000D03* -X128143000Y-85725000D03* -X133223000Y-85725000D03* -X138303000Y-85725000D03* -X74803000Y-88265000D03* -X79883000Y-88265000D03* -X84963000Y-88392000D03* -X69723000Y-88265000D03* -X64643000Y-88265000D03* -X59563000Y-88265000D03* -X77850000Y-127200000D03* -X91950000Y-126750000D03* -X86900000Y-126200000D03* -D19* -X94100000Y-120400000D03* -X103300000Y-120400000D03* -X95000000Y-121400000D03* -X104200000Y-121400000D03* -D17* -X111550000Y-126100000D03* -X110250000Y-126100000D03* -X111550000Y-123800000D03* -X112200000Y-124950000D03* -D15* -X134000000Y-123500000D03* -X135200000Y-122300000D03* -X138900000Y-123450000D03* -D17* -X130683000Y-118745000D03* -X140843000Y-118745000D03* -X128143000Y-121285000D03* -X135763000Y-118745000D03* -X138303000Y-121285000D03* -X125603000Y-118745000D03* -X123063000Y-121285000D03* -X120523000Y-118745000D03* -X115697000Y-118745000D03* -X117983000Y-116205000D03* -X125603000Y-123825000D03* -X120523000Y-123825000D03* -X123063000Y-126365000D03* -X128143000Y-126365000D03* -X125603000Y-128905000D03* -X130683000Y-128905000D03* -X113157000Y-123825000D03* -D15* -X121856500Y-128270000D03* -D17* -X95123000Y-83820000D03* -X95123000Y-88265000D03* -X83300000Y-126850000D03* -X82650000Y-126100000D03* -X79400000Y-126850000D03* -X82000000Y-126850000D03* -X78750000Y-126100000D03* -X80050000Y-126100000D03* -X80700000Y-126850000D03* -X81350000Y-126100000D03* -D15* -X77343000Y-128270000D03* -X76200000Y-129540000D03* -X119126000Y-129540000D03* -X117094000Y-129540000D03* -D17* -X106350000Y-123050000D03* -X85200000Y-127381000D03* -X108300000Y-123800000D03* -X113150000Y-127950000D03* -X108950000Y-123050000D03* -X116600000Y-125400000D03* -X107650000Y-123050000D03* -X107000000Y-123800000D03* -X132080000Y-131191000D03* -X134620000Y-131191000D03* -X134620000Y-131191000D03* -X85250000Y-106900000D03* -X72100000Y-112100000D03* -X84350000Y-107400000D03* -X85250000Y-105900000D03* -X72050000Y-110650000D03* -X84150000Y-108400000D03* -X88450000Y-98400000D03* -X82200000Y-109200000D03* -X88500000Y-99650000D03* -X82700000Y-108300000D03* -X88450000Y-101900000D03* -X83200000Y-109200000D03* -D19* -X114250000Y-91650000D03* -X114250000Y-89850000D03* -X115250000Y-90750000D03* -D17* -X104200000Y-113100000D03* -X104200000Y-111400000D03* -X69850000Y-100050000D03* -X68150000Y-100050000D03* -D20* -X123250000Y-113450000D03* -D17* -X124400000Y-91950000D03* -D20* -X123250000Y-103050000D03* -X123250000Y-111850000D03* -D17* -X125550000Y-103050000D03* -X125550000Y-107050000D03* -X123250000Y-107050000D03* -X125550000Y-92650000D03* -X114050000Y-104650000D03* -X111750000Y-109450000D03* -X114050000Y-109450000D03* -X123250000Y-92650000D03* -X125550000Y-111850000D03* -X111750000Y-104650000D03* -D15* -X110850000Y-105600000D03* -D17* -X109950000Y-104650000D03* -X77475000Y-119050000D03* -D15* -X104750000Y-103550000D03* -D17* -X100550000Y-103500000D03* -X100550000Y-101400000D03* -X97950000Y-94900000D03* -X90450000Y-107900000D03* -X102850000Y-101400000D03* -X85250000Y-101400000D03* -X90900000Y-92600000D03* -X85250000Y-99350000D03* -X97900000Y-92600000D03* -X102850000Y-103550000D03* -X97400000Y-110200000D03* -X90400000Y-110200000D03* -D15* -X104750000Y-101650000D03* -X95000000Y-118100000D03* -D17* -X86675000Y-119050000D03* -X105075000Y-119050000D03* -X105700000Y-123800000D03* -D15* -X85800000Y-118100000D03* -D17* -X95875000Y-119050000D03* -D15* -X103750000Y-102600000D03* -D17* -X106950000Y-98000000D03* -X107550000Y-97100000D03* -X105700000Y-120150000D03* -X96500000Y-120150000D03* -X96500000Y-117950000D03* -X97450000Y-107900000D03* -X105700000Y-117950000D03* -X87300000Y-120150000D03* -X78100000Y-120150000D03* -D15* -X104200000Y-118100000D03* -D17* -X124400000Y-114050000D03* -D15* -X126450000Y-102100000D03* -D17* -X84250000Y-102450000D03* -X81950000Y-104300000D03* -X81026000Y-105600500D03* -X116850000Y-122700000D03* -X83500000Y-101500000D03* -X83500000Y-98600000D03* -X64135000Y-121666000D03* -X87500000Y-99350000D03* -X87500000Y-101400000D03* -X91000000Y-94850000D03* -D15* -X127450000Y-92900000D03* -X127450000Y-107300000D03* -D17* -X67900000Y-96450000D03* -D18* -X127450000Y-103300000D03* -D17* -X69750000Y-109800000D03* -X62928500Y-117030500D03* -X82800000Y-105100000D03* -X118650000Y-122700000D03* -X117800000Y-123650000D03* -X69000000Y-99100000D03* -X103250000Y-112250000D03* -X96500000Y-123800000D03* -D15* -X130350000Y-126100000D03* -X131550000Y-124850000D03* -D19* -X124250000Y-89850000D03* -X125250000Y-90750000D03* -X124250000Y-116250000D03* -X125250000Y-115350000D03* -X114250000Y-114450000D03* -X115250000Y-115350000D03* -X114250000Y-116250000D03* -X126450000Y-91800000D03* -X126450000Y-106200000D03* -X126450000Y-111000000D03* -X127350000Y-112000000D03* -D17* -X87300000Y-123800000D03* -D19* -X89200000Y-111100000D03* -X90200000Y-112000000D03* -X96200000Y-111100000D03* -X97200000Y-112000000D03* -X90750000Y-90800000D03* -X97750000Y-90800000D03* -X98750000Y-91700000D03* -D17* -X78100000Y-117950000D03* -D19* -X76600000Y-118200000D03* -X75700000Y-119200000D03* -D17* -X87300000Y-117950000D03* -D15* -X110850000Y-110450000D03* -D17* -X105950000Y-97000000D03* -X84950000Y-119150000D03* -X94150000Y-119150000D03* -X103350000Y-119150000D03* -D15* -X129150000Y-124850000D03* -X132750000Y-126100000D03* -X134000000Y-124900000D03* -X135200000Y-126050000D03* -D17* -X100050000Y-112900000D03* -X97800000Y-106950000D03* -X87550000Y-103400000D03* -X78100000Y-123800000D03* -D20* -X114750000Y-112650000D03* -X122550000Y-112650000D03* -D17* -X108950000Y-112050000D03* -D20* -X122550000Y-111150000D03* -X114750000Y-111150000D03* -D17* -X109700000Y-111150000D03* -X114750000Y-108750000D03* -D20* -X122550000Y-108750000D03* -D17* -X108950000Y-109250000D03* -X114750000Y-110150000D03* -X122550000Y-110150000D03* -X109700000Y-110150000D03* -X114750000Y-105350000D03* -X122550000Y-105350000D03* -X109700000Y-105750000D03* -X114750000Y-103850000D03* -D20* -X122550000Y-103850000D03* -D17* -X108950000Y-104850000D03* -D20* -X122550000Y-106350000D03* -D17* -X114750000Y-106350000D03* -X109700000Y-106750000D03* -X114750000Y-107750000D03* -X122550000Y-107750000D03* -X108950000Y-107650000D03* -X122600000Y-102250000D03* -X97200000Y-96900000D03* -X123250000Y-101450000D03* -X99600000Y-104000000D03* -X122600000Y-100650000D03* -X99600000Y-102450000D03* -X99650000Y-100900000D03* -X123250000Y-99850000D03* -X102850000Y-99400000D03* -X122600000Y-99050000D03* -X102850000Y-95400000D03* -X122600000Y-95850000D03* -X121950000Y-93450000D03* -X95950000Y-95250000D03* -X100050000Y-92600000D03* -X114700000Y-93450000D03* -X99650000Y-94900000D03* -X114700000Y-95050000D03* -X99650000Y-99900000D03* -X114700000Y-99850000D03* -X100500000Y-104500000D03* -X114050000Y-101450000D03* -X100550000Y-98900000D03* -X114050000Y-99050000D03* -X102850000Y-98400000D03* -X123250000Y-98250000D03* -X100550000Y-97900000D03* -X114700000Y-98250000D03* -X102850000Y-97400000D03* -X122600000Y-97450000D03* -X100550000Y-96900000D03* -X114050000Y-97450000D03* -X102850000Y-96400000D03* -X123250000Y-96650000D03* -X100550000Y-95900000D03* -X114700000Y-96650000D03* -X114050000Y-95850000D03* -X99150000Y-96300000D03* -X123250000Y-95050000D03* -X98100000Y-96400000D03* -X96950000Y-95000000D03* -X122600000Y-94250000D03* -X114050000Y-94250000D03* -X96700000Y-95950000D03* -X79946500Y-95758000D03* -X77216000Y-103124000D03* -X82950000Y-99450000D03* -X81026000Y-95758000D03* -X88450000Y-97400000D03* -X78486000Y-103124000D03* -X79800000Y-108650000D03* -X116300000Y-120100000D03* -X90050000Y-106900000D03* -X69600000Y-97850000D03* -X78295500Y-95758000D03* -X69600000Y-95050000D03* -X79756000Y-103124000D03* -X80050000Y-107650000D03* -X90050000Y-95350000D03* -X135128000Y-90932000D03* -X89000000Y-95600000D03* -X136398000Y-90932000D03* -D21* -X137160000Y-127000000D02* -X137160000Y-127960000D01* -D22* -X137160000Y-129794000D02* -X137160000Y-127000000D01* -X138303000Y-128651000D02* -X137160000Y-129794000D01* -X138303000Y-128270000D02* -X138303000Y-128651000D01* -X138303000Y-128143000D02* -X137160000Y-127000000D01* -D21* -X138112500Y-127952500D02* -X137160000Y-127000000D01* -X138620500Y-127952500D02* -X138112500Y-127952500D01* -X137160000Y-127000000D02* -X136906000Y-127000000D01* -X136915002Y-127000000D02* -X137160000Y-127000000D01* -D23* -X137160000Y-128940000D02* -X137160000Y-129794000D01* -D24* -X137856000Y-129794000D02* -X137160000Y-129794000D01* -D23* -X138530000Y-128270000D02* -X138303000Y-128270000D01* -X137160000Y-129794000D02* -X137160000Y-128651000D01* -X137160000Y-127000000D02* -X137310000Y-126850000D01* -D22* -X138303000Y-128270000D02* -X138303000Y-128143000D01* -X137160000Y-135382000D02* -X137160000Y-131572000D01* -D23* -X63881000Y-122428000D02* -X64135000Y-122428000D01* -X63373000Y-121920000D02* -X63881000Y-122428000D01* -X63373000Y-121412000D02* -X63373000Y-121920000D01* -X63881000Y-120904000D02* -X63373000Y-121412000D01* -X64262000Y-120904000D02* -X63881000Y-120904000D01* -X65151000Y-120015000D02* -X64262000Y-120904000D01* -X65151000Y-119888000D02* -X65151000Y-120015000D01* -D25* -X138430000Y-131318000D02* -X137414000Y-131318000D01* -X137414000Y-131318000D02* -X137160000Y-131572000D01* -X135890000Y-131318000D02* -X136906000Y-131318000D01* -X136906000Y-131318000D02* -X137160000Y-131572000D01* -X96520000Y-131191000D02* -X96520000Y-135382000D01* -X93879000Y-128550000D02* -X96520000Y-131191000D01* -X85000000Y-128550000D02* -X93879000Y-128550000D01* -X83300000Y-126850000D02* -X85000000Y-128550000D01* -X93980000Y-131191000D02* -X93980000Y-135382000D01* -X91639000Y-128850000D02* -X93980000Y-131191000D01* -X84527000Y-128850000D02* -X91639000Y-128850000D01* -X82650000Y-126973000D02* -X84527000Y-128850000D01* -X82650000Y-126100000D02* -X82650000Y-126973000D01* -X81280000Y-128730000D02* -X79400000Y-126850000D01* -X81280000Y-135382000D02* -X81280000Y-128730000D01* -X89399000Y-129150000D02* -X91440000Y-131191000D01* -X84300000Y-129150000D02* -X89399000Y-129150000D01* -X91440000Y-131191000D02* -X91440000Y-135382000D01* -X82000000Y-126850000D02* -X84300000Y-129150000D01* -X78350000Y-126500000D02* -X78750000Y-126100000D01* -X78350000Y-127150000D02* -X78350000Y-126500000D01* -X78350000Y-127150000D02* -X78750000Y-127550000D01* -X78750000Y-127550000D02* -X78750000Y-135372000D01* -X78750000Y-135372000D02* -X78740000Y-135382000D01* -X83820000Y-130683000D02* -X83820000Y-131064000D01* -X80050000Y-126913000D02* -X83820000Y-130683000D01* -X83820000Y-131064000D02* -X83820000Y-135382000D01* -X80050000Y-126100000D02* -X80050000Y-126913000D01* -X86360000Y-130492500D02* -X86360000Y-135382000D01* -X85617500Y-129750000D02* -X86360000Y-130492500D01* -X83600000Y-129750000D02* -X85617500Y-129750000D01* -X80700000Y-126850000D02* -X83600000Y-129750000D01* -X88900000Y-131191000D02* -X88900000Y-135382000D01* -X87159000Y-129450000D02* -X88900000Y-131191000D01* -X83857000Y-129450000D02* -X87159000Y-129450000D01* -X81350000Y-126943000D02* -X83857000Y-129450000D01* -X81350000Y-126100000D02* -X81350000Y-126943000D01* -D22* -X76200000Y-129540000D02* -X76200000Y-129413000D01* -X76200000Y-129413000D02* -X77343000Y-128270000D01* -X76200000Y-135382000D02* -X76200000Y-129540000D01* -X77343000Y-128270000D02* -X76327000Y-128270000D01* -X76200000Y-128397000D02* -X76200000Y-129540000D01* -X76327000Y-128270000D02* -X76200000Y-128397000D01* -X119380000Y-135382000D02* -X119380000Y-129794000D01* -X119380000Y-129794000D02* -X119126000Y-129540000D01* -X116840000Y-129794000D02* -X117094000Y-129540000D01* -X116840000Y-135382000D02* -X116840000Y-129794000D01* -D25* -X106500000Y-123200000D02* -X106350000Y-123050000D01* -X103669000Y-127381000D02* -X106500000Y-124550000D01* -X106500000Y-124550000D02* -X106500000Y-123200000D01* -X85200000Y-127381000D02* -X103669000Y-127381000D01* -X108100000Y-124000000D02* -X108300000Y-123800000D01* -X108100000Y-126700000D02* -X108100000Y-124000000D01* -X109350000Y-127950000D02* -X108100000Y-126700000D01* -X113150000Y-127950000D02* -X109350000Y-127950000D01* -X114250000Y-123050000D02* -X108950000Y-123050000D01* -X116600000Y-125400000D02* -X114250000Y-123050000D01* -X124460000Y-129460000D02* -X124460000Y-131191000D01* -X120400000Y-125400000D02* -X124460000Y-129460000D01* -X124460000Y-131191000D02* -X124460000Y-135382000D01* -X116600000Y-125400000D02* -X120400000Y-125400000D01* -X101600000Y-131191000D02* -X101600000Y-135382000D01* -X107650000Y-123050000D02* -X107800000Y-123200000D01* -X107800000Y-126896000D02* -X104394000Y-130302000D01* -X104394000Y-130302000D02* -X102489000Y-130302000D01* -X102489000Y-130302000D02* -X101600000Y-131191000D01* -X107800000Y-123200000D02* -X107800000Y-126896000D01* -X99060000Y-131191000D02* -X99060000Y-135382000D01* -X101051000Y-129200000D02* -X99060000Y-131191000D01* -X102321000Y-129200000D02* -X101051000Y-129200000D01* -X106800000Y-124721000D02* -X102321000Y-129200000D01* -X106800000Y-124000000D02* -X106800000Y-124721000D01* -X107000000Y-123800000D02* -X106800000Y-124000000D01* -X132080000Y-135382000D02* -X132080000Y-131191000D01* -X134620000Y-135382000D02* -X134620000Y-131191000D01* -X64135000Y-109635000D02* -X64135000Y-108204000D01* -X66600000Y-112100000D02* -X64135000Y-109635000D01* -X72100000Y-112100000D02* -X66600000Y-112100000D01* -X85950000Y-109600000D02* -X83450000Y-112100000D01* -X85950000Y-107600000D02* -X85950000Y-109600000D01* -X83450000Y-112100000D02* -X72100000Y-112100000D01* -X85250000Y-106900000D02* -X85950000Y-107600000D01* -X84650000Y-107700000D02* -X84350000Y-107400000D01* -X74350000Y-110350000D02* -X83750000Y-110350000D01* -X83750000Y-110350000D02* -X84650000Y-109450000D01* -X84650000Y-109450000D02* -X84650000Y-107700000D01* -X69664000Y-105664000D02* -X74350000Y-110350000D01* -X64135000Y-105664000D02* -X69664000Y-105664000D01* -X68334000Y-106934000D02* -X72050000Y-110650000D01* -X63500000Y-106934000D02* -X68334000Y-106934000D01* -X62865000Y-106299000D02* -X63500000Y-106934000D01* -X62865000Y-104394000D02* -X62865000Y-106299000D01* -X64135000Y-103124000D02* -X62865000Y-104394000D01* -X85250000Y-106200000D02* -X85250000Y-105900000D01* -X84750000Y-106700000D02* -X85250000Y-106200000D01* -X84950000Y-109600000D02* -X84950000Y-107300000D01* -X84750000Y-107100000D02* -X84750000Y-106700000D01* -X83900000Y-110650000D02* -X84950000Y-109600000D01* -X84950000Y-107300000D02* -X84750000Y-107100000D01* -X72050000Y-110650000D02* -X83900000Y-110650000D01* -X76141000Y-110050000D02* -X64135000Y-98044000D01* -X83600000Y-110050000D02* -X76141000Y-110050000D01* -X84350000Y-109300000D02* -X83600000Y-110050000D01* -X84350000Y-108600000D02* -X84350000Y-109300000D01* -X84150000Y-108400000D02* -X84350000Y-108600000D01* -X88300000Y-98250000D02* -X88450000Y-98400000D01* -X86950000Y-98250000D02* -X88300000Y-98250000D01* -X86100000Y-99100000D02* -X86950000Y-98250000D01* -X86100000Y-101800000D02* -X86100000Y-99100000D01* -X83650000Y-104250000D02* -X86100000Y-101800000D01* -X83650000Y-105650000D02* -X83650000Y-104250000D01* -X82400000Y-106900000D02* -X83650000Y-105650000D01* -X82400000Y-107900000D02* -X82400000Y-106900000D01* -X82200000Y-108100000D02* -X82400000Y-107900000D01* -X82200000Y-109200000D02* -X82200000Y-108100000D01* -X87900000Y-98550000D02* -X88500000Y-99150000D01* -X87100000Y-98550000D02* -X87900000Y-98550000D01* -X86400000Y-99250000D02* -X87100000Y-98550000D01* -X86400000Y-101950000D02* -X86400000Y-99250000D01* -X83950000Y-104400000D02* -X86400000Y-101950000D01* -X83950000Y-105800000D02* -X83950000Y-104400000D01* -X82700000Y-108300000D02* -X82700000Y-107050000D01* -X82700000Y-107050000D02* -X83950000Y-105800000D01* -X88500000Y-99150000D02* -X88500000Y-99650000D01* -X88050000Y-102300000D02* -X88450000Y-101900000D01* -X86500000Y-102300000D02* -X88050000Y-102300000D01* -X84250000Y-104550000D02* -X86500000Y-102300000D01* -X84250000Y-105950000D02* -X84250000Y-104550000D01* -X83000000Y-107200000D02* -X84250000Y-105950000D01* -X83000000Y-107900000D02* -X83000000Y-107200000D01* -X83200000Y-108100000D02* -X83000000Y-107900000D01* -X83200000Y-109200000D02* -X83200000Y-108100000D01* -D23* -X62674500Y-118554500D02* -X62611000Y-118618000D01* -X62674500Y-117284500D02* -X62674500Y-118554500D01* -X62928500Y-117030500D02* -X62674500Y-117284500D01* -D25* -X100050000Y-112600000D02* -X100050000Y-112900000D01* -X100050000Y-109100000D02* -X100050000Y-112600000D01* -X97900000Y-106950000D02* -X100050000Y-109100000D01* -X97800000Y-106950000D02* -X97900000Y-106950000D01* -X87550000Y-103700000D02* -X87550000Y-103400000D01* -X86400000Y-104850000D02* -X87550000Y-103700000D01* -X86900000Y-106900000D02* -X86400000Y-106400000D01* -X86400000Y-106400000D02* -X86400000Y-104850000D01* -X86900000Y-115000000D02* -X86900000Y-106900000D01* -X78100000Y-123800000D02* -X86900000Y-115000000D01* -X122550000Y-112650000D02* -X114750000Y-112650000D01* -X109550000Y-112650000D02* -X108950000Y-112050000D01* -X114750000Y-112650000D02* -X109550000Y-112650000D01* -X118400002Y-111150000D02* -X122550000Y-111150000D01* -X114750000Y-111150000D02* -X118400002Y-111150000D01* -X114750000Y-111150000D02* -X109700000Y-111150000D01* -X120890662Y-108750000D02* -X122550000Y-108750000D01* -X114750000Y-108750000D02* -X120890662Y-108750000D01* -X111250000Y-109250000D02* -X108950000Y-109250000D01* -X111750000Y-108750000D02* -X111250000Y-109250000D01* -X114750000Y-108750000D02* -X111750000Y-108750000D01* -X114750000Y-110150000D02* -X114650000Y-110250000D01* -X122550000Y-110150000D02* -X114750000Y-110150000D01* -X110100000Y-109750000D02* -X109700000Y-110150000D01* -X111350000Y-109750000D02* -X110100000Y-109750000D01* -X111750000Y-110150000D02* -X111350000Y-109750000D01* -X114750000Y-110150000D02* -X111750000Y-110150000D01* -X114750000Y-105350000D02* -X122550000Y-105350000D01* -X111650000Y-105350000D02* -X114750000Y-105350000D01* -X110500000Y-104950000D02* -X111250000Y-104950000D01* -X111250000Y-104950000D02* -X111650000Y-105350000D01* -X109700000Y-105750000D02* -X110500000Y-104950000D01* -X122550000Y-103850000D02* -X114750000Y-103850000D01* -X114750000Y-103850000D02* -X109950000Y-103850000D01* -X109950000Y-103850000D02* -X108950000Y-104850000D01* -X122550000Y-106350000D02* -X114750000Y-106350000D01* -X110600000Y-106350000D02* -X110200000Y-106750000D01* -X110200000Y-106750000D02* -X109700000Y-106750000D01* -X114750000Y-106350000D02* -X110600000Y-106350000D01* -X116300002Y-107750000D02* -X122550000Y-107750000D01* -X114750000Y-107750000D02* -X116300002Y-107750000D01* -X110850000Y-107750000D02* -X110150000Y-108450000D01* -X109750000Y-108450000D02* -X108950000Y-107650000D01* -X114750000Y-107750000D02* -X110850000Y-107750000D01* -X110150000Y-108450000D02* -X109750000Y-108450000D01* -X99550000Y-96900000D02* -X97200000Y-96900000D01* -X100050000Y-97400000D02* -X99550000Y-96900000D01* -X100050000Y-98800000D02* -X100050000Y-97400000D01* -X99100000Y-99750000D02* -X100050000Y-98800000D01* -X99100000Y-104200000D02* -X99100000Y-99750000D01* -X100250000Y-105350000D02* -X99100000Y-104200000D01* -X104550000Y-106350000D02* -X103400000Y-106350000D01* -X103400000Y-106350000D02* -X102400000Y-105350000D01* -X102400000Y-105350000D02* -X100250000Y-105350000D01* -X109700000Y-102450000D02* -X108450000Y-102450000D01* -X108450000Y-102450000D02* -X104550000Y-106350000D01* -X113450000Y-101550000D02* -X110600000Y-101550000D01* -X114150000Y-102250000D02* -X113450000Y-101550000D01* -X110600000Y-101550000D02* -X109700000Y-102450000D01* -X122600000Y-102250000D02* -X114150000Y-102250000D01* -X114250000Y-100950000D02* -X114750000Y-101450000D01* -X114750000Y-101450000D02* -X123250000Y-101450000D01* -X110300000Y-100950000D02* -X114250000Y-100950000D01* -X109400000Y-101850000D02* -X110300000Y-100950000D01* -X105100000Y-101000000D02* -X105950000Y-101850000D01* -X100900000Y-104000000D02* -X102650000Y-102250000D01* -X103200000Y-102250000D02* -X104450000Y-101000000D01* -X102650000Y-102250000D02* -X103200000Y-102250000D01* -X104450000Y-101000000D02* -X105100000Y-101000000D01* -X99600000Y-104000000D02* -X100900000Y-104000000D01* -X105950000Y-101850000D02* -X109400000Y-101850000D01* -X110150000Y-100650000D02* -X122600000Y-100650000D01* -X106100000Y-101550000D02* -X109250000Y-101550000D01* -X105250000Y-100700000D02* -X106100000Y-101550000D01* -X104300000Y-100700000D02* -X105250000Y-100700000D01* -X102500000Y-101950000D02* -X103050000Y-101950000D01* -X99600000Y-102450000D02* -X99750000Y-102300000D01* -X102150000Y-102300000D02* -X102500000Y-101950000D01* -X103050000Y-101950000D02* -X104300000Y-100700000D01* -X109250000Y-101550000D02* -X110150000Y-100650000D01* -X99750000Y-102300000D02* -X102150000Y-102300000D01* -X103300000Y-100900000D02* -X99650000Y-100900000D01* -X104500000Y-99700000D02* -X103300000Y-100900000D01* -X104900000Y-99700000D02* -X104500000Y-99700000D01* -X105500000Y-100500000D02* -X105500000Y-100300000D01* -X110000000Y-100350000D02* -X109100000Y-101250000D01* -X114900000Y-100350000D02* -X110000000Y-100350000D01* -X106250000Y-101250000D02* -X105500000Y-100500000D01* -X115400000Y-99850000D02* -X114900000Y-100350000D01* -X109100000Y-101250000D02* -X106250000Y-101250000D01* -X105500000Y-100300000D02* -X104900000Y-99700000D01* -X123250000Y-99850000D02* -X115400000Y-99850000D01* -X113050000Y-98950000D02* -X113650000Y-99550000D01* -X109850000Y-98950000D02* -X113050000Y-98950000D01* -X113650000Y-99550000D02* -X114250000Y-99550000D01* -X107200000Y-97500000D02* -X108100000Y-98400000D01* -X109300000Y-98400000D02* -X109850000Y-98950000D01* -X104950000Y-97500000D02* -X107200000Y-97500000D01* -X114750000Y-99050000D02* -X122600000Y-99050000D01* -X114250000Y-99550000D02* -X114750000Y-99050000D01* -X108100000Y-98400000D02* -X109300000Y-98400000D01* -X103050000Y-99400000D02* -X104950000Y-97500000D01* -X102850000Y-99400000D02* -X103050000Y-99400000D01* -X103125000Y-95400000D02* -X102850000Y-95400000D01* -X104175000Y-94350000D02* -X103125000Y-95400000D01* -X109800000Y-94350000D02* -X104175000Y-94350000D01* -X111800000Y-96350000D02* -X109800000Y-94350000D01* -X114300000Y-96350000D02* -X111800000Y-96350000D01* -X114800000Y-95850000D02* -X114300000Y-96350000D01* -X122600000Y-95850000D02* -X114800000Y-95850000D01* -X114900000Y-93950000D02* -X115400000Y-93450000D01* -X115400000Y-93450000D02* -X121950000Y-93450000D01* -X96950000Y-93800000D02* -X101500000Y-93800000D01* -X101500000Y-93800000D02* -X101900000Y-93400000D01* -X111850000Y-93750000D02* -X114300000Y-93750000D01* -X110650000Y-92550000D02* -X111850000Y-93750000D01* -X114500000Y-93950000D02* -X114900000Y-93950000D01* -X103300000Y-92550000D02* -X110650000Y-92550000D01* -X114300000Y-93750000D02* -X114500000Y-93950000D01* -X101900000Y-93400000D02* -X102450000Y-93400000D01* -X102450000Y-93400000D02* -X103300000Y-92550000D01* -X96050000Y-94700000D02* -X96950000Y-93800000D01* -X96050000Y-95150000D02* -X95950000Y-95250000D01* -X96050000Y-94700000D02* -X96050000Y-95150000D01* -X113950002Y-93450000D02* -X114700000Y-93450000D01* -X112000000Y-93450000D02* -X113950002Y-93450000D01* -X110800000Y-92250000D02* -X112000000Y-93450000D01* -X103150000Y-92250000D02* -X110800000Y-92250000D01* -X102300000Y-93100000D02* -X103150000Y-92250000D01* -X101750000Y-93100000D02* -X102300000Y-93100000D01* -X101350000Y-93500000D02* -X101750000Y-93100000D01* -X100950000Y-93500000D02* -X101350000Y-93500000D01* -X100050000Y-92600000D02* -X100950000Y-93500000D01* -X111825000Y-95050000D02* -X114700000Y-95050000D01* -X110225000Y-93450000D02* -X111825000Y-95050000D01* -X100150000Y-94700000D02* -X101950000Y-94700000D01* -X99950000Y-94900000D02* -X100150000Y-94700000D01* -X99650000Y-94900000D02* -X99950000Y-94900000D01* -X101950000Y-94700000D02* -X102350000Y-94300000D01* -X102350000Y-94300000D02* -X102900000Y-94300000D01* -X103750000Y-93450000D02* -X110225000Y-93450000D01* -X102900000Y-94300000D02* -X103750000Y-93450000D01* -X113500000Y-99850000D02* -X114700000Y-99850000D01* -X109150000Y-98700000D02* -X109700000Y-99250000D01* -X106550000Y-98350000D02* -X106900000Y-98700000D01* -X106900000Y-98700000D02* -X109150000Y-98700000D01* -X104625000Y-98350000D02* -X106550000Y-98350000D01* -X112900000Y-99250000D02* -X113500000Y-99850000D01* -X109700000Y-99250000D02* -X112900000Y-99250000D01* -X103075000Y-99900000D02* -X104625000Y-98350000D01* -X99650000Y-99900000D02* -X103075000Y-99900000D01* -X105200000Y-104500000D02* -X100500000Y-104500000D01* -X114050000Y-101450000D02* -X113850000Y-101250000D01* -X107550000Y-102150000D02* -X105200000Y-104500000D01* -X109550000Y-102150000D02* -X107550000Y-102150000D01* -X110450000Y-101250000D02* -X109550000Y-102150000D01* -X113850000Y-101250000D02* -X110450000Y-101250000D01* -X103025000Y-98900000D02* -X100550000Y-98900000D01* -X105475000Y-96450000D02* -X103025000Y-98900000D01* -X107800000Y-96450000D02* -X105475000Y-96450000D01* -X110000000Y-98650000D02* -X107800000Y-96450000D01* -X113200000Y-98650000D02* -X110000000Y-98650000D01* -X113400000Y-98850000D02* -X113200000Y-98650000D01* -X113850000Y-98850000D02* -X113400000Y-98850000D01* -X114050000Y-99050000D02* -X113850000Y-98850000D01* -X103037500Y-98400000D02* -X102850000Y-98400000D01* -X113350000Y-98350000D02* -X110150000Y-98350000D01* -X114500000Y-98750000D02* -X114300000Y-98550000D01* -X113550000Y-98550000D02* -X113350000Y-98350000D01* -X114900000Y-98750000D02* -X114500000Y-98750000D01* -X115400000Y-98250000D02* -X114900000Y-98750000D01* -X105287500Y-96150000D02* -X103037500Y-98400000D01* -X107950000Y-96150000D02* -X105287500Y-96150000D01* -X110150000Y-98350000D02* -X107950000Y-96150000D01* -X114300000Y-98550000D02* -X113550000Y-98550000D01* -X123250000Y-98250000D02* -X115400000Y-98250000D01* -X103050000Y-97900000D02* -X100550000Y-97900000D01* -X105100000Y-95850000D02* -X103050000Y-97900000D01* -X113500000Y-98050000D02* -X110300000Y-98050000D01* -X113700000Y-98250000D02* -X113500000Y-98050000D01* -X108100000Y-95850000D02* -X105100000Y-95850000D01* -X110300000Y-98050000D02* -X108100000Y-95850000D01* -X114700000Y-98250000D02* -X113700000Y-98250000D01* -X103050000Y-97400000D02* -X102850000Y-97400000D01* -X104900000Y-95550000D02* -X103050000Y-97400000D01* -X108250000Y-95550000D02* -X104900000Y-95550000D01* -X114800000Y-97450000D02* -X114300000Y-97950000D01* -X122600000Y-97450000D02* -X114800000Y-97450000D01* -X114300000Y-97950000D02* -X113850000Y-97950000D01* -X113850000Y-97950000D02* -X113650000Y-97750000D01* -X110450000Y-97750000D02* -X108250000Y-95550000D01* -X113650000Y-97750000D02* -X110450000Y-97750000D01* -X103050000Y-96900000D02* -X100550000Y-96900000D01* -X104700000Y-95250000D02* -X103050000Y-96900000D01* -X108400000Y-95250000D02* -X104700000Y-95250000D01* -X110600000Y-97450000D02* -X108400000Y-95250000D01* -X114050000Y-97450000D02* -X110600000Y-97450000D01* -X103050000Y-96400000D02* -X102850000Y-96400000D01* -X108550000Y-94950000D02* -X104500000Y-94950000D01* -X110550000Y-96950000D02* -X108550000Y-94950000D01* -X115400000Y-96650000D02* -X114900000Y-97150000D01* -X114300000Y-96950000D02* -X110550000Y-96950000D01* -X114500000Y-97150000D02* -X114300000Y-96950000D01* -X104500000Y-94950000D02* -X103050000Y-96400000D01* -X114900000Y-97150000D02* -X114500000Y-97150000D01* -X123250000Y-96650000D02* -X115400000Y-96650000D01* -X100850000Y-95600000D02* -X100550000Y-95900000D01* -X102350000Y-95600000D02* -X100850000Y-95600000D01* -X102650000Y-95900000D02* -X102350000Y-95600000D01* -X103050000Y-95900000D02* -X102650000Y-95900000D01* -X104300000Y-94650000D02* -X103050000Y-95900000D01* -X109650000Y-94650000D02* -X104300000Y-94650000D01* -X111650000Y-96650000D02* -X109650000Y-94650000D01* -X114700000Y-96650000D02* -X111650000Y-96650000D01* -X111750000Y-95850000D02* -X114050000Y-95850000D01* -X103200000Y-94900000D02* -X104050000Y-94050000D01* -X102650000Y-94900000D02* -X103200000Y-94900000D01* -X102250000Y-95300000D02* -X102650000Y-94900000D01* -X104050000Y-94050000D02* -X109950000Y-94050000D01* -X100450000Y-95300000D02* -X102250000Y-95300000D01* -X99150000Y-96300000D02* -X99450000Y-96300000D01* -X99450000Y-96300000D02* -X100450000Y-95300000D01* -X109950000Y-94050000D02* -X111750000Y-95850000D01* -X114500000Y-95550000D02* -X114900000Y-95550000D01* -X114900000Y-95550000D02* -X115400000Y-95050000D01* -X103050000Y-94600000D02* -X103900000Y-93750000D01* -X114300000Y-95350000D02* -X114500000Y-95550000D01* -X99500000Y-95800000D02* -X100300000Y-95000000D01* -X102100000Y-95000000D02* -X102500000Y-94600000D01* -X115400000Y-95050000D02* -X123250000Y-95050000D01* -X98950000Y-95800000D02* -X99500000Y-95800000D01* -X103900000Y-93750000D02* -X110100000Y-93750000D01* -X98350000Y-96400000D02* -X98950000Y-95800000D01* -X100300000Y-95000000D02* -X102100000Y-95000000D01* -X110100000Y-93750000D02* -X111700000Y-95350000D01* -X111700000Y-95350000D02* -X114300000Y-95350000D01* -X102500000Y-94600000D02* -X103050000Y-94600000D01* -X98100000Y-96400000D02* -X98350000Y-96400000D01* -X97550000Y-94400000D02* -X96950000Y-95000000D01* -X101800000Y-94400000D02* -X97550000Y-94400000D01* -X111950000Y-94750000D02* -X110350000Y-93150000D01* -X114300000Y-94750000D02* -X111950000Y-94750000D01* -X114800000Y-94250000D02* -X114300000Y-94750000D01* -X122600000Y-94250000D02* -X114800000Y-94250000D01* -X110350000Y-93150000D02* -X103600000Y-93150000D01* -X103600000Y-93150000D02* -X102750000Y-94000000D01* -X102200000Y-94000000D02* -X101800000Y-94400000D01* -X102750000Y-94000000D02* -X102200000Y-94000000D01* -X111900000Y-94250000D02* -X114050000Y-94250000D01* -X102600000Y-93700000D02* -X103450000Y-92850000D01* -X103450000Y-92850000D02* -X110500000Y-92850000D01* -X96450000Y-94800000D02* -X97150000Y-94100000D01* -X102050000Y-93700000D02* -X102600000Y-93700000D01* -X101650000Y-94100000D02* -X102050000Y-93700000D01* -X96450000Y-95700000D02* -X96450000Y-94800000D01* -X97150000Y-94100000D02* -X101650000Y-94100000D01* -X110500000Y-92850000D02* -X111900000Y-94250000D01* -X96700000Y-95950000D02* -X96450000Y-95700000D01* -X63881000Y-115951000D02* -X63881000Y-118618000D01* -X57900000Y-109970000D02* -X63881000Y-115951000D01* -X57900000Y-96550000D02* -X57900000Y-109970000D01* -X79946500Y-95059500D02* -X78737000Y-93850000D01* -X60600000Y-93850000D02* -X57900000Y-96550000D01* -X78737000Y-93850000D02* -X60600000Y-93850000D01* -X79946500Y-95758000D02* -X79946500Y-95059500D01* -X78105000Y-104013000D02* -X77216000Y-103124000D01* -X80645000Y-97663000D02* -X80645000Y-103378000D01* -X80645000Y-103378000D02* -X80010000Y-104013000D01* -X81915000Y-96393000D02* -X80645000Y-97663000D01* -X80010000Y-104013000D02* -X78105000Y-104013000D01* -X81915000Y-94615000D02* -X81915000Y-96393000D01* -X57400000Y-124750000D02* -X55900000Y-123250000D01* -X78900000Y-91600000D02* -X81915000Y-94615000D01* -X58550000Y-91600000D02* -X78900000Y-91600000D01* -X55900000Y-94250000D02* -X58550000Y-91600000D01* -X63119000Y-120904000D02* -X62611000Y-121412000D01* -X55900000Y-123250000D02* -X55900000Y-94250000D01* -X60600000Y-124750000D02* -X57400000Y-124750000D01* -X62611000Y-122739000D02* -X60600000Y-124750000D01* -X62611000Y-121412000D02* -X62611000Y-122739000D01* -X63119000Y-120650000D02* -X63119000Y-120904000D01* -X63881000Y-119888000D02* -X63119000Y-120650000D01* -X83900000Y-99450000D02* -X82950000Y-99450000D01* -X85950000Y-97400000D02* -X83900000Y-99450000D01* -X88450000Y-97400000D02* -X85950000Y-97400000D01* -X61341000Y-118491000D02* -X61341000Y-118618000D01* -X61850000Y-117982000D02* -X61341000Y-118491000D01* -X61850000Y-115400000D02* -X61850000Y-117982000D01* -X56900000Y-110450000D02* -X61850000Y-115400000D01* -X81026000Y-95758000D02* -X81026000Y-94996000D01* -X56900000Y-95300000D02* -X56900000Y-110450000D01* -X59400000Y-92800000D02* -X56900000Y-95300000D01* -X78830000Y-92800000D02* -X59400000Y-92800000D01* -X81026000Y-94996000D02* -X78830000Y-92800000D01* -X77597000Y-102235000D02* -X78486000Y-103124000D01* -X76835000Y-102235000D02* -X77597000Y-102235000D01* -X76327000Y-102743000D02* -X76835000Y-102235000D01* -X76327000Y-103632000D02* -X76327000Y-102743000D01* -X78700000Y-108650000D02* -X77470000Y-107420000D01* -X77470000Y-107420000D02* -X77470000Y-104775000D01* -X79800000Y-108650000D02* -X78700000Y-108650000D01* -X77470000Y-104775000D02* -X76327000Y-103632000D01* -X113150000Y-116950000D02* -X116300000Y-120100000D01* -X90850000Y-106900000D02* -X93250000Y-109300000D01* -X93250000Y-112550000D02* -X97650000Y-116950000D01* -X97650000Y-116950000D02* -X113150000Y-116950000D01* -X93250000Y-109300000D02* -X93250000Y-112550000D01* -X90050000Y-106900000D02* -X90850000Y-106900000D01* -X71100000Y-96350000D02* -X69600000Y-97850000D01* -X77703500Y-96350000D02* -X71100000Y-96350000D01* -X78295500Y-95758000D02* -X77703500Y-96350000D01* -X68100000Y-97850000D02* -X69600000Y-97850000D01* -X65600000Y-95350000D02* -X68100000Y-97850000D01* -X61600000Y-95350000D02* -X65600000Y-95350000D01* -X59700000Y-97250000D02* -X61600000Y-95350000D01* -X59700000Y-109600000D02* -X59700000Y-97250000D01* -X63850000Y-113750000D02* -X59700000Y-109600000D01* -X65600000Y-113750000D02* -X63850000Y-113750000D01* -X67691000Y-115841000D02* -X65600000Y-113750000D01* -X66421000Y-118618000D02* -X66421000Y-118237000D01* -X66421000Y-118237000D02* -X67691000Y-116967000D01* -X67691000Y-116967000D02* -X67691000Y-115841000D01* -X64200000Y-117667000D02* -X65151000Y-118618000D01* -X64200000Y-114550000D02* -X64200000Y-117667000D01* -X61450000Y-95050000D02* -X59400000Y-97100000D01* -X59400000Y-109750000D02* -X64200000Y-114550000D01* -X59400000Y-97100000D02* -X59400000Y-109750000D01* -X69750000Y-94900000D02* -X69600000Y-95050000D01* -X78644000Y-94900000D02* -X69750000Y-94900000D01* -X79121000Y-95377000D02* -X78644000Y-94900000D01* -X79121000Y-102489000D02* -X79121000Y-95377000D01* -X79756000Y-103124000D02* -X79121000Y-102489000D01* -X69600000Y-95050000D02* -X61450000Y-95050000D01* -X67564000Y-121348500D02* -X66421000Y-120205500D01* -X67564000Y-124886000D02* -X67564000Y-121348500D01* -X66250000Y-126200000D02* -X67564000Y-124886000D01* -X54600000Y-123750000D02* -X57050000Y-126200000D01* -X54600000Y-93900000D02* -X54600000Y-123750000D01* -X78743000Y-90300000D02* -X58200000Y-90300000D01* -X82677000Y-94234000D02* -X78743000Y-90300000D01* -X58200000Y-90300000D02* -X54600000Y-93900000D01* -X81407000Y-103632000D02* -X81407000Y-98171000D01* -X66421000Y-120205500D02* -X66421000Y-119888000D01* -X57050000Y-126200000D02* -X66250000Y-126200000D01* -X82677000Y-96901000D02* -X82677000Y-94234000D01* -X80050000Y-107650000D02* -X80050000Y-104989000D01* -X80050000Y-104989000D02* -X81407000Y-103632000D01* -X81407000Y-98171000D02* -X82677000Y-96901000D01* -X90050000Y-94550000D02* -X90050000Y-95350000D01* -X90700000Y-93900000D02* -X90050000Y-94550000D01* -X92550000Y-93900000D02* -X90700000Y-93900000D01* -X98700000Y-87750000D02* -X92550000Y-93900000D01* -X132200000Y-87750000D02* -X98700000Y-87750000D01* -X135128000Y-90678000D02* -X132200000Y-87750000D01* -X135128000Y-90932000D02* -X135128000Y-90678000D01* -X135832000Y-90932000D02* -X136398000Y-90932000D01* -X132350000Y-87450000D02* -X135832000Y-90932000D01* -X98550000Y-87450000D02* -X132350000Y-87450000D01* -X92400000Y-93600000D02* -X98550000Y-87450000D01* -X90550000Y-93600000D02* -X92400000Y-93600000D01* -X89750000Y-94400000D02* -X90550000Y-93600000D01* -X89750000Y-94850000D02* -X89750000Y-94400000D01* -X89000000Y-95600000D02* -X89750000Y-94850000D01* -D26* -G36* -X143609112Y-80590131D02* -G01* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144957800Y-82430544D01* -X144957800Y-129532456D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X142994456Y-131495800D01* -X138119097Y-131495800D01* -X138112994Y-131484382D01* -X138084427Y-131449573D01* -X138049618Y-131421006D01* -X138009905Y-131399779D01* -X137966813Y-131386708D01* -X137922000Y-131382294D01* -X137290950Y-131383400D01* -X137233800Y-131440550D01* -X137233800Y-131495800D01* -X137086200Y-131495800D01* -X137086200Y-131440550D01* -X137029050Y-131383400D01* -X136398000Y-131382294D01* -X136353187Y-131386708D01* -X136310095Y-131399779D01* -X136270382Y-131421006D01* -X136235573Y-131449573D01* -X136207006Y-131484382D01* -X136200903Y-131495800D01* -X135353185Y-131495800D01* -X135340290Y-131485217D01* -X135234707Y-131428781D01* -X135120143Y-131394029D01* -X135056531Y-131387764D01* -X135080208Y-131330603D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134183469Y-131387764D01* -X134119857Y-131394029D01* -X134005293Y-131428781D01* -X133899710Y-131485217D01* -X133886815Y-131495800D01* -X132813185Y-131495800D01* -X132800290Y-131485217D01* -X132694707Y-131428781D01* -X132580143Y-131394029D01* -X132516531Y-131387764D01* -X132540208Y-131330603D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131643469Y-131387764D01* -X131579857Y-131394029D01* -X131465293Y-131428781D01* -X131359710Y-131485217D01* -X131346815Y-131495800D01* -X130273185Y-131495800D01* -X130260290Y-131485217D01* -X130154707Y-131428781D01* -X130040143Y-131394029D01* -X129921000Y-131382294D01* -X129159000Y-131382294D01* -X129039857Y-131394029D01* -X128925293Y-131428781D01* -X128819710Y-131485217D01* -X128806815Y-131495800D01* -X127733185Y-131495800D01* -X127720290Y-131485217D01* -X127614707Y-131428781D01* -X127500143Y-131394029D01* -X127381000Y-131382294D01* -X126619000Y-131382294D01* -X126499857Y-131394029D01* -X126385293Y-131428781D01* -X126279710Y-131485217D01* -X126266815Y-131495800D01* -X125193185Y-131495800D01* -X125180290Y-131485217D01* -X125074707Y-131428781D01* -X124960143Y-131394029D01* -X124841000Y-131382294D01* -X124763600Y-131382294D01* -X124763600Y-129474903D01* -X124765068Y-129459999D01* -X124759206Y-129400484D01* -X124756173Y-129390485D01* -X124741846Y-129343256D01* -X124713655Y-129290513D01* -X124675716Y-129244284D01* -X124664135Y-129234780D01* -X122429355Y-127000000D01* -X136164608Y-127000000D01* -X136169401Y-127048664D01* -X136169400Y-129696434D01* -X136169400Y-129745346D01* -X136164608Y-129794000D01* -X136169400Y-129842654D01* -X136169400Y-129891566D01* -X136178940Y-129939527D01* -X136183733Y-129988191D01* -X136197927Y-130034983D01* -X136207468Y-130082947D01* -X136226184Y-130128130D01* -X136240377Y-130174919D01* -X136263427Y-130218042D01* -X136282142Y-130263225D01* -X136309309Y-130303884D01* -X136332361Y-130347011D01* -X136363384Y-130384812D01* -X136390551Y-130425471D01* -X136425130Y-130460050D01* -X136456151Y-130497849D01* -X136493950Y-130528870D01* -X136528529Y-130563449D01* -X136569188Y-130590616D01* -X136606989Y-130621639D01* -X136650117Y-130644691D01* -X136690775Y-130671858D01* -X136735954Y-130690572D01* -X136779080Y-130713623D01* -X136825872Y-130727818D01* -X136871053Y-130746532D01* -X136919014Y-130756072D01* -X136965808Y-130770267D01* -X137014473Y-130775060D01* -X137062434Y-130784600D01* -X137111335Y-130784600D01* -X137160000Y-130789393D01* -X137208665Y-130784600D01* -X137257566Y-130784600D01* -X137305527Y-130775060D01* -X137354191Y-130770267D01* -X137400983Y-130756073D01* -X137448947Y-130746532D01* -X137494130Y-130727816D01* -X137540919Y-130713623D01* -X137584042Y-130690573D01* -X137629225Y-130671858D01* -X137669884Y-130644691D01* -X137713011Y-130621639D01* -X137750812Y-130590616D01* -X137791471Y-130563449D01* -X137826050Y-130528870D01* -X137863849Y-130497849D01* -X137894870Y-130460050D01* -X137929449Y-130425471D01* -X137929451Y-130425468D01* -X138969050Y-129385870D01* -X139006849Y-129354849D01* -X139046880Y-129306072D01* -X139130639Y-129204011D01* -X139159933Y-129149206D01* -X139222623Y-129031920D01* -X139279267Y-128845191D01* -X139293600Y-128699664D01* -X139293600Y-128699655D01* -X139298392Y-128651001D01* -X139293600Y-128602346D01* -X139293600Y-128191654D01* -X139298392Y-128142999D01* -X139293600Y-128094345D01* -X139293600Y-128094336D01* -X139279267Y-127948809D01* -X139222623Y-127762081D01* -X139215683Y-127749097D01* -X139204060Y-127710781D01* -X139145690Y-127601579D01* -X139067138Y-127505862D01* -X139050169Y-127491936D01* -X139037871Y-127476951D01* -X139037870Y-127476950D01* -X139006849Y-127439151D01* -X138969050Y-127408130D01* -X138481674Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X138481674Y-126920754D01* -X137894874Y-126333955D01* -X137863849Y-126296151D01* -X137713011Y-126172361D01* -X137540920Y-126080377D01* -X137354192Y-126023733D01* -X137160000Y-126004607D01* -X136965809Y-126023733D01* -X136779081Y-126080377D01* -X136720083Y-126111912D01* -X136606990Y-126172361D01* -X136456151Y-126296151D01* -X136332361Y-126446990D01* -X136252290Y-126596794D01* -X136240378Y-126619080D01* -X136183734Y-126805808D01* -X136173848Y-126906179D01* -X136164608Y-127000000D01* -X122429355Y-127000000D01* -X121467443Y-126038088D01* -X129721400Y-126038088D01* -X129721400Y-126161912D01* -X129745556Y-126283356D01* -X129792941Y-126397754D01* -X129861734Y-126500709D01* -X129949291Y-126588266D01* -X130052246Y-126657059D01* -X130166644Y-126704444D01* -X130288088Y-126728600D01* -X130411912Y-126728600D01* -X130533356Y-126704444D01* -X130647754Y-126657059D01* -X130750709Y-126588266D01* -X130838266Y-126500709D01* -X130907059Y-126397754D01* -X130954444Y-126283356D01* -X130978600Y-126161912D01* -X130978600Y-126038088D01* -X132121400Y-126038088D01* -X132121400Y-126161912D01* -X132145556Y-126283356D01* -X132192941Y-126397754D01* -X132261734Y-126500709D01* -X132349291Y-126588266D01* -X132452246Y-126657059D01* -X132566644Y-126704444D01* -X132688088Y-126728600D01* -X132811912Y-126728600D01* -X132933356Y-126704444D01* -X133047754Y-126657059D01* -X133150709Y-126588266D01* -X133238266Y-126500709D01* -X133307059Y-126397754D01* -X133354444Y-126283356D01* -X133378600Y-126161912D01* -X133378600Y-126038088D01* -X133368655Y-125988088D01* -X134571400Y-125988088D01* -X134571400Y-126111912D01* -X134595556Y-126233356D01* -X134642941Y-126347754D01* -X134711734Y-126450709D01* -X134799291Y-126538266D01* -X134902246Y-126607059D01* -X135016644Y-126654444D01* -X135138088Y-126678600D01* -X135261912Y-126678600D01* -X135383356Y-126654444D01* -X135497754Y-126607059D01* -X135600709Y-126538266D01* -X135688266Y-126450709D01* -X135757059Y-126347754D01* -X135804444Y-126233356D01* -X135828600Y-126111912D01* -X135828600Y-125988088D01* -X135804444Y-125866644D01* -X135757059Y-125752246D01* -X135688266Y-125649291D01* -X135600709Y-125561734D01* -X135497754Y-125492941D01* -X135383356Y-125445556D01* -X135261912Y-125421400D01* -X135138088Y-125421400D01* -X135016644Y-125445556D01* -X134902246Y-125492941D01* -X134799291Y-125561734D01* -X134711734Y-125649291D01* -X134642941Y-125752246D01* -X134595556Y-125866644D01* -X134571400Y-125988088D01* -X133368655Y-125988088D01* -X133354444Y-125916644D01* -X133307059Y-125802246D01* -X133238266Y-125699291D01* -X133150709Y-125611734D01* -X133047754Y-125542941D01* -X132933356Y-125495556D01* -X132811912Y-125471400D01* -X132688088Y-125471400D01* -X132566644Y-125495556D01* -X132452246Y-125542941D01* -X132349291Y-125611734D01* -X132261734Y-125699291D01* -X132192941Y-125802246D01* -X132145556Y-125916644D01* -X132121400Y-126038088D01* -X130978600Y-126038088D01* -X130954444Y-125916644D01* -X130907059Y-125802246D01* -X130838266Y-125699291D01* -X130750709Y-125611734D01* -X130647754Y-125542941D01* -X130533356Y-125495556D01* -X130411912Y-125471400D01* -X130288088Y-125471400D01* -X130166644Y-125495556D01* -X130052246Y-125542941D01* -X129949291Y-125611734D01* -X129861734Y-125699291D01* -X129792941Y-125802246D01* -X129745556Y-125916644D01* -X129721400Y-126038088D01* -X121467443Y-126038088D01* -X120625226Y-125195872D01* -X120615716Y-125184284D01* -X120569487Y-125146345D01* -X120516744Y-125118154D01* -X120459516Y-125100794D01* -X120414904Y-125096400D01* -X120400000Y-125094932D01* -X120385096Y-125096400D01* -X116972749Y-125096400D01* -X116971753Y-125094910D01* -X116905090Y-125028247D01* -X116826702Y-124975870D01* -X116739603Y-124939792D01* -X116647138Y-124921400D01* -X116552862Y-124921400D01* -X116551104Y-124921750D01* -X116417442Y-124788088D01* -X128521400Y-124788088D01* -X128521400Y-124911912D01* -X128545556Y-125033356D01* -X128592941Y-125147754D01* -X128661734Y-125250709D01* -X128749291Y-125338266D01* -X128852246Y-125407059D01* -X128966644Y-125454444D01* -X129088088Y-125478600D01* -X129211912Y-125478600D01* -X129333356Y-125454444D01* -X129447754Y-125407059D01* -X129550709Y-125338266D01* -X129638266Y-125250709D01* -X129707059Y-125147754D01* -X129754444Y-125033356D01* -X129778600Y-124911912D01* -X129778600Y-124788088D01* -X130921400Y-124788088D01* -X130921400Y-124911912D01* -X130945556Y-125033356D01* -X130992941Y-125147754D01* -X131061734Y-125250709D01* -X131149291Y-125338266D01* -X131252246Y-125407059D01* -X131366644Y-125454444D01* -X131488088Y-125478600D01* -X131611912Y-125478600D01* -X131733356Y-125454444D01* -X131847754Y-125407059D01* -X131950709Y-125338266D01* -X132038266Y-125250709D01* -X132107059Y-125147754D01* -X132154444Y-125033356D01* -X132178600Y-124911912D01* -X132178600Y-124838088D01* -X133371400Y-124838088D01* -X133371400Y-124961912D01* -X133395556Y-125083356D01* -X133442941Y-125197754D01* -X133511734Y-125300709D01* -X133599291Y-125388266D01* -X133702246Y-125457059D01* -X133816644Y-125504444D01* -X133938088Y-125528600D01* -X134061912Y-125528600D01* -X134183356Y-125504444D01* -X134297754Y-125457059D01* -X134400709Y-125388266D01* -X134488266Y-125300709D01* -X134557059Y-125197754D01* -X134604444Y-125083356D01* -X134628600Y-124961912D01* -X134628600Y-124838088D01* -X134604444Y-124716644D01* -X134557059Y-124602246D01* -X134488266Y-124499291D01* -X134400709Y-124411734D01* -X134297754Y-124342941D01* -X134183356Y-124295556D01* -X134061912Y-124271400D01* -X133938088Y-124271400D01* -X133816644Y-124295556D01* -X133702246Y-124342941D01* -X133599291Y-124411734D01* -X133511734Y-124499291D01* -X133442941Y-124602246D01* -X133395556Y-124716644D01* -X133371400Y-124838088D01* -X132178600Y-124838088D01* -X132178600Y-124788088D01* -X132154444Y-124666644D01* -X132107059Y-124552246D01* -X132038266Y-124449291D01* -X131950709Y-124361734D01* -X131847754Y-124292941D01* -X131733356Y-124245556D01* -X131611912Y-124221400D01* -X131488088Y-124221400D01* -X131366644Y-124245556D01* -X131252246Y-124292941D01* -X131149291Y-124361734D01* -X131061734Y-124449291D01* -X130992941Y-124552246D01* -X130945556Y-124666644D01* -X130921400Y-124788088D01* -X129778600Y-124788088D01* -X129754444Y-124666644D01* -X129707059Y-124552246D01* -X129638266Y-124449291D01* -X129550709Y-124361734D01* -X129447754Y-124292941D01* -X129333356Y-124245556D01* -X129211912Y-124221400D01* -X129088088Y-124221400D01* -X128966644Y-124245556D01* -X128852246Y-124292941D01* -X128749291Y-124361734D01* -X128661734Y-124449291D01* -X128592941Y-124552246D01* -X128545556Y-124666644D01* -X128521400Y-124788088D01* -X116417442Y-124788088D01* -X115232216Y-123602862D01* -X117321400Y-123602862D01* -X117321400Y-123697138D01* -X117339792Y-123789603D01* -X117375870Y-123876702D01* -X117428247Y-123955090D01* -X117494910Y-124021753D01* -X117573298Y-124074130D01* -X117660397Y-124110208D01* -X117752862Y-124128600D01* -X117847138Y-124128600D01* -X117939603Y-124110208D01* -X118026702Y-124074130D01* -X118105090Y-124021753D01* -X118171753Y-123955090D01* -X118224130Y-123876702D01* -X118260208Y-123789603D01* -X118278600Y-123697138D01* -X118278600Y-123602862D01* -X118260208Y-123510397D01* -X118224130Y-123423298D01* -X118171753Y-123344910D01* -X118105090Y-123278247D01* -X118026702Y-123225870D01* -X117939603Y-123189792D01* -X117847138Y-123171400D01* -X117752862Y-123171400D01* -X117660397Y-123189792D01* -X117573298Y-123225870D01* -X117494910Y-123278247D01* -X117428247Y-123344910D01* -X117375870Y-123423298D01* -X117339792Y-123510397D01* -X117321400Y-123602862D01* -X115232216Y-123602862D01* -X114475226Y-122845872D01* -X114465716Y-122834284D01* -X114419487Y-122796345D01* -X114366744Y-122768154D01* -X114309516Y-122750794D01* -X114264904Y-122746400D01* -X114250000Y-122744932D01* -X114235096Y-122746400D01* -X109322749Y-122746400D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109217099Y-122652862D01* -X116371400Y-122652862D01* -X116371400Y-122747138D01* -X116389792Y-122839603D01* -X116425870Y-122926702D01* -X116478247Y-123005090D01* -X116544910Y-123071753D01* -X116623298Y-123124130D01* -X116710397Y-123160208D01* -X116802862Y-123178600D01* -X116897138Y-123178600D01* -X116989603Y-123160208D01* -X117076702Y-123124130D01* -X117155090Y-123071753D01* -X117221753Y-123005090D01* -X117274130Y-122926702D01* -X117310208Y-122839603D01* -X117328600Y-122747138D01* -X117328600Y-122652862D01* -X118171400Y-122652862D01* -X118171400Y-122747138D01* -X118189792Y-122839603D01* -X118225870Y-122926702D01* -X118278247Y-123005090D01* -X118344910Y-123071753D01* -X118423298Y-123124130D01* -X118510397Y-123160208D01* -X118602862Y-123178600D01* -X118697138Y-123178600D01* -X118789603Y-123160208D01* -X118876702Y-123124130D01* -X118955090Y-123071753D01* -X119021753Y-123005090D01* -X119074130Y-122926702D01* -X119110208Y-122839603D01* -X119128600Y-122747138D01* -X119128600Y-122652862D01* -X119110208Y-122560397D01* -X119074130Y-122473298D01* -X119021753Y-122394910D01* -X118955090Y-122328247D01* -X118876702Y-122275870D01* -X118789603Y-122239792D01* -X118697138Y-122221400D01* -X118602862Y-122221400D01* -X118510397Y-122239792D01* -X118423298Y-122275870D01* -X118344910Y-122328247D01* -X118278247Y-122394910D01* -X118225870Y-122473298D01* -X118189792Y-122560397D01* -X118171400Y-122652862D01* -X117328600Y-122652862D01* -X117310208Y-122560397D01* -X117274130Y-122473298D01* -X117221753Y-122394910D01* -X117155090Y-122328247D01* -X117076702Y-122275870D01* -X116989603Y-122239792D01* -X116897138Y-122221400D01* -X116802862Y-122221400D01* -X116710397Y-122239792D01* -X116623298Y-122275870D01* -X116544910Y-122328247D01* -X116478247Y-122394910D01* -X116425870Y-122473298D01* -X116389792Y-122560397D01* -X116371400Y-122652862D01* -X109217099Y-122652862D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108723298Y-123474130D01* -X108810397Y-123510208D01* -X108902862Y-123528600D01* -X108997138Y-123528600D01* -X109089603Y-123510208D01* -X109176702Y-123474130D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109322749Y-123353600D01* -X114124246Y-123353600D01* -X116121750Y-125351104D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X116972749Y-125703600D01* -X120274246Y-125703600D01* -X124156400Y-129585755D01* -X124156401Y-131176087D01* -X124156400Y-131176097D01* -X124156400Y-131382294D01* -X124079000Y-131382294D01* -X123959857Y-131394029D01* -X123845293Y-131428781D01* -X123739710Y-131485217D01* -X123726815Y-131495800D01* -X122653185Y-131495800D01* -X122640290Y-131485217D01* -X122534707Y-131428781D01* -X122420143Y-131394029D01* -X122301000Y-131382294D01* -X121539000Y-131382294D01* -X121419857Y-131394029D01* -X121305293Y-131428781D01* -X121199710Y-131485217D01* -X121186815Y-131495800D01* -X120370600Y-131495800D01* -X120370600Y-129842654D01* -X120375392Y-129793999D01* -X120370600Y-129745345D01* -X120370600Y-129745335D01* -X120356267Y-129599808D01* -X120299623Y-129413080D01* -X120207639Y-129240989D01* -X120083849Y-129090151D01* -X120046045Y-129059126D01* -X119792048Y-128805129D01* -X119679010Y-128712361D01* -X119506920Y-128620378D01* -X119320191Y-128563734D01* -X119126000Y-128544608D01* -X118931809Y-128563734D01* -X118745080Y-128620378D01* -X118572990Y-128712361D01* -X118422151Y-128836151D01* -X118298361Y-128986990D01* -X118206378Y-129159080D01* -X118149734Y-129345809D01* -X118130608Y-129540000D01* -X118149734Y-129734191D01* -X118206378Y-129920920D01* -X118298361Y-130093010D01* -X118389401Y-130203942D01* -X118389401Y-131495800D01* -X117830600Y-131495800D01* -X117830600Y-130203941D01* -X117921639Y-130093010D01* -X118013622Y-129920920D01* -X118070266Y-129734192D01* -X118089392Y-129540001D01* -X118070266Y-129345809D01* -X118013622Y-129159080D01* -X117921639Y-128986990D01* -X117797848Y-128836152D01* -X117647010Y-128712361D01* -X117474920Y-128620378D01* -X117288191Y-128563734D01* -X117093999Y-128544608D01* -X116899808Y-128563734D01* -X116713080Y-128620378D01* -X116540990Y-128712361D01* -X116427952Y-128805129D01* -X116173951Y-129059130D01* -X116136152Y-129090151D01* -X116105131Y-129127950D01* -X116105129Y-129127952D01* -X116012361Y-129240990D01* -X115920421Y-129413000D01* -X115920378Y-129413080D01* -X115863734Y-129599808D01* -X115849745Y-129741845D01* -X115844608Y-129794000D01* -X115849401Y-129842664D01* -X115849401Y-131495800D01* -X115033185Y-131495800D01* -X115020290Y-131485217D01* -X114914707Y-131428781D01* -X114800143Y-131394029D01* -X114681000Y-131382294D01* -X113919000Y-131382294D01* -X113799857Y-131394029D01* -X113685293Y-131428781D01* -X113579710Y-131485217D01* -X113566815Y-131495800D01* -X112493185Y-131495800D01* -X112480290Y-131485217D01* -X112374707Y-131428781D01* -X112260143Y-131394029D01* -X112141000Y-131382294D01* -X111379000Y-131382294D01* -X111259857Y-131394029D01* -X111145293Y-131428781D01* -X111039710Y-131485217D01* -X111026815Y-131495800D01* -X109953185Y-131495800D01* -X109940290Y-131485217D01* -X109834707Y-131428781D01* -X109720143Y-131394029D01* -X109601000Y-131382294D01* -X108839000Y-131382294D01* -X108719857Y-131394029D01* -X108605293Y-131428781D01* -X108499710Y-131485217D01* -X108486815Y-131495800D01* -X107413185Y-131495800D01* -X107400290Y-131485217D01* -X107294707Y-131428781D01* -X107180143Y-131394029D01* -X107061000Y-131382294D01* -X106299000Y-131382294D01* -X106179857Y-131394029D01* -X106065293Y-131428781D01* -X105959710Y-131485217D01* -X105946815Y-131495800D01* -X104873185Y-131495800D01* -X104860290Y-131485217D01* -X104754707Y-131428781D01* -X104640143Y-131394029D01* -X104521000Y-131382294D01* -X103759000Y-131382294D01* -X103639857Y-131394029D01* -X103525293Y-131428781D01* -X103419710Y-131485217D01* -X103406815Y-131495800D01* -X102333185Y-131495800D01* -X102320290Y-131485217D01* -X102214707Y-131428781D01* -X102100143Y-131394029D01* -X101981000Y-131382294D01* -X101903600Y-131382294D01* -X101903600Y-131316754D01* -X102614755Y-130605600D01* -X104379096Y-130605600D01* -X104394000Y-130607068D01* -X104408904Y-130605600D01* -X104453516Y-130601206D01* -X104510744Y-130583846D01* -X104563487Y-130555655D01* -X104609716Y-130517716D01* -X104619226Y-130506128D01* -X108004139Y-127121217D01* -X108015716Y-127111716D01* -X108045757Y-127075111D01* -X109124779Y-128154134D01* -X109134284Y-128165716D01* -X109180513Y-128203655D01* -X109233256Y-128231846D01* -X109276395Y-128244932D01* -X109290484Y-128249206D01* -X109350000Y-128255068D01* -X109364904Y-128253600D01* -X112777251Y-128253600D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113610208Y-127810397D01* -X113574130Y-127723298D01* -X113521753Y-127644910D01* -X113455090Y-127578247D01* -X113376702Y-127525870D01* -X113289603Y-127489792D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112777251Y-127646400D01* -X109475755Y-127646400D01* -X108403600Y-126574246D01* -X108403600Y-124267369D01* -X108439603Y-124260208D01* -X108526702Y-124224130D01* -X108605090Y-124171753D01* -X108671753Y-124105090D01* -X108724130Y-124026702D01* -X108760208Y-123939603D01* -X108778600Y-123847138D01* -X108778600Y-123752862D01* -X108760208Y-123660397D01* -X108724130Y-123573298D01* -X108671753Y-123494910D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108103600Y-123363318D01* -X108103600Y-123214903D01* -X108104808Y-123202640D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107423298Y-123474130D01* -X107496400Y-123504410D01* -X107496401Y-126770243D01* -X104268246Y-129998400D01* -X102503904Y-129998400D01* -X102489000Y-129996932D01* -X102474096Y-129998400D01* -X102429484Y-130002794D01* -X102372256Y-130020154D01* -X102319513Y-130048345D01* -X102273284Y-130086284D01* -X102263779Y-130097866D01* -X101395872Y-130965774D01* -X101384284Y-130975284D01* -X101346345Y-131021514D01* -X101318154Y-131074257D01* -X101303211Y-131123516D01* -X101300794Y-131131485D01* -X101294932Y-131191000D01* -X101296400Y-131205904D01* -X101296400Y-131382294D01* -X101219000Y-131382294D01* -X101099857Y-131394029D01* -X100985293Y-131428781D01* -X100879710Y-131485217D01* -X100866815Y-131495800D01* -X99793185Y-131495800D01* -X99780290Y-131485217D01* -X99674707Y-131428781D01* -X99560143Y-131394029D01* -X99441000Y-131382294D01* -X99363600Y-131382294D01* -X99363600Y-131316754D01* -X101176756Y-129503600D01* -X102306096Y-129503600D01* -X102321000Y-129505068D01* -X102335904Y-129503600D01* -X102380516Y-129499206D01* -X102437744Y-129481846D01* -X102490487Y-129453655D01* -X102536716Y-129415716D01* -X102546226Y-129404128D01* -X107004134Y-124946221D01* -X107015716Y-124936716D01* -X107053655Y-124890487D01* -X107066346Y-124866744D01* -X107081846Y-124837745D01* -X107099206Y-124780516D01* -X107105068Y-124721000D01* -X107103600Y-124706096D01* -X107103600Y-124267369D01* -X107139603Y-124260208D01* -X107226702Y-124224130D01* -X107305090Y-124171753D01* -X107371753Y-124105090D01* -X107424130Y-124026702D01* -X107460208Y-123939603D01* -X107478600Y-123847138D01* -X107478600Y-123752862D01* -X107460208Y-123660397D01* -X107424130Y-123573298D01* -X107371753Y-123494910D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106803600Y-123363318D01* -X106803600Y-123214903D01* -X106804808Y-123202640D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106123298Y-123474130D01* -X106196401Y-123504411D01* -X106196400Y-124424245D01* -X103543246Y-127077400D01* -X85572749Y-127077400D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84739792Y-127520603D01* -X84775870Y-127607702D01* -X84828247Y-127686090D01* -X84894910Y-127752753D01* -X84973298Y-127805130D01* -X85060397Y-127841208D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85572749Y-127684600D01* -X103407046Y-127684600D01* -X102195246Y-128896400D01* -X101065903Y-128896400D01* -X101050999Y-128894932D01* -X101004764Y-128899486D01* -X100991484Y-128900794D01* -X100934256Y-128918154D01* -X100881513Y-128946345D01* -X100835284Y-128984284D01* -X100825783Y-128995861D01* -X98855872Y-130965774D01* -X98844284Y-130975284D01* -X98806345Y-131021514D01* -X98778154Y-131074257D01* -X98763211Y-131123516D01* -X98760794Y-131131485D01* -X98754932Y-131191000D01* -X98756400Y-131205904D01* -X98756400Y-131382294D01* -X98679000Y-131382294D01* -X98559857Y-131394029D01* -X98445293Y-131428781D01* -X98339710Y-131485217D01* -X98326815Y-131495800D01* -X97253185Y-131495800D01* -X97240290Y-131485217D01* -X97134707Y-131428781D01* -X97020143Y-131394029D01* -X96901000Y-131382294D01* -X96823600Y-131382294D01* -X96823600Y-131205903D01* -X96825068Y-131190999D01* -X96819206Y-131131484D01* -X96811096Y-131104750D01* -X96801846Y-131074256D01* -X96773655Y-131021513D01* -X96735716Y-130975284D01* -X96724135Y-130965780D01* -X94104226Y-128345872D01* -X94094716Y-128334284D01* -X94048487Y-128296345D01* -X93995744Y-128268154D01* -X93938516Y-128250794D01* -X93893904Y-128246400D01* -X93879000Y-128244932D01* -X93864096Y-128246400D01* -X85125755Y-128246400D01* -X83778250Y-126898896D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83526702Y-126425870D01* -X83439603Y-126389792D01* -X83347138Y-126371400D01* -X83252862Y-126371400D01* -X83160397Y-126389792D01* -X83073298Y-126425870D01* -X82994910Y-126478247D01* -X82953600Y-126519557D01* -X82953600Y-126472749D01* -X82955090Y-126471753D01* -X83021753Y-126405090D01* -X83074130Y-126326702D01* -X83110208Y-126239603D01* -X83128600Y-126147138D01* -X83128600Y-126052862D01* -X83110208Y-125960397D01* -X83074130Y-125873298D01* -X83021753Y-125794910D01* -X82955090Y-125728247D01* -X82876702Y-125675870D01* -X82789603Y-125639792D01* -X82697138Y-125621400D01* -X82602862Y-125621400D01* -X82510397Y-125639792D01* -X82423298Y-125675870D01* -X82344910Y-125728247D01* -X82278247Y-125794910D01* -X82225870Y-125873298D01* -X82189792Y-125960397D01* -X82171400Y-126052862D01* -X82171400Y-126147138D01* -X82189792Y-126239603D01* -X82225870Y-126326702D01* -X82278247Y-126405090D01* -X82344910Y-126471753D01* -X82346400Y-126472749D01* -X82346400Y-126519557D01* -X82305090Y-126478247D01* -X82226702Y-126425870D01* -X82139603Y-126389792D01* -X82047138Y-126371400D01* -X81952862Y-126371400D01* -X81860397Y-126389792D01* -X81773298Y-126425870D01* -X81694910Y-126478247D01* -X81653600Y-126519557D01* -X81653600Y-126472749D01* -X81655090Y-126471753D01* -X81721753Y-126405090D01* -X81774130Y-126326702D01* -X81810208Y-126239603D01* -X81828600Y-126147138D01* -X81828600Y-126052862D01* -X81810208Y-125960397D01* -X81774130Y-125873298D01* -X81721753Y-125794910D01* -X81655090Y-125728247D01* -X81576702Y-125675870D01* -X81489603Y-125639792D01* -X81397138Y-125621400D01* -X81302862Y-125621400D01* -X81210397Y-125639792D01* -X81123298Y-125675870D01* -X81044910Y-125728247D01* -X80978247Y-125794910D01* -X80925870Y-125873298D01* -X80889792Y-125960397D01* -X80871400Y-126052862D01* -X80871400Y-126147138D01* -X80889792Y-126239603D01* -X80925870Y-126326702D01* -X80978247Y-126405090D01* -X81044910Y-126471753D01* -X81046400Y-126472749D01* -X81046400Y-126519557D01* -X81005090Y-126478247D01* -X80926702Y-126425870D01* -X80839603Y-126389792D01* -X80747138Y-126371400D01* -X80652862Y-126371400D01* -X80560397Y-126389792D01* -X80473298Y-126425870D01* -X80394910Y-126478247D01* -X80353600Y-126519557D01* -X80353600Y-126472749D01* -X80355090Y-126471753D01* -X80421753Y-126405090D01* -X80474130Y-126326702D01* -X80510208Y-126239603D01* -X80528600Y-126147138D01* -X80528600Y-126052862D01* -X80510208Y-125960397D01* -X80474130Y-125873298D01* -X80421753Y-125794910D01* -X80355090Y-125728247D01* -X80276702Y-125675870D01* -X80189603Y-125639792D01* -X80097138Y-125621400D01* -X80002862Y-125621400D01* -X79910397Y-125639792D01* -X79823298Y-125675870D01* -X79744910Y-125728247D01* -X79678247Y-125794910D01* -X79625870Y-125873298D01* -X79589792Y-125960397D01* -X79571400Y-126052862D01* -X79571400Y-126147138D01* -X79589792Y-126239603D01* -X79625870Y-126326702D01* -X79678247Y-126405090D01* -X79744910Y-126471753D01* -X79746400Y-126472749D01* -X79746401Y-126519558D01* -X79705090Y-126478247D01* -X79626702Y-126425870D01* -X79539603Y-126389792D01* -X79447138Y-126371400D01* -X79352862Y-126371400D01* -X79260397Y-126389792D01* -X79173298Y-126425870D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79448896Y-127328250D01* -X80976401Y-128855756D01* -X80976401Y-131382294D01* -X80899000Y-131382294D01* -X80779857Y-131394029D01* -X80665293Y-131428781D01* -X80559710Y-131485217D01* -X80546815Y-131495800D01* -X79473185Y-131495800D01* -X79460290Y-131485217D01* -X79354707Y-131428781D01* -X79240143Y-131394029D01* -X79121000Y-131382294D01* -X79053600Y-131382294D01* -X79053600Y-127564903D01* -X79055068Y-127549999D01* -X79049206Y-127490483D01* -X79041646Y-127465563D01* -X79031846Y-127433256D01* -X79003655Y-127380513D01* -X78965716Y-127334284D01* -X78954140Y-127324784D01* -X78653600Y-127024246D01* -X78653600Y-126625754D01* -X78701104Y-126578250D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79121753Y-126405090D01* -X79174130Y-126326702D01* -X79210208Y-126239603D01* -X79228600Y-126147138D01* -X79228600Y-126052862D01* -X79210208Y-125960397D01* -X79174130Y-125873298D01* -X79121753Y-125794910D01* -X79055090Y-125728247D01* -X78976702Y-125675870D01* -X78889603Y-125639792D01* -X78797138Y-125621400D01* -X78702862Y-125621400D01* -X78610397Y-125639792D01* -X78523298Y-125675870D01* -X78444910Y-125728247D01* -X78378247Y-125794910D01* -X78325870Y-125873298D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78271750Y-126148896D01* -X78145867Y-126274779D01* -X78134285Y-126284284D01* -X78096346Y-126330513D01* -X78074492Y-126371400D01* -X78068155Y-126383256D01* -X78050794Y-126440485D01* -X78044932Y-126500000D01* -X78046401Y-126514914D01* -X78046400Y-127135095D01* -X78044932Y-127150000D01* -X78048285Y-127184038D01* -X78050794Y-127209515D01* -X78068154Y-127266743D01* -X78096345Y-127319486D01* -X78134284Y-127365716D01* -X78145871Y-127375225D01* -X78446400Y-127675756D01* -X78446400Y-131382294D01* -X78359000Y-131382294D01* -X78239857Y-131394029D01* -X78125293Y-131428781D01* -X78019710Y-131485217D01* -X78006815Y-131495800D01* -X77190600Y-131495800D01* -X77190600Y-129823319D01* -X78009050Y-129004870D01* -X78046849Y-128973849D01* -X78078276Y-128935556D01* -X78170639Y-128823011D01* -X78196186Y-128775216D01* -X78262623Y-128650920D01* -X78319267Y-128464192D01* -X78338393Y-128270000D01* -X78319267Y-128075808D01* -X78262623Y-127889080D01* -X78170639Y-127716989D01* -X78046849Y-127566151D01* -X77896011Y-127442361D01* -X77723920Y-127350377D01* -X77537192Y-127293733D01* -X77391665Y-127279400D01* -X77391654Y-127279400D01* -X77342999Y-127274608D01* -X77294345Y-127279400D01* -X76375654Y-127279400D01* -X76326999Y-127274608D01* -X76278345Y-127279400D01* -X76278335Y-127279400D01* -X76132808Y-127293733D01* -X75946080Y-127350377D01* -X75773989Y-127442361D01* -X75623151Y-127566151D01* -X75592126Y-127603955D01* -X75533955Y-127662126D01* -X75496151Y-127693151D01* -X75372361Y-127843989D01* -X75280377Y-128016081D01* -X75223733Y-128202809D01* -X75209400Y-128348336D01* -X75209400Y-128348346D01* -X75204608Y-128397000D01* -X75209400Y-128445655D01* -X75209401Y-129364327D01* -X75209400Y-129364335D01* -X75209400Y-129364346D01* -X75204608Y-129413000D01* -X75209400Y-129461654D01* -X75209400Y-129588664D01* -X75209401Y-129588674D01* -X75209401Y-131495800D01* -X48140544Y-131495800D01* -X47522951Y-131372281D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46177200Y-129532456D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48906680Y-127234693D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48846027Y-95757879D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-93900000D01* -X54294932Y-93900000D01* -X54296400Y-93914904D01* -X54296401Y-123735086D01* -X54294932Y-123750000D01* -X54300794Y-123809515D01* -X54315962Y-123859515D01* -X54318155Y-123866744D01* -X54346346Y-123919487D01* -X54384285Y-123965716D01* -X54395867Y-123975221D01* -X56824783Y-126404139D01* -X56834284Y-126415716D01* -X56880513Y-126453655D01* -X56933256Y-126481846D01* -X56976484Y-126494959D01* -X56990484Y-126499206D01* -X57049999Y-126505068D01* -X57064903Y-126503600D01* -X66235096Y-126503600D01* -X66250000Y-126505068D01* -X66264904Y-126503600D01* -X66309516Y-126499206D01* -X66366744Y-126481846D01* -X66419487Y-126453655D01* -X66465716Y-126415716D01* -X66475226Y-126404128D01* -X67768135Y-125111220D01* -X67779716Y-125101716D01* -X67817655Y-125055487D01* -X67845846Y-125002744D01* -X67863206Y-124945516D01* -X67867600Y-124900904D01* -X67867600Y-124900903D01* -X67869068Y-124886000D01* -X67867600Y-124871096D01* -X67867600Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77794910Y-124171753D01* -X77873298Y-124224130D01* -X77960397Y-124260208D01* -X78052862Y-124278600D01* -X78147138Y-124278600D01* -X78239603Y-124260208D01* -X78326702Y-124224130D01* -X78405090Y-124171753D01* -X78471753Y-124105090D01* -X78524130Y-124026702D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X86821400Y-123752862D01* -X86821400Y-123847138D01* -X86839792Y-123939603D01* -X86875870Y-124026702D01* -X86928247Y-124105090D01* -X86994910Y-124171753D01* -X87073298Y-124224130D01* -X87160397Y-124260208D01* -X87252862Y-124278600D01* -X87347138Y-124278600D01* -X87439603Y-124260208D01* -X87526702Y-124224130D01* -X87605090Y-124171753D01* -X87671753Y-124105090D01* -X87724130Y-124026702D01* -X87760208Y-123939603D01* -X87778600Y-123847138D01* -X87778600Y-123752862D01* -X96021400Y-123752862D01* -X96021400Y-123847138D01* -X96039792Y-123939603D01* -X96075870Y-124026702D01* -X96128247Y-124105090D01* -X96194910Y-124171753D01* -X96273298Y-124224130D01* -X96360397Y-124260208D01* -X96452862Y-124278600D01* -X96547138Y-124278600D01* -X96639603Y-124260208D01* -X96726702Y-124224130D01* -X96805090Y-124171753D01* -X96871753Y-124105090D01* -X96924130Y-124026702D01* -X96960208Y-123939603D01* -X96978600Y-123847138D01* -X96978600Y-123752862D01* -X105221400Y-123752862D01* -X105221400Y-123847138D01* -X105239792Y-123939603D01* -X105275870Y-124026702D01* -X105328247Y-124105090D01* -X105394910Y-124171753D01* -X105473298Y-124224130D01* -X105560397Y-124260208D01* -X105652862Y-124278600D01* -X105747138Y-124278600D01* -X105839603Y-124260208D01* -X105926702Y-124224130D01* -X106005090Y-124171753D01* -X106071753Y-124105090D01* -X106124130Y-124026702D01* -X106160208Y-123939603D01* -X106178600Y-123847138D01* -X106178600Y-123752862D01* -X106160208Y-123660397D01* -X106124130Y-123573298D01* -X106071753Y-123494910D01* -X106005090Y-123428247D01* -X105926702Y-123375870D01* -X105839603Y-123339792D01* -X105747138Y-123321400D01* -X105652862Y-123321400D01* -X105560397Y-123339792D01* -X105473298Y-123375870D01* -X105394910Y-123428247D01* -X105328247Y-123494910D01* -X105275870Y-123573298D01* -X105239792Y-123660397D01* -X105221400Y-123752862D01* -X96978600Y-123752862D01* -X96960208Y-123660397D01* -X96924130Y-123573298D01* -X96871753Y-123494910D01* -X96805090Y-123428247D01* -X96726702Y-123375870D01* -X96639603Y-123339792D01* -X96547138Y-123321400D01* -X96452862Y-123321400D01* -X96360397Y-123339792D01* -X96273298Y-123375870D01* -X96194910Y-123428247D01* -X96128247Y-123494910D01* -X96075870Y-123573298D01* -X96039792Y-123660397D01* -X96021400Y-123752862D01* -X87778600Y-123752862D01* -X87760208Y-123660397D01* -X87724130Y-123573298D01* -X87671753Y-123494910D01* -X87605090Y-123428247D01* -X87526702Y-123375870D01* -X87439603Y-123339792D01* -X87347138Y-123321400D01* -X87252862Y-123321400D01* -X87160397Y-123339792D01* -X87073298Y-123375870D01* -X86994910Y-123428247D01* -X86928247Y-123494910D01* -X86875870Y-123573298D01* -X86839792Y-123660397D01* -X86821400Y-123752862D01* -X78578600Y-123752862D01* -X78578250Y-123751104D01* -X82226492Y-120102862D01* -X86821400Y-120102862D01* -X86821400Y-120197138D01* -X86839792Y-120289603D01* -X86875870Y-120376702D01* -X86928247Y-120455090D01* -X86994910Y-120521753D01* -X87073298Y-120574130D01* -X87160397Y-120610208D01* -X87252862Y-120628600D01* -X87347138Y-120628600D01* -X87439603Y-120610208D01* -X87526702Y-120574130D01* -X87605090Y-120521753D01* -X87671753Y-120455090D01* -X87724130Y-120376702D01* -X87760208Y-120289603D01* -X87778600Y-120197138D01* -X87778600Y-120102862D01* -X96021400Y-120102862D01* -X96021400Y-120197138D01* -X96039792Y-120289603D01* -X96075870Y-120376702D01* -X96128247Y-120455090D01* -X96194910Y-120521753D01* -X96273298Y-120574130D01* -X96360397Y-120610208D01* -X96452862Y-120628600D01* -X96547138Y-120628600D01* -X96639603Y-120610208D01* -X96726702Y-120574130D01* -X96805090Y-120521753D01* -X96871753Y-120455090D01* -X96924130Y-120376702D01* -X96960208Y-120289603D01* -X96978600Y-120197138D01* -X96978600Y-120102862D01* -X105221400Y-120102862D01* -X105221400Y-120197138D01* -X105239792Y-120289603D01* -X105275870Y-120376702D01* -X105328247Y-120455090D01* -X105394910Y-120521753D01* -X105473298Y-120574130D01* -X105560397Y-120610208D01* -X105652862Y-120628600D01* -X105747138Y-120628600D01* -X105839603Y-120610208D01* -X105926702Y-120574130D01* -X106005090Y-120521753D01* -X106071753Y-120455090D01* -X106124130Y-120376702D01* -X106160208Y-120289603D01* -X106178600Y-120197138D01* -X106178600Y-120102862D01* -X106160208Y-120010397D01* -X106124130Y-119923298D01* -X106071753Y-119844910D01* -X106005090Y-119778247D01* -X105926702Y-119725870D01* -X105839603Y-119689792D01* -X105747138Y-119671400D01* -X105652862Y-119671400D01* -X105560397Y-119689792D01* -X105473298Y-119725870D01* -X105394910Y-119778247D01* -X105328247Y-119844910D01* -X105275870Y-119923298D01* -X105239792Y-120010397D01* -X105221400Y-120102862D01* -X96978600Y-120102862D01* -X96960208Y-120010397D01* -X96924130Y-119923298D01* -X96871753Y-119844910D01* -X96805090Y-119778247D01* -X96726702Y-119725870D01* -X96639603Y-119689792D01* -X96547138Y-119671400D01* -X96452862Y-119671400D01* -X96360397Y-119689792D01* -X96273298Y-119725870D01* -X96194910Y-119778247D01* -X96128247Y-119844910D01* -X96075870Y-119923298D01* -X96039792Y-120010397D01* -X96021400Y-120102862D01* -X87778600Y-120102862D01* -X87760208Y-120010397D01* -X87724130Y-119923298D01* -X87671753Y-119844910D01* -X87605090Y-119778247D01* -X87526702Y-119725870D01* -X87439603Y-119689792D01* -X87347138Y-119671400D01* -X87252862Y-119671400D01* -X87160397Y-119689792D01* -X87073298Y-119725870D01* -X86994910Y-119778247D01* -X86928247Y-119844910D01* -X86875870Y-119923298D01* -X86839792Y-120010397D01* -X86821400Y-120102862D01* -X82226492Y-120102862D01* -X83226493Y-119102862D01* -X84471400Y-119102862D01* -X84471400Y-119197138D01* -X84489792Y-119289603D01* -X84525870Y-119376702D01* -X84578247Y-119455090D01* -X84644910Y-119521753D01* -X84723298Y-119574130D01* -X84810397Y-119610208D01* -X84902862Y-119628600D01* -X84997138Y-119628600D01* -X85089603Y-119610208D01* -X85176702Y-119574130D01* -X85255090Y-119521753D01* -X85321753Y-119455090D01* -X85374130Y-119376702D01* -X85410208Y-119289603D01* -X85428600Y-119197138D01* -X85428600Y-119102862D01* -X85410208Y-119010397D01* -X85407087Y-119002862D01* -X86196400Y-119002862D01* -X86196400Y-119097138D01* -X86214792Y-119189603D01* -X86250870Y-119276702D01* -X86303247Y-119355090D01* -X86369910Y-119421753D01* -X86448298Y-119474130D01* -X86535397Y-119510208D01* -X86627862Y-119528600D01* -X86722138Y-119528600D01* -X86814603Y-119510208D01* -X86901702Y-119474130D01* -X86980090Y-119421753D01* -X87046753Y-119355090D01* -X87099130Y-119276702D01* -X87135208Y-119189603D01* -X87152461Y-119102862D01* -X93671400Y-119102862D01* -X93671400Y-119197138D01* -X93689792Y-119289603D01* -X93725870Y-119376702D01* -X93778247Y-119455090D01* -X93844910Y-119521753D01* -X93923298Y-119574130D01* -X94010397Y-119610208D01* -X94102862Y-119628600D01* -X94197138Y-119628600D01* -X94289603Y-119610208D01* -X94376702Y-119574130D01* -X94455090Y-119521753D01* -X94521753Y-119455090D01* -X94574130Y-119376702D01* -X94610208Y-119289603D01* -X94628600Y-119197138D01* -X94628600Y-119102862D01* -X94610208Y-119010397D01* -X94607087Y-119002862D01* -X95396400Y-119002862D01* -X95396400Y-119097138D01* -X95414792Y-119189603D01* -X95450870Y-119276702D01* -X95503247Y-119355090D01* -X95569910Y-119421753D01* -X95648298Y-119474130D01* -X95735397Y-119510208D01* -X95827862Y-119528600D01* -X95922138Y-119528600D01* -X96014603Y-119510208D01* -X96101702Y-119474130D01* -X96180090Y-119421753D01* -X96246753Y-119355090D01* -X96299130Y-119276702D01* -X96335208Y-119189603D01* -X96352461Y-119102862D01* -X102871400Y-119102862D01* -X102871400Y-119197138D01* -X102889792Y-119289603D01* -X102925870Y-119376702D01* -X102978247Y-119455090D01* -X103044910Y-119521753D01* -X103123298Y-119574130D01* -X103210397Y-119610208D01* -X103302862Y-119628600D01* -X103397138Y-119628600D01* -X103489603Y-119610208D01* -X103576702Y-119574130D01* -X103655090Y-119521753D01* -X103721753Y-119455090D01* -X103774130Y-119376702D01* -X103810208Y-119289603D01* -X103828600Y-119197138D01* -X103828600Y-119102862D01* -X103810208Y-119010397D01* -X103807087Y-119002862D01* -X104596400Y-119002862D01* -X104596400Y-119097138D01* -X104614792Y-119189603D01* -X104650870Y-119276702D01* -X104703247Y-119355090D01* -X104769910Y-119421753D01* -X104848298Y-119474130D01* -X104935397Y-119510208D01* -X105027862Y-119528600D01* -X105122138Y-119528600D01* -X105214603Y-119510208D01* -X105301702Y-119474130D01* -X105380090Y-119421753D01* -X105446753Y-119355090D01* -X105499130Y-119276702D01* -X105535208Y-119189603D01* -X105553600Y-119097138D01* -X105553600Y-119002862D01* -X105535208Y-118910397D01* -X105499130Y-118823298D01* -X105446753Y-118744910D01* -X105380090Y-118678247D01* -X105301702Y-118625870D01* -X105214603Y-118589792D01* -X105122138Y-118571400D01* -X105027862Y-118571400D01* -X104935397Y-118589792D01* -X104848298Y-118625870D01* -X104769910Y-118678247D01* -X104703247Y-118744910D01* -X104650870Y-118823298D01* -X104614792Y-118910397D01* -X104596400Y-119002862D01* -X103807087Y-119002862D01* -X103774130Y-118923298D01* -X103721753Y-118844910D01* -X103655090Y-118778247D01* -X103576702Y-118725870D01* -X103489603Y-118689792D01* -X103397138Y-118671400D01* -X103302862Y-118671400D01* -X103210397Y-118689792D01* -X103123298Y-118725870D01* -X103044910Y-118778247D01* -X102978247Y-118844910D01* -X102925870Y-118923298D01* -X102889792Y-119010397D01* -X102871400Y-119102862D01* -X96352461Y-119102862D01* -X96353600Y-119097138D01* -X96353600Y-119002862D01* -X96335208Y-118910397D01* -X96299130Y-118823298D01* -X96246753Y-118744910D01* -X96180090Y-118678247D01* -X96101702Y-118625870D01* -X96014603Y-118589792D01* -X95922138Y-118571400D01* -X95827862Y-118571400D01* -X95735397Y-118589792D01* -X95648298Y-118625870D01* -X95569910Y-118678247D01* -X95503247Y-118744910D01* -X95450870Y-118823298D01* -X95414792Y-118910397D01* -X95396400Y-119002862D01* -X94607087Y-119002862D01* -X94574130Y-118923298D01* -X94521753Y-118844910D01* -X94455090Y-118778247D01* -X94376702Y-118725870D01* -X94289603Y-118689792D01* -X94197138Y-118671400D01* -X94102862Y-118671400D01* -X94010397Y-118689792D01* -X93923298Y-118725870D01* -X93844910Y-118778247D01* -X93778247Y-118844910D01* -X93725870Y-118923298D01* -X93689792Y-119010397D01* -X93671400Y-119102862D01* -X87152461Y-119102862D01* -X87153600Y-119097138D01* -X87153600Y-119002862D01* -X87135208Y-118910397D01* -X87099130Y-118823298D01* -X87046753Y-118744910D01* -X86980090Y-118678247D01* -X86901702Y-118625870D01* -X86814603Y-118589792D01* -X86722138Y-118571400D01* -X86627862Y-118571400D01* -X86535397Y-118589792D01* -X86448298Y-118625870D01* -X86369910Y-118678247D01* -X86303247Y-118744910D01* -X86250870Y-118823298D01* -X86214792Y-118910397D01* -X86196400Y-119002862D01* -X85407087Y-119002862D01* -X85374130Y-118923298D01* -X85321753Y-118844910D01* -X85255090Y-118778247D01* -X85176702Y-118725870D01* -X85089603Y-118689792D01* -X84997138Y-118671400D01* -X84902862Y-118671400D01* -X84810397Y-118689792D01* -X84723298Y-118725870D01* -X84644910Y-118778247D01* -X84578247Y-118844910D01* -X84525870Y-118923298D01* -X84489792Y-119010397D01* -X84471400Y-119102862D01* -X83226493Y-119102862D01* -X84291267Y-118038088D01* -X85171400Y-118038088D01* -X85171400Y-118161912D01* -X85195556Y-118283356D01* -X85242941Y-118397754D01* -X85311734Y-118500709D01* -X85399291Y-118588266D01* -X85502246Y-118657059D01* -X85616644Y-118704444D01* -X85738088Y-118728600D01* -X85861912Y-118728600D01* -X85983356Y-118704444D01* -X86097754Y-118657059D01* -X86200709Y-118588266D01* -X86288266Y-118500709D01* -X86357059Y-118397754D01* -X86404444Y-118283356D01* -X86428600Y-118161912D01* -X86428600Y-118038088D01* -X86404444Y-117916644D01* -X86398736Y-117902862D01* -X86821400Y-117902862D01* -X86821400Y-117997138D01* -X86839792Y-118089603D01* -X86875870Y-118176702D01* -X86928247Y-118255090D01* -X86994910Y-118321753D01* -X87073298Y-118374130D01* -X87160397Y-118410208D01* -X87252862Y-118428600D01* -X87347138Y-118428600D01* -X87439603Y-118410208D01* -X87526702Y-118374130D01* -X87605090Y-118321753D01* -X87671753Y-118255090D01* -X87724130Y-118176702D01* -X87760208Y-118089603D01* -X87770454Y-118038088D01* -X94371400Y-118038088D01* -X94371400Y-118161912D01* -X94395556Y-118283356D01* -X94442941Y-118397754D01* -X94511734Y-118500709D01* -X94599291Y-118588266D01* -X94702246Y-118657059D01* -X94816644Y-118704444D01* -X94938088Y-118728600D01* -X95061912Y-118728600D01* -X95183356Y-118704444D01* -X95297754Y-118657059D01* -X95400709Y-118588266D01* -X95488266Y-118500709D01* -X95557059Y-118397754D01* -X95604444Y-118283356D01* -X95628600Y-118161912D01* -X95628600Y-118038088D01* -X95604444Y-117916644D01* -X95598736Y-117902862D01* -X96021400Y-117902862D01* -X96021400Y-117997138D01* -X96039792Y-118089603D01* -X96075870Y-118176702D01* -X96128247Y-118255090D01* -X96194910Y-118321753D01* -X96273298Y-118374130D01* -X96360397Y-118410208D01* -X96452862Y-118428600D01* -X96547138Y-118428600D01* -X96639603Y-118410208D01* -X96726702Y-118374130D01* -X96805090Y-118321753D01* -X96871753Y-118255090D01* -X96924130Y-118176702D01* -X96960208Y-118089603D01* -X96970454Y-118038088D01* -X103571400Y-118038088D01* -X103571400Y-118161912D01* -X103595556Y-118283356D01* -X103642941Y-118397754D01* -X103711734Y-118500709D01* -X103799291Y-118588266D01* -X103902246Y-118657059D01* -X104016644Y-118704444D01* -X104138088Y-118728600D01* -X104261912Y-118728600D01* -X104383356Y-118704444D01* -X104497754Y-118657059D01* -X104600709Y-118588266D01* -X104688266Y-118500709D01* -X104757059Y-118397754D01* -X104804444Y-118283356D01* -X104828600Y-118161912D01* -X104828600Y-118038088D01* -X104804444Y-117916644D01* -X104798736Y-117902862D01* -X105221400Y-117902862D01* -X105221400Y-117997138D01* -X105239792Y-118089603D01* -X105275870Y-118176702D01* -X105328247Y-118255090D01* -X105394910Y-118321753D01* -X105473298Y-118374130D01* -X105560397Y-118410208D01* -X105652862Y-118428600D01* -X105747138Y-118428600D01* -X105839603Y-118410208D01* -X105926702Y-118374130D01* -X106005090Y-118321753D01* -X106071753Y-118255090D01* -X106124130Y-118176702D01* -X106160208Y-118089603D01* -X106178600Y-117997138D01* -X106178600Y-117902862D01* -X106160208Y-117810397D01* -X106124130Y-117723298D01* -X106071753Y-117644910D01* -X106005090Y-117578247D01* -X105926702Y-117525870D01* -X105839603Y-117489792D01* -X105747138Y-117471400D01* -X105652862Y-117471400D01* -X105560397Y-117489792D01* -X105473298Y-117525870D01* -X105394910Y-117578247D01* -X105328247Y-117644910D01* -X105275870Y-117723298D01* -X105239792Y-117810397D01* -X105221400Y-117902862D01* -X104798736Y-117902862D01* -X104757059Y-117802246D01* -X104688266Y-117699291D01* -X104600709Y-117611734D01* -X104497754Y-117542941D01* -X104383356Y-117495556D01* -X104261912Y-117471400D01* -X104138088Y-117471400D01* -X104016644Y-117495556D01* -X103902246Y-117542941D01* -X103799291Y-117611734D01* -X103711734Y-117699291D01* -X103642941Y-117802246D01* -X103595556Y-117916644D01* -X103571400Y-118038088D01* -X96970454Y-118038088D01* -X96978600Y-117997138D01* -X96978600Y-117902862D01* -X96960208Y-117810397D01* -X96924130Y-117723298D01* -X96871753Y-117644910D01* -X96805090Y-117578247D01* -X96726702Y-117525870D01* -X96639603Y-117489792D01* -X96547138Y-117471400D01* -X96452862Y-117471400D01* -X96360397Y-117489792D01* -X96273298Y-117525870D01* -X96194910Y-117578247D01* -X96128247Y-117644910D01* -X96075870Y-117723298D01* -X96039792Y-117810397D01* -X96021400Y-117902862D01* -X95598736Y-117902862D01* -X95557059Y-117802246D01* -X95488266Y-117699291D01* -X95400709Y-117611734D01* -X95297754Y-117542941D01* -X95183356Y-117495556D01* -X95061912Y-117471400D01* -X94938088Y-117471400D01* -X94816644Y-117495556D01* -X94702246Y-117542941D01* -X94599291Y-117611734D01* -X94511734Y-117699291D01* -X94442941Y-117802246D01* -X94395556Y-117916644D01* -X94371400Y-118038088D01* -X87770454Y-118038088D01* -X87778600Y-117997138D01* -X87778600Y-117902862D01* -X87760208Y-117810397D01* -X87724130Y-117723298D01* -X87671753Y-117644910D01* -X87605090Y-117578247D01* -X87526702Y-117525870D01* -X87439603Y-117489792D01* -X87347138Y-117471400D01* -X87252862Y-117471400D01* -X87160397Y-117489792D01* -X87073298Y-117525870D01* -X86994910Y-117578247D01* -X86928247Y-117644910D01* -X86875870Y-117723298D01* -X86839792Y-117810397D01* -X86821400Y-117902862D01* -X86398736Y-117902862D01* -X86357059Y-117802246D01* -X86288266Y-117699291D01* -X86200709Y-117611734D01* -X86097754Y-117542941D01* -X85983356Y-117495556D01* -X85861912Y-117471400D01* -X85738088Y-117471400D01* -X85616644Y-117495556D01* -X85502246Y-117542941D01* -X85399291Y-117611734D01* -X85311734Y-117699291D01* -X85242941Y-117802246D01* -X85195556Y-117916644D01* -X85171400Y-118038088D01* -X84291267Y-118038088D01* -X87104140Y-115225216D01* -X87115716Y-115215716D01* -X87153655Y-115169487D01* -X87181846Y-115116744D01* -X87199206Y-115059516D01* -X87203600Y-115014904D01* -X87203600Y-115014903D01* -X87205068Y-115000001D01* -X87203600Y-114985097D01* -X87203600Y-111947937D01* -X89671400Y-111947937D01* -X89671400Y-112052063D01* -X89691713Y-112154187D01* -X89731560Y-112250386D01* -X89789409Y-112336963D01* -X89863037Y-112410591D01* -X89949614Y-112468440D01* -X90045813Y-112508287D01* -X90147937Y-112528600D01* -X90252063Y-112528600D01* -X90354187Y-112508287D01* -X90450386Y-112468440D01* -X90536963Y-112410591D01* -X90610591Y-112336963D01* -X90668440Y-112250386D01* -X90708287Y-112154187D01* -X90728600Y-112052063D01* -X90728600Y-111947937D01* -X90708287Y-111845813D01* -X90668440Y-111749614D01* -X90610591Y-111663037D01* -X90536963Y-111589409D01* -X90450386Y-111531560D01* -X90354187Y-111491713D01* -X90252063Y-111471400D01* -X90147937Y-111471400D01* -X90045813Y-111491713D01* -X89949614Y-111531560D01* -X89863037Y-111589409D01* -X89789409Y-111663037D01* -X89731560Y-111749614D01* -X89691713Y-111845813D01* -X89671400Y-111947937D01* -X87203600Y-111947937D01* -X87203600Y-111047937D01* -X88671400Y-111047937D01* -X88671400Y-111152063D01* -X88691713Y-111254187D01* -X88731560Y-111350386D01* -X88789409Y-111436963D01* -X88863037Y-111510591D01* -X88949614Y-111568440D01* -X89045813Y-111608287D01* -X89147937Y-111628600D01* -X89252063Y-111628600D01* -X89354187Y-111608287D01* -X89450386Y-111568440D01* -X89536963Y-111510591D01* -X89610591Y-111436963D01* -X89668440Y-111350386D01* -X89708287Y-111254187D01* -X89728600Y-111152063D01* -X89728600Y-111047937D01* -X89708287Y-110945813D01* -X89668440Y-110849614D01* -X89610591Y-110763037D01* -X89536963Y-110689409D01* -X89450386Y-110631560D01* -X89354187Y-110591713D01* -X89252063Y-110571400D01* -X89147937Y-110571400D01* -X89045813Y-110591713D01* -X88949614Y-110631560D01* -X88863037Y-110689409D01* -X88789409Y-110763037D01* -X88731560Y-110849614D01* -X88691713Y-110945813D01* -X88671400Y-111047937D01* -X87203600Y-111047937D01* -X87203600Y-110152862D01* -X89921400Y-110152862D01* -X89921400Y-110247138D01* -X89939792Y-110339603D01* -X89975870Y-110426702D01* -X90028247Y-110505090D01* -X90094910Y-110571753D01* -X90173298Y-110624130D01* -X90260397Y-110660208D01* -X90352862Y-110678600D01* -X90447138Y-110678600D01* -X90539603Y-110660208D01* -X90626702Y-110624130D01* -X90705090Y-110571753D01* -X90771753Y-110505090D01* -X90824130Y-110426702D01* -X90860208Y-110339603D01* -X90878600Y-110247138D01* -X90878600Y-110152862D01* -X90860208Y-110060397D01* -X90824130Y-109973298D01* -X90771753Y-109894910D01* -X90705090Y-109828247D01* -X90626702Y-109775870D01* -X90539603Y-109739792D01* -X90447138Y-109721400D01* -X90352862Y-109721400D01* -X90260397Y-109739792D01* -X90173298Y-109775870D01* -X90094910Y-109828247D01* -X90028247Y-109894910D01* -X89975870Y-109973298D01* -X89939792Y-110060397D01* -X89921400Y-110152862D01* -X87203600Y-110152862D01* -X87203600Y-107852862D01* -X89971400Y-107852862D01* -X89971400Y-107947138D01* -X89989792Y-108039603D01* -X90025870Y-108126702D01* -X90078247Y-108205090D01* -X90144910Y-108271753D01* -X90223298Y-108324130D01* -X90310397Y-108360208D01* -X90402862Y-108378600D01* -X90497138Y-108378600D01* -X90589603Y-108360208D01* -X90676702Y-108324130D01* -X90755090Y-108271753D01* -X90821753Y-108205090D01* -X90874130Y-108126702D01* -X90910208Y-108039603D01* -X90928600Y-107947138D01* -X90928600Y-107852862D01* -X90910208Y-107760397D01* -X90874130Y-107673298D01* -X90821753Y-107594910D01* -X90755090Y-107528247D01* -X90676702Y-107475870D01* -X90589603Y-107439792D01* -X90497138Y-107421400D01* -X90402862Y-107421400D01* -X90310397Y-107439792D01* -X90223298Y-107475870D01* -X90144910Y-107528247D01* -X90078247Y-107594910D01* -X90025870Y-107673298D01* -X89989792Y-107760397D01* -X89971400Y-107852862D01* -X87203600Y-107852862D01* -X87203600Y-106914904D01* -X87205068Y-106900000D01* -X87200426Y-106852862D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89823298Y-107324130D01* -X89910397Y-107360208D01* -X90002862Y-107378600D01* -X90097138Y-107378600D01* -X90189603Y-107360208D01* -X90276702Y-107324130D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90422749Y-107203600D01* -X90724246Y-107203600D01* -X92946400Y-109425755D01* -X92946401Y-112535086D01* -X92944932Y-112550000D01* -X92950794Y-112609515D01* -X92954507Y-112621753D01* -X92968155Y-112666744D01* -X92996346Y-112719487D01* -X93034285Y-112765716D01* -X93045867Y-112775221D01* -X97424779Y-117154134D01* -X97434284Y-117165716D01* -X97480513Y-117203655D01* -X97533256Y-117231846D01* -X97589297Y-117248846D01* -X97590484Y-117249206D01* -X97650000Y-117255068D01* -X97664904Y-117253600D01* -X113024246Y-117253600D01* -X115821750Y-120051104D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115875870Y-120326702D01* -X115928247Y-120405090D01* -X115994910Y-120471753D01* -X116073298Y-120524130D01* -X116160397Y-120560208D01* -X116252862Y-120578600D01* -X116347138Y-120578600D01* -X116439603Y-120560208D01* -X116526702Y-120524130D01* -X116605090Y-120471753D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116251104Y-119621750D01* -X113375226Y-116745872D01* -X113365716Y-116734284D01* -X113319487Y-116696345D01* -X113266744Y-116668154D01* -X113209516Y-116650794D01* -X113164904Y-116646400D01* -X113150000Y-116644932D01* -X113135096Y-116646400D01* -X97775755Y-116646400D01* -X97327292Y-116197937D01* -X113721400Y-116197937D01* -X113721400Y-116302063D01* -X113741713Y-116404187D01* -X113781560Y-116500386D01* -X113839409Y-116586963D01* -X113913037Y-116660591D01* -X113999614Y-116718440D01* -X114095813Y-116758287D01* -X114197937Y-116778600D01* -X114302063Y-116778600D01* -X114404187Y-116758287D01* -X114500386Y-116718440D01* -X114586963Y-116660591D01* -X114660591Y-116586963D01* -X114718440Y-116500386D01* -X114758287Y-116404187D01* -X114778600Y-116302063D01* -X114778600Y-116197937D01* -X123721400Y-116197937D01* -X123721400Y-116302063D01* -X123741713Y-116404187D01* -X123781560Y-116500386D01* -X123839409Y-116586963D01* -X123913037Y-116660591D01* -X123999614Y-116718440D01* -X124095813Y-116758287D01* -X124197937Y-116778600D01* -X124302063Y-116778600D01* -X124404187Y-116758287D01* -X124500386Y-116718440D01* -X124586963Y-116660591D01* -X124660591Y-116586963D01* -X124718440Y-116500386D01* -X124758287Y-116404187D01* -X124778600Y-116302063D01* -X124778600Y-116197937D01* -X124758287Y-116095813D01* -X124718440Y-115999614D01* -X124660591Y-115913037D01* -X124586963Y-115839409D01* -X124500386Y-115781560D01* -X124404187Y-115741713D01* -X124302063Y-115721400D01* -X124197937Y-115721400D01* -X124095813Y-115741713D01* -X123999614Y-115781560D01* -X123913037Y-115839409D01* -X123839409Y-115913037D01* -X123781560Y-115999614D01* -X123741713Y-116095813D01* -X123721400Y-116197937D01* -X114778600Y-116197937D01* -X114758287Y-116095813D01* -X114718440Y-115999614D01* -X114660591Y-115913037D01* -X114586963Y-115839409D01* -X114500386Y-115781560D01* -X114404187Y-115741713D01* -X114302063Y-115721400D01* -X114197937Y-115721400D01* -X114095813Y-115741713D01* -X113999614Y-115781560D01* -X113913037Y-115839409D01* -X113839409Y-115913037D01* -X113781560Y-115999614D01* -X113741713Y-116095813D01* -X113721400Y-116197937D01* -X97327292Y-116197937D01* -X96427292Y-115297937D01* -X114721400Y-115297937D01* -X114721400Y-115402063D01* -X114741713Y-115504187D01* -X114781560Y-115600386D01* -X114839409Y-115686963D01* -X114913037Y-115760591D01* -X114999614Y-115818440D01* -X115095813Y-115858287D01* -X115197937Y-115878600D01* -X115302063Y-115878600D01* -X115404187Y-115858287D01* -X115500386Y-115818440D01* -X115586963Y-115760591D01* -X115660591Y-115686963D01* -X115718440Y-115600386D01* -X115758287Y-115504187D01* -X115778600Y-115402063D01* -X115778600Y-115297937D01* -X124721400Y-115297937D01* -X124721400Y-115402063D01* -X124741713Y-115504187D01* -X124781560Y-115600386D01* -X124839409Y-115686963D01* -X124913037Y-115760591D01* -X124999614Y-115818440D01* -X125095813Y-115858287D01* -X125197937Y-115878600D01* -X125302063Y-115878600D01* -X125404187Y-115858287D01* -X125500386Y-115818440D01* -X125586963Y-115760591D01* -X125660591Y-115686963D01* -X125718440Y-115600386D01* -X125758287Y-115504187D01* -X125778600Y-115402063D01* -X125778600Y-115297937D01* -X125758287Y-115195813D01* -X125718440Y-115099614D01* -X125660591Y-115013037D01* -X125586963Y-114939409D01* -X125500386Y-114881560D01* -X125404187Y-114841713D01* -X125302063Y-114821400D01* -X125197937Y-114821400D01* -X125095813Y-114841713D01* -X124999614Y-114881560D01* -X124913037Y-114939409D01* -X124839409Y-115013037D01* -X124781560Y-115099614D01* -X124741713Y-115195813D01* -X124721400Y-115297937D01* -X115778600Y-115297937D01* -X115758287Y-115195813D01* -X115718440Y-115099614D01* -X115660591Y-115013037D01* -X115586963Y-114939409D01* -X115500386Y-114881560D01* -X115404187Y-114841713D01* -X115302063Y-114821400D01* -X115197937Y-114821400D01* -X115095813Y-114841713D01* -X114999614Y-114881560D01* -X114913037Y-114939409D01* -X114839409Y-115013037D01* -X114781560Y-115099614D01* -X114741713Y-115195813D01* -X114721400Y-115297937D01* -X96427292Y-115297937D01* -X95527292Y-114397937D01* -X113721400Y-114397937D01* -X113721400Y-114502063D01* -X113741713Y-114604187D01* -X113781560Y-114700386D01* -X113839409Y-114786963D01* -X113913037Y-114860591D01* -X113999614Y-114918440D01* -X114095813Y-114958287D01* -X114197937Y-114978600D01* -X114302063Y-114978600D01* -X114404187Y-114958287D01* -X114500386Y-114918440D01* -X114586963Y-114860591D01* -X114660591Y-114786963D01* -X114718440Y-114700386D01* -X114758287Y-114604187D01* -X114778600Y-114502063D01* -X114778600Y-114397937D01* -X114758287Y-114295813D01* -X114718440Y-114199614D01* -X114660591Y-114113037D01* -X114586963Y-114039409D01* -X114532267Y-114002862D01* -X123921400Y-114002862D01* -X123921400Y-114097138D01* -X123939792Y-114189603D01* -X123975870Y-114276702D01* -X124028247Y-114355090D01* -X124094910Y-114421753D01* -X124173298Y-114474130D01* -X124260397Y-114510208D01* -X124352862Y-114528600D01* -X124447138Y-114528600D01* -X124539603Y-114510208D01* -X124626702Y-114474130D01* -X124705090Y-114421753D01* -X124771753Y-114355090D01* -X124824130Y-114276702D01* -X124860208Y-114189603D01* -X124878600Y-114097138D01* -X124878600Y-114002862D01* -X124860208Y-113910397D01* -X124824130Y-113823298D01* -X124771753Y-113744910D01* -X124705090Y-113678247D01* -X124626702Y-113625870D01* -X124539603Y-113589792D01* -X124447138Y-113571400D01* -X124352862Y-113571400D01* -X124260397Y-113589792D01* -X124173298Y-113625870D01* -X124094910Y-113678247D01* -X124028247Y-113744910D01* -X123975870Y-113823298D01* -X123939792Y-113910397D01* -X123921400Y-114002862D01* -X114532267Y-114002862D01* -X114500386Y-113981560D01* -X114404187Y-113941713D01* -X114302063Y-113921400D01* -X114197937Y-113921400D01* -X114095813Y-113941713D01* -X113999614Y-113981560D01* -X113913037Y-114039409D01* -X113839409Y-114113037D01* -X113781560Y-114199614D01* -X113741713Y-114295813D01* -X113721400Y-114397937D01* -X95527292Y-114397937D01* -X93553600Y-112424246D01* -X93553600Y-111947937D01* -X96671400Y-111947937D01* -X96671400Y-112052063D01* -X96691713Y-112154187D01* -X96731560Y-112250386D01* -X96789409Y-112336963D01* -X96863037Y-112410591D01* -X96949614Y-112468440D01* -X97045813Y-112508287D01* -X97147937Y-112528600D01* -X97252063Y-112528600D01* -X97354187Y-112508287D01* -X97450386Y-112468440D01* -X97536963Y-112410591D01* -X97610591Y-112336963D01* -X97668440Y-112250386D01* -X97708287Y-112154187D01* -X97728600Y-112052063D01* -X97728600Y-111947937D01* -X97708287Y-111845813D01* -X97668440Y-111749614D01* -X97610591Y-111663037D01* -X97536963Y-111589409D01* -X97450386Y-111531560D01* -X97354187Y-111491713D01* -X97252063Y-111471400D01* -X97147937Y-111471400D01* -X97045813Y-111491713D01* -X96949614Y-111531560D01* -X96863037Y-111589409D01* -X96789409Y-111663037D01* -X96731560Y-111749614D01* -X96691713Y-111845813D01* -X96671400Y-111947937D01* -X93553600Y-111947937D01* -X93553600Y-111047937D01* -X95671400Y-111047937D01* -X95671400Y-111152063D01* -X95691713Y-111254187D01* -X95731560Y-111350386D01* -X95789409Y-111436963D01* -X95863037Y-111510591D01* -X95949614Y-111568440D01* -X96045813Y-111608287D01* -X96147937Y-111628600D01* -X96252063Y-111628600D01* -X96354187Y-111608287D01* -X96450386Y-111568440D01* -X96536963Y-111510591D01* -X96610591Y-111436963D01* -X96668440Y-111350386D01* -X96708287Y-111254187D01* -X96728600Y-111152063D01* -X96728600Y-111047937D01* -X96708287Y-110945813D01* -X96668440Y-110849614D01* -X96610591Y-110763037D01* -X96536963Y-110689409D01* -X96450386Y-110631560D01* -X96354187Y-110591713D01* -X96252063Y-110571400D01* -X96147937Y-110571400D01* -X96045813Y-110591713D01* -X95949614Y-110631560D01* -X95863037Y-110689409D01* -X95789409Y-110763037D01* -X95731560Y-110849614D01* -X95691713Y-110945813D01* -X95671400Y-111047937D01* -X93553600Y-111047937D01* -X93553600Y-110152862D01* -X96921400Y-110152862D01* -X96921400Y-110247138D01* -X96939792Y-110339603D01* -X96975870Y-110426702D01* -X97028247Y-110505090D01* -X97094910Y-110571753D01* -X97173298Y-110624130D01* -X97260397Y-110660208D01* -X97352862Y-110678600D01* -X97447138Y-110678600D01* -X97539603Y-110660208D01* -X97626702Y-110624130D01* -X97705090Y-110571753D01* -X97771753Y-110505090D01* -X97824130Y-110426702D01* -X97860208Y-110339603D01* -X97878600Y-110247138D01* -X97878600Y-110152862D01* -X97860208Y-110060397D01* -X97824130Y-109973298D01* -X97771753Y-109894910D01* -X97705090Y-109828247D01* -X97626702Y-109775870D01* -X97539603Y-109739792D01* -X97447138Y-109721400D01* -X97352862Y-109721400D01* -X97260397Y-109739792D01* -X97173298Y-109775870D01* -X97094910Y-109828247D01* -X97028247Y-109894910D01* -X96975870Y-109973298D01* -X96939792Y-110060397D01* -X96921400Y-110152862D01* -X93553600Y-110152862D01* -X93553600Y-109314904D01* -X93555068Y-109300000D01* -X93549206Y-109240484D01* -X93531846Y-109183255D01* -X93503655Y-109130513D01* -X93496466Y-109121753D01* -X93465716Y-109084284D01* -X93454135Y-109074780D01* -X92232217Y-107852862D01* -X96971400Y-107852862D01* -X96971400Y-107947138D01* -X96989792Y-108039603D01* -X97025870Y-108126702D01* -X97078247Y-108205090D01* -X97144910Y-108271753D01* -X97223298Y-108324130D01* -X97310397Y-108360208D01* -X97402862Y-108378600D01* -X97497138Y-108378600D01* -X97589603Y-108360208D01* -X97676702Y-108324130D01* -X97755090Y-108271753D01* -X97821753Y-108205090D01* -X97874130Y-108126702D01* -X97910208Y-108039603D01* -X97928600Y-107947138D01* -X97928600Y-107852862D01* -X97910208Y-107760397D01* -X97874130Y-107673298D01* -X97821753Y-107594910D01* -X97755090Y-107528247D01* -X97676702Y-107475870D01* -X97589603Y-107439792D01* -X97497138Y-107421400D01* -X97402862Y-107421400D01* -X97310397Y-107439792D01* -X97223298Y-107475870D01* -X97144910Y-107528247D01* -X97078247Y-107594910D01* -X97025870Y-107673298D01* -X96989792Y-107760397D01* -X96971400Y-107852862D01* -X92232217Y-107852862D01* -X91282217Y-106902862D01* -X97321400Y-106902862D01* -X97321400Y-106997138D01* -X97339792Y-107089603D01* -X97375870Y-107176702D01* -X97428247Y-107255090D01* -X97494910Y-107321753D01* -X97573298Y-107374130D01* -X97660397Y-107410208D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97932305Y-107411660D01* -X99746400Y-109225756D01* -X99746401Y-112527251D01* -X99744910Y-112528247D01* -X99678247Y-112594910D01* -X99625870Y-112673298D01* -X99589792Y-112760397D01* -X99571400Y-112852862D01* -X99571400Y-112947138D01* -X99589792Y-113039603D01* -X99625870Y-113126702D01* -X99678247Y-113205090D01* -X99744910Y-113271753D01* -X99823298Y-113324130D01* -X99910397Y-113360208D01* -X100002862Y-113378600D01* -X100097138Y-113378600D01* -X100189603Y-113360208D01* -X100276702Y-113324130D01* -X100355090Y-113271753D01* -X100421753Y-113205090D01* -X100474130Y-113126702D01* -X100504715Y-113052862D01* -X103721400Y-113052862D01* -X103721400Y-113147138D01* -X103739792Y-113239603D01* -X103775870Y-113326702D01* -X103828247Y-113405090D01* -X103894910Y-113471753D01* -X103973298Y-113524130D01* -X104060397Y-113560208D01* -X104152862Y-113578600D01* -X104247138Y-113578600D01* -X104339603Y-113560208D01* -X104426702Y-113524130D01* -X104505090Y-113471753D01* -X104571753Y-113405090D01* -X104624130Y-113326702D01* -X104660208Y-113239603D01* -X104678600Y-113147138D01* -X104678600Y-113052862D01* -X104660208Y-112960397D01* -X104624130Y-112873298D01* -X104571753Y-112794910D01* -X104505090Y-112728247D01* -X104426702Y-112675870D01* -X104339603Y-112639792D01* -X104247138Y-112621400D01* -X104152862Y-112621400D01* -X104060397Y-112639792D01* -X103973298Y-112675870D01* -X103894910Y-112728247D01* -X103828247Y-112794910D01* -X103775870Y-112873298D01* -X103739792Y-112960397D01* -X103721400Y-113052862D01* -X100504715Y-113052862D01* -X100510208Y-113039603D01* -X100528600Y-112947138D01* -X100528600Y-112852862D01* -X100510208Y-112760397D01* -X100474130Y-112673298D01* -X100421753Y-112594910D01* -X100355090Y-112528247D01* -X100353600Y-112527251D01* -X100353600Y-112202862D01* -X102771400Y-112202862D01* -X102771400Y-112297138D01* -X102789792Y-112389603D01* -X102825870Y-112476702D01* -X102878247Y-112555090D01* -X102944910Y-112621753D01* -X103023298Y-112674130D01* -X103110397Y-112710208D01* -X103202862Y-112728600D01* -X103297138Y-112728600D01* -X103389603Y-112710208D01* -X103476702Y-112674130D01* -X103555090Y-112621753D01* -X103621753Y-112555090D01* -X103674130Y-112476702D01* -X103710208Y-112389603D01* -X103728600Y-112297138D01* -X103728600Y-112202862D01* -X103710208Y-112110397D01* -X103674130Y-112023298D01* -X103621753Y-111944910D01* -X103555090Y-111878247D01* -X103476702Y-111825870D01* -X103389603Y-111789792D01* -X103297138Y-111771400D01* -X103202862Y-111771400D01* -X103110397Y-111789792D01* -X103023298Y-111825870D01* -X102944910Y-111878247D01* -X102878247Y-111944910D01* -X102825870Y-112023298D01* -X102789792Y-112110397D01* -X102771400Y-112202862D01* -X100353600Y-112202862D01* -X100353600Y-111352862D01* -X103721400Y-111352862D01* -X103721400Y-111447138D01* -X103739792Y-111539603D01* -X103775870Y-111626702D01* -X103828247Y-111705090D01* -X103894910Y-111771753D01* -X103973298Y-111824130D01* -X104060397Y-111860208D01* -X104152862Y-111878600D01* -X104247138Y-111878600D01* -X104339603Y-111860208D01* -X104426702Y-111824130D01* -X104505090Y-111771753D01* -X104571753Y-111705090D01* -X104624130Y-111626702D01* -X104660208Y-111539603D01* -X104678600Y-111447138D01* -X104678600Y-111352862D01* -X104660208Y-111260397D01* -X104624130Y-111173298D01* -X104571753Y-111094910D01* -X104505090Y-111028247D01* -X104426702Y-110975870D01* -X104339603Y-110939792D01* -X104247138Y-110921400D01* -X104152862Y-110921400D01* -X104060397Y-110939792D01* -X103973298Y-110975870D01* -X103894910Y-111028247D01* -X103828247Y-111094910D01* -X103775870Y-111173298D01* -X103739792Y-111260397D01* -X103721400Y-111352862D01* -X100353600Y-111352862D01* -X100353600Y-109114903D01* -X100355068Y-109099999D01* -X100349206Y-109040484D01* -X100344773Y-109025870D01* -X100331846Y-108983256D01* -X100303655Y-108930513D01* -X100265716Y-108884284D01* -X100254140Y-108874784D01* -X98277702Y-106898348D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97321400Y-106902862D01* -X91282217Y-106902862D01* -X91075226Y-106695872D01* -X91065716Y-106684284D01* -X91019487Y-106646345D01* -X90966744Y-106618154D01* -X90909516Y-106600794D01* -X90864904Y-106596400D01* -X90850000Y-106594932D01* -X90835096Y-106596400D01* -X90422749Y-106596400D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X87200426Y-106852862D01* -X87199206Y-106840484D01* -X87194429Y-106824736D01* -X87181846Y-106783256D01* -X87153655Y-106730513D01* -X87115716Y-106684284D01* -X87104133Y-106674778D01* -X86703600Y-106274246D01* -X86703600Y-104975754D01* -X87754135Y-103925220D01* -X87765716Y-103915716D01* -X87803655Y-103869487D01* -X87831846Y-103816744D01* -X87843054Y-103779795D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87323298Y-102975870D01* -X87244910Y-103028247D01* -X87178247Y-103094910D01* -X87125870Y-103173298D01* -X87089792Y-103260397D01* -X87071400Y-103352862D01* -X87071400Y-103447138D01* -X87089792Y-103539603D01* -X87125870Y-103626702D01* -X87153136Y-103667509D01* -X86195867Y-104624779D01* -X86184285Y-104634284D01* -X86146346Y-104680513D01* -X86130373Y-104710397D01* -X86118155Y-104733256D01* -X86100794Y-104790485D01* -X86094932Y-104850000D01* -X86096401Y-104864914D01* -X86096400Y-106385096D01* -X86094932Y-106400000D01* -X86096400Y-106414903D01* -X86100794Y-106459515D01* -X86118154Y-106516743D01* -X86146345Y-106569486D01* -X86184284Y-106615716D01* -X86195872Y-106625226D01* -X86596401Y-107025756D01* -X86596400Y-114874244D01* -X78148896Y-123321750D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X67867600Y-123752862D01* -X67867600Y-121363403D01* -X67869068Y-121348499D01* -X67863206Y-121288984D01* -X67849109Y-121242513D01* -X67845846Y-121231756D01* -X67817655Y-121179013D01* -X67779716Y-121132784D01* -X67768134Y-121123279D01* -X67637755Y-120992900D01* -X67762298Y-120992900D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68396036Y-120102862D01* -X77621400Y-120102862D01* -X77621400Y-120197138D01* -X77639792Y-120289603D01* -X77675870Y-120376702D01* -X77728247Y-120455090D01* -X77794910Y-120521753D01* -X77873298Y-120574130D01* -X77960397Y-120610208D01* -X78052862Y-120628600D01* -X78147138Y-120628600D01* -X78239603Y-120610208D01* -X78326702Y-120574130D01* -X78405090Y-120521753D01* -X78471753Y-120455090D01* -X78524130Y-120376702D01* -X78560208Y-120289603D01* -X78578600Y-120197138D01* -X78578600Y-120102862D01* -X78560208Y-120010397D01* -X78524130Y-119923298D01* -X78471753Y-119844910D01* -X78405090Y-119778247D01* -X78326702Y-119725870D01* -X78239603Y-119689792D01* -X78147138Y-119671400D01* -X78052862Y-119671400D01* -X77960397Y-119689792D01* -X77873298Y-119725870D01* -X77794910Y-119778247D01* -X77728247Y-119844910D01* -X77675870Y-119923298D01* -X77639792Y-120010397D01* -X77621400Y-120102862D01* -X68396036Y-120102862D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X67043300Y-119941044D01* -X67043300Y-119826709D01* -X67019385Y-119706482D01* -X66972475Y-119593230D01* -X66904372Y-119491307D01* -X66817693Y-119404628D01* -X66715770Y-119336525D01* -X66624200Y-119298596D01* -X66624200Y-119207404D01* -X66715770Y-119169475D01* -X66748003Y-119147937D01* -X75171400Y-119147937D01* -X75171400Y-119252063D01* -X75191713Y-119354187D01* -X75231560Y-119450386D01* -X75289409Y-119536963D01* -X75363037Y-119610591D01* -X75449614Y-119668440D01* -X75545813Y-119708287D01* -X75647937Y-119728600D01* -X75752063Y-119728600D01* -X75854187Y-119708287D01* -X75950386Y-119668440D01* -X76036963Y-119610591D01* -X76110591Y-119536963D01* -X76168440Y-119450386D01* -X76208287Y-119354187D01* -X76228600Y-119252063D01* -X76228600Y-119147937D01* -X76208287Y-119045813D01* -X76190497Y-119002862D01* -X76996400Y-119002862D01* -X76996400Y-119097138D01* -X77014792Y-119189603D01* -X77050870Y-119276702D01* -X77103247Y-119355090D01* -X77169910Y-119421753D01* -X77248298Y-119474130D01* -X77335397Y-119510208D01* -X77427862Y-119528600D01* -X77522138Y-119528600D01* -X77614603Y-119510208D01* -X77701702Y-119474130D01* -X77780090Y-119421753D01* -X77846753Y-119355090D01* -X77899130Y-119276702D01* -X77935208Y-119189603D01* -X77953600Y-119097138D01* -X77953600Y-119002862D01* -X77935208Y-118910397D01* -X77899130Y-118823298D01* -X77846753Y-118744910D01* -X77780090Y-118678247D01* -X77701702Y-118625870D01* -X77614603Y-118589792D01* -X77522138Y-118571400D01* -X77427862Y-118571400D01* -X77335397Y-118589792D01* -X77248298Y-118625870D01* -X77169910Y-118678247D01* -X77103247Y-118744910D01* -X77050870Y-118823298D01* -X77014792Y-118910397D01* -X76996400Y-119002862D01* -X76190497Y-119002862D01* -X76168440Y-118949614D01* -X76110591Y-118863037D01* -X76036963Y-118789409D01* -X75950386Y-118731560D01* -X75854187Y-118691713D01* -X75752063Y-118671400D01* -X75647937Y-118671400D01* -X75545813Y-118691713D01* -X75449614Y-118731560D01* -X75363037Y-118789409D01* -X75289409Y-118863037D01* -X75231560Y-118949614D01* -X75191713Y-119045813D01* -X75171400Y-119147937D01* -X66748003Y-119147937D01* -X66817693Y-119101372D01* -X66904372Y-119014693D01* -X66972475Y-118912770D01* -X67019385Y-118799518D01* -X67043300Y-118679291D01* -X67043300Y-118564956D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68411367Y-118147937D01* -X76071400Y-118147937D01* -X76071400Y-118252063D01* -X76091713Y-118354187D01* -X76131560Y-118450386D01* -X76189409Y-118536963D01* -X76263037Y-118610591D01* -X76349614Y-118668440D01* -X76445813Y-118708287D01* -X76547937Y-118728600D01* -X76652063Y-118728600D01* -X76754187Y-118708287D01* -X76850386Y-118668440D01* -X76936963Y-118610591D01* -X77010591Y-118536963D01* -X77068440Y-118450386D01* -X77108287Y-118354187D01* -X77128600Y-118252063D01* -X77128600Y-118147937D01* -X77108287Y-118045813D01* -X77068440Y-117949614D01* -X77037202Y-117902862D01* -X77621400Y-117902862D01* -X77621400Y-117997138D01* -X77639792Y-118089603D01* -X77675870Y-118176702D01* -X77728247Y-118255090D01* -X77794910Y-118321753D01* -X77873298Y-118374130D01* -X77960397Y-118410208D01* -X78052862Y-118428600D01* -X78147138Y-118428600D01* -X78239603Y-118410208D01* -X78326702Y-118374130D01* -X78405090Y-118321753D01* -X78471753Y-118255090D01* -X78524130Y-118176702D01* -X78560208Y-118089603D01* -X78578600Y-117997138D01* -X78578600Y-117902862D01* -X78560208Y-117810397D01* -X78524130Y-117723298D01* -X78471753Y-117644910D01* -X78405090Y-117578247D01* -X78326702Y-117525870D01* -X78239603Y-117489792D01* -X78147138Y-117471400D01* -X78052862Y-117471400D01* -X77960397Y-117489792D01* -X77873298Y-117525870D01* -X77794910Y-117578247D01* -X77728247Y-117644910D01* -X77675870Y-117723298D01* -X77639792Y-117810397D01* -X77621400Y-117902862D01* -X77037202Y-117902862D01* -X77010591Y-117863037D01* -X76936963Y-117789409D01* -X76850386Y-117731560D01* -X76754187Y-117691713D01* -X76652063Y-117671400D01* -X76547937Y-117671400D01* -X76445813Y-117691713D01* -X76349614Y-117731560D01* -X76263037Y-117789409D01* -X76189409Y-117863037D01* -X76131560Y-117949614D01* -X76091713Y-118045813D01* -X76071400Y-118147937D01* -X68411367Y-118147937D01* -X68387081Y-118025846D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67562971Y-117524384D01* -X67895140Y-117192216D01* -X67906716Y-117182716D01* -X67944655Y-117136487D01* -X67972846Y-117083744D01* -X67990206Y-117026516D01* -X67991880Y-117009516D01* -X67996068Y-116967001D01* -X67994600Y-116952097D01* -X67994600Y-115855904D01* -X67996068Y-115841000D01* -X67990206Y-115781484D01* -X67972846Y-115724255D01* -X67944655Y-115671513D01* -X67942719Y-115669154D01* -X67906716Y-115625284D01* -X67895134Y-115615779D01* -X65825226Y-113545872D01* -X65815716Y-113534284D01* -X65769487Y-113496345D01* -X65716744Y-113468154D01* -X65659516Y-113450794D01* -X65614904Y-113446400D01* -X65600000Y-113444932D01* -X65585096Y-113446400D01* -X63975756Y-113446400D01* -X60003600Y-109474246D01* -X60003600Y-108447359D01* -X60530257Y-108447359D01* -X60574492Y-108593195D01* -X60670029Y-108784808D01* -X60801112Y-108954101D01* -X60962704Y-109094568D01* -X61148595Y-109200811D01* -X61351641Y-109268747D01* -X61521200Y-109236558D01* -X61521200Y-108277800D01* -X61668800Y-108277800D01* -X61668800Y-109236558D01* -X61838359Y-109268747D01* -X62041405Y-109200811D01* -X62227296Y-109094568D01* -X62388888Y-108954101D01* -X62519971Y-108784808D01* -X62615508Y-108593195D01* -X62659743Y-108447359D01* -X62627416Y-108277800D01* -X61668800Y-108277800D01* -X61521200Y-108277800D01* -X60562584Y-108277800D01* -X60530257Y-108447359D01* -X60003600Y-108447359D01* -X60003600Y-107960641D01* -X60530257Y-107960641D01* -X60562584Y-108130200D01* -X61521200Y-108130200D01* -X61521200Y-107171442D01* -X61668800Y-107171442D01* -X61668800Y-108130200D01* -X62627416Y-108130200D01* -X62659743Y-107960641D01* -X62615508Y-107814805D01* -X62519971Y-107623192D01* -X62388888Y-107453899D01* -X62227296Y-107313432D01* -X62041405Y-107207189D01* -X61838359Y-107139253D01* -X61668800Y-107171442D01* -X61521200Y-107171442D01* -X61351641Y-107139253D01* -X61148595Y-107207189D01* -X60962704Y-107313432D01* -X60801112Y-107453899D01* -X60670029Y-107623192D01* -X60574492Y-107814805D01* -X60530257Y-107960641D01* -X60003600Y-107960641D01* -X60003600Y-105556428D01* -X60502800Y-105556428D01* -X60502800Y-105771572D01* -X60544772Y-105982583D01* -X60627105Y-106181351D01* -X60746633Y-106360237D01* -X60898763Y-106512367D01* -X61077649Y-106631895D01* -X61276417Y-106714228D01* -X61487428Y-106756200D01* -X61702572Y-106756200D01* -X61913583Y-106714228D01* -X62112351Y-106631895D01* -X62291237Y-106512367D01* -X62443367Y-106360237D01* -X62561401Y-106183587D01* -X62561401Y-106284086D01* -X62559932Y-106299000D01* -X62565794Y-106358515D01* -X62577511Y-106397138D01* -X62583155Y-106415744D01* -X62611346Y-106468487D01* -X62649285Y-106514716D01* -X62660867Y-106524221D01* -X63249497Y-107112851D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X63831400Y-109297306D01* -X63831400Y-109620096D01* -X63829932Y-109635000D01* -X63834226Y-109678600D01* -X63835794Y-109694515D01* -X63853154Y-109751743D01* -X63881345Y-109804486D01* -X63919284Y-109850716D01* -X63930872Y-109860226D01* -X66374783Y-112304139D01* -X66384284Y-112315716D01* -X66430513Y-112353655D01* -X66483256Y-112381846D01* -X66515563Y-112391646D01* -X66540483Y-112399206D01* -X66599999Y-112405068D01* -X66614903Y-112403600D01* -X71727251Y-112403600D01* -X71728247Y-112405090D01* -X71794910Y-112471753D01* -X71873298Y-112524130D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72472749Y-112403600D01* -X83435096Y-112403600D01* -X83450000Y-112405068D01* -X83464904Y-112403600D01* -X83509516Y-112399206D01* -X83566744Y-112381846D01* -X83619487Y-112353655D01* -X83665716Y-112315716D01* -X83675226Y-112304128D01* -X86154134Y-109825221D01* -X86165716Y-109815716D01* -X86203655Y-109769487D01* -X86231846Y-109716744D01* -X86249206Y-109659516D01* -X86253600Y-109614904D01* -X86253600Y-109614903D01* -X86255068Y-109600000D01* -X86253600Y-109585096D01* -X86253600Y-107614903D01* -X86255068Y-107599999D01* -X86249206Y-107540483D01* -X86240022Y-107510208D01* -X86231846Y-107483256D01* -X86203655Y-107430513D01* -X86165716Y-107384284D01* -X86154135Y-107374780D01* -X85728250Y-106948896D01* -X85728600Y-106947138D01* -X85728600Y-106852862D01* -X85710208Y-106760397D01* -X85674130Y-106673298D01* -X85621753Y-106594910D01* -X85555090Y-106528247D01* -X85476702Y-106475870D01* -X85424930Y-106454425D01* -X85454135Y-106425220D01* -X85465716Y-106415716D01* -X85503655Y-106369487D01* -X85531846Y-106316744D01* -X85543054Y-106279795D01* -X85555090Y-106271753D01* -X85621753Y-106205090D01* -X85674130Y-106126702D01* -X85710208Y-106039603D01* -X85728600Y-105947138D01* -X85728600Y-105852862D01* -X85710208Y-105760397D01* -X85674130Y-105673298D01* -X85621753Y-105594910D01* -X85555090Y-105528247D01* -X85476702Y-105475870D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84853136Y-106167509D01* -X84545867Y-106474779D01* -X84534285Y-106484284D01* -X84496346Y-106530513D01* -X84468155Y-106583256D01* -X84450794Y-106640484D01* -X84449502Y-106653600D01* -X84444932Y-106700000D01* -X84446400Y-106714904D01* -X84446400Y-106931199D01* -X84397138Y-106921400D01* -X84302862Y-106921400D01* -X84210397Y-106939792D01* -X84123298Y-106975870D01* -X84044910Y-107028247D01* -X83978247Y-107094910D01* -X83925870Y-107173298D01* -X83889792Y-107260397D01* -X83871400Y-107352862D01* -X83871400Y-107447138D01* -X83889792Y-107539603D01* -X83925870Y-107626702D01* -X83978247Y-107705090D01* -X84044910Y-107771753D01* -X84123298Y-107824130D01* -X84210397Y-107860208D01* -X84302862Y-107878600D01* -X84346401Y-107878600D01* -X84346401Y-107963319D01* -X84289603Y-107939792D01* -X84197138Y-107921400D01* -X84102862Y-107921400D01* -X84010397Y-107939792D01* -X83923298Y-107975870D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84046400Y-108867369D01* -X84046401Y-109174243D01* -X83474246Y-109746400D01* -X76266755Y-109746400D01* -X75673217Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82152862Y-109678600D01* -X82247138Y-109678600D01* -X82339603Y-109660208D01* -X82426702Y-109624130D01* -X82505090Y-109571753D01* -X82571753Y-109505090D01* -X82624130Y-109426702D01* -X82660208Y-109339603D01* -X82678600Y-109247138D01* -X82678600Y-109152862D01* -X82660208Y-109060397D01* -X82624130Y-108973298D01* -X82571753Y-108894910D01* -X82505090Y-108828247D01* -X82503600Y-108827251D01* -X82503600Y-108736682D01* -X82560397Y-108760208D01* -X82652862Y-108778600D01* -X82747138Y-108778600D01* -X82839603Y-108760208D01* -X82896400Y-108736681D01* -X82896400Y-108827251D01* -X82894910Y-108828247D01* -X82828247Y-108894910D01* -X82775870Y-108973298D01* -X82739792Y-109060397D01* -X82721400Y-109152862D01* -X82721400Y-109247138D01* -X82739792Y-109339603D01* -X82775870Y-109426702D01* -X82828247Y-109505090D01* -X82894910Y-109571753D01* -X82973298Y-109624130D01* -X83060397Y-109660208D01* -X83152862Y-109678600D01* -X83247138Y-109678600D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83503600Y-108827251D01* -X83503600Y-108114904D01* -X83505068Y-108100000D01* -X83499206Y-108040484D01* -X83492005Y-108016745D01* -X83481846Y-107983256D01* -X83453655Y-107930513D01* -X83415716Y-107884284D01* -X83404128Y-107874774D01* -X83303600Y-107774246D01* -X83303600Y-107325754D01* -X84454135Y-106175220D01* -X84465716Y-106165716D01* -X84503655Y-106119487D01* -X84531846Y-106066744D01* -X84549206Y-106009516D01* -X84553600Y-105964904D01* -X84553600Y-105964903D01* -X84555068Y-105950000D01* -X84553600Y-105935096D01* -X84553600Y-104675754D01* -X86625755Y-102603600D01* -X88035096Y-102603600D01* -X88050000Y-102605068D01* -X88064904Y-102603600D01* -X88109516Y-102599206D01* -X88166744Y-102581846D01* -X88219487Y-102553655D01* -X88265716Y-102515716D01* -X88275225Y-102504129D01* -X88401104Y-102378250D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88025870Y-101673298D01* -X87989792Y-101760397D01* -X87971400Y-101852862D01* -X87971400Y-101947138D01* -X87971750Y-101948896D01* -X87924246Y-101996400D01* -X86700498Y-101996400D01* -X86703600Y-101964904D01* -X86703600Y-101964903D01* -X86705068Y-101950001D01* -X86703600Y-101935097D01* -X86703600Y-101352862D01* -X87021400Y-101352862D01* -X87021400Y-101447138D01* -X87039792Y-101539603D01* -X87075870Y-101626702D01* -X87128247Y-101705090D01* -X87194910Y-101771753D01* -X87273298Y-101824130D01* -X87360397Y-101860208D01* -X87452862Y-101878600D01* -X87547138Y-101878600D01* -X87639603Y-101860208D01* -X87726702Y-101824130D01* -X87805090Y-101771753D01* -X87871753Y-101705090D01* -X87924130Y-101626702D01* -X87960208Y-101539603D01* -X87978600Y-101447138D01* -X87978600Y-101352862D01* -X87960208Y-101260397D01* -X87924130Y-101173298D01* -X87871753Y-101094910D01* -X87805090Y-101028247D01* -X87726702Y-100975870D01* -X87639603Y-100939792D01* -X87547138Y-100921400D01* -X87452862Y-100921400D01* -X87360397Y-100939792D01* -X87273298Y-100975870D01* -X87194910Y-101028247D01* -X87128247Y-101094910D01* -X87075870Y-101173298D01* -X87039792Y-101260397D01* -X87021400Y-101352862D01* -X86703600Y-101352862D01* -X86703600Y-99375754D01* -X86776492Y-99302862D01* -X87021400Y-99302862D01* -X87021400Y-99397138D01* -X87039792Y-99489603D01* -X87075870Y-99576702D01* -X87128247Y-99655090D01* -X87194910Y-99721753D01* -X87273298Y-99774130D01* -X87360397Y-99810208D01* -X87452862Y-99828600D01* -X87547138Y-99828600D01* -X87639603Y-99810208D01* -X87726702Y-99774130D01* -X87805090Y-99721753D01* -X87871753Y-99655090D01* -X87924130Y-99576702D01* -X87960208Y-99489603D01* -X87978600Y-99397138D01* -X87978600Y-99302862D01* -X87960208Y-99210397D01* -X87924130Y-99123298D01* -X87871753Y-99044910D01* -X87805090Y-98978247D01* -X87726702Y-98925870D01* -X87639603Y-98889792D01* -X87547138Y-98871400D01* -X87452862Y-98871400D01* -X87360397Y-98889792D01* -X87273298Y-98925870D01* -X87194910Y-98978247D01* -X87128247Y-99044910D01* -X87075870Y-99123298D01* -X87039792Y-99210397D01* -X87021400Y-99302862D01* -X86776492Y-99302862D01* -X87225755Y-98853600D01* -X87774246Y-98853600D01* -X88196400Y-99275755D01* -X88196400Y-99277251D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88803600Y-99277251D01* -X88803600Y-99164903D01* -X88805068Y-99149999D01* -X88799206Y-99090484D01* -X88781846Y-99033256D01* -X88753655Y-98980513D01* -X88715716Y-98934284D01* -X88704135Y-98924780D01* -X88624930Y-98845575D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88297360Y-97945192D01* -X88285096Y-97946400D01* -X86964904Y-97946400D01* -X86950000Y-97944932D01* -X86890484Y-97950794D01* -X86833255Y-97968154D01* -X86780513Y-97996345D01* -X86734284Y-98034284D01* -X86724779Y-98045866D01* -X85895867Y-98874779D01* -X85884285Y-98884284D01* -X85846346Y-98930513D01* -X85824492Y-98971400D01* -X85818155Y-98983256D01* -X85800794Y-99040485D01* -X85794932Y-99100000D01* -X85796401Y-99114914D01* -X85796400Y-101674244D01* -X83445867Y-104024779D01* -X83434285Y-104034284D01* -X83396346Y-104080513D01* -X83388713Y-104094794D01* -X83368155Y-104133256D01* -X83350794Y-104190485D01* -X83344932Y-104250000D01* -X83346401Y-104264914D01* -X83346400Y-105524245D01* -X82195867Y-106674779D01* -X82184285Y-106684284D01* -X82146346Y-106730513D01* -X82130845Y-106759515D01* -X82118155Y-106783256D01* -X82100794Y-106840485D01* -X82094932Y-106900000D01* -X82096401Y-106914914D01* -X82096400Y-107774246D01* -X81995867Y-107874779D01* -X81984285Y-107884284D01* -X81946346Y-107930513D01* -X81933210Y-107955090D01* -X81918155Y-107983256D01* -X81900794Y-108040485D01* -X81894932Y-108100000D01* -X81896401Y-108114914D01* -X81896400Y-108827251D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X75673217Y-109152862D01* -X69263355Y-102743000D01* -X76021932Y-102743000D01* -X76023401Y-102757914D01* -X76023400Y-103617096D01* -X76021932Y-103632000D01* -X76023400Y-103646903D01* -X76027794Y-103691515D01* -X76045154Y-103748743D01* -X76073345Y-103801486D01* -X76111284Y-103847716D01* -X76122872Y-103857226D01* -X77166401Y-104900756D01* -X77166400Y-107405096D01* -X77164932Y-107420000D01* -X77167386Y-107444910D01* -X77170794Y-107479515D01* -X77188154Y-107536743D01* -X77216345Y-107589486D01* -X77254284Y-107635716D01* -X77265872Y-107645226D01* -X78474779Y-108854134D01* -X78484284Y-108865716D01* -X78530513Y-108903655D01* -X78583256Y-108931846D01* -X78631234Y-108946400D01* -X78640484Y-108949206D01* -X78700000Y-108955068D01* -X78714904Y-108953600D01* -X79427251Y-108953600D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79573298Y-109074130D01* -X79660397Y-109110208D01* -X79752862Y-109128600D01* -X79847138Y-109128600D01* -X79939603Y-109110208D01* -X80026702Y-109074130D01* -X80105090Y-109021753D01* -X80171753Y-108955090D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79427251Y-108346400D01* -X78825755Y-108346400D01* -X77773600Y-107294246D01* -X77773600Y-104789903D01* -X77775068Y-104774999D01* -X77769206Y-104715484D01* -X77763641Y-104697138D01* -X77751846Y-104658256D01* -X77723655Y-104605513D01* -X77685716Y-104559284D01* -X77674134Y-104549779D01* -X76630600Y-103506246D01* -X76630600Y-102868754D01* -X76960755Y-102538600D01* -X77471246Y-102538600D01* -X78007750Y-103075104D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78114247Y-103429090D01* -X78180910Y-103495753D01* -X78259298Y-103548130D01* -X78346397Y-103584208D01* -X78438862Y-103602600D01* -X78533138Y-103602600D01* -X78625603Y-103584208D01* -X78712702Y-103548130D01* -X78791090Y-103495753D01* -X78857753Y-103429090D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X78946208Y-102984397D01* -X78910130Y-102897298D01* -X78857753Y-102818910D01* -X78791090Y-102752247D01* -X78712702Y-102699870D01* -X78625603Y-102663792D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78437104Y-102645750D01* -X77822226Y-102030872D01* -X77812716Y-102019284D01* -X77766487Y-101981345D01* -X77713744Y-101953154D01* -X77656516Y-101935794D01* -X77611904Y-101931400D01* -X77597000Y-101929932D01* -X77582096Y-101931400D01* -X76849903Y-101931400D01* -X76834999Y-101929932D01* -X76775483Y-101935794D01* -X76750563Y-101943354D01* -X76718256Y-101953154D01* -X76665513Y-101981345D01* -X76619284Y-102019284D01* -X76609778Y-102030867D01* -X76122867Y-102517779D01* -X76111285Y-102527284D01* -X76073346Y-102573513D01* -X76068893Y-102581845D01* -X76045155Y-102626256D01* -X76027794Y-102683485D01* -X76021932Y-102743000D01* -X69263355Y-102743000D01* -X66523217Y-100002862D01* -X67671400Y-100002862D01* -X67671400Y-100097138D01* -X67689792Y-100189603D01* -X67725870Y-100276702D01* -X67778247Y-100355090D01* -X67844910Y-100421753D01* -X67923298Y-100474130D01* -X68010397Y-100510208D01* -X68102862Y-100528600D01* -X68197138Y-100528600D01* -X68289603Y-100510208D01* -X68376702Y-100474130D01* -X68455090Y-100421753D01* -X68521753Y-100355090D01* -X68574130Y-100276702D01* -X68610208Y-100189603D01* -X68628600Y-100097138D01* -X68628600Y-100002862D01* -X69371400Y-100002862D01* -X69371400Y-100097138D01* -X69389792Y-100189603D01* -X69425870Y-100276702D01* -X69478247Y-100355090D01* -X69544910Y-100421753D01* -X69623298Y-100474130D01* -X69710397Y-100510208D01* -X69802862Y-100528600D01* -X69897138Y-100528600D01* -X69989603Y-100510208D01* -X70076702Y-100474130D01* -X70155090Y-100421753D01* -X70221753Y-100355090D01* -X70274130Y-100276702D01* -X70310208Y-100189603D01* -X70328600Y-100097138D01* -X70328600Y-100002862D01* -X70310208Y-99910397D01* -X70274130Y-99823298D01* -X70221753Y-99744910D01* -X70155090Y-99678247D01* -X70076702Y-99625870D01* -X69989603Y-99589792D01* -X69897138Y-99571400D01* -X69802862Y-99571400D01* -X69710397Y-99589792D01* -X69623298Y-99625870D01* -X69544910Y-99678247D01* -X69478247Y-99744910D01* -X69425870Y-99823298D01* -X69389792Y-99910397D01* -X69371400Y-100002862D01* -X68628600Y-100002862D01* -X68610208Y-99910397D01* -X68574130Y-99823298D01* -X68521753Y-99744910D01* -X68455090Y-99678247D01* -X68376702Y-99625870D01* -X68289603Y-99589792D01* -X68197138Y-99571400D01* -X68102862Y-99571400D01* -X68010397Y-99589792D01* -X67923298Y-99625870D01* -X67844910Y-99678247D01* -X67778247Y-99744910D01* -X67725870Y-99823298D01* -X67689792Y-99910397D01* -X67671400Y-100002862D01* -X66523217Y-100002862D01* -X65573217Y-99052862D01* -X68521400Y-99052862D01* -X68521400Y-99147138D01* -X68539792Y-99239603D01* -X68575870Y-99326702D01* -X68628247Y-99405090D01* -X68694910Y-99471753D01* -X68773298Y-99524130D01* -X68860397Y-99560208D01* -X68952862Y-99578600D01* -X69047138Y-99578600D01* -X69139603Y-99560208D01* -X69226702Y-99524130D01* -X69305090Y-99471753D01* -X69371753Y-99405090D01* -X69424130Y-99326702D01* -X69460208Y-99239603D01* -X69478600Y-99147138D01* -X69478600Y-99052862D01* -X69460208Y-98960397D01* -X69424130Y-98873298D01* -X69371753Y-98794910D01* -X69305090Y-98728247D01* -X69226702Y-98675870D01* -X69139603Y-98639792D01* -X69047138Y-98621400D01* -X68952862Y-98621400D01* -X68860397Y-98639792D01* -X68773298Y-98675870D01* -X68694910Y-98728247D01* -X68628247Y-98794910D01* -X68575870Y-98873298D01* -X68539792Y-98960397D01* -X68521400Y-99052862D01* -X65573217Y-99052862D01* -X65094407Y-98574053D01* -X65102895Y-98561351D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64652351Y-99011895D01* -X64665053Y-99003407D01* -X75708045Y-110046400D01* -X74475755Y-110046400D01* -X69889226Y-105459872D01* -X69879716Y-105448284D01* -X69833487Y-105410345D01* -X69780744Y-105382154D01* -X69723516Y-105364794D01* -X69678904Y-105360400D01* -X69664000Y-105358932D01* -X69649096Y-105360400D01* -X65188208Y-105360400D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63168600Y-105144412D01* -X63168600Y-104519754D01* -X63604947Y-104083408D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63175592Y-103654053D01* -X62660872Y-104168774D01* -X62649284Y-104178284D01* -X62611345Y-104224514D01* -X62583154Y-104277257D01* -X62571176Y-104316743D01* -X62565794Y-104334485D01* -X62559932Y-104394000D01* -X62561400Y-104408904D01* -X62561400Y-105144412D01* -X62443367Y-104967763D01* -X62291237Y-104815633D01* -X62112351Y-104696105D01* -X61913583Y-104613772D01* -X61702572Y-104571800D01* -X61487428Y-104571800D01* -X61276417Y-104613772D01* -X61077649Y-104696105D01* -X60898763Y-104815633D01* -X60746633Y-104967763D01* -X60627105Y-105146649D01* -X60544772Y-105345417D01* -X60502800Y-105556428D01* -X60003600Y-105556428D01* -X60003600Y-103016428D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61276417Y-104174228D01* -X61487428Y-104216200D01* -X61702572Y-104216200D01* -X61913583Y-104174228D01* -X62112351Y-104091895D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X60003600Y-103016428D01* -X60003600Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64831237Y-101432367D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65102895Y-100066649D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X60003600Y-100476428D01* -X60003600Y-98287359D01* -X60530257Y-98287359D01* -X60574492Y-98433195D01* -X60670029Y-98624808D01* -X60801112Y-98794101D01* -X60962704Y-98934568D01* -X61148595Y-99040811D01* -X61351641Y-99108747D01* -X61521200Y-99076558D01* -X61521200Y-98117800D01* -X61668800Y-98117800D01* -X61668800Y-99076558D01* -X61838359Y-99108747D01* -X62041405Y-99040811D01* -X62227296Y-98934568D01* -X62388888Y-98794101D01* -X62519971Y-98624808D01* -X62615508Y-98433195D01* -X62659743Y-98287359D01* -X62627416Y-98117800D01* -X61668800Y-98117800D01* -X61521200Y-98117800D01* -X60562584Y-98117800D01* -X60530257Y-98287359D01* -X60003600Y-98287359D01* -X60003600Y-97800641D01* -X60530257Y-97800641D01* -X60562584Y-97970200D01* -X61521200Y-97970200D01* -X61521200Y-97011442D01* -X61668800Y-97011442D01* -X61668800Y-97970200D01* -X62627416Y-97970200D01* -X62659743Y-97800641D01* -X62615508Y-97654805D01* -X62519971Y-97463192D01* -X62388888Y-97293899D01* -X62227296Y-97153432D01* -X62041405Y-97047189D01* -X61838359Y-96979253D01* -X61668800Y-97011442D01* -X61521200Y-97011442D01* -X61351641Y-96979253D01* -X61148595Y-97047189D01* -X60962704Y-97153432D01* -X60801112Y-97293899D01* -X60670029Y-97463192D01* -X60574492Y-97654805D01* -X60530257Y-97800641D01* -X60003600Y-97800641D01* -X60003600Y-97375754D01* -X61725755Y-95653600D01* -X65474246Y-95653600D01* -X67874779Y-98054134D01* -X67884284Y-98065716D01* -X67930513Y-98103655D01* -X67983256Y-98131846D01* -X68031053Y-98146345D01* -X68040484Y-98149206D01* -X68100000Y-98155068D01* -X68114904Y-98153600D01* -X69227251Y-98153600D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70078250Y-97801104D01* -X71225756Y-96653600D01* -X77688596Y-96653600D01* -X77703500Y-96655068D01* -X77718404Y-96653600D01* -X77763016Y-96649206D01* -X77820244Y-96631846D01* -X77872987Y-96603655D01* -X77919216Y-96565716D01* -X77928726Y-96554128D01* -X78246605Y-96236250D01* -X78248362Y-96236600D01* -X78342638Y-96236600D01* -X78435103Y-96218208D01* -X78522202Y-96182130D01* -X78600590Y-96129753D01* -X78667253Y-96063090D01* -X78719630Y-95984702D01* -X78755708Y-95897603D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77817250Y-95806895D01* -X77577746Y-96046400D01* -X71114903Y-96046400D01* -X71099999Y-96044932D01* -X71040483Y-96050794D01* -X71029460Y-96054138D01* -X70983256Y-96068154D01* -X70930513Y-96096345D01* -X70884284Y-96134284D01* -X70874783Y-96145861D01* -X69648896Y-97371750D01* -X69647138Y-97371400D01* -X69552862Y-97371400D01* -X69460397Y-97389792D01* -X69373298Y-97425870D01* -X69294910Y-97478247D01* -X69228247Y-97544910D01* -X69227251Y-97546400D01* -X68225755Y-97546400D01* -X67082217Y-96402862D01* -X67421400Y-96402862D01* -X67421400Y-96497138D01* -X67439792Y-96589603D01* -X67475870Y-96676702D01* -X67528247Y-96755090D01* -X67594910Y-96821753D01* -X67673298Y-96874130D01* -X67760397Y-96910208D01* -X67852862Y-96928600D01* -X67947138Y-96928600D01* -X68039603Y-96910208D01* -X68126702Y-96874130D01* -X68205090Y-96821753D01* -X68271753Y-96755090D01* -X68324130Y-96676702D01* -X68360208Y-96589603D01* -X68378600Y-96497138D01* -X68378600Y-96402862D01* -X68360208Y-96310397D01* -X68324130Y-96223298D01* -X68271753Y-96144910D01* -X68205090Y-96078247D01* -X68126702Y-96025870D01* -X68039603Y-95989792D01* -X67947138Y-95971400D01* -X67852862Y-95971400D01* -X67760397Y-95989792D01* -X67673298Y-96025870D01* -X67594910Y-96078247D01* -X67528247Y-96144910D01* -X67475870Y-96223298D01* -X67439792Y-96310397D01* -X67421400Y-96402862D01* -X67082217Y-96402862D01* -X66032954Y-95353600D01* -X69227251Y-95353600D01* -X69228247Y-95355090D01* -X69294910Y-95421753D01* -X69373298Y-95474130D01* -X69460397Y-95510208D01* -X69552862Y-95528600D01* -X69647138Y-95528600D01* -X69739603Y-95510208D01* -X69826702Y-95474130D01* -X69905090Y-95421753D01* -X69971753Y-95355090D01* -X70024130Y-95276702D01* -X70054410Y-95203600D01* -X78518246Y-95203600D01* -X78817401Y-95502756D01* -X78817400Y-102474096D01* -X78815932Y-102489000D01* -X78818675Y-102516846D01* -X78821794Y-102548515D01* -X78839154Y-102605743D01* -X78867345Y-102658486D01* -X78905284Y-102704716D01* -X78916872Y-102714226D01* -X79277750Y-103075104D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79384247Y-103429090D01* -X79450910Y-103495753D01* -X79529298Y-103548130D01* -X79616397Y-103584208D01* -X79708862Y-103602600D01* -X79803138Y-103602600D01* -X79895603Y-103584208D01* -X79982702Y-103548130D01* -X80061090Y-103495753D01* -X80127753Y-103429090D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79707104Y-102645750D01* -X79424600Y-102363246D01* -X79424600Y-95391904D01* -X79426068Y-95377000D01* -X79420206Y-95317484D01* -X79402846Y-95260256D01* -X79374655Y-95207513D01* -X79336716Y-95161284D01* -X79325133Y-95151778D01* -X78869226Y-94695872D01* -X78859716Y-94684284D01* -X78813487Y-94646345D01* -X78760744Y-94618154D01* -X78703516Y-94600794D01* -X78658904Y-94596400D01* -X78644000Y-94594932D01* -X78629096Y-94596400D01* -X69764903Y-94596400D01* -X69752640Y-94595192D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69227251Y-94746400D01* -X61464904Y-94746400D01* -X61450000Y-94744932D01* -X61435096Y-94746400D01* -X61390484Y-94750794D01* -X61333256Y-94768154D01* -X61280513Y-94796345D01* -X61234284Y-94834284D01* -X61224779Y-94845866D01* -X59195872Y-96874774D01* -X59184284Y-96884284D01* -X59146345Y-96930514D01* -X59118154Y-96983257D01* -X59102987Y-97033255D01* -X59100794Y-97040485D01* -X59094932Y-97100000D01* -X59096400Y-97114904D01* -X59096401Y-109735086D01* -X59094932Y-109750000D01* -X59100794Y-109809515D01* -X59114063Y-109853256D01* -X59118155Y-109866744D01* -X59146346Y-109919487D01* -X59184285Y-109965716D01* -X59195867Y-109975221D01* -X63896400Y-114675755D01* -X63896400Y-115537044D01* -X58203600Y-109844246D01* -X58203600Y-96675754D01* -X60725755Y-94153600D01* -X78611246Y-94153600D01* -X79642901Y-95185257D01* -X79642901Y-95385251D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79486292Y-95897603D01* -X79522370Y-95984702D01* -X79574747Y-96063090D01* -X79641410Y-96129753D01* -X79719798Y-96182130D01* -X79806897Y-96218208D01* -X79899362Y-96236600D01* -X79993638Y-96236600D01* -X80086103Y-96218208D01* -X80173202Y-96182130D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80250100Y-95385251D01* -X80250100Y-95074403D01* -X80251568Y-95059499D01* -X80245706Y-94999984D01* -X80240204Y-94981846D01* -X80228346Y-94942756D01* -X80200155Y-94890013D01* -X80162216Y-94843784D01* -X80150639Y-94834283D01* -X78962226Y-93645872D01* -X78952716Y-93634284D01* -X78906487Y-93596345D01* -X78853744Y-93568154D01* -X78796516Y-93550794D01* -X78751904Y-93546400D01* -X78737000Y-93544932D01* -X78722096Y-93546400D01* -X60614904Y-93546400D01* -X60600000Y-93544932D01* -X60585096Y-93546400D01* -X60540484Y-93550794D01* -X60483256Y-93568154D01* -X60430513Y-93596345D01* -X60384284Y-93634284D01* -X60374779Y-93645866D01* -X57695872Y-96324774D01* -X57684284Y-96334284D01* -X57646345Y-96380514D01* -X57618154Y-96433257D01* -X57603819Y-96480513D01* -X57600794Y-96490485D01* -X57594932Y-96550000D01* -X57596400Y-96564904D01* -X57596401Y-109955086D01* -X57594932Y-109970000D01* -X57600794Y-110029515D01* -X57612516Y-110068154D01* -X57618155Y-110086744D01* -X57646346Y-110139487D01* -X57684285Y-110185716D01* -X57695867Y-110195221D01* -X63577400Y-116076756D01* -X63577401Y-118072425D01* -X63484307Y-118134628D01* -X63397628Y-118221307D01* -X63329525Y-118323230D01* -X63291596Y-118414800D01* -X63200404Y-118414800D01* -X63162475Y-118323230D01* -X63153100Y-118309199D01* -X63153100Y-117482741D01* -X63233584Y-117402257D01* -X63233590Y-117402253D01* -X63300253Y-117335590D01* -X63313393Y-117315925D01* -X63328365Y-117297681D01* -X63339492Y-117276864D01* -X63352630Y-117257202D01* -X63361677Y-117235361D01* -X63372807Y-117214539D01* -X63379660Y-117191946D01* -X63388708Y-117170103D01* -X63393321Y-117146910D01* -X63400173Y-117124322D01* -X63402487Y-117100831D01* -X63407100Y-117077638D01* -X63407100Y-117053994D01* -X63409414Y-117030501D01* -X63407100Y-117007007D01* -X63407100Y-116983362D01* -X63402487Y-116960168D01* -X63400173Y-116936679D01* -X63393322Y-116914093D01* -X63388708Y-116890897D01* -X63379659Y-116869051D01* -X63372807Y-116846462D01* -X63361678Y-116825642D01* -X63352630Y-116803798D01* -X63339492Y-116784135D01* -X63328365Y-116763319D01* -X63313392Y-116745073D01* -X63300253Y-116725410D01* -X63283535Y-116708692D01* -X63268558Y-116690442D01* -X63250308Y-116675465D01* -X63233590Y-116658747D01* -X63213927Y-116645608D01* -X63195681Y-116630635D01* -X63174865Y-116619508D01* -X63155202Y-116606370D01* -X63133358Y-116597322D01* -X63112538Y-116586193D01* -X63089949Y-116579341D01* -X63068103Y-116570292D01* -X63044907Y-116565678D01* -X63022321Y-116558827D01* -X62998832Y-116556513D01* -X62975638Y-116551900D01* -X62951993Y-116551900D01* -X62928499Y-116549586D01* -X62905006Y-116551900D01* -X62881362Y-116551900D01* -X62858169Y-116556513D01* -X62834678Y-116558827D01* -X62812090Y-116565679D01* -X62788897Y-116570292D01* -X62767054Y-116579340D01* -X62744461Y-116586193D01* -X62723639Y-116597323D01* -X62701798Y-116606370D01* -X62682136Y-116619508D01* -X62661319Y-116630635D01* -X62643075Y-116645607D01* -X62623410Y-116658747D01* -X62556747Y-116725410D01* -X62556743Y-116725416D01* -X62352701Y-116929457D01* -X62334442Y-116944442D01* -X62274634Y-117017318D01* -X62230192Y-117100463D01* -X62202826Y-117190679D01* -X62195900Y-117260997D01* -X62195900Y-117261004D01* -X62193586Y-117284500D01* -X62195900Y-117307996D01* -X62195901Y-118153034D01* -X62127628Y-118221307D01* -X62059525Y-118323230D01* -X62021596Y-118414800D01* -X61930404Y-118414800D01* -X61905845Y-118355509D01* -X62054133Y-118207222D01* -X62065716Y-118197716D01* -X62103655Y-118151487D01* -X62131846Y-118098744D01* -X62149206Y-118041516D01* -X62153600Y-117996904D01* -X62155068Y-117982000D01* -X62153600Y-117967096D01* -X62153600Y-115414903D01* -X62155068Y-115399999D01* -X62149206Y-115340484D01* -X62131846Y-115283256D01* -X62103655Y-115230513D01* -X62065716Y-115184284D01* -X62054135Y-115174780D01* -X57203600Y-110324246D01* -X57203600Y-95425754D01* -X59525755Y-93103600D01* -X78704246Y-93103600D01* -X80722401Y-95121756D01* -X80722400Y-95385251D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80654247Y-96063090D01* -X80720910Y-96129753D01* -X80799298Y-96182130D01* -X80886397Y-96218208D01* -X80978862Y-96236600D01* -X81073138Y-96236600D01* -X81165603Y-96218208D01* -X81252702Y-96182130D01* -X81331090Y-96129753D01* -X81397753Y-96063090D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81329600Y-95385251D01* -X81329600Y-95010904D01* -X81331068Y-94996000D01* -X81325206Y-94936484D01* -X81320050Y-94919487D01* -X81307846Y-94879256D01* -X81279655Y-94826513D01* -X81241716Y-94780284D01* -X81230134Y-94770779D01* -X79055226Y-92595872D01* -X79045716Y-92584284D01* -X78999487Y-92546345D01* -X78946744Y-92518154D01* -X78889516Y-92500794D01* -X78844904Y-92496400D01* -X78830000Y-92494932D01* -X78815096Y-92496400D01* -X59414904Y-92496400D01* -X59400000Y-92494932D01* -X59355744Y-92499291D01* -X59340484Y-92500794D01* -X59283256Y-92518154D01* -X59230513Y-92546345D01* -X59184284Y-92584284D01* -X59174779Y-92595866D01* -X56695872Y-95074774D01* -X56684284Y-95084284D01* -X56646345Y-95130514D01* -X56618154Y-95183257D01* -X56604282Y-95228986D01* -X56600794Y-95240485D01* -X56594932Y-95300000D01* -X56596400Y-95314904D01* -X56596401Y-110435086D01* -X56594932Y-110450000D01* -X56600794Y-110509515D01* -X56604507Y-110521753D01* -X56618155Y-110566744D01* -X56646346Y-110619487D01* -X56684285Y-110665716D01* -X56695867Y-110675221D01* -X61546400Y-115525755D01* -X61546401Y-117856244D01* -X61406173Y-117996472D01* -X61402291Y-117995700D01* -X61279709Y-117995700D01* -X61159482Y-118019615D01* -X61046230Y-118066525D01* -X60944307Y-118134628D01* -X60857628Y-118221307D01* -X60789525Y-118323230D01* -X60742615Y-118436482D01* -X60718700Y-118556709D01* -X60718700Y-118679291D01* -X60742615Y-118799518D01* -X60789525Y-118912770D01* -X60857628Y-119014693D01* -X60944307Y-119101372D01* -X61046230Y-119169475D01* -X61137800Y-119207404D01* -X61137800Y-119298596D01* -X61046230Y-119336525D01* -X60944307Y-119404628D01* -X60857628Y-119491307D01* -X60789525Y-119593230D01* -X60742615Y-119706482D01* -X60718700Y-119826709D01* -X60718700Y-119949291D01* -X60742615Y-120069518D01* -X60789525Y-120182770D01* -X60857628Y-120284693D01* -X60944307Y-120371372D01* -X61046230Y-120439475D01* -X61159482Y-120486385D01* -X61279709Y-120510300D01* -X61402291Y-120510300D01* -X61522518Y-120486385D01* -X61635770Y-120439475D01* -X61737693Y-120371372D01* -X61824372Y-120284693D01* -X61892475Y-120182770D01* -X61930404Y-120091200D01* -X62021596Y-120091200D01* -X62059525Y-120182770D01* -X62127628Y-120284693D01* -X62214307Y-120371372D01* -X62316230Y-120439475D01* -X62429482Y-120486385D01* -X62549709Y-120510300D01* -X62672291Y-120510300D01* -X62792518Y-120486385D01* -X62895550Y-120443708D01* -X62865345Y-120480514D01* -X62837154Y-120533257D01* -X62824755Y-120574130D01* -X62819794Y-120590485D01* -X62813932Y-120650000D01* -X62815400Y-120664904D01* -X62815400Y-120778245D01* -X62406872Y-121186774D01* -X62395284Y-121196284D01* -X62357345Y-121242514D01* -X62329154Y-121295257D01* -X62317524Y-121333596D01* -X62311794Y-121352485D01* -X62305932Y-121412000D01* -X62307400Y-121426904D01* -X62307401Y-122613243D01* -X60474246Y-124446400D01* -X57525756Y-124446400D01* -X56203600Y-123124246D01* -X56203600Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X56203600Y-121653531D01* -X56203600Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X56203600Y-119181702D01* -X56203600Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60973679Y-117812918D01* -X61170918Y-117615679D01* -X61325888Y-117383751D01* -X61432632Y-117126047D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X56203600Y-116573531D01* -X56203600Y-94375754D01* -X58675755Y-91903600D01* -X78774246Y-91903600D01* -X81611400Y-94740755D01* -X81611401Y-96267243D01* -X80440872Y-97437774D01* -X80429284Y-97447284D01* -X80391345Y-97493514D01* -X80363154Y-97546257D01* -X80354515Y-97574736D01* -X80345794Y-97603485D01* -X80339932Y-97663000D01* -X80341400Y-97677904D01* -X80341401Y-103252244D01* -X79884246Y-103709400D01* -X78230755Y-103709400D01* -X77694250Y-103172896D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76844247Y-103429090D01* -X76910910Y-103495753D01* -X76989298Y-103548130D01* -X77076397Y-103584208D01* -X77168862Y-103602600D01* -X77263138Y-103602600D01* -X77264896Y-103602250D01* -X77879779Y-104217134D01* -X77889284Y-104228716D01* -X77935513Y-104266655D01* -X77988256Y-104294846D01* -X78036613Y-104309515D01* -X78045484Y-104312206D01* -X78105000Y-104318068D01* -X78119904Y-104316600D01* -X79995096Y-104316600D01* -X80010000Y-104318068D01* -X80024904Y-104316600D01* -X80069516Y-104312206D01* -X80126744Y-104294846D01* -X80179487Y-104266655D01* -X80225716Y-104228716D01* -X80235226Y-104217128D01* -X80849133Y-103603221D01* -X80860716Y-103593716D01* -X80898655Y-103547487D01* -X80926846Y-103494744D01* -X80944206Y-103437516D01* -X80948600Y-103392904D01* -X80950068Y-103378000D01* -X80948600Y-103363096D01* -X80948600Y-97788754D01* -X82119139Y-96618217D01* -X82130716Y-96608716D01* -X82168655Y-96562487D01* -X82196846Y-96509744D01* -X82214206Y-96452516D01* -X82218600Y-96407904D01* -X82220068Y-96393001D01* -X82218600Y-96378097D01* -X82218600Y-94629904D01* -X82220068Y-94615000D01* -X82214206Y-94555484D01* -X82209226Y-94539068D01* -X82196846Y-94498256D01* -X82168655Y-94445513D01* -X82130716Y-94399284D01* -X82119135Y-94389780D01* -X79125226Y-91395872D01* -X79115716Y-91384284D01* -X79069487Y-91346345D01* -X79016744Y-91318154D01* -X78959516Y-91300794D01* -X78914904Y-91296400D01* -X78900000Y-91294932D01* -X78885096Y-91296400D01* -X58564904Y-91296400D01* -X58550000Y-91294932D01* -X58535096Y-91296400D01* -X58490484Y-91300794D01* -X58433256Y-91318154D01* -X58380513Y-91346345D01* -X58334284Y-91384284D01* -X58324779Y-91395866D01* -X55695867Y-94024779D01* -X55684285Y-94034284D01* -X55646346Y-94080513D01* -X55622683Y-94124784D01* -X55618155Y-94133256D01* -X55600794Y-94190485D01* -X55594932Y-94250000D01* -X55596401Y-94264914D01* -X55596400Y-123235096D01* -X55594932Y-123250000D01* -X55596400Y-123264903D01* -X55600794Y-123309515D01* -X55618154Y-123366743D01* -X55646345Y-123419486D01* -X55684284Y-123465716D01* -X55695872Y-123475226D01* -X57174783Y-124954139D01* -X57184284Y-124965716D01* -X57230513Y-125003655D01* -X57283256Y-125031846D01* -X57323123Y-125043940D01* -X57340483Y-125049206D01* -X57399999Y-125055068D01* -X57414903Y-125053600D01* -X60585096Y-125053600D01* -X60600000Y-125055068D01* -X60614904Y-125053600D01* -X60659516Y-125049206D01* -X60716744Y-125031846D01* -X60769487Y-125003655D01* -X60815716Y-124965716D01* -X60825226Y-124954128D01* -X62815139Y-122964217D01* -X62826716Y-122954716D01* -X62864655Y-122908487D01* -X62892846Y-122855744D01* -X62910206Y-122798516D01* -X62913196Y-122768155D01* -X62916068Y-122739001D01* -X62914600Y-122724097D01* -X62914600Y-121537754D01* -X63323133Y-121129222D01* -X63334716Y-121119716D01* -X63372655Y-121073487D01* -X63400846Y-121020744D01* -X63410646Y-120988437D01* -X63418206Y-120963517D01* -X63424068Y-120904001D01* -X63422600Y-120889097D01* -X63422600Y-120775754D01* -X63709898Y-120488457D01* -X63819709Y-120510300D01* -X63942291Y-120510300D01* -X64062518Y-120486385D01* -X64175770Y-120439475D01* -X64277693Y-120371372D01* -X64364372Y-120284693D01* -X64432475Y-120182770D01* -X64470404Y-120091200D01* -X64562250Y-120091200D01* -X64593982Y-120172157D01* -X64605270Y-120193274D01* -X64700468Y-120234163D01* -X64843431Y-120091200D01* -X65052169Y-120091200D01* -X64804837Y-120338532D01* -X64845726Y-120433730D01* -X64958058Y-120482801D01* -X65077805Y-120509013D01* -X65180740Y-120510985D01* -X65115249Y-120538112D01* -X64883321Y-120693082D01* -X64686082Y-120890321D01* -X64531112Y-121122249D01* -X64454075Y-121308232D01* -X64440090Y-121294247D01* -X64361702Y-121241870D01* -X64274603Y-121205792D01* -X64182138Y-121187400D01* -X64087862Y-121187400D01* -X63995397Y-121205792D01* -X63908298Y-121241870D01* -X63829910Y-121294247D01* -X63763247Y-121360910D01* -X63710870Y-121439298D01* -X63674792Y-121526397D01* -X63656400Y-121618862D01* -X63656400Y-121713138D01* -X63674792Y-121805603D01* -X63710870Y-121892702D01* -X63763247Y-121971090D01* -X63829910Y-122037753D01* -X63908298Y-122090130D01* -X63995397Y-122126208D01* -X64087862Y-122144600D01* -X64182138Y-122144600D01* -X64274603Y-122126208D01* -X64361702Y-122090130D01* -X64396664Y-122066769D01* -X64424368Y-122206047D01* -X64531112Y-122463751D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67134575Y-121348429D01* -X67260401Y-121474256D01* -X67260400Y-124760245D01* -X66124246Y-125896400D01* -X57175756Y-125896400D01* -X54903600Y-123624246D01* -X54903600Y-94025754D01* -X58325755Y-90603600D01* -X78617246Y-90603600D01* -X82373401Y-94359757D01* -X82373400Y-96775244D01* -X81202867Y-97945779D01* -X81191285Y-97955284D01* -X81153346Y-98001513D01* -X81132987Y-98039603D01* -X81125155Y-98054256D01* -X81107794Y-98111485D01* -X81101932Y-98171000D01* -X81103401Y-98185914D01* -X81103400Y-103506245D01* -X79845867Y-104763779D01* -X79834285Y-104773284D01* -X79796346Y-104819513D01* -X79788017Y-104835096D01* -X79768155Y-104872256D01* -X79750794Y-104929485D01* -X79744932Y-104989000D01* -X79746401Y-105003914D01* -X79746400Y-107277251D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80355090Y-108021753D01* -X80421753Y-107955090D01* -X80474130Y-107876702D01* -X80510208Y-107789603D01* -X80528600Y-107697138D01* -X80528600Y-107602862D01* -X80510208Y-107510397D01* -X80474130Y-107423298D01* -X80421753Y-107344910D01* -X80355090Y-107278247D01* -X80353600Y-107277251D01* -X80353600Y-105553362D01* -X80547400Y-105553362D01* -X80547400Y-105647638D01* -X80565792Y-105740103D01* -X80601870Y-105827202D01* -X80654247Y-105905590D01* -X80720910Y-105972253D01* -X80799298Y-106024630D01* -X80886397Y-106060708D01* -X80978862Y-106079100D01* -X81073138Y-106079100D01* -X81165603Y-106060708D01* -X81252702Y-106024630D01* -X81331090Y-105972253D01* -X81397753Y-105905590D01* -X81450130Y-105827202D01* -X81486208Y-105740103D01* -X81504600Y-105647638D01* -X81504600Y-105553362D01* -X81486208Y-105460897D01* -X81450130Y-105373798D01* -X81397753Y-105295410D01* -X81331090Y-105228747D01* -X81252702Y-105176370D01* -X81165603Y-105140292D01* -X81073138Y-105121900D01* -X80978862Y-105121900D01* -X80886397Y-105140292D01* -X80799298Y-105176370D01* -X80720910Y-105228747D01* -X80654247Y-105295410D01* -X80601870Y-105373798D01* -X80565792Y-105460897D01* -X80547400Y-105553362D01* -X80353600Y-105553362D01* -X80353600Y-105114754D01* -X80415492Y-105052862D01* -X82321400Y-105052862D01* -X82321400Y-105147138D01* -X82339792Y-105239603D01* -X82375870Y-105326702D01* -X82428247Y-105405090D01* -X82494910Y-105471753D01* -X82573298Y-105524130D01* -X82660397Y-105560208D01* -X82752862Y-105578600D01* -X82847138Y-105578600D01* -X82939603Y-105560208D01* -X83026702Y-105524130D01* -X83105090Y-105471753D01* -X83171753Y-105405090D01* -X83224130Y-105326702D01* -X83260208Y-105239603D01* -X83278600Y-105147138D01* -X83278600Y-105052862D01* -X83260208Y-104960397D01* -X83224130Y-104873298D01* -X83171753Y-104794910D01* -X83105090Y-104728247D01* -X83026702Y-104675870D01* -X82939603Y-104639792D01* -X82847138Y-104621400D01* -X82752862Y-104621400D01* -X82660397Y-104639792D01* -X82573298Y-104675870D01* -X82494910Y-104728247D01* -X82428247Y-104794910D01* -X82375870Y-104873298D01* -X82339792Y-104960397D01* -X82321400Y-105052862D01* -X80415492Y-105052862D01* -X81215492Y-104252862D01* -X81471400Y-104252862D01* -X81471400Y-104347138D01* -X81489792Y-104439603D01* -X81525870Y-104526702D01* -X81578247Y-104605090D01* -X81644910Y-104671753D01* -X81723298Y-104724130D01* -X81810397Y-104760208D01* -X81902862Y-104778600D01* -X81997138Y-104778600D01* -X82089603Y-104760208D01* -X82176702Y-104724130D01* -X82255090Y-104671753D01* -X82321753Y-104605090D01* -X82374130Y-104526702D01* -X82410208Y-104439603D01* -X82428600Y-104347138D01* -X82428600Y-104252862D01* -X82410208Y-104160397D01* -X82374130Y-104073298D01* -X82321753Y-103994910D01* -X82255090Y-103928247D01* -X82176702Y-103875870D01* -X82089603Y-103839792D01* -X81997138Y-103821400D01* -X81902862Y-103821400D01* -X81810397Y-103839792D01* -X81723298Y-103875870D01* -X81644910Y-103928247D01* -X81578247Y-103994910D01* -X81525870Y-104073298D01* -X81489792Y-104160397D01* -X81471400Y-104252862D01* -X81215492Y-104252862D01* -X81611135Y-103857220D01* -X81622716Y-103847716D01* -X81660655Y-103801487D01* -X81688846Y-103748744D01* -X81706206Y-103691516D01* -X81710600Y-103646904D01* -X81710600Y-103646903D01* -X81712068Y-103632000D01* -X81710600Y-103617096D01* -X81710600Y-102402862D01* -X83771400Y-102402862D01* -X83771400Y-102497138D01* -X83789792Y-102589603D01* -X83825870Y-102676702D01* -X83878247Y-102755090D01* -X83944910Y-102821753D01* -X84023298Y-102874130D01* -X84110397Y-102910208D01* -X84202862Y-102928600D01* -X84297138Y-102928600D01* -X84389603Y-102910208D01* -X84476702Y-102874130D01* -X84555090Y-102821753D01* -X84621753Y-102755090D01* -X84674130Y-102676702D01* -X84710208Y-102589603D01* -X84728600Y-102497138D01* -X84728600Y-102402862D01* -X84710208Y-102310397D01* -X84674130Y-102223298D01* -X84621753Y-102144910D01* -X84555090Y-102078247D01* -X84476702Y-102025870D01* -X84389603Y-101989792D01* -X84297138Y-101971400D01* -X84202862Y-101971400D01* -X84110397Y-101989792D01* -X84023298Y-102025870D01* -X83944910Y-102078247D01* -X83878247Y-102144910D01* -X83825870Y-102223298D01* -X83789792Y-102310397D01* -X83771400Y-102402862D01* -X81710600Y-102402862D01* -X81710600Y-101452862D01* -X83021400Y-101452862D01* -X83021400Y-101547138D01* -X83039792Y-101639603D01* -X83075870Y-101726702D01* -X83128247Y-101805090D01* -X83194910Y-101871753D01* -X83273298Y-101924130D01* -X83360397Y-101960208D01* -X83452862Y-101978600D01* -X83547138Y-101978600D01* -X83639603Y-101960208D01* -X83726702Y-101924130D01* -X83805090Y-101871753D01* -X83871753Y-101805090D01* -X83924130Y-101726702D01* -X83960208Y-101639603D01* -X83978600Y-101547138D01* -X83978600Y-101452862D01* -X83960208Y-101360397D01* -X83957087Y-101352862D01* -X84771400Y-101352862D01* -X84771400Y-101447138D01* -X84789792Y-101539603D01* -X84825870Y-101626702D01* -X84878247Y-101705090D01* -X84944910Y-101771753D01* -X85023298Y-101824130D01* -X85110397Y-101860208D01* -X85202862Y-101878600D01* -X85297138Y-101878600D01* -X85389603Y-101860208D01* -X85476702Y-101824130D01* -X85555090Y-101771753D01* -X85621753Y-101705090D01* -X85674130Y-101626702D01* -X85710208Y-101539603D01* -X85728600Y-101447138D01* -X85728600Y-101352862D01* -X85710208Y-101260397D01* -X85674130Y-101173298D01* -X85621753Y-101094910D01* -X85555090Y-101028247D01* -X85476702Y-100975870D01* -X85389603Y-100939792D01* -X85297138Y-100921400D01* -X85202862Y-100921400D01* -X85110397Y-100939792D01* -X85023298Y-100975870D01* -X84944910Y-101028247D01* -X84878247Y-101094910D01* -X84825870Y-101173298D01* -X84789792Y-101260397D01* -X84771400Y-101352862D01* -X83957087Y-101352862D01* -X83924130Y-101273298D01* -X83871753Y-101194910D01* -X83805090Y-101128247D01* -X83726702Y-101075870D01* -X83639603Y-101039792D01* -X83547138Y-101021400D01* -X83452862Y-101021400D01* -X83360397Y-101039792D01* -X83273298Y-101075870D01* -X83194910Y-101128247D01* -X83128247Y-101194910D01* -X83075870Y-101273298D01* -X83039792Y-101360397D01* -X83021400Y-101452862D01* -X81710600Y-101452862D01* -X81710600Y-99402862D01* -X82471400Y-99402862D01* -X82471400Y-99497138D01* -X82489792Y-99589603D01* -X82525870Y-99676702D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83322749Y-99753600D01* -X83885096Y-99753600D01* -X83900000Y-99755068D01* -X83914904Y-99753600D01* -X83959516Y-99749206D01* -X84016744Y-99731846D01* -X84069487Y-99703655D01* -X84115716Y-99665716D01* -X84125226Y-99654128D01* -X84476492Y-99302862D01* -X84771400Y-99302862D01* -X84771400Y-99397138D01* -X84789792Y-99489603D01* -X84825870Y-99576702D01* -X84878247Y-99655090D01* -X84944910Y-99721753D01* -X85023298Y-99774130D01* -X85110397Y-99810208D01* -X85202862Y-99828600D01* -X85297138Y-99828600D01* -X85389603Y-99810208D01* -X85476702Y-99774130D01* -X85555090Y-99721753D01* -X85621753Y-99655090D01* -X85674130Y-99576702D01* -X85710208Y-99489603D01* -X85728600Y-99397138D01* -X85728600Y-99302862D01* -X85710208Y-99210397D01* -X85674130Y-99123298D01* -X85621753Y-99044910D01* -X85555090Y-98978247D01* -X85476702Y-98925870D01* -X85389603Y-98889792D01* -X85297138Y-98871400D01* -X85202862Y-98871400D01* -X85110397Y-98889792D01* -X85023298Y-98925870D01* -X84944910Y-98978247D01* -X84878247Y-99044910D01* -X84825870Y-99123298D01* -X84789792Y-99210397D01* -X84771400Y-99302862D01* -X84476492Y-99302862D01* -X86075755Y-97703600D01* -X88077251Y-97703600D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88077251Y-97096400D01* -X85964904Y-97096400D01* -X85950000Y-97094932D01* -X85935096Y-97096400D01* -X85890484Y-97100794D01* -X85833256Y-97118154D01* -X85780513Y-97146345D01* -X85734284Y-97184284D01* -X85724779Y-97195866D01* -X83774246Y-99146400D01* -X83322749Y-99146400D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82525870Y-99223298D01* -X82489792Y-99310397D01* -X82471400Y-99402862D01* -X81710600Y-99402862D01* -X81710600Y-98552862D01* -X83021400Y-98552862D01* -X83021400Y-98647138D01* -X83039792Y-98739603D01* -X83075870Y-98826702D01* -X83128247Y-98905090D01* -X83194910Y-98971753D01* -X83273298Y-99024130D01* -X83360397Y-99060208D01* -X83452862Y-99078600D01* -X83547138Y-99078600D01* -X83639603Y-99060208D01* -X83726702Y-99024130D01* -X83805090Y-98971753D01* -X83871753Y-98905090D01* -X83924130Y-98826702D01* -X83960208Y-98739603D01* -X83978600Y-98647138D01* -X83978600Y-98552862D01* -X83960208Y-98460397D01* -X83924130Y-98373298D01* -X83871753Y-98294910D01* -X83805090Y-98228247D01* -X83726702Y-98175870D01* -X83639603Y-98139792D01* -X83547138Y-98121400D01* -X83452862Y-98121400D01* -X83360397Y-98139792D01* -X83273298Y-98175870D01* -X83194910Y-98228247D01* -X83128247Y-98294910D01* -X83075870Y-98373298D01* -X83039792Y-98460397D01* -X83021400Y-98552862D01* -X81710600Y-98552862D01* -X81710600Y-98296754D01* -X82881140Y-97126216D01* -X82892716Y-97116716D01* -X82930655Y-97070487D01* -X82958846Y-97017744D01* -X82976206Y-96960516D01* -X82980600Y-96915904D01* -X82980600Y-96915903D01* -X82982068Y-96901001D01* -X82980600Y-96886097D01* -X82980600Y-95552862D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89478600Y-95647138D01* -X89478600Y-95552862D01* -X89478250Y-95551104D01* -X89581490Y-95447865D01* -X89589792Y-95489603D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90474130Y-95123298D01* -X90421753Y-95044910D01* -X90355090Y-94978247D01* -X90353600Y-94977251D01* -X90353600Y-94802862D01* -X90521400Y-94802862D01* -X90521400Y-94897138D01* -X90539792Y-94989603D01* -X90575870Y-95076702D01* -X90628247Y-95155090D01* -X90694910Y-95221753D01* -X90773298Y-95274130D01* -X90860397Y-95310208D01* -X90952862Y-95328600D01* -X91047138Y-95328600D01* -X91139603Y-95310208D01* -X91226702Y-95274130D01* -X91305090Y-95221753D01* -X91371753Y-95155090D01* -X91424130Y-95076702D01* -X91460208Y-94989603D01* -X91478600Y-94897138D01* -X91478600Y-94802862D01* -X91460208Y-94710397D01* -X91455902Y-94700000D01* -X95273800Y-94700000D01* -X95273800Y-95600000D01* -X95275264Y-95614866D01* -X95279600Y-95629160D01* -X95286642Y-95642334D01* -X95296118Y-95653882D01* -X96523800Y-96881564D01* -X96523800Y-97100000D01* -X96525264Y-97114866D01* -X96529600Y-97129160D01* -X96536642Y-97142334D01* -X96546118Y-97153882D01* -X96946118Y-97553882D01* -X96957666Y-97563358D01* -X96970840Y-97570400D01* -X96985134Y-97574736D01* -X97000000Y-97576200D01* -X97450000Y-97576200D01* -X97464866Y-97574736D01* -X97479160Y-97570400D01* -X97492334Y-97563358D01* -X97503882Y-97553882D01* -X97681564Y-97376200D01* -X99318436Y-97376200D01* -X99573800Y-97631564D01* -X99573800Y-98568436D01* -X98646118Y-99496118D01* -X98636642Y-99507666D01* -X98629600Y-99520840D01* -X98625264Y-99535134D01* -X98623800Y-99550000D01* -X98623800Y-104400000D01* -X98625264Y-104414866D01* -X98629600Y-104429160D01* -X98636642Y-104442334D01* -X98646118Y-104453882D01* -X99996118Y-105803882D01* -X100007666Y-105813358D01* -X100020840Y-105820400D01* -X100035134Y-105824736D01* -X100050000Y-105826200D01* -X102118436Y-105826200D01* -X103096118Y-106803882D01* -X103107666Y-106813358D01* -X103120840Y-106820400D01* -X103135134Y-106824736D01* -X103150000Y-106826200D01* -X104750000Y-106826200D01* -X104764866Y-106824736D01* -X104779160Y-106820400D01* -X104792334Y-106813358D01* -X104803882Y-106803882D01* -X106831564Y-104776200D01* -X107568436Y-104776200D01* -X108023800Y-105231564D01* -X108023800Y-112200000D01* -X108025264Y-112214866D01* -X108029600Y-112229160D01* -X108036642Y-112242334D01* -X108046118Y-112253882D01* -X109296118Y-113503882D01* -X109307666Y-113513358D01* -X109320840Y-113520400D01* -X109335134Y-113524736D01* -X109350000Y-113526200D01* -X122773102Y-113526200D01* -X122785946Y-113590769D01* -X122822326Y-113678597D01* -X122875140Y-113757640D01* -X122942360Y-113824860D01* -X123021403Y-113877674D01* -X123109231Y-113914054D01* -X123202468Y-113932600D01* -X123297532Y-113932600D01* -X123390769Y-113914054D01* -X123478597Y-113877674D01* -X123557640Y-113824860D01* -X123624860Y-113757640D01* -X123677674Y-113678597D01* -X123714054Y-113590769D01* -X123726898Y-113526200D01* -X125500000Y-113526200D01* -X125514866Y-113524736D01* -X125529160Y-113520400D01* -X125542334Y-113513358D01* -X125553882Y-113503882D01* -X125563358Y-113492334D01* -X125570400Y-113479160D01* -X125574736Y-113464866D01* -X125576200Y-113450000D01* -X125576200Y-112328600D01* -X125597138Y-112328600D01* -X125689603Y-112310208D01* -X125776702Y-112274130D01* -X125855090Y-112221753D01* -X125921753Y-112155090D01* -X125974130Y-112076702D01* -X126010208Y-111989603D01* -X126018495Y-111947937D01* -X126821400Y-111947937D01* -X126821400Y-112052063D01* -X126841713Y-112154187D01* -X126881560Y-112250386D01* -X126939409Y-112336963D01* -X127013037Y-112410591D01* -X127099614Y-112468440D01* -X127195813Y-112508287D01* -X127297937Y-112528600D01* -X127402063Y-112528600D01* -X127504187Y-112508287D01* -X127600386Y-112468440D01* -X127686963Y-112410591D01* -X127760591Y-112336963D01* -X127818440Y-112250386D01* -X127858287Y-112154187D01* -X127878600Y-112052063D01* -X127878600Y-111947937D01* -X127858287Y-111845813D01* -X127818440Y-111749614D01* -X127760591Y-111663037D01* -X127686963Y-111589409D01* -X127600386Y-111531560D01* -X127504187Y-111491713D01* -X127402063Y-111471400D01* -X127297937Y-111471400D01* -X127195813Y-111491713D01* -X127099614Y-111531560D01* -X127013037Y-111589409D01* -X126939409Y-111663037D01* -X126881560Y-111749614D01* -X126841713Y-111845813D01* -X126821400Y-111947937D01* -X126018495Y-111947937D01* -X126028600Y-111897138D01* -X126028600Y-111802862D01* -X126010208Y-111710397D01* -X125974130Y-111623298D01* -X125921753Y-111544910D01* -X125855090Y-111478247D01* -X125776702Y-111425870D01* -X125689603Y-111389792D01* -X125597138Y-111371400D01* -X125576200Y-111371400D01* -X125576200Y-110947937D01* -X125921400Y-110947937D01* -X125921400Y-111052063D01* -X125941713Y-111154187D01* -X125981560Y-111250386D01* -X126039409Y-111336963D01* -X126113037Y-111410591D01* -X126199614Y-111468440D01* -X126295813Y-111508287D01* -X126397937Y-111528600D01* -X126502063Y-111528600D01* -X126604187Y-111508287D01* -X126700386Y-111468440D01* -X126786963Y-111410591D01* -X126860591Y-111336963D01* -X126918440Y-111250386D01* -X126958287Y-111154187D01* -X126978600Y-111052063D01* -X126978600Y-110947937D01* -X126958287Y-110845813D01* -X126918440Y-110749614D01* -X126860591Y-110663037D01* -X126786963Y-110589409D01* -X126700386Y-110531560D01* -X126604187Y-110491713D01* -X126502063Y-110471400D01* -X126397937Y-110471400D01* -X126295813Y-110491713D01* -X126199614Y-110531560D01* -X126113037Y-110589409D01* -X126039409Y-110663037D01* -X125981560Y-110749614D01* -X125941713Y-110845813D01* -X125921400Y-110947937D01* -X125576200Y-110947937D01* -X125576200Y-107528600D01* -X125597138Y-107528600D01* -X125689603Y-107510208D01* -X125776702Y-107474130D01* -X125855090Y-107421753D01* -X125921753Y-107355090D01* -X125974130Y-107276702D01* -X125990124Y-107238088D01* -X126821400Y-107238088D01* -X126821400Y-107361912D01* -X126845556Y-107483356D01* -X126892941Y-107597754D01* -X126961734Y-107700709D01* -X127049291Y-107788266D01* -X127152246Y-107857059D01* -X127266644Y-107904444D01* -X127388088Y-107928600D01* -X127511912Y-107928600D01* -X127633356Y-107904444D01* -X127747754Y-107857059D01* -X127850709Y-107788266D01* -X127938266Y-107700709D01* -X128007059Y-107597754D01* -X128054444Y-107483356D01* -X128078600Y-107361912D01* -X128078600Y-107238088D01* -X128054444Y-107116644D01* -X128007059Y-107002246D01* -X127938266Y-106899291D01* -X127850709Y-106811734D01* -X127747754Y-106742941D01* -X127633356Y-106695556D01* -X127511912Y-106671400D01* -X127388088Y-106671400D01* -X127266644Y-106695556D01* -X127152246Y-106742941D01* -X127049291Y-106811734D01* -X126961734Y-106899291D01* -X126892941Y-107002246D01* -X126845556Y-107116644D01* -X126821400Y-107238088D01* -X125990124Y-107238088D01* -X126010208Y-107189603D01* -X126028600Y-107097138D01* -X126028600Y-107002862D01* -X126010208Y-106910397D01* -X125974130Y-106823298D01* -X125921753Y-106744910D01* -X125855090Y-106678247D01* -X125776702Y-106625870D01* -X125689603Y-106589792D01* -X125597138Y-106571400D01* -X125576200Y-106571400D01* -X125576200Y-106147937D01* -X125921400Y-106147937D01* -X125921400Y-106252063D01* -X125941713Y-106354187D01* -X125981560Y-106450386D01* -X126039409Y-106536963D01* -X126113037Y-106610591D01* -X126199614Y-106668440D01* -X126295813Y-106708287D01* -X126397937Y-106728600D01* -X126502063Y-106728600D01* -X126604187Y-106708287D01* -X126700386Y-106668440D01* -X126786963Y-106610591D01* -X126860591Y-106536963D01* -X126918440Y-106450386D01* -X126958287Y-106354187D01* -X126978600Y-106252063D01* -X126978600Y-106147937D01* -X126958287Y-106045813D01* -X126918440Y-105949614D01* -X126860591Y-105863037D01* -X126786963Y-105789409D01* -X126700386Y-105731560D01* -X126604187Y-105691713D01* -X126502063Y-105671400D01* -X126397937Y-105671400D01* -X126295813Y-105691713D01* -X126199614Y-105731560D01* -X126113037Y-105789409D01* -X126039409Y-105863037D01* -X125981560Y-105949614D01* -X125941713Y-106045813D01* -X125921400Y-106147937D01* -X125576200Y-106147937D01* -X125576200Y-103528600D01* -X125597138Y-103528600D01* -X125689603Y-103510208D01* -X125776702Y-103474130D01* -X125855090Y-103421753D01* -X125921753Y-103355090D01* -X125974130Y-103276702D01* -X125989349Y-103239960D01* -X126840400Y-103239960D01* -X126840400Y-103360040D01* -X126863826Y-103477814D01* -X126909779Y-103588754D01* -X126976492Y-103688598D01* -X127061402Y-103773508D01* -X127161246Y-103840221D01* -X127272186Y-103886174D01* -X127389960Y-103909600D01* -X127510040Y-103909600D01* -X127627814Y-103886174D01* -X127738754Y-103840221D01* -X127838598Y-103773508D01* -X127923508Y-103688598D01* -X127990221Y-103588754D01* -X128036174Y-103477814D01* -X128059600Y-103360040D01* -X128059600Y-103239960D01* -X128036174Y-103122186D01* -X127990221Y-103011246D01* -X127923508Y-102911402D01* -X127838598Y-102826492D01* -X127738754Y-102759779D01* -X127627814Y-102713826D01* -X127510040Y-102690400D01* -X127389960Y-102690400D01* -X127272186Y-102713826D01* -X127161246Y-102759779D01* -X127061402Y-102826492D01* -X126976492Y-102911402D01* -X126909779Y-103011246D01* -X126863826Y-103122186D01* -X126840400Y-103239960D01* -X125989349Y-103239960D01* -X126010208Y-103189603D01* -X126028600Y-103097138D01* -X126028600Y-103002862D01* -X126010208Y-102910397D01* -X125974130Y-102823298D01* -X125921753Y-102744910D01* -X125855090Y-102678247D01* -X125776702Y-102625870D01* -X125689603Y-102589792D01* -X125597138Y-102571400D01* -X125576200Y-102571400D01* -X125576200Y-102038088D01* -X125821400Y-102038088D01* -X125821400Y-102161912D01* -X125845556Y-102283356D01* -X125892941Y-102397754D01* -X125961734Y-102500709D01* -X126049291Y-102588266D01* -X126152246Y-102657059D01* -X126266644Y-102704444D01* -X126388088Y-102728600D01* -X126511912Y-102728600D01* -X126633356Y-102704444D01* -X126747754Y-102657059D01* -X126850709Y-102588266D01* -X126938266Y-102500709D01* -X127007059Y-102397754D01* -X127054444Y-102283356D01* -X127078600Y-102161912D01* -X127078600Y-102038088D01* -X127054444Y-101916644D01* -X127007059Y-101802246D01* -X126938266Y-101699291D01* -X126850709Y-101611734D01* -X126747754Y-101542941D01* -X126633356Y-101495556D01* -X126511912Y-101471400D01* -X126388088Y-101471400D01* -X126266644Y-101495556D01* -X126152246Y-101542941D01* -X126049291Y-101611734D01* -X125961734Y-101699291D01* -X125892941Y-101802246D01* -X125845556Y-101916644D01* -X125821400Y-102038088D01* -X125576200Y-102038088D01* -X125576200Y-93128600D01* -X125597138Y-93128600D01* -X125689603Y-93110208D01* -X125776702Y-93074130D01* -X125855090Y-93021753D01* -X125921753Y-92955090D01* -X125974130Y-92876702D01* -X125990124Y-92838088D01* -X126821400Y-92838088D01* -X126821400Y-92961912D01* -X126845556Y-93083356D01* -X126892941Y-93197754D01* -X126961734Y-93300709D01* -X127049291Y-93388266D01* -X127152246Y-93457059D01* -X127266644Y-93504444D01* -X127388088Y-93528600D01* -X127511912Y-93528600D01* -X127633356Y-93504444D01* -X127747754Y-93457059D01* -X127850709Y-93388266D01* -X127938266Y-93300709D01* -X128007059Y-93197754D01* -X128054444Y-93083356D01* -X128078600Y-92961912D01* -X128078600Y-92838088D01* -X128054444Y-92716644D01* -X128007059Y-92602246D01* -X127938266Y-92499291D01* -X127850709Y-92411734D01* -X127747754Y-92342941D01* -X127633356Y-92295556D01* -X127511912Y-92271400D01* -X127388088Y-92271400D01* -X127266644Y-92295556D01* -X127152246Y-92342941D01* -X127049291Y-92411734D01* -X126961734Y-92499291D01* -X126892941Y-92602246D01* -X126845556Y-92716644D01* -X126821400Y-92838088D01* -X125990124Y-92838088D01* -X126010208Y-92789603D01* -X126028600Y-92697138D01* -X126028600Y-92602862D01* -X126010208Y-92510397D01* -X125974130Y-92423298D01* -X125921753Y-92344910D01* -X125855090Y-92278247D01* -X125776702Y-92225870D01* -X125689603Y-92189792D01* -X125597138Y-92171400D01* -X125502862Y-92171400D01* -X125410397Y-92189792D01* -X125323298Y-92225870D01* -X125244910Y-92278247D01* -X125178247Y-92344910D01* -X125125870Y-92423298D01* -X125089792Y-92510397D01* -X125077181Y-92573800D01* -X123722819Y-92573800D01* -X123710208Y-92510397D01* -X123674130Y-92423298D01* -X123621753Y-92344910D01* -X123555090Y-92278247D01* -X123476702Y-92225870D01* -X123389603Y-92189792D01* -X123297138Y-92171400D01* -X123202862Y-92171400D01* -X123110397Y-92189792D01* -X123023298Y-92225870D01* -X122944910Y-92278247D01* -X122878247Y-92344910D01* -X122825870Y-92423298D01* -X122789792Y-92510397D01* -X122777181Y-92573800D01* -X111931564Y-92573800D01* -X111153882Y-91796118D01* -X111142334Y-91786642D01* -X111129160Y-91779600D01* -X111114866Y-91775264D01* -X111100000Y-91773800D01* -X99850000Y-91773800D01* -X99835134Y-91775264D01* -X99820840Y-91779600D01* -X99807666Y-91786642D01* -X99796118Y-91796118D01* -X99068436Y-92523800D01* -X98372819Y-92523800D01* -X98360208Y-92460397D01* -X98324130Y-92373298D01* -X98271753Y-92294910D01* -X98205090Y-92228247D01* -X98126702Y-92175870D01* -X98039603Y-92139792D01* -X97947138Y-92121400D01* -X97852862Y-92121400D01* -X97760397Y-92139792D01* -X97673298Y-92175870D01* -X97594910Y-92228247D01* -X97528247Y-92294910D01* -X97475870Y-92373298D01* -X97439792Y-92460397D01* -X97426360Y-92527926D01* -X97420840Y-92529600D01* -X97407666Y-92536642D01* -X97396118Y-92546118D01* -X95296118Y-94646118D01* -X95286642Y-94657666D01* -X95279600Y-94670840D01* -X95275264Y-94685134D01* -X95273800Y-94700000D01* -X91455902Y-94700000D01* -X91424130Y-94623298D01* -X91371753Y-94544910D01* -X91305090Y-94478247D01* -X91226702Y-94425870D01* -X91139603Y-94389792D01* -X91047138Y-94371400D01* -X90952862Y-94371400D01* -X90860397Y-94389792D01* -X90773298Y-94425870D01* -X90694910Y-94478247D01* -X90628247Y-94544910D01* -X90575870Y-94623298D01* -X90539792Y-94710397D01* -X90521400Y-94802862D01* -X90353600Y-94802862D01* -X90353600Y-94675754D01* -X90825755Y-94203600D01* -X92535096Y-94203600D01* -X92550000Y-94205068D01* -X92564904Y-94203600D01* -X92609516Y-94199206D01* -X92666744Y-94181846D01* -X92719487Y-94153655D01* -X92765716Y-94115716D01* -X92775226Y-94104128D01* -X95231417Y-91647937D01* -X98221400Y-91647937D01* -X98221400Y-91752063D01* -X98241713Y-91854187D01* -X98281560Y-91950386D01* -X98339409Y-92036963D01* -X98413037Y-92110591D01* -X98499614Y-92168440D01* -X98595813Y-92208287D01* -X98697937Y-92228600D01* -X98802063Y-92228600D01* -X98904187Y-92208287D01* -X99000386Y-92168440D01* -X99086963Y-92110591D01* -X99160591Y-92036963D01* -X99218440Y-91950386D01* -X99258287Y-91854187D01* -X99278600Y-91752063D01* -X99278600Y-91647937D01* -X99268655Y-91597937D01* -X113721400Y-91597937D01* -X113721400Y-91702063D01* -X113741713Y-91804187D01* -X113781560Y-91900386D01* -X113839409Y-91986963D01* -X113913037Y-92060591D01* -X113999614Y-92118440D01* -X114095813Y-92158287D01* -X114197937Y-92178600D01* -X114302063Y-92178600D01* -X114404187Y-92158287D01* -X114500386Y-92118440D01* -X114586963Y-92060591D01* -X114660591Y-91986963D01* -X114716785Y-91902862D01* -X123921400Y-91902862D01* -X123921400Y-91997138D01* -X123939792Y-92089603D01* -X123975870Y-92176702D01* -X124028247Y-92255090D01* -X124094910Y-92321753D01* -X124173298Y-92374130D01* -X124260397Y-92410208D01* -X124352862Y-92428600D01* -X124447138Y-92428600D01* -X124539603Y-92410208D01* -X124626702Y-92374130D01* -X124705090Y-92321753D01* -X124771753Y-92255090D01* -X124824130Y-92176702D01* -X124860208Y-92089603D01* -X124878600Y-91997138D01* -X124878600Y-91902862D01* -X124860208Y-91810397D01* -X124834336Y-91747937D01* -X125921400Y-91747937D01* -X125921400Y-91852063D01* -X125941713Y-91954187D01* -X125981560Y-92050386D01* -X126039409Y-92136963D01* -X126113037Y-92210591D01* -X126199614Y-92268440D01* -X126295813Y-92308287D01* -X126397937Y-92328600D01* -X126502063Y-92328600D01* -X126604187Y-92308287D01* -X126700386Y-92268440D01* -X126786963Y-92210591D01* -X126860591Y-92136963D01* -X126918440Y-92050386D01* -X126958287Y-91954187D01* -X126978600Y-91852063D01* -X126978600Y-91747937D01* -X126958287Y-91645813D01* -X126918440Y-91549614D01* -X126860591Y-91463037D01* -X126786963Y-91389409D01* -X126700386Y-91331560D01* -X126604187Y-91291713D01* -X126502063Y-91271400D01* -X126397937Y-91271400D01* -X126295813Y-91291713D01* -X126199614Y-91331560D01* -X126113037Y-91389409D01* -X126039409Y-91463037D01* -X125981560Y-91549614D01* -X125941713Y-91645813D01* -X125921400Y-91747937D01* -X124834336Y-91747937D01* -X124824130Y-91723298D01* -X124771753Y-91644910D01* -X124705090Y-91578247D01* -X124626702Y-91525870D01* -X124539603Y-91489792D01* -X124447138Y-91471400D01* -X124352862Y-91471400D01* -X124260397Y-91489792D01* -X124173298Y-91525870D01* -X124094910Y-91578247D01* -X124028247Y-91644910D01* -X123975870Y-91723298D01* -X123939792Y-91810397D01* -X123921400Y-91902862D01* -X114716785Y-91902862D01* -X114718440Y-91900386D01* -X114758287Y-91804187D01* -X114778600Y-91702063D01* -X114778600Y-91597937D01* -X114758287Y-91495813D01* -X114718440Y-91399614D01* -X114660591Y-91313037D01* -X114586963Y-91239409D01* -X114500386Y-91181560D01* -X114404187Y-91141713D01* -X114302063Y-91121400D01* -X114197937Y-91121400D01* -X114095813Y-91141713D01* -X113999614Y-91181560D01* -X113913037Y-91239409D01* -X113839409Y-91313037D01* -X113781560Y-91399614D01* -X113741713Y-91495813D01* -X113721400Y-91597937D01* -X99268655Y-91597937D01* -X99258287Y-91545813D01* -X99218440Y-91449614D01* -X99160591Y-91363037D01* -X99086963Y-91289409D01* -X99000386Y-91231560D01* -X98904187Y-91191713D01* -X98802063Y-91171400D01* -X98697937Y-91171400D01* -X98595813Y-91191713D01* -X98499614Y-91231560D01* -X98413037Y-91289409D01* -X98339409Y-91363037D01* -X98281560Y-91449614D01* -X98241713Y-91545813D01* -X98221400Y-91647937D01* -X95231417Y-91647937D01* -X96131418Y-90747937D01* -X97221400Y-90747937D01* -X97221400Y-90852063D01* -X97241713Y-90954187D01* -X97281560Y-91050386D01* -X97339409Y-91136963D01* -X97413037Y-91210591D01* -X97499614Y-91268440D01* -X97595813Y-91308287D01* -X97697937Y-91328600D01* -X97802063Y-91328600D01* -X97904187Y-91308287D01* -X98000386Y-91268440D01* -X98086963Y-91210591D01* -X98160591Y-91136963D01* -X98218440Y-91050386D01* -X98258287Y-90954187D01* -X98278600Y-90852063D01* -X98278600Y-90747937D01* -X98268655Y-90697937D01* -X114721400Y-90697937D01* -X114721400Y-90802063D01* -X114741713Y-90904187D01* -X114781560Y-91000386D01* -X114839409Y-91086963D01* -X114913037Y-91160591D01* -X114999614Y-91218440D01* -X115095813Y-91258287D01* -X115197937Y-91278600D01* -X115302063Y-91278600D01* -X115404187Y-91258287D01* -X115500386Y-91218440D01* -X115586963Y-91160591D01* -X115660591Y-91086963D01* -X115718440Y-91000386D01* -X115758287Y-90904187D01* -X115778600Y-90802063D01* -X115778600Y-90697937D01* -X124721400Y-90697937D01* -X124721400Y-90802063D01* -X124741713Y-90904187D01* -X124781560Y-91000386D01* -X124839409Y-91086963D01* -X124913037Y-91160591D01* -X124999614Y-91218440D01* -X125095813Y-91258287D01* -X125197937Y-91278600D01* -X125302063Y-91278600D01* -X125404187Y-91258287D01* -X125500386Y-91218440D01* -X125586963Y-91160591D01* -X125660591Y-91086963D01* -X125718440Y-91000386D01* -X125758287Y-90904187D01* -X125778600Y-90802063D01* -X125778600Y-90697937D01* -X125758287Y-90595813D01* -X125718440Y-90499614D01* -X125660591Y-90413037D01* -X125586963Y-90339409D01* -X125500386Y-90281560D01* -X125404187Y-90241713D01* -X125302063Y-90221400D01* -X125197937Y-90221400D01* -X125095813Y-90241713D01* -X124999614Y-90281560D01* -X124913037Y-90339409D01* -X124839409Y-90413037D01* -X124781560Y-90499614D01* -X124741713Y-90595813D01* -X124721400Y-90697937D01* -X115778600Y-90697937D01* -X115758287Y-90595813D01* -X115718440Y-90499614D01* -X115660591Y-90413037D01* -X115586963Y-90339409D01* -X115500386Y-90281560D01* -X115404187Y-90241713D01* -X115302063Y-90221400D01* -X115197937Y-90221400D01* -X115095813Y-90241713D01* -X114999614Y-90281560D01* -X114913037Y-90339409D01* -X114839409Y-90413037D01* -X114781560Y-90499614D01* -X114741713Y-90595813D01* -X114721400Y-90697937D01* -X98268655Y-90697937D01* -X98258287Y-90645813D01* -X98218440Y-90549614D01* -X98160591Y-90463037D01* -X98086963Y-90389409D01* -X98000386Y-90331560D01* -X97904187Y-90291713D01* -X97802063Y-90271400D01* -X97697937Y-90271400D01* -X97595813Y-90291713D01* -X97499614Y-90331560D01* -X97413037Y-90389409D01* -X97339409Y-90463037D01* -X97281560Y-90549614D01* -X97241713Y-90645813D01* -X97221400Y-90747937D01* -X96131418Y-90747937D01* -X97081418Y-89797937D01* -X113721400Y-89797937D01* -X113721400Y-89902063D01* -X113741713Y-90004187D01* -X113781560Y-90100386D01* -X113839409Y-90186963D01* -X113913037Y-90260591D01* -X113999614Y-90318440D01* -X114095813Y-90358287D01* -X114197937Y-90378600D01* -X114302063Y-90378600D01* -X114404187Y-90358287D01* -X114500386Y-90318440D01* -X114586963Y-90260591D01* -X114660591Y-90186963D01* -X114718440Y-90100386D01* -X114758287Y-90004187D01* -X114778600Y-89902063D01* -X114778600Y-89797937D01* -X123721400Y-89797937D01* -X123721400Y-89902063D01* -X123741713Y-90004187D01* -X123781560Y-90100386D01* -X123839409Y-90186963D01* -X123913037Y-90260591D01* -X123999614Y-90318440D01* -X124095813Y-90358287D01* -X124197937Y-90378600D01* -X124302063Y-90378600D01* -X124404187Y-90358287D01* -X124500386Y-90318440D01* -X124586963Y-90260591D01* -X124660591Y-90186963D01* -X124718440Y-90100386D01* -X124758287Y-90004187D01* -X124778600Y-89902063D01* -X124778600Y-89797937D01* -X124758287Y-89695813D01* -X124718440Y-89599614D01* -X124660591Y-89513037D01* -X124586963Y-89439409D01* -X124500386Y-89381560D01* -X124404187Y-89341713D01* -X124302063Y-89321400D01* -X124197937Y-89321400D01* -X124095813Y-89341713D01* -X123999614Y-89381560D01* -X123913037Y-89439409D01* -X123839409Y-89513037D01* -X123781560Y-89599614D01* -X123741713Y-89695813D01* -X123721400Y-89797937D01* -X114778600Y-89797937D01* -X114758287Y-89695813D01* -X114718440Y-89599614D01* -X114660591Y-89513037D01* -X114586963Y-89439409D01* -X114500386Y-89381560D01* -X114404187Y-89341713D01* -X114302063Y-89321400D01* -X114197937Y-89321400D01* -X114095813Y-89341713D01* -X113999614Y-89381560D01* -X113913037Y-89439409D01* -X113839409Y-89513037D01* -X113781560Y-89599614D01* -X113741713Y-89695813D01* -X113721400Y-89797937D01* -X97081418Y-89797937D01* -X98825756Y-88053600D01* -X132074246Y-88053600D01* -X134712711Y-90692067D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134822910Y-91303753D01* -X134901298Y-91356130D01* -X134988397Y-91392208D01* -X135080862Y-91410600D01* -X135175138Y-91410600D01* -X135267603Y-91392208D01* -X135354702Y-91356130D01* -X135433090Y-91303753D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135574747Y-91104102D01* -X135606779Y-91136134D01* -X135616284Y-91147716D01* -X135662513Y-91185655D01* -X135715256Y-91213846D01* -X135772484Y-91231206D01* -X135832000Y-91237068D01* -X135846904Y-91235600D01* -X136025251Y-91235600D01* -X136026247Y-91237090D01* -X136092910Y-91303753D01* -X136171298Y-91356130D01* -X136258397Y-91392208D01* -X136350862Y-91410600D01* -X136445138Y-91410600D01* -X136537603Y-91392208D01* -X136624702Y-91356130D01* -X136703090Y-91303753D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X136025251Y-90628400D01* -X135957755Y-90628400D01* -X132575226Y-87245872D01* -X132565716Y-87234284D01* -X132519487Y-87196345D01* -X132466744Y-87168154D01* -X132409516Y-87150794D01* -X132364904Y-87146400D01* -X132350000Y-87144932D01* -X132335096Y-87146400D01* -X98564903Y-87146400D01* -X98549999Y-87144932D01* -X98490483Y-87150794D01* -X98465563Y-87158354D01* -X98433256Y-87168154D01* -X98380513Y-87196345D01* -X98334284Y-87234284D01* -X98324783Y-87245861D01* -X92274246Y-93296400D01* -X90564904Y-93296400D01* -X90550000Y-93294932D01* -X90535096Y-93296400D01* -X90490484Y-93300794D01* -X90433256Y-93318154D01* -X90380513Y-93346345D01* -X90334284Y-93384284D01* -X90324779Y-93395866D01* -X89545867Y-94174779D01* -X89534285Y-94184284D01* -X89496346Y-94230513D01* -X89468909Y-94281845D01* -X89468155Y-94283256D01* -X89450794Y-94340485D01* -X89444932Y-94400000D01* -X89446400Y-94414904D01* -X89446400Y-94724244D01* -X89048896Y-95121750D01* -X89047138Y-95121400D01* -X88952862Y-95121400D01* -X88860397Y-95139792D01* -X88773298Y-95175870D01* -X88694910Y-95228247D01* -X88628247Y-95294910D01* -X88575870Y-95373298D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X82980600Y-95552862D01* -X82980600Y-94248903D01* -X82982068Y-94233999D01* -X82976206Y-94174484D01* -X82971665Y-94159515D01* -X82958846Y-94117256D01* -X82930655Y-94064513D01* -X82892716Y-94018284D01* -X82881139Y-94008783D01* -X81425218Y-92552862D01* -X90421400Y-92552862D01* -X90421400Y-92647138D01* -X90439792Y-92739603D01* -X90475870Y-92826702D01* -X90528247Y-92905090D01* -X90594910Y-92971753D01* -X90673298Y-93024130D01* -X90760397Y-93060208D01* -X90852862Y-93078600D01* -X90947138Y-93078600D01* -X91039603Y-93060208D01* -X91126702Y-93024130D01* -X91205090Y-92971753D01* -X91271753Y-92905090D01* -X91324130Y-92826702D01* -X91360208Y-92739603D01* -X91378600Y-92647138D01* -X91378600Y-92552862D01* -X91360208Y-92460397D01* -X91324130Y-92373298D01* -X91271753Y-92294910D01* -X91205090Y-92228247D01* -X91126702Y-92175870D01* -X91039603Y-92139792D01* -X90947138Y-92121400D01* -X90852862Y-92121400D01* -X90760397Y-92139792D01* -X90673298Y-92175870D01* -X90594910Y-92228247D01* -X90528247Y-92294910D01* -X90475870Y-92373298D01* -X90439792Y-92460397D01* -X90421400Y-92552862D01* -X81425218Y-92552862D01* -X79620292Y-90747937D01* -X90221400Y-90747937D01* -X90221400Y-90852063D01* -X90241713Y-90954187D01* -X90281560Y-91050386D01* -X90339409Y-91136963D01* -X90413037Y-91210591D01* -X90499614Y-91268440D01* -X90595813Y-91308287D01* -X90697937Y-91328600D01* -X90802063Y-91328600D01* -X90904187Y-91308287D01* -X91000386Y-91268440D01* -X91086963Y-91210591D01* -X91160591Y-91136963D01* -X91218440Y-91050386D01* -X91258287Y-90954187D01* -X91278600Y-90852063D01* -X91278600Y-90747937D01* -X91258287Y-90645813D01* -X91218440Y-90549614D01* -X91160591Y-90463037D01* -X91086963Y-90389409D01* -X91000386Y-90331560D01* -X90904187Y-90291713D01* -X90802063Y-90271400D01* -X90697937Y-90271400D01* -X90595813Y-90291713D01* -X90499614Y-90331560D01* -X90413037Y-90389409D01* -X90339409Y-90463037D01* -X90281560Y-90549614D01* -X90241713Y-90645813D01* -X90221400Y-90747937D01* -X79620292Y-90747937D01* -X78968226Y-90095872D01* -X78958716Y-90084284D01* -X78912487Y-90046345D01* -X78859744Y-90018154D01* -X78802516Y-90000794D01* -X78757904Y-89996400D01* -X78743000Y-89994932D01* -X78728096Y-89996400D01* -X58214904Y-89996400D01* -X58200000Y-89994932D01* -X58185096Y-89996400D01* -X58140484Y-90000794D01* -X58083256Y-90018154D01* -X58030513Y-90046345D01* -X57984284Y-90084284D01* -X57974779Y-90095866D01* -X54395872Y-93674774D01* -X54384284Y-93684284D01* -X54346345Y-93730514D01* -X54318154Y-93783257D01* -X54303819Y-93830513D01* -X54300794Y-93840485D01* -X54294932Y-93900000D01* -X46177200Y-93900000D01* -X46177200Y-93606544D01* -X46300719Y-92988951D01* -X46544624Y-92501140D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56702010Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X56702010Y-82343754D01* -X58211140Y-80834624D01* -X58700595Y-80589897D01* -X59191381Y-80467200D01* -X142994456Y-80467200D01* -X143609112Y-80590131D01* -G37* -X143609112Y-80590131D02* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144957800Y-82430544D01* -X144957800Y-129532456D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X142994456Y-131495800D01* -X138119097Y-131495800D01* -X138112994Y-131484382D01* -X138084427Y-131449573D01* -X138049618Y-131421006D01* -X138009905Y-131399779D01* -X137966813Y-131386708D01* -X137922000Y-131382294D01* -X137290950Y-131383400D01* -X137233800Y-131440550D01* -X137233800Y-131495800D01* -X137086200Y-131495800D01* -X137086200Y-131440550D01* -X137029050Y-131383400D01* -X136398000Y-131382294D01* -X136353187Y-131386708D01* -X136310095Y-131399779D01* -X136270382Y-131421006D01* -X136235573Y-131449573D01* -X136207006Y-131484382D01* -X136200903Y-131495800D01* -X135353185Y-131495800D01* -X135340290Y-131485217D01* -X135234707Y-131428781D01* -X135120143Y-131394029D01* -X135056531Y-131387764D01* -X135080208Y-131330603D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134183469Y-131387764D01* -X134119857Y-131394029D01* -X134005293Y-131428781D01* -X133899710Y-131485217D01* -X133886815Y-131495800D01* -X132813185Y-131495800D01* -X132800290Y-131485217D01* -X132694707Y-131428781D01* -X132580143Y-131394029D01* -X132516531Y-131387764D01* -X132540208Y-131330603D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131643469Y-131387764D01* -X131579857Y-131394029D01* -X131465293Y-131428781D01* -X131359710Y-131485217D01* -X131346815Y-131495800D01* -X130273185Y-131495800D01* -X130260290Y-131485217D01* -X130154707Y-131428781D01* -X130040143Y-131394029D01* -X129921000Y-131382294D01* -X129159000Y-131382294D01* -X129039857Y-131394029D01* -X128925293Y-131428781D01* -X128819710Y-131485217D01* -X128806815Y-131495800D01* -X127733185Y-131495800D01* -X127720290Y-131485217D01* -X127614707Y-131428781D01* -X127500143Y-131394029D01* -X127381000Y-131382294D01* -X126619000Y-131382294D01* -X126499857Y-131394029D01* -X126385293Y-131428781D01* -X126279710Y-131485217D01* -X126266815Y-131495800D01* -X125193185Y-131495800D01* -X125180290Y-131485217D01* -X125074707Y-131428781D01* -X124960143Y-131394029D01* -X124841000Y-131382294D01* -X124763600Y-131382294D01* -X124763600Y-129474903D01* -X124765068Y-129459999D01* -X124759206Y-129400484D01* -X124756173Y-129390485D01* -X124741846Y-129343256D01* -X124713655Y-129290513D01* -X124675716Y-129244284D01* -X124664135Y-129234780D01* -X122429355Y-127000000D01* -X136164608Y-127000000D01* -X136169401Y-127048664D01* -X136169400Y-129696434D01* -X136169400Y-129745346D01* -X136164608Y-129794000D01* -X136169400Y-129842654D01* -X136169400Y-129891566D01* -X136178940Y-129939527D01* -X136183733Y-129988191D01* -X136197927Y-130034983D01* -X136207468Y-130082947D01* -X136226184Y-130128130D01* -X136240377Y-130174919D01* -X136263427Y-130218042D01* -X136282142Y-130263225D01* -X136309309Y-130303884D01* -X136332361Y-130347011D01* -X136363384Y-130384812D01* -X136390551Y-130425471D01* -X136425130Y-130460050D01* -X136456151Y-130497849D01* -X136493950Y-130528870D01* -X136528529Y-130563449D01* -X136569188Y-130590616D01* -X136606989Y-130621639D01* -X136650117Y-130644691D01* -X136690775Y-130671858D01* -X136735954Y-130690572D01* -X136779080Y-130713623D01* -X136825872Y-130727818D01* -X136871053Y-130746532D01* -X136919014Y-130756072D01* -X136965808Y-130770267D01* -X137014473Y-130775060D01* -X137062434Y-130784600D01* -X137111335Y-130784600D01* -X137160000Y-130789393D01* -X137208665Y-130784600D01* -X137257566Y-130784600D01* -X137305527Y-130775060D01* -X137354191Y-130770267D01* -X137400983Y-130756073D01* -X137448947Y-130746532D01* -X137494130Y-130727816D01* -X137540919Y-130713623D01* -X137584042Y-130690573D01* -X137629225Y-130671858D01* -X137669884Y-130644691D01* -X137713011Y-130621639D01* -X137750812Y-130590616D01* -X137791471Y-130563449D01* -X137826050Y-130528870D01* -X137863849Y-130497849D01* -X137894870Y-130460050D01* -X137929449Y-130425471D01* -X137929451Y-130425468D01* -X138969050Y-129385870D01* -X139006849Y-129354849D01* -X139046880Y-129306072D01* -X139130639Y-129204011D01* -X139159933Y-129149206D01* -X139222623Y-129031920D01* -X139279267Y-128845191D01* -X139293600Y-128699664D01* -X139293600Y-128699655D01* -X139298392Y-128651001D01* -X139293600Y-128602346D01* -X139293600Y-128191654D01* -X139298392Y-128142999D01* -X139293600Y-128094345D01* -X139293600Y-128094336D01* -X139279267Y-127948809D01* -X139222623Y-127762081D01* -X139215683Y-127749097D01* -X139204060Y-127710781D01* -X139145690Y-127601579D01* -X139067138Y-127505862D01* -X139050169Y-127491936D01* -X139037871Y-127476951D01* -X139037870Y-127476950D01* -X139006849Y-127439151D01* -X138969050Y-127408130D01* -X138481674Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X138481674Y-126920754D01* -X137894874Y-126333955D01* -X137863849Y-126296151D01* -X137713011Y-126172361D01* -X137540920Y-126080377D01* -X137354192Y-126023733D01* -X137160000Y-126004607D01* -X136965809Y-126023733D01* -X136779081Y-126080377D01* -X136720083Y-126111912D01* -X136606990Y-126172361D01* -X136456151Y-126296151D01* -X136332361Y-126446990D01* -X136252290Y-126596794D01* -X136240378Y-126619080D01* -X136183734Y-126805808D01* -X136173848Y-126906179D01* -X136164608Y-127000000D01* -X122429355Y-127000000D01* -X121467443Y-126038088D01* -X129721400Y-126038088D01* -X129721400Y-126161912D01* -X129745556Y-126283356D01* -X129792941Y-126397754D01* -X129861734Y-126500709D01* -X129949291Y-126588266D01* -X130052246Y-126657059D01* -X130166644Y-126704444D01* -X130288088Y-126728600D01* -X130411912Y-126728600D01* -X130533356Y-126704444D01* -X130647754Y-126657059D01* -X130750709Y-126588266D01* -X130838266Y-126500709D01* -X130907059Y-126397754D01* -X130954444Y-126283356D01* -X130978600Y-126161912D01* -X130978600Y-126038088D01* -X132121400Y-126038088D01* -X132121400Y-126161912D01* -X132145556Y-126283356D01* -X132192941Y-126397754D01* -X132261734Y-126500709D01* -X132349291Y-126588266D01* -X132452246Y-126657059D01* -X132566644Y-126704444D01* -X132688088Y-126728600D01* -X132811912Y-126728600D01* -X132933356Y-126704444D01* -X133047754Y-126657059D01* -X133150709Y-126588266D01* -X133238266Y-126500709D01* -X133307059Y-126397754D01* -X133354444Y-126283356D01* -X133378600Y-126161912D01* -X133378600Y-126038088D01* -X133368655Y-125988088D01* -X134571400Y-125988088D01* -X134571400Y-126111912D01* -X134595556Y-126233356D01* -X134642941Y-126347754D01* -X134711734Y-126450709D01* -X134799291Y-126538266D01* -X134902246Y-126607059D01* -X135016644Y-126654444D01* -X135138088Y-126678600D01* -X135261912Y-126678600D01* -X135383356Y-126654444D01* -X135497754Y-126607059D01* -X135600709Y-126538266D01* -X135688266Y-126450709D01* -X135757059Y-126347754D01* -X135804444Y-126233356D01* -X135828600Y-126111912D01* -X135828600Y-125988088D01* -X135804444Y-125866644D01* -X135757059Y-125752246D01* -X135688266Y-125649291D01* -X135600709Y-125561734D01* -X135497754Y-125492941D01* -X135383356Y-125445556D01* -X135261912Y-125421400D01* -X135138088Y-125421400D01* -X135016644Y-125445556D01* -X134902246Y-125492941D01* -X134799291Y-125561734D01* -X134711734Y-125649291D01* -X134642941Y-125752246D01* -X134595556Y-125866644D01* -X134571400Y-125988088D01* -X133368655Y-125988088D01* -X133354444Y-125916644D01* -X133307059Y-125802246D01* -X133238266Y-125699291D01* -X133150709Y-125611734D01* -X133047754Y-125542941D01* -X132933356Y-125495556D01* -X132811912Y-125471400D01* -X132688088Y-125471400D01* -X132566644Y-125495556D01* -X132452246Y-125542941D01* -X132349291Y-125611734D01* -X132261734Y-125699291D01* -X132192941Y-125802246D01* -X132145556Y-125916644D01* -X132121400Y-126038088D01* -X130978600Y-126038088D01* -X130954444Y-125916644D01* -X130907059Y-125802246D01* -X130838266Y-125699291D01* -X130750709Y-125611734D01* -X130647754Y-125542941D01* -X130533356Y-125495556D01* -X130411912Y-125471400D01* -X130288088Y-125471400D01* -X130166644Y-125495556D01* -X130052246Y-125542941D01* -X129949291Y-125611734D01* -X129861734Y-125699291D01* -X129792941Y-125802246D01* -X129745556Y-125916644D01* -X129721400Y-126038088D01* -X121467443Y-126038088D01* -X120625226Y-125195872D01* -X120615716Y-125184284D01* -X120569487Y-125146345D01* -X120516744Y-125118154D01* -X120459516Y-125100794D01* -X120414904Y-125096400D01* -X120400000Y-125094932D01* -X120385096Y-125096400D01* -X116972749Y-125096400D01* -X116971753Y-125094910D01* -X116905090Y-125028247D01* -X116826702Y-124975870D01* -X116739603Y-124939792D01* -X116647138Y-124921400D01* -X116552862Y-124921400D01* -X116551104Y-124921750D01* -X116417442Y-124788088D01* -X128521400Y-124788088D01* -X128521400Y-124911912D01* -X128545556Y-125033356D01* -X128592941Y-125147754D01* -X128661734Y-125250709D01* -X128749291Y-125338266D01* -X128852246Y-125407059D01* -X128966644Y-125454444D01* -X129088088Y-125478600D01* -X129211912Y-125478600D01* -X129333356Y-125454444D01* -X129447754Y-125407059D01* -X129550709Y-125338266D01* -X129638266Y-125250709D01* -X129707059Y-125147754D01* -X129754444Y-125033356D01* -X129778600Y-124911912D01* -X129778600Y-124788088D01* -X130921400Y-124788088D01* -X130921400Y-124911912D01* -X130945556Y-125033356D01* -X130992941Y-125147754D01* -X131061734Y-125250709D01* -X131149291Y-125338266D01* -X131252246Y-125407059D01* -X131366644Y-125454444D01* -X131488088Y-125478600D01* -X131611912Y-125478600D01* -X131733356Y-125454444D01* -X131847754Y-125407059D01* -X131950709Y-125338266D01* -X132038266Y-125250709D01* -X132107059Y-125147754D01* -X132154444Y-125033356D01* -X132178600Y-124911912D01* -X132178600Y-124838088D01* -X133371400Y-124838088D01* -X133371400Y-124961912D01* -X133395556Y-125083356D01* -X133442941Y-125197754D01* -X133511734Y-125300709D01* -X133599291Y-125388266D01* -X133702246Y-125457059D01* -X133816644Y-125504444D01* -X133938088Y-125528600D01* -X134061912Y-125528600D01* -X134183356Y-125504444D01* -X134297754Y-125457059D01* -X134400709Y-125388266D01* -X134488266Y-125300709D01* -X134557059Y-125197754D01* -X134604444Y-125083356D01* -X134628600Y-124961912D01* -X134628600Y-124838088D01* -X134604444Y-124716644D01* -X134557059Y-124602246D01* -X134488266Y-124499291D01* -X134400709Y-124411734D01* -X134297754Y-124342941D01* -X134183356Y-124295556D01* -X134061912Y-124271400D01* -X133938088Y-124271400D01* -X133816644Y-124295556D01* -X133702246Y-124342941D01* -X133599291Y-124411734D01* -X133511734Y-124499291D01* -X133442941Y-124602246D01* -X133395556Y-124716644D01* -X133371400Y-124838088D01* -X132178600Y-124838088D01* -X132178600Y-124788088D01* -X132154444Y-124666644D01* -X132107059Y-124552246D01* -X132038266Y-124449291D01* -X131950709Y-124361734D01* -X131847754Y-124292941D01* -X131733356Y-124245556D01* -X131611912Y-124221400D01* -X131488088Y-124221400D01* -X131366644Y-124245556D01* -X131252246Y-124292941D01* -X131149291Y-124361734D01* -X131061734Y-124449291D01* -X130992941Y-124552246D01* -X130945556Y-124666644D01* -X130921400Y-124788088D01* -X129778600Y-124788088D01* -X129754444Y-124666644D01* -X129707059Y-124552246D01* -X129638266Y-124449291D01* -X129550709Y-124361734D01* -X129447754Y-124292941D01* -X129333356Y-124245556D01* -X129211912Y-124221400D01* -X129088088Y-124221400D01* -X128966644Y-124245556D01* -X128852246Y-124292941D01* -X128749291Y-124361734D01* -X128661734Y-124449291D01* -X128592941Y-124552246D01* -X128545556Y-124666644D01* -X128521400Y-124788088D01* -X116417442Y-124788088D01* -X115232216Y-123602862D01* -X117321400Y-123602862D01* -X117321400Y-123697138D01* -X117339792Y-123789603D01* -X117375870Y-123876702D01* -X117428247Y-123955090D01* -X117494910Y-124021753D01* -X117573298Y-124074130D01* -X117660397Y-124110208D01* -X117752862Y-124128600D01* -X117847138Y-124128600D01* -X117939603Y-124110208D01* -X118026702Y-124074130D01* -X118105090Y-124021753D01* -X118171753Y-123955090D01* -X118224130Y-123876702D01* -X118260208Y-123789603D01* -X118278600Y-123697138D01* -X118278600Y-123602862D01* -X118260208Y-123510397D01* -X118224130Y-123423298D01* -X118171753Y-123344910D01* -X118105090Y-123278247D01* -X118026702Y-123225870D01* -X117939603Y-123189792D01* -X117847138Y-123171400D01* -X117752862Y-123171400D01* -X117660397Y-123189792D01* -X117573298Y-123225870D01* -X117494910Y-123278247D01* -X117428247Y-123344910D01* -X117375870Y-123423298D01* -X117339792Y-123510397D01* -X117321400Y-123602862D01* -X115232216Y-123602862D01* -X114475226Y-122845872D01* -X114465716Y-122834284D01* -X114419487Y-122796345D01* -X114366744Y-122768154D01* -X114309516Y-122750794D01* -X114264904Y-122746400D01* -X114250000Y-122744932D01* -X114235096Y-122746400D01* -X109322749Y-122746400D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109217099Y-122652862D01* -X116371400Y-122652862D01* -X116371400Y-122747138D01* -X116389792Y-122839603D01* -X116425870Y-122926702D01* -X116478247Y-123005090D01* -X116544910Y-123071753D01* -X116623298Y-123124130D01* -X116710397Y-123160208D01* -X116802862Y-123178600D01* -X116897138Y-123178600D01* -X116989603Y-123160208D01* -X117076702Y-123124130D01* -X117155090Y-123071753D01* -X117221753Y-123005090D01* -X117274130Y-122926702D01* -X117310208Y-122839603D01* -X117328600Y-122747138D01* -X117328600Y-122652862D01* -X118171400Y-122652862D01* -X118171400Y-122747138D01* -X118189792Y-122839603D01* -X118225870Y-122926702D01* -X118278247Y-123005090D01* -X118344910Y-123071753D01* -X118423298Y-123124130D01* -X118510397Y-123160208D01* -X118602862Y-123178600D01* -X118697138Y-123178600D01* -X118789603Y-123160208D01* -X118876702Y-123124130D01* -X118955090Y-123071753D01* -X119021753Y-123005090D01* -X119074130Y-122926702D01* -X119110208Y-122839603D01* -X119128600Y-122747138D01* -X119128600Y-122652862D01* -X119110208Y-122560397D01* -X119074130Y-122473298D01* -X119021753Y-122394910D01* -X118955090Y-122328247D01* -X118876702Y-122275870D01* -X118789603Y-122239792D01* -X118697138Y-122221400D01* -X118602862Y-122221400D01* -X118510397Y-122239792D01* -X118423298Y-122275870D01* -X118344910Y-122328247D01* -X118278247Y-122394910D01* -X118225870Y-122473298D01* -X118189792Y-122560397D01* -X118171400Y-122652862D01* -X117328600Y-122652862D01* -X117310208Y-122560397D01* -X117274130Y-122473298D01* -X117221753Y-122394910D01* -X117155090Y-122328247D01* -X117076702Y-122275870D01* -X116989603Y-122239792D01* -X116897138Y-122221400D01* -X116802862Y-122221400D01* -X116710397Y-122239792D01* -X116623298Y-122275870D01* -X116544910Y-122328247D01* -X116478247Y-122394910D01* -X116425870Y-122473298D01* -X116389792Y-122560397D01* -X116371400Y-122652862D01* -X109217099Y-122652862D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108723298Y-123474130D01* -X108810397Y-123510208D01* -X108902862Y-123528600D01* -X108997138Y-123528600D01* -X109089603Y-123510208D01* -X109176702Y-123474130D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109322749Y-123353600D01* -X114124246Y-123353600D01* -X116121750Y-125351104D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X116972749Y-125703600D01* -X120274246Y-125703600D01* -X124156400Y-129585755D01* -X124156401Y-131176087D01* -X124156400Y-131176097D01* -X124156400Y-131382294D01* -X124079000Y-131382294D01* -X123959857Y-131394029D01* -X123845293Y-131428781D01* -X123739710Y-131485217D01* -X123726815Y-131495800D01* -X122653185Y-131495800D01* -X122640290Y-131485217D01* -X122534707Y-131428781D01* -X122420143Y-131394029D01* -X122301000Y-131382294D01* -X121539000Y-131382294D01* -X121419857Y-131394029D01* -X121305293Y-131428781D01* -X121199710Y-131485217D01* -X121186815Y-131495800D01* -X120370600Y-131495800D01* -X120370600Y-129842654D01* -X120375392Y-129793999D01* -X120370600Y-129745345D01* -X120370600Y-129745335D01* -X120356267Y-129599808D01* -X120299623Y-129413080D01* -X120207639Y-129240989D01* -X120083849Y-129090151D01* -X120046045Y-129059126D01* -X119792048Y-128805129D01* -X119679010Y-128712361D01* -X119506920Y-128620378D01* -X119320191Y-128563734D01* -X119126000Y-128544608D01* -X118931809Y-128563734D01* -X118745080Y-128620378D01* -X118572990Y-128712361D01* -X118422151Y-128836151D01* -X118298361Y-128986990D01* -X118206378Y-129159080D01* -X118149734Y-129345809D01* -X118130608Y-129540000D01* -X118149734Y-129734191D01* -X118206378Y-129920920D01* -X118298361Y-130093010D01* -X118389401Y-130203942D01* -X118389401Y-131495800D01* -X117830600Y-131495800D01* -X117830600Y-130203941D01* -X117921639Y-130093010D01* -X118013622Y-129920920D01* -X118070266Y-129734192D01* -X118089392Y-129540001D01* -X118070266Y-129345809D01* -X118013622Y-129159080D01* -X117921639Y-128986990D01* -X117797848Y-128836152D01* -X117647010Y-128712361D01* -X117474920Y-128620378D01* -X117288191Y-128563734D01* -X117093999Y-128544608D01* -X116899808Y-128563734D01* -X116713080Y-128620378D01* -X116540990Y-128712361D01* -X116427952Y-128805129D01* -X116173951Y-129059130D01* -X116136152Y-129090151D01* -X116105131Y-129127950D01* -X116105129Y-129127952D01* -X116012361Y-129240990D01* -X115920421Y-129413000D01* -X115920378Y-129413080D01* -X115863734Y-129599808D01* -X115849745Y-129741845D01* -X115844608Y-129794000D01* -X115849401Y-129842664D01* -X115849401Y-131495800D01* -X115033185Y-131495800D01* -X115020290Y-131485217D01* -X114914707Y-131428781D01* -X114800143Y-131394029D01* -X114681000Y-131382294D01* -X113919000Y-131382294D01* -X113799857Y-131394029D01* -X113685293Y-131428781D01* -X113579710Y-131485217D01* -X113566815Y-131495800D01* -X112493185Y-131495800D01* -X112480290Y-131485217D01* -X112374707Y-131428781D01* -X112260143Y-131394029D01* -X112141000Y-131382294D01* -X111379000Y-131382294D01* -X111259857Y-131394029D01* -X111145293Y-131428781D01* -X111039710Y-131485217D01* -X111026815Y-131495800D01* -X109953185Y-131495800D01* -X109940290Y-131485217D01* -X109834707Y-131428781D01* -X109720143Y-131394029D01* -X109601000Y-131382294D01* -X108839000Y-131382294D01* -X108719857Y-131394029D01* -X108605293Y-131428781D01* -X108499710Y-131485217D01* -X108486815Y-131495800D01* -X107413185Y-131495800D01* -X107400290Y-131485217D01* -X107294707Y-131428781D01* -X107180143Y-131394029D01* -X107061000Y-131382294D01* -X106299000Y-131382294D01* -X106179857Y-131394029D01* -X106065293Y-131428781D01* -X105959710Y-131485217D01* -X105946815Y-131495800D01* -X104873185Y-131495800D01* -X104860290Y-131485217D01* -X104754707Y-131428781D01* -X104640143Y-131394029D01* -X104521000Y-131382294D01* -X103759000Y-131382294D01* -X103639857Y-131394029D01* -X103525293Y-131428781D01* -X103419710Y-131485217D01* -X103406815Y-131495800D01* -X102333185Y-131495800D01* -X102320290Y-131485217D01* -X102214707Y-131428781D01* -X102100143Y-131394029D01* -X101981000Y-131382294D01* -X101903600Y-131382294D01* -X101903600Y-131316754D01* -X102614755Y-130605600D01* -X104379096Y-130605600D01* -X104394000Y-130607068D01* -X104408904Y-130605600D01* -X104453516Y-130601206D01* -X104510744Y-130583846D01* -X104563487Y-130555655D01* -X104609716Y-130517716D01* -X104619226Y-130506128D01* -X108004139Y-127121217D01* -X108015716Y-127111716D01* -X108045757Y-127075111D01* -X109124779Y-128154134D01* -X109134284Y-128165716D01* -X109180513Y-128203655D01* -X109233256Y-128231846D01* -X109276395Y-128244932D01* -X109290484Y-128249206D01* -X109350000Y-128255068D01* -X109364904Y-128253600D01* -X112777251Y-128253600D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113610208Y-127810397D01* -X113574130Y-127723298D01* -X113521753Y-127644910D01* -X113455090Y-127578247D01* -X113376702Y-127525870D01* -X113289603Y-127489792D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112777251Y-127646400D01* -X109475755Y-127646400D01* -X108403600Y-126574246D01* -X108403600Y-124267369D01* -X108439603Y-124260208D01* -X108526702Y-124224130D01* -X108605090Y-124171753D01* -X108671753Y-124105090D01* -X108724130Y-124026702D01* -X108760208Y-123939603D01* -X108778600Y-123847138D01* -X108778600Y-123752862D01* -X108760208Y-123660397D01* -X108724130Y-123573298D01* -X108671753Y-123494910D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108103600Y-123363318D01* -X108103600Y-123214903D01* -X108104808Y-123202640D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107423298Y-123474130D01* -X107496400Y-123504410D01* -X107496401Y-126770243D01* -X104268246Y-129998400D01* -X102503904Y-129998400D01* -X102489000Y-129996932D01* -X102474096Y-129998400D01* -X102429484Y-130002794D01* -X102372256Y-130020154D01* -X102319513Y-130048345D01* -X102273284Y-130086284D01* -X102263779Y-130097866D01* -X101395872Y-130965774D01* -X101384284Y-130975284D01* -X101346345Y-131021514D01* -X101318154Y-131074257D01* -X101303211Y-131123516D01* -X101300794Y-131131485D01* -X101294932Y-131191000D01* -X101296400Y-131205904D01* -X101296400Y-131382294D01* -X101219000Y-131382294D01* -X101099857Y-131394029D01* -X100985293Y-131428781D01* -X100879710Y-131485217D01* -X100866815Y-131495800D01* -X99793185Y-131495800D01* -X99780290Y-131485217D01* -X99674707Y-131428781D01* -X99560143Y-131394029D01* -X99441000Y-131382294D01* -X99363600Y-131382294D01* -X99363600Y-131316754D01* -X101176756Y-129503600D01* -X102306096Y-129503600D01* -X102321000Y-129505068D01* -X102335904Y-129503600D01* -X102380516Y-129499206D01* -X102437744Y-129481846D01* -X102490487Y-129453655D01* -X102536716Y-129415716D01* -X102546226Y-129404128D01* -X107004134Y-124946221D01* -X107015716Y-124936716D01* -X107053655Y-124890487D01* -X107066346Y-124866744D01* -X107081846Y-124837745D01* -X107099206Y-124780516D01* -X107105068Y-124721000D01* -X107103600Y-124706096D01* -X107103600Y-124267369D01* -X107139603Y-124260208D01* -X107226702Y-124224130D01* -X107305090Y-124171753D01* -X107371753Y-124105090D01* -X107424130Y-124026702D01* -X107460208Y-123939603D01* -X107478600Y-123847138D01* -X107478600Y-123752862D01* -X107460208Y-123660397D01* -X107424130Y-123573298D01* -X107371753Y-123494910D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106803600Y-123363318D01* -X106803600Y-123214903D01* -X106804808Y-123202640D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106123298Y-123474130D01* -X106196401Y-123504411D01* -X106196400Y-124424245D01* -X103543246Y-127077400D01* -X85572749Y-127077400D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84739792Y-127520603D01* -X84775870Y-127607702D01* -X84828247Y-127686090D01* -X84894910Y-127752753D01* -X84973298Y-127805130D01* -X85060397Y-127841208D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85572749Y-127684600D01* -X103407046Y-127684600D01* -X102195246Y-128896400D01* -X101065903Y-128896400D01* -X101050999Y-128894932D01* -X101004764Y-128899486D01* -X100991484Y-128900794D01* -X100934256Y-128918154D01* -X100881513Y-128946345D01* -X100835284Y-128984284D01* -X100825783Y-128995861D01* -X98855872Y-130965774D01* -X98844284Y-130975284D01* -X98806345Y-131021514D01* -X98778154Y-131074257D01* -X98763211Y-131123516D01* -X98760794Y-131131485D01* -X98754932Y-131191000D01* -X98756400Y-131205904D01* -X98756400Y-131382294D01* -X98679000Y-131382294D01* -X98559857Y-131394029D01* -X98445293Y-131428781D01* -X98339710Y-131485217D01* -X98326815Y-131495800D01* -X97253185Y-131495800D01* -X97240290Y-131485217D01* -X97134707Y-131428781D01* -X97020143Y-131394029D01* -X96901000Y-131382294D01* -X96823600Y-131382294D01* -X96823600Y-131205903D01* -X96825068Y-131190999D01* -X96819206Y-131131484D01* -X96811096Y-131104750D01* -X96801846Y-131074256D01* -X96773655Y-131021513D01* -X96735716Y-130975284D01* -X96724135Y-130965780D01* -X94104226Y-128345872D01* -X94094716Y-128334284D01* -X94048487Y-128296345D01* -X93995744Y-128268154D01* -X93938516Y-128250794D01* -X93893904Y-128246400D01* -X93879000Y-128244932D01* -X93864096Y-128246400D01* -X85125755Y-128246400D01* -X83778250Y-126898896D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83526702Y-126425870D01* -X83439603Y-126389792D01* -X83347138Y-126371400D01* -X83252862Y-126371400D01* -X83160397Y-126389792D01* -X83073298Y-126425870D01* -X82994910Y-126478247D01* -X82953600Y-126519557D01* -X82953600Y-126472749D01* -X82955090Y-126471753D01* -X83021753Y-126405090D01* -X83074130Y-126326702D01* -X83110208Y-126239603D01* -X83128600Y-126147138D01* -X83128600Y-126052862D01* -X83110208Y-125960397D01* -X83074130Y-125873298D01* -X83021753Y-125794910D01* -X82955090Y-125728247D01* -X82876702Y-125675870D01* -X82789603Y-125639792D01* -X82697138Y-125621400D01* -X82602862Y-125621400D01* -X82510397Y-125639792D01* -X82423298Y-125675870D01* -X82344910Y-125728247D01* -X82278247Y-125794910D01* -X82225870Y-125873298D01* -X82189792Y-125960397D01* -X82171400Y-126052862D01* -X82171400Y-126147138D01* -X82189792Y-126239603D01* -X82225870Y-126326702D01* -X82278247Y-126405090D01* -X82344910Y-126471753D01* -X82346400Y-126472749D01* -X82346400Y-126519557D01* -X82305090Y-126478247D01* -X82226702Y-126425870D01* -X82139603Y-126389792D01* -X82047138Y-126371400D01* -X81952862Y-126371400D01* -X81860397Y-126389792D01* -X81773298Y-126425870D01* -X81694910Y-126478247D01* -X81653600Y-126519557D01* -X81653600Y-126472749D01* -X81655090Y-126471753D01* -X81721753Y-126405090D01* -X81774130Y-126326702D01* -X81810208Y-126239603D01* -X81828600Y-126147138D01* -X81828600Y-126052862D01* -X81810208Y-125960397D01* -X81774130Y-125873298D01* -X81721753Y-125794910D01* -X81655090Y-125728247D01* -X81576702Y-125675870D01* -X81489603Y-125639792D01* -X81397138Y-125621400D01* -X81302862Y-125621400D01* -X81210397Y-125639792D01* -X81123298Y-125675870D01* -X81044910Y-125728247D01* -X80978247Y-125794910D01* -X80925870Y-125873298D01* -X80889792Y-125960397D01* -X80871400Y-126052862D01* -X80871400Y-126147138D01* -X80889792Y-126239603D01* -X80925870Y-126326702D01* -X80978247Y-126405090D01* -X81044910Y-126471753D01* -X81046400Y-126472749D01* -X81046400Y-126519557D01* -X81005090Y-126478247D01* -X80926702Y-126425870D01* -X80839603Y-126389792D01* -X80747138Y-126371400D01* -X80652862Y-126371400D01* -X80560397Y-126389792D01* -X80473298Y-126425870D01* -X80394910Y-126478247D01* -X80353600Y-126519557D01* -X80353600Y-126472749D01* -X80355090Y-126471753D01* -X80421753Y-126405090D01* -X80474130Y-126326702D01* -X80510208Y-126239603D01* -X80528600Y-126147138D01* -X80528600Y-126052862D01* -X80510208Y-125960397D01* -X80474130Y-125873298D01* -X80421753Y-125794910D01* -X80355090Y-125728247D01* -X80276702Y-125675870D01* -X80189603Y-125639792D01* -X80097138Y-125621400D01* -X80002862Y-125621400D01* -X79910397Y-125639792D01* -X79823298Y-125675870D01* -X79744910Y-125728247D01* -X79678247Y-125794910D01* -X79625870Y-125873298D01* -X79589792Y-125960397D01* -X79571400Y-126052862D01* -X79571400Y-126147138D01* -X79589792Y-126239603D01* -X79625870Y-126326702D01* -X79678247Y-126405090D01* -X79744910Y-126471753D01* -X79746400Y-126472749D01* -X79746401Y-126519558D01* -X79705090Y-126478247D01* -X79626702Y-126425870D01* -X79539603Y-126389792D01* -X79447138Y-126371400D01* -X79352862Y-126371400D01* -X79260397Y-126389792D01* -X79173298Y-126425870D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79448896Y-127328250D01* -X80976401Y-128855756D01* -X80976401Y-131382294D01* -X80899000Y-131382294D01* -X80779857Y-131394029D01* -X80665293Y-131428781D01* -X80559710Y-131485217D01* -X80546815Y-131495800D01* -X79473185Y-131495800D01* -X79460290Y-131485217D01* -X79354707Y-131428781D01* -X79240143Y-131394029D01* -X79121000Y-131382294D01* -X79053600Y-131382294D01* -X79053600Y-127564903D01* -X79055068Y-127549999D01* -X79049206Y-127490483D01* -X79041646Y-127465563D01* -X79031846Y-127433256D01* -X79003655Y-127380513D01* -X78965716Y-127334284D01* -X78954140Y-127324784D01* -X78653600Y-127024246D01* -X78653600Y-126625754D01* -X78701104Y-126578250D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79121753Y-126405090D01* -X79174130Y-126326702D01* -X79210208Y-126239603D01* -X79228600Y-126147138D01* -X79228600Y-126052862D01* -X79210208Y-125960397D01* -X79174130Y-125873298D01* -X79121753Y-125794910D01* -X79055090Y-125728247D01* -X78976702Y-125675870D01* -X78889603Y-125639792D01* -X78797138Y-125621400D01* -X78702862Y-125621400D01* -X78610397Y-125639792D01* -X78523298Y-125675870D01* -X78444910Y-125728247D01* -X78378247Y-125794910D01* -X78325870Y-125873298D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78271750Y-126148896D01* -X78145867Y-126274779D01* -X78134285Y-126284284D01* -X78096346Y-126330513D01* -X78074492Y-126371400D01* -X78068155Y-126383256D01* -X78050794Y-126440485D01* -X78044932Y-126500000D01* -X78046401Y-126514914D01* -X78046400Y-127135095D01* -X78044932Y-127150000D01* -X78048285Y-127184038D01* -X78050794Y-127209515D01* -X78068154Y-127266743D01* -X78096345Y-127319486D01* -X78134284Y-127365716D01* -X78145871Y-127375225D01* -X78446400Y-127675756D01* -X78446400Y-131382294D01* -X78359000Y-131382294D01* -X78239857Y-131394029D01* -X78125293Y-131428781D01* -X78019710Y-131485217D01* -X78006815Y-131495800D01* -X77190600Y-131495800D01* -X77190600Y-129823319D01* -X78009050Y-129004870D01* -X78046849Y-128973849D01* -X78078276Y-128935556D01* -X78170639Y-128823011D01* -X78196186Y-128775216D01* -X78262623Y-128650920D01* -X78319267Y-128464192D01* -X78338393Y-128270000D01* -X78319267Y-128075808D01* -X78262623Y-127889080D01* -X78170639Y-127716989D01* -X78046849Y-127566151D01* -X77896011Y-127442361D01* -X77723920Y-127350377D01* -X77537192Y-127293733D01* -X77391665Y-127279400D01* -X77391654Y-127279400D01* -X77342999Y-127274608D01* -X77294345Y-127279400D01* -X76375654Y-127279400D01* -X76326999Y-127274608D01* -X76278345Y-127279400D01* -X76278335Y-127279400D01* -X76132808Y-127293733D01* -X75946080Y-127350377D01* -X75773989Y-127442361D01* -X75623151Y-127566151D01* -X75592126Y-127603955D01* -X75533955Y-127662126D01* -X75496151Y-127693151D01* -X75372361Y-127843989D01* -X75280377Y-128016081D01* -X75223733Y-128202809D01* -X75209400Y-128348336D01* -X75209400Y-128348346D01* -X75204608Y-128397000D01* -X75209400Y-128445655D01* -X75209401Y-129364327D01* -X75209400Y-129364335D01* -X75209400Y-129364346D01* -X75204608Y-129413000D01* -X75209400Y-129461654D01* -X75209400Y-129588664D01* -X75209401Y-129588674D01* -X75209401Y-131495800D01* -X48140544Y-131495800D01* -X47522951Y-131372281D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46177200Y-129532456D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48906680Y-127234693D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48846027Y-95757879D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-93900000D01* -X54294932Y-93900000D01* -X54296400Y-93914904D01* -X54296401Y-123735086D01* -X54294932Y-123750000D01* -X54300794Y-123809515D01* -X54315962Y-123859515D01* -X54318155Y-123866744D01* -X54346346Y-123919487D01* -X54384285Y-123965716D01* -X54395867Y-123975221D01* -X56824783Y-126404139D01* -X56834284Y-126415716D01* -X56880513Y-126453655D01* -X56933256Y-126481846D01* -X56976484Y-126494959D01* -X56990484Y-126499206D01* -X57049999Y-126505068D01* -X57064903Y-126503600D01* -X66235096Y-126503600D01* -X66250000Y-126505068D01* -X66264904Y-126503600D01* -X66309516Y-126499206D01* -X66366744Y-126481846D01* -X66419487Y-126453655D01* -X66465716Y-126415716D01* -X66475226Y-126404128D01* -X67768135Y-125111220D01* -X67779716Y-125101716D01* -X67817655Y-125055487D01* -X67845846Y-125002744D01* -X67863206Y-124945516D01* -X67867600Y-124900904D01* -X67867600Y-124900903D01* -X67869068Y-124886000D01* -X67867600Y-124871096D01* -X67867600Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77794910Y-124171753D01* -X77873298Y-124224130D01* -X77960397Y-124260208D01* -X78052862Y-124278600D01* -X78147138Y-124278600D01* -X78239603Y-124260208D01* -X78326702Y-124224130D01* -X78405090Y-124171753D01* -X78471753Y-124105090D01* -X78524130Y-124026702D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X86821400Y-123752862D01* -X86821400Y-123847138D01* -X86839792Y-123939603D01* -X86875870Y-124026702D01* -X86928247Y-124105090D01* -X86994910Y-124171753D01* -X87073298Y-124224130D01* -X87160397Y-124260208D01* -X87252862Y-124278600D01* -X87347138Y-124278600D01* -X87439603Y-124260208D01* -X87526702Y-124224130D01* -X87605090Y-124171753D01* -X87671753Y-124105090D01* -X87724130Y-124026702D01* -X87760208Y-123939603D01* -X87778600Y-123847138D01* -X87778600Y-123752862D01* -X96021400Y-123752862D01* -X96021400Y-123847138D01* -X96039792Y-123939603D01* -X96075870Y-124026702D01* -X96128247Y-124105090D01* -X96194910Y-124171753D01* -X96273298Y-124224130D01* -X96360397Y-124260208D01* -X96452862Y-124278600D01* -X96547138Y-124278600D01* -X96639603Y-124260208D01* -X96726702Y-124224130D01* -X96805090Y-124171753D01* -X96871753Y-124105090D01* -X96924130Y-124026702D01* -X96960208Y-123939603D01* -X96978600Y-123847138D01* -X96978600Y-123752862D01* -X105221400Y-123752862D01* -X105221400Y-123847138D01* -X105239792Y-123939603D01* -X105275870Y-124026702D01* -X105328247Y-124105090D01* -X105394910Y-124171753D01* -X105473298Y-124224130D01* -X105560397Y-124260208D01* -X105652862Y-124278600D01* -X105747138Y-124278600D01* -X105839603Y-124260208D01* -X105926702Y-124224130D01* -X106005090Y-124171753D01* -X106071753Y-124105090D01* -X106124130Y-124026702D01* -X106160208Y-123939603D01* -X106178600Y-123847138D01* -X106178600Y-123752862D01* -X106160208Y-123660397D01* -X106124130Y-123573298D01* -X106071753Y-123494910D01* -X106005090Y-123428247D01* -X105926702Y-123375870D01* -X105839603Y-123339792D01* -X105747138Y-123321400D01* -X105652862Y-123321400D01* -X105560397Y-123339792D01* -X105473298Y-123375870D01* -X105394910Y-123428247D01* -X105328247Y-123494910D01* -X105275870Y-123573298D01* -X105239792Y-123660397D01* -X105221400Y-123752862D01* -X96978600Y-123752862D01* -X96960208Y-123660397D01* -X96924130Y-123573298D01* -X96871753Y-123494910D01* -X96805090Y-123428247D01* -X96726702Y-123375870D01* -X96639603Y-123339792D01* -X96547138Y-123321400D01* -X96452862Y-123321400D01* -X96360397Y-123339792D01* -X96273298Y-123375870D01* -X96194910Y-123428247D01* -X96128247Y-123494910D01* -X96075870Y-123573298D01* -X96039792Y-123660397D01* -X96021400Y-123752862D01* -X87778600Y-123752862D01* -X87760208Y-123660397D01* -X87724130Y-123573298D01* -X87671753Y-123494910D01* -X87605090Y-123428247D01* -X87526702Y-123375870D01* -X87439603Y-123339792D01* -X87347138Y-123321400D01* -X87252862Y-123321400D01* -X87160397Y-123339792D01* -X87073298Y-123375870D01* -X86994910Y-123428247D01* -X86928247Y-123494910D01* -X86875870Y-123573298D01* -X86839792Y-123660397D01* -X86821400Y-123752862D01* -X78578600Y-123752862D01* -X78578250Y-123751104D01* -X82226492Y-120102862D01* -X86821400Y-120102862D01* -X86821400Y-120197138D01* -X86839792Y-120289603D01* -X86875870Y-120376702D01* -X86928247Y-120455090D01* -X86994910Y-120521753D01* -X87073298Y-120574130D01* -X87160397Y-120610208D01* -X87252862Y-120628600D01* -X87347138Y-120628600D01* -X87439603Y-120610208D01* -X87526702Y-120574130D01* -X87605090Y-120521753D01* -X87671753Y-120455090D01* -X87724130Y-120376702D01* -X87760208Y-120289603D01* -X87778600Y-120197138D01* -X87778600Y-120102862D01* -X96021400Y-120102862D01* -X96021400Y-120197138D01* -X96039792Y-120289603D01* -X96075870Y-120376702D01* -X96128247Y-120455090D01* -X96194910Y-120521753D01* -X96273298Y-120574130D01* -X96360397Y-120610208D01* -X96452862Y-120628600D01* -X96547138Y-120628600D01* -X96639603Y-120610208D01* -X96726702Y-120574130D01* -X96805090Y-120521753D01* -X96871753Y-120455090D01* -X96924130Y-120376702D01* -X96960208Y-120289603D01* -X96978600Y-120197138D01* -X96978600Y-120102862D01* -X105221400Y-120102862D01* -X105221400Y-120197138D01* -X105239792Y-120289603D01* -X105275870Y-120376702D01* -X105328247Y-120455090D01* -X105394910Y-120521753D01* -X105473298Y-120574130D01* -X105560397Y-120610208D01* -X105652862Y-120628600D01* -X105747138Y-120628600D01* -X105839603Y-120610208D01* -X105926702Y-120574130D01* -X106005090Y-120521753D01* -X106071753Y-120455090D01* -X106124130Y-120376702D01* -X106160208Y-120289603D01* -X106178600Y-120197138D01* -X106178600Y-120102862D01* -X106160208Y-120010397D01* -X106124130Y-119923298D01* -X106071753Y-119844910D01* -X106005090Y-119778247D01* -X105926702Y-119725870D01* -X105839603Y-119689792D01* -X105747138Y-119671400D01* -X105652862Y-119671400D01* -X105560397Y-119689792D01* -X105473298Y-119725870D01* -X105394910Y-119778247D01* -X105328247Y-119844910D01* -X105275870Y-119923298D01* -X105239792Y-120010397D01* -X105221400Y-120102862D01* -X96978600Y-120102862D01* -X96960208Y-120010397D01* -X96924130Y-119923298D01* -X96871753Y-119844910D01* -X96805090Y-119778247D01* -X96726702Y-119725870D01* -X96639603Y-119689792D01* -X96547138Y-119671400D01* -X96452862Y-119671400D01* -X96360397Y-119689792D01* -X96273298Y-119725870D01* -X96194910Y-119778247D01* -X96128247Y-119844910D01* -X96075870Y-119923298D01* -X96039792Y-120010397D01* -X96021400Y-120102862D01* -X87778600Y-120102862D01* -X87760208Y-120010397D01* -X87724130Y-119923298D01* -X87671753Y-119844910D01* -X87605090Y-119778247D01* -X87526702Y-119725870D01* -X87439603Y-119689792D01* -X87347138Y-119671400D01* -X87252862Y-119671400D01* -X87160397Y-119689792D01* -X87073298Y-119725870D01* -X86994910Y-119778247D01* -X86928247Y-119844910D01* -X86875870Y-119923298D01* -X86839792Y-120010397D01* -X86821400Y-120102862D01* -X82226492Y-120102862D01* -X83226493Y-119102862D01* -X84471400Y-119102862D01* -X84471400Y-119197138D01* -X84489792Y-119289603D01* -X84525870Y-119376702D01* -X84578247Y-119455090D01* -X84644910Y-119521753D01* -X84723298Y-119574130D01* -X84810397Y-119610208D01* -X84902862Y-119628600D01* -X84997138Y-119628600D01* -X85089603Y-119610208D01* -X85176702Y-119574130D01* -X85255090Y-119521753D01* -X85321753Y-119455090D01* -X85374130Y-119376702D01* -X85410208Y-119289603D01* -X85428600Y-119197138D01* -X85428600Y-119102862D01* -X85410208Y-119010397D01* -X85407087Y-119002862D01* -X86196400Y-119002862D01* -X86196400Y-119097138D01* -X86214792Y-119189603D01* -X86250870Y-119276702D01* -X86303247Y-119355090D01* -X86369910Y-119421753D01* -X86448298Y-119474130D01* -X86535397Y-119510208D01* -X86627862Y-119528600D01* -X86722138Y-119528600D01* -X86814603Y-119510208D01* -X86901702Y-119474130D01* -X86980090Y-119421753D01* -X87046753Y-119355090D01* -X87099130Y-119276702D01* -X87135208Y-119189603D01* -X87152461Y-119102862D01* -X93671400Y-119102862D01* -X93671400Y-119197138D01* -X93689792Y-119289603D01* -X93725870Y-119376702D01* -X93778247Y-119455090D01* -X93844910Y-119521753D01* -X93923298Y-119574130D01* -X94010397Y-119610208D01* -X94102862Y-119628600D01* -X94197138Y-119628600D01* -X94289603Y-119610208D01* -X94376702Y-119574130D01* -X94455090Y-119521753D01* -X94521753Y-119455090D01* -X94574130Y-119376702D01* -X94610208Y-119289603D01* -X94628600Y-119197138D01* -X94628600Y-119102862D01* -X94610208Y-119010397D01* -X94607087Y-119002862D01* -X95396400Y-119002862D01* -X95396400Y-119097138D01* -X95414792Y-119189603D01* -X95450870Y-119276702D01* -X95503247Y-119355090D01* -X95569910Y-119421753D01* -X95648298Y-119474130D01* -X95735397Y-119510208D01* -X95827862Y-119528600D01* -X95922138Y-119528600D01* -X96014603Y-119510208D01* -X96101702Y-119474130D01* -X96180090Y-119421753D01* -X96246753Y-119355090D01* -X96299130Y-119276702D01* -X96335208Y-119189603D01* -X96352461Y-119102862D01* -X102871400Y-119102862D01* -X102871400Y-119197138D01* -X102889792Y-119289603D01* -X102925870Y-119376702D01* -X102978247Y-119455090D01* -X103044910Y-119521753D01* -X103123298Y-119574130D01* -X103210397Y-119610208D01* -X103302862Y-119628600D01* -X103397138Y-119628600D01* -X103489603Y-119610208D01* -X103576702Y-119574130D01* -X103655090Y-119521753D01* -X103721753Y-119455090D01* -X103774130Y-119376702D01* -X103810208Y-119289603D01* -X103828600Y-119197138D01* -X103828600Y-119102862D01* -X103810208Y-119010397D01* -X103807087Y-119002862D01* -X104596400Y-119002862D01* -X104596400Y-119097138D01* -X104614792Y-119189603D01* -X104650870Y-119276702D01* -X104703247Y-119355090D01* -X104769910Y-119421753D01* -X104848298Y-119474130D01* -X104935397Y-119510208D01* -X105027862Y-119528600D01* -X105122138Y-119528600D01* -X105214603Y-119510208D01* -X105301702Y-119474130D01* -X105380090Y-119421753D01* -X105446753Y-119355090D01* -X105499130Y-119276702D01* -X105535208Y-119189603D01* -X105553600Y-119097138D01* -X105553600Y-119002862D01* -X105535208Y-118910397D01* -X105499130Y-118823298D01* -X105446753Y-118744910D01* -X105380090Y-118678247D01* -X105301702Y-118625870D01* -X105214603Y-118589792D01* -X105122138Y-118571400D01* -X105027862Y-118571400D01* -X104935397Y-118589792D01* -X104848298Y-118625870D01* -X104769910Y-118678247D01* -X104703247Y-118744910D01* -X104650870Y-118823298D01* -X104614792Y-118910397D01* -X104596400Y-119002862D01* -X103807087Y-119002862D01* -X103774130Y-118923298D01* -X103721753Y-118844910D01* -X103655090Y-118778247D01* -X103576702Y-118725870D01* -X103489603Y-118689792D01* -X103397138Y-118671400D01* -X103302862Y-118671400D01* -X103210397Y-118689792D01* -X103123298Y-118725870D01* -X103044910Y-118778247D01* -X102978247Y-118844910D01* -X102925870Y-118923298D01* -X102889792Y-119010397D01* -X102871400Y-119102862D01* -X96352461Y-119102862D01* -X96353600Y-119097138D01* -X96353600Y-119002862D01* -X96335208Y-118910397D01* -X96299130Y-118823298D01* -X96246753Y-118744910D01* -X96180090Y-118678247D01* -X96101702Y-118625870D01* -X96014603Y-118589792D01* -X95922138Y-118571400D01* -X95827862Y-118571400D01* -X95735397Y-118589792D01* -X95648298Y-118625870D01* -X95569910Y-118678247D01* -X95503247Y-118744910D01* -X95450870Y-118823298D01* -X95414792Y-118910397D01* -X95396400Y-119002862D01* -X94607087Y-119002862D01* -X94574130Y-118923298D01* -X94521753Y-118844910D01* -X94455090Y-118778247D01* -X94376702Y-118725870D01* -X94289603Y-118689792D01* -X94197138Y-118671400D01* -X94102862Y-118671400D01* -X94010397Y-118689792D01* -X93923298Y-118725870D01* -X93844910Y-118778247D01* -X93778247Y-118844910D01* -X93725870Y-118923298D01* -X93689792Y-119010397D01* -X93671400Y-119102862D01* -X87152461Y-119102862D01* -X87153600Y-119097138D01* -X87153600Y-119002862D01* -X87135208Y-118910397D01* -X87099130Y-118823298D01* -X87046753Y-118744910D01* -X86980090Y-118678247D01* -X86901702Y-118625870D01* -X86814603Y-118589792D01* -X86722138Y-118571400D01* -X86627862Y-118571400D01* -X86535397Y-118589792D01* -X86448298Y-118625870D01* -X86369910Y-118678247D01* -X86303247Y-118744910D01* -X86250870Y-118823298D01* -X86214792Y-118910397D01* -X86196400Y-119002862D01* -X85407087Y-119002862D01* -X85374130Y-118923298D01* -X85321753Y-118844910D01* -X85255090Y-118778247D01* -X85176702Y-118725870D01* -X85089603Y-118689792D01* -X84997138Y-118671400D01* -X84902862Y-118671400D01* -X84810397Y-118689792D01* -X84723298Y-118725870D01* -X84644910Y-118778247D01* -X84578247Y-118844910D01* -X84525870Y-118923298D01* -X84489792Y-119010397D01* -X84471400Y-119102862D01* -X83226493Y-119102862D01* -X84291267Y-118038088D01* -X85171400Y-118038088D01* -X85171400Y-118161912D01* -X85195556Y-118283356D01* -X85242941Y-118397754D01* -X85311734Y-118500709D01* -X85399291Y-118588266D01* -X85502246Y-118657059D01* -X85616644Y-118704444D01* -X85738088Y-118728600D01* -X85861912Y-118728600D01* -X85983356Y-118704444D01* -X86097754Y-118657059D01* -X86200709Y-118588266D01* -X86288266Y-118500709D01* -X86357059Y-118397754D01* -X86404444Y-118283356D01* -X86428600Y-118161912D01* -X86428600Y-118038088D01* -X86404444Y-117916644D01* -X86398736Y-117902862D01* -X86821400Y-117902862D01* -X86821400Y-117997138D01* -X86839792Y-118089603D01* -X86875870Y-118176702D01* -X86928247Y-118255090D01* -X86994910Y-118321753D01* -X87073298Y-118374130D01* -X87160397Y-118410208D01* -X87252862Y-118428600D01* -X87347138Y-118428600D01* -X87439603Y-118410208D01* -X87526702Y-118374130D01* -X87605090Y-118321753D01* -X87671753Y-118255090D01* -X87724130Y-118176702D01* -X87760208Y-118089603D01* -X87770454Y-118038088D01* -X94371400Y-118038088D01* -X94371400Y-118161912D01* -X94395556Y-118283356D01* -X94442941Y-118397754D01* -X94511734Y-118500709D01* -X94599291Y-118588266D01* -X94702246Y-118657059D01* -X94816644Y-118704444D01* -X94938088Y-118728600D01* -X95061912Y-118728600D01* -X95183356Y-118704444D01* -X95297754Y-118657059D01* -X95400709Y-118588266D01* -X95488266Y-118500709D01* -X95557059Y-118397754D01* -X95604444Y-118283356D01* -X95628600Y-118161912D01* -X95628600Y-118038088D01* -X95604444Y-117916644D01* -X95598736Y-117902862D01* -X96021400Y-117902862D01* -X96021400Y-117997138D01* -X96039792Y-118089603D01* -X96075870Y-118176702D01* -X96128247Y-118255090D01* -X96194910Y-118321753D01* -X96273298Y-118374130D01* -X96360397Y-118410208D01* -X96452862Y-118428600D01* -X96547138Y-118428600D01* -X96639603Y-118410208D01* -X96726702Y-118374130D01* -X96805090Y-118321753D01* -X96871753Y-118255090D01* -X96924130Y-118176702D01* -X96960208Y-118089603D01* -X96970454Y-118038088D01* -X103571400Y-118038088D01* -X103571400Y-118161912D01* -X103595556Y-118283356D01* -X103642941Y-118397754D01* -X103711734Y-118500709D01* -X103799291Y-118588266D01* -X103902246Y-118657059D01* -X104016644Y-118704444D01* -X104138088Y-118728600D01* -X104261912Y-118728600D01* -X104383356Y-118704444D01* -X104497754Y-118657059D01* -X104600709Y-118588266D01* -X104688266Y-118500709D01* -X104757059Y-118397754D01* -X104804444Y-118283356D01* -X104828600Y-118161912D01* -X104828600Y-118038088D01* -X104804444Y-117916644D01* -X104798736Y-117902862D01* -X105221400Y-117902862D01* -X105221400Y-117997138D01* -X105239792Y-118089603D01* -X105275870Y-118176702D01* -X105328247Y-118255090D01* -X105394910Y-118321753D01* -X105473298Y-118374130D01* -X105560397Y-118410208D01* -X105652862Y-118428600D01* -X105747138Y-118428600D01* -X105839603Y-118410208D01* -X105926702Y-118374130D01* -X106005090Y-118321753D01* -X106071753Y-118255090D01* -X106124130Y-118176702D01* -X106160208Y-118089603D01* -X106178600Y-117997138D01* -X106178600Y-117902862D01* -X106160208Y-117810397D01* -X106124130Y-117723298D01* -X106071753Y-117644910D01* -X106005090Y-117578247D01* -X105926702Y-117525870D01* -X105839603Y-117489792D01* -X105747138Y-117471400D01* -X105652862Y-117471400D01* -X105560397Y-117489792D01* -X105473298Y-117525870D01* -X105394910Y-117578247D01* -X105328247Y-117644910D01* -X105275870Y-117723298D01* -X105239792Y-117810397D01* -X105221400Y-117902862D01* -X104798736Y-117902862D01* -X104757059Y-117802246D01* -X104688266Y-117699291D01* -X104600709Y-117611734D01* -X104497754Y-117542941D01* -X104383356Y-117495556D01* -X104261912Y-117471400D01* -X104138088Y-117471400D01* -X104016644Y-117495556D01* -X103902246Y-117542941D01* -X103799291Y-117611734D01* -X103711734Y-117699291D01* -X103642941Y-117802246D01* -X103595556Y-117916644D01* -X103571400Y-118038088D01* -X96970454Y-118038088D01* -X96978600Y-117997138D01* -X96978600Y-117902862D01* -X96960208Y-117810397D01* -X96924130Y-117723298D01* -X96871753Y-117644910D01* -X96805090Y-117578247D01* -X96726702Y-117525870D01* -X96639603Y-117489792D01* -X96547138Y-117471400D01* -X96452862Y-117471400D01* -X96360397Y-117489792D01* -X96273298Y-117525870D01* -X96194910Y-117578247D01* -X96128247Y-117644910D01* -X96075870Y-117723298D01* -X96039792Y-117810397D01* -X96021400Y-117902862D01* -X95598736Y-117902862D01* -X95557059Y-117802246D01* -X95488266Y-117699291D01* -X95400709Y-117611734D01* -X95297754Y-117542941D01* -X95183356Y-117495556D01* -X95061912Y-117471400D01* -X94938088Y-117471400D01* -X94816644Y-117495556D01* -X94702246Y-117542941D01* -X94599291Y-117611734D01* -X94511734Y-117699291D01* -X94442941Y-117802246D01* -X94395556Y-117916644D01* -X94371400Y-118038088D01* -X87770454Y-118038088D01* -X87778600Y-117997138D01* -X87778600Y-117902862D01* -X87760208Y-117810397D01* -X87724130Y-117723298D01* -X87671753Y-117644910D01* -X87605090Y-117578247D01* -X87526702Y-117525870D01* -X87439603Y-117489792D01* -X87347138Y-117471400D01* -X87252862Y-117471400D01* -X87160397Y-117489792D01* -X87073298Y-117525870D01* -X86994910Y-117578247D01* -X86928247Y-117644910D01* -X86875870Y-117723298D01* -X86839792Y-117810397D01* -X86821400Y-117902862D01* -X86398736Y-117902862D01* -X86357059Y-117802246D01* -X86288266Y-117699291D01* -X86200709Y-117611734D01* -X86097754Y-117542941D01* -X85983356Y-117495556D01* -X85861912Y-117471400D01* -X85738088Y-117471400D01* -X85616644Y-117495556D01* -X85502246Y-117542941D01* -X85399291Y-117611734D01* -X85311734Y-117699291D01* -X85242941Y-117802246D01* -X85195556Y-117916644D01* -X85171400Y-118038088D01* -X84291267Y-118038088D01* -X87104140Y-115225216D01* -X87115716Y-115215716D01* -X87153655Y-115169487D01* -X87181846Y-115116744D01* -X87199206Y-115059516D01* -X87203600Y-115014904D01* -X87203600Y-115014903D01* -X87205068Y-115000001D01* -X87203600Y-114985097D01* -X87203600Y-111947937D01* -X89671400Y-111947937D01* -X89671400Y-112052063D01* -X89691713Y-112154187D01* -X89731560Y-112250386D01* -X89789409Y-112336963D01* -X89863037Y-112410591D01* -X89949614Y-112468440D01* -X90045813Y-112508287D01* -X90147937Y-112528600D01* -X90252063Y-112528600D01* -X90354187Y-112508287D01* -X90450386Y-112468440D01* -X90536963Y-112410591D01* -X90610591Y-112336963D01* -X90668440Y-112250386D01* -X90708287Y-112154187D01* -X90728600Y-112052063D01* -X90728600Y-111947937D01* -X90708287Y-111845813D01* -X90668440Y-111749614D01* -X90610591Y-111663037D01* -X90536963Y-111589409D01* -X90450386Y-111531560D01* -X90354187Y-111491713D01* -X90252063Y-111471400D01* -X90147937Y-111471400D01* -X90045813Y-111491713D01* -X89949614Y-111531560D01* -X89863037Y-111589409D01* -X89789409Y-111663037D01* -X89731560Y-111749614D01* -X89691713Y-111845813D01* -X89671400Y-111947937D01* -X87203600Y-111947937D01* -X87203600Y-111047937D01* -X88671400Y-111047937D01* -X88671400Y-111152063D01* -X88691713Y-111254187D01* -X88731560Y-111350386D01* -X88789409Y-111436963D01* -X88863037Y-111510591D01* -X88949614Y-111568440D01* -X89045813Y-111608287D01* -X89147937Y-111628600D01* -X89252063Y-111628600D01* -X89354187Y-111608287D01* -X89450386Y-111568440D01* -X89536963Y-111510591D01* -X89610591Y-111436963D01* -X89668440Y-111350386D01* -X89708287Y-111254187D01* -X89728600Y-111152063D01* -X89728600Y-111047937D01* -X89708287Y-110945813D01* -X89668440Y-110849614D01* -X89610591Y-110763037D01* -X89536963Y-110689409D01* -X89450386Y-110631560D01* -X89354187Y-110591713D01* -X89252063Y-110571400D01* -X89147937Y-110571400D01* -X89045813Y-110591713D01* -X88949614Y-110631560D01* -X88863037Y-110689409D01* -X88789409Y-110763037D01* -X88731560Y-110849614D01* -X88691713Y-110945813D01* -X88671400Y-111047937D01* -X87203600Y-111047937D01* -X87203600Y-110152862D01* -X89921400Y-110152862D01* -X89921400Y-110247138D01* -X89939792Y-110339603D01* -X89975870Y-110426702D01* -X90028247Y-110505090D01* -X90094910Y-110571753D01* -X90173298Y-110624130D01* -X90260397Y-110660208D01* -X90352862Y-110678600D01* -X90447138Y-110678600D01* -X90539603Y-110660208D01* -X90626702Y-110624130D01* -X90705090Y-110571753D01* -X90771753Y-110505090D01* -X90824130Y-110426702D01* -X90860208Y-110339603D01* -X90878600Y-110247138D01* -X90878600Y-110152862D01* -X90860208Y-110060397D01* -X90824130Y-109973298D01* -X90771753Y-109894910D01* -X90705090Y-109828247D01* -X90626702Y-109775870D01* -X90539603Y-109739792D01* -X90447138Y-109721400D01* -X90352862Y-109721400D01* -X90260397Y-109739792D01* -X90173298Y-109775870D01* -X90094910Y-109828247D01* -X90028247Y-109894910D01* -X89975870Y-109973298D01* -X89939792Y-110060397D01* -X89921400Y-110152862D01* -X87203600Y-110152862D01* -X87203600Y-107852862D01* -X89971400Y-107852862D01* -X89971400Y-107947138D01* -X89989792Y-108039603D01* -X90025870Y-108126702D01* -X90078247Y-108205090D01* -X90144910Y-108271753D01* -X90223298Y-108324130D01* -X90310397Y-108360208D01* -X90402862Y-108378600D01* -X90497138Y-108378600D01* -X90589603Y-108360208D01* -X90676702Y-108324130D01* -X90755090Y-108271753D01* -X90821753Y-108205090D01* -X90874130Y-108126702D01* -X90910208Y-108039603D01* -X90928600Y-107947138D01* -X90928600Y-107852862D01* -X90910208Y-107760397D01* -X90874130Y-107673298D01* -X90821753Y-107594910D01* -X90755090Y-107528247D01* -X90676702Y-107475870D01* -X90589603Y-107439792D01* -X90497138Y-107421400D01* -X90402862Y-107421400D01* -X90310397Y-107439792D01* -X90223298Y-107475870D01* -X90144910Y-107528247D01* -X90078247Y-107594910D01* -X90025870Y-107673298D01* -X89989792Y-107760397D01* -X89971400Y-107852862D01* -X87203600Y-107852862D01* -X87203600Y-106914904D01* -X87205068Y-106900000D01* -X87200426Y-106852862D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89823298Y-107324130D01* -X89910397Y-107360208D01* -X90002862Y-107378600D01* -X90097138Y-107378600D01* -X90189603Y-107360208D01* -X90276702Y-107324130D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90422749Y-107203600D01* -X90724246Y-107203600D01* -X92946400Y-109425755D01* -X92946401Y-112535086D01* -X92944932Y-112550000D01* -X92950794Y-112609515D01* -X92954507Y-112621753D01* -X92968155Y-112666744D01* -X92996346Y-112719487D01* -X93034285Y-112765716D01* -X93045867Y-112775221D01* -X97424779Y-117154134D01* -X97434284Y-117165716D01* -X97480513Y-117203655D01* -X97533256Y-117231846D01* -X97589297Y-117248846D01* -X97590484Y-117249206D01* -X97650000Y-117255068D01* -X97664904Y-117253600D01* -X113024246Y-117253600D01* -X115821750Y-120051104D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115875870Y-120326702D01* -X115928247Y-120405090D01* -X115994910Y-120471753D01* -X116073298Y-120524130D01* -X116160397Y-120560208D01* -X116252862Y-120578600D01* -X116347138Y-120578600D01* -X116439603Y-120560208D01* -X116526702Y-120524130D01* -X116605090Y-120471753D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116251104Y-119621750D01* -X113375226Y-116745872D01* -X113365716Y-116734284D01* -X113319487Y-116696345D01* -X113266744Y-116668154D01* -X113209516Y-116650794D01* -X113164904Y-116646400D01* -X113150000Y-116644932D01* -X113135096Y-116646400D01* -X97775755Y-116646400D01* -X97327292Y-116197937D01* -X113721400Y-116197937D01* -X113721400Y-116302063D01* -X113741713Y-116404187D01* -X113781560Y-116500386D01* -X113839409Y-116586963D01* -X113913037Y-116660591D01* -X113999614Y-116718440D01* -X114095813Y-116758287D01* -X114197937Y-116778600D01* -X114302063Y-116778600D01* -X114404187Y-116758287D01* -X114500386Y-116718440D01* -X114586963Y-116660591D01* -X114660591Y-116586963D01* -X114718440Y-116500386D01* -X114758287Y-116404187D01* -X114778600Y-116302063D01* -X114778600Y-116197937D01* -X123721400Y-116197937D01* -X123721400Y-116302063D01* -X123741713Y-116404187D01* -X123781560Y-116500386D01* -X123839409Y-116586963D01* -X123913037Y-116660591D01* -X123999614Y-116718440D01* -X124095813Y-116758287D01* -X124197937Y-116778600D01* -X124302063Y-116778600D01* -X124404187Y-116758287D01* -X124500386Y-116718440D01* -X124586963Y-116660591D01* -X124660591Y-116586963D01* -X124718440Y-116500386D01* -X124758287Y-116404187D01* -X124778600Y-116302063D01* -X124778600Y-116197937D01* -X124758287Y-116095813D01* -X124718440Y-115999614D01* -X124660591Y-115913037D01* -X124586963Y-115839409D01* -X124500386Y-115781560D01* -X124404187Y-115741713D01* -X124302063Y-115721400D01* -X124197937Y-115721400D01* -X124095813Y-115741713D01* -X123999614Y-115781560D01* -X123913037Y-115839409D01* -X123839409Y-115913037D01* -X123781560Y-115999614D01* -X123741713Y-116095813D01* -X123721400Y-116197937D01* -X114778600Y-116197937D01* -X114758287Y-116095813D01* -X114718440Y-115999614D01* -X114660591Y-115913037D01* -X114586963Y-115839409D01* -X114500386Y-115781560D01* -X114404187Y-115741713D01* -X114302063Y-115721400D01* -X114197937Y-115721400D01* -X114095813Y-115741713D01* -X113999614Y-115781560D01* -X113913037Y-115839409D01* -X113839409Y-115913037D01* -X113781560Y-115999614D01* -X113741713Y-116095813D01* -X113721400Y-116197937D01* -X97327292Y-116197937D01* -X96427292Y-115297937D01* -X114721400Y-115297937D01* -X114721400Y-115402063D01* -X114741713Y-115504187D01* -X114781560Y-115600386D01* -X114839409Y-115686963D01* -X114913037Y-115760591D01* -X114999614Y-115818440D01* -X115095813Y-115858287D01* -X115197937Y-115878600D01* -X115302063Y-115878600D01* -X115404187Y-115858287D01* -X115500386Y-115818440D01* -X115586963Y-115760591D01* -X115660591Y-115686963D01* -X115718440Y-115600386D01* -X115758287Y-115504187D01* -X115778600Y-115402063D01* -X115778600Y-115297937D01* -X124721400Y-115297937D01* -X124721400Y-115402063D01* -X124741713Y-115504187D01* -X124781560Y-115600386D01* -X124839409Y-115686963D01* -X124913037Y-115760591D01* -X124999614Y-115818440D01* -X125095813Y-115858287D01* -X125197937Y-115878600D01* -X125302063Y-115878600D01* -X125404187Y-115858287D01* -X125500386Y-115818440D01* -X125586963Y-115760591D01* -X125660591Y-115686963D01* -X125718440Y-115600386D01* -X125758287Y-115504187D01* -X125778600Y-115402063D01* -X125778600Y-115297937D01* -X125758287Y-115195813D01* -X125718440Y-115099614D01* -X125660591Y-115013037D01* -X125586963Y-114939409D01* -X125500386Y-114881560D01* -X125404187Y-114841713D01* -X125302063Y-114821400D01* -X125197937Y-114821400D01* -X125095813Y-114841713D01* -X124999614Y-114881560D01* -X124913037Y-114939409D01* -X124839409Y-115013037D01* -X124781560Y-115099614D01* -X124741713Y-115195813D01* -X124721400Y-115297937D01* -X115778600Y-115297937D01* -X115758287Y-115195813D01* -X115718440Y-115099614D01* -X115660591Y-115013037D01* -X115586963Y-114939409D01* -X115500386Y-114881560D01* -X115404187Y-114841713D01* -X115302063Y-114821400D01* -X115197937Y-114821400D01* -X115095813Y-114841713D01* -X114999614Y-114881560D01* -X114913037Y-114939409D01* -X114839409Y-115013037D01* -X114781560Y-115099614D01* -X114741713Y-115195813D01* -X114721400Y-115297937D01* -X96427292Y-115297937D01* -X95527292Y-114397937D01* -X113721400Y-114397937D01* -X113721400Y-114502063D01* -X113741713Y-114604187D01* -X113781560Y-114700386D01* -X113839409Y-114786963D01* -X113913037Y-114860591D01* -X113999614Y-114918440D01* -X114095813Y-114958287D01* -X114197937Y-114978600D01* -X114302063Y-114978600D01* -X114404187Y-114958287D01* -X114500386Y-114918440D01* -X114586963Y-114860591D01* -X114660591Y-114786963D01* -X114718440Y-114700386D01* -X114758287Y-114604187D01* -X114778600Y-114502063D01* -X114778600Y-114397937D01* -X114758287Y-114295813D01* -X114718440Y-114199614D01* -X114660591Y-114113037D01* -X114586963Y-114039409D01* -X114532267Y-114002862D01* -X123921400Y-114002862D01* -X123921400Y-114097138D01* -X123939792Y-114189603D01* -X123975870Y-114276702D01* -X124028247Y-114355090D01* -X124094910Y-114421753D01* -X124173298Y-114474130D01* -X124260397Y-114510208D01* -X124352862Y-114528600D01* -X124447138Y-114528600D01* -X124539603Y-114510208D01* -X124626702Y-114474130D01* -X124705090Y-114421753D01* -X124771753Y-114355090D01* -X124824130Y-114276702D01* -X124860208Y-114189603D01* -X124878600Y-114097138D01* -X124878600Y-114002862D01* -X124860208Y-113910397D01* -X124824130Y-113823298D01* -X124771753Y-113744910D01* -X124705090Y-113678247D01* -X124626702Y-113625870D01* -X124539603Y-113589792D01* -X124447138Y-113571400D01* -X124352862Y-113571400D01* -X124260397Y-113589792D01* -X124173298Y-113625870D01* -X124094910Y-113678247D01* -X124028247Y-113744910D01* -X123975870Y-113823298D01* -X123939792Y-113910397D01* -X123921400Y-114002862D01* -X114532267Y-114002862D01* -X114500386Y-113981560D01* -X114404187Y-113941713D01* -X114302063Y-113921400D01* -X114197937Y-113921400D01* -X114095813Y-113941713D01* -X113999614Y-113981560D01* -X113913037Y-114039409D01* -X113839409Y-114113037D01* -X113781560Y-114199614D01* -X113741713Y-114295813D01* -X113721400Y-114397937D01* -X95527292Y-114397937D01* -X93553600Y-112424246D01* -X93553600Y-111947937D01* -X96671400Y-111947937D01* -X96671400Y-112052063D01* -X96691713Y-112154187D01* -X96731560Y-112250386D01* -X96789409Y-112336963D01* -X96863037Y-112410591D01* -X96949614Y-112468440D01* -X97045813Y-112508287D01* -X97147937Y-112528600D01* -X97252063Y-112528600D01* -X97354187Y-112508287D01* -X97450386Y-112468440D01* -X97536963Y-112410591D01* -X97610591Y-112336963D01* -X97668440Y-112250386D01* -X97708287Y-112154187D01* -X97728600Y-112052063D01* -X97728600Y-111947937D01* -X97708287Y-111845813D01* -X97668440Y-111749614D01* -X97610591Y-111663037D01* -X97536963Y-111589409D01* -X97450386Y-111531560D01* -X97354187Y-111491713D01* -X97252063Y-111471400D01* -X97147937Y-111471400D01* -X97045813Y-111491713D01* -X96949614Y-111531560D01* -X96863037Y-111589409D01* -X96789409Y-111663037D01* -X96731560Y-111749614D01* -X96691713Y-111845813D01* -X96671400Y-111947937D01* -X93553600Y-111947937D01* -X93553600Y-111047937D01* -X95671400Y-111047937D01* -X95671400Y-111152063D01* -X95691713Y-111254187D01* -X95731560Y-111350386D01* -X95789409Y-111436963D01* -X95863037Y-111510591D01* -X95949614Y-111568440D01* -X96045813Y-111608287D01* -X96147937Y-111628600D01* -X96252063Y-111628600D01* -X96354187Y-111608287D01* -X96450386Y-111568440D01* -X96536963Y-111510591D01* -X96610591Y-111436963D01* -X96668440Y-111350386D01* -X96708287Y-111254187D01* -X96728600Y-111152063D01* -X96728600Y-111047937D01* -X96708287Y-110945813D01* -X96668440Y-110849614D01* -X96610591Y-110763037D01* -X96536963Y-110689409D01* -X96450386Y-110631560D01* -X96354187Y-110591713D01* -X96252063Y-110571400D01* -X96147937Y-110571400D01* -X96045813Y-110591713D01* -X95949614Y-110631560D01* -X95863037Y-110689409D01* -X95789409Y-110763037D01* -X95731560Y-110849614D01* -X95691713Y-110945813D01* -X95671400Y-111047937D01* -X93553600Y-111047937D01* -X93553600Y-110152862D01* -X96921400Y-110152862D01* -X96921400Y-110247138D01* -X96939792Y-110339603D01* -X96975870Y-110426702D01* -X97028247Y-110505090D01* -X97094910Y-110571753D01* -X97173298Y-110624130D01* -X97260397Y-110660208D01* -X97352862Y-110678600D01* -X97447138Y-110678600D01* -X97539603Y-110660208D01* -X97626702Y-110624130D01* -X97705090Y-110571753D01* -X97771753Y-110505090D01* -X97824130Y-110426702D01* -X97860208Y-110339603D01* -X97878600Y-110247138D01* -X97878600Y-110152862D01* -X97860208Y-110060397D01* -X97824130Y-109973298D01* -X97771753Y-109894910D01* -X97705090Y-109828247D01* -X97626702Y-109775870D01* -X97539603Y-109739792D01* -X97447138Y-109721400D01* -X97352862Y-109721400D01* -X97260397Y-109739792D01* -X97173298Y-109775870D01* -X97094910Y-109828247D01* -X97028247Y-109894910D01* -X96975870Y-109973298D01* -X96939792Y-110060397D01* -X96921400Y-110152862D01* -X93553600Y-110152862D01* -X93553600Y-109314904D01* -X93555068Y-109300000D01* -X93549206Y-109240484D01* -X93531846Y-109183255D01* -X93503655Y-109130513D01* -X93496466Y-109121753D01* -X93465716Y-109084284D01* -X93454135Y-109074780D01* -X92232217Y-107852862D01* -X96971400Y-107852862D01* -X96971400Y-107947138D01* -X96989792Y-108039603D01* -X97025870Y-108126702D01* -X97078247Y-108205090D01* -X97144910Y-108271753D01* -X97223298Y-108324130D01* -X97310397Y-108360208D01* -X97402862Y-108378600D01* -X97497138Y-108378600D01* -X97589603Y-108360208D01* -X97676702Y-108324130D01* -X97755090Y-108271753D01* -X97821753Y-108205090D01* -X97874130Y-108126702D01* -X97910208Y-108039603D01* -X97928600Y-107947138D01* -X97928600Y-107852862D01* -X97910208Y-107760397D01* -X97874130Y-107673298D01* -X97821753Y-107594910D01* -X97755090Y-107528247D01* -X97676702Y-107475870D01* -X97589603Y-107439792D01* -X97497138Y-107421400D01* -X97402862Y-107421400D01* -X97310397Y-107439792D01* -X97223298Y-107475870D01* -X97144910Y-107528247D01* -X97078247Y-107594910D01* -X97025870Y-107673298D01* -X96989792Y-107760397D01* -X96971400Y-107852862D01* -X92232217Y-107852862D01* -X91282217Y-106902862D01* -X97321400Y-106902862D01* -X97321400Y-106997138D01* -X97339792Y-107089603D01* -X97375870Y-107176702D01* -X97428247Y-107255090D01* -X97494910Y-107321753D01* -X97573298Y-107374130D01* -X97660397Y-107410208D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97932305Y-107411660D01* -X99746400Y-109225756D01* -X99746401Y-112527251D01* -X99744910Y-112528247D01* -X99678247Y-112594910D01* -X99625870Y-112673298D01* -X99589792Y-112760397D01* -X99571400Y-112852862D01* -X99571400Y-112947138D01* -X99589792Y-113039603D01* -X99625870Y-113126702D01* -X99678247Y-113205090D01* -X99744910Y-113271753D01* -X99823298Y-113324130D01* -X99910397Y-113360208D01* -X100002862Y-113378600D01* -X100097138Y-113378600D01* -X100189603Y-113360208D01* -X100276702Y-113324130D01* -X100355090Y-113271753D01* -X100421753Y-113205090D01* -X100474130Y-113126702D01* -X100504715Y-113052862D01* -X103721400Y-113052862D01* -X103721400Y-113147138D01* -X103739792Y-113239603D01* -X103775870Y-113326702D01* -X103828247Y-113405090D01* -X103894910Y-113471753D01* -X103973298Y-113524130D01* -X104060397Y-113560208D01* -X104152862Y-113578600D01* -X104247138Y-113578600D01* -X104339603Y-113560208D01* -X104426702Y-113524130D01* -X104505090Y-113471753D01* -X104571753Y-113405090D01* -X104624130Y-113326702D01* -X104660208Y-113239603D01* -X104678600Y-113147138D01* -X104678600Y-113052862D01* -X104660208Y-112960397D01* -X104624130Y-112873298D01* -X104571753Y-112794910D01* -X104505090Y-112728247D01* -X104426702Y-112675870D01* -X104339603Y-112639792D01* -X104247138Y-112621400D01* -X104152862Y-112621400D01* -X104060397Y-112639792D01* -X103973298Y-112675870D01* -X103894910Y-112728247D01* -X103828247Y-112794910D01* -X103775870Y-112873298D01* -X103739792Y-112960397D01* -X103721400Y-113052862D01* -X100504715Y-113052862D01* -X100510208Y-113039603D01* -X100528600Y-112947138D01* -X100528600Y-112852862D01* -X100510208Y-112760397D01* -X100474130Y-112673298D01* -X100421753Y-112594910D01* -X100355090Y-112528247D01* -X100353600Y-112527251D01* -X100353600Y-112202862D01* -X102771400Y-112202862D01* -X102771400Y-112297138D01* -X102789792Y-112389603D01* -X102825870Y-112476702D01* -X102878247Y-112555090D01* -X102944910Y-112621753D01* -X103023298Y-112674130D01* -X103110397Y-112710208D01* -X103202862Y-112728600D01* -X103297138Y-112728600D01* -X103389603Y-112710208D01* -X103476702Y-112674130D01* -X103555090Y-112621753D01* -X103621753Y-112555090D01* -X103674130Y-112476702D01* -X103710208Y-112389603D01* -X103728600Y-112297138D01* -X103728600Y-112202862D01* -X103710208Y-112110397D01* -X103674130Y-112023298D01* -X103621753Y-111944910D01* -X103555090Y-111878247D01* -X103476702Y-111825870D01* -X103389603Y-111789792D01* -X103297138Y-111771400D01* -X103202862Y-111771400D01* -X103110397Y-111789792D01* -X103023298Y-111825870D01* -X102944910Y-111878247D01* -X102878247Y-111944910D01* -X102825870Y-112023298D01* -X102789792Y-112110397D01* -X102771400Y-112202862D01* -X100353600Y-112202862D01* -X100353600Y-111352862D01* -X103721400Y-111352862D01* -X103721400Y-111447138D01* -X103739792Y-111539603D01* -X103775870Y-111626702D01* -X103828247Y-111705090D01* -X103894910Y-111771753D01* -X103973298Y-111824130D01* -X104060397Y-111860208D01* -X104152862Y-111878600D01* -X104247138Y-111878600D01* -X104339603Y-111860208D01* -X104426702Y-111824130D01* -X104505090Y-111771753D01* -X104571753Y-111705090D01* -X104624130Y-111626702D01* -X104660208Y-111539603D01* -X104678600Y-111447138D01* -X104678600Y-111352862D01* -X104660208Y-111260397D01* -X104624130Y-111173298D01* -X104571753Y-111094910D01* -X104505090Y-111028247D01* -X104426702Y-110975870D01* -X104339603Y-110939792D01* -X104247138Y-110921400D01* -X104152862Y-110921400D01* -X104060397Y-110939792D01* -X103973298Y-110975870D01* -X103894910Y-111028247D01* -X103828247Y-111094910D01* -X103775870Y-111173298D01* -X103739792Y-111260397D01* -X103721400Y-111352862D01* -X100353600Y-111352862D01* -X100353600Y-109114903D01* -X100355068Y-109099999D01* -X100349206Y-109040484D01* -X100344773Y-109025870D01* -X100331846Y-108983256D01* -X100303655Y-108930513D01* -X100265716Y-108884284D01* -X100254140Y-108874784D01* -X98277702Y-106898348D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97321400Y-106902862D01* -X91282217Y-106902862D01* -X91075226Y-106695872D01* -X91065716Y-106684284D01* -X91019487Y-106646345D01* -X90966744Y-106618154D01* -X90909516Y-106600794D01* -X90864904Y-106596400D01* -X90850000Y-106594932D01* -X90835096Y-106596400D01* -X90422749Y-106596400D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X87200426Y-106852862D01* -X87199206Y-106840484D01* -X87194429Y-106824736D01* -X87181846Y-106783256D01* -X87153655Y-106730513D01* -X87115716Y-106684284D01* -X87104133Y-106674778D01* -X86703600Y-106274246D01* -X86703600Y-104975754D01* -X87754135Y-103925220D01* -X87765716Y-103915716D01* -X87803655Y-103869487D01* -X87831846Y-103816744D01* -X87843054Y-103779795D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87323298Y-102975870D01* -X87244910Y-103028247D01* -X87178247Y-103094910D01* -X87125870Y-103173298D01* -X87089792Y-103260397D01* -X87071400Y-103352862D01* -X87071400Y-103447138D01* -X87089792Y-103539603D01* -X87125870Y-103626702D01* -X87153136Y-103667509D01* -X86195867Y-104624779D01* -X86184285Y-104634284D01* -X86146346Y-104680513D01* -X86130373Y-104710397D01* -X86118155Y-104733256D01* -X86100794Y-104790485D01* -X86094932Y-104850000D01* -X86096401Y-104864914D01* -X86096400Y-106385096D01* -X86094932Y-106400000D01* -X86096400Y-106414903D01* -X86100794Y-106459515D01* -X86118154Y-106516743D01* -X86146345Y-106569486D01* -X86184284Y-106615716D01* -X86195872Y-106625226D01* -X86596401Y-107025756D01* -X86596400Y-114874244D01* -X78148896Y-123321750D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X67867600Y-123752862D01* -X67867600Y-121363403D01* -X67869068Y-121348499D01* -X67863206Y-121288984D01* -X67849109Y-121242513D01* -X67845846Y-121231756D01* -X67817655Y-121179013D01* -X67779716Y-121132784D01* -X67768134Y-121123279D01* -X67637755Y-120992900D01* -X67762298Y-120992900D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68396036Y-120102862D01* -X77621400Y-120102862D01* -X77621400Y-120197138D01* -X77639792Y-120289603D01* -X77675870Y-120376702D01* -X77728247Y-120455090D01* -X77794910Y-120521753D01* -X77873298Y-120574130D01* -X77960397Y-120610208D01* -X78052862Y-120628600D01* -X78147138Y-120628600D01* -X78239603Y-120610208D01* -X78326702Y-120574130D01* -X78405090Y-120521753D01* -X78471753Y-120455090D01* -X78524130Y-120376702D01* -X78560208Y-120289603D01* -X78578600Y-120197138D01* -X78578600Y-120102862D01* -X78560208Y-120010397D01* -X78524130Y-119923298D01* -X78471753Y-119844910D01* -X78405090Y-119778247D01* -X78326702Y-119725870D01* -X78239603Y-119689792D01* -X78147138Y-119671400D01* -X78052862Y-119671400D01* -X77960397Y-119689792D01* -X77873298Y-119725870D01* -X77794910Y-119778247D01* -X77728247Y-119844910D01* -X77675870Y-119923298D01* -X77639792Y-120010397D01* -X77621400Y-120102862D01* -X68396036Y-120102862D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X67043300Y-119941044D01* -X67043300Y-119826709D01* -X67019385Y-119706482D01* -X66972475Y-119593230D01* -X66904372Y-119491307D01* -X66817693Y-119404628D01* -X66715770Y-119336525D01* -X66624200Y-119298596D01* -X66624200Y-119207404D01* -X66715770Y-119169475D01* -X66748003Y-119147937D01* -X75171400Y-119147937D01* -X75171400Y-119252063D01* -X75191713Y-119354187D01* -X75231560Y-119450386D01* -X75289409Y-119536963D01* -X75363037Y-119610591D01* -X75449614Y-119668440D01* -X75545813Y-119708287D01* -X75647937Y-119728600D01* -X75752063Y-119728600D01* -X75854187Y-119708287D01* -X75950386Y-119668440D01* -X76036963Y-119610591D01* -X76110591Y-119536963D01* -X76168440Y-119450386D01* -X76208287Y-119354187D01* -X76228600Y-119252063D01* -X76228600Y-119147937D01* -X76208287Y-119045813D01* -X76190497Y-119002862D01* -X76996400Y-119002862D01* -X76996400Y-119097138D01* -X77014792Y-119189603D01* -X77050870Y-119276702D01* -X77103247Y-119355090D01* -X77169910Y-119421753D01* -X77248298Y-119474130D01* -X77335397Y-119510208D01* -X77427862Y-119528600D01* -X77522138Y-119528600D01* -X77614603Y-119510208D01* -X77701702Y-119474130D01* -X77780090Y-119421753D01* -X77846753Y-119355090D01* -X77899130Y-119276702D01* -X77935208Y-119189603D01* -X77953600Y-119097138D01* -X77953600Y-119002862D01* -X77935208Y-118910397D01* -X77899130Y-118823298D01* -X77846753Y-118744910D01* -X77780090Y-118678247D01* -X77701702Y-118625870D01* -X77614603Y-118589792D01* -X77522138Y-118571400D01* -X77427862Y-118571400D01* -X77335397Y-118589792D01* -X77248298Y-118625870D01* -X77169910Y-118678247D01* -X77103247Y-118744910D01* -X77050870Y-118823298D01* -X77014792Y-118910397D01* -X76996400Y-119002862D01* -X76190497Y-119002862D01* -X76168440Y-118949614D01* -X76110591Y-118863037D01* -X76036963Y-118789409D01* -X75950386Y-118731560D01* -X75854187Y-118691713D01* -X75752063Y-118671400D01* -X75647937Y-118671400D01* -X75545813Y-118691713D01* -X75449614Y-118731560D01* -X75363037Y-118789409D01* -X75289409Y-118863037D01* -X75231560Y-118949614D01* -X75191713Y-119045813D01* -X75171400Y-119147937D01* -X66748003Y-119147937D01* -X66817693Y-119101372D01* -X66904372Y-119014693D01* -X66972475Y-118912770D01* -X67019385Y-118799518D01* -X67043300Y-118679291D01* -X67043300Y-118564956D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68411367Y-118147937D01* -X76071400Y-118147937D01* -X76071400Y-118252063D01* -X76091713Y-118354187D01* -X76131560Y-118450386D01* -X76189409Y-118536963D01* -X76263037Y-118610591D01* -X76349614Y-118668440D01* -X76445813Y-118708287D01* -X76547937Y-118728600D01* -X76652063Y-118728600D01* -X76754187Y-118708287D01* -X76850386Y-118668440D01* -X76936963Y-118610591D01* -X77010591Y-118536963D01* -X77068440Y-118450386D01* -X77108287Y-118354187D01* -X77128600Y-118252063D01* -X77128600Y-118147937D01* -X77108287Y-118045813D01* -X77068440Y-117949614D01* -X77037202Y-117902862D01* -X77621400Y-117902862D01* -X77621400Y-117997138D01* -X77639792Y-118089603D01* -X77675870Y-118176702D01* -X77728247Y-118255090D01* -X77794910Y-118321753D01* -X77873298Y-118374130D01* -X77960397Y-118410208D01* -X78052862Y-118428600D01* -X78147138Y-118428600D01* -X78239603Y-118410208D01* -X78326702Y-118374130D01* -X78405090Y-118321753D01* -X78471753Y-118255090D01* -X78524130Y-118176702D01* -X78560208Y-118089603D01* -X78578600Y-117997138D01* -X78578600Y-117902862D01* -X78560208Y-117810397D01* -X78524130Y-117723298D01* -X78471753Y-117644910D01* -X78405090Y-117578247D01* -X78326702Y-117525870D01* -X78239603Y-117489792D01* -X78147138Y-117471400D01* -X78052862Y-117471400D01* -X77960397Y-117489792D01* -X77873298Y-117525870D01* -X77794910Y-117578247D01* -X77728247Y-117644910D01* -X77675870Y-117723298D01* -X77639792Y-117810397D01* -X77621400Y-117902862D01* -X77037202Y-117902862D01* -X77010591Y-117863037D01* -X76936963Y-117789409D01* -X76850386Y-117731560D01* -X76754187Y-117691713D01* -X76652063Y-117671400D01* -X76547937Y-117671400D01* -X76445813Y-117691713D01* -X76349614Y-117731560D01* -X76263037Y-117789409D01* -X76189409Y-117863037D01* -X76131560Y-117949614D01* -X76091713Y-118045813D01* -X76071400Y-118147937D01* -X68411367Y-118147937D01* -X68387081Y-118025846D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67562971Y-117524384D01* -X67895140Y-117192216D01* -X67906716Y-117182716D01* -X67944655Y-117136487D01* -X67972846Y-117083744D01* -X67990206Y-117026516D01* -X67991880Y-117009516D01* -X67996068Y-116967001D01* -X67994600Y-116952097D01* -X67994600Y-115855904D01* -X67996068Y-115841000D01* -X67990206Y-115781484D01* -X67972846Y-115724255D01* -X67944655Y-115671513D01* -X67942719Y-115669154D01* -X67906716Y-115625284D01* -X67895134Y-115615779D01* -X65825226Y-113545872D01* -X65815716Y-113534284D01* -X65769487Y-113496345D01* -X65716744Y-113468154D01* -X65659516Y-113450794D01* -X65614904Y-113446400D01* -X65600000Y-113444932D01* -X65585096Y-113446400D01* -X63975756Y-113446400D01* -X60003600Y-109474246D01* -X60003600Y-108447359D01* -X60530257Y-108447359D01* -X60574492Y-108593195D01* -X60670029Y-108784808D01* -X60801112Y-108954101D01* -X60962704Y-109094568D01* -X61148595Y-109200811D01* -X61351641Y-109268747D01* -X61521200Y-109236558D01* -X61521200Y-108277800D01* -X61668800Y-108277800D01* -X61668800Y-109236558D01* -X61838359Y-109268747D01* -X62041405Y-109200811D01* -X62227296Y-109094568D01* -X62388888Y-108954101D01* -X62519971Y-108784808D01* -X62615508Y-108593195D01* -X62659743Y-108447359D01* -X62627416Y-108277800D01* -X61668800Y-108277800D01* -X61521200Y-108277800D01* -X60562584Y-108277800D01* -X60530257Y-108447359D01* -X60003600Y-108447359D01* -X60003600Y-107960641D01* -X60530257Y-107960641D01* -X60562584Y-108130200D01* -X61521200Y-108130200D01* -X61521200Y-107171442D01* -X61668800Y-107171442D01* -X61668800Y-108130200D01* -X62627416Y-108130200D01* -X62659743Y-107960641D01* -X62615508Y-107814805D01* -X62519971Y-107623192D01* -X62388888Y-107453899D01* -X62227296Y-107313432D01* -X62041405Y-107207189D01* -X61838359Y-107139253D01* -X61668800Y-107171442D01* -X61521200Y-107171442D01* -X61351641Y-107139253D01* -X61148595Y-107207189D01* -X60962704Y-107313432D01* -X60801112Y-107453899D01* -X60670029Y-107623192D01* -X60574492Y-107814805D01* -X60530257Y-107960641D01* -X60003600Y-107960641D01* -X60003600Y-105556428D01* -X60502800Y-105556428D01* -X60502800Y-105771572D01* -X60544772Y-105982583D01* -X60627105Y-106181351D01* -X60746633Y-106360237D01* -X60898763Y-106512367D01* -X61077649Y-106631895D01* -X61276417Y-106714228D01* -X61487428Y-106756200D01* -X61702572Y-106756200D01* -X61913583Y-106714228D01* -X62112351Y-106631895D01* -X62291237Y-106512367D01* -X62443367Y-106360237D01* -X62561401Y-106183587D01* -X62561401Y-106284086D01* -X62559932Y-106299000D01* -X62565794Y-106358515D01* -X62577511Y-106397138D01* -X62583155Y-106415744D01* -X62611346Y-106468487D01* -X62649285Y-106514716D01* -X62660867Y-106524221D01* -X63249497Y-107112851D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X63831400Y-109297306D01* -X63831400Y-109620096D01* -X63829932Y-109635000D01* -X63834226Y-109678600D01* -X63835794Y-109694515D01* -X63853154Y-109751743D01* -X63881345Y-109804486D01* -X63919284Y-109850716D01* -X63930872Y-109860226D01* -X66374783Y-112304139D01* -X66384284Y-112315716D01* -X66430513Y-112353655D01* -X66483256Y-112381846D01* -X66515563Y-112391646D01* -X66540483Y-112399206D01* -X66599999Y-112405068D01* -X66614903Y-112403600D01* -X71727251Y-112403600D01* -X71728247Y-112405090D01* -X71794910Y-112471753D01* -X71873298Y-112524130D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72472749Y-112403600D01* -X83435096Y-112403600D01* -X83450000Y-112405068D01* -X83464904Y-112403600D01* -X83509516Y-112399206D01* -X83566744Y-112381846D01* -X83619487Y-112353655D01* -X83665716Y-112315716D01* -X83675226Y-112304128D01* -X86154134Y-109825221D01* -X86165716Y-109815716D01* -X86203655Y-109769487D01* -X86231846Y-109716744D01* -X86249206Y-109659516D01* -X86253600Y-109614904D01* -X86253600Y-109614903D01* -X86255068Y-109600000D01* -X86253600Y-109585096D01* -X86253600Y-107614903D01* -X86255068Y-107599999D01* -X86249206Y-107540483D01* -X86240022Y-107510208D01* -X86231846Y-107483256D01* -X86203655Y-107430513D01* -X86165716Y-107384284D01* -X86154135Y-107374780D01* -X85728250Y-106948896D01* -X85728600Y-106947138D01* -X85728600Y-106852862D01* -X85710208Y-106760397D01* -X85674130Y-106673298D01* -X85621753Y-106594910D01* -X85555090Y-106528247D01* -X85476702Y-106475870D01* -X85424930Y-106454425D01* -X85454135Y-106425220D01* -X85465716Y-106415716D01* -X85503655Y-106369487D01* -X85531846Y-106316744D01* -X85543054Y-106279795D01* -X85555090Y-106271753D01* -X85621753Y-106205090D01* -X85674130Y-106126702D01* -X85710208Y-106039603D01* -X85728600Y-105947138D01* -X85728600Y-105852862D01* -X85710208Y-105760397D01* -X85674130Y-105673298D01* -X85621753Y-105594910D01* -X85555090Y-105528247D01* -X85476702Y-105475870D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84853136Y-106167509D01* -X84545867Y-106474779D01* -X84534285Y-106484284D01* -X84496346Y-106530513D01* -X84468155Y-106583256D01* -X84450794Y-106640484D01* -X84449502Y-106653600D01* -X84444932Y-106700000D01* -X84446400Y-106714904D01* -X84446400Y-106931199D01* -X84397138Y-106921400D01* -X84302862Y-106921400D01* -X84210397Y-106939792D01* -X84123298Y-106975870D01* -X84044910Y-107028247D01* -X83978247Y-107094910D01* -X83925870Y-107173298D01* -X83889792Y-107260397D01* -X83871400Y-107352862D01* -X83871400Y-107447138D01* -X83889792Y-107539603D01* -X83925870Y-107626702D01* -X83978247Y-107705090D01* -X84044910Y-107771753D01* -X84123298Y-107824130D01* -X84210397Y-107860208D01* -X84302862Y-107878600D01* -X84346401Y-107878600D01* -X84346401Y-107963319D01* -X84289603Y-107939792D01* -X84197138Y-107921400D01* -X84102862Y-107921400D01* -X84010397Y-107939792D01* -X83923298Y-107975870D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84046400Y-108867369D01* -X84046401Y-109174243D01* -X83474246Y-109746400D01* -X76266755Y-109746400D01* -X75673217Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82152862Y-109678600D01* -X82247138Y-109678600D01* -X82339603Y-109660208D01* -X82426702Y-109624130D01* -X82505090Y-109571753D01* -X82571753Y-109505090D01* -X82624130Y-109426702D01* -X82660208Y-109339603D01* -X82678600Y-109247138D01* -X82678600Y-109152862D01* -X82660208Y-109060397D01* -X82624130Y-108973298D01* -X82571753Y-108894910D01* -X82505090Y-108828247D01* -X82503600Y-108827251D01* -X82503600Y-108736682D01* -X82560397Y-108760208D01* -X82652862Y-108778600D01* -X82747138Y-108778600D01* -X82839603Y-108760208D01* -X82896400Y-108736681D01* -X82896400Y-108827251D01* -X82894910Y-108828247D01* -X82828247Y-108894910D01* -X82775870Y-108973298D01* -X82739792Y-109060397D01* -X82721400Y-109152862D01* -X82721400Y-109247138D01* -X82739792Y-109339603D01* -X82775870Y-109426702D01* -X82828247Y-109505090D01* -X82894910Y-109571753D01* -X82973298Y-109624130D01* -X83060397Y-109660208D01* -X83152862Y-109678600D01* -X83247138Y-109678600D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83503600Y-108827251D01* -X83503600Y-108114904D01* -X83505068Y-108100000D01* -X83499206Y-108040484D01* -X83492005Y-108016745D01* -X83481846Y-107983256D01* -X83453655Y-107930513D01* -X83415716Y-107884284D01* -X83404128Y-107874774D01* -X83303600Y-107774246D01* -X83303600Y-107325754D01* -X84454135Y-106175220D01* -X84465716Y-106165716D01* -X84503655Y-106119487D01* -X84531846Y-106066744D01* -X84549206Y-106009516D01* -X84553600Y-105964904D01* -X84553600Y-105964903D01* -X84555068Y-105950000D01* -X84553600Y-105935096D01* -X84553600Y-104675754D01* -X86625755Y-102603600D01* -X88035096Y-102603600D01* -X88050000Y-102605068D01* -X88064904Y-102603600D01* -X88109516Y-102599206D01* -X88166744Y-102581846D01* -X88219487Y-102553655D01* -X88265716Y-102515716D01* -X88275225Y-102504129D01* -X88401104Y-102378250D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88025870Y-101673298D01* -X87989792Y-101760397D01* -X87971400Y-101852862D01* -X87971400Y-101947138D01* -X87971750Y-101948896D01* -X87924246Y-101996400D01* -X86700498Y-101996400D01* -X86703600Y-101964904D01* -X86703600Y-101964903D01* -X86705068Y-101950001D01* -X86703600Y-101935097D01* -X86703600Y-101352862D01* -X87021400Y-101352862D01* -X87021400Y-101447138D01* -X87039792Y-101539603D01* -X87075870Y-101626702D01* -X87128247Y-101705090D01* -X87194910Y-101771753D01* -X87273298Y-101824130D01* -X87360397Y-101860208D01* -X87452862Y-101878600D01* -X87547138Y-101878600D01* -X87639603Y-101860208D01* -X87726702Y-101824130D01* -X87805090Y-101771753D01* -X87871753Y-101705090D01* -X87924130Y-101626702D01* -X87960208Y-101539603D01* -X87978600Y-101447138D01* -X87978600Y-101352862D01* -X87960208Y-101260397D01* -X87924130Y-101173298D01* -X87871753Y-101094910D01* -X87805090Y-101028247D01* -X87726702Y-100975870D01* -X87639603Y-100939792D01* -X87547138Y-100921400D01* -X87452862Y-100921400D01* -X87360397Y-100939792D01* -X87273298Y-100975870D01* -X87194910Y-101028247D01* -X87128247Y-101094910D01* -X87075870Y-101173298D01* -X87039792Y-101260397D01* -X87021400Y-101352862D01* -X86703600Y-101352862D01* -X86703600Y-99375754D01* -X86776492Y-99302862D01* -X87021400Y-99302862D01* -X87021400Y-99397138D01* -X87039792Y-99489603D01* -X87075870Y-99576702D01* -X87128247Y-99655090D01* -X87194910Y-99721753D01* -X87273298Y-99774130D01* -X87360397Y-99810208D01* -X87452862Y-99828600D01* -X87547138Y-99828600D01* -X87639603Y-99810208D01* -X87726702Y-99774130D01* -X87805090Y-99721753D01* -X87871753Y-99655090D01* -X87924130Y-99576702D01* -X87960208Y-99489603D01* -X87978600Y-99397138D01* -X87978600Y-99302862D01* -X87960208Y-99210397D01* -X87924130Y-99123298D01* -X87871753Y-99044910D01* -X87805090Y-98978247D01* -X87726702Y-98925870D01* -X87639603Y-98889792D01* -X87547138Y-98871400D01* -X87452862Y-98871400D01* -X87360397Y-98889792D01* -X87273298Y-98925870D01* -X87194910Y-98978247D01* -X87128247Y-99044910D01* -X87075870Y-99123298D01* -X87039792Y-99210397D01* -X87021400Y-99302862D01* -X86776492Y-99302862D01* -X87225755Y-98853600D01* -X87774246Y-98853600D01* -X88196400Y-99275755D01* -X88196400Y-99277251D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88803600Y-99277251D01* -X88803600Y-99164903D01* -X88805068Y-99149999D01* -X88799206Y-99090484D01* -X88781846Y-99033256D01* -X88753655Y-98980513D01* -X88715716Y-98934284D01* -X88704135Y-98924780D01* -X88624930Y-98845575D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88297360Y-97945192D01* -X88285096Y-97946400D01* -X86964904Y-97946400D01* -X86950000Y-97944932D01* -X86890484Y-97950794D01* -X86833255Y-97968154D01* -X86780513Y-97996345D01* -X86734284Y-98034284D01* -X86724779Y-98045866D01* -X85895867Y-98874779D01* -X85884285Y-98884284D01* -X85846346Y-98930513D01* -X85824492Y-98971400D01* -X85818155Y-98983256D01* -X85800794Y-99040485D01* -X85794932Y-99100000D01* -X85796401Y-99114914D01* -X85796400Y-101674244D01* -X83445867Y-104024779D01* -X83434285Y-104034284D01* -X83396346Y-104080513D01* -X83388713Y-104094794D01* -X83368155Y-104133256D01* -X83350794Y-104190485D01* -X83344932Y-104250000D01* -X83346401Y-104264914D01* -X83346400Y-105524245D01* -X82195867Y-106674779D01* -X82184285Y-106684284D01* -X82146346Y-106730513D01* -X82130845Y-106759515D01* -X82118155Y-106783256D01* -X82100794Y-106840485D01* -X82094932Y-106900000D01* -X82096401Y-106914914D01* -X82096400Y-107774246D01* -X81995867Y-107874779D01* -X81984285Y-107884284D01* -X81946346Y-107930513D01* -X81933210Y-107955090D01* -X81918155Y-107983256D01* -X81900794Y-108040485D01* -X81894932Y-108100000D01* -X81896401Y-108114914D01* -X81896400Y-108827251D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X75673217Y-109152862D01* -X69263355Y-102743000D01* -X76021932Y-102743000D01* -X76023401Y-102757914D01* -X76023400Y-103617096D01* -X76021932Y-103632000D01* -X76023400Y-103646903D01* -X76027794Y-103691515D01* -X76045154Y-103748743D01* -X76073345Y-103801486D01* -X76111284Y-103847716D01* -X76122872Y-103857226D01* -X77166401Y-104900756D01* -X77166400Y-107405096D01* -X77164932Y-107420000D01* -X77167386Y-107444910D01* -X77170794Y-107479515D01* -X77188154Y-107536743D01* -X77216345Y-107589486D01* -X77254284Y-107635716D01* -X77265872Y-107645226D01* -X78474779Y-108854134D01* -X78484284Y-108865716D01* -X78530513Y-108903655D01* -X78583256Y-108931846D01* -X78631234Y-108946400D01* -X78640484Y-108949206D01* -X78700000Y-108955068D01* -X78714904Y-108953600D01* -X79427251Y-108953600D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79573298Y-109074130D01* -X79660397Y-109110208D01* -X79752862Y-109128600D01* -X79847138Y-109128600D01* -X79939603Y-109110208D01* -X80026702Y-109074130D01* -X80105090Y-109021753D01* -X80171753Y-108955090D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79427251Y-108346400D01* -X78825755Y-108346400D01* -X77773600Y-107294246D01* -X77773600Y-104789903D01* -X77775068Y-104774999D01* -X77769206Y-104715484D01* -X77763641Y-104697138D01* -X77751846Y-104658256D01* -X77723655Y-104605513D01* -X77685716Y-104559284D01* -X77674134Y-104549779D01* -X76630600Y-103506246D01* -X76630600Y-102868754D01* -X76960755Y-102538600D01* -X77471246Y-102538600D01* -X78007750Y-103075104D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78114247Y-103429090D01* -X78180910Y-103495753D01* -X78259298Y-103548130D01* -X78346397Y-103584208D01* -X78438862Y-103602600D01* -X78533138Y-103602600D01* -X78625603Y-103584208D01* -X78712702Y-103548130D01* -X78791090Y-103495753D01* -X78857753Y-103429090D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X78946208Y-102984397D01* -X78910130Y-102897298D01* -X78857753Y-102818910D01* -X78791090Y-102752247D01* -X78712702Y-102699870D01* -X78625603Y-102663792D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78437104Y-102645750D01* -X77822226Y-102030872D01* -X77812716Y-102019284D01* -X77766487Y-101981345D01* -X77713744Y-101953154D01* -X77656516Y-101935794D01* -X77611904Y-101931400D01* -X77597000Y-101929932D01* -X77582096Y-101931400D01* -X76849903Y-101931400D01* -X76834999Y-101929932D01* -X76775483Y-101935794D01* -X76750563Y-101943354D01* -X76718256Y-101953154D01* -X76665513Y-101981345D01* -X76619284Y-102019284D01* -X76609778Y-102030867D01* -X76122867Y-102517779D01* -X76111285Y-102527284D01* -X76073346Y-102573513D01* -X76068893Y-102581845D01* -X76045155Y-102626256D01* -X76027794Y-102683485D01* -X76021932Y-102743000D01* -X69263355Y-102743000D01* -X66523217Y-100002862D01* -X67671400Y-100002862D01* -X67671400Y-100097138D01* -X67689792Y-100189603D01* -X67725870Y-100276702D01* -X67778247Y-100355090D01* -X67844910Y-100421753D01* -X67923298Y-100474130D01* -X68010397Y-100510208D01* -X68102862Y-100528600D01* -X68197138Y-100528600D01* -X68289603Y-100510208D01* -X68376702Y-100474130D01* -X68455090Y-100421753D01* -X68521753Y-100355090D01* -X68574130Y-100276702D01* -X68610208Y-100189603D01* -X68628600Y-100097138D01* -X68628600Y-100002862D01* -X69371400Y-100002862D01* -X69371400Y-100097138D01* -X69389792Y-100189603D01* -X69425870Y-100276702D01* -X69478247Y-100355090D01* -X69544910Y-100421753D01* -X69623298Y-100474130D01* -X69710397Y-100510208D01* -X69802862Y-100528600D01* -X69897138Y-100528600D01* -X69989603Y-100510208D01* -X70076702Y-100474130D01* -X70155090Y-100421753D01* -X70221753Y-100355090D01* -X70274130Y-100276702D01* -X70310208Y-100189603D01* -X70328600Y-100097138D01* -X70328600Y-100002862D01* -X70310208Y-99910397D01* -X70274130Y-99823298D01* -X70221753Y-99744910D01* -X70155090Y-99678247D01* -X70076702Y-99625870D01* -X69989603Y-99589792D01* -X69897138Y-99571400D01* -X69802862Y-99571400D01* -X69710397Y-99589792D01* -X69623298Y-99625870D01* -X69544910Y-99678247D01* -X69478247Y-99744910D01* -X69425870Y-99823298D01* -X69389792Y-99910397D01* -X69371400Y-100002862D01* -X68628600Y-100002862D01* -X68610208Y-99910397D01* -X68574130Y-99823298D01* -X68521753Y-99744910D01* -X68455090Y-99678247D01* -X68376702Y-99625870D01* -X68289603Y-99589792D01* -X68197138Y-99571400D01* -X68102862Y-99571400D01* -X68010397Y-99589792D01* -X67923298Y-99625870D01* -X67844910Y-99678247D01* -X67778247Y-99744910D01* -X67725870Y-99823298D01* -X67689792Y-99910397D01* -X67671400Y-100002862D01* -X66523217Y-100002862D01* -X65573217Y-99052862D01* -X68521400Y-99052862D01* -X68521400Y-99147138D01* -X68539792Y-99239603D01* -X68575870Y-99326702D01* -X68628247Y-99405090D01* -X68694910Y-99471753D01* -X68773298Y-99524130D01* -X68860397Y-99560208D01* -X68952862Y-99578600D01* -X69047138Y-99578600D01* -X69139603Y-99560208D01* -X69226702Y-99524130D01* -X69305090Y-99471753D01* -X69371753Y-99405090D01* -X69424130Y-99326702D01* -X69460208Y-99239603D01* -X69478600Y-99147138D01* -X69478600Y-99052862D01* -X69460208Y-98960397D01* -X69424130Y-98873298D01* -X69371753Y-98794910D01* -X69305090Y-98728247D01* -X69226702Y-98675870D01* -X69139603Y-98639792D01* -X69047138Y-98621400D01* -X68952862Y-98621400D01* -X68860397Y-98639792D01* -X68773298Y-98675870D01* -X68694910Y-98728247D01* -X68628247Y-98794910D01* -X68575870Y-98873298D01* -X68539792Y-98960397D01* -X68521400Y-99052862D01* -X65573217Y-99052862D01* -X65094407Y-98574053D01* -X65102895Y-98561351D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64652351Y-99011895D01* -X64665053Y-99003407D01* -X75708045Y-110046400D01* -X74475755Y-110046400D01* -X69889226Y-105459872D01* -X69879716Y-105448284D01* -X69833487Y-105410345D01* -X69780744Y-105382154D01* -X69723516Y-105364794D01* -X69678904Y-105360400D01* -X69664000Y-105358932D01* -X69649096Y-105360400D01* -X65188208Y-105360400D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63168600Y-105144412D01* -X63168600Y-104519754D01* -X63604947Y-104083408D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63175592Y-103654053D01* -X62660872Y-104168774D01* -X62649284Y-104178284D01* -X62611345Y-104224514D01* -X62583154Y-104277257D01* -X62571176Y-104316743D01* -X62565794Y-104334485D01* -X62559932Y-104394000D01* -X62561400Y-104408904D01* -X62561400Y-105144412D01* -X62443367Y-104967763D01* -X62291237Y-104815633D01* -X62112351Y-104696105D01* -X61913583Y-104613772D01* -X61702572Y-104571800D01* -X61487428Y-104571800D01* -X61276417Y-104613772D01* -X61077649Y-104696105D01* -X60898763Y-104815633D01* -X60746633Y-104967763D01* -X60627105Y-105146649D01* -X60544772Y-105345417D01* -X60502800Y-105556428D01* -X60003600Y-105556428D01* -X60003600Y-103016428D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61276417Y-104174228D01* -X61487428Y-104216200D01* -X61702572Y-104216200D01* -X61913583Y-104174228D01* -X62112351Y-104091895D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X60003600Y-103016428D01* -X60003600Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64831237Y-101432367D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65102895Y-100066649D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X60003600Y-100476428D01* -X60003600Y-98287359D01* -X60530257Y-98287359D01* -X60574492Y-98433195D01* -X60670029Y-98624808D01* -X60801112Y-98794101D01* -X60962704Y-98934568D01* -X61148595Y-99040811D01* -X61351641Y-99108747D01* -X61521200Y-99076558D01* -X61521200Y-98117800D01* -X61668800Y-98117800D01* -X61668800Y-99076558D01* -X61838359Y-99108747D01* -X62041405Y-99040811D01* -X62227296Y-98934568D01* -X62388888Y-98794101D01* -X62519971Y-98624808D01* -X62615508Y-98433195D01* -X62659743Y-98287359D01* -X62627416Y-98117800D01* -X61668800Y-98117800D01* -X61521200Y-98117800D01* -X60562584Y-98117800D01* -X60530257Y-98287359D01* -X60003600Y-98287359D01* -X60003600Y-97800641D01* -X60530257Y-97800641D01* -X60562584Y-97970200D01* -X61521200Y-97970200D01* -X61521200Y-97011442D01* -X61668800Y-97011442D01* -X61668800Y-97970200D01* -X62627416Y-97970200D01* -X62659743Y-97800641D01* -X62615508Y-97654805D01* -X62519971Y-97463192D01* -X62388888Y-97293899D01* -X62227296Y-97153432D01* -X62041405Y-97047189D01* -X61838359Y-96979253D01* -X61668800Y-97011442D01* -X61521200Y-97011442D01* -X61351641Y-96979253D01* -X61148595Y-97047189D01* -X60962704Y-97153432D01* -X60801112Y-97293899D01* -X60670029Y-97463192D01* -X60574492Y-97654805D01* -X60530257Y-97800641D01* -X60003600Y-97800641D01* -X60003600Y-97375754D01* -X61725755Y-95653600D01* -X65474246Y-95653600D01* -X67874779Y-98054134D01* -X67884284Y-98065716D01* -X67930513Y-98103655D01* -X67983256Y-98131846D01* -X68031053Y-98146345D01* -X68040484Y-98149206D01* -X68100000Y-98155068D01* -X68114904Y-98153600D01* -X69227251Y-98153600D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70078250Y-97801104D01* -X71225756Y-96653600D01* -X77688596Y-96653600D01* -X77703500Y-96655068D01* -X77718404Y-96653600D01* -X77763016Y-96649206D01* -X77820244Y-96631846D01* -X77872987Y-96603655D01* -X77919216Y-96565716D01* -X77928726Y-96554128D01* -X78246605Y-96236250D01* -X78248362Y-96236600D01* -X78342638Y-96236600D01* -X78435103Y-96218208D01* -X78522202Y-96182130D01* -X78600590Y-96129753D01* -X78667253Y-96063090D01* -X78719630Y-95984702D01* -X78755708Y-95897603D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77817250Y-95806895D01* -X77577746Y-96046400D01* -X71114903Y-96046400D01* -X71099999Y-96044932D01* -X71040483Y-96050794D01* -X71029460Y-96054138D01* -X70983256Y-96068154D01* -X70930513Y-96096345D01* -X70884284Y-96134284D01* -X70874783Y-96145861D01* -X69648896Y-97371750D01* -X69647138Y-97371400D01* -X69552862Y-97371400D01* -X69460397Y-97389792D01* -X69373298Y-97425870D01* -X69294910Y-97478247D01* -X69228247Y-97544910D01* -X69227251Y-97546400D01* -X68225755Y-97546400D01* -X67082217Y-96402862D01* -X67421400Y-96402862D01* -X67421400Y-96497138D01* -X67439792Y-96589603D01* -X67475870Y-96676702D01* -X67528247Y-96755090D01* -X67594910Y-96821753D01* -X67673298Y-96874130D01* -X67760397Y-96910208D01* -X67852862Y-96928600D01* -X67947138Y-96928600D01* -X68039603Y-96910208D01* -X68126702Y-96874130D01* -X68205090Y-96821753D01* -X68271753Y-96755090D01* -X68324130Y-96676702D01* -X68360208Y-96589603D01* -X68378600Y-96497138D01* -X68378600Y-96402862D01* -X68360208Y-96310397D01* -X68324130Y-96223298D01* -X68271753Y-96144910D01* -X68205090Y-96078247D01* -X68126702Y-96025870D01* -X68039603Y-95989792D01* -X67947138Y-95971400D01* -X67852862Y-95971400D01* -X67760397Y-95989792D01* -X67673298Y-96025870D01* -X67594910Y-96078247D01* -X67528247Y-96144910D01* -X67475870Y-96223298D01* -X67439792Y-96310397D01* -X67421400Y-96402862D01* -X67082217Y-96402862D01* -X66032954Y-95353600D01* -X69227251Y-95353600D01* -X69228247Y-95355090D01* -X69294910Y-95421753D01* -X69373298Y-95474130D01* -X69460397Y-95510208D01* -X69552862Y-95528600D01* -X69647138Y-95528600D01* -X69739603Y-95510208D01* -X69826702Y-95474130D01* -X69905090Y-95421753D01* -X69971753Y-95355090D01* -X70024130Y-95276702D01* -X70054410Y-95203600D01* -X78518246Y-95203600D01* -X78817401Y-95502756D01* -X78817400Y-102474096D01* -X78815932Y-102489000D01* -X78818675Y-102516846D01* -X78821794Y-102548515D01* -X78839154Y-102605743D01* -X78867345Y-102658486D01* -X78905284Y-102704716D01* -X78916872Y-102714226D01* -X79277750Y-103075104D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79384247Y-103429090D01* -X79450910Y-103495753D01* -X79529298Y-103548130D01* -X79616397Y-103584208D01* -X79708862Y-103602600D01* -X79803138Y-103602600D01* -X79895603Y-103584208D01* -X79982702Y-103548130D01* -X80061090Y-103495753D01* -X80127753Y-103429090D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79707104Y-102645750D01* -X79424600Y-102363246D01* -X79424600Y-95391904D01* -X79426068Y-95377000D01* -X79420206Y-95317484D01* -X79402846Y-95260256D01* -X79374655Y-95207513D01* -X79336716Y-95161284D01* -X79325133Y-95151778D01* -X78869226Y-94695872D01* -X78859716Y-94684284D01* -X78813487Y-94646345D01* -X78760744Y-94618154D01* -X78703516Y-94600794D01* -X78658904Y-94596400D01* -X78644000Y-94594932D01* -X78629096Y-94596400D01* -X69764903Y-94596400D01* -X69752640Y-94595192D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69227251Y-94746400D01* -X61464904Y-94746400D01* -X61450000Y-94744932D01* -X61435096Y-94746400D01* -X61390484Y-94750794D01* -X61333256Y-94768154D01* -X61280513Y-94796345D01* -X61234284Y-94834284D01* -X61224779Y-94845866D01* -X59195872Y-96874774D01* -X59184284Y-96884284D01* -X59146345Y-96930514D01* -X59118154Y-96983257D01* -X59102987Y-97033255D01* -X59100794Y-97040485D01* -X59094932Y-97100000D01* -X59096400Y-97114904D01* -X59096401Y-109735086D01* -X59094932Y-109750000D01* -X59100794Y-109809515D01* -X59114063Y-109853256D01* -X59118155Y-109866744D01* -X59146346Y-109919487D01* -X59184285Y-109965716D01* -X59195867Y-109975221D01* -X63896400Y-114675755D01* -X63896400Y-115537044D01* -X58203600Y-109844246D01* -X58203600Y-96675754D01* -X60725755Y-94153600D01* -X78611246Y-94153600D01* -X79642901Y-95185257D01* -X79642901Y-95385251D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79486292Y-95897603D01* -X79522370Y-95984702D01* -X79574747Y-96063090D01* -X79641410Y-96129753D01* -X79719798Y-96182130D01* -X79806897Y-96218208D01* -X79899362Y-96236600D01* -X79993638Y-96236600D01* -X80086103Y-96218208D01* -X80173202Y-96182130D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80250100Y-95385251D01* -X80250100Y-95074403D01* -X80251568Y-95059499D01* -X80245706Y-94999984D01* -X80240204Y-94981846D01* -X80228346Y-94942756D01* -X80200155Y-94890013D01* -X80162216Y-94843784D01* -X80150639Y-94834283D01* -X78962226Y-93645872D01* -X78952716Y-93634284D01* -X78906487Y-93596345D01* -X78853744Y-93568154D01* -X78796516Y-93550794D01* -X78751904Y-93546400D01* -X78737000Y-93544932D01* -X78722096Y-93546400D01* -X60614904Y-93546400D01* -X60600000Y-93544932D01* -X60585096Y-93546400D01* -X60540484Y-93550794D01* -X60483256Y-93568154D01* -X60430513Y-93596345D01* -X60384284Y-93634284D01* -X60374779Y-93645866D01* -X57695872Y-96324774D01* -X57684284Y-96334284D01* -X57646345Y-96380514D01* -X57618154Y-96433257D01* -X57603819Y-96480513D01* -X57600794Y-96490485D01* -X57594932Y-96550000D01* -X57596400Y-96564904D01* -X57596401Y-109955086D01* -X57594932Y-109970000D01* -X57600794Y-110029515D01* -X57612516Y-110068154D01* -X57618155Y-110086744D01* -X57646346Y-110139487D01* -X57684285Y-110185716D01* -X57695867Y-110195221D01* -X63577400Y-116076756D01* -X63577401Y-118072425D01* -X63484307Y-118134628D01* -X63397628Y-118221307D01* -X63329525Y-118323230D01* -X63291596Y-118414800D01* -X63200404Y-118414800D01* -X63162475Y-118323230D01* -X63153100Y-118309199D01* -X63153100Y-117482741D01* -X63233584Y-117402257D01* -X63233590Y-117402253D01* -X63300253Y-117335590D01* -X63313393Y-117315925D01* -X63328365Y-117297681D01* -X63339492Y-117276864D01* -X63352630Y-117257202D01* -X63361677Y-117235361D01* -X63372807Y-117214539D01* -X63379660Y-117191946D01* -X63388708Y-117170103D01* -X63393321Y-117146910D01* -X63400173Y-117124322D01* -X63402487Y-117100831D01* -X63407100Y-117077638D01* -X63407100Y-117053994D01* -X63409414Y-117030501D01* -X63407100Y-117007007D01* -X63407100Y-116983362D01* -X63402487Y-116960168D01* -X63400173Y-116936679D01* -X63393322Y-116914093D01* -X63388708Y-116890897D01* -X63379659Y-116869051D01* -X63372807Y-116846462D01* -X63361678Y-116825642D01* -X63352630Y-116803798D01* -X63339492Y-116784135D01* -X63328365Y-116763319D01* -X63313392Y-116745073D01* -X63300253Y-116725410D01* -X63283535Y-116708692D01* -X63268558Y-116690442D01* -X63250308Y-116675465D01* -X63233590Y-116658747D01* -X63213927Y-116645608D01* -X63195681Y-116630635D01* -X63174865Y-116619508D01* -X63155202Y-116606370D01* -X63133358Y-116597322D01* -X63112538Y-116586193D01* -X63089949Y-116579341D01* -X63068103Y-116570292D01* -X63044907Y-116565678D01* -X63022321Y-116558827D01* -X62998832Y-116556513D01* -X62975638Y-116551900D01* -X62951993Y-116551900D01* -X62928499Y-116549586D01* -X62905006Y-116551900D01* -X62881362Y-116551900D01* -X62858169Y-116556513D01* -X62834678Y-116558827D01* -X62812090Y-116565679D01* -X62788897Y-116570292D01* -X62767054Y-116579340D01* -X62744461Y-116586193D01* -X62723639Y-116597323D01* -X62701798Y-116606370D01* -X62682136Y-116619508D01* -X62661319Y-116630635D01* -X62643075Y-116645607D01* -X62623410Y-116658747D01* -X62556747Y-116725410D01* -X62556743Y-116725416D01* -X62352701Y-116929457D01* -X62334442Y-116944442D01* -X62274634Y-117017318D01* -X62230192Y-117100463D01* -X62202826Y-117190679D01* -X62195900Y-117260997D01* -X62195900Y-117261004D01* -X62193586Y-117284500D01* -X62195900Y-117307996D01* -X62195901Y-118153034D01* -X62127628Y-118221307D01* -X62059525Y-118323230D01* -X62021596Y-118414800D01* -X61930404Y-118414800D01* -X61905845Y-118355509D01* -X62054133Y-118207222D01* -X62065716Y-118197716D01* -X62103655Y-118151487D01* -X62131846Y-118098744D01* -X62149206Y-118041516D01* -X62153600Y-117996904D01* -X62155068Y-117982000D01* -X62153600Y-117967096D01* -X62153600Y-115414903D01* -X62155068Y-115399999D01* -X62149206Y-115340484D01* -X62131846Y-115283256D01* -X62103655Y-115230513D01* -X62065716Y-115184284D01* -X62054135Y-115174780D01* -X57203600Y-110324246D01* -X57203600Y-95425754D01* -X59525755Y-93103600D01* -X78704246Y-93103600D01* -X80722401Y-95121756D01* -X80722400Y-95385251D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80654247Y-96063090D01* -X80720910Y-96129753D01* -X80799298Y-96182130D01* -X80886397Y-96218208D01* -X80978862Y-96236600D01* -X81073138Y-96236600D01* -X81165603Y-96218208D01* -X81252702Y-96182130D01* -X81331090Y-96129753D01* -X81397753Y-96063090D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81329600Y-95385251D01* -X81329600Y-95010904D01* -X81331068Y-94996000D01* -X81325206Y-94936484D01* -X81320050Y-94919487D01* -X81307846Y-94879256D01* -X81279655Y-94826513D01* -X81241716Y-94780284D01* -X81230134Y-94770779D01* -X79055226Y-92595872D01* -X79045716Y-92584284D01* -X78999487Y-92546345D01* -X78946744Y-92518154D01* -X78889516Y-92500794D01* -X78844904Y-92496400D01* -X78830000Y-92494932D01* -X78815096Y-92496400D01* -X59414904Y-92496400D01* -X59400000Y-92494932D01* -X59355744Y-92499291D01* -X59340484Y-92500794D01* -X59283256Y-92518154D01* -X59230513Y-92546345D01* -X59184284Y-92584284D01* -X59174779Y-92595866D01* -X56695872Y-95074774D01* -X56684284Y-95084284D01* -X56646345Y-95130514D01* -X56618154Y-95183257D01* -X56604282Y-95228986D01* -X56600794Y-95240485D01* -X56594932Y-95300000D01* -X56596400Y-95314904D01* -X56596401Y-110435086D01* -X56594932Y-110450000D01* -X56600794Y-110509515D01* -X56604507Y-110521753D01* -X56618155Y-110566744D01* -X56646346Y-110619487D01* -X56684285Y-110665716D01* -X56695867Y-110675221D01* -X61546400Y-115525755D01* -X61546401Y-117856244D01* -X61406173Y-117996472D01* -X61402291Y-117995700D01* -X61279709Y-117995700D01* -X61159482Y-118019615D01* -X61046230Y-118066525D01* -X60944307Y-118134628D01* -X60857628Y-118221307D01* -X60789525Y-118323230D01* -X60742615Y-118436482D01* -X60718700Y-118556709D01* -X60718700Y-118679291D01* -X60742615Y-118799518D01* -X60789525Y-118912770D01* -X60857628Y-119014693D01* -X60944307Y-119101372D01* -X61046230Y-119169475D01* -X61137800Y-119207404D01* -X61137800Y-119298596D01* -X61046230Y-119336525D01* -X60944307Y-119404628D01* -X60857628Y-119491307D01* -X60789525Y-119593230D01* -X60742615Y-119706482D01* -X60718700Y-119826709D01* -X60718700Y-119949291D01* -X60742615Y-120069518D01* -X60789525Y-120182770D01* -X60857628Y-120284693D01* -X60944307Y-120371372D01* -X61046230Y-120439475D01* -X61159482Y-120486385D01* -X61279709Y-120510300D01* -X61402291Y-120510300D01* -X61522518Y-120486385D01* -X61635770Y-120439475D01* -X61737693Y-120371372D01* -X61824372Y-120284693D01* -X61892475Y-120182770D01* -X61930404Y-120091200D01* -X62021596Y-120091200D01* -X62059525Y-120182770D01* -X62127628Y-120284693D01* -X62214307Y-120371372D01* -X62316230Y-120439475D01* -X62429482Y-120486385D01* -X62549709Y-120510300D01* -X62672291Y-120510300D01* -X62792518Y-120486385D01* -X62895550Y-120443708D01* -X62865345Y-120480514D01* -X62837154Y-120533257D01* -X62824755Y-120574130D01* -X62819794Y-120590485D01* -X62813932Y-120650000D01* -X62815400Y-120664904D01* -X62815400Y-120778245D01* -X62406872Y-121186774D01* -X62395284Y-121196284D01* -X62357345Y-121242514D01* -X62329154Y-121295257D01* -X62317524Y-121333596D01* -X62311794Y-121352485D01* -X62305932Y-121412000D01* -X62307400Y-121426904D01* -X62307401Y-122613243D01* -X60474246Y-124446400D01* -X57525756Y-124446400D01* -X56203600Y-123124246D01* -X56203600Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X56203600Y-121653531D01* -X56203600Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X56203600Y-119181702D01* -X56203600Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60973679Y-117812918D01* -X61170918Y-117615679D01* -X61325888Y-117383751D01* -X61432632Y-117126047D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X56203600Y-116573531D01* -X56203600Y-94375754D01* -X58675755Y-91903600D01* -X78774246Y-91903600D01* -X81611400Y-94740755D01* -X81611401Y-96267243D01* -X80440872Y-97437774D01* -X80429284Y-97447284D01* -X80391345Y-97493514D01* -X80363154Y-97546257D01* -X80354515Y-97574736D01* -X80345794Y-97603485D01* -X80339932Y-97663000D01* -X80341400Y-97677904D01* -X80341401Y-103252244D01* -X79884246Y-103709400D01* -X78230755Y-103709400D01* -X77694250Y-103172896D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76844247Y-103429090D01* -X76910910Y-103495753D01* -X76989298Y-103548130D01* -X77076397Y-103584208D01* -X77168862Y-103602600D01* -X77263138Y-103602600D01* -X77264896Y-103602250D01* -X77879779Y-104217134D01* -X77889284Y-104228716D01* -X77935513Y-104266655D01* -X77988256Y-104294846D01* -X78036613Y-104309515D01* -X78045484Y-104312206D01* -X78105000Y-104318068D01* -X78119904Y-104316600D01* -X79995096Y-104316600D01* -X80010000Y-104318068D01* -X80024904Y-104316600D01* -X80069516Y-104312206D01* -X80126744Y-104294846D01* -X80179487Y-104266655D01* -X80225716Y-104228716D01* -X80235226Y-104217128D01* -X80849133Y-103603221D01* -X80860716Y-103593716D01* -X80898655Y-103547487D01* -X80926846Y-103494744D01* -X80944206Y-103437516D01* -X80948600Y-103392904D01* -X80950068Y-103378000D01* -X80948600Y-103363096D01* -X80948600Y-97788754D01* -X82119139Y-96618217D01* -X82130716Y-96608716D01* -X82168655Y-96562487D01* -X82196846Y-96509744D01* -X82214206Y-96452516D01* -X82218600Y-96407904D01* -X82220068Y-96393001D01* -X82218600Y-96378097D01* -X82218600Y-94629904D01* -X82220068Y-94615000D01* -X82214206Y-94555484D01* -X82209226Y-94539068D01* -X82196846Y-94498256D01* -X82168655Y-94445513D01* -X82130716Y-94399284D01* -X82119135Y-94389780D01* -X79125226Y-91395872D01* -X79115716Y-91384284D01* -X79069487Y-91346345D01* -X79016744Y-91318154D01* -X78959516Y-91300794D01* -X78914904Y-91296400D01* -X78900000Y-91294932D01* -X78885096Y-91296400D01* -X58564904Y-91296400D01* -X58550000Y-91294932D01* -X58535096Y-91296400D01* -X58490484Y-91300794D01* -X58433256Y-91318154D01* -X58380513Y-91346345D01* -X58334284Y-91384284D01* -X58324779Y-91395866D01* -X55695867Y-94024779D01* -X55684285Y-94034284D01* -X55646346Y-94080513D01* -X55622683Y-94124784D01* -X55618155Y-94133256D01* -X55600794Y-94190485D01* -X55594932Y-94250000D01* -X55596401Y-94264914D01* -X55596400Y-123235096D01* -X55594932Y-123250000D01* -X55596400Y-123264903D01* -X55600794Y-123309515D01* -X55618154Y-123366743D01* -X55646345Y-123419486D01* -X55684284Y-123465716D01* -X55695872Y-123475226D01* -X57174783Y-124954139D01* -X57184284Y-124965716D01* -X57230513Y-125003655D01* -X57283256Y-125031846D01* -X57323123Y-125043940D01* -X57340483Y-125049206D01* -X57399999Y-125055068D01* -X57414903Y-125053600D01* -X60585096Y-125053600D01* -X60600000Y-125055068D01* -X60614904Y-125053600D01* -X60659516Y-125049206D01* -X60716744Y-125031846D01* -X60769487Y-125003655D01* -X60815716Y-124965716D01* -X60825226Y-124954128D01* -X62815139Y-122964217D01* -X62826716Y-122954716D01* -X62864655Y-122908487D01* -X62892846Y-122855744D01* -X62910206Y-122798516D01* -X62913196Y-122768155D01* -X62916068Y-122739001D01* -X62914600Y-122724097D01* -X62914600Y-121537754D01* -X63323133Y-121129222D01* -X63334716Y-121119716D01* -X63372655Y-121073487D01* -X63400846Y-121020744D01* -X63410646Y-120988437D01* -X63418206Y-120963517D01* -X63424068Y-120904001D01* -X63422600Y-120889097D01* -X63422600Y-120775754D01* -X63709898Y-120488457D01* -X63819709Y-120510300D01* -X63942291Y-120510300D01* -X64062518Y-120486385D01* -X64175770Y-120439475D01* -X64277693Y-120371372D01* -X64364372Y-120284693D01* -X64432475Y-120182770D01* -X64470404Y-120091200D01* -X64562250Y-120091200D01* -X64593982Y-120172157D01* -X64605270Y-120193274D01* -X64700468Y-120234163D01* -X64843431Y-120091200D01* -X65052169Y-120091200D01* -X64804837Y-120338532D01* -X64845726Y-120433730D01* -X64958058Y-120482801D01* -X65077805Y-120509013D01* -X65180740Y-120510985D01* -X65115249Y-120538112D01* -X64883321Y-120693082D01* -X64686082Y-120890321D01* -X64531112Y-121122249D01* -X64454075Y-121308232D01* -X64440090Y-121294247D01* -X64361702Y-121241870D01* -X64274603Y-121205792D01* -X64182138Y-121187400D01* -X64087862Y-121187400D01* -X63995397Y-121205792D01* -X63908298Y-121241870D01* -X63829910Y-121294247D01* -X63763247Y-121360910D01* -X63710870Y-121439298D01* -X63674792Y-121526397D01* -X63656400Y-121618862D01* -X63656400Y-121713138D01* -X63674792Y-121805603D01* -X63710870Y-121892702D01* -X63763247Y-121971090D01* -X63829910Y-122037753D01* -X63908298Y-122090130D01* -X63995397Y-122126208D01* -X64087862Y-122144600D01* -X64182138Y-122144600D01* -X64274603Y-122126208D01* -X64361702Y-122090130D01* -X64396664Y-122066769D01* -X64424368Y-122206047D01* -X64531112Y-122463751D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67134575Y-121348429D01* -X67260401Y-121474256D01* -X67260400Y-124760245D01* -X66124246Y-125896400D01* -X57175756Y-125896400D01* -X54903600Y-123624246D01* -X54903600Y-94025754D01* -X58325755Y-90603600D01* -X78617246Y-90603600D01* -X82373401Y-94359757D01* -X82373400Y-96775244D01* -X81202867Y-97945779D01* -X81191285Y-97955284D01* -X81153346Y-98001513D01* -X81132987Y-98039603D01* -X81125155Y-98054256D01* -X81107794Y-98111485D01* -X81101932Y-98171000D01* -X81103401Y-98185914D01* -X81103400Y-103506245D01* -X79845867Y-104763779D01* -X79834285Y-104773284D01* -X79796346Y-104819513D01* -X79788017Y-104835096D01* -X79768155Y-104872256D01* -X79750794Y-104929485D01* -X79744932Y-104989000D01* -X79746401Y-105003914D01* -X79746400Y-107277251D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80355090Y-108021753D01* -X80421753Y-107955090D01* -X80474130Y-107876702D01* -X80510208Y-107789603D01* -X80528600Y-107697138D01* -X80528600Y-107602862D01* -X80510208Y-107510397D01* -X80474130Y-107423298D01* -X80421753Y-107344910D01* -X80355090Y-107278247D01* -X80353600Y-107277251D01* -X80353600Y-105553362D01* -X80547400Y-105553362D01* -X80547400Y-105647638D01* -X80565792Y-105740103D01* -X80601870Y-105827202D01* -X80654247Y-105905590D01* -X80720910Y-105972253D01* -X80799298Y-106024630D01* -X80886397Y-106060708D01* -X80978862Y-106079100D01* -X81073138Y-106079100D01* -X81165603Y-106060708D01* -X81252702Y-106024630D01* -X81331090Y-105972253D01* -X81397753Y-105905590D01* -X81450130Y-105827202D01* -X81486208Y-105740103D01* -X81504600Y-105647638D01* -X81504600Y-105553362D01* -X81486208Y-105460897D01* -X81450130Y-105373798D01* -X81397753Y-105295410D01* -X81331090Y-105228747D01* -X81252702Y-105176370D01* -X81165603Y-105140292D01* -X81073138Y-105121900D01* -X80978862Y-105121900D01* -X80886397Y-105140292D01* -X80799298Y-105176370D01* -X80720910Y-105228747D01* -X80654247Y-105295410D01* -X80601870Y-105373798D01* -X80565792Y-105460897D01* -X80547400Y-105553362D01* -X80353600Y-105553362D01* -X80353600Y-105114754D01* -X80415492Y-105052862D01* -X82321400Y-105052862D01* -X82321400Y-105147138D01* -X82339792Y-105239603D01* -X82375870Y-105326702D01* -X82428247Y-105405090D01* -X82494910Y-105471753D01* -X82573298Y-105524130D01* -X82660397Y-105560208D01* -X82752862Y-105578600D01* -X82847138Y-105578600D01* -X82939603Y-105560208D01* -X83026702Y-105524130D01* -X83105090Y-105471753D01* -X83171753Y-105405090D01* -X83224130Y-105326702D01* -X83260208Y-105239603D01* -X83278600Y-105147138D01* -X83278600Y-105052862D01* -X83260208Y-104960397D01* -X83224130Y-104873298D01* -X83171753Y-104794910D01* -X83105090Y-104728247D01* -X83026702Y-104675870D01* -X82939603Y-104639792D01* -X82847138Y-104621400D01* -X82752862Y-104621400D01* -X82660397Y-104639792D01* -X82573298Y-104675870D01* -X82494910Y-104728247D01* -X82428247Y-104794910D01* -X82375870Y-104873298D01* -X82339792Y-104960397D01* -X82321400Y-105052862D01* -X80415492Y-105052862D01* -X81215492Y-104252862D01* -X81471400Y-104252862D01* -X81471400Y-104347138D01* -X81489792Y-104439603D01* -X81525870Y-104526702D01* -X81578247Y-104605090D01* -X81644910Y-104671753D01* -X81723298Y-104724130D01* -X81810397Y-104760208D01* -X81902862Y-104778600D01* -X81997138Y-104778600D01* -X82089603Y-104760208D01* -X82176702Y-104724130D01* -X82255090Y-104671753D01* -X82321753Y-104605090D01* -X82374130Y-104526702D01* -X82410208Y-104439603D01* -X82428600Y-104347138D01* -X82428600Y-104252862D01* -X82410208Y-104160397D01* -X82374130Y-104073298D01* -X82321753Y-103994910D01* -X82255090Y-103928247D01* -X82176702Y-103875870D01* -X82089603Y-103839792D01* -X81997138Y-103821400D01* -X81902862Y-103821400D01* -X81810397Y-103839792D01* -X81723298Y-103875870D01* -X81644910Y-103928247D01* -X81578247Y-103994910D01* -X81525870Y-104073298D01* -X81489792Y-104160397D01* -X81471400Y-104252862D01* -X81215492Y-104252862D01* -X81611135Y-103857220D01* -X81622716Y-103847716D01* -X81660655Y-103801487D01* -X81688846Y-103748744D01* -X81706206Y-103691516D01* -X81710600Y-103646904D01* -X81710600Y-103646903D01* -X81712068Y-103632000D01* -X81710600Y-103617096D01* -X81710600Y-102402862D01* -X83771400Y-102402862D01* -X83771400Y-102497138D01* -X83789792Y-102589603D01* -X83825870Y-102676702D01* -X83878247Y-102755090D01* -X83944910Y-102821753D01* -X84023298Y-102874130D01* -X84110397Y-102910208D01* -X84202862Y-102928600D01* -X84297138Y-102928600D01* -X84389603Y-102910208D01* -X84476702Y-102874130D01* -X84555090Y-102821753D01* -X84621753Y-102755090D01* -X84674130Y-102676702D01* -X84710208Y-102589603D01* -X84728600Y-102497138D01* -X84728600Y-102402862D01* -X84710208Y-102310397D01* -X84674130Y-102223298D01* -X84621753Y-102144910D01* -X84555090Y-102078247D01* -X84476702Y-102025870D01* -X84389603Y-101989792D01* -X84297138Y-101971400D01* -X84202862Y-101971400D01* -X84110397Y-101989792D01* -X84023298Y-102025870D01* -X83944910Y-102078247D01* -X83878247Y-102144910D01* -X83825870Y-102223298D01* -X83789792Y-102310397D01* -X83771400Y-102402862D01* -X81710600Y-102402862D01* -X81710600Y-101452862D01* -X83021400Y-101452862D01* -X83021400Y-101547138D01* -X83039792Y-101639603D01* -X83075870Y-101726702D01* -X83128247Y-101805090D01* -X83194910Y-101871753D01* -X83273298Y-101924130D01* -X83360397Y-101960208D01* -X83452862Y-101978600D01* -X83547138Y-101978600D01* -X83639603Y-101960208D01* -X83726702Y-101924130D01* -X83805090Y-101871753D01* -X83871753Y-101805090D01* -X83924130Y-101726702D01* -X83960208Y-101639603D01* -X83978600Y-101547138D01* -X83978600Y-101452862D01* -X83960208Y-101360397D01* -X83957087Y-101352862D01* -X84771400Y-101352862D01* -X84771400Y-101447138D01* -X84789792Y-101539603D01* -X84825870Y-101626702D01* -X84878247Y-101705090D01* -X84944910Y-101771753D01* -X85023298Y-101824130D01* -X85110397Y-101860208D01* -X85202862Y-101878600D01* -X85297138Y-101878600D01* -X85389603Y-101860208D01* -X85476702Y-101824130D01* -X85555090Y-101771753D01* -X85621753Y-101705090D01* -X85674130Y-101626702D01* -X85710208Y-101539603D01* -X85728600Y-101447138D01* -X85728600Y-101352862D01* -X85710208Y-101260397D01* -X85674130Y-101173298D01* -X85621753Y-101094910D01* -X85555090Y-101028247D01* -X85476702Y-100975870D01* -X85389603Y-100939792D01* -X85297138Y-100921400D01* -X85202862Y-100921400D01* -X85110397Y-100939792D01* -X85023298Y-100975870D01* -X84944910Y-101028247D01* -X84878247Y-101094910D01* -X84825870Y-101173298D01* -X84789792Y-101260397D01* -X84771400Y-101352862D01* -X83957087Y-101352862D01* -X83924130Y-101273298D01* -X83871753Y-101194910D01* -X83805090Y-101128247D01* -X83726702Y-101075870D01* -X83639603Y-101039792D01* -X83547138Y-101021400D01* -X83452862Y-101021400D01* -X83360397Y-101039792D01* -X83273298Y-101075870D01* -X83194910Y-101128247D01* -X83128247Y-101194910D01* -X83075870Y-101273298D01* -X83039792Y-101360397D01* -X83021400Y-101452862D01* -X81710600Y-101452862D01* -X81710600Y-99402862D01* -X82471400Y-99402862D01* -X82471400Y-99497138D01* -X82489792Y-99589603D01* -X82525870Y-99676702D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83322749Y-99753600D01* -X83885096Y-99753600D01* -X83900000Y-99755068D01* -X83914904Y-99753600D01* -X83959516Y-99749206D01* -X84016744Y-99731846D01* -X84069487Y-99703655D01* -X84115716Y-99665716D01* -X84125226Y-99654128D01* -X84476492Y-99302862D01* -X84771400Y-99302862D01* -X84771400Y-99397138D01* -X84789792Y-99489603D01* -X84825870Y-99576702D01* -X84878247Y-99655090D01* -X84944910Y-99721753D01* -X85023298Y-99774130D01* -X85110397Y-99810208D01* -X85202862Y-99828600D01* -X85297138Y-99828600D01* -X85389603Y-99810208D01* -X85476702Y-99774130D01* -X85555090Y-99721753D01* -X85621753Y-99655090D01* -X85674130Y-99576702D01* -X85710208Y-99489603D01* -X85728600Y-99397138D01* -X85728600Y-99302862D01* -X85710208Y-99210397D01* -X85674130Y-99123298D01* -X85621753Y-99044910D01* -X85555090Y-98978247D01* -X85476702Y-98925870D01* -X85389603Y-98889792D01* -X85297138Y-98871400D01* -X85202862Y-98871400D01* -X85110397Y-98889792D01* -X85023298Y-98925870D01* -X84944910Y-98978247D01* -X84878247Y-99044910D01* -X84825870Y-99123298D01* -X84789792Y-99210397D01* -X84771400Y-99302862D01* -X84476492Y-99302862D01* -X86075755Y-97703600D01* -X88077251Y-97703600D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88077251Y-97096400D01* -X85964904Y-97096400D01* -X85950000Y-97094932D01* -X85935096Y-97096400D01* -X85890484Y-97100794D01* -X85833256Y-97118154D01* -X85780513Y-97146345D01* -X85734284Y-97184284D01* -X85724779Y-97195866D01* -X83774246Y-99146400D01* -X83322749Y-99146400D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82525870Y-99223298D01* -X82489792Y-99310397D01* -X82471400Y-99402862D01* -X81710600Y-99402862D01* -X81710600Y-98552862D01* -X83021400Y-98552862D01* -X83021400Y-98647138D01* -X83039792Y-98739603D01* -X83075870Y-98826702D01* -X83128247Y-98905090D01* -X83194910Y-98971753D01* -X83273298Y-99024130D01* -X83360397Y-99060208D01* -X83452862Y-99078600D01* -X83547138Y-99078600D01* -X83639603Y-99060208D01* -X83726702Y-99024130D01* -X83805090Y-98971753D01* -X83871753Y-98905090D01* -X83924130Y-98826702D01* -X83960208Y-98739603D01* -X83978600Y-98647138D01* -X83978600Y-98552862D01* -X83960208Y-98460397D01* -X83924130Y-98373298D01* -X83871753Y-98294910D01* -X83805090Y-98228247D01* -X83726702Y-98175870D01* -X83639603Y-98139792D01* -X83547138Y-98121400D01* -X83452862Y-98121400D01* -X83360397Y-98139792D01* -X83273298Y-98175870D01* -X83194910Y-98228247D01* -X83128247Y-98294910D01* -X83075870Y-98373298D01* -X83039792Y-98460397D01* -X83021400Y-98552862D01* -X81710600Y-98552862D01* -X81710600Y-98296754D01* -X82881140Y-97126216D01* -X82892716Y-97116716D01* -X82930655Y-97070487D01* -X82958846Y-97017744D01* -X82976206Y-96960516D01* -X82980600Y-96915904D01* -X82980600Y-96915903D01* -X82982068Y-96901001D01* -X82980600Y-96886097D01* -X82980600Y-95552862D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89478600Y-95647138D01* -X89478600Y-95552862D01* -X89478250Y-95551104D01* -X89581490Y-95447865D01* -X89589792Y-95489603D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90474130Y-95123298D01* -X90421753Y-95044910D01* -X90355090Y-94978247D01* -X90353600Y-94977251D01* -X90353600Y-94802862D01* -X90521400Y-94802862D01* -X90521400Y-94897138D01* -X90539792Y-94989603D01* -X90575870Y-95076702D01* -X90628247Y-95155090D01* -X90694910Y-95221753D01* -X90773298Y-95274130D01* -X90860397Y-95310208D01* -X90952862Y-95328600D01* -X91047138Y-95328600D01* -X91139603Y-95310208D01* -X91226702Y-95274130D01* -X91305090Y-95221753D01* -X91371753Y-95155090D01* -X91424130Y-95076702D01* -X91460208Y-94989603D01* -X91478600Y-94897138D01* -X91478600Y-94802862D01* -X91460208Y-94710397D01* -X91455902Y-94700000D01* -X95273800Y-94700000D01* -X95273800Y-95600000D01* -X95275264Y-95614866D01* -X95279600Y-95629160D01* -X95286642Y-95642334D01* -X95296118Y-95653882D01* -X96523800Y-96881564D01* -X96523800Y-97100000D01* -X96525264Y-97114866D01* -X96529600Y-97129160D01* -X96536642Y-97142334D01* -X96546118Y-97153882D01* -X96946118Y-97553882D01* -X96957666Y-97563358D01* -X96970840Y-97570400D01* -X96985134Y-97574736D01* -X97000000Y-97576200D01* -X97450000Y-97576200D01* -X97464866Y-97574736D01* -X97479160Y-97570400D01* -X97492334Y-97563358D01* -X97503882Y-97553882D01* -X97681564Y-97376200D01* -X99318436Y-97376200D01* -X99573800Y-97631564D01* -X99573800Y-98568436D01* -X98646118Y-99496118D01* -X98636642Y-99507666D01* -X98629600Y-99520840D01* -X98625264Y-99535134D01* -X98623800Y-99550000D01* -X98623800Y-104400000D01* -X98625264Y-104414866D01* -X98629600Y-104429160D01* -X98636642Y-104442334D01* -X98646118Y-104453882D01* -X99996118Y-105803882D01* -X100007666Y-105813358D01* -X100020840Y-105820400D01* -X100035134Y-105824736D01* -X100050000Y-105826200D01* -X102118436Y-105826200D01* -X103096118Y-106803882D01* -X103107666Y-106813358D01* -X103120840Y-106820400D01* -X103135134Y-106824736D01* -X103150000Y-106826200D01* -X104750000Y-106826200D01* -X104764866Y-106824736D01* -X104779160Y-106820400D01* -X104792334Y-106813358D01* -X104803882Y-106803882D01* -X106831564Y-104776200D01* -X107568436Y-104776200D01* -X108023800Y-105231564D01* -X108023800Y-112200000D01* -X108025264Y-112214866D01* -X108029600Y-112229160D01* -X108036642Y-112242334D01* -X108046118Y-112253882D01* -X109296118Y-113503882D01* -X109307666Y-113513358D01* -X109320840Y-113520400D01* -X109335134Y-113524736D01* -X109350000Y-113526200D01* -X122773102Y-113526200D01* -X122785946Y-113590769D01* -X122822326Y-113678597D01* -X122875140Y-113757640D01* -X122942360Y-113824860D01* -X123021403Y-113877674D01* -X123109231Y-113914054D01* -X123202468Y-113932600D01* -X123297532Y-113932600D01* -X123390769Y-113914054D01* -X123478597Y-113877674D01* -X123557640Y-113824860D01* -X123624860Y-113757640D01* -X123677674Y-113678597D01* -X123714054Y-113590769D01* -X123726898Y-113526200D01* -X125500000Y-113526200D01* -X125514866Y-113524736D01* -X125529160Y-113520400D01* -X125542334Y-113513358D01* -X125553882Y-113503882D01* -X125563358Y-113492334D01* -X125570400Y-113479160D01* -X125574736Y-113464866D01* -X125576200Y-113450000D01* -X125576200Y-112328600D01* -X125597138Y-112328600D01* -X125689603Y-112310208D01* -X125776702Y-112274130D01* -X125855090Y-112221753D01* -X125921753Y-112155090D01* -X125974130Y-112076702D01* -X126010208Y-111989603D01* -X126018495Y-111947937D01* -X126821400Y-111947937D01* -X126821400Y-112052063D01* -X126841713Y-112154187D01* -X126881560Y-112250386D01* -X126939409Y-112336963D01* -X127013037Y-112410591D01* -X127099614Y-112468440D01* -X127195813Y-112508287D01* -X127297937Y-112528600D01* -X127402063Y-112528600D01* -X127504187Y-112508287D01* -X127600386Y-112468440D01* -X127686963Y-112410591D01* -X127760591Y-112336963D01* -X127818440Y-112250386D01* -X127858287Y-112154187D01* -X127878600Y-112052063D01* -X127878600Y-111947937D01* -X127858287Y-111845813D01* -X127818440Y-111749614D01* -X127760591Y-111663037D01* -X127686963Y-111589409D01* -X127600386Y-111531560D01* -X127504187Y-111491713D01* -X127402063Y-111471400D01* -X127297937Y-111471400D01* -X127195813Y-111491713D01* -X127099614Y-111531560D01* -X127013037Y-111589409D01* -X126939409Y-111663037D01* -X126881560Y-111749614D01* -X126841713Y-111845813D01* -X126821400Y-111947937D01* -X126018495Y-111947937D01* -X126028600Y-111897138D01* -X126028600Y-111802862D01* -X126010208Y-111710397D01* -X125974130Y-111623298D01* -X125921753Y-111544910D01* -X125855090Y-111478247D01* -X125776702Y-111425870D01* -X125689603Y-111389792D01* -X125597138Y-111371400D01* -X125576200Y-111371400D01* -X125576200Y-110947937D01* -X125921400Y-110947937D01* -X125921400Y-111052063D01* -X125941713Y-111154187D01* -X125981560Y-111250386D01* -X126039409Y-111336963D01* -X126113037Y-111410591D01* -X126199614Y-111468440D01* -X126295813Y-111508287D01* -X126397937Y-111528600D01* -X126502063Y-111528600D01* -X126604187Y-111508287D01* -X126700386Y-111468440D01* -X126786963Y-111410591D01* -X126860591Y-111336963D01* -X126918440Y-111250386D01* -X126958287Y-111154187D01* -X126978600Y-111052063D01* -X126978600Y-110947937D01* -X126958287Y-110845813D01* -X126918440Y-110749614D01* -X126860591Y-110663037D01* -X126786963Y-110589409D01* -X126700386Y-110531560D01* -X126604187Y-110491713D01* -X126502063Y-110471400D01* -X126397937Y-110471400D01* -X126295813Y-110491713D01* -X126199614Y-110531560D01* -X126113037Y-110589409D01* -X126039409Y-110663037D01* -X125981560Y-110749614D01* -X125941713Y-110845813D01* -X125921400Y-110947937D01* -X125576200Y-110947937D01* -X125576200Y-107528600D01* -X125597138Y-107528600D01* -X125689603Y-107510208D01* -X125776702Y-107474130D01* -X125855090Y-107421753D01* -X125921753Y-107355090D01* -X125974130Y-107276702D01* -X125990124Y-107238088D01* -X126821400Y-107238088D01* -X126821400Y-107361912D01* -X126845556Y-107483356D01* -X126892941Y-107597754D01* -X126961734Y-107700709D01* -X127049291Y-107788266D01* -X127152246Y-107857059D01* -X127266644Y-107904444D01* -X127388088Y-107928600D01* -X127511912Y-107928600D01* -X127633356Y-107904444D01* -X127747754Y-107857059D01* -X127850709Y-107788266D01* -X127938266Y-107700709D01* -X128007059Y-107597754D01* -X128054444Y-107483356D01* -X128078600Y-107361912D01* -X128078600Y-107238088D01* -X128054444Y-107116644D01* -X128007059Y-107002246D01* -X127938266Y-106899291D01* -X127850709Y-106811734D01* -X127747754Y-106742941D01* -X127633356Y-106695556D01* -X127511912Y-106671400D01* -X127388088Y-106671400D01* -X127266644Y-106695556D01* -X127152246Y-106742941D01* -X127049291Y-106811734D01* -X126961734Y-106899291D01* -X126892941Y-107002246D01* -X126845556Y-107116644D01* -X126821400Y-107238088D01* -X125990124Y-107238088D01* -X126010208Y-107189603D01* -X126028600Y-107097138D01* -X126028600Y-107002862D01* -X126010208Y-106910397D01* -X125974130Y-106823298D01* -X125921753Y-106744910D01* -X125855090Y-106678247D01* -X125776702Y-106625870D01* -X125689603Y-106589792D01* -X125597138Y-106571400D01* -X125576200Y-106571400D01* -X125576200Y-106147937D01* -X125921400Y-106147937D01* -X125921400Y-106252063D01* -X125941713Y-106354187D01* -X125981560Y-106450386D01* -X126039409Y-106536963D01* -X126113037Y-106610591D01* -X126199614Y-106668440D01* -X126295813Y-106708287D01* -X126397937Y-106728600D01* -X126502063Y-106728600D01* -X126604187Y-106708287D01* -X126700386Y-106668440D01* -X126786963Y-106610591D01* -X126860591Y-106536963D01* -X126918440Y-106450386D01* -X126958287Y-106354187D01* -X126978600Y-106252063D01* -X126978600Y-106147937D01* -X126958287Y-106045813D01* -X126918440Y-105949614D01* -X126860591Y-105863037D01* -X126786963Y-105789409D01* -X126700386Y-105731560D01* -X126604187Y-105691713D01* -X126502063Y-105671400D01* -X126397937Y-105671400D01* -X126295813Y-105691713D01* -X126199614Y-105731560D01* -X126113037Y-105789409D01* -X126039409Y-105863037D01* -X125981560Y-105949614D01* -X125941713Y-106045813D01* -X125921400Y-106147937D01* -X125576200Y-106147937D01* -X125576200Y-103528600D01* -X125597138Y-103528600D01* -X125689603Y-103510208D01* -X125776702Y-103474130D01* -X125855090Y-103421753D01* -X125921753Y-103355090D01* -X125974130Y-103276702D01* -X125989349Y-103239960D01* -X126840400Y-103239960D01* -X126840400Y-103360040D01* -X126863826Y-103477814D01* -X126909779Y-103588754D01* -X126976492Y-103688598D01* -X127061402Y-103773508D01* -X127161246Y-103840221D01* -X127272186Y-103886174D01* -X127389960Y-103909600D01* -X127510040Y-103909600D01* -X127627814Y-103886174D01* -X127738754Y-103840221D01* -X127838598Y-103773508D01* -X127923508Y-103688598D01* -X127990221Y-103588754D01* -X128036174Y-103477814D01* -X128059600Y-103360040D01* -X128059600Y-103239960D01* -X128036174Y-103122186D01* -X127990221Y-103011246D01* -X127923508Y-102911402D01* -X127838598Y-102826492D01* -X127738754Y-102759779D01* -X127627814Y-102713826D01* -X127510040Y-102690400D01* -X127389960Y-102690400D01* -X127272186Y-102713826D01* -X127161246Y-102759779D01* -X127061402Y-102826492D01* -X126976492Y-102911402D01* -X126909779Y-103011246D01* -X126863826Y-103122186D01* -X126840400Y-103239960D01* -X125989349Y-103239960D01* -X126010208Y-103189603D01* -X126028600Y-103097138D01* -X126028600Y-103002862D01* -X126010208Y-102910397D01* -X125974130Y-102823298D01* -X125921753Y-102744910D01* -X125855090Y-102678247D01* -X125776702Y-102625870D01* -X125689603Y-102589792D01* -X125597138Y-102571400D01* -X125576200Y-102571400D01* -X125576200Y-102038088D01* -X125821400Y-102038088D01* -X125821400Y-102161912D01* -X125845556Y-102283356D01* -X125892941Y-102397754D01* -X125961734Y-102500709D01* -X126049291Y-102588266D01* -X126152246Y-102657059D01* -X126266644Y-102704444D01* -X126388088Y-102728600D01* -X126511912Y-102728600D01* -X126633356Y-102704444D01* -X126747754Y-102657059D01* -X126850709Y-102588266D01* -X126938266Y-102500709D01* -X127007059Y-102397754D01* -X127054444Y-102283356D01* -X127078600Y-102161912D01* -X127078600Y-102038088D01* -X127054444Y-101916644D01* -X127007059Y-101802246D01* -X126938266Y-101699291D01* -X126850709Y-101611734D01* -X126747754Y-101542941D01* -X126633356Y-101495556D01* -X126511912Y-101471400D01* -X126388088Y-101471400D01* -X126266644Y-101495556D01* -X126152246Y-101542941D01* -X126049291Y-101611734D01* -X125961734Y-101699291D01* -X125892941Y-101802246D01* -X125845556Y-101916644D01* -X125821400Y-102038088D01* -X125576200Y-102038088D01* -X125576200Y-93128600D01* -X125597138Y-93128600D01* -X125689603Y-93110208D01* -X125776702Y-93074130D01* -X125855090Y-93021753D01* -X125921753Y-92955090D01* -X125974130Y-92876702D01* -X125990124Y-92838088D01* -X126821400Y-92838088D01* -X126821400Y-92961912D01* -X126845556Y-93083356D01* -X126892941Y-93197754D01* -X126961734Y-93300709D01* -X127049291Y-93388266D01* -X127152246Y-93457059D01* -X127266644Y-93504444D01* -X127388088Y-93528600D01* -X127511912Y-93528600D01* -X127633356Y-93504444D01* -X127747754Y-93457059D01* -X127850709Y-93388266D01* -X127938266Y-93300709D01* -X128007059Y-93197754D01* -X128054444Y-93083356D01* -X128078600Y-92961912D01* -X128078600Y-92838088D01* -X128054444Y-92716644D01* -X128007059Y-92602246D01* -X127938266Y-92499291D01* -X127850709Y-92411734D01* -X127747754Y-92342941D01* -X127633356Y-92295556D01* -X127511912Y-92271400D01* -X127388088Y-92271400D01* -X127266644Y-92295556D01* -X127152246Y-92342941D01* -X127049291Y-92411734D01* -X126961734Y-92499291D01* -X126892941Y-92602246D01* -X126845556Y-92716644D01* -X126821400Y-92838088D01* -X125990124Y-92838088D01* -X126010208Y-92789603D01* -X126028600Y-92697138D01* -X126028600Y-92602862D01* -X126010208Y-92510397D01* -X125974130Y-92423298D01* -X125921753Y-92344910D01* -X125855090Y-92278247D01* -X125776702Y-92225870D01* -X125689603Y-92189792D01* -X125597138Y-92171400D01* -X125502862Y-92171400D01* -X125410397Y-92189792D01* -X125323298Y-92225870D01* -X125244910Y-92278247D01* -X125178247Y-92344910D01* -X125125870Y-92423298D01* -X125089792Y-92510397D01* -X125077181Y-92573800D01* -X123722819Y-92573800D01* -X123710208Y-92510397D01* -X123674130Y-92423298D01* -X123621753Y-92344910D01* -X123555090Y-92278247D01* -X123476702Y-92225870D01* -X123389603Y-92189792D01* -X123297138Y-92171400D01* -X123202862Y-92171400D01* -X123110397Y-92189792D01* -X123023298Y-92225870D01* -X122944910Y-92278247D01* -X122878247Y-92344910D01* -X122825870Y-92423298D01* -X122789792Y-92510397D01* -X122777181Y-92573800D01* -X111931564Y-92573800D01* -X111153882Y-91796118D01* -X111142334Y-91786642D01* -X111129160Y-91779600D01* -X111114866Y-91775264D01* -X111100000Y-91773800D01* -X99850000Y-91773800D01* -X99835134Y-91775264D01* -X99820840Y-91779600D01* -X99807666Y-91786642D01* -X99796118Y-91796118D01* -X99068436Y-92523800D01* -X98372819Y-92523800D01* -X98360208Y-92460397D01* -X98324130Y-92373298D01* -X98271753Y-92294910D01* -X98205090Y-92228247D01* -X98126702Y-92175870D01* -X98039603Y-92139792D01* -X97947138Y-92121400D01* -X97852862Y-92121400D01* -X97760397Y-92139792D01* -X97673298Y-92175870D01* -X97594910Y-92228247D01* -X97528247Y-92294910D01* -X97475870Y-92373298D01* -X97439792Y-92460397D01* -X97426360Y-92527926D01* -X97420840Y-92529600D01* -X97407666Y-92536642D01* -X97396118Y-92546118D01* -X95296118Y-94646118D01* -X95286642Y-94657666D01* -X95279600Y-94670840D01* -X95275264Y-94685134D01* -X95273800Y-94700000D01* -X91455902Y-94700000D01* -X91424130Y-94623298D01* -X91371753Y-94544910D01* -X91305090Y-94478247D01* -X91226702Y-94425870D01* -X91139603Y-94389792D01* -X91047138Y-94371400D01* -X90952862Y-94371400D01* -X90860397Y-94389792D01* -X90773298Y-94425870D01* -X90694910Y-94478247D01* -X90628247Y-94544910D01* -X90575870Y-94623298D01* -X90539792Y-94710397D01* -X90521400Y-94802862D01* -X90353600Y-94802862D01* -X90353600Y-94675754D01* -X90825755Y-94203600D01* -X92535096Y-94203600D01* -X92550000Y-94205068D01* -X92564904Y-94203600D01* -X92609516Y-94199206D01* -X92666744Y-94181846D01* -X92719487Y-94153655D01* -X92765716Y-94115716D01* -X92775226Y-94104128D01* -X95231417Y-91647937D01* -X98221400Y-91647937D01* -X98221400Y-91752063D01* -X98241713Y-91854187D01* -X98281560Y-91950386D01* -X98339409Y-92036963D01* -X98413037Y-92110591D01* -X98499614Y-92168440D01* -X98595813Y-92208287D01* -X98697937Y-92228600D01* -X98802063Y-92228600D01* -X98904187Y-92208287D01* -X99000386Y-92168440D01* -X99086963Y-92110591D01* -X99160591Y-92036963D01* -X99218440Y-91950386D01* -X99258287Y-91854187D01* -X99278600Y-91752063D01* -X99278600Y-91647937D01* -X99268655Y-91597937D01* -X113721400Y-91597937D01* -X113721400Y-91702063D01* -X113741713Y-91804187D01* -X113781560Y-91900386D01* -X113839409Y-91986963D01* -X113913037Y-92060591D01* -X113999614Y-92118440D01* -X114095813Y-92158287D01* -X114197937Y-92178600D01* -X114302063Y-92178600D01* -X114404187Y-92158287D01* -X114500386Y-92118440D01* -X114586963Y-92060591D01* -X114660591Y-91986963D01* -X114716785Y-91902862D01* -X123921400Y-91902862D01* -X123921400Y-91997138D01* -X123939792Y-92089603D01* -X123975870Y-92176702D01* -X124028247Y-92255090D01* -X124094910Y-92321753D01* -X124173298Y-92374130D01* -X124260397Y-92410208D01* -X124352862Y-92428600D01* -X124447138Y-92428600D01* -X124539603Y-92410208D01* -X124626702Y-92374130D01* -X124705090Y-92321753D01* -X124771753Y-92255090D01* -X124824130Y-92176702D01* -X124860208Y-92089603D01* -X124878600Y-91997138D01* -X124878600Y-91902862D01* -X124860208Y-91810397D01* -X124834336Y-91747937D01* -X125921400Y-91747937D01* -X125921400Y-91852063D01* -X125941713Y-91954187D01* -X125981560Y-92050386D01* -X126039409Y-92136963D01* -X126113037Y-92210591D01* -X126199614Y-92268440D01* -X126295813Y-92308287D01* -X126397937Y-92328600D01* -X126502063Y-92328600D01* -X126604187Y-92308287D01* -X126700386Y-92268440D01* -X126786963Y-92210591D01* -X126860591Y-92136963D01* -X126918440Y-92050386D01* -X126958287Y-91954187D01* -X126978600Y-91852063D01* -X126978600Y-91747937D01* -X126958287Y-91645813D01* -X126918440Y-91549614D01* -X126860591Y-91463037D01* -X126786963Y-91389409D01* -X126700386Y-91331560D01* -X126604187Y-91291713D01* -X126502063Y-91271400D01* -X126397937Y-91271400D01* -X126295813Y-91291713D01* -X126199614Y-91331560D01* -X126113037Y-91389409D01* -X126039409Y-91463037D01* -X125981560Y-91549614D01* -X125941713Y-91645813D01* -X125921400Y-91747937D01* -X124834336Y-91747937D01* -X124824130Y-91723298D01* -X124771753Y-91644910D01* -X124705090Y-91578247D01* -X124626702Y-91525870D01* -X124539603Y-91489792D01* -X124447138Y-91471400D01* -X124352862Y-91471400D01* -X124260397Y-91489792D01* -X124173298Y-91525870D01* -X124094910Y-91578247D01* -X124028247Y-91644910D01* -X123975870Y-91723298D01* -X123939792Y-91810397D01* -X123921400Y-91902862D01* -X114716785Y-91902862D01* -X114718440Y-91900386D01* -X114758287Y-91804187D01* -X114778600Y-91702063D01* -X114778600Y-91597937D01* -X114758287Y-91495813D01* -X114718440Y-91399614D01* -X114660591Y-91313037D01* -X114586963Y-91239409D01* -X114500386Y-91181560D01* -X114404187Y-91141713D01* -X114302063Y-91121400D01* -X114197937Y-91121400D01* -X114095813Y-91141713D01* -X113999614Y-91181560D01* -X113913037Y-91239409D01* -X113839409Y-91313037D01* -X113781560Y-91399614D01* -X113741713Y-91495813D01* -X113721400Y-91597937D01* -X99268655Y-91597937D01* -X99258287Y-91545813D01* -X99218440Y-91449614D01* -X99160591Y-91363037D01* -X99086963Y-91289409D01* -X99000386Y-91231560D01* -X98904187Y-91191713D01* -X98802063Y-91171400D01* -X98697937Y-91171400D01* -X98595813Y-91191713D01* -X98499614Y-91231560D01* -X98413037Y-91289409D01* -X98339409Y-91363037D01* -X98281560Y-91449614D01* -X98241713Y-91545813D01* -X98221400Y-91647937D01* -X95231417Y-91647937D01* -X96131418Y-90747937D01* -X97221400Y-90747937D01* -X97221400Y-90852063D01* -X97241713Y-90954187D01* -X97281560Y-91050386D01* -X97339409Y-91136963D01* -X97413037Y-91210591D01* -X97499614Y-91268440D01* -X97595813Y-91308287D01* -X97697937Y-91328600D01* -X97802063Y-91328600D01* -X97904187Y-91308287D01* -X98000386Y-91268440D01* -X98086963Y-91210591D01* -X98160591Y-91136963D01* -X98218440Y-91050386D01* -X98258287Y-90954187D01* -X98278600Y-90852063D01* -X98278600Y-90747937D01* -X98268655Y-90697937D01* -X114721400Y-90697937D01* -X114721400Y-90802063D01* -X114741713Y-90904187D01* -X114781560Y-91000386D01* -X114839409Y-91086963D01* -X114913037Y-91160591D01* -X114999614Y-91218440D01* -X115095813Y-91258287D01* -X115197937Y-91278600D01* -X115302063Y-91278600D01* -X115404187Y-91258287D01* -X115500386Y-91218440D01* -X115586963Y-91160591D01* -X115660591Y-91086963D01* -X115718440Y-91000386D01* -X115758287Y-90904187D01* -X115778600Y-90802063D01* -X115778600Y-90697937D01* -X124721400Y-90697937D01* -X124721400Y-90802063D01* -X124741713Y-90904187D01* -X124781560Y-91000386D01* -X124839409Y-91086963D01* -X124913037Y-91160591D01* -X124999614Y-91218440D01* -X125095813Y-91258287D01* -X125197937Y-91278600D01* -X125302063Y-91278600D01* -X125404187Y-91258287D01* -X125500386Y-91218440D01* -X125586963Y-91160591D01* -X125660591Y-91086963D01* -X125718440Y-91000386D01* -X125758287Y-90904187D01* -X125778600Y-90802063D01* -X125778600Y-90697937D01* -X125758287Y-90595813D01* -X125718440Y-90499614D01* -X125660591Y-90413037D01* -X125586963Y-90339409D01* -X125500386Y-90281560D01* -X125404187Y-90241713D01* -X125302063Y-90221400D01* -X125197937Y-90221400D01* -X125095813Y-90241713D01* -X124999614Y-90281560D01* -X124913037Y-90339409D01* -X124839409Y-90413037D01* -X124781560Y-90499614D01* -X124741713Y-90595813D01* -X124721400Y-90697937D01* -X115778600Y-90697937D01* -X115758287Y-90595813D01* -X115718440Y-90499614D01* -X115660591Y-90413037D01* -X115586963Y-90339409D01* -X115500386Y-90281560D01* -X115404187Y-90241713D01* -X115302063Y-90221400D01* -X115197937Y-90221400D01* -X115095813Y-90241713D01* -X114999614Y-90281560D01* -X114913037Y-90339409D01* -X114839409Y-90413037D01* -X114781560Y-90499614D01* -X114741713Y-90595813D01* -X114721400Y-90697937D01* -X98268655Y-90697937D01* -X98258287Y-90645813D01* -X98218440Y-90549614D01* -X98160591Y-90463037D01* -X98086963Y-90389409D01* -X98000386Y-90331560D01* -X97904187Y-90291713D01* -X97802063Y-90271400D01* -X97697937Y-90271400D01* -X97595813Y-90291713D01* -X97499614Y-90331560D01* -X97413037Y-90389409D01* -X97339409Y-90463037D01* -X97281560Y-90549614D01* -X97241713Y-90645813D01* -X97221400Y-90747937D01* -X96131418Y-90747937D01* -X97081418Y-89797937D01* -X113721400Y-89797937D01* -X113721400Y-89902063D01* -X113741713Y-90004187D01* -X113781560Y-90100386D01* -X113839409Y-90186963D01* -X113913037Y-90260591D01* -X113999614Y-90318440D01* -X114095813Y-90358287D01* -X114197937Y-90378600D01* -X114302063Y-90378600D01* -X114404187Y-90358287D01* -X114500386Y-90318440D01* -X114586963Y-90260591D01* -X114660591Y-90186963D01* -X114718440Y-90100386D01* -X114758287Y-90004187D01* -X114778600Y-89902063D01* -X114778600Y-89797937D01* -X123721400Y-89797937D01* -X123721400Y-89902063D01* -X123741713Y-90004187D01* -X123781560Y-90100386D01* -X123839409Y-90186963D01* -X123913037Y-90260591D01* -X123999614Y-90318440D01* -X124095813Y-90358287D01* -X124197937Y-90378600D01* -X124302063Y-90378600D01* -X124404187Y-90358287D01* -X124500386Y-90318440D01* -X124586963Y-90260591D01* -X124660591Y-90186963D01* -X124718440Y-90100386D01* -X124758287Y-90004187D01* -X124778600Y-89902063D01* -X124778600Y-89797937D01* -X124758287Y-89695813D01* -X124718440Y-89599614D01* -X124660591Y-89513037D01* -X124586963Y-89439409D01* -X124500386Y-89381560D01* -X124404187Y-89341713D01* -X124302063Y-89321400D01* -X124197937Y-89321400D01* -X124095813Y-89341713D01* -X123999614Y-89381560D01* -X123913037Y-89439409D01* -X123839409Y-89513037D01* -X123781560Y-89599614D01* -X123741713Y-89695813D01* -X123721400Y-89797937D01* -X114778600Y-89797937D01* -X114758287Y-89695813D01* -X114718440Y-89599614D01* -X114660591Y-89513037D01* -X114586963Y-89439409D01* -X114500386Y-89381560D01* -X114404187Y-89341713D01* -X114302063Y-89321400D01* -X114197937Y-89321400D01* -X114095813Y-89341713D01* -X113999614Y-89381560D01* -X113913037Y-89439409D01* -X113839409Y-89513037D01* -X113781560Y-89599614D01* -X113741713Y-89695813D01* -X113721400Y-89797937D01* -X97081418Y-89797937D01* -X98825756Y-88053600D01* -X132074246Y-88053600D01* -X134712711Y-90692067D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134822910Y-91303753D01* -X134901298Y-91356130D01* -X134988397Y-91392208D01* -X135080862Y-91410600D01* -X135175138Y-91410600D01* -X135267603Y-91392208D01* -X135354702Y-91356130D01* -X135433090Y-91303753D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135574747Y-91104102D01* -X135606779Y-91136134D01* -X135616284Y-91147716D01* -X135662513Y-91185655D01* -X135715256Y-91213846D01* -X135772484Y-91231206D01* -X135832000Y-91237068D01* -X135846904Y-91235600D01* -X136025251Y-91235600D01* -X136026247Y-91237090D01* -X136092910Y-91303753D01* -X136171298Y-91356130D01* -X136258397Y-91392208D01* -X136350862Y-91410600D01* -X136445138Y-91410600D01* -X136537603Y-91392208D01* -X136624702Y-91356130D01* -X136703090Y-91303753D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X136025251Y-90628400D01* -X135957755Y-90628400D01* -X132575226Y-87245872D01* -X132565716Y-87234284D01* -X132519487Y-87196345D01* -X132466744Y-87168154D01* -X132409516Y-87150794D01* -X132364904Y-87146400D01* -X132350000Y-87144932D01* -X132335096Y-87146400D01* -X98564903Y-87146400D01* -X98549999Y-87144932D01* -X98490483Y-87150794D01* -X98465563Y-87158354D01* -X98433256Y-87168154D01* -X98380513Y-87196345D01* -X98334284Y-87234284D01* -X98324783Y-87245861D01* -X92274246Y-93296400D01* -X90564904Y-93296400D01* -X90550000Y-93294932D01* -X90535096Y-93296400D01* -X90490484Y-93300794D01* -X90433256Y-93318154D01* -X90380513Y-93346345D01* -X90334284Y-93384284D01* -X90324779Y-93395866D01* -X89545867Y-94174779D01* -X89534285Y-94184284D01* -X89496346Y-94230513D01* -X89468909Y-94281845D01* -X89468155Y-94283256D01* -X89450794Y-94340485D01* -X89444932Y-94400000D01* -X89446400Y-94414904D01* -X89446400Y-94724244D01* -X89048896Y-95121750D01* -X89047138Y-95121400D01* -X88952862Y-95121400D01* -X88860397Y-95139792D01* -X88773298Y-95175870D01* -X88694910Y-95228247D01* -X88628247Y-95294910D01* -X88575870Y-95373298D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X82980600Y-95552862D01* -X82980600Y-94248903D01* -X82982068Y-94233999D01* -X82976206Y-94174484D01* -X82971665Y-94159515D01* -X82958846Y-94117256D01* -X82930655Y-94064513D01* -X82892716Y-94018284D01* -X82881139Y-94008783D01* -X81425218Y-92552862D01* -X90421400Y-92552862D01* -X90421400Y-92647138D01* -X90439792Y-92739603D01* -X90475870Y-92826702D01* -X90528247Y-92905090D01* -X90594910Y-92971753D01* -X90673298Y-93024130D01* -X90760397Y-93060208D01* -X90852862Y-93078600D01* -X90947138Y-93078600D01* -X91039603Y-93060208D01* -X91126702Y-93024130D01* -X91205090Y-92971753D01* -X91271753Y-92905090D01* -X91324130Y-92826702D01* -X91360208Y-92739603D01* -X91378600Y-92647138D01* -X91378600Y-92552862D01* -X91360208Y-92460397D01* -X91324130Y-92373298D01* -X91271753Y-92294910D01* -X91205090Y-92228247D01* -X91126702Y-92175870D01* -X91039603Y-92139792D01* -X90947138Y-92121400D01* -X90852862Y-92121400D01* -X90760397Y-92139792D01* -X90673298Y-92175870D01* -X90594910Y-92228247D01* -X90528247Y-92294910D01* -X90475870Y-92373298D01* -X90439792Y-92460397D01* -X90421400Y-92552862D01* -X81425218Y-92552862D01* -X79620292Y-90747937D01* -X90221400Y-90747937D01* -X90221400Y-90852063D01* -X90241713Y-90954187D01* -X90281560Y-91050386D01* -X90339409Y-91136963D01* -X90413037Y-91210591D01* -X90499614Y-91268440D01* -X90595813Y-91308287D01* -X90697937Y-91328600D01* -X90802063Y-91328600D01* -X90904187Y-91308287D01* -X91000386Y-91268440D01* -X91086963Y-91210591D01* -X91160591Y-91136963D01* -X91218440Y-91050386D01* -X91258287Y-90954187D01* -X91278600Y-90852063D01* -X91278600Y-90747937D01* -X91258287Y-90645813D01* -X91218440Y-90549614D01* -X91160591Y-90463037D01* -X91086963Y-90389409D01* -X91000386Y-90331560D01* -X90904187Y-90291713D01* -X90802063Y-90271400D01* -X90697937Y-90271400D01* -X90595813Y-90291713D01* -X90499614Y-90331560D01* -X90413037Y-90389409D01* -X90339409Y-90463037D01* -X90281560Y-90549614D01* -X90241713Y-90645813D01* -X90221400Y-90747937D01* -X79620292Y-90747937D01* -X78968226Y-90095872D01* -X78958716Y-90084284D01* -X78912487Y-90046345D01* -X78859744Y-90018154D01* -X78802516Y-90000794D01* -X78757904Y-89996400D01* -X78743000Y-89994932D01* -X78728096Y-89996400D01* -X58214904Y-89996400D01* -X58200000Y-89994932D01* -X58185096Y-89996400D01* -X58140484Y-90000794D01* -X58083256Y-90018154D01* -X58030513Y-90046345D01* -X57984284Y-90084284D01* -X57974779Y-90095866D01* -X54395872Y-93674774D01* -X54384284Y-93684284D01* -X54346345Y-93730514D01* -X54318154Y-93783257D01* -X54303819Y-93830513D01* -X54300794Y-93840485D01* -X54294932Y-93900000D01* -X46177200Y-93900000D01* -X46177200Y-93606544D01* -X46300719Y-92988951D01* -X46544624Y-92501140D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56702010Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X56702010Y-82343754D01* -X58211140Y-80834624D01* -X58700595Y-80589897D01* -X59191381Y-80467200D01* -X142994456Y-80467200D01* -X143609112Y-80590131D01* -G36* -X67387401Y-115966756D02* -G01* -X67387400Y-116841244D01* -X67158814Y-117069830D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64503600Y-116108669D01* -X64503600Y-114564903D01* -X64505068Y-114549999D01* -X64499206Y-114490484D01* -X64481846Y-114433257D01* -X64481846Y-114433256D01* -X64453655Y-114380513D01* -X64415716Y-114334284D01* -X64404135Y-114324780D01* -X64132955Y-114053600D01* -X65474246Y-114053600D01* -X67387401Y-115966756D01* -G37* -X67387401Y-115966756D02* -X67387400Y-116841244D01* -X67158814Y-117069830D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64503600Y-116108669D01* -X64503600Y-114564903D01* -X64505068Y-114549999D01* -X64499206Y-114490484D01* -X64481846Y-114433257D01* -X64481846Y-114433256D01* -X64453655Y-114380513D01* -X64415716Y-114334284D01* -X64404135Y-114324780D01* -X64132955Y-114053600D01* -X65474246Y-114053600D01* -X67387401Y-115966756D01* -G36* -X71571750Y-110601105D02* -G01* -X71571400Y-110602862D01* -X71571400Y-110697138D01* -X71589792Y-110789603D01* -X71625870Y-110876702D01* -X71678247Y-110955090D01* -X71744910Y-111021753D01* -X71823298Y-111074130D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72422749Y-110953600D01* -X83885096Y-110953600D01* -X83900000Y-110955068D01* -X83914904Y-110953600D01* -X83959516Y-110949206D01* -X84016744Y-110931846D01* -X84069487Y-110903655D01* -X84115716Y-110865716D01* -X84125226Y-110854128D01* -X85154140Y-109825216D01* -X85165716Y-109815716D01* -X85203655Y-109769487D01* -X85231846Y-109716744D01* -X85249206Y-109659516D01* -X85251621Y-109635000D01* -X85255068Y-109600001D01* -X85253600Y-109585097D01* -X85253600Y-107378600D01* -X85297138Y-107378600D01* -X85298896Y-107378250D01* -X85646400Y-107725755D01* -X85646401Y-109474244D01* -X83324246Y-111796400D01* -X72472749Y-111796400D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71873298Y-111675870D01* -X71794910Y-111728247D01* -X71728247Y-111794910D01* -X71727251Y-111796400D01* -X66725756Y-111796400D01* -X64682217Y-109752862D01* -X69271400Y-109752862D01* -X69271400Y-109847138D01* -X69289792Y-109939603D01* -X69325870Y-110026702D01* -X69378247Y-110105090D01* -X69444910Y-110171753D01* -X69523298Y-110224130D01* -X69610397Y-110260208D01* -X69702862Y-110278600D01* -X69797138Y-110278600D01* -X69889603Y-110260208D01* -X69976702Y-110224130D01* -X70055090Y-110171753D01* -X70121753Y-110105090D01* -X70174130Y-110026702D01* -X70210208Y-109939603D01* -X70228600Y-109847138D01* -X70228600Y-109752862D01* -X70210208Y-109660397D01* -X70174130Y-109573298D01* -X70121753Y-109494910D01* -X70055090Y-109428247D01* -X69976702Y-109375870D01* -X69889603Y-109339792D01* -X69797138Y-109321400D01* -X69702862Y-109321400D01* -X69610397Y-109339792D01* -X69523298Y-109375870D01* -X69444910Y-109428247D01* -X69378247Y-109494910D01* -X69325870Y-109573298D01* -X69289792Y-109660397D01* -X69271400Y-109752862D01* -X64682217Y-109752862D01* -X64438600Y-109509246D01* -X64438600Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65202859Y-107237600D01* -X68208246Y-107237600D01* -X71571750Y-110601105D01* -G37* -X71571750Y-110601105D02* -X71571400Y-110602862D01* -X71571400Y-110697138D01* -X71589792Y-110789603D01* -X71625870Y-110876702D01* -X71678247Y-110955090D01* -X71744910Y-111021753D01* -X71823298Y-111074130D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72422749Y-110953600D01* -X83885096Y-110953600D01* -X83900000Y-110955068D01* -X83914904Y-110953600D01* -X83959516Y-110949206D01* -X84016744Y-110931846D01* -X84069487Y-110903655D01* -X84115716Y-110865716D01* -X84125226Y-110854128D01* -X85154140Y-109825216D01* -X85165716Y-109815716D01* -X85203655Y-109769487D01* -X85231846Y-109716744D01* -X85249206Y-109659516D01* -X85251621Y-109635000D01* -X85255068Y-109600001D01* -X85253600Y-109585097D01* -X85253600Y-107378600D01* -X85297138Y-107378600D01* -X85298896Y-107378250D01* -X85646400Y-107725755D01* -X85646401Y-109474244D01* -X83324246Y-111796400D01* -X72472749Y-111796400D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71873298Y-111675870D01* -X71794910Y-111728247D01* -X71728247Y-111794910D01* -X71727251Y-111796400D01* -X66725756Y-111796400D01* -X64682217Y-109752862D01* -X69271400Y-109752862D01* -X69271400Y-109847138D01* -X69289792Y-109939603D01* -X69325870Y-110026702D01* -X69378247Y-110105090D01* -X69444910Y-110171753D01* -X69523298Y-110224130D01* -X69610397Y-110260208D01* -X69702862Y-110278600D01* -X69797138Y-110278600D01* -X69889603Y-110260208D01* -X69976702Y-110224130D01* -X70055090Y-110171753D01* -X70121753Y-110105090D01* -X70174130Y-110026702D01* -X70210208Y-109939603D01* -X70228600Y-109847138D01* -X70228600Y-109752862D01* -X70210208Y-109660397D01* -X70174130Y-109573298D01* -X70121753Y-109494910D01* -X70055090Y-109428247D01* -X69976702Y-109375870D01* -X69889603Y-109339792D01* -X69797138Y-109321400D01* -X69702862Y-109321400D01* -X69610397Y-109339792D01* -X69523298Y-109375870D01* -X69444910Y-109428247D01* -X69378247Y-109494910D01* -X69325870Y-109573298D01* -X69289792Y-109660397D01* -X69271400Y-109752862D01* -X64682217Y-109752862D01* -X64438600Y-109509246D01* -X64438600Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65202859Y-107237600D01* -X68208246Y-107237600D01* -X71571750Y-110601105D01* -G36* -X73917045Y-110346400D02* -G01* -X72422749Y-110346400D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X72001105Y-110171750D01* -X68559226Y-106729872D01* -X68549716Y-106718284D01* -X68503487Y-106680345D01* -X68450744Y-106652154D01* -X68393516Y-106634794D01* -X68348904Y-106630400D01* -X68334000Y-106628932D01* -X68319096Y-106630400D01* -X64654588Y-106630400D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65188208Y-105967600D01* -X69538246Y-105967600D01* -X73917045Y-110346400D01* -G37* -X73917045Y-110346400D02* -X72422749Y-110346400D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X72001105Y-110171750D01* -X68559226Y-106729872D01* -X68549716Y-106718284D01* -X68503487Y-106680345D01* -X68450744Y-106652154D01* -X68393516Y-106634794D01* -X68348904Y-106630400D01* -X68334000Y-106628932D01* -X68319096Y-106630400D01* -X64654588Y-106630400D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65188208Y-105967600D01* -X69538246Y-105967600D01* -X73917045Y-110346400D01* -G36* -X93676400Y-131316755D02* -G01* -X93676400Y-131382294D01* -X93599000Y-131382294D01* -X93479857Y-131394029D01* -X93365293Y-131428781D01* -X93259710Y-131485217D01* -X93246815Y-131495800D01* -X92173185Y-131495800D01* -X92160290Y-131485217D01* -X92054707Y-131428781D01* -X91940143Y-131394029D01* -X91821000Y-131382294D01* -X91743600Y-131382294D01* -X91743600Y-131205904D01* -X91745068Y-131191000D01* -X91739206Y-131131484D01* -X91731096Y-131104750D01* -X91721846Y-131074256D01* -X91693655Y-131021513D01* -X91655716Y-130975284D01* -X91644135Y-130965780D01* -X89831954Y-129153600D01* -X91513246Y-129153600D01* -X93676400Y-131316755D01* -G37* -X93676400Y-131316755D02* -X93676400Y-131382294D01* -X93599000Y-131382294D01* -X93479857Y-131394029D01* -X93365293Y-131428781D01* -X93259710Y-131485217D01* -X93246815Y-131495800D01* -X92173185Y-131495800D01* -X92160290Y-131485217D01* -X92054707Y-131428781D01* -X91940143Y-131394029D01* -X91821000Y-131382294D01* -X91743600Y-131382294D01* -X91743600Y-131205904D01* -X91745068Y-131191000D01* -X91739206Y-131131484D01* -X91731096Y-131104750D01* -X91721846Y-131074256D01* -X91693655Y-131021513D01* -X91655716Y-130975284D01* -X91644135Y-130965780D01* -X89831954Y-129153600D01* -X91513246Y-129153600D01* -X93676400Y-131316755D01* -G36* -X91136400Y-131316755D02* -G01* -X91136400Y-131382294D01* -X91059000Y-131382294D01* -X90939857Y-131394029D01* -X90825293Y-131428781D01* -X90719710Y-131485217D01* -X90706815Y-131495800D01* -X89633185Y-131495800D01* -X89620290Y-131485217D01* -X89514707Y-131428781D01* -X89400143Y-131394029D01* -X89281000Y-131382294D01* -X89203600Y-131382294D01* -X89203600Y-131205904D01* -X89205068Y-131191000D01* -X89199206Y-131131484D01* -X89191096Y-131104750D01* -X89181846Y-131074256D01* -X89153655Y-131021513D01* -X89115716Y-130975284D01* -X89104135Y-130965780D01* -X87591954Y-129453600D01* -X89273246Y-129453600D01* -X91136400Y-131316755D01* -G37* -X91136400Y-131316755D02* -X91136400Y-131382294D01* -X91059000Y-131382294D01* -X90939857Y-131394029D01* -X90825293Y-131428781D01* -X90719710Y-131485217D01* -X90706815Y-131495800D01* -X89633185Y-131495800D01* -X89620290Y-131485217D01* -X89514707Y-131428781D01* -X89400143Y-131394029D01* -X89281000Y-131382294D01* -X89203600Y-131382294D01* -X89203600Y-131205904D01* -X89205068Y-131191000D01* -X89199206Y-131131484D01* -X89191096Y-131104750D01* -X89181846Y-131074256D01* -X89153655Y-131021513D01* -X89115716Y-130975284D01* -X89104135Y-130965780D01* -X87591954Y-129453600D01* -X89273246Y-129453600D01* -X91136400Y-131316755D01* -G36* -X88596400Y-131316755D02* -G01* -X88596400Y-131382294D01* -X88519000Y-131382294D01* -X88399857Y-131394029D01* -X88285293Y-131428781D01* -X88179710Y-131485217D01* -X88166815Y-131495800D01* -X87093185Y-131495800D01* -X87080290Y-131485217D01* -X86974707Y-131428781D01* -X86860143Y-131394029D01* -X86741000Y-131382294D01* -X86663600Y-131382294D01* -X86663600Y-130507404D01* -X86665068Y-130492500D01* -X86659206Y-130432984D01* -X86656927Y-130425471D01* -X86641846Y-130375756D01* -X86613655Y-130323013D01* -X86575716Y-130276784D01* -X86564135Y-130267280D01* -X86050454Y-129753600D01* -X87033246Y-129753600D01* -X88596400Y-131316755D01* -G37* -X88596400Y-131316755D02* -X88596400Y-131382294D01* -X88519000Y-131382294D01* -X88399857Y-131394029D01* -X88285293Y-131428781D01* -X88179710Y-131485217D01* -X88166815Y-131495800D01* -X87093185Y-131495800D01* -X87080290Y-131485217D01* -X86974707Y-131428781D01* -X86860143Y-131394029D01* -X86741000Y-131382294D01* -X86663600Y-131382294D01* -X86663600Y-130507404D01* -X86665068Y-130492500D01* -X86659206Y-130432984D01* -X86656927Y-130425471D01* -X86641846Y-130375756D01* -X86613655Y-130323013D01* -X86575716Y-130276784D01* -X86564135Y-130267280D01* -X86050454Y-129753600D01* -X87033246Y-129753600D01* -X88596400Y-131316755D01* -G36* -X86056400Y-130618255D02* -G01* -X86056400Y-131382294D01* -X85979000Y-131382294D01* -X85859857Y-131394029D01* -X85745293Y-131428781D01* -X85639710Y-131485217D01* -X85626815Y-131495800D01* -X84553185Y-131495800D01* -X84540290Y-131485217D01* -X84434707Y-131428781D01* -X84320143Y-131394029D01* -X84201000Y-131382294D01* -X84123600Y-131382294D01* -X84123600Y-130697903D01* -X84125068Y-130682999D01* -X84119206Y-130623484D01* -X84115118Y-130610009D01* -X84101846Y-130566256D01* -X84073655Y-130513513D01* -X84035716Y-130467284D01* -X84024135Y-130457780D01* -X83619955Y-130053600D01* -X85491746Y-130053600D01* -X86056400Y-130618255D01* -G37* -X86056400Y-130618255D02* -X86056400Y-131382294D01* -X85979000Y-131382294D01* -X85859857Y-131394029D01* -X85745293Y-131428781D01* -X85639710Y-131485217D01* -X85626815Y-131495800D01* -X84553185Y-131495800D01* -X84540290Y-131485217D01* -X84434707Y-131428781D01* -X84320143Y-131394029D01* -X84201000Y-131382294D01* -X84123600Y-131382294D01* -X84123600Y-130697903D01* -X84125068Y-130682999D01* -X84119206Y-130623484D01* -X84115118Y-130610009D01* -X84101846Y-130566256D01* -X84073655Y-130513513D01* -X84035716Y-130467284D01* -X84024135Y-130457780D01* -X83619955Y-130053600D01* -X85491746Y-130053600D01* -X86056400Y-130618255D01* -G36* -X83516400Y-130808755D02* -G01* -X83516400Y-131382294D01* -X83439000Y-131382294D01* -X83319857Y-131394029D01* -X83205293Y-131428781D01* -X83099710Y-131485217D01* -X83086815Y-131495800D01* -X82013185Y-131495800D01* -X82000290Y-131485217D01* -X81894707Y-131428781D01* -X81780143Y-131394029D01* -X81661000Y-131382294D01* -X81583600Y-131382294D01* -X81583600Y-128875954D01* -X83516400Y-130808755D01* -G37* -X83516400Y-130808755D02* -X83516400Y-131382294D01* -X83439000Y-131382294D01* -X83319857Y-131394029D01* -X83205293Y-131428781D01* -X83099710Y-131485217D01* -X83086815Y-131495800D01* -X82013185Y-131495800D01* -X82000290Y-131485217D01* -X81894707Y-131428781D01* -X81780143Y-131394029D01* -X81661000Y-131382294D01* -X81583600Y-131382294D01* -X81583600Y-128875954D01* -X83516400Y-130808755D01* -G36* -X96216400Y-131316755D02* -G01* -X96216400Y-131382294D01* -X96139000Y-131382294D01* -X96019857Y-131394029D01* -X95905293Y-131428781D01* -X95799710Y-131485217D01* -X95786815Y-131495800D01* -X94713185Y-131495800D01* -X94700290Y-131485217D01* -X94594707Y-131428781D01* -X94480143Y-131394029D01* -X94361000Y-131382294D01* -X94283600Y-131382294D01* -X94283600Y-131205904D01* -X94285068Y-131191000D01* -X94279206Y-131131484D01* -X94271096Y-131104750D01* -X94261846Y-131074256D01* -X94233655Y-131021513D01* -X94195716Y-130975284D01* -X94184135Y-130965780D01* -X92071954Y-128853600D01* -X93753246Y-128853600D01* -X96216400Y-131316755D01* -G37* -X96216400Y-131316755D02* -X96216400Y-131382294D01* -X96139000Y-131382294D01* -X96019857Y-131394029D01* -X95905293Y-131428781D01* -X95799710Y-131485217D01* -X95786815Y-131495800D01* -X94713185Y-131495800D01* -X94700290Y-131485217D01* -X94594707Y-131428781D01* -X94480143Y-131394029D01* -X94361000Y-131382294D01* -X94283600Y-131382294D01* -X94283600Y-131205904D01* -X94285068Y-131191000D01* -X94279206Y-131131484D01* -X94271096Y-131104750D01* -X94261846Y-131074256D01* -X94233655Y-131021513D01* -X94195716Y-130975284D01* -X94184135Y-130965780D01* -X92071954Y-128853600D01* -X93753246Y-128853600D01* -X96216400Y-131316755D01* -M02* diff --git a/gerber/GR8RAM-B_Mask.gbs b/gerber/GR8RAM-B_Mask.gbs deleted file mode 100644 index b9226bb..0000000 --- a/gerber/GR8RAM-B_Mask.gbs +++ /dev/null @@ -1,2588 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Soldermask,Bot* -G04 #@! TF.FilePolarity,Negative* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.100000*% -G04 APERTURE END LIST* -D10* -G36* -X139700000Y-139446000D02* -G01* -X139192000Y-139954000D01* -X74168000Y-139954000D01* -X73660000Y-139446000D01* -X73660000Y-132080000D01* -X139700000Y-132080000D01* -X139700000Y-139446000D01* -G37* -G36* -X137686355Y-131543544D02* -G01* -X137758967Y-131565570D01* -X137825881Y-131601336D01* -X137884531Y-131649469D01* -X137932664Y-131708119D01* -X137968430Y-131775033D01* -X137990456Y-131847645D01* -X137998200Y-131926267D01* -X137998200Y-138837733D01* -X137990456Y-138916355D01* -X137968430Y-138988967D01* -X137932664Y-139055881D01* -X137884531Y-139114531D01* -X137825881Y-139162664D01* -X137758967Y-139198430D01* -X137686355Y-139220456D01* -X137607733Y-139228200D01* -X136712267Y-139228200D01* -X136633645Y-139220456D01* -X136561033Y-139198430D01* -X136494119Y-139162664D01* -X136435469Y-139114531D01* -X136387336Y-139055881D01* -X136351570Y-138988967D01* -X136329544Y-138916355D01* -X136321800Y-138837733D01* -X136321800Y-131926267D01* -X136329544Y-131847645D01* -X136351570Y-131775033D01* -X136387336Y-131708119D01* -X136435469Y-131649469D01* -X136494119Y-131601336D01* -X136561033Y-131565570D01* -X136633645Y-131543544D01* -X136712267Y-131535800D01* -X137607733Y-131535800D01* -X137686355Y-131543544D01* -G37* -G36* -X135146355Y-131543544D02* -G01* -X135218967Y-131565570D01* -X135285881Y-131601336D01* -X135344531Y-131649469D01* -X135392664Y-131708119D01* -X135428430Y-131775033D01* -X135450456Y-131847645D01* -X135458200Y-131926267D01* -X135458200Y-138837733D01* -X135450456Y-138916355D01* -X135428430Y-138988967D01* -X135392664Y-139055881D01* -X135344531Y-139114531D01* -X135285881Y-139162664D01* -X135218967Y-139198430D01* -X135146355Y-139220456D01* -X135067733Y-139228200D01* -X134172267Y-139228200D01* -X134093645Y-139220456D01* -X134021033Y-139198430D01* -X133954119Y-139162664D01* -X133895469Y-139114531D01* -X133847336Y-139055881D01* -X133811570Y-138988967D01* -X133789544Y-138916355D01* -X133781800Y-138837733D01* -X133781800Y-131926267D01* -X133789544Y-131847645D01* -X133811570Y-131775033D01* -X133847336Y-131708119D01* -X133895469Y-131649469D01* -X133954119Y-131601336D01* -X134021033Y-131565570D01* -X134093645Y-131543544D01* -X134172267Y-131535800D01* -X135067733Y-131535800D01* -X135146355Y-131543544D01* -G37* -G36* -X132606355Y-131543544D02* -G01* -X132678967Y-131565570D01* -X132745881Y-131601336D01* -X132804531Y-131649469D01* -X132852664Y-131708119D01* -X132888430Y-131775033D01* -X132910456Y-131847645D01* -X132918200Y-131926267D01* -X132918200Y-138837733D01* -X132910456Y-138916355D01* -X132888430Y-138988967D01* -X132852664Y-139055881D01* -X132804531Y-139114531D01* -X132745881Y-139162664D01* -X132678967Y-139198430D01* -X132606355Y-139220456D01* -X132527733Y-139228200D01* -X131632267Y-139228200D01* -X131553645Y-139220456D01* -X131481033Y-139198430D01* -X131414119Y-139162664D01* -X131355469Y-139114531D01* -X131307336Y-139055881D01* -X131271570Y-138988967D01* -X131249544Y-138916355D01* -X131241800Y-138837733D01* -X131241800Y-131926267D01* -X131249544Y-131847645D01* -X131271570Y-131775033D01* -X131307336Y-131708119D01* -X131355469Y-131649469D01* -X131414119Y-131601336D01* -X131481033Y-131565570D01* -X131553645Y-131543544D01* -X131632267Y-131535800D01* -X132527733Y-131535800D01* -X132606355Y-131543544D01* -G37* -G36* -X130066355Y-131543544D02* -G01* -X130138967Y-131565570D01* -X130205881Y-131601336D01* -X130264531Y-131649469D01* -X130312664Y-131708119D01* -X130348430Y-131775033D01* -X130370456Y-131847645D01* -X130378200Y-131926267D01* -X130378200Y-138837733D01* -X130370456Y-138916355D01* -X130348430Y-138988967D01* -X130312664Y-139055881D01* -X130264531Y-139114531D01* -X130205881Y-139162664D01* -X130138967Y-139198430D01* -X130066355Y-139220456D01* -X129987733Y-139228200D01* -X129092267Y-139228200D01* -X129013645Y-139220456D01* -X128941033Y-139198430D01* -X128874119Y-139162664D01* -X128815469Y-139114531D01* -X128767336Y-139055881D01* -X128731570Y-138988967D01* -X128709544Y-138916355D01* -X128701800Y-138837733D01* -X128701800Y-131926267D01* -X128709544Y-131847645D01* -X128731570Y-131775033D01* -X128767336Y-131708119D01* -X128815469Y-131649469D01* -X128874119Y-131601336D01* -X128941033Y-131565570D01* -X129013645Y-131543544D01* -X129092267Y-131535800D01* -X129987733Y-131535800D01* -X130066355Y-131543544D01* -G37* -G36* -X127526355Y-131543544D02* -G01* -X127598967Y-131565570D01* -X127665881Y-131601336D01* -X127724531Y-131649469D01* -X127772664Y-131708119D01* -X127808430Y-131775033D01* -X127830456Y-131847645D01* -X127838200Y-131926267D01* -X127838200Y-138837733D01* -X127830456Y-138916355D01* -X127808430Y-138988967D01* -X127772664Y-139055881D01* -X127724531Y-139114531D01* -X127665881Y-139162664D01* -X127598967Y-139198430D01* -X127526355Y-139220456D01* -X127447733Y-139228200D01* -X126552267Y-139228200D01* -X126473645Y-139220456D01* -X126401033Y-139198430D01* -X126334119Y-139162664D01* -X126275469Y-139114531D01* -X126227336Y-139055881D01* -X126191570Y-138988967D01* -X126169544Y-138916355D01* -X126161800Y-138837733D01* -X126161800Y-131926267D01* -X126169544Y-131847645D01* -X126191570Y-131775033D01* -X126227336Y-131708119D01* -X126275469Y-131649469D01* -X126334119Y-131601336D01* -X126401033Y-131565570D01* -X126473645Y-131543544D01* -X126552267Y-131535800D01* -X127447733Y-131535800D01* -X127526355Y-131543544D01* -G37* -G36* -X124986355Y-131543544D02* -G01* -X125058967Y-131565570D01* -X125125881Y-131601336D01* -X125184531Y-131649469D01* -X125232664Y-131708119D01* -X125268430Y-131775033D01* -X125290456Y-131847645D01* -X125298200Y-131926267D01* -X125298200Y-138837733D01* -X125290456Y-138916355D01* -X125268430Y-138988967D01* -X125232664Y-139055881D01* -X125184531Y-139114531D01* -X125125881Y-139162664D01* -X125058967Y-139198430D01* -X124986355Y-139220456D01* -X124907733Y-139228200D01* -X124012267Y-139228200D01* -X123933645Y-139220456D01* -X123861033Y-139198430D01* -X123794119Y-139162664D01* -X123735469Y-139114531D01* -X123687336Y-139055881D01* -X123651570Y-138988967D01* -X123629544Y-138916355D01* -X123621800Y-138837733D01* -X123621800Y-131926267D01* -X123629544Y-131847645D01* -X123651570Y-131775033D01* -X123687336Y-131708119D01* -X123735469Y-131649469D01* -X123794119Y-131601336D01* -X123861033Y-131565570D01* -X123933645Y-131543544D01* -X124012267Y-131535800D01* -X124907733Y-131535800D01* -X124986355Y-131543544D01* -G37* -G36* -X122446355Y-131543544D02* -G01* -X122518967Y-131565570D01* -X122585881Y-131601336D01* -X122644531Y-131649469D01* -X122692664Y-131708119D01* -X122728430Y-131775033D01* -X122750456Y-131847645D01* -X122758200Y-131926267D01* -X122758200Y-138837733D01* -X122750456Y-138916355D01* -X122728430Y-138988967D01* -X122692664Y-139055881D01* -X122644531Y-139114531D01* -X122585881Y-139162664D01* -X122518967Y-139198430D01* -X122446355Y-139220456D01* -X122367733Y-139228200D01* -X121472267Y-139228200D01* -X121393645Y-139220456D01* -X121321033Y-139198430D01* -X121254119Y-139162664D01* -X121195469Y-139114531D01* -X121147336Y-139055881D01* -X121111570Y-138988967D01* -X121089544Y-138916355D01* -X121081800Y-138837733D01* -X121081800Y-131926267D01* -X121089544Y-131847645D01* -X121111570Y-131775033D01* -X121147336Y-131708119D01* -X121195469Y-131649469D01* -X121254119Y-131601336D01* -X121321033Y-131565570D01* -X121393645Y-131543544D01* -X121472267Y-131535800D01* -X122367733Y-131535800D01* -X122446355Y-131543544D01* -G37* -G36* -X119906355Y-131543544D02* -G01* -X119978967Y-131565570D01* -X120045881Y-131601336D01* -X120104531Y-131649469D01* -X120152664Y-131708119D01* -X120188430Y-131775033D01* -X120210456Y-131847645D01* -X120218200Y-131926267D01* -X120218200Y-138837733D01* -X120210456Y-138916355D01* -X120188430Y-138988967D01* -X120152664Y-139055881D01* -X120104531Y-139114531D01* -X120045881Y-139162664D01* -X119978967Y-139198430D01* -X119906355Y-139220456D01* -X119827733Y-139228200D01* -X118932267Y-139228200D01* -X118853645Y-139220456D01* -X118781033Y-139198430D01* -X118714119Y-139162664D01* -X118655469Y-139114531D01* -X118607336Y-139055881D01* -X118571570Y-138988967D01* -X118549544Y-138916355D01* -X118541800Y-138837733D01* -X118541800Y-131926267D01* -X118549544Y-131847645D01* -X118571570Y-131775033D01* -X118607336Y-131708119D01* -X118655469Y-131649469D01* -X118714119Y-131601336D01* -X118781033Y-131565570D01* -X118853645Y-131543544D01* -X118932267Y-131535800D01* -X119827733Y-131535800D01* -X119906355Y-131543544D01* -G37* -G36* -X117366355Y-131543544D02* -G01* -X117438967Y-131565570D01* -X117505881Y-131601336D01* -X117564531Y-131649469D01* -X117612664Y-131708119D01* -X117648430Y-131775033D01* -X117670456Y-131847645D01* -X117678200Y-131926267D01* -X117678200Y-138837733D01* -X117670456Y-138916355D01* -X117648430Y-138988967D01* -X117612664Y-139055881D01* -X117564531Y-139114531D01* -X117505881Y-139162664D01* -X117438967Y-139198430D01* -X117366355Y-139220456D01* -X117287733Y-139228200D01* -X116392267Y-139228200D01* -X116313645Y-139220456D01* -X116241033Y-139198430D01* -X116174119Y-139162664D01* -X116115469Y-139114531D01* -X116067336Y-139055881D01* -X116031570Y-138988967D01* -X116009544Y-138916355D01* -X116001800Y-138837733D01* -X116001800Y-131926267D01* -X116009544Y-131847645D01* -X116031570Y-131775033D01* -X116067336Y-131708119D01* -X116115469Y-131649469D01* -X116174119Y-131601336D01* -X116241033Y-131565570D01* -X116313645Y-131543544D01* -X116392267Y-131535800D01* -X117287733Y-131535800D01* -X117366355Y-131543544D01* -G37* -G36* -X114826355Y-131543544D02* -G01* -X114898967Y-131565570D01* -X114965881Y-131601336D01* -X115024531Y-131649469D01* -X115072664Y-131708119D01* -X115108430Y-131775033D01* -X115130456Y-131847645D01* -X115138200Y-131926267D01* -X115138200Y-138837733D01* -X115130456Y-138916355D01* -X115108430Y-138988967D01* -X115072664Y-139055881D01* -X115024531Y-139114531D01* -X114965881Y-139162664D01* -X114898967Y-139198430D01* -X114826355Y-139220456D01* -X114747733Y-139228200D01* -X113852267Y-139228200D01* -X113773645Y-139220456D01* -X113701033Y-139198430D01* -X113634119Y-139162664D01* -X113575469Y-139114531D01* -X113527336Y-139055881D01* -X113491570Y-138988967D01* -X113469544Y-138916355D01* -X113461800Y-138837733D01* -X113461800Y-131926267D01* -X113469544Y-131847645D01* -X113491570Y-131775033D01* -X113527336Y-131708119D01* -X113575469Y-131649469D01* -X113634119Y-131601336D01* -X113701033Y-131565570D01* -X113773645Y-131543544D01* -X113852267Y-131535800D01* -X114747733Y-131535800D01* -X114826355Y-131543544D01* -G37* -G36* -X112286355Y-131543544D02* -G01* -X112358967Y-131565570D01* -X112425881Y-131601336D01* -X112484531Y-131649469D01* -X112532664Y-131708119D01* -X112568430Y-131775033D01* -X112590456Y-131847645D01* -X112598200Y-131926267D01* -X112598200Y-138837733D01* -X112590456Y-138916355D01* -X112568430Y-138988967D01* -X112532664Y-139055881D01* -X112484531Y-139114531D01* -X112425881Y-139162664D01* -X112358967Y-139198430D01* -X112286355Y-139220456D01* -X112207733Y-139228200D01* -X111312267Y-139228200D01* -X111233645Y-139220456D01* -X111161033Y-139198430D01* -X111094119Y-139162664D01* -X111035469Y-139114531D01* -X110987336Y-139055881D01* -X110951570Y-138988967D01* -X110929544Y-138916355D01* -X110921800Y-138837733D01* -X110921800Y-131926267D01* -X110929544Y-131847645D01* -X110951570Y-131775033D01* -X110987336Y-131708119D01* -X111035469Y-131649469D01* -X111094119Y-131601336D01* -X111161033Y-131565570D01* -X111233645Y-131543544D01* -X111312267Y-131535800D01* -X112207733Y-131535800D01* -X112286355Y-131543544D01* -G37* -G36* -X109746355Y-131543544D02* -G01* -X109818967Y-131565570D01* -X109885881Y-131601336D01* -X109944531Y-131649469D01* -X109992664Y-131708119D01* -X110028430Y-131775033D01* -X110050456Y-131847645D01* -X110058200Y-131926267D01* -X110058200Y-138837733D01* -X110050456Y-138916355D01* -X110028430Y-138988967D01* -X109992664Y-139055881D01* -X109944531Y-139114531D01* -X109885881Y-139162664D01* -X109818967Y-139198430D01* -X109746355Y-139220456D01* -X109667733Y-139228200D01* -X108772267Y-139228200D01* -X108693645Y-139220456D01* -X108621033Y-139198430D01* -X108554119Y-139162664D01* -X108495469Y-139114531D01* -X108447336Y-139055881D01* -X108411570Y-138988967D01* -X108389544Y-138916355D01* -X108381800Y-138837733D01* -X108381800Y-131926267D01* -X108389544Y-131847645D01* -X108411570Y-131775033D01* -X108447336Y-131708119D01* -X108495469Y-131649469D01* -X108554119Y-131601336D01* -X108621033Y-131565570D01* -X108693645Y-131543544D01* -X108772267Y-131535800D01* -X109667733Y-131535800D01* -X109746355Y-131543544D01* -G37* -G36* -X107206355Y-131543544D02* -G01* -X107278967Y-131565570D01* -X107345881Y-131601336D01* -X107404531Y-131649469D01* -X107452664Y-131708119D01* -X107488430Y-131775033D01* -X107510456Y-131847645D01* -X107518200Y-131926267D01* -X107518200Y-138837733D01* -X107510456Y-138916355D01* -X107488430Y-138988967D01* -X107452664Y-139055881D01* -X107404531Y-139114531D01* -X107345881Y-139162664D01* -X107278967Y-139198430D01* -X107206355Y-139220456D01* -X107127733Y-139228200D01* -X106232267Y-139228200D01* -X106153645Y-139220456D01* -X106081033Y-139198430D01* -X106014119Y-139162664D01* -X105955469Y-139114531D01* -X105907336Y-139055881D01* -X105871570Y-138988967D01* -X105849544Y-138916355D01* -X105841800Y-138837733D01* -X105841800Y-131926267D01* -X105849544Y-131847645D01* -X105871570Y-131775033D01* -X105907336Y-131708119D01* -X105955469Y-131649469D01* -X106014119Y-131601336D01* -X106081033Y-131565570D01* -X106153645Y-131543544D01* -X106232267Y-131535800D01* -X107127733Y-131535800D01* -X107206355Y-131543544D01* -G37* -G36* -X104666355Y-131543544D02* -G01* -X104738967Y-131565570D01* -X104805881Y-131601336D01* -X104864531Y-131649469D01* -X104912664Y-131708119D01* -X104948430Y-131775033D01* -X104970456Y-131847645D01* -X104978200Y-131926267D01* -X104978200Y-138837733D01* -X104970456Y-138916355D01* -X104948430Y-138988967D01* -X104912664Y-139055881D01* -X104864531Y-139114531D01* -X104805881Y-139162664D01* -X104738967Y-139198430D01* -X104666355Y-139220456D01* -X104587733Y-139228200D01* -X103692267Y-139228200D01* -X103613645Y-139220456D01* -X103541033Y-139198430D01* -X103474119Y-139162664D01* -X103415469Y-139114531D01* -X103367336Y-139055881D01* -X103331570Y-138988967D01* -X103309544Y-138916355D01* -X103301800Y-138837733D01* -X103301800Y-131926267D01* -X103309544Y-131847645D01* -X103331570Y-131775033D01* -X103367336Y-131708119D01* -X103415469Y-131649469D01* -X103474119Y-131601336D01* -X103541033Y-131565570D01* -X103613645Y-131543544D01* -X103692267Y-131535800D01* -X104587733Y-131535800D01* -X104666355Y-131543544D01* -G37* -G36* -X102126355Y-131543544D02* -G01* -X102198967Y-131565570D01* -X102265881Y-131601336D01* -X102324531Y-131649469D01* -X102372664Y-131708119D01* -X102408430Y-131775033D01* -X102430456Y-131847645D01* -X102438200Y-131926267D01* -X102438200Y-138837733D01* -X102430456Y-138916355D01* -X102408430Y-138988967D01* -X102372664Y-139055881D01* -X102324531Y-139114531D01* -X102265881Y-139162664D01* -X102198967Y-139198430D01* -X102126355Y-139220456D01* -X102047733Y-139228200D01* -X101152267Y-139228200D01* -X101073645Y-139220456D01* -X101001033Y-139198430D01* -X100934119Y-139162664D01* -X100875469Y-139114531D01* -X100827336Y-139055881D01* -X100791570Y-138988967D01* -X100769544Y-138916355D01* -X100761800Y-138837733D01* -X100761800Y-131926267D01* -X100769544Y-131847645D01* -X100791570Y-131775033D01* -X100827336Y-131708119D01* -X100875469Y-131649469D01* -X100934119Y-131601336D01* -X101001033Y-131565570D01* -X101073645Y-131543544D01* -X101152267Y-131535800D01* -X102047733Y-131535800D01* -X102126355Y-131543544D01* -G37* -G36* -X99586355Y-131543544D02* -G01* -X99658967Y-131565570D01* -X99725881Y-131601336D01* -X99784531Y-131649469D01* -X99832664Y-131708119D01* -X99868430Y-131775033D01* -X99890456Y-131847645D01* -X99898200Y-131926267D01* -X99898200Y-138837733D01* -X99890456Y-138916355D01* -X99868430Y-138988967D01* -X99832664Y-139055881D01* -X99784531Y-139114531D01* -X99725881Y-139162664D01* -X99658967Y-139198430D01* -X99586355Y-139220456D01* -X99507733Y-139228200D01* -X98612267Y-139228200D01* -X98533645Y-139220456D01* -X98461033Y-139198430D01* -X98394119Y-139162664D01* -X98335469Y-139114531D01* -X98287336Y-139055881D01* -X98251570Y-138988967D01* -X98229544Y-138916355D01* -X98221800Y-138837733D01* -X98221800Y-131926267D01* -X98229544Y-131847645D01* -X98251570Y-131775033D01* -X98287336Y-131708119D01* -X98335469Y-131649469D01* -X98394119Y-131601336D01* -X98461033Y-131565570D01* -X98533645Y-131543544D01* -X98612267Y-131535800D01* -X99507733Y-131535800D01* -X99586355Y-131543544D01* -G37* -G36* -X97046355Y-131543544D02* -G01* -X97118967Y-131565570D01* -X97185881Y-131601336D01* -X97244531Y-131649469D01* -X97292664Y-131708119D01* -X97328430Y-131775033D01* -X97350456Y-131847645D01* -X97358200Y-131926267D01* -X97358200Y-138837733D01* -X97350456Y-138916355D01* -X97328430Y-138988967D01* -X97292664Y-139055881D01* -X97244531Y-139114531D01* -X97185881Y-139162664D01* -X97118967Y-139198430D01* -X97046355Y-139220456D01* -X96967733Y-139228200D01* -X96072267Y-139228200D01* -X95993645Y-139220456D01* -X95921033Y-139198430D01* -X95854119Y-139162664D01* -X95795469Y-139114531D01* -X95747336Y-139055881D01* -X95711570Y-138988967D01* -X95689544Y-138916355D01* -X95681800Y-138837733D01* -X95681800Y-131926267D01* -X95689544Y-131847645D01* -X95711570Y-131775033D01* -X95747336Y-131708119D01* -X95795469Y-131649469D01* -X95854119Y-131601336D01* -X95921033Y-131565570D01* -X95993645Y-131543544D01* -X96072267Y-131535800D01* -X96967733Y-131535800D01* -X97046355Y-131543544D01* -G37* -G36* -X94506355Y-131543544D02* -G01* -X94578967Y-131565570D01* -X94645881Y-131601336D01* -X94704531Y-131649469D01* -X94752664Y-131708119D01* -X94788430Y-131775033D01* -X94810456Y-131847645D01* -X94818200Y-131926267D01* -X94818200Y-138837733D01* -X94810456Y-138916355D01* -X94788430Y-138988967D01* -X94752664Y-139055881D01* -X94704531Y-139114531D01* -X94645881Y-139162664D01* -X94578967Y-139198430D01* -X94506355Y-139220456D01* -X94427733Y-139228200D01* -X93532267Y-139228200D01* -X93453645Y-139220456D01* -X93381033Y-139198430D01* -X93314119Y-139162664D01* -X93255469Y-139114531D01* -X93207336Y-139055881D01* -X93171570Y-138988967D01* -X93149544Y-138916355D01* -X93141800Y-138837733D01* -X93141800Y-131926267D01* -X93149544Y-131847645D01* -X93171570Y-131775033D01* -X93207336Y-131708119D01* -X93255469Y-131649469D01* -X93314119Y-131601336D01* -X93381033Y-131565570D01* -X93453645Y-131543544D01* -X93532267Y-131535800D01* -X94427733Y-131535800D01* -X94506355Y-131543544D01* -G37* -G36* -X91966355Y-131543544D02* -G01* -X92038967Y-131565570D01* -X92105881Y-131601336D01* -X92164531Y-131649469D01* -X92212664Y-131708119D01* -X92248430Y-131775033D01* -X92270456Y-131847645D01* -X92278200Y-131926267D01* -X92278200Y-138837733D01* -X92270456Y-138916355D01* -X92248430Y-138988967D01* -X92212664Y-139055881D01* -X92164531Y-139114531D01* -X92105881Y-139162664D01* -X92038967Y-139198430D01* -X91966355Y-139220456D01* -X91887733Y-139228200D01* -X90992267Y-139228200D01* -X90913645Y-139220456D01* -X90841033Y-139198430D01* -X90774119Y-139162664D01* -X90715469Y-139114531D01* -X90667336Y-139055881D01* -X90631570Y-138988967D01* -X90609544Y-138916355D01* -X90601800Y-138837733D01* -X90601800Y-131926267D01* -X90609544Y-131847645D01* -X90631570Y-131775033D01* -X90667336Y-131708119D01* -X90715469Y-131649469D01* -X90774119Y-131601336D01* -X90841033Y-131565570D01* -X90913645Y-131543544D01* -X90992267Y-131535800D01* -X91887733Y-131535800D01* -X91966355Y-131543544D01* -G37* -G36* -X89426355Y-131543544D02* -G01* -X89498967Y-131565570D01* -X89565881Y-131601336D01* -X89624531Y-131649469D01* -X89672664Y-131708119D01* -X89708430Y-131775033D01* -X89730456Y-131847645D01* -X89738200Y-131926267D01* -X89738200Y-138837733D01* -X89730456Y-138916355D01* -X89708430Y-138988967D01* -X89672664Y-139055881D01* -X89624531Y-139114531D01* -X89565881Y-139162664D01* -X89498967Y-139198430D01* -X89426355Y-139220456D01* -X89347733Y-139228200D01* -X88452267Y-139228200D01* -X88373645Y-139220456D01* -X88301033Y-139198430D01* -X88234119Y-139162664D01* -X88175469Y-139114531D01* -X88127336Y-139055881D01* -X88091570Y-138988967D01* -X88069544Y-138916355D01* -X88061800Y-138837733D01* -X88061800Y-131926267D01* -X88069544Y-131847645D01* -X88091570Y-131775033D01* -X88127336Y-131708119D01* -X88175469Y-131649469D01* -X88234119Y-131601336D01* -X88301033Y-131565570D01* -X88373645Y-131543544D01* -X88452267Y-131535800D01* -X89347733Y-131535800D01* -X89426355Y-131543544D01* -G37* -G36* -X86886355Y-131543544D02* -G01* -X86958967Y-131565570D01* -X87025881Y-131601336D01* -X87084531Y-131649469D01* -X87132664Y-131708119D01* -X87168430Y-131775033D01* -X87190456Y-131847645D01* -X87198200Y-131926267D01* -X87198200Y-138837733D01* -X87190456Y-138916355D01* -X87168430Y-138988967D01* -X87132664Y-139055881D01* -X87084531Y-139114531D01* -X87025881Y-139162664D01* -X86958967Y-139198430D01* -X86886355Y-139220456D01* -X86807733Y-139228200D01* -X85912267Y-139228200D01* -X85833645Y-139220456D01* -X85761033Y-139198430D01* -X85694119Y-139162664D01* -X85635469Y-139114531D01* -X85587336Y-139055881D01* -X85551570Y-138988967D01* -X85529544Y-138916355D01* -X85521800Y-138837733D01* -X85521800Y-131926267D01* -X85529544Y-131847645D01* -X85551570Y-131775033D01* -X85587336Y-131708119D01* -X85635469Y-131649469D01* -X85694119Y-131601336D01* -X85761033Y-131565570D01* -X85833645Y-131543544D01* -X85912267Y-131535800D01* -X86807733Y-131535800D01* -X86886355Y-131543544D01* -G37* -G36* -X84346355Y-131543544D02* -G01* -X84418967Y-131565570D01* -X84485881Y-131601336D01* -X84544531Y-131649469D01* -X84592664Y-131708119D01* -X84628430Y-131775033D01* -X84650456Y-131847645D01* -X84658200Y-131926267D01* -X84658200Y-138837733D01* -X84650456Y-138916355D01* -X84628430Y-138988967D01* -X84592664Y-139055881D01* -X84544531Y-139114531D01* -X84485881Y-139162664D01* -X84418967Y-139198430D01* -X84346355Y-139220456D01* -X84267733Y-139228200D01* -X83372267Y-139228200D01* -X83293645Y-139220456D01* -X83221033Y-139198430D01* -X83154119Y-139162664D01* -X83095469Y-139114531D01* -X83047336Y-139055881D01* -X83011570Y-138988967D01* -X82989544Y-138916355D01* -X82981800Y-138837733D01* -X82981800Y-131926267D01* -X82989544Y-131847645D01* -X83011570Y-131775033D01* -X83047336Y-131708119D01* -X83095469Y-131649469D01* -X83154119Y-131601336D01* -X83221033Y-131565570D01* -X83293645Y-131543544D01* -X83372267Y-131535800D01* -X84267733Y-131535800D01* -X84346355Y-131543544D01* -G37* -G36* -X81806355Y-131543544D02* -G01* -X81878967Y-131565570D01* -X81945881Y-131601336D01* -X82004531Y-131649469D01* -X82052664Y-131708119D01* -X82088430Y-131775033D01* -X82110456Y-131847645D01* -X82118200Y-131926267D01* -X82118200Y-138837733D01* -X82110456Y-138916355D01* -X82088430Y-138988967D01* -X82052664Y-139055881D01* -X82004531Y-139114531D01* -X81945881Y-139162664D01* -X81878967Y-139198430D01* -X81806355Y-139220456D01* -X81727733Y-139228200D01* -X80832267Y-139228200D01* -X80753645Y-139220456D01* -X80681033Y-139198430D01* -X80614119Y-139162664D01* -X80555469Y-139114531D01* -X80507336Y-139055881D01* -X80471570Y-138988967D01* -X80449544Y-138916355D01* -X80441800Y-138837733D01* -X80441800Y-131926267D01* -X80449544Y-131847645D01* -X80471570Y-131775033D01* -X80507336Y-131708119D01* -X80555469Y-131649469D01* -X80614119Y-131601336D01* -X80681033Y-131565570D01* -X80753645Y-131543544D01* -X80832267Y-131535800D01* -X81727733Y-131535800D01* -X81806355Y-131543544D01* -G37* -G36* -X79266355Y-131543544D02* -G01* -X79338967Y-131565570D01* -X79405881Y-131601336D01* -X79464531Y-131649469D01* -X79512664Y-131708119D01* -X79548430Y-131775033D01* -X79570456Y-131847645D01* -X79578200Y-131926267D01* -X79578200Y-138837733D01* -X79570456Y-138916355D01* -X79548430Y-138988967D01* -X79512664Y-139055881D01* -X79464531Y-139114531D01* -X79405881Y-139162664D01* -X79338967Y-139198430D01* -X79266355Y-139220456D01* -X79187733Y-139228200D01* -X78292267Y-139228200D01* -X78213645Y-139220456D01* -X78141033Y-139198430D01* -X78074119Y-139162664D01* -X78015469Y-139114531D01* -X77967336Y-139055881D01* -X77931570Y-138988967D01* -X77909544Y-138916355D01* -X77901800Y-138837733D01* -X77901800Y-131926267D01* -X77909544Y-131847645D01* -X77931570Y-131775033D01* -X77967336Y-131708119D01* -X78015469Y-131649469D01* -X78074119Y-131601336D01* -X78141033Y-131565570D01* -X78213645Y-131543544D01* -X78292267Y-131535800D01* -X79187733Y-131535800D01* -X79266355Y-131543544D01* -G37* -G36* -X76726355Y-131543544D02* -G01* -X76798967Y-131565570D01* -X76865881Y-131601336D01* -X76924531Y-131649469D01* -X76972664Y-131708119D01* -X77008430Y-131775033D01* -X77030456Y-131847645D01* -X77038200Y-131926267D01* -X77038200Y-138837733D01* -X77030456Y-138916355D01* -X77008430Y-138988967D01* -X76972664Y-139055881D01* -X76924531Y-139114531D01* -X76865881Y-139162664D01* -X76798967Y-139198430D01* -X76726355Y-139220456D01* -X76647733Y-139228200D01* -X75752267Y-139228200D01* -X75673645Y-139220456D01* -X75601033Y-139198430D01* -X75534119Y-139162664D01* -X75475469Y-139114531D01* -X75427336Y-139055881D01* -X75391570Y-138988967D01* -X75369544Y-138916355D01* -X75361800Y-138837733D01* -X75361800Y-131926267D01* -X75369544Y-131847645D01* -X75391570Y-131775033D01* -X75427336Y-131708119D01* -X75475469Y-131649469D01* -X75534119Y-131601336D01* -X75601033Y-131565570D01* -X75673645Y-131543544D01* -X75752267Y-131535800D01* -X76647733Y-131535800D01* -X76726355Y-131543544D01* -G37* -G36* -X140775914Y-128505157D02* -G01* -X140971777Y-128586286D01* -X141148033Y-128704057D01* -X141297943Y-128853967D01* -X141415714Y-129030223D01* -X141496843Y-129226086D01* -X141538200Y-129434004D01* -X141538200Y-129645996D01* -X141496843Y-129853914D01* -X141415714Y-130049777D01* -X141297943Y-130226033D01* -X141148033Y-130375943D01* -X140971777Y-130493714D01* -X140775914Y-130574843D01* -X140567996Y-130616200D01* -X140356004Y-130616200D01* -X140148086Y-130574843D01* -X139952223Y-130493714D01* -X139775967Y-130375943D01* -X139626057Y-130226033D01* -X139508286Y-130049777D01* -X139427157Y-129853914D01* -X139385800Y-129645996D01* -X139385800Y-129434004D01* -X139427157Y-129226086D01* -X139508286Y-129030223D01* -X139626057Y-128853967D01* -X139775967Y-128704057D01* -X139952223Y-128586286D01* -X140148086Y-128505157D01* -X140356004Y-128463800D01* -X140567996Y-128463800D01* -X140775914Y-128505157D01* -G37* -G36* -X48250933Y-126285274D02* -G01* -X48344185Y-126303823D01* -X48475939Y-126358398D01* -X48475940Y-126358399D01* -X48475943Y-126358400D01* -X48594523Y-126437633D01* -X48695367Y-126538477D01* -X48774600Y-126657057D01* -X48774601Y-126657060D01* -X48774602Y-126657061D01* -X48829177Y-126788815D01* -X48857000Y-126928693D01* -X48857000Y-127071307D01* -X48829177Y-127211185D01* -X48774602Y-127342939D01* -X48774600Y-127342943D01* -X48695367Y-127461523D01* -X48594523Y-127562367D01* -X48475943Y-127641600D01* -X48475940Y-127641601D01* -X48475939Y-127641602D01* -X48344185Y-127696177D01* -X48250933Y-127714726D01* -X48204308Y-127724000D01* -X48061692Y-127724000D01* -X48015067Y-127714726D01* -X47921815Y-127696177D01* -X47790061Y-127641602D01* -X47790060Y-127641601D01* -X47790057Y-127641600D01* -X47671477Y-127562367D01* -X47570633Y-127461523D01* -X47491400Y-127342943D01* -X47491398Y-127342939D01* -X47436823Y-127211185D01* -X47409000Y-127071307D01* -X47409000Y-126928693D01* -X47436823Y-126788815D01* -X47491398Y-126657061D01* -X47491399Y-126657060D01* -X47491400Y-126657057D01* -X47570633Y-126538477D01* -X47671477Y-126437633D01* -X47790057Y-126358400D01* -X47790060Y-126358399D01* -X47790061Y-126358398D01* -X47921815Y-126303823D01* -X48015067Y-126285274D01* -X48061692Y-126276000D01* -X48204308Y-126276000D01* -X48250933Y-126285274D01* -G37* -G36* -X143119933Y-126285274D02* -G01* -X143213185Y-126303823D01* -X143344939Y-126358398D01* -X143344940Y-126358399D01* -X143344943Y-126358400D01* -X143463523Y-126437633D01* -X143564367Y-126538477D01* -X143643600Y-126657057D01* -X143643601Y-126657060D01* -X143643602Y-126657061D01* -X143698177Y-126788815D01* -X143726000Y-126928693D01* -X143726000Y-127071307D01* -X143698177Y-127211185D01* -X143643602Y-127342939D01* -X143643600Y-127342943D01* -X143564367Y-127461523D01* -X143463523Y-127562367D01* -X143344943Y-127641600D01* -X143344940Y-127641601D01* -X143344939Y-127641602D01* -X143213185Y-127696177D01* -X143119933Y-127714726D01* -X143073308Y-127724000D01* -X142930692Y-127724000D01* -X142884067Y-127714726D01* -X142790815Y-127696177D01* -X142659061Y-127641602D01* -X142659060Y-127641601D01* -X142659057Y-127641600D01* -X142540477Y-127562367D01* -X142439633Y-127461523D01* -X142360400Y-127342943D01* -X142360398Y-127342939D01* -X142305823Y-127211185D01* -X142278000Y-127071307D01* -X142278000Y-126928693D01* -X142305823Y-126788815D01* -X142360398Y-126657061D01* -X142360399Y-126657060D01* -X142360400Y-126657057D01* -X142439633Y-126538477D01* -X142540477Y-126437633D01* -X142659057Y-126358400D01* -X142659060Y-126358399D01* -X142659061Y-126358398D01* -X142790815Y-126303823D01* -X142884067Y-126285274D01* -X142930692Y-126276000D01* -X143073308Y-126276000D01* -X143119933Y-126285274D01* -G37* -G36* -X65937503Y-120534729D02* -G01* -X66154596Y-120577911D01* -X66384564Y-120673167D01* -X66591525Y-120811455D01* -X66591527Y-120811457D01* -X66591530Y-120811459D01* -X66767541Y-120987470D01* -X66767543Y-120987473D01* -X66767545Y-120987475D01* -X66905833Y-121194436D01* -X67001089Y-121424404D01* -X67049650Y-121668542D01* -X67049650Y-121917458D01* -X67001089Y-122161596D01* -X66905833Y-122391564D01* -X66767545Y-122598525D01* -X66767543Y-122598527D01* -X66767541Y-122598530D01* -X66591530Y-122774541D01* -X66591527Y-122774543D01* -X66591525Y-122774545D01* -X66384564Y-122912833D01* -X66154596Y-123008089D01* -X65937503Y-123051271D01* -X65910459Y-123056650D01* -X65661541Y-123056650D01* -X65634497Y-123051271D01* -X65417404Y-123008089D01* -X65187436Y-122912833D01* -X64980475Y-122774545D01* -X64980473Y-122774543D01* -X64980470Y-122774541D01* -X64804459Y-122598530D01* -X64804457Y-122598527D01* -X64804455Y-122598525D01* -X64666167Y-122391564D01* -X64570911Y-122161596D01* -X64522350Y-121917458D01* -X64522350Y-121668542D01* -X64570911Y-121424404D01* -X64666167Y-121194436D01* -X64804455Y-120987475D01* -X64804457Y-120987473D01* -X64804459Y-120987470D01* -X64980470Y-120811459D01* -X64980473Y-120811457D01* -X64980475Y-120811455D01* -X65187436Y-120673167D01* -X65417404Y-120577911D01* -X65634497Y-120534729D01* -X65661541Y-120529350D01* -X65910459Y-120529350D01* -X65937503Y-120534729D01* -G37* -G36* -X60222503Y-120534729D02* -G01* -X60439596Y-120577911D01* -X60669564Y-120673167D01* -X60876525Y-120811455D01* -X60876527Y-120811457D01* -X60876530Y-120811459D01* -X61052541Y-120987470D01* -X61052543Y-120987473D01* -X61052545Y-120987475D01* -X61190833Y-121194436D01* -X61286089Y-121424404D01* -X61334650Y-121668542D01* -X61334650Y-121917458D01* -X61286089Y-122161596D01* -X61190833Y-122391564D01* -X61052545Y-122598525D01* -X61052543Y-122598527D01* -X61052541Y-122598530D01* -X60876530Y-122774541D01* -X60876527Y-122774543D01* -X60876525Y-122774545D01* -X60669564Y-122912833D01* -X60439596Y-123008089D01* -X60222503Y-123051271D01* -X60195459Y-123056650D01* -X59946541Y-123056650D01* -X59919497Y-123051271D01* -X59702404Y-123008089D01* -X59472436Y-122912833D01* -X59265475Y-122774545D01* -X59265473Y-122774543D01* -X59265470Y-122774541D01* -X59089459Y-122598530D01* -X59089457Y-122598527D01* -X59089455Y-122598525D01* -X58951167Y-122391564D01* -X58855911Y-122161596D01* -X58807350Y-121917458D01* -X58807350Y-121668542D01* -X58855911Y-121424404D01* -X58951167Y-121194436D01* -X59089455Y-120987475D01* -X59089457Y-120987473D01* -X59089459Y-120987470D01* -X59265470Y-120811459D01* -X59265473Y-120811457D01* -X59265475Y-120811455D01* -X59472436Y-120673167D01* -X59702404Y-120577911D01* -X59919497Y-120534729D01* -X59946541Y-120529350D01* -X60195459Y-120529350D01* -X60222503Y-120534729D01* -G37* -G36* -X67784092Y-119704821D02* -G01* -X67857702Y-119719463D01* -X67933677Y-119750933D01* -X67961707Y-119762543D01* -X68055310Y-119825087D01* -X68134913Y-119904690D01* -X68197457Y-119998293D01* -X68197458Y-119998296D01* -X68240537Y-120102298D01* -X68262500Y-120212713D01* -X68262500Y-120325287D01* -X68240537Y-120435702D01* -X68207216Y-120516145D01* -X68197457Y-120539707D01* -X68134913Y-120633310D01* -X68055310Y-120712913D01* -X67961707Y-120775457D01* -X67938145Y-120785216D01* -X67857702Y-120818537D01* -X67784092Y-120833179D01* -X67747288Y-120840500D01* -X67634712Y-120840500D01* -X67597908Y-120833179D01* -X67524298Y-120818537D01* -X67443855Y-120785216D01* -X67420293Y-120775457D01* -X67326690Y-120712913D01* -X67247087Y-120633310D01* -X67184543Y-120539707D01* -X67174784Y-120516145D01* -X67141463Y-120435702D01* -X67119500Y-120325287D01* -X67119500Y-120212713D01* -X67141463Y-120102298D01* -X67184542Y-119998296D01* -X67184543Y-119998293D01* -X67247087Y-119904690D01* -X67326690Y-119825087D01* -X67420293Y-119762543D01* -X67448323Y-119750933D01* -X67524298Y-119719463D01* -X67597908Y-119704821D01* -X67634712Y-119697500D01* -X67747288Y-119697500D01* -X67784092Y-119704821D01* -G37* -G36* -X66497542Y-119424119D02* -G01* -X66558067Y-119436158D01* -X66643578Y-119471578D01* -X66643581Y-119471580D01* -X66720544Y-119523005D01* -X66785995Y-119588456D01* -X66837420Y-119665419D01* -X66837422Y-119665422D01* -X66872842Y-119750933D01* -X66875151Y-119762542D01* -X66887592Y-119825086D01* -X66890900Y-119841720D01* -X66890900Y-119934280D01* -X66872842Y-120025067D01* -X66837422Y-120110578D01* -X66837420Y-120110581D01* -X66785995Y-120187544D01* -X66720544Y-120252995D01* -X66643581Y-120304420D01* -X66643578Y-120304422D01* -X66558067Y-120339842D01* -X66497542Y-120351881D01* -X66467281Y-120357900D01* -X66374719Y-120357900D01* -X66344458Y-120351881D01* -X66283933Y-120339842D01* -X66198422Y-120304422D01* -X66198419Y-120304420D01* -X66121456Y-120252995D01* -X66056005Y-120187544D01* -X66004580Y-120110581D01* -X66004578Y-120110578D01* -X65969158Y-120025067D01* -X65951100Y-119934280D01* -X65951100Y-119841720D01* -X65954409Y-119825086D01* -X65966849Y-119762542D01* -X65969158Y-119750933D01* -X66004578Y-119665422D01* -X66004580Y-119665419D01* -X66056005Y-119588456D01* -X66121456Y-119523005D01* -X66198419Y-119471580D01* -X66198422Y-119471578D01* -X66283933Y-119436158D01* -X66344458Y-119424119D01* -X66374719Y-119418100D01* -X66467281Y-119418100D01* -X66497542Y-119424119D01* -G37* -G36* -X61417542Y-119424119D02* -G01* -X61478067Y-119436158D01* -X61563578Y-119471578D01* -X61563581Y-119471580D01* -X61640544Y-119523005D01* -X61705995Y-119588456D01* -X61757420Y-119665419D01* -X61757422Y-119665422D01* -X61792842Y-119750933D01* -X61795151Y-119762542D01* -X61807592Y-119825086D01* -X61810900Y-119841720D01* -X61810900Y-119934280D01* -X61792842Y-120025067D01* -X61757422Y-120110578D01* -X61757420Y-120110581D01* -X61705995Y-120187544D01* -X61640544Y-120252995D01* -X61563581Y-120304420D01* -X61563578Y-120304422D01* -X61478067Y-120339842D01* -X61417542Y-120351881D01* -X61387281Y-120357900D01* -X61294719Y-120357900D01* -X61264458Y-120351881D01* -X61203933Y-120339842D01* -X61118422Y-120304422D01* -X61118419Y-120304420D01* -X61041456Y-120252995D01* -X60976005Y-120187544D01* -X60924580Y-120110581D01* -X60924578Y-120110578D01* -X60889158Y-120025067D01* -X60871100Y-119934280D01* -X60871100Y-119841720D01* -X60874409Y-119825086D01* -X60886849Y-119762542D01* -X60889158Y-119750933D01* -X60924578Y-119665422D01* -X60924580Y-119665419D01* -X60976005Y-119588456D01* -X61041456Y-119523005D01* -X61118419Y-119471580D01* -X61118422Y-119471578D01* -X61203933Y-119436158D01* -X61264458Y-119424119D01* -X61294719Y-119418100D01* -X61387281Y-119418100D01* -X61417542Y-119424119D01* -G37* -G36* -X62687542Y-119424119D02* -G01* -X62748067Y-119436158D01* -X62833578Y-119471578D01* -X62833581Y-119471580D01* -X62910544Y-119523005D01* -X62975995Y-119588456D01* -X63027420Y-119665419D01* -X63027422Y-119665422D01* -X63062842Y-119750933D01* -X63065151Y-119762542D01* -X63077592Y-119825086D01* -X63080900Y-119841720D01* -X63080900Y-119934280D01* -X63062842Y-120025067D01* -X63027422Y-120110578D01* -X63027420Y-120110581D01* -X62975995Y-120187544D01* -X62910544Y-120252995D01* -X62833581Y-120304420D01* -X62833578Y-120304422D01* -X62748067Y-120339842D01* -X62687542Y-120351881D01* -X62657281Y-120357900D01* -X62564719Y-120357900D01* -X62534458Y-120351881D01* -X62473933Y-120339842D01* -X62388422Y-120304422D01* -X62388419Y-120304420D01* -X62311456Y-120252995D01* -X62246005Y-120187544D01* -X62194580Y-120110581D01* -X62194578Y-120110578D01* -X62159158Y-120025067D01* -X62141100Y-119934280D01* -X62141100Y-119841720D01* -X62144409Y-119825086D01* -X62156849Y-119762542D01* -X62159158Y-119750933D01* -X62194578Y-119665422D01* -X62194580Y-119665419D01* -X62246005Y-119588456D01* -X62311456Y-119523005D01* -X62388419Y-119471580D01* -X62388422Y-119471578D01* -X62473933Y-119436158D01* -X62534458Y-119424119D01* -X62564719Y-119418100D01* -X62657281Y-119418100D01* -X62687542Y-119424119D01* -G37* -G36* -X65227542Y-119424119D02* -G01* -X65288067Y-119436158D01* -X65373578Y-119471578D01* -X65373581Y-119471580D01* -X65450544Y-119523005D01* -X65515995Y-119588456D01* -X65567420Y-119665419D01* -X65567422Y-119665422D01* -X65602842Y-119750933D01* -X65605151Y-119762542D01* -X65617592Y-119825086D01* -X65620900Y-119841720D01* -X65620900Y-119934280D01* -X65602842Y-120025067D01* -X65567422Y-120110578D01* -X65567420Y-120110581D01* -X65515995Y-120187544D01* -X65450544Y-120252995D01* -X65373581Y-120304420D01* -X65373578Y-120304422D01* -X65288067Y-120339842D01* -X65227542Y-120351881D01* -X65197281Y-120357900D01* -X65104719Y-120357900D01* -X65074458Y-120351881D01* -X65013933Y-120339842D01* -X64928422Y-120304422D01* -X64928419Y-120304420D01* -X64851456Y-120252995D01* -X64786005Y-120187544D01* -X64734580Y-120110581D01* -X64734578Y-120110578D01* -X64699158Y-120025067D01* -X64681100Y-119934280D01* -X64681100Y-119841720D01* -X64684409Y-119825086D01* -X64696849Y-119762542D01* -X64699158Y-119750933D01* -X64734578Y-119665422D01* -X64734580Y-119665419D01* -X64786005Y-119588456D01* -X64851456Y-119523005D01* -X64928419Y-119471580D01* -X64928422Y-119471578D01* -X65013933Y-119436158D01* -X65074458Y-119424119D01* -X65104719Y-119418100D01* -X65197281Y-119418100D01* -X65227542Y-119424119D01* -G37* -G36* -X63957542Y-119424119D02* -G01* -X64018067Y-119436158D01* -X64103578Y-119471578D01* -X64103581Y-119471580D01* -X64180544Y-119523005D01* -X64245995Y-119588456D01* -X64297420Y-119665419D01* -X64297422Y-119665422D01* -X64332842Y-119750933D01* -X64335151Y-119762542D01* -X64347592Y-119825086D01* -X64350900Y-119841720D01* -X64350900Y-119934280D01* -X64332842Y-120025067D01* -X64297422Y-120110578D01* -X64297420Y-120110581D01* -X64245995Y-120187544D01* -X64180544Y-120252995D01* -X64103581Y-120304420D01* -X64103578Y-120304422D01* -X64018067Y-120339842D01* -X63957542Y-120351881D01* -X63927281Y-120357900D01* -X63834719Y-120357900D01* -X63804458Y-120351881D01* -X63743933Y-120339842D01* -X63658422Y-120304422D01* -X63658419Y-120304420D01* -X63581456Y-120252995D01* -X63516005Y-120187544D01* -X63464580Y-120110581D01* -X63464578Y-120110578D01* -X63429158Y-120025067D01* -X63411100Y-119934280D01* -X63411100Y-119841720D01* -X63414409Y-119825086D01* -X63426849Y-119762542D01* -X63429158Y-119750933D01* -X63464578Y-119665422D01* -X63464580Y-119665419D01* -X63516005Y-119588456D01* -X63581456Y-119523005D01* -X63658419Y-119471580D01* -X63658422Y-119471578D01* -X63743933Y-119436158D01* -X63804458Y-119424119D01* -X63834719Y-119418100D01* -X63927281Y-119418100D01* -X63957542Y-119424119D01* -G37* -G36* -X60164092Y-118688821D02* -G01* -X60237702Y-118703463D01* -X60318145Y-118736784D01* -X60341707Y-118746543D01* -X60435310Y-118809087D01* -X60514913Y-118888690D01* -X60577457Y-118982293D01* -X60577458Y-118982296D01* -X60620537Y-119086298D01* -X60642500Y-119196713D01* -X60642500Y-119309287D01* -X60620537Y-119419702D01* -X60599049Y-119471578D01* -X60577457Y-119523707D01* -X60514913Y-119617310D01* -X60435310Y-119696913D01* -X60341707Y-119759457D01* -X60318145Y-119769216D01* -X60237702Y-119802537D01* -X60164092Y-119817179D01* -X60127288Y-119824500D01* -X60014712Y-119824500D01* -X59977908Y-119817179D01* -X59904298Y-119802537D01* -X59823855Y-119769216D01* -X59800293Y-119759457D01* -X59706690Y-119696913D01* -X59627087Y-119617310D01* -X59564543Y-119523707D01* -X59542951Y-119471578D01* -X59521463Y-119419702D01* -X59499500Y-119309287D01* -X59499500Y-119196713D01* -X59521463Y-119086298D01* -X59564542Y-118982296D01* -X59564543Y-118982293D01* -X59627087Y-118888690D01* -X59706690Y-118809087D01* -X59800293Y-118746543D01* -X59823855Y-118736784D01* -X59904298Y-118703463D01* -X59977908Y-118688821D01* -X60014712Y-118681500D01* -X60127288Y-118681500D01* -X60164092Y-118688821D01* -G37* -G36* -X63957542Y-118154119D02* -G01* -X64018067Y-118166158D01* -X64103578Y-118201578D01* -X64103581Y-118201580D01* -X64180544Y-118253005D01* -X64245995Y-118318456D01* -X64245996Y-118318458D01* -X64297422Y-118395422D01* -X64332842Y-118480933D01* -X64332842Y-118480935D01* -X64350900Y-118571719D01* -X64350900Y-118664281D01* -X64347475Y-118681500D01* -X64332842Y-118755067D01* -X64297422Y-118840578D01* -X64297420Y-118840581D01* -X64245995Y-118917544D01* -X64180544Y-118982995D01* -X64103581Y-119034420D01* -X64103578Y-119034422D01* -X64018067Y-119069842D01* -X63957542Y-119081881D01* -X63927281Y-119087900D01* -X63834719Y-119087900D01* -X63804458Y-119081881D01* -X63743933Y-119069842D01* -X63658422Y-119034422D01* -X63658419Y-119034420D01* -X63581456Y-118982995D01* -X63516005Y-118917544D01* -X63464580Y-118840581D01* -X63464578Y-118840578D01* -X63429158Y-118755067D01* -X63414525Y-118681500D01* -X63411100Y-118664281D01* -X63411100Y-118571719D01* -X63429158Y-118480935D01* -X63429158Y-118480933D01* -X63464578Y-118395422D01* -X63516004Y-118318458D01* -X63516005Y-118318456D01* -X63581456Y-118253005D01* -X63658419Y-118201580D01* -X63658422Y-118201578D01* -X63743933Y-118166158D01* -X63804458Y-118154119D01* -X63834719Y-118148100D01* -X63927281Y-118148100D01* -X63957542Y-118154119D01* -G37* -G36* -X66497542Y-118154119D02* -G01* -X66558067Y-118166158D01* -X66643578Y-118201578D01* -X66643581Y-118201580D01* -X66720544Y-118253005D01* -X66785995Y-118318456D01* -X66785996Y-118318458D01* -X66837422Y-118395422D01* -X66872842Y-118480933D01* -X66872842Y-118480935D01* -X66890900Y-118571719D01* -X66890900Y-118664281D01* -X66887475Y-118681500D01* -X66872842Y-118755067D01* -X66837422Y-118840578D01* -X66837420Y-118840581D01* -X66785995Y-118917544D01* -X66720544Y-118982995D01* -X66643581Y-119034420D01* -X66643578Y-119034422D01* -X66558067Y-119069842D01* -X66497542Y-119081881D01* -X66467281Y-119087900D01* -X66374719Y-119087900D01* -X66344458Y-119081881D01* -X66283933Y-119069842D01* -X66198422Y-119034422D01* -X66198419Y-119034420D01* -X66121456Y-118982995D01* -X66056005Y-118917544D01* -X66004580Y-118840581D01* -X66004578Y-118840578D01* -X65969158Y-118755067D01* -X65954525Y-118681500D01* -X65951100Y-118664281D01* -X65951100Y-118571719D01* -X65969158Y-118480935D01* -X65969158Y-118480933D01* -X66004578Y-118395422D01* -X66056004Y-118318458D01* -X66056005Y-118318456D01* -X66121456Y-118253005D01* -X66198419Y-118201580D01* -X66198422Y-118201578D01* -X66283933Y-118166158D01* -X66344458Y-118154119D01* -X66374719Y-118148100D01* -X66467281Y-118148100D01* -X66497542Y-118154119D01* -G37* -G36* -X65227542Y-118154119D02* -G01* -X65288067Y-118166158D01* -X65373578Y-118201578D01* -X65373581Y-118201580D01* -X65450544Y-118253005D01* -X65515995Y-118318456D01* -X65515996Y-118318458D01* -X65567422Y-118395422D01* -X65602842Y-118480933D01* -X65602842Y-118480935D01* -X65620900Y-118571719D01* -X65620900Y-118664281D01* -X65617475Y-118681500D01* -X65602842Y-118755067D01* -X65567422Y-118840578D01* -X65567420Y-118840581D01* -X65515995Y-118917544D01* -X65450544Y-118982995D01* -X65373581Y-119034420D01* -X65373578Y-119034422D01* -X65288067Y-119069842D01* -X65227542Y-119081881D01* -X65197281Y-119087900D01* -X65104719Y-119087900D01* -X65074458Y-119081881D01* -X65013933Y-119069842D01* -X64928422Y-119034422D01* -X64928419Y-119034420D01* -X64851456Y-118982995D01* -X64786005Y-118917544D01* -X64734580Y-118840581D01* -X64734578Y-118840578D01* -X64699158Y-118755067D01* -X64684525Y-118681500D01* -X64681100Y-118664281D01* -X64681100Y-118571719D01* -X64699158Y-118480935D01* -X64699158Y-118480933D01* -X64734578Y-118395422D01* -X64786004Y-118318458D01* -X64786005Y-118318456D01* -X64851456Y-118253005D01* -X64928419Y-118201580D01* -X64928422Y-118201578D01* -X65013933Y-118166158D01* -X65074458Y-118154119D01* -X65104719Y-118148100D01* -X65197281Y-118148100D01* -X65227542Y-118154119D01* -G37* -G36* -X62687542Y-118154119D02* -G01* -X62748067Y-118166158D01* -X62833578Y-118201578D01* -X62833581Y-118201580D01* -X62910544Y-118253005D01* -X62975995Y-118318456D01* -X62975996Y-118318458D01* -X63027422Y-118395422D01* -X63062842Y-118480933D01* -X63062842Y-118480935D01* -X63080900Y-118571719D01* -X63080900Y-118664281D01* -X63077475Y-118681500D01* -X63062842Y-118755067D01* -X63027422Y-118840578D01* -X63027420Y-118840581D01* -X62975995Y-118917544D01* -X62910544Y-118982995D01* -X62833581Y-119034420D01* -X62833578Y-119034422D01* -X62748067Y-119069842D01* -X62687542Y-119081881D01* -X62657281Y-119087900D01* -X62564719Y-119087900D01* -X62534458Y-119081881D01* -X62473933Y-119069842D01* -X62388422Y-119034422D01* -X62388419Y-119034420D01* -X62311456Y-118982995D01* -X62246005Y-118917544D01* -X62194580Y-118840581D01* -X62194578Y-118840578D01* -X62159158Y-118755067D01* -X62144525Y-118681500D01* -X62141100Y-118664281D01* -X62141100Y-118571719D01* -X62159158Y-118480935D01* -X62159158Y-118480933D01* -X62194578Y-118395422D01* -X62246004Y-118318458D01* -X62246005Y-118318456D01* -X62311456Y-118253005D01* -X62388419Y-118201580D01* -X62388422Y-118201578D01* -X62473933Y-118166158D01* -X62534458Y-118154119D01* -X62564719Y-118148100D01* -X62657281Y-118148100D01* -X62687542Y-118154119D01* -G37* -G36* -X61417542Y-118154119D02* -G01* -X61478067Y-118166158D01* -X61563578Y-118201578D01* -X61563581Y-118201580D01* -X61640544Y-118253005D01* -X61705995Y-118318456D01* -X61705996Y-118318458D01* -X61757422Y-118395422D01* -X61792842Y-118480933D01* -X61792842Y-118480935D01* -X61810900Y-118571719D01* -X61810900Y-118664281D01* -X61807475Y-118681500D01* -X61792842Y-118755067D01* -X61757422Y-118840578D01* -X61757420Y-118840581D01* -X61705995Y-118917544D01* -X61640544Y-118982995D01* -X61563581Y-119034420D01* -X61563578Y-119034422D01* -X61478067Y-119069842D01* -X61417542Y-119081881D01* -X61387281Y-119087900D01* -X61294719Y-119087900D01* -X61264458Y-119081881D01* -X61203933Y-119069842D01* -X61118422Y-119034422D01* -X61118419Y-119034420D01* -X61041456Y-118982995D01* -X60976005Y-118917544D01* -X60924580Y-118840581D01* -X60924578Y-118840578D01* -X60889158Y-118755067D01* -X60874525Y-118681500D01* -X60871100Y-118664281D01* -X60871100Y-118571719D01* -X60889158Y-118480935D01* -X60889158Y-118480933D01* -X60924578Y-118395422D01* -X60976004Y-118318458D01* -X60976005Y-118318456D01* -X61041456Y-118253005D01* -X61118419Y-118201580D01* -X61118422Y-118201578D01* -X61203933Y-118166158D01* -X61264458Y-118154119D01* -X61294719Y-118148100D01* -X61387281Y-118148100D01* -X61417542Y-118154119D01* -G37* -G36* -X67784092Y-117672821D02* -G01* -X67857702Y-117687463D01* -X67938145Y-117720784D01* -X67961707Y-117730543D01* -X68055310Y-117793087D01* -X68134913Y-117872690D01* -X68197457Y-117966293D01* -X68197458Y-117966296D01* -X68240537Y-118070298D01* -X68262500Y-118180713D01* -X68262500Y-118293287D01* -X68240537Y-118403702D01* -X68208547Y-118480933D01* -X68197457Y-118507707D01* -X68134913Y-118601310D01* -X68055310Y-118680913D01* -X67961707Y-118743457D01* -X67938145Y-118753216D01* -X67857702Y-118786537D01* -X67784092Y-118801179D01* -X67747288Y-118808500D01* -X67634712Y-118808500D01* -X67597908Y-118801179D01* -X67524298Y-118786537D01* -X67443855Y-118753216D01* -X67420293Y-118743457D01* -X67326690Y-118680913D01* -X67247087Y-118601310D01* -X67184543Y-118507707D01* -X67173453Y-118480933D01* -X67141463Y-118403702D01* -X67119500Y-118293287D01* -X67119500Y-118180713D01* -X67141463Y-118070298D01* -X67184542Y-117966296D01* -X67184543Y-117966293D01* -X67247087Y-117872690D01* -X67326690Y-117793087D01* -X67420293Y-117730543D01* -X67443855Y-117720784D01* -X67524298Y-117687463D01* -X67597908Y-117672821D01* -X67634712Y-117665500D01* -X67747288Y-117665500D01* -X67784092Y-117672821D01* -G37* -G36* -X60222503Y-115454729D02* -G01* -X60439596Y-115497911D01* -X60669564Y-115593167D01* -X60876525Y-115731455D01* -X60876527Y-115731457D01* -X60876530Y-115731459D01* -X61052541Y-115907470D01* -X61052543Y-115907473D01* -X61052545Y-115907475D01* -X61190833Y-116114436D01* -X61286089Y-116344404D01* -X61334650Y-116588542D01* -X61334650Y-116837458D01* -X61286089Y-117081596D01* -X61190833Y-117311564D01* -X61052545Y-117518525D01* -X61052543Y-117518527D01* -X61052541Y-117518530D01* -X60876530Y-117694541D01* -X60876527Y-117694543D01* -X60876525Y-117694545D01* -X60669564Y-117832833D01* -X60439596Y-117928089D01* -X60222503Y-117971271D01* -X60195459Y-117976650D01* -X59946541Y-117976650D01* -X59919497Y-117971271D01* -X59702404Y-117928089D01* -X59472436Y-117832833D01* -X59265475Y-117694545D01* -X59265473Y-117694543D01* -X59265470Y-117694541D01* -X59089459Y-117518530D01* -X59089457Y-117518527D01* -X59089455Y-117518525D01* -X58951167Y-117311564D01* -X58855911Y-117081596D01* -X58807350Y-116837458D01* -X58807350Y-116588542D01* -X58855911Y-116344404D01* -X58951167Y-116114436D01* -X59089455Y-115907475D01* -X59089457Y-115907473D01* -X59089459Y-115907470D01* -X59265470Y-115731459D01* -X59265473Y-115731457D01* -X59265475Y-115731455D01* -X59472436Y-115593167D01* -X59702404Y-115497911D01* -X59919497Y-115454729D01* -X59946541Y-115449350D01* -X60195459Y-115449350D01* -X60222503Y-115454729D01* -G37* -G36* -X65937503Y-115454729D02* -G01* -X66154596Y-115497911D01* -X66384564Y-115593167D01* -X66591525Y-115731455D01* -X66591527Y-115731457D01* -X66591530Y-115731459D01* -X66767541Y-115907470D01* -X66767543Y-115907473D01* -X66767545Y-115907475D01* -X66905833Y-116114436D01* -X67001089Y-116344404D01* -X67049650Y-116588542D01* -X67049650Y-116837458D01* -X67001089Y-117081596D01* -X66905833Y-117311564D01* -X66767545Y-117518525D01* -X66767543Y-117518527D01* -X66767541Y-117518530D01* -X66591530Y-117694541D01* -X66591527Y-117694543D01* -X66591525Y-117694545D01* -X66384564Y-117832833D01* -X66154596Y-117928089D01* -X65937503Y-117971271D01* -X65910459Y-117976650D01* -X65661541Y-117976650D01* -X65634497Y-117971271D01* -X65417404Y-117928089D01* -X65187436Y-117832833D01* -X64980475Y-117694545D01* -X64980473Y-117694543D01* -X64980470Y-117694541D01* -X64804459Y-117518530D01* -X64804457Y-117518527D01* -X64804455Y-117518525D01* -X64666167Y-117311564D01* -X64570911Y-117081596D01* -X64522350Y-116837458D01* -X64522350Y-116588542D01* -X64570911Y-116344404D01* -X64666167Y-116114436D01* -X64804455Y-115907475D01* -X64804457Y-115907473D01* -X64804459Y-115907470D01* -X64980470Y-115731459D01* -X64980473Y-115731457D01* -X64980475Y-115731455D01* -X65187436Y-115593167D01* -X65417404Y-115497911D01* -X65634497Y-115454729D01* -X65661541Y-115449350D01* -X65910459Y-115449350D01* -X65937503Y-115454729D01* -G37* -G36* -X61723875Y-107271423D02* -G01* -X61869133Y-107300316D01* -X62040160Y-107371158D01* -X62194086Y-107474008D01* -X62324992Y-107604914D01* -X62427842Y-107758840D01* -X62498684Y-107929867D01* -X62534800Y-108111439D01* -X62534800Y-108296561D01* -X62498684Y-108478133D01* -X62427842Y-108649160D01* -X62324992Y-108803086D01* -X62194086Y-108933992D01* -X62040160Y-109036842D01* -X61869133Y-109107684D01* -X61723875Y-109136577D01* -X61687562Y-109143800D01* -X61502438Y-109143800D01* -X61466125Y-109136577D01* -X61320867Y-109107684D01* -X61149840Y-109036842D01* -X60995914Y-108933992D01* -X60865008Y-108803086D01* -X60762158Y-108649160D01* -X60691316Y-108478133D01* -X60655200Y-108296561D01* -X60655200Y-108111439D01* -X60691316Y-107929867D01* -X60762158Y-107758840D01* -X60865008Y-107604914D01* -X60995914Y-107474008D01* -X61149840Y-107371158D01* -X61320867Y-107300316D01* -X61466125Y-107271423D01* -X61502438Y-107264200D01* -X61687562Y-107264200D01* -X61723875Y-107271423D01* -G37* -G36* -X65074800Y-109143800D02* -G01* -X63195200Y-109143800D01* -X63195200Y-107264200D01* -X65074800Y-107264200D01* -X65074800Y-109143800D01* -G37* -G36* -X61723875Y-104731423D02* -G01* -X61869133Y-104760316D01* -X62040160Y-104831158D01* -X62194086Y-104934008D01* -X62324992Y-105064914D01* -X62427842Y-105218840D01* -X62498684Y-105389867D01* -X62534800Y-105571439D01* -X62534800Y-105756561D01* -X62498684Y-105938133D01* -X62427842Y-106109160D01* -X62324992Y-106263086D01* -X62194086Y-106393992D01* -X62040160Y-106496842D01* -X61869133Y-106567684D01* -X61723875Y-106596577D01* -X61687562Y-106603800D01* -X61502438Y-106603800D01* -X61466125Y-106596577D01* -X61320867Y-106567684D01* -X61149840Y-106496842D01* -X60995914Y-106393992D01* -X60865008Y-106263086D01* -X60762158Y-106109160D01* -X60691316Y-105938133D01* -X60655200Y-105756561D01* -X60655200Y-105571439D01* -X60691316Y-105389867D01* -X60762158Y-105218840D01* -X60865008Y-105064914D01* -X60995914Y-104934008D01* -X61149840Y-104831158D01* -X61320867Y-104760316D01* -X61466125Y-104731423D01* -X61502438Y-104724200D01* -X61687562Y-104724200D01* -X61723875Y-104731423D01* -G37* -G36* -X64263875Y-104731423D02* -G01* -X64409133Y-104760316D01* -X64580160Y-104831158D01* -X64734086Y-104934008D01* -X64864992Y-105064914D01* -X64967842Y-105218840D01* -X65038684Y-105389867D01* -X65074800Y-105571439D01* -X65074800Y-105756561D01* -X65038684Y-105938133D01* -X64967842Y-106109160D01* -X64864992Y-106263086D01* -X64734086Y-106393992D01* -X64580160Y-106496842D01* -X64409133Y-106567684D01* -X64263875Y-106596577D01* -X64227562Y-106603800D01* -X64042438Y-106603800D01* -X64006125Y-106596577D01* -X63860867Y-106567684D01* -X63689840Y-106496842D01* -X63535914Y-106393992D01* -X63405008Y-106263086D01* -X63302158Y-106109160D01* -X63231316Y-105938133D01* -X63195200Y-105756561D01* -X63195200Y-105571439D01* -X63231316Y-105389867D01* -X63302158Y-105218840D01* -X63405008Y-105064914D01* -X63535914Y-104934008D01* -X63689840Y-104831158D01* -X63860867Y-104760316D01* -X64006125Y-104731423D01* -X64042438Y-104724200D01* -X64227562Y-104724200D01* -X64263875Y-104731423D01* -G37* -G36* -X61723875Y-102191423D02* -G01* -X61869133Y-102220316D01* -X62040160Y-102291158D01* -X62194086Y-102394008D01* -X62324992Y-102524914D01* -X62427842Y-102678840D01* -X62498684Y-102849867D01* -X62534800Y-103031439D01* -X62534800Y-103216561D01* -X62498684Y-103398133D01* -X62427842Y-103569160D01* -X62324992Y-103723086D01* -X62194086Y-103853992D01* -X62040160Y-103956842D01* -X61869133Y-104027684D01* -X61723875Y-104056577D01* -X61687562Y-104063800D01* -X61502438Y-104063800D01* -X61466125Y-104056577D01* -X61320867Y-104027684D01* -X61149840Y-103956842D01* -X60995914Y-103853992D01* -X60865008Y-103723086D01* -X60762158Y-103569160D01* -X60691316Y-103398133D01* -X60655200Y-103216561D01* -X60655200Y-103031439D01* -X60691316Y-102849867D01* -X60762158Y-102678840D01* -X60865008Y-102524914D01* -X60995914Y-102394008D01* -X61149840Y-102291158D01* -X61320867Y-102220316D01* -X61466125Y-102191423D01* -X61502438Y-102184200D01* -X61687562Y-102184200D01* -X61723875Y-102191423D01* -G37* -G36* -X64263875Y-102191423D02* -G01* -X64409133Y-102220316D01* -X64580160Y-102291158D01* -X64734086Y-102394008D01* -X64864992Y-102524914D01* -X64967842Y-102678840D01* -X65038684Y-102849867D01* -X65074800Y-103031439D01* -X65074800Y-103216561D01* -X65038684Y-103398133D01* -X64967842Y-103569160D01* -X64864992Y-103723086D01* -X64734086Y-103853992D01* -X64580160Y-103956842D01* -X64409133Y-104027684D01* -X64263875Y-104056577D01* -X64227562Y-104063800D01* -X64042438Y-104063800D01* -X64006125Y-104056577D01* -X63860867Y-104027684D01* -X63689840Y-103956842D01* -X63535914Y-103853992D01* -X63405008Y-103723086D01* -X63302158Y-103569160D01* -X63231316Y-103398133D01* -X63195200Y-103216561D01* -X63195200Y-103031439D01* -X63231316Y-102849867D01* -X63302158Y-102678840D01* -X63405008Y-102524914D01* -X63535914Y-102394008D01* -X63689840Y-102291158D01* -X63860867Y-102220316D01* -X64006125Y-102191423D01* -X64042438Y-102184200D01* -X64227562Y-102184200D01* -X64263875Y-102191423D01* -G37* -G36* -X61723875Y-99651423D02* -G01* -X61869133Y-99680316D01* -X62040160Y-99751158D01* -X62194086Y-99854008D01* -X62324992Y-99984914D01* -X62427842Y-100138840D01* -X62498684Y-100309867D01* -X62534800Y-100491439D01* -X62534800Y-100676561D01* -X62498684Y-100858133D01* -X62427842Y-101029160D01* -X62324992Y-101183086D01* -X62194086Y-101313992D01* -X62040160Y-101416842D01* -X61869133Y-101487684D01* -X61723875Y-101516577D01* -X61687562Y-101523800D01* -X61502438Y-101523800D01* -X61466125Y-101516577D01* -X61320867Y-101487684D01* -X61149840Y-101416842D01* -X60995914Y-101313992D01* -X60865008Y-101183086D01* -X60762158Y-101029160D01* -X60691316Y-100858133D01* -X60655200Y-100676561D01* -X60655200Y-100491439D01* -X60691316Y-100309867D01* -X60762158Y-100138840D01* -X60865008Y-99984914D01* -X60995914Y-99854008D01* -X61149840Y-99751158D01* -X61320867Y-99680316D01* -X61466125Y-99651423D01* -X61502438Y-99644200D01* -X61687562Y-99644200D01* -X61723875Y-99651423D01* -G37* -G36* -X64263875Y-99651423D02* -G01* -X64409133Y-99680316D01* -X64580160Y-99751158D01* -X64734086Y-99854008D01* -X64864992Y-99984914D01* -X64967842Y-100138840D01* -X65038684Y-100309867D01* -X65074800Y-100491439D01* -X65074800Y-100676561D01* -X65038684Y-100858133D01* -X64967842Y-101029160D01* -X64864992Y-101183086D01* -X64734086Y-101313992D01* -X64580160Y-101416842D01* -X64409133Y-101487684D01* -X64263875Y-101516577D01* -X64227562Y-101523800D01* -X64042438Y-101523800D01* -X64006125Y-101516577D01* -X63860867Y-101487684D01* -X63689840Y-101416842D01* -X63535914Y-101313992D01* -X63405008Y-101183086D01* -X63302158Y-101029160D01* -X63231316Y-100858133D01* -X63195200Y-100676561D01* -X63195200Y-100491439D01* -X63231316Y-100309867D01* -X63302158Y-100138840D01* -X63405008Y-99984914D01* -X63535914Y-99854008D01* -X63689840Y-99751158D01* -X63860867Y-99680316D01* -X64006125Y-99651423D01* -X64042438Y-99644200D01* -X64227562Y-99644200D01* -X64263875Y-99651423D01* -G37* -G36* -X64263875Y-97111423D02* -G01* -X64409133Y-97140316D01* -X64580160Y-97211158D01* -X64734086Y-97314008D01* -X64864992Y-97444914D01* -X64967842Y-97598840D01* -X65038684Y-97769867D01* -X65074800Y-97951439D01* -X65074800Y-98136561D01* -X65038684Y-98318133D01* -X64967842Y-98489160D01* -X64864992Y-98643086D01* -X64734086Y-98773992D01* -X64580160Y-98876842D01* -X64409133Y-98947684D01* -X64263875Y-98976577D01* -X64227562Y-98983800D01* -X64042438Y-98983800D01* -X64006125Y-98976577D01* -X63860867Y-98947684D01* -X63689840Y-98876842D01* -X63535914Y-98773992D01* -X63405008Y-98643086D01* -X63302158Y-98489160D01* -X63231316Y-98318133D01* -X63195200Y-98136561D01* -X63195200Y-97951439D01* -X63231316Y-97769867D01* -X63302158Y-97598840D01* -X63405008Y-97444914D01* -X63535914Y-97314008D01* -X63689840Y-97211158D01* -X63860867Y-97140316D01* -X64006125Y-97111423D01* -X64042438Y-97104200D01* -X64227562Y-97104200D01* -X64263875Y-97111423D01* -G37* -G36* -X61723875Y-97111423D02* -G01* -X61869133Y-97140316D01* -X62040160Y-97211158D01* -X62194086Y-97314008D01* -X62324992Y-97444914D01* -X62427842Y-97598840D01* -X62498684Y-97769867D01* -X62534800Y-97951439D01* -X62534800Y-98136561D01* -X62498684Y-98318133D01* -X62427842Y-98489160D01* -X62324992Y-98643086D01* -X62194086Y-98773992D01* -X62040160Y-98876842D01* -X61869133Y-98947684D01* -X61723875Y-98976577D01* -X61687562Y-98983800D01* -X61502438Y-98983800D01* -X61466125Y-98976577D01* -X61320867Y-98947684D01* -X61149840Y-98876842D01* -X60995914Y-98773992D01* -X60865008Y-98643086D01* -X60762158Y-98489160D01* -X60691316Y-98318133D01* -X60655200Y-98136561D01* -X60655200Y-97951439D01* -X60691316Y-97769867D01* -X60762158Y-97598840D01* -X60865008Y-97444914D01* -X60995914Y-97314008D01* -X61149840Y-97211158D01* -X61320867Y-97140316D01* -X61466125Y-97111423D01* -X61502438Y-97104200D01* -X61687562Y-97104200D01* -X61723875Y-97111423D01* -G37* -G36* -X48250933Y-95424274D02* -G01* -X48344185Y-95442823D01* -X48475939Y-95497398D01* -X48475940Y-95497399D01* -X48475943Y-95497400D01* -X48594523Y-95576633D01* -X48695367Y-95677477D01* -X48774600Y-95796057D01* -X48774601Y-95796060D01* -X48774602Y-95796061D01* -X48829177Y-95927815D01* -X48857000Y-96067693D01* -X48857000Y-96210307D01* -X48829177Y-96350185D01* -X48774602Y-96481939D01* -X48774600Y-96481943D01* -X48695367Y-96600523D01* -X48594523Y-96701367D01* -X48475943Y-96780600D01* -X48475940Y-96780601D01* -X48475939Y-96780602D01* -X48344185Y-96835177D01* -X48250933Y-96853726D01* -X48204308Y-96863000D01* -X48061692Y-96863000D01* -X48015067Y-96853726D01* -X47921815Y-96835177D01* -X47790061Y-96780602D01* -X47790060Y-96780601D01* -X47790057Y-96780600D01* -X47671477Y-96701367D01* -X47570633Y-96600523D01* -X47491400Y-96481943D01* -X47491398Y-96481939D01* -X47436823Y-96350185D01* -X47409000Y-96210307D01* -X47409000Y-96067693D01* -X47436823Y-95927815D01* -X47491398Y-95796061D01* -X47491399Y-95796060D01* -X47491400Y-95796057D01* -X47570633Y-95677477D01* -X47671477Y-95576633D01* -X47790057Y-95497400D01* -X47790060Y-95497399D01* -X47790061Y-95497398D01* -X47921815Y-95442823D01* -X48015067Y-95424274D01* -X48061692Y-95415000D01* -X48204308Y-95415000D01* -X48250933Y-95424274D01* -G37* -G36* -X57140933Y-83994274D02* -G01* -X57234185Y-84012823D01* -X57365939Y-84067398D01* -X57365940Y-84067399D01* -X57365943Y-84067400D01* -X57484523Y-84146633D01* -X57585367Y-84247477D01* -X57664600Y-84366057D01* -X57664601Y-84366060D01* -X57664602Y-84366061D01* -X57719177Y-84497815D01* -X57747000Y-84637693D01* -X57747000Y-84780307D01* -X57719177Y-84920185D01* -X57664602Y-85051939D01* -X57664600Y-85051943D01* -X57585367Y-85170523D01* -X57484523Y-85271367D01* -X57365943Y-85350600D01* -X57365940Y-85350601D01* -X57365939Y-85350602D01* -X57234185Y-85405177D01* -X57140933Y-85423726D01* -X57094308Y-85433000D01* -X56951692Y-85433000D01* -X56905067Y-85423726D01* -X56811815Y-85405177D01* -X56680061Y-85350602D01* -X56680060Y-85350601D01* -X56680057Y-85350600D01* -X56561477Y-85271367D01* -X56460633Y-85170523D01* -X56381400Y-85051943D01* -X56381398Y-85051939D01* -X56326823Y-84920185D01* -X56299000Y-84780307D01* -X56299000Y-84637693D01* -X56326823Y-84497815D01* -X56381398Y-84366061D01* -X56381399Y-84366060D01* -X56381400Y-84366057D01* -X56460633Y-84247477D01* -X56561477Y-84146633D01* -X56680057Y-84067400D01* -X56680060Y-84067399D01* -X56680061Y-84067398D01* -X56811815Y-84012823D01* -X56905067Y-83994274D01* -X56951692Y-83985000D01* -X57094308Y-83985000D01* -X57140933Y-83994274D01* -G37* -G36* -X140579933Y-81708274D02* -G01* -X140673185Y-81726823D01* -X140804939Y-81781398D01* -X140804940Y-81781399D01* -X140804943Y-81781400D01* -X140923523Y-81860633D01* -X141024367Y-81961477D01* -X141103600Y-82080057D01* -X141103601Y-82080060D01* -X141103602Y-82080061D01* -X141158177Y-82211815D01* -X141186000Y-82351693D01* -X141186000Y-82494307D01* -X141158177Y-82634185D01* -X141103602Y-82765939D01* -X141103600Y-82765943D01* -X141024367Y-82884523D01* -X140923523Y-82985367D01* -X140804943Y-83064600D01* -X140804940Y-83064601D01* -X140804939Y-83064602D01* -X140673185Y-83119177D01* -X140579933Y-83137726D01* -X140533308Y-83147000D01* -X140390692Y-83147000D01* -X140344067Y-83137726D01* -X140250815Y-83119177D01* -X140119061Y-83064602D01* -X140119060Y-83064601D01* -X140119057Y-83064600D01* -X140000477Y-82985367D01* -X139899633Y-82884523D01* -X139820400Y-82765943D01* -X139820398Y-82765939D01* -X139765823Y-82634185D01* -X139738000Y-82494307D01* -X139738000Y-82351693D01* -X139765823Y-82211815D01* -X139820398Y-82080061D01* -X139820399Y-82080060D01* -X139820400Y-82080057D01* -X139899633Y-81961477D01* -X140000477Y-81860633D01* -X140119057Y-81781400D01* -X140119060Y-81781399D01* -X140119061Y-81781398D01* -X140250815Y-81726823D01* -X140344067Y-81708274D01* -X140390692Y-81699000D01* -X140533308Y-81699000D01* -X140579933Y-81708274D01* -G37* -M02* diff --git a/gerber/GR8RAM-B_SilkS.gbo b/gerber/GR8RAM-B_SilkS.gbo deleted file mode 100644 index a4de9b8..0000000 --- a/gerber/GR8RAM-B_SilkS.gbo +++ /dev/null @@ -1,1889 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Bot* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.120000*% -%ADD11C,0.100000*% -%ADD12R,1.879600X1.879600*% -%ADD13O,1.879600X1.879600*% -%ADD14C,2.527300*% -%ADD15C,0.939800*% -%ADD16C,1.143000*% -%ADD17C,2.152400*% -%ADD18C,1.448000*% -G04 APERTURE END LIST* -D10* -X61341000Y-117983000D02* -X60706000Y-117983000D01* -X60706000Y-117983000D02* -X60706000Y-118618000D01* -%LPC*% -D11* -G36* -X139700000Y-139446000D02* -G01* -X139192000Y-139954000D01* -X74168000Y-139954000D01* -X73660000Y-139446000D01* -X73660000Y-132080000D01* -X139700000Y-132080000D01* -X139700000Y-139446000D01* -G37* -D12* -X64135000Y-108204000D03* -D13* -X61595000Y-108204000D03* -X64135000Y-105664000D03* -X61595000Y-105664000D03* -X64135000Y-103124000D03* -X61595000Y-103124000D03* -X64135000Y-100584000D03* -X61595000Y-100584000D03* -X64135000Y-98044000D03* -X61595000Y-98044000D03* -D14* -X65786000Y-121793000D03* -X65786000Y-116713000D03* -X60071000Y-116713000D03* -X60071000Y-121793000D03* -D15* -X61341000Y-119888000D03* -X62611000Y-119888000D03* -X63881000Y-119888000D03* -X65151000Y-119888000D03* -X66421000Y-119888000D03* -X66421000Y-118618000D03* -X65151000Y-118618000D03* -X63881000Y-118618000D03* -X62611000Y-118618000D03* -X61341000Y-118618000D03* -D16* -X60071000Y-119253000D03* -X67691000Y-118237000D03* -X67691000Y-120269000D03* -X67691000Y-118237000D03* -X67691000Y-120269000D03* -X60071000Y-119253000D03* -D14* -X60071000Y-116713000D03* -X60071000Y-121793000D03* -X65786000Y-121793000D03* -X65786000Y-116713000D03* -D11* -G36* -X130000179Y-131537818D02* -G01* -X130040862Y-131543853D01* -X130080758Y-131553846D01* -X130119483Y-131567702D01* -X130156662Y-131585287D01* -X130191939Y-131606431D01* -X130224974Y-131630931D01* -X130255448Y-131658552D01* -X130283069Y-131689026D01* -X130307569Y-131722061D01* -X130328713Y-131757338D01* -X130346298Y-131794517D01* -X130360154Y-131833242D01* -X130370147Y-131873138D01* -X130376182Y-131913821D01* -X130378200Y-131954900D01* -X130378200Y-138809100D01* -X130376182Y-138850179D01* -X130370147Y-138890862D01* -X130360154Y-138930758D01* -X130346298Y-138969483D01* -X130328713Y-139006662D01* -X130307569Y-139041939D01* -X130283069Y-139074974D01* -X130255448Y-139105448D01* -X130224974Y-139133069D01* -X130191939Y-139157569D01* -X130156662Y-139178713D01* -X130119483Y-139196298D01* -X130080758Y-139210154D01* -X130040862Y-139220147D01* -X130000179Y-139226182D01* -X129959100Y-139228200D01* -X129120900Y-139228200D01* -X129079821Y-139226182D01* -X129039138Y-139220147D01* -X128999242Y-139210154D01* -X128960517Y-139196298D01* -X128923338Y-139178713D01* -X128888061Y-139157569D01* -X128855026Y-139133069D01* -X128824552Y-139105448D01* -X128796931Y-139074974D01* -X128772431Y-139041939D01* -X128751287Y-139006662D01* -X128733702Y-138969483D01* -X128719846Y-138930758D01* -X128709853Y-138890862D01* -X128703818Y-138850179D01* -X128701800Y-138809100D01* -X128701800Y-131954900D01* -X128703818Y-131913821D01* -X128709853Y-131873138D01* -X128719846Y-131833242D01* -X128733702Y-131794517D01* -X128751287Y-131757338D01* -X128772431Y-131722061D01* -X128796931Y-131689026D01* -X128824552Y-131658552D01* -X128855026Y-131630931D01* -X128888061Y-131606431D01* -X128923338Y-131585287D01* -X128960517Y-131567702D01* -X128999242Y-131553846D01* -X129039138Y-131543853D01* -X129079821Y-131537818D01* -X129120900Y-131535800D01* -X129959100Y-131535800D01* -X130000179Y-131537818D01* -G37* -G36* -X127460179Y-131537818D02* -G01* -X127500862Y-131543853D01* -X127540758Y-131553846D01* -X127579483Y-131567702D01* -X127616662Y-131585287D01* -X127651939Y-131606431D01* -X127684974Y-131630931D01* -X127715448Y-131658552D01* -X127743069Y-131689026D01* -X127767569Y-131722061D01* -X127788713Y-131757338D01* -X127806298Y-131794517D01* -X127820154Y-131833242D01* -X127830147Y-131873138D01* -X127836182Y-131913821D01* -X127838200Y-131954900D01* -X127838200Y-138809100D01* -X127836182Y-138850179D01* -X127830147Y-138890862D01* -X127820154Y-138930758D01* -X127806298Y-138969483D01* -X127788713Y-139006662D01* -X127767569Y-139041939D01* -X127743069Y-139074974D01* -X127715448Y-139105448D01* -X127684974Y-139133069D01* -X127651939Y-139157569D01* -X127616662Y-139178713D01* -X127579483Y-139196298D01* -X127540758Y-139210154D01* -X127500862Y-139220147D01* -X127460179Y-139226182D01* -X127419100Y-139228200D01* -X126580900Y-139228200D01* -X126539821Y-139226182D01* -X126499138Y-139220147D01* -X126459242Y-139210154D01* -X126420517Y-139196298D01* -X126383338Y-139178713D01* -X126348061Y-139157569D01* -X126315026Y-139133069D01* -X126284552Y-139105448D01* -X126256931Y-139074974D01* -X126232431Y-139041939D01* -X126211287Y-139006662D01* -X126193702Y-138969483D01* -X126179846Y-138930758D01* -X126169853Y-138890862D01* -X126163818Y-138850179D01* -X126161800Y-138809100D01* -X126161800Y-131954900D01* -X126163818Y-131913821D01* -X126169853Y-131873138D01* -X126179846Y-131833242D01* -X126193702Y-131794517D01* -X126211287Y-131757338D01* -X126232431Y-131722061D01* -X126256931Y-131689026D01* -X126284552Y-131658552D01* -X126315026Y-131630931D01* -X126348061Y-131606431D01* -X126383338Y-131585287D01* -X126420517Y-131567702D01* -X126459242Y-131553846D01* -X126499138Y-131543853D01* -X126539821Y-131537818D01* -X126580900Y-131535800D01* -X127419100Y-131535800D01* -X127460179Y-131537818D01* -G37* -G36* -X124920179Y-131537818D02* -G01* -X124960862Y-131543853D01* -X125000758Y-131553846D01* -X125039483Y-131567702D01* -X125076662Y-131585287D01* -X125111939Y-131606431D01* -X125144974Y-131630931D01* -X125175448Y-131658552D01* -X125203069Y-131689026D01* -X125227569Y-131722061D01* -X125248713Y-131757338D01* -X125266298Y-131794517D01* -X125280154Y-131833242D01* -X125290147Y-131873138D01* -X125296182Y-131913821D01* -X125298200Y-131954900D01* -X125298200Y-138809100D01* -X125296182Y-138850179D01* -X125290147Y-138890862D01* -X125280154Y-138930758D01* -X125266298Y-138969483D01* -X125248713Y-139006662D01* -X125227569Y-139041939D01* -X125203069Y-139074974D01* -X125175448Y-139105448D01* -X125144974Y-139133069D01* -X125111939Y-139157569D01* -X125076662Y-139178713D01* -X125039483Y-139196298D01* -X125000758Y-139210154D01* -X124960862Y-139220147D01* -X124920179Y-139226182D01* -X124879100Y-139228200D01* -X124040900Y-139228200D01* -X123999821Y-139226182D01* -X123959138Y-139220147D01* -X123919242Y-139210154D01* -X123880517Y-139196298D01* -X123843338Y-139178713D01* -X123808061Y-139157569D01* -X123775026Y-139133069D01* -X123744552Y-139105448D01* -X123716931Y-139074974D01* -X123692431Y-139041939D01* -X123671287Y-139006662D01* -X123653702Y-138969483D01* -X123639846Y-138930758D01* -X123629853Y-138890862D01* -X123623818Y-138850179D01* -X123621800Y-138809100D01* -X123621800Y-131954900D01* -X123623818Y-131913821D01* -X123629853Y-131873138D01* -X123639846Y-131833242D01* -X123653702Y-131794517D01* -X123671287Y-131757338D01* -X123692431Y-131722061D01* -X123716931Y-131689026D01* -X123744552Y-131658552D01* -X123775026Y-131630931D01* -X123808061Y-131606431D01* -X123843338Y-131585287D01* -X123880517Y-131567702D01* -X123919242Y-131553846D01* -X123959138Y-131543853D01* -X123999821Y-131537818D01* -X124040900Y-131535800D01* -X124879100Y-131535800D01* -X124920179Y-131537818D01* -G37* -G36* -X122380179Y-131537818D02* -G01* -X122420862Y-131543853D01* -X122460758Y-131553846D01* -X122499483Y-131567702D01* -X122536662Y-131585287D01* -X122571939Y-131606431D01* -X122604974Y-131630931D01* -X122635448Y-131658552D01* -X122663069Y-131689026D01* -X122687569Y-131722061D01* -X122708713Y-131757338D01* -X122726298Y-131794517D01* -X122740154Y-131833242D01* -X122750147Y-131873138D01* -X122756182Y-131913821D01* -X122758200Y-131954900D01* -X122758200Y-138809100D01* -X122756182Y-138850179D01* -X122750147Y-138890862D01* -X122740154Y-138930758D01* -X122726298Y-138969483D01* -X122708713Y-139006662D01* -X122687569Y-139041939D01* -X122663069Y-139074974D01* -X122635448Y-139105448D01* -X122604974Y-139133069D01* -X122571939Y-139157569D01* -X122536662Y-139178713D01* -X122499483Y-139196298D01* -X122460758Y-139210154D01* -X122420862Y-139220147D01* -X122380179Y-139226182D01* -X122339100Y-139228200D01* -X121500900Y-139228200D01* -X121459821Y-139226182D01* -X121419138Y-139220147D01* -X121379242Y-139210154D01* -X121340517Y-139196298D01* -X121303338Y-139178713D01* -X121268061Y-139157569D01* -X121235026Y-139133069D01* -X121204552Y-139105448D01* -X121176931Y-139074974D01* -X121152431Y-139041939D01* -X121131287Y-139006662D01* -X121113702Y-138969483D01* -X121099846Y-138930758D01* -X121089853Y-138890862D01* -X121083818Y-138850179D01* -X121081800Y-138809100D01* -X121081800Y-131954900D01* -X121083818Y-131913821D01* -X121089853Y-131873138D01* -X121099846Y-131833242D01* -X121113702Y-131794517D01* -X121131287Y-131757338D01* -X121152431Y-131722061D01* -X121176931Y-131689026D01* -X121204552Y-131658552D01* -X121235026Y-131630931D01* -X121268061Y-131606431D01* -X121303338Y-131585287D01* -X121340517Y-131567702D01* -X121379242Y-131553846D01* -X121419138Y-131543853D01* -X121459821Y-131537818D01* -X121500900Y-131535800D01* -X122339100Y-131535800D01* -X122380179Y-131537818D01* -G37* -G36* -X119840179Y-131537818D02* -G01* -X119880862Y-131543853D01* -X119920758Y-131553846D01* -X119959483Y-131567702D01* -X119996662Y-131585287D01* -X120031939Y-131606431D01* -X120064974Y-131630931D01* -X120095448Y-131658552D01* -X120123069Y-131689026D01* -X120147569Y-131722061D01* -X120168713Y-131757338D01* -X120186298Y-131794517D01* -X120200154Y-131833242D01* -X120210147Y-131873138D01* -X120216182Y-131913821D01* -X120218200Y-131954900D01* -X120218200Y-138809100D01* -X120216182Y-138850179D01* -X120210147Y-138890862D01* -X120200154Y-138930758D01* -X120186298Y-138969483D01* -X120168713Y-139006662D01* -X120147569Y-139041939D01* -X120123069Y-139074974D01* -X120095448Y-139105448D01* -X120064974Y-139133069D01* -X120031939Y-139157569D01* -X119996662Y-139178713D01* -X119959483Y-139196298D01* -X119920758Y-139210154D01* -X119880862Y-139220147D01* -X119840179Y-139226182D01* -X119799100Y-139228200D01* -X118960900Y-139228200D01* -X118919821Y-139226182D01* -X118879138Y-139220147D01* -X118839242Y-139210154D01* -X118800517Y-139196298D01* -X118763338Y-139178713D01* -X118728061Y-139157569D01* -X118695026Y-139133069D01* -X118664552Y-139105448D01* -X118636931Y-139074974D01* -X118612431Y-139041939D01* -X118591287Y-139006662D01* -X118573702Y-138969483D01* -X118559846Y-138930758D01* -X118549853Y-138890862D01* -X118543818Y-138850179D01* -X118541800Y-138809100D01* -X118541800Y-131954900D01* -X118543818Y-131913821D01* -X118549853Y-131873138D01* -X118559846Y-131833242D01* -X118573702Y-131794517D01* -X118591287Y-131757338D01* -X118612431Y-131722061D01* -X118636931Y-131689026D01* -X118664552Y-131658552D01* -X118695026Y-131630931D01* -X118728061Y-131606431D01* -X118763338Y-131585287D01* -X118800517Y-131567702D01* -X118839242Y-131553846D01* -X118879138Y-131543853D01* -X118919821Y-131537818D01* -X118960900Y-131535800D01* -X119799100Y-131535800D01* -X119840179Y-131537818D01* -G37* -G36* -X117300179Y-131537818D02* -G01* -X117340862Y-131543853D01* -X117380758Y-131553846D01* -X117419483Y-131567702D01* -X117456662Y-131585287D01* -X117491939Y-131606431D01* -X117524974Y-131630931D01* -X117555448Y-131658552D01* -X117583069Y-131689026D01* -X117607569Y-131722061D01* -X117628713Y-131757338D01* -X117646298Y-131794517D01* -X117660154Y-131833242D01* -X117670147Y-131873138D01* -X117676182Y-131913821D01* -X117678200Y-131954900D01* -X117678200Y-138809100D01* -X117676182Y-138850179D01* -X117670147Y-138890862D01* -X117660154Y-138930758D01* -X117646298Y-138969483D01* -X117628713Y-139006662D01* -X117607569Y-139041939D01* -X117583069Y-139074974D01* -X117555448Y-139105448D01* -X117524974Y-139133069D01* -X117491939Y-139157569D01* -X117456662Y-139178713D01* -X117419483Y-139196298D01* -X117380758Y-139210154D01* -X117340862Y-139220147D01* -X117300179Y-139226182D01* -X117259100Y-139228200D01* -X116420900Y-139228200D01* -X116379821Y-139226182D01* -X116339138Y-139220147D01* -X116299242Y-139210154D01* -X116260517Y-139196298D01* -X116223338Y-139178713D01* -X116188061Y-139157569D01* -X116155026Y-139133069D01* -X116124552Y-139105448D01* -X116096931Y-139074974D01* -X116072431Y-139041939D01* -X116051287Y-139006662D01* -X116033702Y-138969483D01* -X116019846Y-138930758D01* -X116009853Y-138890862D01* -X116003818Y-138850179D01* -X116001800Y-138809100D01* -X116001800Y-131954900D01* -X116003818Y-131913821D01* -X116009853Y-131873138D01* -X116019846Y-131833242D01* -X116033702Y-131794517D01* -X116051287Y-131757338D01* -X116072431Y-131722061D01* -X116096931Y-131689026D01* -X116124552Y-131658552D01* -X116155026Y-131630931D01* -X116188061Y-131606431D01* -X116223338Y-131585287D01* -X116260517Y-131567702D01* -X116299242Y-131553846D01* -X116339138Y-131543853D01* -X116379821Y-131537818D01* -X116420900Y-131535800D01* -X117259100Y-131535800D01* -X117300179Y-131537818D01* -G37* -G36* -X114760179Y-131537818D02* -G01* -X114800862Y-131543853D01* -X114840758Y-131553846D01* -X114879483Y-131567702D01* -X114916662Y-131585287D01* -X114951939Y-131606431D01* -X114984974Y-131630931D01* -X115015448Y-131658552D01* -X115043069Y-131689026D01* -X115067569Y-131722061D01* -X115088713Y-131757338D01* -X115106298Y-131794517D01* -X115120154Y-131833242D01* -X115130147Y-131873138D01* -X115136182Y-131913821D01* -X115138200Y-131954900D01* -X115138200Y-138809100D01* -X115136182Y-138850179D01* -X115130147Y-138890862D01* -X115120154Y-138930758D01* -X115106298Y-138969483D01* -X115088713Y-139006662D01* -X115067569Y-139041939D01* -X115043069Y-139074974D01* -X115015448Y-139105448D01* -X114984974Y-139133069D01* -X114951939Y-139157569D01* -X114916662Y-139178713D01* -X114879483Y-139196298D01* -X114840758Y-139210154D01* -X114800862Y-139220147D01* -X114760179Y-139226182D01* -X114719100Y-139228200D01* -X113880900Y-139228200D01* -X113839821Y-139226182D01* -X113799138Y-139220147D01* -X113759242Y-139210154D01* -X113720517Y-139196298D01* -X113683338Y-139178713D01* -X113648061Y-139157569D01* -X113615026Y-139133069D01* -X113584552Y-139105448D01* -X113556931Y-139074974D01* -X113532431Y-139041939D01* -X113511287Y-139006662D01* -X113493702Y-138969483D01* -X113479846Y-138930758D01* -X113469853Y-138890862D01* -X113463818Y-138850179D01* -X113461800Y-138809100D01* -X113461800Y-131954900D01* -X113463818Y-131913821D01* -X113469853Y-131873138D01* -X113479846Y-131833242D01* -X113493702Y-131794517D01* -X113511287Y-131757338D01* -X113532431Y-131722061D01* -X113556931Y-131689026D01* -X113584552Y-131658552D01* -X113615026Y-131630931D01* -X113648061Y-131606431D01* -X113683338Y-131585287D01* -X113720517Y-131567702D01* -X113759242Y-131553846D01* -X113799138Y-131543853D01* -X113839821Y-131537818D01* -X113880900Y-131535800D01* -X114719100Y-131535800D01* -X114760179Y-131537818D01* -G37* -G36* -X112220179Y-131537818D02* -G01* -X112260862Y-131543853D01* -X112300758Y-131553846D01* -X112339483Y-131567702D01* -X112376662Y-131585287D01* -X112411939Y-131606431D01* -X112444974Y-131630931D01* -X112475448Y-131658552D01* -X112503069Y-131689026D01* -X112527569Y-131722061D01* -X112548713Y-131757338D01* -X112566298Y-131794517D01* -X112580154Y-131833242D01* -X112590147Y-131873138D01* -X112596182Y-131913821D01* -X112598200Y-131954900D01* -X112598200Y-138809100D01* -X112596182Y-138850179D01* -X112590147Y-138890862D01* -X112580154Y-138930758D01* -X112566298Y-138969483D01* -X112548713Y-139006662D01* -X112527569Y-139041939D01* -X112503069Y-139074974D01* -X112475448Y-139105448D01* -X112444974Y-139133069D01* -X112411939Y-139157569D01* -X112376662Y-139178713D01* -X112339483Y-139196298D01* -X112300758Y-139210154D01* -X112260862Y-139220147D01* -X112220179Y-139226182D01* -X112179100Y-139228200D01* -X111340900Y-139228200D01* -X111299821Y-139226182D01* -X111259138Y-139220147D01* -X111219242Y-139210154D01* -X111180517Y-139196298D01* -X111143338Y-139178713D01* -X111108061Y-139157569D01* -X111075026Y-139133069D01* -X111044552Y-139105448D01* -X111016931Y-139074974D01* -X110992431Y-139041939D01* -X110971287Y-139006662D01* -X110953702Y-138969483D01* -X110939846Y-138930758D01* -X110929853Y-138890862D01* -X110923818Y-138850179D01* -X110921800Y-138809100D01* -X110921800Y-131954900D01* -X110923818Y-131913821D01* -X110929853Y-131873138D01* -X110939846Y-131833242D01* -X110953702Y-131794517D01* -X110971287Y-131757338D01* -X110992431Y-131722061D01* -X111016931Y-131689026D01* -X111044552Y-131658552D01* -X111075026Y-131630931D01* -X111108061Y-131606431D01* -X111143338Y-131585287D01* -X111180517Y-131567702D01* -X111219242Y-131553846D01* -X111259138Y-131543853D01* -X111299821Y-131537818D01* -X111340900Y-131535800D01* -X112179100Y-131535800D01* -X112220179Y-131537818D01* -G37* -G36* -X109680179Y-131537818D02* -G01* -X109720862Y-131543853D01* -X109760758Y-131553846D01* -X109799483Y-131567702D01* -X109836662Y-131585287D01* -X109871939Y-131606431D01* -X109904974Y-131630931D01* -X109935448Y-131658552D01* -X109963069Y-131689026D01* -X109987569Y-131722061D01* -X110008713Y-131757338D01* -X110026298Y-131794517D01* -X110040154Y-131833242D01* -X110050147Y-131873138D01* -X110056182Y-131913821D01* -X110058200Y-131954900D01* -X110058200Y-138809100D01* -X110056182Y-138850179D01* -X110050147Y-138890862D01* -X110040154Y-138930758D01* -X110026298Y-138969483D01* -X110008713Y-139006662D01* -X109987569Y-139041939D01* -X109963069Y-139074974D01* -X109935448Y-139105448D01* -X109904974Y-139133069D01* -X109871939Y-139157569D01* -X109836662Y-139178713D01* -X109799483Y-139196298D01* -X109760758Y-139210154D01* -X109720862Y-139220147D01* -X109680179Y-139226182D01* -X109639100Y-139228200D01* -X108800900Y-139228200D01* -X108759821Y-139226182D01* -X108719138Y-139220147D01* -X108679242Y-139210154D01* -X108640517Y-139196298D01* -X108603338Y-139178713D01* -X108568061Y-139157569D01* -X108535026Y-139133069D01* -X108504552Y-139105448D01* -X108476931Y-139074974D01* -X108452431Y-139041939D01* -X108431287Y-139006662D01* -X108413702Y-138969483D01* -X108399846Y-138930758D01* -X108389853Y-138890862D01* -X108383818Y-138850179D01* -X108381800Y-138809100D01* -X108381800Y-131954900D01* -X108383818Y-131913821D01* -X108389853Y-131873138D01* -X108399846Y-131833242D01* -X108413702Y-131794517D01* -X108431287Y-131757338D01* -X108452431Y-131722061D01* -X108476931Y-131689026D01* -X108504552Y-131658552D01* -X108535026Y-131630931D01* -X108568061Y-131606431D01* -X108603338Y-131585287D01* -X108640517Y-131567702D01* -X108679242Y-131553846D01* -X108719138Y-131543853D01* -X108759821Y-131537818D01* -X108800900Y-131535800D01* -X109639100Y-131535800D01* -X109680179Y-131537818D01* -G37* -G36* -X107140179Y-131537818D02* -G01* -X107180862Y-131543853D01* -X107220758Y-131553846D01* -X107259483Y-131567702D01* -X107296662Y-131585287D01* -X107331939Y-131606431D01* -X107364974Y-131630931D01* -X107395448Y-131658552D01* -X107423069Y-131689026D01* -X107447569Y-131722061D01* -X107468713Y-131757338D01* -X107486298Y-131794517D01* -X107500154Y-131833242D01* -X107510147Y-131873138D01* -X107516182Y-131913821D01* -X107518200Y-131954900D01* -X107518200Y-138809100D01* -X107516182Y-138850179D01* -X107510147Y-138890862D01* -X107500154Y-138930758D01* -X107486298Y-138969483D01* -X107468713Y-139006662D01* -X107447569Y-139041939D01* -X107423069Y-139074974D01* -X107395448Y-139105448D01* -X107364974Y-139133069D01* -X107331939Y-139157569D01* -X107296662Y-139178713D01* -X107259483Y-139196298D01* -X107220758Y-139210154D01* -X107180862Y-139220147D01* -X107140179Y-139226182D01* -X107099100Y-139228200D01* -X106260900Y-139228200D01* -X106219821Y-139226182D01* -X106179138Y-139220147D01* -X106139242Y-139210154D01* -X106100517Y-139196298D01* -X106063338Y-139178713D01* -X106028061Y-139157569D01* -X105995026Y-139133069D01* -X105964552Y-139105448D01* -X105936931Y-139074974D01* -X105912431Y-139041939D01* -X105891287Y-139006662D01* -X105873702Y-138969483D01* -X105859846Y-138930758D01* -X105849853Y-138890862D01* -X105843818Y-138850179D01* -X105841800Y-138809100D01* -X105841800Y-131954900D01* -X105843818Y-131913821D01* -X105849853Y-131873138D01* -X105859846Y-131833242D01* -X105873702Y-131794517D01* -X105891287Y-131757338D01* -X105912431Y-131722061D01* -X105936931Y-131689026D01* -X105964552Y-131658552D01* -X105995026Y-131630931D01* -X106028061Y-131606431D01* -X106063338Y-131585287D01* -X106100517Y-131567702D01* -X106139242Y-131553846D01* -X106179138Y-131543853D01* -X106219821Y-131537818D01* -X106260900Y-131535800D01* -X107099100Y-131535800D01* -X107140179Y-131537818D01* -G37* -G36* -X104600179Y-131537818D02* -G01* -X104640862Y-131543853D01* -X104680758Y-131553846D01* -X104719483Y-131567702D01* -X104756662Y-131585287D01* -X104791939Y-131606431D01* -X104824974Y-131630931D01* -X104855448Y-131658552D01* -X104883069Y-131689026D01* -X104907569Y-131722061D01* -X104928713Y-131757338D01* -X104946298Y-131794517D01* -X104960154Y-131833242D01* -X104970147Y-131873138D01* -X104976182Y-131913821D01* -X104978200Y-131954900D01* -X104978200Y-138809100D01* -X104976182Y-138850179D01* -X104970147Y-138890862D01* -X104960154Y-138930758D01* -X104946298Y-138969483D01* -X104928713Y-139006662D01* -X104907569Y-139041939D01* -X104883069Y-139074974D01* -X104855448Y-139105448D01* -X104824974Y-139133069D01* -X104791939Y-139157569D01* -X104756662Y-139178713D01* -X104719483Y-139196298D01* -X104680758Y-139210154D01* -X104640862Y-139220147D01* -X104600179Y-139226182D01* -X104559100Y-139228200D01* -X103720900Y-139228200D01* -X103679821Y-139226182D01* -X103639138Y-139220147D01* -X103599242Y-139210154D01* -X103560517Y-139196298D01* -X103523338Y-139178713D01* -X103488061Y-139157569D01* -X103455026Y-139133069D01* -X103424552Y-139105448D01* -X103396931Y-139074974D01* -X103372431Y-139041939D01* -X103351287Y-139006662D01* -X103333702Y-138969483D01* -X103319846Y-138930758D01* -X103309853Y-138890862D01* -X103303818Y-138850179D01* -X103301800Y-138809100D01* -X103301800Y-131954900D01* -X103303818Y-131913821D01* -X103309853Y-131873138D01* -X103319846Y-131833242D01* -X103333702Y-131794517D01* -X103351287Y-131757338D01* -X103372431Y-131722061D01* -X103396931Y-131689026D01* -X103424552Y-131658552D01* -X103455026Y-131630931D01* -X103488061Y-131606431D01* -X103523338Y-131585287D01* -X103560517Y-131567702D01* -X103599242Y-131553846D01* -X103639138Y-131543853D01* -X103679821Y-131537818D01* -X103720900Y-131535800D01* -X104559100Y-131535800D01* -X104600179Y-131537818D01* -G37* -G36* -X102060179Y-131537818D02* -G01* -X102100862Y-131543853D01* -X102140758Y-131553846D01* -X102179483Y-131567702D01* -X102216662Y-131585287D01* -X102251939Y-131606431D01* -X102284974Y-131630931D01* -X102315448Y-131658552D01* -X102343069Y-131689026D01* -X102367569Y-131722061D01* -X102388713Y-131757338D01* -X102406298Y-131794517D01* -X102420154Y-131833242D01* -X102430147Y-131873138D01* -X102436182Y-131913821D01* -X102438200Y-131954900D01* -X102438200Y-138809100D01* -X102436182Y-138850179D01* -X102430147Y-138890862D01* -X102420154Y-138930758D01* -X102406298Y-138969483D01* -X102388713Y-139006662D01* -X102367569Y-139041939D01* -X102343069Y-139074974D01* -X102315448Y-139105448D01* -X102284974Y-139133069D01* -X102251939Y-139157569D01* -X102216662Y-139178713D01* -X102179483Y-139196298D01* -X102140758Y-139210154D01* -X102100862Y-139220147D01* -X102060179Y-139226182D01* -X102019100Y-139228200D01* -X101180900Y-139228200D01* -X101139821Y-139226182D01* -X101099138Y-139220147D01* -X101059242Y-139210154D01* -X101020517Y-139196298D01* -X100983338Y-139178713D01* -X100948061Y-139157569D01* -X100915026Y-139133069D01* -X100884552Y-139105448D01* -X100856931Y-139074974D01* -X100832431Y-139041939D01* -X100811287Y-139006662D01* -X100793702Y-138969483D01* -X100779846Y-138930758D01* -X100769853Y-138890862D01* -X100763818Y-138850179D01* -X100761800Y-138809100D01* -X100761800Y-131954900D01* -X100763818Y-131913821D01* -X100769853Y-131873138D01* -X100779846Y-131833242D01* -X100793702Y-131794517D01* -X100811287Y-131757338D01* -X100832431Y-131722061D01* -X100856931Y-131689026D01* -X100884552Y-131658552D01* -X100915026Y-131630931D01* -X100948061Y-131606431D01* -X100983338Y-131585287D01* -X101020517Y-131567702D01* -X101059242Y-131553846D01* -X101099138Y-131543853D01* -X101139821Y-131537818D01* -X101180900Y-131535800D01* -X102019100Y-131535800D01* -X102060179Y-131537818D01* -G37* -G36* -X99520179Y-131537818D02* -G01* -X99560862Y-131543853D01* -X99600758Y-131553846D01* -X99639483Y-131567702D01* -X99676662Y-131585287D01* -X99711939Y-131606431D01* -X99744974Y-131630931D01* -X99775448Y-131658552D01* -X99803069Y-131689026D01* -X99827569Y-131722061D01* -X99848713Y-131757338D01* -X99866298Y-131794517D01* -X99880154Y-131833242D01* -X99890147Y-131873138D01* -X99896182Y-131913821D01* -X99898200Y-131954900D01* -X99898200Y-138809100D01* -X99896182Y-138850179D01* -X99890147Y-138890862D01* -X99880154Y-138930758D01* -X99866298Y-138969483D01* -X99848713Y-139006662D01* -X99827569Y-139041939D01* -X99803069Y-139074974D01* -X99775448Y-139105448D01* -X99744974Y-139133069D01* -X99711939Y-139157569D01* -X99676662Y-139178713D01* -X99639483Y-139196298D01* -X99600758Y-139210154D01* -X99560862Y-139220147D01* -X99520179Y-139226182D01* -X99479100Y-139228200D01* -X98640900Y-139228200D01* -X98599821Y-139226182D01* -X98559138Y-139220147D01* -X98519242Y-139210154D01* -X98480517Y-139196298D01* -X98443338Y-139178713D01* -X98408061Y-139157569D01* -X98375026Y-139133069D01* -X98344552Y-139105448D01* -X98316931Y-139074974D01* -X98292431Y-139041939D01* -X98271287Y-139006662D01* -X98253702Y-138969483D01* -X98239846Y-138930758D01* -X98229853Y-138890862D01* -X98223818Y-138850179D01* -X98221800Y-138809100D01* -X98221800Y-131954900D01* -X98223818Y-131913821D01* -X98229853Y-131873138D01* -X98239846Y-131833242D01* -X98253702Y-131794517D01* -X98271287Y-131757338D01* -X98292431Y-131722061D01* -X98316931Y-131689026D01* -X98344552Y-131658552D01* -X98375026Y-131630931D01* -X98408061Y-131606431D01* -X98443338Y-131585287D01* -X98480517Y-131567702D01* -X98519242Y-131553846D01* -X98559138Y-131543853D01* -X98599821Y-131537818D01* -X98640900Y-131535800D01* -X99479100Y-131535800D01* -X99520179Y-131537818D01* -G37* -G36* -X96980179Y-131537818D02* -G01* -X97020862Y-131543853D01* -X97060758Y-131553846D01* -X97099483Y-131567702D01* -X97136662Y-131585287D01* -X97171939Y-131606431D01* -X97204974Y-131630931D01* -X97235448Y-131658552D01* -X97263069Y-131689026D01* -X97287569Y-131722061D01* -X97308713Y-131757338D01* -X97326298Y-131794517D01* -X97340154Y-131833242D01* -X97350147Y-131873138D01* -X97356182Y-131913821D01* -X97358200Y-131954900D01* -X97358200Y-138809100D01* -X97356182Y-138850179D01* -X97350147Y-138890862D01* -X97340154Y-138930758D01* -X97326298Y-138969483D01* -X97308713Y-139006662D01* -X97287569Y-139041939D01* -X97263069Y-139074974D01* -X97235448Y-139105448D01* -X97204974Y-139133069D01* -X97171939Y-139157569D01* -X97136662Y-139178713D01* -X97099483Y-139196298D01* -X97060758Y-139210154D01* -X97020862Y-139220147D01* -X96980179Y-139226182D01* -X96939100Y-139228200D01* -X96100900Y-139228200D01* -X96059821Y-139226182D01* -X96019138Y-139220147D01* -X95979242Y-139210154D01* -X95940517Y-139196298D01* -X95903338Y-139178713D01* -X95868061Y-139157569D01* -X95835026Y-139133069D01* -X95804552Y-139105448D01* -X95776931Y-139074974D01* -X95752431Y-139041939D01* -X95731287Y-139006662D01* -X95713702Y-138969483D01* -X95699846Y-138930758D01* -X95689853Y-138890862D01* -X95683818Y-138850179D01* -X95681800Y-138809100D01* -X95681800Y-131954900D01* -X95683818Y-131913821D01* -X95689853Y-131873138D01* -X95699846Y-131833242D01* -X95713702Y-131794517D01* -X95731287Y-131757338D01* -X95752431Y-131722061D01* -X95776931Y-131689026D01* -X95804552Y-131658552D01* -X95835026Y-131630931D01* -X95868061Y-131606431D01* -X95903338Y-131585287D01* -X95940517Y-131567702D01* -X95979242Y-131553846D01* -X96019138Y-131543853D01* -X96059821Y-131537818D01* -X96100900Y-131535800D01* -X96939100Y-131535800D01* -X96980179Y-131537818D01* -G37* -G36* -X94440179Y-131537818D02* -G01* -X94480862Y-131543853D01* -X94520758Y-131553846D01* -X94559483Y-131567702D01* -X94596662Y-131585287D01* -X94631939Y-131606431D01* -X94664974Y-131630931D01* -X94695448Y-131658552D01* -X94723069Y-131689026D01* -X94747569Y-131722061D01* -X94768713Y-131757338D01* -X94786298Y-131794517D01* -X94800154Y-131833242D01* -X94810147Y-131873138D01* -X94816182Y-131913821D01* -X94818200Y-131954900D01* -X94818200Y-138809100D01* -X94816182Y-138850179D01* -X94810147Y-138890862D01* -X94800154Y-138930758D01* -X94786298Y-138969483D01* -X94768713Y-139006662D01* -X94747569Y-139041939D01* -X94723069Y-139074974D01* -X94695448Y-139105448D01* -X94664974Y-139133069D01* -X94631939Y-139157569D01* -X94596662Y-139178713D01* -X94559483Y-139196298D01* -X94520758Y-139210154D01* -X94480862Y-139220147D01* -X94440179Y-139226182D01* -X94399100Y-139228200D01* -X93560900Y-139228200D01* -X93519821Y-139226182D01* -X93479138Y-139220147D01* -X93439242Y-139210154D01* -X93400517Y-139196298D01* -X93363338Y-139178713D01* -X93328061Y-139157569D01* -X93295026Y-139133069D01* -X93264552Y-139105448D01* -X93236931Y-139074974D01* -X93212431Y-139041939D01* -X93191287Y-139006662D01* -X93173702Y-138969483D01* -X93159846Y-138930758D01* -X93149853Y-138890862D01* -X93143818Y-138850179D01* -X93141800Y-138809100D01* -X93141800Y-131954900D01* -X93143818Y-131913821D01* -X93149853Y-131873138D01* -X93159846Y-131833242D01* -X93173702Y-131794517D01* -X93191287Y-131757338D01* -X93212431Y-131722061D01* -X93236931Y-131689026D01* -X93264552Y-131658552D01* -X93295026Y-131630931D01* -X93328061Y-131606431D01* -X93363338Y-131585287D01* -X93400517Y-131567702D01* -X93439242Y-131553846D01* -X93479138Y-131543853D01* -X93519821Y-131537818D01* -X93560900Y-131535800D01* -X94399100Y-131535800D01* -X94440179Y-131537818D01* -G37* -G36* -X91900179Y-131537818D02* -G01* -X91940862Y-131543853D01* -X91980758Y-131553846D01* -X92019483Y-131567702D01* -X92056662Y-131585287D01* -X92091939Y-131606431D01* -X92124974Y-131630931D01* -X92155448Y-131658552D01* -X92183069Y-131689026D01* -X92207569Y-131722061D01* -X92228713Y-131757338D01* -X92246298Y-131794517D01* -X92260154Y-131833242D01* -X92270147Y-131873138D01* -X92276182Y-131913821D01* -X92278200Y-131954900D01* -X92278200Y-138809100D01* -X92276182Y-138850179D01* -X92270147Y-138890862D01* -X92260154Y-138930758D01* -X92246298Y-138969483D01* -X92228713Y-139006662D01* -X92207569Y-139041939D01* -X92183069Y-139074974D01* -X92155448Y-139105448D01* -X92124974Y-139133069D01* -X92091939Y-139157569D01* -X92056662Y-139178713D01* -X92019483Y-139196298D01* -X91980758Y-139210154D01* -X91940862Y-139220147D01* -X91900179Y-139226182D01* -X91859100Y-139228200D01* -X91020900Y-139228200D01* -X90979821Y-139226182D01* -X90939138Y-139220147D01* -X90899242Y-139210154D01* -X90860517Y-139196298D01* -X90823338Y-139178713D01* -X90788061Y-139157569D01* -X90755026Y-139133069D01* -X90724552Y-139105448D01* -X90696931Y-139074974D01* -X90672431Y-139041939D01* -X90651287Y-139006662D01* -X90633702Y-138969483D01* -X90619846Y-138930758D01* -X90609853Y-138890862D01* -X90603818Y-138850179D01* -X90601800Y-138809100D01* -X90601800Y-131954900D01* -X90603818Y-131913821D01* -X90609853Y-131873138D01* -X90619846Y-131833242D01* -X90633702Y-131794517D01* -X90651287Y-131757338D01* -X90672431Y-131722061D01* -X90696931Y-131689026D01* -X90724552Y-131658552D01* -X90755026Y-131630931D01* -X90788061Y-131606431D01* -X90823338Y-131585287D01* -X90860517Y-131567702D01* -X90899242Y-131553846D01* -X90939138Y-131543853D01* -X90979821Y-131537818D01* -X91020900Y-131535800D01* -X91859100Y-131535800D01* -X91900179Y-131537818D01* -G37* -G36* -X89360179Y-131537818D02* -G01* -X89400862Y-131543853D01* -X89440758Y-131553846D01* -X89479483Y-131567702D01* -X89516662Y-131585287D01* -X89551939Y-131606431D01* -X89584974Y-131630931D01* -X89615448Y-131658552D01* -X89643069Y-131689026D01* -X89667569Y-131722061D01* -X89688713Y-131757338D01* -X89706298Y-131794517D01* -X89720154Y-131833242D01* -X89730147Y-131873138D01* -X89736182Y-131913821D01* -X89738200Y-131954900D01* -X89738200Y-138809100D01* -X89736182Y-138850179D01* -X89730147Y-138890862D01* -X89720154Y-138930758D01* -X89706298Y-138969483D01* -X89688713Y-139006662D01* -X89667569Y-139041939D01* -X89643069Y-139074974D01* -X89615448Y-139105448D01* -X89584974Y-139133069D01* -X89551939Y-139157569D01* -X89516662Y-139178713D01* -X89479483Y-139196298D01* -X89440758Y-139210154D01* -X89400862Y-139220147D01* -X89360179Y-139226182D01* -X89319100Y-139228200D01* -X88480900Y-139228200D01* -X88439821Y-139226182D01* -X88399138Y-139220147D01* -X88359242Y-139210154D01* -X88320517Y-139196298D01* -X88283338Y-139178713D01* -X88248061Y-139157569D01* -X88215026Y-139133069D01* -X88184552Y-139105448D01* -X88156931Y-139074974D01* -X88132431Y-139041939D01* -X88111287Y-139006662D01* -X88093702Y-138969483D01* -X88079846Y-138930758D01* -X88069853Y-138890862D01* -X88063818Y-138850179D01* -X88061800Y-138809100D01* -X88061800Y-131954900D01* -X88063818Y-131913821D01* -X88069853Y-131873138D01* -X88079846Y-131833242D01* -X88093702Y-131794517D01* -X88111287Y-131757338D01* -X88132431Y-131722061D01* -X88156931Y-131689026D01* -X88184552Y-131658552D01* -X88215026Y-131630931D01* -X88248061Y-131606431D01* -X88283338Y-131585287D01* -X88320517Y-131567702D01* -X88359242Y-131553846D01* -X88399138Y-131543853D01* -X88439821Y-131537818D01* -X88480900Y-131535800D01* -X89319100Y-131535800D01* -X89360179Y-131537818D01* -G37* -G36* -X86820179Y-131537818D02* -G01* -X86860862Y-131543853D01* -X86900758Y-131553846D01* -X86939483Y-131567702D01* -X86976662Y-131585287D01* -X87011939Y-131606431D01* -X87044974Y-131630931D01* -X87075448Y-131658552D01* -X87103069Y-131689026D01* -X87127569Y-131722061D01* -X87148713Y-131757338D01* -X87166298Y-131794517D01* -X87180154Y-131833242D01* -X87190147Y-131873138D01* -X87196182Y-131913821D01* -X87198200Y-131954900D01* -X87198200Y-138809100D01* -X87196182Y-138850179D01* -X87190147Y-138890862D01* -X87180154Y-138930758D01* -X87166298Y-138969483D01* -X87148713Y-139006662D01* -X87127569Y-139041939D01* -X87103069Y-139074974D01* -X87075448Y-139105448D01* -X87044974Y-139133069D01* -X87011939Y-139157569D01* -X86976662Y-139178713D01* -X86939483Y-139196298D01* -X86900758Y-139210154D01* -X86860862Y-139220147D01* -X86820179Y-139226182D01* -X86779100Y-139228200D01* -X85940900Y-139228200D01* -X85899821Y-139226182D01* -X85859138Y-139220147D01* -X85819242Y-139210154D01* -X85780517Y-139196298D01* -X85743338Y-139178713D01* -X85708061Y-139157569D01* -X85675026Y-139133069D01* -X85644552Y-139105448D01* -X85616931Y-139074974D01* -X85592431Y-139041939D01* -X85571287Y-139006662D01* -X85553702Y-138969483D01* -X85539846Y-138930758D01* -X85529853Y-138890862D01* -X85523818Y-138850179D01* -X85521800Y-138809100D01* -X85521800Y-131954900D01* -X85523818Y-131913821D01* -X85529853Y-131873138D01* -X85539846Y-131833242D01* -X85553702Y-131794517D01* -X85571287Y-131757338D01* -X85592431Y-131722061D01* -X85616931Y-131689026D01* -X85644552Y-131658552D01* -X85675026Y-131630931D01* -X85708061Y-131606431D01* -X85743338Y-131585287D01* -X85780517Y-131567702D01* -X85819242Y-131553846D01* -X85859138Y-131543853D01* -X85899821Y-131537818D01* -X85940900Y-131535800D01* -X86779100Y-131535800D01* -X86820179Y-131537818D01* -G37* -G36* -X84280179Y-131537818D02* -G01* -X84320862Y-131543853D01* -X84360758Y-131553846D01* -X84399483Y-131567702D01* -X84436662Y-131585287D01* -X84471939Y-131606431D01* -X84504974Y-131630931D01* -X84535448Y-131658552D01* -X84563069Y-131689026D01* -X84587569Y-131722061D01* -X84608713Y-131757338D01* -X84626298Y-131794517D01* -X84640154Y-131833242D01* -X84650147Y-131873138D01* -X84656182Y-131913821D01* -X84658200Y-131954900D01* -X84658200Y-138809100D01* -X84656182Y-138850179D01* -X84650147Y-138890862D01* -X84640154Y-138930758D01* -X84626298Y-138969483D01* -X84608713Y-139006662D01* -X84587569Y-139041939D01* -X84563069Y-139074974D01* -X84535448Y-139105448D01* -X84504974Y-139133069D01* -X84471939Y-139157569D01* -X84436662Y-139178713D01* -X84399483Y-139196298D01* -X84360758Y-139210154D01* -X84320862Y-139220147D01* -X84280179Y-139226182D01* -X84239100Y-139228200D01* -X83400900Y-139228200D01* -X83359821Y-139226182D01* -X83319138Y-139220147D01* -X83279242Y-139210154D01* -X83240517Y-139196298D01* -X83203338Y-139178713D01* -X83168061Y-139157569D01* -X83135026Y-139133069D01* -X83104552Y-139105448D01* -X83076931Y-139074974D01* -X83052431Y-139041939D01* -X83031287Y-139006662D01* -X83013702Y-138969483D01* -X82999846Y-138930758D01* -X82989853Y-138890862D01* -X82983818Y-138850179D01* -X82981800Y-138809100D01* -X82981800Y-131954900D01* -X82983818Y-131913821D01* -X82989853Y-131873138D01* -X82999846Y-131833242D01* -X83013702Y-131794517D01* -X83031287Y-131757338D01* -X83052431Y-131722061D01* -X83076931Y-131689026D01* -X83104552Y-131658552D01* -X83135026Y-131630931D01* -X83168061Y-131606431D01* -X83203338Y-131585287D01* -X83240517Y-131567702D01* -X83279242Y-131553846D01* -X83319138Y-131543853D01* -X83359821Y-131537818D01* -X83400900Y-131535800D01* -X84239100Y-131535800D01* -X84280179Y-131537818D01* -G37* -G36* -X81740179Y-131537818D02* -G01* -X81780862Y-131543853D01* -X81820758Y-131553846D01* -X81859483Y-131567702D01* -X81896662Y-131585287D01* -X81931939Y-131606431D01* -X81964974Y-131630931D01* -X81995448Y-131658552D01* -X82023069Y-131689026D01* -X82047569Y-131722061D01* -X82068713Y-131757338D01* -X82086298Y-131794517D01* -X82100154Y-131833242D01* -X82110147Y-131873138D01* -X82116182Y-131913821D01* -X82118200Y-131954900D01* -X82118200Y-138809100D01* -X82116182Y-138850179D01* -X82110147Y-138890862D01* -X82100154Y-138930758D01* -X82086298Y-138969483D01* -X82068713Y-139006662D01* -X82047569Y-139041939D01* -X82023069Y-139074974D01* -X81995448Y-139105448D01* -X81964974Y-139133069D01* -X81931939Y-139157569D01* -X81896662Y-139178713D01* -X81859483Y-139196298D01* -X81820758Y-139210154D01* -X81780862Y-139220147D01* -X81740179Y-139226182D01* -X81699100Y-139228200D01* -X80860900Y-139228200D01* -X80819821Y-139226182D01* -X80779138Y-139220147D01* -X80739242Y-139210154D01* -X80700517Y-139196298D01* -X80663338Y-139178713D01* -X80628061Y-139157569D01* -X80595026Y-139133069D01* -X80564552Y-139105448D01* -X80536931Y-139074974D01* -X80512431Y-139041939D01* -X80491287Y-139006662D01* -X80473702Y-138969483D01* -X80459846Y-138930758D01* -X80449853Y-138890862D01* -X80443818Y-138850179D01* -X80441800Y-138809100D01* -X80441800Y-131954900D01* -X80443818Y-131913821D01* -X80449853Y-131873138D01* -X80459846Y-131833242D01* -X80473702Y-131794517D01* -X80491287Y-131757338D01* -X80512431Y-131722061D01* -X80536931Y-131689026D01* -X80564552Y-131658552D01* -X80595026Y-131630931D01* -X80628061Y-131606431D01* -X80663338Y-131585287D01* -X80700517Y-131567702D01* -X80739242Y-131553846D01* -X80779138Y-131543853D01* -X80819821Y-131537818D01* -X80860900Y-131535800D01* -X81699100Y-131535800D01* -X81740179Y-131537818D01* -G37* -G36* -X79200179Y-131537818D02* -G01* -X79240862Y-131543853D01* -X79280758Y-131553846D01* -X79319483Y-131567702D01* -X79356662Y-131585287D01* -X79391939Y-131606431D01* -X79424974Y-131630931D01* -X79455448Y-131658552D01* -X79483069Y-131689026D01* -X79507569Y-131722061D01* -X79528713Y-131757338D01* -X79546298Y-131794517D01* -X79560154Y-131833242D01* -X79570147Y-131873138D01* -X79576182Y-131913821D01* -X79578200Y-131954900D01* -X79578200Y-138809100D01* -X79576182Y-138850179D01* -X79570147Y-138890862D01* -X79560154Y-138930758D01* -X79546298Y-138969483D01* -X79528713Y-139006662D01* -X79507569Y-139041939D01* -X79483069Y-139074974D01* -X79455448Y-139105448D01* -X79424974Y-139133069D01* -X79391939Y-139157569D01* -X79356662Y-139178713D01* -X79319483Y-139196298D01* -X79280758Y-139210154D01* -X79240862Y-139220147D01* -X79200179Y-139226182D01* -X79159100Y-139228200D01* -X78320900Y-139228200D01* -X78279821Y-139226182D01* -X78239138Y-139220147D01* -X78199242Y-139210154D01* -X78160517Y-139196298D01* -X78123338Y-139178713D01* -X78088061Y-139157569D01* -X78055026Y-139133069D01* -X78024552Y-139105448D01* -X77996931Y-139074974D01* -X77972431Y-139041939D01* -X77951287Y-139006662D01* -X77933702Y-138969483D01* -X77919846Y-138930758D01* -X77909853Y-138890862D01* -X77903818Y-138850179D01* -X77901800Y-138809100D01* -X77901800Y-131954900D01* -X77903818Y-131913821D01* -X77909853Y-131873138D01* -X77919846Y-131833242D01* -X77933702Y-131794517D01* -X77951287Y-131757338D01* -X77972431Y-131722061D01* -X77996931Y-131689026D01* -X78024552Y-131658552D01* -X78055026Y-131630931D01* -X78088061Y-131606431D01* -X78123338Y-131585287D01* -X78160517Y-131567702D01* -X78199242Y-131553846D01* -X78239138Y-131543853D01* -X78279821Y-131537818D01* -X78320900Y-131535800D01* -X79159100Y-131535800D01* -X79200179Y-131537818D01* -G37* -G36* -X76660179Y-131537818D02* -G01* -X76700862Y-131543853D01* -X76740758Y-131553846D01* -X76779483Y-131567702D01* -X76816662Y-131585287D01* -X76851939Y-131606431D01* -X76884974Y-131630931D01* -X76915448Y-131658552D01* -X76943069Y-131689026D01* -X76967569Y-131722061D01* -X76988713Y-131757338D01* -X77006298Y-131794517D01* -X77020154Y-131833242D01* -X77030147Y-131873138D01* -X77036182Y-131913821D01* -X77038200Y-131954900D01* -X77038200Y-138809100D01* -X77036182Y-138850179D01* -X77030147Y-138890862D01* -X77020154Y-138930758D01* -X77006298Y-138969483D01* -X76988713Y-139006662D01* -X76967569Y-139041939D01* -X76943069Y-139074974D01* -X76915448Y-139105448D01* -X76884974Y-139133069D01* -X76851939Y-139157569D01* -X76816662Y-139178713D01* -X76779483Y-139196298D01* -X76740758Y-139210154D01* -X76700862Y-139220147D01* -X76660179Y-139226182D01* -X76619100Y-139228200D01* -X75780900Y-139228200D01* -X75739821Y-139226182D01* -X75699138Y-139220147D01* -X75659242Y-139210154D01* -X75620517Y-139196298D01* -X75583338Y-139178713D01* -X75548061Y-139157569D01* -X75515026Y-139133069D01* -X75484552Y-139105448D01* -X75456931Y-139074974D01* -X75432431Y-139041939D01* -X75411287Y-139006662D01* -X75393702Y-138969483D01* -X75379846Y-138930758D01* -X75369853Y-138890862D01* -X75363818Y-138850179D01* -X75361800Y-138809100D01* -X75361800Y-131954900D01* -X75363818Y-131913821D01* -X75369853Y-131873138D01* -X75379846Y-131833242D01* -X75393702Y-131794517D01* -X75411287Y-131757338D01* -X75432431Y-131722061D01* -X75456931Y-131689026D01* -X75484552Y-131658552D01* -X75515026Y-131630931D01* -X75548061Y-131606431D01* -X75583338Y-131585287D01* -X75620517Y-131567702D01* -X75659242Y-131553846D01* -X75699138Y-131543853D01* -X75739821Y-131537818D01* -X75780900Y-131535800D01* -X76619100Y-131535800D01* -X76660179Y-131537818D01* -G37* -G36* -X132540179Y-131537818D02* -G01* -X132580862Y-131543853D01* -X132620758Y-131553846D01* -X132659483Y-131567702D01* -X132696662Y-131585287D01* -X132731939Y-131606431D01* -X132764974Y-131630931D01* -X132795448Y-131658552D01* -X132823069Y-131689026D01* -X132847569Y-131722061D01* -X132868713Y-131757338D01* -X132886298Y-131794517D01* -X132900154Y-131833242D01* -X132910147Y-131873138D01* -X132916182Y-131913821D01* -X132918200Y-131954900D01* -X132918200Y-138809100D01* -X132916182Y-138850179D01* -X132910147Y-138890862D01* -X132900154Y-138930758D01* -X132886298Y-138969483D01* -X132868713Y-139006662D01* -X132847569Y-139041939D01* -X132823069Y-139074974D01* -X132795448Y-139105448D01* -X132764974Y-139133069D01* -X132731939Y-139157569D01* -X132696662Y-139178713D01* -X132659483Y-139196298D01* -X132620758Y-139210154D01* -X132580862Y-139220147D01* -X132540179Y-139226182D01* -X132499100Y-139228200D01* -X131660900Y-139228200D01* -X131619821Y-139226182D01* -X131579138Y-139220147D01* -X131539242Y-139210154D01* -X131500517Y-139196298D01* -X131463338Y-139178713D01* -X131428061Y-139157569D01* -X131395026Y-139133069D01* -X131364552Y-139105448D01* -X131336931Y-139074974D01* -X131312431Y-139041939D01* -X131291287Y-139006662D01* -X131273702Y-138969483D01* -X131259846Y-138930758D01* -X131249853Y-138890862D01* -X131243818Y-138850179D01* -X131241800Y-138809100D01* -X131241800Y-131954900D01* -X131243818Y-131913821D01* -X131249853Y-131873138D01* -X131259846Y-131833242D01* -X131273702Y-131794517D01* -X131291287Y-131757338D01* -X131312431Y-131722061D01* -X131336931Y-131689026D01* -X131364552Y-131658552D01* -X131395026Y-131630931D01* -X131428061Y-131606431D01* -X131463338Y-131585287D01* -X131500517Y-131567702D01* -X131539242Y-131553846D01* -X131579138Y-131543853D01* -X131619821Y-131537818D01* -X131660900Y-131535800D01* -X132499100Y-131535800D01* -X132540179Y-131537818D01* -G37* -G36* -X135080179Y-131537818D02* -G01* -X135120862Y-131543853D01* -X135160758Y-131553846D01* -X135199483Y-131567702D01* -X135236662Y-131585287D01* -X135271939Y-131606431D01* -X135304974Y-131630931D01* -X135335448Y-131658552D01* -X135363069Y-131689026D01* -X135387569Y-131722061D01* -X135408713Y-131757338D01* -X135426298Y-131794517D01* -X135440154Y-131833242D01* -X135450147Y-131873138D01* -X135456182Y-131913821D01* -X135458200Y-131954900D01* -X135458200Y-138809100D01* -X135456182Y-138850179D01* -X135450147Y-138890862D01* -X135440154Y-138930758D01* -X135426298Y-138969483D01* -X135408713Y-139006662D01* -X135387569Y-139041939D01* -X135363069Y-139074974D01* -X135335448Y-139105448D01* -X135304974Y-139133069D01* -X135271939Y-139157569D01* -X135236662Y-139178713D01* -X135199483Y-139196298D01* -X135160758Y-139210154D01* -X135120862Y-139220147D01* -X135080179Y-139226182D01* -X135039100Y-139228200D01* -X134200900Y-139228200D01* -X134159821Y-139226182D01* -X134119138Y-139220147D01* -X134079242Y-139210154D01* -X134040517Y-139196298D01* -X134003338Y-139178713D01* -X133968061Y-139157569D01* -X133935026Y-139133069D01* -X133904552Y-139105448D01* -X133876931Y-139074974D01* -X133852431Y-139041939D01* -X133831287Y-139006662D01* -X133813702Y-138969483D01* -X133799846Y-138930758D01* -X133789853Y-138890862D01* -X133783818Y-138850179D01* -X133781800Y-138809100D01* -X133781800Y-131954900D01* -X133783818Y-131913821D01* -X133789853Y-131873138D01* -X133799846Y-131833242D01* -X133813702Y-131794517D01* -X133831287Y-131757338D01* -X133852431Y-131722061D01* -X133876931Y-131689026D01* -X133904552Y-131658552D01* -X133935026Y-131630931D01* -X133968061Y-131606431D01* -X134003338Y-131585287D01* -X134040517Y-131567702D01* -X134079242Y-131553846D01* -X134119138Y-131543853D01* -X134159821Y-131537818D01* -X134200900Y-131535800D01* -X135039100Y-131535800D01* -X135080179Y-131537818D01* -G37* -G36* -X137620179Y-131537818D02* -G01* -X137660862Y-131543853D01* -X137700758Y-131553846D01* -X137739483Y-131567702D01* -X137776662Y-131585287D01* -X137811939Y-131606431D01* -X137844974Y-131630931D01* -X137875448Y-131658552D01* -X137903069Y-131689026D01* -X137927569Y-131722061D01* -X137948713Y-131757338D01* -X137966298Y-131794517D01* -X137980154Y-131833242D01* -X137990147Y-131873138D01* -X137996182Y-131913821D01* -X137998200Y-131954900D01* -X137998200Y-138809100D01* -X137996182Y-138850179D01* -X137990147Y-138890862D01* -X137980154Y-138930758D01* -X137966298Y-138969483D01* -X137948713Y-139006662D01* -X137927569Y-139041939D01* -X137903069Y-139074974D01* -X137875448Y-139105448D01* -X137844974Y-139133069D01* -X137811939Y-139157569D01* -X137776662Y-139178713D01* -X137739483Y-139196298D01* -X137700758Y-139210154D01* -X137660862Y-139220147D01* -X137620179Y-139226182D01* -X137579100Y-139228200D01* -X136740900Y-139228200D01* -X136699821Y-139226182D01* -X136659138Y-139220147D01* -X136619242Y-139210154D01* -X136580517Y-139196298D01* -X136543338Y-139178713D01* -X136508061Y-139157569D01* -X136475026Y-139133069D01* -X136444552Y-139105448D01* -X136416931Y-139074974D01* -X136392431Y-139041939D01* -X136371287Y-139006662D01* -X136353702Y-138969483D01* -X136339846Y-138930758D01* -X136329853Y-138890862D01* -X136323818Y-138850179D01* -X136321800Y-138809100D01* -X136321800Y-131954900D01* -X136323818Y-131913821D01* -X136329853Y-131873138D01* -X136339846Y-131833242D01* -X136353702Y-131794517D01* -X136371287Y-131757338D01* -X136392431Y-131722061D01* -X136416931Y-131689026D01* -X136444552Y-131658552D01* -X136475026Y-131630931D01* -X136508061Y-131606431D01* -X136543338Y-131585287D01* -X136580517Y-131567702D01* -X136619242Y-131553846D01* -X136659138Y-131543853D01* -X136699821Y-131537818D01* -X136740900Y-131535800D01* -X137579100Y-131535800D01* -X137620179Y-131537818D01* -G37* -D17* -X140462000Y-129540000D03* -D18* -X48133000Y-96139000D03* -X57023000Y-84709000D03* -X143002000Y-127000000D03* -X48133000Y-127000000D03* -X140462000Y-82423000D03* -M02* diff --git a/gerber/GR8RAM-Edge_Cuts.gm1 b/gerber/GR8RAM-Edge_Cuts.gm1 deleted file mode 100644 index de24d86..0000000 --- a/gerber/GR8RAM-Edge_Cuts.gm1 +++ /dev/null @@ -1,61 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Profile,NP* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.150000*% -G04 APERTURE END LIST* -D10* -X57785000Y-80391000D02* -X46101001Y-92074999D01* -X57785000Y-80391000D02* -G75* -G02X59309000Y-79883000I1524000J-2032000D01* -G01* -X46101001Y-92074999D02* -G75* -G03X45593000Y-93599000I2031999J-1524001D01* -G01* -X48133000Y-132080000D02* -X73914000Y-132080000D01* -X59309000Y-79883000D02* -X143002000Y-79883000D01* -X143002000Y-79883000D02* -G75* -G02X145542000Y-82423000I0J-2540000D01* -G01* -X138938000Y-139700000D02* -X74422000Y-139700000D01* -X145542000Y-129540000D02* -X145542000Y-82423000D01* -X74422000Y-139700000D02* -G75* -G02X73914000Y-139192000I0J508000D01* -G01* -X139446000Y-139192000D02* -G75* -G02X138938000Y-139700000I-508000J0D01* -G01* -X73914000Y-132080000D02* -X73914000Y-139192000D01* -X45593000Y-129540000D02* -X45593000Y-93599000D01* -X48133000Y-132080000D02* -G75* -G02X45593000Y-129540000I0J2540000D01* -G01* -X143002000Y-132080000D02* -X139446000Y-132080000D01* -X139446000Y-132080000D02* -X139446000Y-139192000D01* -X145542000Y-129540000D02* -G75* -G02X143002000Y-132080000I-2540000J0D01* -G01* -M02* diff --git a/gerber/GR8RAM-F_Cu.gtl b/gerber/GR8RAM-F_Cu.gtl deleted file mode 100644 index 956f045..0000000 --- a/gerber/GR8RAM-F_Cu.gtl +++ /dev/null @@ -1,67267 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L1,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.100000*% -%ADD11R,1.727200X1.727200*% -%ADD12O,1.727200X1.727200*% -%ADD13C,1.000000*% -%ADD14C,0.787400*% -%ADD15C,2.000000*% -%ADD16C,0.800000*% -%ADD17C,1.524000*% -%ADD18C,0.500000*% -%ADD19C,0.762000*% -%ADD20C,0.600000*% -%ADD21C,0.508000*% -%ADD22C,0.762000*% -%ADD23C,1.524000*% -%ADD24C,0.800000*% -%ADD25C,0.450000*% -%ADD26C,0.508000*% -%ADD27C,0.500000*% -%ADD28C,0.300000*% -%ADD29C,0.600000*% -%ADD30C,0.400000*% -%ADD31C,0.150000*% -%ADD32C,0.152400*% -G04 APERTURE END LIST* -G04 #@! TA.AperFunction,SMDPad,CuDef* -D10* -G36* -X90283329Y-110626023D02* -G01* -X90303957Y-110629083D01* -X90324185Y-110634150D01* -X90343820Y-110641176D01* -X90362672Y-110650092D01* -X90380559Y-110660813D01* -X90397309Y-110673235D01* -X90412760Y-110687240D01* -X90426765Y-110702691D01* -X90439187Y-110719441D01* -X90449908Y-110737328D01* -X90458824Y-110756180D01* -X90465850Y-110775815D01* -X90470917Y-110796043D01* -X90473977Y-110816671D01* -X90475000Y-110837500D01* -X90475000Y-111362500D01* -X90473977Y-111383329D01* -X90470917Y-111403957D01* -X90465850Y-111424185D01* -X90458824Y-111443820D01* -X90449908Y-111462672D01* -X90439187Y-111480559D01* -X90426765Y-111497309D01* -X90412760Y-111512760D01* -X90397309Y-111526765D01* -X90380559Y-111539187D01* -X90362672Y-111549908D01* -X90343820Y-111558824D01* -X90324185Y-111565850D01* -X90303957Y-111570917D01* -X90283329Y-111573977D01* -X90262500Y-111575000D01* -X89837500Y-111575000D01* -X89816671Y-111573977D01* -X89796043Y-111570917D01* -X89775815Y-111565850D01* -X89756180Y-111558824D01* -X89737328Y-111549908D01* -X89719441Y-111539187D01* -X89702691Y-111526765D01* -X89687240Y-111512760D01* -X89673235Y-111497309D01* -X89660813Y-111480559D01* -X89650092Y-111462672D01* -X89641176Y-111443820D01* -X89634150Y-111424185D01* -X89629083Y-111403957D01* -X89626023Y-111383329D01* -X89625000Y-111362500D01* -X89625000Y-110837500D01* -X89626023Y-110816671D01* -X89629083Y-110796043D01* -X89634150Y-110775815D01* -X89641176Y-110756180D01* -X89650092Y-110737328D01* -X89660813Y-110719441D01* -X89673235Y-110702691D01* -X89687240Y-110687240D01* -X89702691Y-110673235D01* -X89719441Y-110660813D01* -X89737328Y-110650092D01* -X89756180Y-110641176D01* -X89775815Y-110634150D01* -X89796043Y-110629083D01* -X89816671Y-110626023D01* -X89837500Y-110625000D01* -X90262500Y-110625000D01* -X90283329Y-110626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91783329Y-110626023D02* -G01* -X91803957Y-110629083D01* -X91824185Y-110634150D01* -X91843820Y-110641176D01* -X91862672Y-110650092D01* -X91880559Y-110660813D01* -X91897309Y-110673235D01* -X91912760Y-110687240D01* -X91926765Y-110702691D01* -X91939187Y-110719441D01* -X91949908Y-110737328D01* -X91958824Y-110756180D01* -X91965850Y-110775815D01* -X91970917Y-110796043D01* -X91973977Y-110816671D01* -X91975000Y-110837500D01* -X91975000Y-111362500D01* -X91973977Y-111383329D01* -X91970917Y-111403957D01* -X91965850Y-111424185D01* -X91958824Y-111443820D01* -X91949908Y-111462672D01* -X91939187Y-111480559D01* -X91926765Y-111497309D01* -X91912760Y-111512760D01* -X91897309Y-111526765D01* -X91880559Y-111539187D01* -X91862672Y-111549908D01* -X91843820Y-111558824D01* -X91824185Y-111565850D01* -X91803957Y-111570917D01* -X91783329Y-111573977D01* -X91762500Y-111575000D01* -X91337500Y-111575000D01* -X91316671Y-111573977D01* -X91296043Y-111570917D01* -X91275815Y-111565850D01* -X91256180Y-111558824D01* -X91237328Y-111549908D01* -X91219441Y-111539187D01* -X91202691Y-111526765D01* -X91187240Y-111512760D01* -X91173235Y-111497309D01* -X91160813Y-111480559D01* -X91150092Y-111462672D01* -X91141176Y-111443820D01* -X91134150Y-111424185D01* -X91129083Y-111403957D01* -X91126023Y-111383329D01* -X91125000Y-111362500D01* -X91125000Y-110837500D01* -X91126023Y-110816671D01* -X91129083Y-110796043D01* -X91134150Y-110775815D01* -X91141176Y-110756180D01* -X91150092Y-110737328D01* -X91160813Y-110719441D01* -X91173235Y-110702691D01* -X91187240Y-110687240D01* -X91202691Y-110673235D01* -X91219441Y-110660813D01* -X91237328Y-110650092D01* -X91256180Y-110641176D01* -X91275815Y-110634150D01* -X91296043Y-110629083D01* -X91316671Y-110626023D01* -X91337500Y-110625000D01* -X91762500Y-110625000D01* -X91783329Y-110626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135336623Y-91440915D02* -G01* -X135355067Y-91443651D01* -X135373154Y-91448181D01* -X135390710Y-91454463D01* -X135407565Y-91462435D01* -X135423558Y-91472021D01* -X135438535Y-91483128D01* -X135452350Y-91495650D01* -X135464872Y-91509465D01* -X135475979Y-91524442D01* -X135485565Y-91540435D01* -X135493537Y-91557290D01* -X135499819Y-91574846D01* -X135504349Y-91592933D01* -X135507085Y-91611377D01* -X135508000Y-91630000D01* -X135508000Y-92520000D01* -X135507085Y-92538623D01* -X135504349Y-92557067D01* -X135499819Y-92575154D01* -X135493537Y-92592710D01* -X135485565Y-92609565D01* -X135475979Y-92625558D01* -X135464872Y-92640535D01* -X135452350Y-92654350D01* -X135438535Y-92666872D01* -X135423558Y-92677979D01* -X135407565Y-92687565D01* -X135390710Y-92695537D01* -X135373154Y-92701819D01* -X135355067Y-92706349D01* -X135336623Y-92709085D01* -X135318000Y-92710000D01* -X134938000Y-92710000D01* -X134919377Y-92709085D01* -X134900933Y-92706349D01* -X134882846Y-92701819D01* -X134865290Y-92695537D01* -X134848435Y-92687565D01* -X134832442Y-92677979D01* -X134817465Y-92666872D01* -X134803650Y-92654350D01* -X134791128Y-92640535D01* -X134780021Y-92625558D01* -X134770435Y-92609565D01* -X134762463Y-92592710D01* -X134756181Y-92575154D01* -X134751651Y-92557067D01* -X134748915Y-92538623D01* -X134748000Y-92520000D01* -X134748000Y-91630000D01* -X134748915Y-91611377D01* -X134751651Y-91592933D01* -X134756181Y-91574846D01* -X134762463Y-91557290D01* -X134770435Y-91540435D01* -X134780021Y-91524442D01* -X134791128Y-91509465D01* -X134803650Y-91495650D01* -X134817465Y-91483128D01* -X134832442Y-91472021D01* -X134848435Y-91462435D01* -X134865290Y-91454463D01* -X134882846Y-91448181D01* -X134900933Y-91443651D01* -X134919377Y-91440915D01* -X134938000Y-91440000D01* -X135318000Y-91440000D01* -X135336623Y-91440915D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X136606623Y-91440915D02* -G01* -X136625067Y-91443651D01* -X136643154Y-91448181D01* -X136660710Y-91454463D01* -X136677565Y-91462435D01* -X136693558Y-91472021D01* -X136708535Y-91483128D01* -X136722350Y-91495650D01* -X136734872Y-91509465D01* -X136745979Y-91524442D01* -X136755565Y-91540435D01* -X136763537Y-91557290D01* -X136769819Y-91574846D01* -X136774349Y-91592933D01* -X136777085Y-91611377D01* -X136778000Y-91630000D01* -X136778000Y-92520000D01* -X136777085Y-92538623D01* -X136774349Y-92557067D01* -X136769819Y-92575154D01* -X136763537Y-92592710D01* -X136755565Y-92609565D01* -X136745979Y-92625558D01* -X136734872Y-92640535D01* -X136722350Y-92654350D01* -X136708535Y-92666872D01* -X136693558Y-92677979D01* -X136677565Y-92687565D01* -X136660710Y-92695537D01* -X136643154Y-92701819D01* -X136625067Y-92706349D01* -X136606623Y-92709085D01* -X136588000Y-92710000D01* -X136208000Y-92710000D01* -X136189377Y-92709085D01* -X136170933Y-92706349D01* -X136152846Y-92701819D01* -X136135290Y-92695537D01* -X136118435Y-92687565D01* -X136102442Y-92677979D01* -X136087465Y-92666872D01* -X136073650Y-92654350D01* -X136061128Y-92640535D01* -X136050021Y-92625558D01* -X136040435Y-92609565D01* -X136032463Y-92592710D01* -X136026181Y-92575154D01* -X136021651Y-92557067D01* -X136018915Y-92538623D01* -X136018000Y-92520000D01* -X136018000Y-91630000D01* -X136018915Y-91611377D01* -X136021651Y-91592933D01* -X136026181Y-91574846D01* -X136032463Y-91557290D01* -X136040435Y-91540435D01* -X136050021Y-91524442D01* -X136061128Y-91509465D01* -X136073650Y-91495650D01* -X136087465Y-91483128D01* -X136102442Y-91472021D01* -X136118435Y-91462435D01* -X136135290Y-91454463D01* -X136152846Y-91448181D01* -X136170933Y-91443651D01* -X136189377Y-91440915D01* -X136208000Y-91440000D01* -X136588000Y-91440000D01* -X136606623Y-91440915D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X136606623Y-99060915D02* -G01* -X136625067Y-99063651D01* -X136643154Y-99068181D01* -X136660710Y-99074463D01* -X136677565Y-99082435D01* -X136693558Y-99092021D01* -X136708535Y-99103128D01* -X136722350Y-99115650D01* -X136734872Y-99129465D01* -X136745979Y-99144442D01* -X136755565Y-99160435D01* -X136763537Y-99177290D01* -X136769819Y-99194846D01* -X136774349Y-99212933D01* -X136777085Y-99231377D01* -X136778000Y-99250000D01* -X136778000Y-100140000D01* -X136777085Y-100158623D01* -X136774349Y-100177067D01* -X136769819Y-100195154D01* -X136763537Y-100212710D01* -X136755565Y-100229565D01* -X136745979Y-100245558D01* -X136734872Y-100260535D01* -X136722350Y-100274350D01* -X136708535Y-100286872D01* -X136693558Y-100297979D01* -X136677565Y-100307565D01* -X136660710Y-100315537D01* -X136643154Y-100321819D01* -X136625067Y-100326349D01* -X136606623Y-100329085D01* -X136588000Y-100330000D01* -X136208000Y-100330000D01* -X136189377Y-100329085D01* -X136170933Y-100326349D01* -X136152846Y-100321819D01* -X136135290Y-100315537D01* -X136118435Y-100307565D01* -X136102442Y-100297979D01* -X136087465Y-100286872D01* -X136073650Y-100274350D01* -X136061128Y-100260535D01* -X136050021Y-100245558D01* -X136040435Y-100229565D01* -X136032463Y-100212710D01* -X136026181Y-100195154D01* -X136021651Y-100177067D01* -X136018915Y-100158623D01* -X136018000Y-100140000D01* -X136018000Y-99250000D01* -X136018915Y-99231377D01* -X136021651Y-99212933D01* -X136026181Y-99194846D01* -X136032463Y-99177290D01* -X136040435Y-99160435D01* -X136050021Y-99144442D01* -X136061128Y-99129465D01* -X136073650Y-99115650D01* -X136087465Y-99103128D01* -X136102442Y-99092021D01* -X136118435Y-99082435D01* -X136135290Y-99074463D01* -X136152846Y-99068181D01* -X136170933Y-99063651D01* -X136189377Y-99060915D01* -X136208000Y-99060000D01* -X136588000Y-99060000D01* -X136606623Y-99060915D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135336623Y-99060915D02* -G01* -X135355067Y-99063651D01* -X135373154Y-99068181D01* -X135390710Y-99074463D01* -X135407565Y-99082435D01* -X135423558Y-99092021D01* -X135438535Y-99103128D01* -X135452350Y-99115650D01* -X135464872Y-99129465D01* -X135475979Y-99144442D01* -X135485565Y-99160435D01* -X135493537Y-99177290D01* -X135499819Y-99194846D01* -X135504349Y-99212933D01* -X135507085Y-99231377D01* -X135508000Y-99250000D01* -X135508000Y-100140000D01* -X135507085Y-100158623D01* -X135504349Y-100177067D01* -X135499819Y-100195154D01* -X135493537Y-100212710D01* -X135485565Y-100229565D01* -X135475979Y-100245558D01* -X135464872Y-100260535D01* -X135452350Y-100274350D01* -X135438535Y-100286872D01* -X135423558Y-100297979D01* -X135407565Y-100307565D01* -X135390710Y-100315537D01* -X135373154Y-100321819D01* -X135355067Y-100326349D01* -X135336623Y-100329085D01* -X135318000Y-100330000D01* -X134938000Y-100330000D01* -X134919377Y-100329085D01* -X134900933Y-100326349D01* -X134882846Y-100321819D01* -X134865290Y-100315537D01* -X134848435Y-100307565D01* -X134832442Y-100297979D01* -X134817465Y-100286872D01* -X134803650Y-100274350D01* -X134791128Y-100260535D01* -X134780021Y-100245558D01* -X134770435Y-100229565D01* -X134762463Y-100212710D01* -X134756181Y-100195154D01* -X134751651Y-100177067D01* -X134748915Y-100158623D01* -X134748000Y-100140000D01* -X134748000Y-99250000D01* -X134748915Y-99231377D01* -X134751651Y-99212933D01* -X134756181Y-99194846D01* -X134762463Y-99177290D01* -X134770435Y-99160435D01* -X134780021Y-99144442D01* -X134791128Y-99129465D01* -X134803650Y-99115650D01* -X134817465Y-99103128D01* -X134832442Y-99092021D01* -X134848435Y-99082435D01* -X134865290Y-99074463D01* -X134882846Y-99068181D01* -X134900933Y-99063651D01* -X134919377Y-99060915D01* -X134938000Y-99060000D01* -X135318000Y-99060000D01* -X135336623Y-99060915D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81267153Y-107350843D02* -G01* -X81284141Y-107353363D01* -X81300800Y-107357535D01* -X81316970Y-107363321D01* -X81332494Y-107370664D01* -X81347225Y-107379493D01* -X81361019Y-107389723D01* -X81373744Y-107401256D01* -X81385277Y-107413981D01* -X81395507Y-107427775D01* -X81404336Y-107442506D01* -X81411679Y-107458030D01* -X81417465Y-107474200D01* -X81421637Y-107490859D01* -X81424157Y-107507847D01* -X81425000Y-107525000D01* -X81425000Y-107875000D01* -X81424157Y-107892153D01* -X81421637Y-107909141D01* -X81417465Y-107925800D01* -X81411679Y-107941970D01* -X81404336Y-107957494D01* -X81395507Y-107972225D01* -X81385277Y-107986019D01* -X81373744Y-107998744D01* -X81361019Y-108010277D01* -X81347225Y-108020507D01* -X81332494Y-108029336D01* -X81316970Y-108036679D01* -X81300800Y-108042465D01* -X81284141Y-108046637D01* -X81267153Y-108049157D01* -X81250000Y-108050000D01* -X80650000Y-108050000D01* -X80632847Y-108049157D01* -X80615859Y-108046637D01* -X80599200Y-108042465D01* -X80583030Y-108036679D01* -X80567506Y-108029336D01* -X80552775Y-108020507D01* -X80538981Y-108010277D01* -X80526256Y-107998744D01* -X80514723Y-107986019D01* -X80504493Y-107972225D01* -X80495664Y-107957494D01* -X80488321Y-107941970D01* -X80482535Y-107925800D01* -X80478363Y-107909141D01* -X80475843Y-107892153D01* -X80475000Y-107875000D01* -X80475000Y-107525000D01* -X80475843Y-107507847D01* -X80478363Y-107490859D01* -X80482535Y-107474200D01* -X80488321Y-107458030D01* -X80495664Y-107442506D01* -X80504493Y-107427775D01* -X80514723Y-107413981D01* -X80526256Y-107401256D01* -X80538981Y-107389723D01* -X80552775Y-107379493D01* -X80567506Y-107370664D01* -X80583030Y-107363321D01* -X80599200Y-107357535D01* -X80615859Y-107353363D01* -X80632847Y-107350843D01* -X80650000Y-107350000D01* -X81250000Y-107350000D01* -X81267153Y-107350843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81267153Y-108950843D02* -G01* -X81284141Y-108953363D01* -X81300800Y-108957535D01* -X81316970Y-108963321D01* -X81332494Y-108970664D01* -X81347225Y-108979493D01* -X81361019Y-108989723D01* -X81373744Y-109001256D01* -X81385277Y-109013981D01* -X81395507Y-109027775D01* -X81404336Y-109042506D01* -X81411679Y-109058030D01* -X81417465Y-109074200D01* -X81421637Y-109090859D01* -X81424157Y-109107847D01* -X81425000Y-109125000D01* -X81425000Y-109475000D01* -X81424157Y-109492153D01* -X81421637Y-109509141D01* -X81417465Y-109525800D01* -X81411679Y-109541970D01* -X81404336Y-109557494D01* -X81395507Y-109572225D01* -X81385277Y-109586019D01* -X81373744Y-109598744D01* -X81361019Y-109610277D01* -X81347225Y-109620507D01* -X81332494Y-109629336D01* -X81316970Y-109636679D01* -X81300800Y-109642465D01* -X81284141Y-109646637D01* -X81267153Y-109649157D01* -X81250000Y-109650000D01* -X80650000Y-109650000D01* -X80632847Y-109649157D01* -X80615859Y-109646637D01* -X80599200Y-109642465D01* -X80583030Y-109636679D01* -X80567506Y-109629336D01* -X80552775Y-109620507D01* -X80538981Y-109610277D01* -X80526256Y-109598744D01* -X80514723Y-109586019D01* -X80504493Y-109572225D01* -X80495664Y-109557494D01* -X80488321Y-109541970D01* -X80482535Y-109525800D01* -X80478363Y-109509141D01* -X80475843Y-109492153D01* -X80475000Y-109475000D01* -X80475000Y-109125000D01* -X80475843Y-109107847D01* -X80478363Y-109090859D01* -X80482535Y-109074200D01* -X80488321Y-109058030D01* -X80495664Y-109042506D01* -X80504493Y-109027775D01* -X80514723Y-109013981D01* -X80526256Y-109001256D01* -X80538981Y-108989723D01* -X80552775Y-108979493D01* -X80567506Y-108970664D01* -X80583030Y-108963321D01* -X80599200Y-108957535D01* -X80615859Y-108953363D01* -X80632847Y-108950843D01* -X80650000Y-108950000D01* -X81250000Y-108950000D01* -X81267153Y-108950843D01* -G37* -G04 #@! TD.AperFunction* -D11* -X64135000Y-108204000D03* -D12* -X61595000Y-108204000D03* -X64135000Y-105664000D03* -X61595000Y-105664000D03* -X64135000Y-103124000D03* -X61595000Y-103124000D03* -X64135000Y-100584000D03* -X61595000Y-100584000D03* -X64135000Y-98044000D03* -X61595000Y-98044000D03* -D13* -X48133000Y-93599000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -D10* -G36* -X81218153Y-103511843D02* -G01* -X81235141Y-103514363D01* -X81251800Y-103518535D01* -X81267970Y-103524321D01* -X81283494Y-103531664D01* -X81298225Y-103540493D01* -X81312019Y-103550723D01* -X81324744Y-103562256D01* -X81336277Y-103574981D01* -X81346507Y-103588775D01* -X81355336Y-103603506D01* -X81362679Y-103619030D01* -X81368465Y-103635200D01* -X81372637Y-103651859D01* -X81375157Y-103668847D01* -X81376000Y-103686000D01* -X81376000Y-105036000D01* -X81375157Y-105053153D01* -X81372637Y-105070141D01* -X81368465Y-105086800D01* -X81362679Y-105102970D01* -X81355336Y-105118494D01* -X81346507Y-105133225D01* -X81336277Y-105147019D01* -X81324744Y-105159744D01* -X81312019Y-105171277D01* -X81298225Y-105181507D01* -X81283494Y-105190336D01* -X81267970Y-105197679D01* -X81251800Y-105203465D01* -X81235141Y-105207637D01* -X81218153Y-105210157D01* -X81201000Y-105211000D01* -X80851000Y-105211000D01* -X80833847Y-105210157D01* -X80816859Y-105207637D01* -X80800200Y-105203465D01* -X80784030Y-105197679D01* -X80768506Y-105190336D01* -X80753775Y-105181507D01* -X80739981Y-105171277D01* -X80727256Y-105159744D01* -X80715723Y-105147019D01* -X80705493Y-105133225D01* -X80696664Y-105118494D01* -X80689321Y-105102970D01* -X80683535Y-105086800D01* -X80679363Y-105070141D01* -X80676843Y-105053153D01* -X80676000Y-105036000D01* -X80676000Y-103686000D01* -X80676843Y-103668847D01* -X80679363Y-103651859D01* -X80683535Y-103635200D01* -X80689321Y-103619030D01* -X80696664Y-103603506D01* -X80705493Y-103588775D01* -X80715723Y-103574981D01* -X80727256Y-103562256D01* -X80739981Y-103550723D01* -X80753775Y-103540493D01* -X80768506Y-103531664D01* -X80784030Y-103524321D01* -X80800200Y-103518535D01* -X80816859Y-103514363D01* -X80833847Y-103511843D01* -X80851000Y-103511000D01* -X81201000Y-103511000D01* -X81218153Y-103511843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X79948153Y-103511843D02* -G01* -X79965141Y-103514363D01* -X79981800Y-103518535D01* -X79997970Y-103524321D01* -X80013494Y-103531664D01* -X80028225Y-103540493D01* -X80042019Y-103550723D01* -X80054744Y-103562256D01* -X80066277Y-103574981D01* -X80076507Y-103588775D01* -X80085336Y-103603506D01* -X80092679Y-103619030D01* -X80098465Y-103635200D01* -X80102637Y-103651859D01* -X80105157Y-103668847D01* -X80106000Y-103686000D01* -X80106000Y-105036000D01* -X80105157Y-105053153D01* -X80102637Y-105070141D01* -X80098465Y-105086800D01* -X80092679Y-105102970D01* -X80085336Y-105118494D01* -X80076507Y-105133225D01* -X80066277Y-105147019D01* -X80054744Y-105159744D01* -X80042019Y-105171277D01* -X80028225Y-105181507D01* -X80013494Y-105190336D01* -X79997970Y-105197679D01* -X79981800Y-105203465D01* -X79965141Y-105207637D01* -X79948153Y-105210157D01* -X79931000Y-105211000D01* -X79581000Y-105211000D01* -X79563847Y-105210157D01* -X79546859Y-105207637D01* -X79530200Y-105203465D01* -X79514030Y-105197679D01* -X79498506Y-105190336D01* -X79483775Y-105181507D01* -X79469981Y-105171277D01* -X79457256Y-105159744D01* -X79445723Y-105147019D01* -X79435493Y-105133225D01* -X79426664Y-105118494D01* -X79419321Y-105102970D01* -X79413535Y-105086800D01* -X79409363Y-105070141D01* -X79406843Y-105053153D01* -X79406000Y-105036000D01* -X79406000Y-103686000D01* -X79406843Y-103668847D01* -X79409363Y-103651859D01* -X79413535Y-103635200D01* -X79419321Y-103619030D01* -X79426664Y-103603506D01* -X79435493Y-103588775D01* -X79445723Y-103574981D01* -X79457256Y-103562256D01* -X79469981Y-103550723D01* -X79483775Y-103540493D01* -X79498506Y-103531664D01* -X79514030Y-103524321D01* -X79530200Y-103518535D01* -X79546859Y-103514363D01* -X79563847Y-103511843D01* -X79581000Y-103511000D01* -X79931000Y-103511000D01* -X79948153Y-103511843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X78678153Y-103511843D02* -G01* -X78695141Y-103514363D01* -X78711800Y-103518535D01* -X78727970Y-103524321D01* -X78743494Y-103531664D01* -X78758225Y-103540493D01* -X78772019Y-103550723D01* -X78784744Y-103562256D01* -X78796277Y-103574981D01* -X78806507Y-103588775D01* -X78815336Y-103603506D01* -X78822679Y-103619030D01* -X78828465Y-103635200D01* -X78832637Y-103651859D01* -X78835157Y-103668847D01* -X78836000Y-103686000D01* -X78836000Y-105036000D01* -X78835157Y-105053153D01* -X78832637Y-105070141D01* -X78828465Y-105086800D01* -X78822679Y-105102970D01* -X78815336Y-105118494D01* -X78806507Y-105133225D01* -X78796277Y-105147019D01* -X78784744Y-105159744D01* -X78772019Y-105171277D01* -X78758225Y-105181507D01* -X78743494Y-105190336D01* -X78727970Y-105197679D01* -X78711800Y-105203465D01* -X78695141Y-105207637D01* -X78678153Y-105210157D01* -X78661000Y-105211000D01* -X78311000Y-105211000D01* -X78293847Y-105210157D01* -X78276859Y-105207637D01* -X78260200Y-105203465D01* -X78244030Y-105197679D01* -X78228506Y-105190336D01* -X78213775Y-105181507D01* -X78199981Y-105171277D01* -X78187256Y-105159744D01* -X78175723Y-105147019D01* -X78165493Y-105133225D01* -X78156664Y-105118494D01* -X78149321Y-105102970D01* -X78143535Y-105086800D01* -X78139363Y-105070141D01* -X78136843Y-105053153D01* -X78136000Y-105036000D01* -X78136000Y-103686000D01* -X78136843Y-103668847D01* -X78139363Y-103651859D01* -X78143535Y-103635200D01* -X78149321Y-103619030D01* -X78156664Y-103603506D01* -X78165493Y-103588775D01* -X78175723Y-103574981D01* -X78187256Y-103562256D01* -X78199981Y-103550723D01* -X78213775Y-103540493D01* -X78228506Y-103531664D01* -X78244030Y-103524321D01* -X78260200Y-103518535D01* -X78276859Y-103514363D01* -X78293847Y-103511843D01* -X78311000Y-103511000D01* -X78661000Y-103511000D01* -X78678153Y-103511843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X77408153Y-103511843D02* -G01* -X77425141Y-103514363D01* -X77441800Y-103518535D01* -X77457970Y-103524321D01* -X77473494Y-103531664D01* -X77488225Y-103540493D01* -X77502019Y-103550723D01* -X77514744Y-103562256D01* -X77526277Y-103574981D01* -X77536507Y-103588775D01* -X77545336Y-103603506D01* -X77552679Y-103619030D01* -X77558465Y-103635200D01* -X77562637Y-103651859D01* -X77565157Y-103668847D01* -X77566000Y-103686000D01* -X77566000Y-105036000D01* -X77565157Y-105053153D01* -X77562637Y-105070141D01* -X77558465Y-105086800D01* -X77552679Y-105102970D01* -X77545336Y-105118494D01* -X77536507Y-105133225D01* -X77526277Y-105147019D01* -X77514744Y-105159744D01* -X77502019Y-105171277D01* -X77488225Y-105181507D01* -X77473494Y-105190336D01* -X77457970Y-105197679D01* -X77441800Y-105203465D01* -X77425141Y-105207637D01* -X77408153Y-105210157D01* -X77391000Y-105211000D01* -X77041000Y-105211000D01* -X77023847Y-105210157D01* -X77006859Y-105207637D01* -X76990200Y-105203465D01* -X76974030Y-105197679D01* -X76958506Y-105190336D01* -X76943775Y-105181507D01* -X76929981Y-105171277D01* -X76917256Y-105159744D01* -X76905723Y-105147019D01* -X76895493Y-105133225D01* -X76886664Y-105118494D01* -X76879321Y-105102970D01* -X76873535Y-105086800D01* -X76869363Y-105070141D01* -X76866843Y-105053153D01* -X76866000Y-105036000D01* -X76866000Y-103686000D01* -X76866843Y-103668847D01* -X76869363Y-103651859D01* -X76873535Y-103635200D01* -X76879321Y-103619030D01* -X76886664Y-103603506D01* -X76895493Y-103588775D01* -X76905723Y-103574981D01* -X76917256Y-103562256D01* -X76929981Y-103550723D01* -X76943775Y-103540493D01* -X76958506Y-103531664D01* -X76974030Y-103524321D01* -X76990200Y-103518535D01* -X77006859Y-103514363D01* -X77023847Y-103511843D01* -X77041000Y-103511000D01* -X77391000Y-103511000D01* -X77408153Y-103511843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X77408153Y-96211843D02* -G01* -X77425141Y-96214363D01* -X77441800Y-96218535D01* -X77457970Y-96224321D01* -X77473494Y-96231664D01* -X77488225Y-96240493D01* -X77502019Y-96250723D01* -X77514744Y-96262256D01* -X77526277Y-96274981D01* -X77536507Y-96288775D01* -X77545336Y-96303506D01* -X77552679Y-96319030D01* -X77558465Y-96335200D01* -X77562637Y-96351859D01* -X77565157Y-96368847D01* -X77566000Y-96386000D01* -X77566000Y-97736000D01* -X77565157Y-97753153D01* -X77562637Y-97770141D01* -X77558465Y-97786800D01* -X77552679Y-97802970D01* -X77545336Y-97818494D01* -X77536507Y-97833225D01* -X77526277Y-97847019D01* -X77514744Y-97859744D01* -X77502019Y-97871277D01* -X77488225Y-97881507D01* -X77473494Y-97890336D01* -X77457970Y-97897679D01* -X77441800Y-97903465D01* -X77425141Y-97907637D01* -X77408153Y-97910157D01* -X77391000Y-97911000D01* -X77041000Y-97911000D01* -X77023847Y-97910157D01* -X77006859Y-97907637D01* -X76990200Y-97903465D01* -X76974030Y-97897679D01* -X76958506Y-97890336D01* -X76943775Y-97881507D01* -X76929981Y-97871277D01* -X76917256Y-97859744D01* -X76905723Y-97847019D01* -X76895493Y-97833225D01* -X76886664Y-97818494D01* -X76879321Y-97802970D01* -X76873535Y-97786800D01* -X76869363Y-97770141D01* -X76866843Y-97753153D01* -X76866000Y-97736000D01* -X76866000Y-96386000D01* -X76866843Y-96368847D01* -X76869363Y-96351859D01* -X76873535Y-96335200D01* -X76879321Y-96319030D01* -X76886664Y-96303506D01* -X76895493Y-96288775D01* -X76905723Y-96274981D01* -X76917256Y-96262256D01* -X76929981Y-96250723D01* -X76943775Y-96240493D01* -X76958506Y-96231664D01* -X76974030Y-96224321D01* -X76990200Y-96218535D01* -X77006859Y-96214363D01* -X77023847Y-96211843D01* -X77041000Y-96211000D01* -X77391000Y-96211000D01* -X77408153Y-96211843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X78678153Y-96211843D02* -G01* -X78695141Y-96214363D01* -X78711800Y-96218535D01* -X78727970Y-96224321D01* -X78743494Y-96231664D01* -X78758225Y-96240493D01* -X78772019Y-96250723D01* -X78784744Y-96262256D01* -X78796277Y-96274981D01* -X78806507Y-96288775D01* -X78815336Y-96303506D01* -X78822679Y-96319030D01* -X78828465Y-96335200D01* -X78832637Y-96351859D01* -X78835157Y-96368847D01* -X78836000Y-96386000D01* -X78836000Y-97736000D01* -X78835157Y-97753153D01* -X78832637Y-97770141D01* -X78828465Y-97786800D01* -X78822679Y-97802970D01* -X78815336Y-97818494D01* -X78806507Y-97833225D01* -X78796277Y-97847019D01* -X78784744Y-97859744D01* -X78772019Y-97871277D01* -X78758225Y-97881507D01* -X78743494Y-97890336D01* -X78727970Y-97897679D01* -X78711800Y-97903465D01* -X78695141Y-97907637D01* -X78678153Y-97910157D01* -X78661000Y-97911000D01* -X78311000Y-97911000D01* -X78293847Y-97910157D01* -X78276859Y-97907637D01* -X78260200Y-97903465D01* -X78244030Y-97897679D01* -X78228506Y-97890336D01* -X78213775Y-97881507D01* -X78199981Y-97871277D01* -X78187256Y-97859744D01* -X78175723Y-97847019D01* -X78165493Y-97833225D01* -X78156664Y-97818494D01* -X78149321Y-97802970D01* -X78143535Y-97786800D01* -X78139363Y-97770141D01* -X78136843Y-97753153D01* -X78136000Y-97736000D01* -X78136000Y-96386000D01* -X78136843Y-96368847D01* -X78139363Y-96351859D01* -X78143535Y-96335200D01* -X78149321Y-96319030D01* -X78156664Y-96303506D01* -X78165493Y-96288775D01* -X78175723Y-96274981D01* -X78187256Y-96262256D01* -X78199981Y-96250723D01* -X78213775Y-96240493D01* -X78228506Y-96231664D01* -X78244030Y-96224321D01* -X78260200Y-96218535D01* -X78276859Y-96214363D01* -X78293847Y-96211843D01* -X78311000Y-96211000D01* -X78661000Y-96211000D01* -X78678153Y-96211843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X79948153Y-96211843D02* -G01* -X79965141Y-96214363D01* -X79981800Y-96218535D01* -X79997970Y-96224321D01* -X80013494Y-96231664D01* -X80028225Y-96240493D01* -X80042019Y-96250723D01* -X80054744Y-96262256D01* -X80066277Y-96274981D01* -X80076507Y-96288775D01* -X80085336Y-96303506D01* -X80092679Y-96319030D01* -X80098465Y-96335200D01* -X80102637Y-96351859D01* -X80105157Y-96368847D01* -X80106000Y-96386000D01* -X80106000Y-97736000D01* -X80105157Y-97753153D01* -X80102637Y-97770141D01* -X80098465Y-97786800D01* -X80092679Y-97802970D01* -X80085336Y-97818494D01* -X80076507Y-97833225D01* -X80066277Y-97847019D01* -X80054744Y-97859744D01* -X80042019Y-97871277D01* -X80028225Y-97881507D01* -X80013494Y-97890336D01* -X79997970Y-97897679D01* -X79981800Y-97903465D01* -X79965141Y-97907637D01* -X79948153Y-97910157D01* -X79931000Y-97911000D01* -X79581000Y-97911000D01* -X79563847Y-97910157D01* -X79546859Y-97907637D01* -X79530200Y-97903465D01* -X79514030Y-97897679D01* -X79498506Y-97890336D01* -X79483775Y-97881507D01* -X79469981Y-97871277D01* -X79457256Y-97859744D01* -X79445723Y-97847019D01* -X79435493Y-97833225D01* -X79426664Y-97818494D01* -X79419321Y-97802970D01* -X79413535Y-97786800D01* -X79409363Y-97770141D01* -X79406843Y-97753153D01* -X79406000Y-97736000D01* -X79406000Y-96386000D01* -X79406843Y-96368847D01* -X79409363Y-96351859D01* -X79413535Y-96335200D01* -X79419321Y-96319030D01* -X79426664Y-96303506D01* -X79435493Y-96288775D01* -X79445723Y-96274981D01* -X79457256Y-96262256D01* -X79469981Y-96250723D01* -X79483775Y-96240493D01* -X79498506Y-96231664D01* -X79514030Y-96224321D01* -X79530200Y-96218535D01* -X79546859Y-96214363D01* -X79563847Y-96211843D01* -X79581000Y-96211000D01* -X79931000Y-96211000D01* -X79948153Y-96211843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81218153Y-96211843D02* -G01* -X81235141Y-96214363D01* -X81251800Y-96218535D01* -X81267970Y-96224321D01* -X81283494Y-96231664D01* -X81298225Y-96240493D01* -X81312019Y-96250723D01* -X81324744Y-96262256D01* -X81336277Y-96274981D01* -X81346507Y-96288775D01* -X81355336Y-96303506D01* -X81362679Y-96319030D01* -X81368465Y-96335200D01* -X81372637Y-96351859D01* -X81375157Y-96368847D01* -X81376000Y-96386000D01* -X81376000Y-97736000D01* -X81375157Y-97753153D01* -X81372637Y-97770141D01* -X81368465Y-97786800D01* -X81362679Y-97802970D01* -X81355336Y-97818494D01* -X81346507Y-97833225D01* -X81336277Y-97847019D01* -X81324744Y-97859744D01* -X81312019Y-97871277D01* -X81298225Y-97881507D01* -X81283494Y-97890336D01* -X81267970Y-97897679D01* -X81251800Y-97903465D01* -X81235141Y-97907637D01* -X81218153Y-97910157D01* -X81201000Y-97911000D01* -X80851000Y-97911000D01* -X80833847Y-97910157D01* -X80816859Y-97907637D01* -X80800200Y-97903465D01* -X80784030Y-97897679D01* -X80768506Y-97890336D01* -X80753775Y-97881507D01* -X80739981Y-97871277D01* -X80727256Y-97859744D01* -X80715723Y-97847019D01* -X80705493Y-97833225D01* -X80696664Y-97818494D01* -X80689321Y-97802970D01* -X80683535Y-97786800D01* -X80679363Y-97770141D01* -X80676843Y-97753153D01* -X80676000Y-97736000D01* -X80676000Y-96386000D01* -X80676843Y-96368847D01* -X80679363Y-96351859D01* -X80683535Y-96335200D01* -X80689321Y-96319030D01* -X80696664Y-96303506D01* -X80705493Y-96288775D01* -X80715723Y-96274981D01* -X80727256Y-96262256D01* -X80739981Y-96250723D01* -X80753775Y-96240493D01* -X80768506Y-96231664D01* -X80784030Y-96224321D01* -X80800200Y-96218535D01* -X80816859Y-96214363D01* -X80833847Y-96211843D01* -X80851000Y-96211000D01* -X81201000Y-96211000D01* -X81218153Y-96211843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X68834802Y-95450482D02* -G01* -X68844509Y-95451921D01* -X68854028Y-95454306D01* -X68863268Y-95457612D01* -X68872140Y-95461808D01* -X68880557Y-95466853D01* -X68888439Y-95472699D01* -X68895711Y-95479289D01* -X68902301Y-95486561D01* -X68908147Y-95494443D01* -X68913192Y-95502860D01* -X68917388Y-95511732D01* -X68920694Y-95520972D01* -X68923079Y-95530491D01* -X68924518Y-95540198D01* -X68925000Y-95550000D01* -X68925000Y-95750000D01* -X68924518Y-95759802D01* -X68923079Y-95769509D01* -X68920694Y-95779028D01* -X68917388Y-95788268D01* -X68913192Y-95797140D01* -X68908147Y-95805557D01* -X68902301Y-95813439D01* -X68895711Y-95820711D01* -X68888439Y-95827301D01* -X68880557Y-95833147D01* -X68872140Y-95838192D01* -X68863268Y-95842388D01* -X68854028Y-95845694D01* -X68844509Y-95848079D01* -X68834802Y-95849518D01* -X68825000Y-95850000D01* -X68375000Y-95850000D01* -X68365198Y-95849518D01* -X68355491Y-95848079D01* -X68345972Y-95845694D01* -X68336732Y-95842388D01* -X68327860Y-95838192D01* -X68319443Y-95833147D01* -X68311561Y-95827301D01* -X68304289Y-95820711D01* -X68297699Y-95813439D01* -X68291853Y-95805557D01* -X68286808Y-95797140D01* -X68282612Y-95788268D01* -X68279306Y-95779028D01* -X68276921Y-95769509D01* -X68275482Y-95759802D01* -X68275000Y-95750000D01* -X68275000Y-95550000D01* -X68275482Y-95540198D01* -X68276921Y-95530491D01* -X68279306Y-95520972D01* -X68282612Y-95511732D01* -X68286808Y-95502860D01* -X68291853Y-95494443D01* -X68297699Y-95486561D01* -X68304289Y-95479289D01* -X68311561Y-95472699D01* -X68319443Y-95466853D01* -X68327860Y-95461808D01* -X68336732Y-95457612D01* -X68345972Y-95454306D01* -X68355491Y-95451921D01* -X68365198Y-95450482D01* -X68375000Y-95450000D01* -X68825000Y-95450000D01* -X68834802Y-95450482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X68857351Y-96050361D02* -G01* -X68864632Y-96051441D01* -X68871771Y-96053229D01* -X68878701Y-96055709D01* -X68885355Y-96058856D01* -X68891668Y-96062640D01* -X68897579Y-96067024D01* -X68903033Y-96071967D01* -X68907976Y-96077421D01* -X68912360Y-96083332D01* -X68916144Y-96089645D01* -X68919291Y-96096299D01* -X68921771Y-96103229D01* -X68923559Y-96110368D01* -X68924639Y-96117649D01* -X68925000Y-96125000D01* -X68925000Y-96275000D01* -X68924639Y-96282351D01* -X68923559Y-96289632D01* -X68921771Y-96296771D01* -X68919291Y-96303701D01* -X68916144Y-96310355D01* -X68912360Y-96316668D01* -X68907976Y-96322579D01* -X68903033Y-96328033D01* -X68897579Y-96332976D01* -X68891668Y-96337360D01* -X68885355Y-96341144D01* -X68878701Y-96344291D01* -X68871771Y-96346771D01* -X68864632Y-96348559D01* -X68857351Y-96349639D01* -X68850000Y-96350000D01* -X68350000Y-96350000D01* -X68342649Y-96349639D01* -X68335368Y-96348559D01* -X68328229Y-96346771D01* -X68321299Y-96344291D01* -X68314645Y-96341144D01* -X68308332Y-96337360D01* -X68302421Y-96332976D01* -X68296967Y-96328033D01* -X68292024Y-96322579D01* -X68287640Y-96316668D01* -X68283856Y-96310355D01* -X68280709Y-96303701D01* -X68278229Y-96296771D01* -X68276441Y-96289632D01* -X68275361Y-96282351D01* -X68275000Y-96275000D01* -X68275000Y-96125000D01* -X68275361Y-96117649D01* -X68276441Y-96110368D01* -X68278229Y-96103229D01* -X68280709Y-96096299D01* -X68283856Y-96089645D01* -X68287640Y-96083332D01* -X68292024Y-96077421D01* -X68296967Y-96071967D01* -X68302421Y-96067024D01* -X68308332Y-96062640D01* -X68314645Y-96058856D01* -X68321299Y-96055709D01* -X68328229Y-96053229D01* -X68335368Y-96051441D01* -X68342649Y-96050361D01* -X68350000Y-96050000D01* -X68850000Y-96050000D01* -X68857351Y-96050361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X68834802Y-97050482D02* -G01* -X68844509Y-97051921D01* -X68854028Y-97054306D01* -X68863268Y-97057612D01* -X68872140Y-97061808D01* -X68880557Y-97066853D01* -X68888439Y-97072699D01* -X68895711Y-97079289D01* -X68902301Y-97086561D01* -X68908147Y-97094443D01* -X68913192Y-97102860D01* -X68917388Y-97111732D01* -X68920694Y-97120972D01* -X68923079Y-97130491D01* -X68924518Y-97140198D01* -X68925000Y-97150000D01* -X68925000Y-97350000D01* -X68924518Y-97359802D01* -X68923079Y-97369509D01* -X68920694Y-97379028D01* -X68917388Y-97388268D01* -X68913192Y-97397140D01* -X68908147Y-97405557D01* -X68902301Y-97413439D01* -X68895711Y-97420711D01* -X68888439Y-97427301D01* -X68880557Y-97433147D01* -X68872140Y-97438192D01* -X68863268Y-97442388D01* -X68854028Y-97445694D01* -X68844509Y-97448079D01* -X68834802Y-97449518D01* -X68825000Y-97450000D01* -X68375000Y-97450000D01* -X68365198Y-97449518D01* -X68355491Y-97448079D01* -X68345972Y-97445694D01* -X68336732Y-97442388D01* -X68327860Y-97438192D01* -X68319443Y-97433147D01* -X68311561Y-97427301D01* -X68304289Y-97420711D01* -X68297699Y-97413439D01* -X68291853Y-97405557D01* -X68286808Y-97397140D01* -X68282612Y-97388268D01* -X68279306Y-97379028D01* -X68276921Y-97369509D01* -X68275482Y-97359802D01* -X68275000Y-97350000D01* -X68275000Y-97150000D01* -X68275482Y-97140198D01* -X68276921Y-97130491D01* -X68279306Y-97120972D01* -X68282612Y-97111732D01* -X68286808Y-97102860D01* -X68291853Y-97094443D01* -X68297699Y-97086561D01* -X68304289Y-97079289D01* -X68311561Y-97072699D01* -X68319443Y-97066853D01* -X68327860Y-97061808D01* -X68336732Y-97057612D01* -X68345972Y-97054306D01* -X68355491Y-97051921D01* -X68365198Y-97050482D01* -X68375000Y-97050000D01* -X68825000Y-97050000D01* -X68834802Y-97050482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X68857351Y-96550361D02* -G01* -X68864632Y-96551441D01* -X68871771Y-96553229D01* -X68878701Y-96555709D01* -X68885355Y-96558856D01* -X68891668Y-96562640D01* -X68897579Y-96567024D01* -X68903033Y-96571967D01* -X68907976Y-96577421D01* -X68912360Y-96583332D01* -X68916144Y-96589645D01* -X68919291Y-96596299D01* -X68921771Y-96603229D01* -X68923559Y-96610368D01* -X68924639Y-96617649D01* -X68925000Y-96625000D01* -X68925000Y-96775000D01* -X68924639Y-96782351D01* -X68923559Y-96789632D01* -X68921771Y-96796771D01* -X68919291Y-96803701D01* -X68916144Y-96810355D01* -X68912360Y-96816668D01* -X68907976Y-96822579D01* -X68903033Y-96828033D01* -X68897579Y-96832976D01* -X68891668Y-96837360D01* -X68885355Y-96841144D01* -X68878701Y-96844291D01* -X68871771Y-96846771D01* -X68864632Y-96848559D01* -X68857351Y-96849639D01* -X68850000Y-96850000D01* -X68350000Y-96850000D01* -X68342649Y-96849639D01* -X68335368Y-96848559D01* -X68328229Y-96846771D01* -X68321299Y-96844291D01* -X68314645Y-96841144D01* -X68308332Y-96837360D01* -X68302421Y-96832976D01* -X68296967Y-96828033D01* -X68292024Y-96822579D01* -X68287640Y-96816668D01* -X68283856Y-96810355D01* -X68280709Y-96803701D01* -X68278229Y-96796771D01* -X68276441Y-96789632D01* -X68275361Y-96782351D01* -X68275000Y-96775000D01* -X68275000Y-96625000D01* -X68275361Y-96617649D01* -X68276441Y-96610368D01* -X68278229Y-96603229D01* -X68280709Y-96596299D01* -X68283856Y-96589645D01* -X68287640Y-96583332D01* -X68292024Y-96577421D01* -X68296967Y-96571967D01* -X68302421Y-96567024D01* -X68308332Y-96562640D01* -X68314645Y-96558856D01* -X68321299Y-96555709D01* -X68328229Y-96553229D01* -X68335368Y-96551441D01* -X68342649Y-96550361D01* -X68350000Y-96550000D01* -X68850000Y-96550000D01* -X68857351Y-96550361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69834802Y-95450482D02* -G01* -X69844509Y-95451921D01* -X69854028Y-95454306D01* -X69863268Y-95457612D01* -X69872140Y-95461808D01* -X69880557Y-95466853D01* -X69888439Y-95472699D01* -X69895711Y-95479289D01* -X69902301Y-95486561D01* -X69908147Y-95494443D01* -X69913192Y-95502860D01* -X69917388Y-95511732D01* -X69920694Y-95520972D01* -X69923079Y-95530491D01* -X69924518Y-95540198D01* -X69925000Y-95550000D01* -X69925000Y-95750000D01* -X69924518Y-95759802D01* -X69923079Y-95769509D01* -X69920694Y-95779028D01* -X69917388Y-95788268D01* -X69913192Y-95797140D01* -X69908147Y-95805557D01* -X69902301Y-95813439D01* -X69895711Y-95820711D01* -X69888439Y-95827301D01* -X69880557Y-95833147D01* -X69872140Y-95838192D01* -X69863268Y-95842388D01* -X69854028Y-95845694D01* -X69844509Y-95848079D01* -X69834802Y-95849518D01* -X69825000Y-95850000D01* -X69375000Y-95850000D01* -X69365198Y-95849518D01* -X69355491Y-95848079D01* -X69345972Y-95845694D01* -X69336732Y-95842388D01* -X69327860Y-95838192D01* -X69319443Y-95833147D01* -X69311561Y-95827301D01* -X69304289Y-95820711D01* -X69297699Y-95813439D01* -X69291853Y-95805557D01* -X69286808Y-95797140D01* -X69282612Y-95788268D01* -X69279306Y-95779028D01* -X69276921Y-95769509D01* -X69275482Y-95759802D01* -X69275000Y-95750000D01* -X69275000Y-95550000D01* -X69275482Y-95540198D01* -X69276921Y-95530491D01* -X69279306Y-95520972D01* -X69282612Y-95511732D01* -X69286808Y-95502860D01* -X69291853Y-95494443D01* -X69297699Y-95486561D01* -X69304289Y-95479289D01* -X69311561Y-95472699D01* -X69319443Y-95466853D01* -X69327860Y-95461808D01* -X69336732Y-95457612D01* -X69345972Y-95454306D01* -X69355491Y-95451921D01* -X69365198Y-95450482D01* -X69375000Y-95450000D01* -X69825000Y-95450000D01* -X69834802Y-95450482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69857351Y-96550361D02* -G01* -X69864632Y-96551441D01* -X69871771Y-96553229D01* -X69878701Y-96555709D01* -X69885355Y-96558856D01* -X69891668Y-96562640D01* -X69897579Y-96567024D01* -X69903033Y-96571967D01* -X69907976Y-96577421D01* -X69912360Y-96583332D01* -X69916144Y-96589645D01* -X69919291Y-96596299D01* -X69921771Y-96603229D01* -X69923559Y-96610368D01* -X69924639Y-96617649D01* -X69925000Y-96625000D01* -X69925000Y-96775000D01* -X69924639Y-96782351D01* -X69923559Y-96789632D01* -X69921771Y-96796771D01* -X69919291Y-96803701D01* -X69916144Y-96810355D01* -X69912360Y-96816668D01* -X69907976Y-96822579D01* -X69903033Y-96828033D01* -X69897579Y-96832976D01* -X69891668Y-96837360D01* -X69885355Y-96841144D01* -X69878701Y-96844291D01* -X69871771Y-96846771D01* -X69864632Y-96848559D01* -X69857351Y-96849639D01* -X69850000Y-96850000D01* -X69350000Y-96850000D01* -X69342649Y-96849639D01* -X69335368Y-96848559D01* -X69328229Y-96846771D01* -X69321299Y-96844291D01* -X69314645Y-96841144D01* -X69308332Y-96837360D01* -X69302421Y-96832976D01* -X69296967Y-96828033D01* -X69292024Y-96822579D01* -X69287640Y-96816668D01* -X69283856Y-96810355D01* -X69280709Y-96803701D01* -X69278229Y-96796771D01* -X69276441Y-96789632D01* -X69275361Y-96782351D01* -X69275000Y-96775000D01* -X69275000Y-96625000D01* -X69275361Y-96617649D01* -X69276441Y-96610368D01* -X69278229Y-96603229D01* -X69280709Y-96596299D01* -X69283856Y-96589645D01* -X69287640Y-96583332D01* -X69292024Y-96577421D01* -X69296967Y-96571967D01* -X69302421Y-96567024D01* -X69308332Y-96562640D01* -X69314645Y-96558856D01* -X69321299Y-96555709D01* -X69328229Y-96553229D01* -X69335368Y-96551441D01* -X69342649Y-96550361D01* -X69350000Y-96550000D01* -X69850000Y-96550000D01* -X69857351Y-96550361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69857351Y-96050361D02* -G01* -X69864632Y-96051441D01* -X69871771Y-96053229D01* -X69878701Y-96055709D01* -X69885355Y-96058856D01* -X69891668Y-96062640D01* -X69897579Y-96067024D01* -X69903033Y-96071967D01* -X69907976Y-96077421D01* -X69912360Y-96083332D01* -X69916144Y-96089645D01* -X69919291Y-96096299D01* -X69921771Y-96103229D01* -X69923559Y-96110368D01* -X69924639Y-96117649D01* -X69925000Y-96125000D01* -X69925000Y-96275000D01* -X69924639Y-96282351D01* -X69923559Y-96289632D01* -X69921771Y-96296771D01* -X69919291Y-96303701D01* -X69916144Y-96310355D01* -X69912360Y-96316668D01* -X69907976Y-96322579D01* -X69903033Y-96328033D01* -X69897579Y-96332976D01* -X69891668Y-96337360D01* -X69885355Y-96341144D01* -X69878701Y-96344291D01* -X69871771Y-96346771D01* -X69864632Y-96348559D01* -X69857351Y-96349639D01* -X69850000Y-96350000D01* -X69350000Y-96350000D01* -X69342649Y-96349639D01* -X69335368Y-96348559D01* -X69328229Y-96346771D01* -X69321299Y-96344291D01* -X69314645Y-96341144D01* -X69308332Y-96337360D01* -X69302421Y-96332976D01* -X69296967Y-96328033D01* -X69292024Y-96322579D01* -X69287640Y-96316668D01* -X69283856Y-96310355D01* -X69280709Y-96303701D01* -X69278229Y-96296771D01* -X69276441Y-96289632D01* -X69275361Y-96282351D01* -X69275000Y-96275000D01* -X69275000Y-96125000D01* -X69275361Y-96117649D01* -X69276441Y-96110368D01* -X69278229Y-96103229D01* -X69280709Y-96096299D01* -X69283856Y-96089645D01* -X69287640Y-96083332D01* -X69292024Y-96077421D01* -X69296967Y-96071967D01* -X69302421Y-96067024D01* -X69308332Y-96062640D01* -X69314645Y-96058856D01* -X69321299Y-96055709D01* -X69328229Y-96053229D01* -X69335368Y-96051441D01* -X69342649Y-96050361D01* -X69350000Y-96050000D01* -X69850000Y-96050000D01* -X69857351Y-96050361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69834802Y-97050482D02* -G01* -X69844509Y-97051921D01* -X69854028Y-97054306D01* -X69863268Y-97057612D01* -X69872140Y-97061808D01* -X69880557Y-97066853D01* -X69888439Y-97072699D01* -X69895711Y-97079289D01* -X69902301Y-97086561D01* -X69908147Y-97094443D01* -X69913192Y-97102860D01* -X69917388Y-97111732D01* -X69920694Y-97120972D01* -X69923079Y-97130491D01* -X69924518Y-97140198D01* -X69925000Y-97150000D01* -X69925000Y-97350000D01* -X69924518Y-97359802D01* -X69923079Y-97369509D01* -X69920694Y-97379028D01* -X69917388Y-97388268D01* -X69913192Y-97397140D01* -X69908147Y-97405557D01* -X69902301Y-97413439D01* -X69895711Y-97420711D01* -X69888439Y-97427301D01* -X69880557Y-97433147D01* -X69872140Y-97438192D01* -X69863268Y-97442388D01* -X69854028Y-97445694D01* -X69844509Y-97448079D01* -X69834802Y-97449518D01* -X69825000Y-97450000D01* -X69375000Y-97450000D01* -X69365198Y-97449518D01* -X69355491Y-97448079D01* -X69345972Y-97445694D01* -X69336732Y-97442388D01* -X69327860Y-97438192D01* -X69319443Y-97433147D01* -X69311561Y-97427301D01* -X69304289Y-97420711D01* -X69297699Y-97413439D01* -X69291853Y-97405557D01* -X69286808Y-97397140D01* -X69282612Y-97388268D01* -X69279306Y-97379028D01* -X69276921Y-97369509D01* -X69275482Y-97359802D01* -X69275000Y-97350000D01* -X69275000Y-97150000D01* -X69275482Y-97140198D01* -X69276921Y-97130491D01* -X69279306Y-97120972D01* -X69282612Y-97111732D01* -X69286808Y-97102860D01* -X69291853Y-97094443D01* -X69297699Y-97086561D01* -X69304289Y-97079289D01* -X69311561Y-97072699D01* -X69319443Y-97066853D01* -X69327860Y-97061808D01* -X69336732Y-97057612D01* -X69345972Y-97054306D01* -X69355491Y-97051921D01* -X69365198Y-97050482D01* -X69375000Y-97050000D01* -X69825000Y-97050000D01* -X69834802Y-97050482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X71542153Y-110175843D02* -G01* -X71559141Y-110178363D01* -X71575800Y-110182535D01* -X71591970Y-110188321D01* -X71607494Y-110195664D01* -X71622225Y-110204493D01* -X71636019Y-110214723D01* -X71648744Y-110226256D01* -X71660277Y-110238981D01* -X71670507Y-110252775D01* -X71679336Y-110267506D01* -X71686679Y-110283030D01* -X71692465Y-110299200D01* -X71696637Y-110315859D01* -X71699157Y-110332847D01* -X71700000Y-110350000D01* -X71700000Y-110950000D01* -X71699157Y-110967153D01* -X71696637Y-110984141D01* -X71692465Y-111000800D01* -X71686679Y-111016970D01* -X71679336Y-111032494D01* -X71670507Y-111047225D01* -X71660277Y-111061019D01* -X71648744Y-111073744D01* -X71636019Y-111085277D01* -X71622225Y-111095507D01* -X71607494Y-111104336D01* -X71591970Y-111111679D01* -X71575800Y-111117465D01* -X71559141Y-111121637D01* -X71542153Y-111124157D01* -X71525000Y-111125000D01* -X71175000Y-111125000D01* -X71157847Y-111124157D01* -X71140859Y-111121637D01* -X71124200Y-111117465D01* -X71108030Y-111111679D01* -X71092506Y-111104336D01* -X71077775Y-111095507D01* -X71063981Y-111085277D01* -X71051256Y-111073744D01* -X71039723Y-111061019D01* -X71029493Y-111047225D01* -X71020664Y-111032494D01* -X71013321Y-111016970D01* -X71007535Y-111000800D01* -X71003363Y-110984141D01* -X71000843Y-110967153D01* -X71000000Y-110950000D01* -X71000000Y-110350000D01* -X71000843Y-110332847D01* -X71003363Y-110315859D01* -X71007535Y-110299200D01* -X71013321Y-110283030D01* -X71020664Y-110267506D01* -X71029493Y-110252775D01* -X71039723Y-110238981D01* -X71051256Y-110226256D01* -X71063981Y-110214723D01* -X71077775Y-110204493D01* -X71092506Y-110195664D01* -X71108030Y-110188321D01* -X71124200Y-110182535D01* -X71140859Y-110178363D01* -X71157847Y-110175843D01* -X71175000Y-110175000D01* -X71525000Y-110175000D01* -X71542153Y-110175843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69942153Y-110175843D02* -G01* -X69959141Y-110178363D01* -X69975800Y-110182535D01* -X69991970Y-110188321D01* -X70007494Y-110195664D01* -X70022225Y-110204493D01* -X70036019Y-110214723D01* -X70048744Y-110226256D01* -X70060277Y-110238981D01* -X70070507Y-110252775D01* -X70079336Y-110267506D01* -X70086679Y-110283030D01* -X70092465Y-110299200D01* -X70096637Y-110315859D01* -X70099157Y-110332847D01* -X70100000Y-110350000D01* -X70100000Y-110950000D01* -X70099157Y-110967153D01* -X70096637Y-110984141D01* -X70092465Y-111000800D01* -X70086679Y-111016970D01* -X70079336Y-111032494D01* -X70070507Y-111047225D01* -X70060277Y-111061019D01* -X70048744Y-111073744D01* -X70036019Y-111085277D01* -X70022225Y-111095507D01* -X70007494Y-111104336D01* -X69991970Y-111111679D01* -X69975800Y-111117465D01* -X69959141Y-111121637D01* -X69942153Y-111124157D01* -X69925000Y-111125000D01* -X69575000Y-111125000D01* -X69557847Y-111124157D01* -X69540859Y-111121637D01* -X69524200Y-111117465D01* -X69508030Y-111111679D01* -X69492506Y-111104336D01* -X69477775Y-111095507D01* -X69463981Y-111085277D01* -X69451256Y-111073744D01* -X69439723Y-111061019D01* -X69429493Y-111047225D01* -X69420664Y-111032494D01* -X69413321Y-111016970D01* -X69407535Y-111000800D01* -X69403363Y-110984141D01* -X69400843Y-110967153D01* -X69400000Y-110950000D01* -X69400000Y-110350000D01* -X69400843Y-110332847D01* -X69403363Y-110315859D01* -X69407535Y-110299200D01* -X69413321Y-110283030D01* -X69420664Y-110267506D01* -X69429493Y-110252775D01* -X69439723Y-110238981D01* -X69451256Y-110226256D01* -X69463981Y-110214723D01* -X69477775Y-110204493D01* -X69492506Y-110195664D01* -X69508030Y-110188321D01* -X69524200Y-110182535D01* -X69540859Y-110178363D01* -X69557847Y-110175843D01* -X69575000Y-110175000D01* -X69925000Y-110175000D01* -X69942153Y-110175843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X71542153Y-111625843D02* -G01* -X71559141Y-111628363D01* -X71575800Y-111632535D01* -X71591970Y-111638321D01* -X71607494Y-111645664D01* -X71622225Y-111654493D01* -X71636019Y-111664723D01* -X71648744Y-111676256D01* -X71660277Y-111688981D01* -X71670507Y-111702775D01* -X71679336Y-111717506D01* -X71686679Y-111733030D01* -X71692465Y-111749200D01* -X71696637Y-111765859D01* -X71699157Y-111782847D01* -X71700000Y-111800000D01* -X71700000Y-112400000D01* -X71699157Y-112417153D01* -X71696637Y-112434141D01* -X71692465Y-112450800D01* -X71686679Y-112466970D01* -X71679336Y-112482494D01* -X71670507Y-112497225D01* -X71660277Y-112511019D01* -X71648744Y-112523744D01* -X71636019Y-112535277D01* -X71622225Y-112545507D01* -X71607494Y-112554336D01* -X71591970Y-112561679D01* -X71575800Y-112567465D01* -X71559141Y-112571637D01* -X71542153Y-112574157D01* -X71525000Y-112575000D01* -X71175000Y-112575000D01* -X71157847Y-112574157D01* -X71140859Y-112571637D01* -X71124200Y-112567465D01* -X71108030Y-112561679D01* -X71092506Y-112554336D01* -X71077775Y-112545507D01* -X71063981Y-112535277D01* -X71051256Y-112523744D01* -X71039723Y-112511019D01* -X71029493Y-112497225D01* -X71020664Y-112482494D01* -X71013321Y-112466970D01* -X71007535Y-112450800D01* -X71003363Y-112434141D01* -X71000843Y-112417153D01* -X71000000Y-112400000D01* -X71000000Y-111800000D01* -X71000843Y-111782847D01* -X71003363Y-111765859D01* -X71007535Y-111749200D01* -X71013321Y-111733030D01* -X71020664Y-111717506D01* -X71029493Y-111702775D01* -X71039723Y-111688981D01* -X71051256Y-111676256D01* -X71063981Y-111664723D01* -X71077775Y-111654493D01* -X71092506Y-111645664D01* -X71108030Y-111638321D01* -X71124200Y-111632535D01* -X71140859Y-111628363D01* -X71157847Y-111625843D01* -X71175000Y-111625000D01* -X71525000Y-111625000D01* -X71542153Y-111625843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69942153Y-111625843D02* -G01* -X69959141Y-111628363D01* -X69975800Y-111632535D01* -X69991970Y-111638321D01* -X70007494Y-111645664D01* -X70022225Y-111654493D01* -X70036019Y-111664723D01* -X70048744Y-111676256D01* -X70060277Y-111688981D01* -X70070507Y-111702775D01* -X70079336Y-111717506D01* -X70086679Y-111733030D01* -X70092465Y-111749200D01* -X70096637Y-111765859D01* -X70099157Y-111782847D01* -X70100000Y-111800000D01* -X70100000Y-112400000D01* -X70099157Y-112417153D01* -X70096637Y-112434141D01* -X70092465Y-112450800D01* -X70086679Y-112466970D01* -X70079336Y-112482494D01* -X70070507Y-112497225D01* -X70060277Y-112511019D01* -X70048744Y-112523744D01* -X70036019Y-112535277D01* -X70022225Y-112545507D01* -X70007494Y-112554336D01* -X69991970Y-112561679D01* -X69975800Y-112567465D01* -X69959141Y-112571637D01* -X69942153Y-112574157D01* -X69925000Y-112575000D01* -X69575000Y-112575000D01* -X69557847Y-112574157D01* -X69540859Y-112571637D01* -X69524200Y-112567465D01* -X69508030Y-112561679D01* -X69492506Y-112554336D01* -X69477775Y-112545507D01* -X69463981Y-112535277D01* -X69451256Y-112523744D01* -X69439723Y-112511019D01* -X69429493Y-112497225D01* -X69420664Y-112482494D01* -X69413321Y-112466970D01* -X69407535Y-112450800D01* -X69403363Y-112434141D01* -X69400843Y-112417153D01* -X69400000Y-112400000D01* -X69400000Y-111800000D01* -X69400843Y-111782847D01* -X69403363Y-111765859D01* -X69407535Y-111749200D01* -X69413321Y-111733030D01* -X69420664Y-111717506D01* -X69429493Y-111702775D01* -X69439723Y-111688981D01* -X69451256Y-111676256D01* -X69463981Y-111664723D01* -X69477775Y-111654493D01* -X69492506Y-111645664D01* -X69508030Y-111638321D01* -X69524200Y-111632535D01* -X69540859Y-111628363D01* -X69557847Y-111625843D01* -X69575000Y-111625000D01* -X69925000Y-111625000D01* -X69942153Y-111625843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X78223527Y-124225542D02* -G01* -X78234448Y-124227162D01* -X78245157Y-124229844D01* -X78255552Y-124233564D01* -X78265532Y-124238284D01* -X78275002Y-124243960D01* -X78283869Y-124250536D01* -X78292050Y-124257950D01* -X78299464Y-124266131D01* -X78306040Y-124274998D01* -X78311716Y-124284468D01* -X78316436Y-124294448D01* -X78320156Y-124304843D01* -X78322838Y-124315552D01* -X78324458Y-124326473D01* -X78325000Y-124337500D01* -X78325000Y-125562500D01* -X78324458Y-125573527D01* -X78322838Y-125584448D01* -X78320156Y-125595157D01* -X78316436Y-125605552D01* -X78311716Y-125615532D01* -X78306040Y-125625002D01* -X78299464Y-125633869D01* -X78292050Y-125642050D01* -X78283869Y-125649464D01* -X78275002Y-125656040D01* -X78265532Y-125661716D01* -X78255552Y-125666436D01* -X78245157Y-125670156D01* -X78234448Y-125672838D01* -X78223527Y-125674458D01* -X78212500Y-125675000D01* -X77987500Y-125675000D01* -X77976473Y-125674458D01* -X77965552Y-125672838D01* -X77954843Y-125670156D01* -X77944448Y-125666436D01* -X77934468Y-125661716D01* -X77924998Y-125656040D01* -X77916131Y-125649464D01* -X77907950Y-125642050D01* -X77900536Y-125633869D01* -X77893960Y-125625002D01* -X77888284Y-125615532D01* -X77883564Y-125605552D01* -X77879844Y-125595157D01* -X77877162Y-125584448D01* -X77875542Y-125573527D01* -X77875000Y-125562500D01* -X77875000Y-124337500D01* -X77875542Y-124326473D01* -X77877162Y-124315552D01* -X77879844Y-124304843D01* -X77883564Y-124294448D01* -X77888284Y-124284468D01* -X77893960Y-124274998D01* -X77900536Y-124266131D01* -X77907950Y-124257950D01* -X77916131Y-124250536D01* -X77924998Y-124243960D01* -X77934468Y-124238284D01* -X77944448Y-124233564D01* -X77954843Y-124229844D01* -X77965552Y-124227162D01* -X77976473Y-124225542D01* -X77987500Y-124225000D01* -X78212500Y-124225000D01* -X78223527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X78873527Y-124225542D02* -G01* -X78884448Y-124227162D01* -X78895157Y-124229844D01* -X78905552Y-124233564D01* -X78915532Y-124238284D01* -X78925002Y-124243960D01* -X78933869Y-124250536D01* -X78942050Y-124257950D01* -X78949464Y-124266131D01* -X78956040Y-124274998D01* -X78961716Y-124284468D01* -X78966436Y-124294448D01* -X78970156Y-124304843D01* -X78972838Y-124315552D01* -X78974458Y-124326473D01* -X78975000Y-124337500D01* -X78975000Y-125562500D01* -X78974458Y-125573527D01* -X78972838Y-125584448D01* -X78970156Y-125595157D01* -X78966436Y-125605552D01* -X78961716Y-125615532D01* -X78956040Y-125625002D01* -X78949464Y-125633869D01* -X78942050Y-125642050D01* -X78933869Y-125649464D01* -X78925002Y-125656040D01* -X78915532Y-125661716D01* -X78905552Y-125666436D01* -X78895157Y-125670156D01* -X78884448Y-125672838D01* -X78873527Y-125674458D01* -X78862500Y-125675000D01* -X78637500Y-125675000D01* -X78626473Y-125674458D01* -X78615552Y-125672838D01* -X78604843Y-125670156D01* -X78594448Y-125666436D01* -X78584468Y-125661716D01* -X78574998Y-125656040D01* -X78566131Y-125649464D01* -X78557950Y-125642050D01* -X78550536Y-125633869D01* -X78543960Y-125625002D01* -X78538284Y-125615532D01* -X78533564Y-125605552D01* -X78529844Y-125595157D01* -X78527162Y-125584448D01* -X78525542Y-125573527D01* -X78525000Y-125562500D01* -X78525000Y-124337500D01* -X78525542Y-124326473D01* -X78527162Y-124315552D01* -X78529844Y-124304843D01* -X78533564Y-124294448D01* -X78538284Y-124284468D01* -X78543960Y-124274998D01* -X78550536Y-124266131D01* -X78557950Y-124257950D01* -X78566131Y-124250536D01* -X78574998Y-124243960D01* -X78584468Y-124238284D01* -X78594448Y-124233564D01* -X78604843Y-124229844D01* -X78615552Y-124227162D01* -X78626473Y-124225542D01* -X78637500Y-124225000D01* -X78862500Y-124225000D01* -X78873527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X79523527Y-124225542D02* -G01* -X79534448Y-124227162D01* -X79545157Y-124229844D01* -X79555552Y-124233564D01* -X79565532Y-124238284D01* -X79575002Y-124243960D01* -X79583869Y-124250536D01* -X79592050Y-124257950D01* -X79599464Y-124266131D01* -X79606040Y-124274998D01* -X79611716Y-124284468D01* -X79616436Y-124294448D01* -X79620156Y-124304843D01* -X79622838Y-124315552D01* -X79624458Y-124326473D01* -X79625000Y-124337500D01* -X79625000Y-125562500D01* -X79624458Y-125573527D01* -X79622838Y-125584448D01* -X79620156Y-125595157D01* -X79616436Y-125605552D01* -X79611716Y-125615532D01* -X79606040Y-125625002D01* -X79599464Y-125633869D01* -X79592050Y-125642050D01* -X79583869Y-125649464D01* -X79575002Y-125656040D01* -X79565532Y-125661716D01* -X79555552Y-125666436D01* -X79545157Y-125670156D01* -X79534448Y-125672838D01* -X79523527Y-125674458D01* -X79512500Y-125675000D01* -X79287500Y-125675000D01* -X79276473Y-125674458D01* -X79265552Y-125672838D01* -X79254843Y-125670156D01* -X79244448Y-125666436D01* -X79234468Y-125661716D01* -X79224998Y-125656040D01* -X79216131Y-125649464D01* -X79207950Y-125642050D01* -X79200536Y-125633869D01* -X79193960Y-125625002D01* -X79188284Y-125615532D01* -X79183564Y-125605552D01* -X79179844Y-125595157D01* -X79177162Y-125584448D01* -X79175542Y-125573527D01* -X79175000Y-125562500D01* -X79175000Y-124337500D01* -X79175542Y-124326473D01* -X79177162Y-124315552D01* -X79179844Y-124304843D01* -X79183564Y-124294448D01* -X79188284Y-124284468D01* -X79193960Y-124274998D01* -X79200536Y-124266131D01* -X79207950Y-124257950D01* -X79216131Y-124250536D01* -X79224998Y-124243960D01* -X79234468Y-124238284D01* -X79244448Y-124233564D01* -X79254843Y-124229844D01* -X79265552Y-124227162D01* -X79276473Y-124225542D01* -X79287500Y-124225000D01* -X79512500Y-124225000D01* -X79523527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X80173527Y-124225542D02* -G01* -X80184448Y-124227162D01* -X80195157Y-124229844D01* -X80205552Y-124233564D01* -X80215532Y-124238284D01* -X80225002Y-124243960D01* -X80233869Y-124250536D01* -X80242050Y-124257950D01* -X80249464Y-124266131D01* -X80256040Y-124274998D01* -X80261716Y-124284468D01* -X80266436Y-124294448D01* -X80270156Y-124304843D01* -X80272838Y-124315552D01* -X80274458Y-124326473D01* -X80275000Y-124337500D01* -X80275000Y-125562500D01* -X80274458Y-125573527D01* -X80272838Y-125584448D01* -X80270156Y-125595157D01* -X80266436Y-125605552D01* -X80261716Y-125615532D01* -X80256040Y-125625002D01* -X80249464Y-125633869D01* -X80242050Y-125642050D01* -X80233869Y-125649464D01* -X80225002Y-125656040D01* -X80215532Y-125661716D01* -X80205552Y-125666436D01* -X80195157Y-125670156D01* -X80184448Y-125672838D01* -X80173527Y-125674458D01* -X80162500Y-125675000D01* -X79937500Y-125675000D01* -X79926473Y-125674458D01* -X79915552Y-125672838D01* -X79904843Y-125670156D01* -X79894448Y-125666436D01* -X79884468Y-125661716D01* -X79874998Y-125656040D01* -X79866131Y-125649464D01* -X79857950Y-125642050D01* -X79850536Y-125633869D01* -X79843960Y-125625002D01* -X79838284Y-125615532D01* -X79833564Y-125605552D01* -X79829844Y-125595157D01* -X79827162Y-125584448D01* -X79825542Y-125573527D01* -X79825000Y-125562500D01* -X79825000Y-124337500D01* -X79825542Y-124326473D01* -X79827162Y-124315552D01* -X79829844Y-124304843D01* -X79833564Y-124294448D01* -X79838284Y-124284468D01* -X79843960Y-124274998D01* -X79850536Y-124266131D01* -X79857950Y-124257950D01* -X79866131Y-124250536D01* -X79874998Y-124243960D01* -X79884468Y-124238284D01* -X79894448Y-124233564D01* -X79904843Y-124229844D01* -X79915552Y-124227162D01* -X79926473Y-124225542D01* -X79937500Y-124225000D01* -X80162500Y-124225000D01* -X80173527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X80823527Y-124225542D02* -G01* -X80834448Y-124227162D01* -X80845157Y-124229844D01* -X80855552Y-124233564D01* -X80865532Y-124238284D01* -X80875002Y-124243960D01* -X80883869Y-124250536D01* -X80892050Y-124257950D01* -X80899464Y-124266131D01* -X80906040Y-124274998D01* -X80911716Y-124284468D01* -X80916436Y-124294448D01* -X80920156Y-124304843D01* -X80922838Y-124315552D01* -X80924458Y-124326473D01* -X80925000Y-124337500D01* -X80925000Y-125562500D01* -X80924458Y-125573527D01* -X80922838Y-125584448D01* -X80920156Y-125595157D01* -X80916436Y-125605552D01* -X80911716Y-125615532D01* -X80906040Y-125625002D01* -X80899464Y-125633869D01* -X80892050Y-125642050D01* -X80883869Y-125649464D01* -X80875002Y-125656040D01* -X80865532Y-125661716D01* -X80855552Y-125666436D01* -X80845157Y-125670156D01* -X80834448Y-125672838D01* -X80823527Y-125674458D01* -X80812500Y-125675000D01* -X80587500Y-125675000D01* -X80576473Y-125674458D01* -X80565552Y-125672838D01* -X80554843Y-125670156D01* -X80544448Y-125666436D01* -X80534468Y-125661716D01* -X80524998Y-125656040D01* -X80516131Y-125649464D01* -X80507950Y-125642050D01* -X80500536Y-125633869D01* -X80493960Y-125625002D01* -X80488284Y-125615532D01* -X80483564Y-125605552D01* -X80479844Y-125595157D01* -X80477162Y-125584448D01* -X80475542Y-125573527D01* -X80475000Y-125562500D01* -X80475000Y-124337500D01* -X80475542Y-124326473D01* -X80477162Y-124315552D01* -X80479844Y-124304843D01* -X80483564Y-124294448D01* -X80488284Y-124284468D01* -X80493960Y-124274998D01* -X80500536Y-124266131D01* -X80507950Y-124257950D01* -X80516131Y-124250536D01* -X80524998Y-124243960D01* -X80534468Y-124238284D01* -X80544448Y-124233564D01* -X80554843Y-124229844D01* -X80565552Y-124227162D01* -X80576473Y-124225542D01* -X80587500Y-124225000D01* -X80812500Y-124225000D01* -X80823527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81473527Y-124225542D02* -G01* -X81484448Y-124227162D01* -X81495157Y-124229844D01* -X81505552Y-124233564D01* -X81515532Y-124238284D01* -X81525002Y-124243960D01* -X81533869Y-124250536D01* -X81542050Y-124257950D01* -X81549464Y-124266131D01* -X81556040Y-124274998D01* -X81561716Y-124284468D01* -X81566436Y-124294448D01* -X81570156Y-124304843D01* -X81572838Y-124315552D01* -X81574458Y-124326473D01* -X81575000Y-124337500D01* -X81575000Y-125562500D01* -X81574458Y-125573527D01* -X81572838Y-125584448D01* -X81570156Y-125595157D01* -X81566436Y-125605552D01* -X81561716Y-125615532D01* -X81556040Y-125625002D01* -X81549464Y-125633869D01* -X81542050Y-125642050D01* -X81533869Y-125649464D01* -X81525002Y-125656040D01* -X81515532Y-125661716D01* -X81505552Y-125666436D01* -X81495157Y-125670156D01* -X81484448Y-125672838D01* -X81473527Y-125674458D01* -X81462500Y-125675000D01* -X81237500Y-125675000D01* -X81226473Y-125674458D01* -X81215552Y-125672838D01* -X81204843Y-125670156D01* -X81194448Y-125666436D01* -X81184468Y-125661716D01* -X81174998Y-125656040D01* -X81166131Y-125649464D01* -X81157950Y-125642050D01* -X81150536Y-125633869D01* -X81143960Y-125625002D01* -X81138284Y-125615532D01* -X81133564Y-125605552D01* -X81129844Y-125595157D01* -X81127162Y-125584448D01* -X81125542Y-125573527D01* -X81125000Y-125562500D01* -X81125000Y-124337500D01* -X81125542Y-124326473D01* -X81127162Y-124315552D01* -X81129844Y-124304843D01* -X81133564Y-124294448D01* -X81138284Y-124284468D01* -X81143960Y-124274998D01* -X81150536Y-124266131D01* -X81157950Y-124257950D01* -X81166131Y-124250536D01* -X81174998Y-124243960D01* -X81184468Y-124238284D01* -X81194448Y-124233564D01* -X81204843Y-124229844D01* -X81215552Y-124227162D01* -X81226473Y-124225542D01* -X81237500Y-124225000D01* -X81462500Y-124225000D01* -X81473527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X82123527Y-124225542D02* -G01* -X82134448Y-124227162D01* -X82145157Y-124229844D01* -X82155552Y-124233564D01* -X82165532Y-124238284D01* -X82175002Y-124243960D01* -X82183869Y-124250536D01* -X82192050Y-124257950D01* -X82199464Y-124266131D01* -X82206040Y-124274998D01* -X82211716Y-124284468D01* -X82216436Y-124294448D01* -X82220156Y-124304843D01* -X82222838Y-124315552D01* -X82224458Y-124326473D01* -X82225000Y-124337500D01* -X82225000Y-125562500D01* -X82224458Y-125573527D01* -X82222838Y-125584448D01* -X82220156Y-125595157D01* -X82216436Y-125605552D01* -X82211716Y-125615532D01* -X82206040Y-125625002D01* -X82199464Y-125633869D01* -X82192050Y-125642050D01* -X82183869Y-125649464D01* -X82175002Y-125656040D01* -X82165532Y-125661716D01* -X82155552Y-125666436D01* -X82145157Y-125670156D01* -X82134448Y-125672838D01* -X82123527Y-125674458D01* -X82112500Y-125675000D01* -X81887500Y-125675000D01* -X81876473Y-125674458D01* -X81865552Y-125672838D01* -X81854843Y-125670156D01* -X81844448Y-125666436D01* -X81834468Y-125661716D01* -X81824998Y-125656040D01* -X81816131Y-125649464D01* -X81807950Y-125642050D01* -X81800536Y-125633869D01* -X81793960Y-125625002D01* -X81788284Y-125615532D01* -X81783564Y-125605552D01* -X81779844Y-125595157D01* -X81777162Y-125584448D01* -X81775542Y-125573527D01* -X81775000Y-125562500D01* -X81775000Y-124337500D01* -X81775542Y-124326473D01* -X81777162Y-124315552D01* -X81779844Y-124304843D01* -X81783564Y-124294448D01* -X81788284Y-124284468D01* -X81793960Y-124274998D01* -X81800536Y-124266131D01* -X81807950Y-124257950D01* -X81816131Y-124250536D01* -X81824998Y-124243960D01* -X81834468Y-124238284D01* -X81844448Y-124233564D01* -X81854843Y-124229844D01* -X81865552Y-124227162D01* -X81876473Y-124225542D01* -X81887500Y-124225000D01* -X82112500Y-124225000D01* -X82123527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X82773527Y-124225542D02* -G01* -X82784448Y-124227162D01* -X82795157Y-124229844D01* -X82805552Y-124233564D01* -X82815532Y-124238284D01* -X82825002Y-124243960D01* -X82833869Y-124250536D01* -X82842050Y-124257950D01* -X82849464Y-124266131D01* -X82856040Y-124274998D01* -X82861716Y-124284468D01* -X82866436Y-124294448D01* -X82870156Y-124304843D01* -X82872838Y-124315552D01* -X82874458Y-124326473D01* -X82875000Y-124337500D01* -X82875000Y-125562500D01* -X82874458Y-125573527D01* -X82872838Y-125584448D01* -X82870156Y-125595157D01* -X82866436Y-125605552D01* -X82861716Y-125615532D01* -X82856040Y-125625002D01* -X82849464Y-125633869D01* -X82842050Y-125642050D01* -X82833869Y-125649464D01* -X82825002Y-125656040D01* -X82815532Y-125661716D01* -X82805552Y-125666436D01* -X82795157Y-125670156D01* -X82784448Y-125672838D01* -X82773527Y-125674458D01* -X82762500Y-125675000D01* -X82537500Y-125675000D01* -X82526473Y-125674458D01* -X82515552Y-125672838D01* -X82504843Y-125670156D01* -X82494448Y-125666436D01* -X82484468Y-125661716D01* -X82474998Y-125656040D01* -X82466131Y-125649464D01* -X82457950Y-125642050D01* -X82450536Y-125633869D01* -X82443960Y-125625002D01* -X82438284Y-125615532D01* -X82433564Y-125605552D01* -X82429844Y-125595157D01* -X82427162Y-125584448D01* -X82425542Y-125573527D01* -X82425000Y-125562500D01* -X82425000Y-124337500D01* -X82425542Y-124326473D01* -X82427162Y-124315552D01* -X82429844Y-124304843D01* -X82433564Y-124294448D01* -X82438284Y-124284468D01* -X82443960Y-124274998D01* -X82450536Y-124266131D01* -X82457950Y-124257950D01* -X82466131Y-124250536D01* -X82474998Y-124243960D01* -X82484468Y-124238284D01* -X82494448Y-124233564D01* -X82504843Y-124229844D01* -X82515552Y-124227162D01* -X82526473Y-124225542D01* -X82537500Y-124225000D01* -X82762500Y-124225000D01* -X82773527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X83423527Y-124225542D02* -G01* -X83434448Y-124227162D01* -X83445157Y-124229844D01* -X83455552Y-124233564D01* -X83465532Y-124238284D01* -X83475002Y-124243960D01* -X83483869Y-124250536D01* -X83492050Y-124257950D01* -X83499464Y-124266131D01* -X83506040Y-124274998D01* -X83511716Y-124284468D01* -X83516436Y-124294448D01* -X83520156Y-124304843D01* -X83522838Y-124315552D01* -X83524458Y-124326473D01* -X83525000Y-124337500D01* -X83525000Y-125562500D01* -X83524458Y-125573527D01* -X83522838Y-125584448D01* -X83520156Y-125595157D01* -X83516436Y-125605552D01* -X83511716Y-125615532D01* -X83506040Y-125625002D01* -X83499464Y-125633869D01* -X83492050Y-125642050D01* -X83483869Y-125649464D01* -X83475002Y-125656040D01* -X83465532Y-125661716D01* -X83455552Y-125666436D01* -X83445157Y-125670156D01* -X83434448Y-125672838D01* -X83423527Y-125674458D01* -X83412500Y-125675000D01* -X83187500Y-125675000D01* -X83176473Y-125674458D01* -X83165552Y-125672838D01* -X83154843Y-125670156D01* -X83144448Y-125666436D01* -X83134468Y-125661716D01* -X83124998Y-125656040D01* -X83116131Y-125649464D01* -X83107950Y-125642050D01* -X83100536Y-125633869D01* -X83093960Y-125625002D01* -X83088284Y-125615532D01* -X83083564Y-125605552D01* -X83079844Y-125595157D01* -X83077162Y-125584448D01* -X83075542Y-125573527D01* -X83075000Y-125562500D01* -X83075000Y-124337500D01* -X83075542Y-124326473D01* -X83077162Y-124315552D01* -X83079844Y-124304843D01* -X83083564Y-124294448D01* -X83088284Y-124284468D01* -X83093960Y-124274998D01* -X83100536Y-124266131D01* -X83107950Y-124257950D01* -X83116131Y-124250536D01* -X83124998Y-124243960D01* -X83134468Y-124238284D01* -X83144448Y-124233564D01* -X83154843Y-124229844D01* -X83165552Y-124227162D01* -X83176473Y-124225542D01* -X83187500Y-124225000D01* -X83412500Y-124225000D01* -X83423527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84073527Y-124225542D02* -G01* -X84084448Y-124227162D01* -X84095157Y-124229844D01* -X84105552Y-124233564D01* -X84115532Y-124238284D01* -X84125002Y-124243960D01* -X84133869Y-124250536D01* -X84142050Y-124257950D01* -X84149464Y-124266131D01* -X84156040Y-124274998D01* -X84161716Y-124284468D01* -X84166436Y-124294448D01* -X84170156Y-124304843D01* -X84172838Y-124315552D01* -X84174458Y-124326473D01* -X84175000Y-124337500D01* -X84175000Y-125562500D01* -X84174458Y-125573527D01* -X84172838Y-125584448D01* -X84170156Y-125595157D01* -X84166436Y-125605552D01* -X84161716Y-125615532D01* -X84156040Y-125625002D01* -X84149464Y-125633869D01* -X84142050Y-125642050D01* -X84133869Y-125649464D01* -X84125002Y-125656040D01* -X84115532Y-125661716D01* -X84105552Y-125666436D01* -X84095157Y-125670156D01* -X84084448Y-125672838D01* -X84073527Y-125674458D01* -X84062500Y-125675000D01* -X83837500Y-125675000D01* -X83826473Y-125674458D01* -X83815552Y-125672838D01* -X83804843Y-125670156D01* -X83794448Y-125666436D01* -X83784468Y-125661716D01* -X83774998Y-125656040D01* -X83766131Y-125649464D01* -X83757950Y-125642050D01* -X83750536Y-125633869D01* -X83743960Y-125625002D01* -X83738284Y-125615532D01* -X83733564Y-125605552D01* -X83729844Y-125595157D01* -X83727162Y-125584448D01* -X83725542Y-125573527D01* -X83725000Y-125562500D01* -X83725000Y-124337500D01* -X83725542Y-124326473D01* -X83727162Y-124315552D01* -X83729844Y-124304843D01* -X83733564Y-124294448D01* -X83738284Y-124284468D01* -X83743960Y-124274998D01* -X83750536Y-124266131D01* -X83757950Y-124257950D01* -X83766131Y-124250536D01* -X83774998Y-124243960D01* -X83784468Y-124238284D01* -X83794448Y-124233564D01* -X83804843Y-124229844D01* -X83815552Y-124227162D01* -X83826473Y-124225542D01* -X83837500Y-124225000D01* -X84062500Y-124225000D01* -X84073527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84073527Y-118325542D02* -G01* -X84084448Y-118327162D01* -X84095157Y-118329844D01* -X84105552Y-118333564D01* -X84115532Y-118338284D01* -X84125002Y-118343960D01* -X84133869Y-118350536D01* -X84142050Y-118357950D01* -X84149464Y-118366131D01* -X84156040Y-118374998D01* -X84161716Y-118384468D01* -X84166436Y-118394448D01* -X84170156Y-118404843D01* -X84172838Y-118415552D01* -X84174458Y-118426473D01* -X84175000Y-118437500D01* -X84175000Y-119662500D01* -X84174458Y-119673527D01* -X84172838Y-119684448D01* -X84170156Y-119695157D01* -X84166436Y-119705552D01* -X84161716Y-119715532D01* -X84156040Y-119725002D01* -X84149464Y-119733869D01* -X84142050Y-119742050D01* -X84133869Y-119749464D01* -X84125002Y-119756040D01* -X84115532Y-119761716D01* -X84105552Y-119766436D01* -X84095157Y-119770156D01* -X84084448Y-119772838D01* -X84073527Y-119774458D01* -X84062500Y-119775000D01* -X83837500Y-119775000D01* -X83826473Y-119774458D01* -X83815552Y-119772838D01* -X83804843Y-119770156D01* -X83794448Y-119766436D01* -X83784468Y-119761716D01* -X83774998Y-119756040D01* -X83766131Y-119749464D01* -X83757950Y-119742050D01* -X83750536Y-119733869D01* -X83743960Y-119725002D01* -X83738284Y-119715532D01* -X83733564Y-119705552D01* -X83729844Y-119695157D01* -X83727162Y-119684448D01* -X83725542Y-119673527D01* -X83725000Y-119662500D01* -X83725000Y-118437500D01* -X83725542Y-118426473D01* -X83727162Y-118415552D01* -X83729844Y-118404843D01* -X83733564Y-118394448D01* -X83738284Y-118384468D01* -X83743960Y-118374998D01* -X83750536Y-118366131D01* -X83757950Y-118357950D01* -X83766131Y-118350536D01* -X83774998Y-118343960D01* -X83784468Y-118338284D01* -X83794448Y-118333564D01* -X83804843Y-118329844D01* -X83815552Y-118327162D01* -X83826473Y-118325542D01* -X83837500Y-118325000D01* -X84062500Y-118325000D01* -X84073527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X83423527Y-118325542D02* -G01* -X83434448Y-118327162D01* -X83445157Y-118329844D01* -X83455552Y-118333564D01* -X83465532Y-118338284D01* -X83475002Y-118343960D01* -X83483869Y-118350536D01* -X83492050Y-118357950D01* -X83499464Y-118366131D01* -X83506040Y-118374998D01* -X83511716Y-118384468D01* -X83516436Y-118394448D01* -X83520156Y-118404843D01* -X83522838Y-118415552D01* -X83524458Y-118426473D01* -X83525000Y-118437500D01* -X83525000Y-119662500D01* -X83524458Y-119673527D01* -X83522838Y-119684448D01* -X83520156Y-119695157D01* -X83516436Y-119705552D01* -X83511716Y-119715532D01* -X83506040Y-119725002D01* -X83499464Y-119733869D01* -X83492050Y-119742050D01* -X83483869Y-119749464D01* -X83475002Y-119756040D01* -X83465532Y-119761716D01* -X83455552Y-119766436D01* -X83445157Y-119770156D01* -X83434448Y-119772838D01* -X83423527Y-119774458D01* -X83412500Y-119775000D01* -X83187500Y-119775000D01* -X83176473Y-119774458D01* -X83165552Y-119772838D01* -X83154843Y-119770156D01* -X83144448Y-119766436D01* -X83134468Y-119761716D01* -X83124998Y-119756040D01* -X83116131Y-119749464D01* -X83107950Y-119742050D01* -X83100536Y-119733869D01* -X83093960Y-119725002D01* -X83088284Y-119715532D01* -X83083564Y-119705552D01* -X83079844Y-119695157D01* -X83077162Y-119684448D01* -X83075542Y-119673527D01* -X83075000Y-119662500D01* -X83075000Y-118437500D01* -X83075542Y-118426473D01* -X83077162Y-118415552D01* -X83079844Y-118404843D01* -X83083564Y-118394448D01* -X83088284Y-118384468D01* -X83093960Y-118374998D01* -X83100536Y-118366131D01* -X83107950Y-118357950D01* -X83116131Y-118350536D01* -X83124998Y-118343960D01* -X83134468Y-118338284D01* -X83144448Y-118333564D01* -X83154843Y-118329844D01* -X83165552Y-118327162D01* -X83176473Y-118325542D01* -X83187500Y-118325000D01* -X83412500Y-118325000D01* -X83423527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X82773527Y-118325542D02* -G01* -X82784448Y-118327162D01* -X82795157Y-118329844D01* -X82805552Y-118333564D01* -X82815532Y-118338284D01* -X82825002Y-118343960D01* -X82833869Y-118350536D01* -X82842050Y-118357950D01* -X82849464Y-118366131D01* -X82856040Y-118374998D01* -X82861716Y-118384468D01* -X82866436Y-118394448D01* -X82870156Y-118404843D01* -X82872838Y-118415552D01* -X82874458Y-118426473D01* -X82875000Y-118437500D01* -X82875000Y-119662500D01* -X82874458Y-119673527D01* -X82872838Y-119684448D01* -X82870156Y-119695157D01* -X82866436Y-119705552D01* -X82861716Y-119715532D01* -X82856040Y-119725002D01* -X82849464Y-119733869D01* -X82842050Y-119742050D01* -X82833869Y-119749464D01* -X82825002Y-119756040D01* -X82815532Y-119761716D01* -X82805552Y-119766436D01* -X82795157Y-119770156D01* -X82784448Y-119772838D01* -X82773527Y-119774458D01* -X82762500Y-119775000D01* -X82537500Y-119775000D01* -X82526473Y-119774458D01* -X82515552Y-119772838D01* -X82504843Y-119770156D01* -X82494448Y-119766436D01* -X82484468Y-119761716D01* -X82474998Y-119756040D01* -X82466131Y-119749464D01* -X82457950Y-119742050D01* -X82450536Y-119733869D01* -X82443960Y-119725002D01* -X82438284Y-119715532D01* -X82433564Y-119705552D01* -X82429844Y-119695157D01* -X82427162Y-119684448D01* -X82425542Y-119673527D01* -X82425000Y-119662500D01* -X82425000Y-118437500D01* -X82425542Y-118426473D01* -X82427162Y-118415552D01* -X82429844Y-118404843D01* -X82433564Y-118394448D01* -X82438284Y-118384468D01* -X82443960Y-118374998D01* -X82450536Y-118366131D01* -X82457950Y-118357950D01* -X82466131Y-118350536D01* -X82474998Y-118343960D01* -X82484468Y-118338284D01* -X82494448Y-118333564D01* -X82504843Y-118329844D01* -X82515552Y-118327162D01* -X82526473Y-118325542D01* -X82537500Y-118325000D01* -X82762500Y-118325000D01* -X82773527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X82123527Y-118325542D02* -G01* -X82134448Y-118327162D01* -X82145157Y-118329844D01* -X82155552Y-118333564D01* -X82165532Y-118338284D01* -X82175002Y-118343960D01* -X82183869Y-118350536D01* -X82192050Y-118357950D01* -X82199464Y-118366131D01* -X82206040Y-118374998D01* -X82211716Y-118384468D01* -X82216436Y-118394448D01* -X82220156Y-118404843D01* -X82222838Y-118415552D01* -X82224458Y-118426473D01* -X82225000Y-118437500D01* -X82225000Y-119662500D01* -X82224458Y-119673527D01* -X82222838Y-119684448D01* -X82220156Y-119695157D01* -X82216436Y-119705552D01* -X82211716Y-119715532D01* -X82206040Y-119725002D01* -X82199464Y-119733869D01* -X82192050Y-119742050D01* -X82183869Y-119749464D01* -X82175002Y-119756040D01* -X82165532Y-119761716D01* -X82155552Y-119766436D01* -X82145157Y-119770156D01* -X82134448Y-119772838D01* -X82123527Y-119774458D01* -X82112500Y-119775000D01* -X81887500Y-119775000D01* -X81876473Y-119774458D01* -X81865552Y-119772838D01* -X81854843Y-119770156D01* -X81844448Y-119766436D01* -X81834468Y-119761716D01* -X81824998Y-119756040D01* -X81816131Y-119749464D01* -X81807950Y-119742050D01* -X81800536Y-119733869D01* -X81793960Y-119725002D01* -X81788284Y-119715532D01* -X81783564Y-119705552D01* -X81779844Y-119695157D01* -X81777162Y-119684448D01* -X81775542Y-119673527D01* -X81775000Y-119662500D01* -X81775000Y-118437500D01* -X81775542Y-118426473D01* -X81777162Y-118415552D01* -X81779844Y-118404843D01* -X81783564Y-118394448D01* -X81788284Y-118384468D01* -X81793960Y-118374998D01* -X81800536Y-118366131D01* -X81807950Y-118357950D01* -X81816131Y-118350536D01* -X81824998Y-118343960D01* -X81834468Y-118338284D01* -X81844448Y-118333564D01* -X81854843Y-118329844D01* -X81865552Y-118327162D01* -X81876473Y-118325542D01* -X81887500Y-118325000D01* -X82112500Y-118325000D01* -X82123527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81473527Y-118325542D02* -G01* -X81484448Y-118327162D01* -X81495157Y-118329844D01* -X81505552Y-118333564D01* -X81515532Y-118338284D01* -X81525002Y-118343960D01* -X81533869Y-118350536D01* -X81542050Y-118357950D01* -X81549464Y-118366131D01* -X81556040Y-118374998D01* -X81561716Y-118384468D01* -X81566436Y-118394448D01* -X81570156Y-118404843D01* -X81572838Y-118415552D01* -X81574458Y-118426473D01* -X81575000Y-118437500D01* -X81575000Y-119662500D01* -X81574458Y-119673527D01* -X81572838Y-119684448D01* -X81570156Y-119695157D01* -X81566436Y-119705552D01* -X81561716Y-119715532D01* -X81556040Y-119725002D01* -X81549464Y-119733869D01* -X81542050Y-119742050D01* -X81533869Y-119749464D01* -X81525002Y-119756040D01* -X81515532Y-119761716D01* -X81505552Y-119766436D01* -X81495157Y-119770156D01* -X81484448Y-119772838D01* -X81473527Y-119774458D01* -X81462500Y-119775000D01* -X81237500Y-119775000D01* -X81226473Y-119774458D01* -X81215552Y-119772838D01* -X81204843Y-119770156D01* -X81194448Y-119766436D01* -X81184468Y-119761716D01* -X81174998Y-119756040D01* -X81166131Y-119749464D01* -X81157950Y-119742050D01* -X81150536Y-119733869D01* -X81143960Y-119725002D01* -X81138284Y-119715532D01* -X81133564Y-119705552D01* -X81129844Y-119695157D01* -X81127162Y-119684448D01* -X81125542Y-119673527D01* -X81125000Y-119662500D01* -X81125000Y-118437500D01* -X81125542Y-118426473D01* -X81127162Y-118415552D01* -X81129844Y-118404843D01* -X81133564Y-118394448D01* -X81138284Y-118384468D01* -X81143960Y-118374998D01* -X81150536Y-118366131D01* -X81157950Y-118357950D01* -X81166131Y-118350536D01* -X81174998Y-118343960D01* -X81184468Y-118338284D01* -X81194448Y-118333564D01* -X81204843Y-118329844D01* -X81215552Y-118327162D01* -X81226473Y-118325542D01* -X81237500Y-118325000D01* -X81462500Y-118325000D01* -X81473527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X80823527Y-118325542D02* -G01* -X80834448Y-118327162D01* -X80845157Y-118329844D01* -X80855552Y-118333564D01* -X80865532Y-118338284D01* -X80875002Y-118343960D01* -X80883869Y-118350536D01* -X80892050Y-118357950D01* -X80899464Y-118366131D01* -X80906040Y-118374998D01* -X80911716Y-118384468D01* -X80916436Y-118394448D01* -X80920156Y-118404843D01* -X80922838Y-118415552D01* -X80924458Y-118426473D01* -X80925000Y-118437500D01* -X80925000Y-119662500D01* -X80924458Y-119673527D01* -X80922838Y-119684448D01* -X80920156Y-119695157D01* -X80916436Y-119705552D01* -X80911716Y-119715532D01* -X80906040Y-119725002D01* -X80899464Y-119733869D01* -X80892050Y-119742050D01* -X80883869Y-119749464D01* -X80875002Y-119756040D01* -X80865532Y-119761716D01* -X80855552Y-119766436D01* -X80845157Y-119770156D01* -X80834448Y-119772838D01* -X80823527Y-119774458D01* -X80812500Y-119775000D01* -X80587500Y-119775000D01* -X80576473Y-119774458D01* -X80565552Y-119772838D01* -X80554843Y-119770156D01* -X80544448Y-119766436D01* -X80534468Y-119761716D01* -X80524998Y-119756040D01* -X80516131Y-119749464D01* -X80507950Y-119742050D01* -X80500536Y-119733869D01* -X80493960Y-119725002D01* -X80488284Y-119715532D01* -X80483564Y-119705552D01* -X80479844Y-119695157D01* -X80477162Y-119684448D01* -X80475542Y-119673527D01* -X80475000Y-119662500D01* -X80475000Y-118437500D01* -X80475542Y-118426473D01* -X80477162Y-118415552D01* -X80479844Y-118404843D01* -X80483564Y-118394448D01* -X80488284Y-118384468D01* -X80493960Y-118374998D01* -X80500536Y-118366131D01* -X80507950Y-118357950D01* -X80516131Y-118350536D01* -X80524998Y-118343960D01* -X80534468Y-118338284D01* -X80544448Y-118333564D01* -X80554843Y-118329844D01* -X80565552Y-118327162D01* -X80576473Y-118325542D01* -X80587500Y-118325000D01* -X80812500Y-118325000D01* -X80823527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X80173527Y-118325542D02* -G01* -X80184448Y-118327162D01* -X80195157Y-118329844D01* -X80205552Y-118333564D01* -X80215532Y-118338284D01* -X80225002Y-118343960D01* -X80233869Y-118350536D01* -X80242050Y-118357950D01* -X80249464Y-118366131D01* -X80256040Y-118374998D01* -X80261716Y-118384468D01* -X80266436Y-118394448D01* -X80270156Y-118404843D01* -X80272838Y-118415552D01* -X80274458Y-118426473D01* -X80275000Y-118437500D01* -X80275000Y-119662500D01* -X80274458Y-119673527D01* -X80272838Y-119684448D01* -X80270156Y-119695157D01* -X80266436Y-119705552D01* -X80261716Y-119715532D01* -X80256040Y-119725002D01* -X80249464Y-119733869D01* -X80242050Y-119742050D01* -X80233869Y-119749464D01* -X80225002Y-119756040D01* -X80215532Y-119761716D01* -X80205552Y-119766436D01* -X80195157Y-119770156D01* -X80184448Y-119772838D01* -X80173527Y-119774458D01* -X80162500Y-119775000D01* -X79937500Y-119775000D01* -X79926473Y-119774458D01* -X79915552Y-119772838D01* -X79904843Y-119770156D01* -X79894448Y-119766436D01* -X79884468Y-119761716D01* -X79874998Y-119756040D01* -X79866131Y-119749464D01* -X79857950Y-119742050D01* -X79850536Y-119733869D01* -X79843960Y-119725002D01* -X79838284Y-119715532D01* -X79833564Y-119705552D01* -X79829844Y-119695157D01* -X79827162Y-119684448D01* -X79825542Y-119673527D01* -X79825000Y-119662500D01* -X79825000Y-118437500D01* -X79825542Y-118426473D01* -X79827162Y-118415552D01* -X79829844Y-118404843D01* -X79833564Y-118394448D01* -X79838284Y-118384468D01* -X79843960Y-118374998D01* -X79850536Y-118366131D01* -X79857950Y-118357950D01* -X79866131Y-118350536D01* -X79874998Y-118343960D01* -X79884468Y-118338284D01* -X79894448Y-118333564D01* -X79904843Y-118329844D01* -X79915552Y-118327162D01* -X79926473Y-118325542D01* -X79937500Y-118325000D01* -X80162500Y-118325000D01* -X80173527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X79523527Y-118325542D02* -G01* -X79534448Y-118327162D01* -X79545157Y-118329844D01* -X79555552Y-118333564D01* -X79565532Y-118338284D01* -X79575002Y-118343960D01* -X79583869Y-118350536D01* -X79592050Y-118357950D01* -X79599464Y-118366131D01* -X79606040Y-118374998D01* -X79611716Y-118384468D01* -X79616436Y-118394448D01* -X79620156Y-118404843D01* -X79622838Y-118415552D01* -X79624458Y-118426473D01* -X79625000Y-118437500D01* -X79625000Y-119662500D01* -X79624458Y-119673527D01* -X79622838Y-119684448D01* -X79620156Y-119695157D01* -X79616436Y-119705552D01* -X79611716Y-119715532D01* -X79606040Y-119725002D01* -X79599464Y-119733869D01* -X79592050Y-119742050D01* -X79583869Y-119749464D01* -X79575002Y-119756040D01* -X79565532Y-119761716D01* -X79555552Y-119766436D01* -X79545157Y-119770156D01* -X79534448Y-119772838D01* -X79523527Y-119774458D01* -X79512500Y-119775000D01* -X79287500Y-119775000D01* -X79276473Y-119774458D01* -X79265552Y-119772838D01* -X79254843Y-119770156D01* -X79244448Y-119766436D01* -X79234468Y-119761716D01* -X79224998Y-119756040D01* -X79216131Y-119749464D01* -X79207950Y-119742050D01* -X79200536Y-119733869D01* -X79193960Y-119725002D01* -X79188284Y-119715532D01* -X79183564Y-119705552D01* -X79179844Y-119695157D01* -X79177162Y-119684448D01* -X79175542Y-119673527D01* -X79175000Y-119662500D01* -X79175000Y-118437500D01* -X79175542Y-118426473D01* -X79177162Y-118415552D01* -X79179844Y-118404843D01* -X79183564Y-118394448D01* -X79188284Y-118384468D01* -X79193960Y-118374998D01* -X79200536Y-118366131D01* -X79207950Y-118357950D01* -X79216131Y-118350536D01* -X79224998Y-118343960D01* -X79234468Y-118338284D01* -X79244448Y-118333564D01* -X79254843Y-118329844D01* -X79265552Y-118327162D01* -X79276473Y-118325542D01* -X79287500Y-118325000D01* -X79512500Y-118325000D01* -X79523527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X78873527Y-118325542D02* -G01* -X78884448Y-118327162D01* -X78895157Y-118329844D01* -X78905552Y-118333564D01* -X78915532Y-118338284D01* -X78925002Y-118343960D01* -X78933869Y-118350536D01* -X78942050Y-118357950D01* -X78949464Y-118366131D01* -X78956040Y-118374998D01* -X78961716Y-118384468D01* -X78966436Y-118394448D01* -X78970156Y-118404843D01* -X78972838Y-118415552D01* -X78974458Y-118426473D01* -X78975000Y-118437500D01* -X78975000Y-119662500D01* -X78974458Y-119673527D01* -X78972838Y-119684448D01* -X78970156Y-119695157D01* -X78966436Y-119705552D01* -X78961716Y-119715532D01* -X78956040Y-119725002D01* -X78949464Y-119733869D01* -X78942050Y-119742050D01* -X78933869Y-119749464D01* -X78925002Y-119756040D01* -X78915532Y-119761716D01* -X78905552Y-119766436D01* -X78895157Y-119770156D01* -X78884448Y-119772838D01* -X78873527Y-119774458D01* -X78862500Y-119775000D01* -X78637500Y-119775000D01* -X78626473Y-119774458D01* -X78615552Y-119772838D01* -X78604843Y-119770156D01* -X78594448Y-119766436D01* -X78584468Y-119761716D01* -X78574998Y-119756040D01* -X78566131Y-119749464D01* -X78557950Y-119742050D01* -X78550536Y-119733869D01* -X78543960Y-119725002D01* -X78538284Y-119715532D01* -X78533564Y-119705552D01* -X78529844Y-119695157D01* -X78527162Y-119684448D01* -X78525542Y-119673527D01* -X78525000Y-119662500D01* -X78525000Y-118437500D01* -X78525542Y-118426473D01* -X78527162Y-118415552D01* -X78529844Y-118404843D01* -X78533564Y-118394448D01* -X78538284Y-118384468D01* -X78543960Y-118374998D01* -X78550536Y-118366131D01* -X78557950Y-118357950D01* -X78566131Y-118350536D01* -X78574998Y-118343960D01* -X78584468Y-118338284D01* -X78594448Y-118333564D01* -X78604843Y-118329844D01* -X78615552Y-118327162D01* -X78626473Y-118325542D01* -X78637500Y-118325000D01* -X78862500Y-118325000D01* -X78873527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X78223527Y-118325542D02* -G01* -X78234448Y-118327162D01* -X78245157Y-118329844D01* -X78255552Y-118333564D01* -X78265532Y-118338284D01* -X78275002Y-118343960D01* -X78283869Y-118350536D01* -X78292050Y-118357950D01* -X78299464Y-118366131D01* -X78306040Y-118374998D01* -X78311716Y-118384468D01* -X78316436Y-118394448D01* -X78320156Y-118404843D01* -X78322838Y-118415552D01* -X78324458Y-118426473D01* -X78325000Y-118437500D01* -X78325000Y-119662500D01* -X78324458Y-119673527D01* -X78322838Y-119684448D01* -X78320156Y-119695157D01* -X78316436Y-119705552D01* -X78311716Y-119715532D01* -X78306040Y-119725002D01* -X78299464Y-119733869D01* -X78292050Y-119742050D01* -X78283869Y-119749464D01* -X78275002Y-119756040D01* -X78265532Y-119761716D01* -X78255552Y-119766436D01* -X78245157Y-119770156D01* -X78234448Y-119772838D01* -X78223527Y-119774458D01* -X78212500Y-119775000D01* -X77987500Y-119775000D01* -X77976473Y-119774458D01* -X77965552Y-119772838D01* -X77954843Y-119770156D01* -X77944448Y-119766436D01* -X77934468Y-119761716D01* -X77924998Y-119756040D01* -X77916131Y-119749464D01* -X77907950Y-119742050D01* -X77900536Y-119733869D01* -X77893960Y-119725002D01* -X77888284Y-119715532D01* -X77883564Y-119705552D01* -X77879844Y-119695157D01* -X77877162Y-119684448D01* -X77875542Y-119673527D01* -X77875000Y-119662500D01* -X77875000Y-118437500D01* -X77875542Y-118426473D01* -X77877162Y-118415552D01* -X77879844Y-118404843D01* -X77883564Y-118394448D01* -X77888284Y-118384468D01* -X77893960Y-118374998D01* -X77900536Y-118366131D01* -X77907950Y-118357950D01* -X77916131Y-118350536D01* -X77924998Y-118343960D01* -X77934468Y-118338284D01* -X77944448Y-118333564D01* -X77954843Y-118329844D01* -X77965552Y-118327162D01* -X77976473Y-118325542D01* -X77987500Y-118325000D01* -X78212500Y-118325000D01* -X78223527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88132351Y-93000361D02* -G01* -X88139632Y-93001441D01* -X88146771Y-93003229D01* -X88153701Y-93005709D01* -X88160355Y-93008856D01* -X88166668Y-93012640D01* -X88172579Y-93017024D01* -X88178033Y-93021967D01* -X88182976Y-93027421D01* -X88187360Y-93033332D01* -X88191144Y-93039645D01* -X88194291Y-93046299D01* -X88196771Y-93053229D01* -X88198559Y-93060368D01* -X88199639Y-93067649D01* -X88200000Y-93075000D01* -X88200000Y-94400000D01* -X88199639Y-94407351D01* -X88198559Y-94414632D01* -X88196771Y-94421771D01* -X88194291Y-94428701D01* -X88191144Y-94435355D01* -X88187360Y-94441668D01* -X88182976Y-94447579D01* -X88178033Y-94453033D01* -X88172579Y-94457976D01* -X88166668Y-94462360D01* -X88160355Y-94466144D01* -X88153701Y-94469291D01* -X88146771Y-94471771D01* -X88139632Y-94473559D01* -X88132351Y-94474639D01* -X88125000Y-94475000D01* -X87975000Y-94475000D01* -X87967649Y-94474639D01* -X87960368Y-94473559D01* -X87953229Y-94471771D01* -X87946299Y-94469291D01* -X87939645Y-94466144D01* -X87933332Y-94462360D01* -X87927421Y-94457976D01* -X87921967Y-94453033D01* -X87917024Y-94447579D01* -X87912640Y-94441668D01* -X87908856Y-94435355D01* -X87905709Y-94428701D01* -X87903229Y-94421771D01* -X87901441Y-94414632D01* -X87900361Y-94407351D01* -X87900000Y-94400000D01* -X87900000Y-93075000D01* -X87900361Y-93067649D01* -X87901441Y-93060368D01* -X87903229Y-93053229D01* -X87905709Y-93046299D01* -X87908856Y-93039645D01* -X87912640Y-93033332D01* -X87917024Y-93027421D01* -X87921967Y-93021967D01* -X87927421Y-93017024D01* -X87933332Y-93012640D01* -X87939645Y-93008856D01* -X87946299Y-93005709D01* -X87953229Y-93003229D01* -X87960368Y-93001441D01* -X87967649Y-93000361D01* -X87975000Y-93000000D01* -X88125000Y-93000000D01* -X88132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88632351Y-93000361D02* -G01* -X88639632Y-93001441D01* -X88646771Y-93003229D01* -X88653701Y-93005709D01* -X88660355Y-93008856D01* -X88666668Y-93012640D01* -X88672579Y-93017024D01* -X88678033Y-93021967D01* -X88682976Y-93027421D01* -X88687360Y-93033332D01* -X88691144Y-93039645D01* -X88694291Y-93046299D01* -X88696771Y-93053229D01* -X88698559Y-93060368D01* -X88699639Y-93067649D01* -X88700000Y-93075000D01* -X88700000Y-94400000D01* -X88699639Y-94407351D01* -X88698559Y-94414632D01* -X88696771Y-94421771D01* -X88694291Y-94428701D01* -X88691144Y-94435355D01* -X88687360Y-94441668D01* -X88682976Y-94447579D01* -X88678033Y-94453033D01* -X88672579Y-94457976D01* -X88666668Y-94462360D01* -X88660355Y-94466144D01* -X88653701Y-94469291D01* -X88646771Y-94471771D01* -X88639632Y-94473559D01* -X88632351Y-94474639D01* -X88625000Y-94475000D01* -X88475000Y-94475000D01* -X88467649Y-94474639D01* -X88460368Y-94473559D01* -X88453229Y-94471771D01* -X88446299Y-94469291D01* -X88439645Y-94466144D01* -X88433332Y-94462360D01* -X88427421Y-94457976D01* -X88421967Y-94453033D01* -X88417024Y-94447579D01* -X88412640Y-94441668D01* -X88408856Y-94435355D01* -X88405709Y-94428701D01* -X88403229Y-94421771D01* -X88401441Y-94414632D01* -X88400361Y-94407351D01* -X88400000Y-94400000D01* -X88400000Y-93075000D01* -X88400361Y-93067649D01* -X88401441Y-93060368D01* -X88403229Y-93053229D01* -X88405709Y-93046299D01* -X88408856Y-93039645D01* -X88412640Y-93033332D01* -X88417024Y-93027421D01* -X88421967Y-93021967D01* -X88427421Y-93017024D01* -X88433332Y-93012640D01* -X88439645Y-93008856D01* -X88446299Y-93005709D01* -X88453229Y-93003229D01* -X88460368Y-93001441D01* -X88467649Y-93000361D01* -X88475000Y-93000000D01* -X88625000Y-93000000D01* -X88632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89132351Y-93000361D02* -G01* -X89139632Y-93001441D01* -X89146771Y-93003229D01* -X89153701Y-93005709D01* -X89160355Y-93008856D01* -X89166668Y-93012640D01* -X89172579Y-93017024D01* -X89178033Y-93021967D01* -X89182976Y-93027421D01* -X89187360Y-93033332D01* -X89191144Y-93039645D01* -X89194291Y-93046299D01* -X89196771Y-93053229D01* -X89198559Y-93060368D01* -X89199639Y-93067649D01* -X89200000Y-93075000D01* -X89200000Y-94400000D01* -X89199639Y-94407351D01* -X89198559Y-94414632D01* -X89196771Y-94421771D01* -X89194291Y-94428701D01* -X89191144Y-94435355D01* -X89187360Y-94441668D01* -X89182976Y-94447579D01* -X89178033Y-94453033D01* -X89172579Y-94457976D01* -X89166668Y-94462360D01* -X89160355Y-94466144D01* -X89153701Y-94469291D01* -X89146771Y-94471771D01* -X89139632Y-94473559D01* -X89132351Y-94474639D01* -X89125000Y-94475000D01* -X88975000Y-94475000D01* -X88967649Y-94474639D01* -X88960368Y-94473559D01* -X88953229Y-94471771D01* -X88946299Y-94469291D01* -X88939645Y-94466144D01* -X88933332Y-94462360D01* -X88927421Y-94457976D01* -X88921967Y-94453033D01* -X88917024Y-94447579D01* -X88912640Y-94441668D01* -X88908856Y-94435355D01* -X88905709Y-94428701D01* -X88903229Y-94421771D01* -X88901441Y-94414632D01* -X88900361Y-94407351D01* -X88900000Y-94400000D01* -X88900000Y-93075000D01* -X88900361Y-93067649D01* -X88901441Y-93060368D01* -X88903229Y-93053229D01* -X88905709Y-93046299D01* -X88908856Y-93039645D01* -X88912640Y-93033332D01* -X88917024Y-93027421D01* -X88921967Y-93021967D01* -X88927421Y-93017024D01* -X88933332Y-93012640D01* -X88939645Y-93008856D01* -X88946299Y-93005709D01* -X88953229Y-93003229D01* -X88960368Y-93001441D01* -X88967649Y-93000361D01* -X88975000Y-93000000D01* -X89125000Y-93000000D01* -X89132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89632351Y-93000361D02* -G01* -X89639632Y-93001441D01* -X89646771Y-93003229D01* -X89653701Y-93005709D01* -X89660355Y-93008856D01* -X89666668Y-93012640D01* -X89672579Y-93017024D01* -X89678033Y-93021967D01* -X89682976Y-93027421D01* -X89687360Y-93033332D01* -X89691144Y-93039645D01* -X89694291Y-93046299D01* -X89696771Y-93053229D01* -X89698559Y-93060368D01* -X89699639Y-93067649D01* -X89700000Y-93075000D01* -X89700000Y-94400000D01* -X89699639Y-94407351D01* -X89698559Y-94414632D01* -X89696771Y-94421771D01* -X89694291Y-94428701D01* -X89691144Y-94435355D01* -X89687360Y-94441668D01* -X89682976Y-94447579D01* -X89678033Y-94453033D01* -X89672579Y-94457976D01* -X89666668Y-94462360D01* -X89660355Y-94466144D01* -X89653701Y-94469291D01* -X89646771Y-94471771D01* -X89639632Y-94473559D01* -X89632351Y-94474639D01* -X89625000Y-94475000D01* -X89475000Y-94475000D01* -X89467649Y-94474639D01* -X89460368Y-94473559D01* -X89453229Y-94471771D01* -X89446299Y-94469291D01* -X89439645Y-94466144D01* -X89433332Y-94462360D01* -X89427421Y-94457976D01* -X89421967Y-94453033D01* -X89417024Y-94447579D01* -X89412640Y-94441668D01* -X89408856Y-94435355D01* -X89405709Y-94428701D01* -X89403229Y-94421771D01* -X89401441Y-94414632D01* -X89400361Y-94407351D01* -X89400000Y-94400000D01* -X89400000Y-93075000D01* -X89400361Y-93067649D01* -X89401441Y-93060368D01* -X89403229Y-93053229D01* -X89405709Y-93046299D01* -X89408856Y-93039645D01* -X89412640Y-93033332D01* -X89417024Y-93027421D01* -X89421967Y-93021967D01* -X89427421Y-93017024D01* -X89433332Y-93012640D01* -X89439645Y-93008856D01* -X89446299Y-93005709D01* -X89453229Y-93003229D01* -X89460368Y-93001441D01* -X89467649Y-93000361D01* -X89475000Y-93000000D01* -X89625000Y-93000000D01* -X89632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90132351Y-93000361D02* -G01* -X90139632Y-93001441D01* -X90146771Y-93003229D01* -X90153701Y-93005709D01* -X90160355Y-93008856D01* -X90166668Y-93012640D01* -X90172579Y-93017024D01* -X90178033Y-93021967D01* -X90182976Y-93027421D01* -X90187360Y-93033332D01* -X90191144Y-93039645D01* -X90194291Y-93046299D01* -X90196771Y-93053229D01* -X90198559Y-93060368D01* -X90199639Y-93067649D01* -X90200000Y-93075000D01* -X90200000Y-94400000D01* -X90199639Y-94407351D01* -X90198559Y-94414632D01* -X90196771Y-94421771D01* -X90194291Y-94428701D01* -X90191144Y-94435355D01* -X90187360Y-94441668D01* -X90182976Y-94447579D01* -X90178033Y-94453033D01* -X90172579Y-94457976D01* -X90166668Y-94462360D01* -X90160355Y-94466144D01* -X90153701Y-94469291D01* -X90146771Y-94471771D01* -X90139632Y-94473559D01* -X90132351Y-94474639D01* -X90125000Y-94475000D01* -X89975000Y-94475000D01* -X89967649Y-94474639D01* -X89960368Y-94473559D01* -X89953229Y-94471771D01* -X89946299Y-94469291D01* -X89939645Y-94466144D01* -X89933332Y-94462360D01* -X89927421Y-94457976D01* -X89921967Y-94453033D01* -X89917024Y-94447579D01* -X89912640Y-94441668D01* -X89908856Y-94435355D01* -X89905709Y-94428701D01* -X89903229Y-94421771D01* -X89901441Y-94414632D01* -X89900361Y-94407351D01* -X89900000Y-94400000D01* -X89900000Y-93075000D01* -X89900361Y-93067649D01* -X89901441Y-93060368D01* -X89903229Y-93053229D01* -X89905709Y-93046299D01* -X89908856Y-93039645D01* -X89912640Y-93033332D01* -X89917024Y-93027421D01* -X89921967Y-93021967D01* -X89927421Y-93017024D01* -X89933332Y-93012640D01* -X89939645Y-93008856D01* -X89946299Y-93005709D01* -X89953229Y-93003229D01* -X89960368Y-93001441D01* -X89967649Y-93000361D01* -X89975000Y-93000000D01* -X90125000Y-93000000D01* -X90132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90632351Y-93000361D02* -G01* -X90639632Y-93001441D01* -X90646771Y-93003229D01* -X90653701Y-93005709D01* -X90660355Y-93008856D01* -X90666668Y-93012640D01* -X90672579Y-93017024D01* -X90678033Y-93021967D01* -X90682976Y-93027421D01* -X90687360Y-93033332D01* -X90691144Y-93039645D01* -X90694291Y-93046299D01* -X90696771Y-93053229D01* -X90698559Y-93060368D01* -X90699639Y-93067649D01* -X90700000Y-93075000D01* -X90700000Y-94400000D01* -X90699639Y-94407351D01* -X90698559Y-94414632D01* -X90696771Y-94421771D01* -X90694291Y-94428701D01* -X90691144Y-94435355D01* -X90687360Y-94441668D01* -X90682976Y-94447579D01* -X90678033Y-94453033D01* -X90672579Y-94457976D01* -X90666668Y-94462360D01* -X90660355Y-94466144D01* -X90653701Y-94469291D01* -X90646771Y-94471771D01* -X90639632Y-94473559D01* -X90632351Y-94474639D01* -X90625000Y-94475000D01* -X90475000Y-94475000D01* -X90467649Y-94474639D01* -X90460368Y-94473559D01* -X90453229Y-94471771D01* -X90446299Y-94469291D01* -X90439645Y-94466144D01* -X90433332Y-94462360D01* -X90427421Y-94457976D01* -X90421967Y-94453033D01* -X90417024Y-94447579D01* -X90412640Y-94441668D01* -X90408856Y-94435355D01* -X90405709Y-94428701D01* -X90403229Y-94421771D01* -X90401441Y-94414632D01* -X90400361Y-94407351D01* -X90400000Y-94400000D01* -X90400000Y-93075000D01* -X90400361Y-93067649D01* -X90401441Y-93060368D01* -X90403229Y-93053229D01* -X90405709Y-93046299D01* -X90408856Y-93039645D01* -X90412640Y-93033332D01* -X90417024Y-93027421D01* -X90421967Y-93021967D01* -X90427421Y-93017024D01* -X90433332Y-93012640D01* -X90439645Y-93008856D01* -X90446299Y-93005709D01* -X90453229Y-93003229D01* -X90460368Y-93001441D01* -X90467649Y-93000361D01* -X90475000Y-93000000D01* -X90625000Y-93000000D01* -X90632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91132351Y-93000361D02* -G01* -X91139632Y-93001441D01* -X91146771Y-93003229D01* -X91153701Y-93005709D01* -X91160355Y-93008856D01* -X91166668Y-93012640D01* -X91172579Y-93017024D01* -X91178033Y-93021967D01* -X91182976Y-93027421D01* -X91187360Y-93033332D01* -X91191144Y-93039645D01* -X91194291Y-93046299D01* -X91196771Y-93053229D01* -X91198559Y-93060368D01* -X91199639Y-93067649D01* -X91200000Y-93075000D01* -X91200000Y-94400000D01* -X91199639Y-94407351D01* -X91198559Y-94414632D01* -X91196771Y-94421771D01* -X91194291Y-94428701D01* -X91191144Y-94435355D01* -X91187360Y-94441668D01* -X91182976Y-94447579D01* -X91178033Y-94453033D01* -X91172579Y-94457976D01* -X91166668Y-94462360D01* -X91160355Y-94466144D01* -X91153701Y-94469291D01* -X91146771Y-94471771D01* -X91139632Y-94473559D01* -X91132351Y-94474639D01* -X91125000Y-94475000D01* -X90975000Y-94475000D01* -X90967649Y-94474639D01* -X90960368Y-94473559D01* -X90953229Y-94471771D01* -X90946299Y-94469291D01* -X90939645Y-94466144D01* -X90933332Y-94462360D01* -X90927421Y-94457976D01* -X90921967Y-94453033D01* -X90917024Y-94447579D01* -X90912640Y-94441668D01* -X90908856Y-94435355D01* -X90905709Y-94428701D01* -X90903229Y-94421771D01* -X90901441Y-94414632D01* -X90900361Y-94407351D01* -X90900000Y-94400000D01* -X90900000Y-93075000D01* -X90900361Y-93067649D01* -X90901441Y-93060368D01* -X90903229Y-93053229D01* -X90905709Y-93046299D01* -X90908856Y-93039645D01* -X90912640Y-93033332D01* -X90917024Y-93027421D01* -X90921967Y-93021967D01* -X90927421Y-93017024D01* -X90933332Y-93012640D01* -X90939645Y-93008856D01* -X90946299Y-93005709D01* -X90953229Y-93003229D01* -X90960368Y-93001441D01* -X90967649Y-93000361D01* -X90975000Y-93000000D01* -X91125000Y-93000000D01* -X91132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91632351Y-93000361D02* -G01* -X91639632Y-93001441D01* -X91646771Y-93003229D01* -X91653701Y-93005709D01* -X91660355Y-93008856D01* -X91666668Y-93012640D01* -X91672579Y-93017024D01* -X91678033Y-93021967D01* -X91682976Y-93027421D01* -X91687360Y-93033332D01* -X91691144Y-93039645D01* -X91694291Y-93046299D01* -X91696771Y-93053229D01* -X91698559Y-93060368D01* -X91699639Y-93067649D01* -X91700000Y-93075000D01* -X91700000Y-94400000D01* -X91699639Y-94407351D01* -X91698559Y-94414632D01* -X91696771Y-94421771D01* -X91694291Y-94428701D01* -X91691144Y-94435355D01* -X91687360Y-94441668D01* -X91682976Y-94447579D01* -X91678033Y-94453033D01* -X91672579Y-94457976D01* -X91666668Y-94462360D01* -X91660355Y-94466144D01* -X91653701Y-94469291D01* -X91646771Y-94471771D01* -X91639632Y-94473559D01* -X91632351Y-94474639D01* -X91625000Y-94475000D01* -X91475000Y-94475000D01* -X91467649Y-94474639D01* -X91460368Y-94473559D01* -X91453229Y-94471771D01* -X91446299Y-94469291D01* -X91439645Y-94466144D01* -X91433332Y-94462360D01* -X91427421Y-94457976D01* -X91421967Y-94453033D01* -X91417024Y-94447579D01* -X91412640Y-94441668D01* -X91408856Y-94435355D01* -X91405709Y-94428701D01* -X91403229Y-94421771D01* -X91401441Y-94414632D01* -X91400361Y-94407351D01* -X91400000Y-94400000D01* -X91400000Y-93075000D01* -X91400361Y-93067649D01* -X91401441Y-93060368D01* -X91403229Y-93053229D01* -X91405709Y-93046299D01* -X91408856Y-93039645D01* -X91412640Y-93033332D01* -X91417024Y-93027421D01* -X91421967Y-93021967D01* -X91427421Y-93017024D01* -X91433332Y-93012640D01* -X91439645Y-93008856D01* -X91446299Y-93005709D01* -X91453229Y-93003229D01* -X91460368Y-93001441D01* -X91467649Y-93000361D01* -X91475000Y-93000000D01* -X91625000Y-93000000D01* -X91632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X92132351Y-93000361D02* -G01* -X92139632Y-93001441D01* -X92146771Y-93003229D01* -X92153701Y-93005709D01* -X92160355Y-93008856D01* -X92166668Y-93012640D01* -X92172579Y-93017024D01* -X92178033Y-93021967D01* -X92182976Y-93027421D01* -X92187360Y-93033332D01* -X92191144Y-93039645D01* -X92194291Y-93046299D01* -X92196771Y-93053229D01* -X92198559Y-93060368D01* -X92199639Y-93067649D01* -X92200000Y-93075000D01* -X92200000Y-94400000D01* -X92199639Y-94407351D01* -X92198559Y-94414632D01* -X92196771Y-94421771D01* -X92194291Y-94428701D01* -X92191144Y-94435355D01* -X92187360Y-94441668D01* -X92182976Y-94447579D01* -X92178033Y-94453033D01* -X92172579Y-94457976D01* -X92166668Y-94462360D01* -X92160355Y-94466144D01* -X92153701Y-94469291D01* -X92146771Y-94471771D01* -X92139632Y-94473559D01* -X92132351Y-94474639D01* -X92125000Y-94475000D01* -X91975000Y-94475000D01* -X91967649Y-94474639D01* -X91960368Y-94473559D01* -X91953229Y-94471771D01* -X91946299Y-94469291D01* -X91939645Y-94466144D01* -X91933332Y-94462360D01* -X91927421Y-94457976D01* -X91921967Y-94453033D01* -X91917024Y-94447579D01* -X91912640Y-94441668D01* -X91908856Y-94435355D01* -X91905709Y-94428701D01* -X91903229Y-94421771D01* -X91901441Y-94414632D01* -X91900361Y-94407351D01* -X91900000Y-94400000D01* -X91900000Y-93075000D01* -X91900361Y-93067649D01* -X91901441Y-93060368D01* -X91903229Y-93053229D01* -X91905709Y-93046299D01* -X91908856Y-93039645D01* -X91912640Y-93033332D01* -X91917024Y-93027421D01* -X91921967Y-93021967D01* -X91927421Y-93017024D01* -X91933332Y-93012640D01* -X91939645Y-93008856D01* -X91946299Y-93005709D01* -X91953229Y-93003229D01* -X91960368Y-93001441D01* -X91967649Y-93000361D01* -X91975000Y-93000000D01* -X92125000Y-93000000D01* -X92132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X92632351Y-93000361D02* -G01* -X92639632Y-93001441D01* -X92646771Y-93003229D01* -X92653701Y-93005709D01* -X92660355Y-93008856D01* -X92666668Y-93012640D01* -X92672579Y-93017024D01* -X92678033Y-93021967D01* -X92682976Y-93027421D01* -X92687360Y-93033332D01* -X92691144Y-93039645D01* -X92694291Y-93046299D01* -X92696771Y-93053229D01* -X92698559Y-93060368D01* -X92699639Y-93067649D01* -X92700000Y-93075000D01* -X92700000Y-94400000D01* -X92699639Y-94407351D01* -X92698559Y-94414632D01* -X92696771Y-94421771D01* -X92694291Y-94428701D01* -X92691144Y-94435355D01* -X92687360Y-94441668D01* -X92682976Y-94447579D01* -X92678033Y-94453033D01* -X92672579Y-94457976D01* -X92666668Y-94462360D01* -X92660355Y-94466144D01* -X92653701Y-94469291D01* -X92646771Y-94471771D01* -X92639632Y-94473559D01* -X92632351Y-94474639D01* -X92625000Y-94475000D01* -X92475000Y-94475000D01* -X92467649Y-94474639D01* -X92460368Y-94473559D01* -X92453229Y-94471771D01* -X92446299Y-94469291D01* -X92439645Y-94466144D01* -X92433332Y-94462360D01* -X92427421Y-94457976D01* -X92421967Y-94453033D01* -X92417024Y-94447579D01* -X92412640Y-94441668D01* -X92408856Y-94435355D01* -X92405709Y-94428701D01* -X92403229Y-94421771D01* -X92401441Y-94414632D01* -X92400361Y-94407351D01* -X92400000Y-94400000D01* -X92400000Y-93075000D01* -X92400361Y-93067649D01* -X92401441Y-93060368D01* -X92403229Y-93053229D01* -X92405709Y-93046299D01* -X92408856Y-93039645D01* -X92412640Y-93033332D01* -X92417024Y-93027421D01* -X92421967Y-93021967D01* -X92427421Y-93017024D01* -X92433332Y-93012640D01* -X92439645Y-93008856D01* -X92446299Y-93005709D01* -X92453229Y-93003229D01* -X92460368Y-93001441D01* -X92467649Y-93000361D01* -X92475000Y-93000000D01* -X92625000Y-93000000D01* -X92632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X93132351Y-93000361D02* -G01* -X93139632Y-93001441D01* -X93146771Y-93003229D01* -X93153701Y-93005709D01* -X93160355Y-93008856D01* -X93166668Y-93012640D01* -X93172579Y-93017024D01* -X93178033Y-93021967D01* -X93182976Y-93027421D01* -X93187360Y-93033332D01* -X93191144Y-93039645D01* -X93194291Y-93046299D01* -X93196771Y-93053229D01* -X93198559Y-93060368D01* -X93199639Y-93067649D01* -X93200000Y-93075000D01* -X93200000Y-94400000D01* -X93199639Y-94407351D01* -X93198559Y-94414632D01* -X93196771Y-94421771D01* -X93194291Y-94428701D01* -X93191144Y-94435355D01* -X93187360Y-94441668D01* -X93182976Y-94447579D01* -X93178033Y-94453033D01* -X93172579Y-94457976D01* -X93166668Y-94462360D01* -X93160355Y-94466144D01* -X93153701Y-94469291D01* -X93146771Y-94471771D01* -X93139632Y-94473559D01* -X93132351Y-94474639D01* -X93125000Y-94475000D01* -X92975000Y-94475000D01* -X92967649Y-94474639D01* -X92960368Y-94473559D01* -X92953229Y-94471771D01* -X92946299Y-94469291D01* -X92939645Y-94466144D01* -X92933332Y-94462360D01* -X92927421Y-94457976D01* -X92921967Y-94453033D01* -X92917024Y-94447579D01* -X92912640Y-94441668D01* -X92908856Y-94435355D01* -X92905709Y-94428701D01* -X92903229Y-94421771D01* -X92901441Y-94414632D01* -X92900361Y-94407351D01* -X92900000Y-94400000D01* -X92900000Y-93075000D01* -X92900361Y-93067649D01* -X92901441Y-93060368D01* -X92903229Y-93053229D01* -X92905709Y-93046299D01* -X92908856Y-93039645D01* -X92912640Y-93033332D01* -X92917024Y-93027421D01* -X92921967Y-93021967D01* -X92927421Y-93017024D01* -X92933332Y-93012640D01* -X92939645Y-93008856D01* -X92946299Y-93005709D01* -X92953229Y-93003229D01* -X92960368Y-93001441D01* -X92967649Y-93000361D01* -X92975000Y-93000000D01* -X93125000Y-93000000D01* -X93132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X93632351Y-93000361D02* -G01* -X93639632Y-93001441D01* -X93646771Y-93003229D01* -X93653701Y-93005709D01* -X93660355Y-93008856D01* -X93666668Y-93012640D01* -X93672579Y-93017024D01* -X93678033Y-93021967D01* -X93682976Y-93027421D01* -X93687360Y-93033332D01* -X93691144Y-93039645D01* -X93694291Y-93046299D01* -X93696771Y-93053229D01* -X93698559Y-93060368D01* -X93699639Y-93067649D01* -X93700000Y-93075000D01* -X93700000Y-94400000D01* -X93699639Y-94407351D01* -X93698559Y-94414632D01* -X93696771Y-94421771D01* -X93694291Y-94428701D01* -X93691144Y-94435355D01* -X93687360Y-94441668D01* -X93682976Y-94447579D01* -X93678033Y-94453033D01* -X93672579Y-94457976D01* -X93666668Y-94462360D01* -X93660355Y-94466144D01* -X93653701Y-94469291D01* -X93646771Y-94471771D01* -X93639632Y-94473559D01* -X93632351Y-94474639D01* -X93625000Y-94475000D01* -X93475000Y-94475000D01* -X93467649Y-94474639D01* -X93460368Y-94473559D01* -X93453229Y-94471771D01* -X93446299Y-94469291D01* -X93439645Y-94466144D01* -X93433332Y-94462360D01* -X93427421Y-94457976D01* -X93421967Y-94453033D01* -X93417024Y-94447579D01* -X93412640Y-94441668D01* -X93408856Y-94435355D01* -X93405709Y-94428701D01* -X93403229Y-94421771D01* -X93401441Y-94414632D01* -X93400361Y-94407351D01* -X93400000Y-94400000D01* -X93400000Y-93075000D01* -X93400361Y-93067649D01* -X93401441Y-93060368D01* -X93403229Y-93053229D01* -X93405709Y-93046299D01* -X93408856Y-93039645D01* -X93412640Y-93033332D01* -X93417024Y-93027421D01* -X93421967Y-93021967D01* -X93427421Y-93017024D01* -X93433332Y-93012640D01* -X93439645Y-93008856D01* -X93446299Y-93005709D01* -X93453229Y-93003229D01* -X93460368Y-93001441D01* -X93467649Y-93000361D01* -X93475000Y-93000000D01* -X93625000Y-93000000D01* -X93632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X94132351Y-93000361D02* -G01* -X94139632Y-93001441D01* -X94146771Y-93003229D01* -X94153701Y-93005709D01* -X94160355Y-93008856D01* -X94166668Y-93012640D01* -X94172579Y-93017024D01* -X94178033Y-93021967D01* -X94182976Y-93027421D01* -X94187360Y-93033332D01* -X94191144Y-93039645D01* -X94194291Y-93046299D01* -X94196771Y-93053229D01* -X94198559Y-93060368D01* -X94199639Y-93067649D01* -X94200000Y-93075000D01* -X94200000Y-94400000D01* -X94199639Y-94407351D01* -X94198559Y-94414632D01* -X94196771Y-94421771D01* -X94194291Y-94428701D01* -X94191144Y-94435355D01* -X94187360Y-94441668D01* -X94182976Y-94447579D01* -X94178033Y-94453033D01* -X94172579Y-94457976D01* -X94166668Y-94462360D01* -X94160355Y-94466144D01* -X94153701Y-94469291D01* -X94146771Y-94471771D01* -X94139632Y-94473559D01* -X94132351Y-94474639D01* -X94125000Y-94475000D01* -X93975000Y-94475000D01* -X93967649Y-94474639D01* -X93960368Y-94473559D01* -X93953229Y-94471771D01* -X93946299Y-94469291D01* -X93939645Y-94466144D01* -X93933332Y-94462360D01* -X93927421Y-94457976D01* -X93921967Y-94453033D01* -X93917024Y-94447579D01* -X93912640Y-94441668D01* -X93908856Y-94435355D01* -X93905709Y-94428701D01* -X93903229Y-94421771D01* -X93901441Y-94414632D01* -X93900361Y-94407351D01* -X93900000Y-94400000D01* -X93900000Y-93075000D01* -X93900361Y-93067649D01* -X93901441Y-93060368D01* -X93903229Y-93053229D01* -X93905709Y-93046299D01* -X93908856Y-93039645D01* -X93912640Y-93033332D01* -X93917024Y-93027421D01* -X93921967Y-93021967D01* -X93927421Y-93017024D01* -X93933332Y-93012640D01* -X93939645Y-93008856D01* -X93946299Y-93005709D01* -X93953229Y-93003229D01* -X93960368Y-93001441D01* -X93967649Y-93000361D01* -X93975000Y-93000000D01* -X94125000Y-93000000D01* -X94132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X94632351Y-93000361D02* -G01* -X94639632Y-93001441D01* -X94646771Y-93003229D01* -X94653701Y-93005709D01* -X94660355Y-93008856D01* -X94666668Y-93012640D01* -X94672579Y-93017024D01* -X94678033Y-93021967D01* -X94682976Y-93027421D01* -X94687360Y-93033332D01* -X94691144Y-93039645D01* -X94694291Y-93046299D01* -X94696771Y-93053229D01* -X94698559Y-93060368D01* -X94699639Y-93067649D01* -X94700000Y-93075000D01* -X94700000Y-94400000D01* -X94699639Y-94407351D01* -X94698559Y-94414632D01* -X94696771Y-94421771D01* -X94694291Y-94428701D01* -X94691144Y-94435355D01* -X94687360Y-94441668D01* -X94682976Y-94447579D01* -X94678033Y-94453033D01* -X94672579Y-94457976D01* -X94666668Y-94462360D01* -X94660355Y-94466144D01* -X94653701Y-94469291D01* -X94646771Y-94471771D01* -X94639632Y-94473559D01* -X94632351Y-94474639D01* -X94625000Y-94475000D01* -X94475000Y-94475000D01* -X94467649Y-94474639D01* -X94460368Y-94473559D01* -X94453229Y-94471771D01* -X94446299Y-94469291D01* -X94439645Y-94466144D01* -X94433332Y-94462360D01* -X94427421Y-94457976D01* -X94421967Y-94453033D01* -X94417024Y-94447579D01* -X94412640Y-94441668D01* -X94408856Y-94435355D01* -X94405709Y-94428701D01* -X94403229Y-94421771D01* -X94401441Y-94414632D01* -X94400361Y-94407351D01* -X94400000Y-94400000D01* -X94400000Y-93075000D01* -X94400361Y-93067649D01* -X94401441Y-93060368D01* -X94403229Y-93053229D01* -X94405709Y-93046299D01* -X94408856Y-93039645D01* -X94412640Y-93033332D01* -X94417024Y-93027421D01* -X94421967Y-93021967D01* -X94427421Y-93017024D01* -X94433332Y-93012640D01* -X94439645Y-93008856D01* -X94446299Y-93005709D01* -X94453229Y-93003229D01* -X94460368Y-93001441D01* -X94467649Y-93000361D01* -X94475000Y-93000000D01* -X94625000Y-93000000D01* -X94632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X95132351Y-93000361D02* -G01* -X95139632Y-93001441D01* -X95146771Y-93003229D01* -X95153701Y-93005709D01* -X95160355Y-93008856D01* -X95166668Y-93012640D01* -X95172579Y-93017024D01* -X95178033Y-93021967D01* -X95182976Y-93027421D01* -X95187360Y-93033332D01* -X95191144Y-93039645D01* -X95194291Y-93046299D01* -X95196771Y-93053229D01* -X95198559Y-93060368D01* -X95199639Y-93067649D01* -X95200000Y-93075000D01* -X95200000Y-94400000D01* -X95199639Y-94407351D01* -X95198559Y-94414632D01* -X95196771Y-94421771D01* -X95194291Y-94428701D01* -X95191144Y-94435355D01* -X95187360Y-94441668D01* -X95182976Y-94447579D01* -X95178033Y-94453033D01* -X95172579Y-94457976D01* -X95166668Y-94462360D01* -X95160355Y-94466144D01* -X95153701Y-94469291D01* -X95146771Y-94471771D01* -X95139632Y-94473559D01* -X95132351Y-94474639D01* -X95125000Y-94475000D01* -X94975000Y-94475000D01* -X94967649Y-94474639D01* -X94960368Y-94473559D01* -X94953229Y-94471771D01* -X94946299Y-94469291D01* -X94939645Y-94466144D01* -X94933332Y-94462360D01* -X94927421Y-94457976D01* -X94921967Y-94453033D01* -X94917024Y-94447579D01* -X94912640Y-94441668D01* -X94908856Y-94435355D01* -X94905709Y-94428701D01* -X94903229Y-94421771D01* -X94901441Y-94414632D01* -X94900361Y-94407351D01* -X94900000Y-94400000D01* -X94900000Y-93075000D01* -X94900361Y-93067649D01* -X94901441Y-93060368D01* -X94903229Y-93053229D01* -X94905709Y-93046299D01* -X94908856Y-93039645D01* -X94912640Y-93033332D01* -X94917024Y-93027421D01* -X94921967Y-93021967D01* -X94927421Y-93017024D01* -X94933332Y-93012640D01* -X94939645Y-93008856D01* -X94946299Y-93005709D01* -X94953229Y-93003229D01* -X94960368Y-93001441D01* -X94967649Y-93000361D01* -X94975000Y-93000000D01* -X95125000Y-93000000D01* -X95132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X95632351Y-93000361D02* -G01* -X95639632Y-93001441D01* -X95646771Y-93003229D01* -X95653701Y-93005709D01* -X95660355Y-93008856D01* -X95666668Y-93012640D01* -X95672579Y-93017024D01* -X95678033Y-93021967D01* -X95682976Y-93027421D01* -X95687360Y-93033332D01* -X95691144Y-93039645D01* -X95694291Y-93046299D01* -X95696771Y-93053229D01* -X95698559Y-93060368D01* -X95699639Y-93067649D01* -X95700000Y-93075000D01* -X95700000Y-94400000D01* -X95699639Y-94407351D01* -X95698559Y-94414632D01* -X95696771Y-94421771D01* -X95694291Y-94428701D01* -X95691144Y-94435355D01* -X95687360Y-94441668D01* -X95682976Y-94447579D01* -X95678033Y-94453033D01* -X95672579Y-94457976D01* -X95666668Y-94462360D01* -X95660355Y-94466144D01* -X95653701Y-94469291D01* -X95646771Y-94471771D01* -X95639632Y-94473559D01* -X95632351Y-94474639D01* -X95625000Y-94475000D01* -X95475000Y-94475000D01* -X95467649Y-94474639D01* -X95460368Y-94473559D01* -X95453229Y-94471771D01* -X95446299Y-94469291D01* -X95439645Y-94466144D01* -X95433332Y-94462360D01* -X95427421Y-94457976D01* -X95421967Y-94453033D01* -X95417024Y-94447579D01* -X95412640Y-94441668D01* -X95408856Y-94435355D01* -X95405709Y-94428701D01* -X95403229Y-94421771D01* -X95401441Y-94414632D01* -X95400361Y-94407351D01* -X95400000Y-94400000D01* -X95400000Y-93075000D01* -X95400361Y-93067649D01* -X95401441Y-93060368D01* -X95403229Y-93053229D01* -X95405709Y-93046299D01* -X95408856Y-93039645D01* -X95412640Y-93033332D01* -X95417024Y-93027421D01* -X95421967Y-93021967D01* -X95427421Y-93017024D01* -X95433332Y-93012640D01* -X95439645Y-93008856D01* -X95446299Y-93005709D01* -X95453229Y-93003229D01* -X95460368Y-93001441D01* -X95467649Y-93000361D01* -X95475000Y-93000000D01* -X95625000Y-93000000D01* -X95632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96132351Y-93000361D02* -G01* -X96139632Y-93001441D01* -X96146771Y-93003229D01* -X96153701Y-93005709D01* -X96160355Y-93008856D01* -X96166668Y-93012640D01* -X96172579Y-93017024D01* -X96178033Y-93021967D01* -X96182976Y-93027421D01* -X96187360Y-93033332D01* -X96191144Y-93039645D01* -X96194291Y-93046299D01* -X96196771Y-93053229D01* -X96198559Y-93060368D01* -X96199639Y-93067649D01* -X96200000Y-93075000D01* -X96200000Y-94400000D01* -X96199639Y-94407351D01* -X96198559Y-94414632D01* -X96196771Y-94421771D01* -X96194291Y-94428701D01* -X96191144Y-94435355D01* -X96187360Y-94441668D01* -X96182976Y-94447579D01* -X96178033Y-94453033D01* -X96172579Y-94457976D01* -X96166668Y-94462360D01* -X96160355Y-94466144D01* -X96153701Y-94469291D01* -X96146771Y-94471771D01* -X96139632Y-94473559D01* -X96132351Y-94474639D01* -X96125000Y-94475000D01* -X95975000Y-94475000D01* -X95967649Y-94474639D01* -X95960368Y-94473559D01* -X95953229Y-94471771D01* -X95946299Y-94469291D01* -X95939645Y-94466144D01* -X95933332Y-94462360D01* -X95927421Y-94457976D01* -X95921967Y-94453033D01* -X95917024Y-94447579D01* -X95912640Y-94441668D01* -X95908856Y-94435355D01* -X95905709Y-94428701D01* -X95903229Y-94421771D01* -X95901441Y-94414632D01* -X95900361Y-94407351D01* -X95900000Y-94400000D01* -X95900000Y-93075000D01* -X95900361Y-93067649D01* -X95901441Y-93060368D01* -X95903229Y-93053229D01* -X95905709Y-93046299D01* -X95908856Y-93039645D01* -X95912640Y-93033332D01* -X95917024Y-93027421D01* -X95921967Y-93021967D01* -X95927421Y-93017024D01* -X95933332Y-93012640D01* -X95939645Y-93008856D01* -X95946299Y-93005709D01* -X95953229Y-93003229D01* -X95960368Y-93001441D01* -X95967649Y-93000361D01* -X95975000Y-93000000D01* -X96125000Y-93000000D01* -X96132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96632351Y-93000361D02* -G01* -X96639632Y-93001441D01* -X96646771Y-93003229D01* -X96653701Y-93005709D01* -X96660355Y-93008856D01* -X96666668Y-93012640D01* -X96672579Y-93017024D01* -X96678033Y-93021967D01* -X96682976Y-93027421D01* -X96687360Y-93033332D01* -X96691144Y-93039645D01* -X96694291Y-93046299D01* -X96696771Y-93053229D01* -X96698559Y-93060368D01* -X96699639Y-93067649D01* -X96700000Y-93075000D01* -X96700000Y-94400000D01* -X96699639Y-94407351D01* -X96698559Y-94414632D01* -X96696771Y-94421771D01* -X96694291Y-94428701D01* -X96691144Y-94435355D01* -X96687360Y-94441668D01* -X96682976Y-94447579D01* -X96678033Y-94453033D01* -X96672579Y-94457976D01* -X96666668Y-94462360D01* -X96660355Y-94466144D01* -X96653701Y-94469291D01* -X96646771Y-94471771D01* -X96639632Y-94473559D01* -X96632351Y-94474639D01* -X96625000Y-94475000D01* -X96475000Y-94475000D01* -X96467649Y-94474639D01* -X96460368Y-94473559D01* -X96453229Y-94471771D01* -X96446299Y-94469291D01* -X96439645Y-94466144D01* -X96433332Y-94462360D01* -X96427421Y-94457976D01* -X96421967Y-94453033D01* -X96417024Y-94447579D01* -X96412640Y-94441668D01* -X96408856Y-94435355D01* -X96405709Y-94428701D01* -X96403229Y-94421771D01* -X96401441Y-94414632D01* -X96400361Y-94407351D01* -X96400000Y-94400000D01* -X96400000Y-93075000D01* -X96400361Y-93067649D01* -X96401441Y-93060368D01* -X96403229Y-93053229D01* -X96405709Y-93046299D01* -X96408856Y-93039645D01* -X96412640Y-93033332D01* -X96417024Y-93027421D01* -X96421967Y-93021967D01* -X96427421Y-93017024D01* -X96433332Y-93012640D01* -X96439645Y-93008856D01* -X96446299Y-93005709D01* -X96453229Y-93003229D01* -X96460368Y-93001441D01* -X96467649Y-93000361D01* -X96475000Y-93000000D01* -X96625000Y-93000000D01* -X96632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97132351Y-93000361D02* -G01* -X97139632Y-93001441D01* -X97146771Y-93003229D01* -X97153701Y-93005709D01* -X97160355Y-93008856D01* -X97166668Y-93012640D01* -X97172579Y-93017024D01* -X97178033Y-93021967D01* -X97182976Y-93027421D01* -X97187360Y-93033332D01* -X97191144Y-93039645D01* -X97194291Y-93046299D01* -X97196771Y-93053229D01* -X97198559Y-93060368D01* -X97199639Y-93067649D01* -X97200000Y-93075000D01* -X97200000Y-94400000D01* -X97199639Y-94407351D01* -X97198559Y-94414632D01* -X97196771Y-94421771D01* -X97194291Y-94428701D01* -X97191144Y-94435355D01* -X97187360Y-94441668D01* -X97182976Y-94447579D01* -X97178033Y-94453033D01* -X97172579Y-94457976D01* -X97166668Y-94462360D01* -X97160355Y-94466144D01* -X97153701Y-94469291D01* -X97146771Y-94471771D01* -X97139632Y-94473559D01* -X97132351Y-94474639D01* -X97125000Y-94475000D01* -X96975000Y-94475000D01* -X96967649Y-94474639D01* -X96960368Y-94473559D01* -X96953229Y-94471771D01* -X96946299Y-94469291D01* -X96939645Y-94466144D01* -X96933332Y-94462360D01* -X96927421Y-94457976D01* -X96921967Y-94453033D01* -X96917024Y-94447579D01* -X96912640Y-94441668D01* -X96908856Y-94435355D01* -X96905709Y-94428701D01* -X96903229Y-94421771D01* -X96901441Y-94414632D01* -X96900361Y-94407351D01* -X96900000Y-94400000D01* -X96900000Y-93075000D01* -X96900361Y-93067649D01* -X96901441Y-93060368D01* -X96903229Y-93053229D01* -X96905709Y-93046299D01* -X96908856Y-93039645D01* -X96912640Y-93033332D01* -X96917024Y-93027421D01* -X96921967Y-93021967D01* -X96927421Y-93017024D01* -X96933332Y-93012640D01* -X96939645Y-93008856D01* -X96946299Y-93005709D01* -X96953229Y-93003229D01* -X96960368Y-93001441D01* -X96967649Y-93000361D01* -X96975000Y-93000000D01* -X97125000Y-93000000D01* -X97132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97632351Y-93000361D02* -G01* -X97639632Y-93001441D01* -X97646771Y-93003229D01* -X97653701Y-93005709D01* -X97660355Y-93008856D01* -X97666668Y-93012640D01* -X97672579Y-93017024D01* -X97678033Y-93021967D01* -X97682976Y-93027421D01* -X97687360Y-93033332D01* -X97691144Y-93039645D01* -X97694291Y-93046299D01* -X97696771Y-93053229D01* -X97698559Y-93060368D01* -X97699639Y-93067649D01* -X97700000Y-93075000D01* -X97700000Y-94400000D01* -X97699639Y-94407351D01* -X97698559Y-94414632D01* -X97696771Y-94421771D01* -X97694291Y-94428701D01* -X97691144Y-94435355D01* -X97687360Y-94441668D01* -X97682976Y-94447579D01* -X97678033Y-94453033D01* -X97672579Y-94457976D01* -X97666668Y-94462360D01* -X97660355Y-94466144D01* -X97653701Y-94469291D01* -X97646771Y-94471771D01* -X97639632Y-94473559D01* -X97632351Y-94474639D01* -X97625000Y-94475000D01* -X97475000Y-94475000D01* -X97467649Y-94474639D01* -X97460368Y-94473559D01* -X97453229Y-94471771D01* -X97446299Y-94469291D01* -X97439645Y-94466144D01* -X97433332Y-94462360D01* -X97427421Y-94457976D01* -X97421967Y-94453033D01* -X97417024Y-94447579D01* -X97412640Y-94441668D01* -X97408856Y-94435355D01* -X97405709Y-94428701D01* -X97403229Y-94421771D01* -X97401441Y-94414632D01* -X97400361Y-94407351D01* -X97400000Y-94400000D01* -X97400000Y-93075000D01* -X97400361Y-93067649D01* -X97401441Y-93060368D01* -X97403229Y-93053229D01* -X97405709Y-93046299D01* -X97408856Y-93039645D01* -X97412640Y-93033332D01* -X97417024Y-93027421D01* -X97421967Y-93021967D01* -X97427421Y-93017024D01* -X97433332Y-93012640D01* -X97439645Y-93008856D01* -X97446299Y-93005709D01* -X97453229Y-93003229D01* -X97460368Y-93001441D01* -X97467649Y-93000361D01* -X97475000Y-93000000D01* -X97625000Y-93000000D01* -X97632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98132351Y-93000361D02* -G01* -X98139632Y-93001441D01* -X98146771Y-93003229D01* -X98153701Y-93005709D01* -X98160355Y-93008856D01* -X98166668Y-93012640D01* -X98172579Y-93017024D01* -X98178033Y-93021967D01* -X98182976Y-93027421D01* -X98187360Y-93033332D01* -X98191144Y-93039645D01* -X98194291Y-93046299D01* -X98196771Y-93053229D01* -X98198559Y-93060368D01* -X98199639Y-93067649D01* -X98200000Y-93075000D01* -X98200000Y-94400000D01* -X98199639Y-94407351D01* -X98198559Y-94414632D01* -X98196771Y-94421771D01* -X98194291Y-94428701D01* -X98191144Y-94435355D01* -X98187360Y-94441668D01* -X98182976Y-94447579D01* -X98178033Y-94453033D01* -X98172579Y-94457976D01* -X98166668Y-94462360D01* -X98160355Y-94466144D01* -X98153701Y-94469291D01* -X98146771Y-94471771D01* -X98139632Y-94473559D01* -X98132351Y-94474639D01* -X98125000Y-94475000D01* -X97975000Y-94475000D01* -X97967649Y-94474639D01* -X97960368Y-94473559D01* -X97953229Y-94471771D01* -X97946299Y-94469291D01* -X97939645Y-94466144D01* -X97933332Y-94462360D01* -X97927421Y-94457976D01* -X97921967Y-94453033D01* -X97917024Y-94447579D01* -X97912640Y-94441668D01* -X97908856Y-94435355D01* -X97905709Y-94428701D01* -X97903229Y-94421771D01* -X97901441Y-94414632D01* -X97900361Y-94407351D01* -X97900000Y-94400000D01* -X97900000Y-93075000D01* -X97900361Y-93067649D01* -X97901441Y-93060368D01* -X97903229Y-93053229D01* -X97905709Y-93046299D01* -X97908856Y-93039645D01* -X97912640Y-93033332D01* -X97917024Y-93027421D01* -X97921967Y-93021967D01* -X97927421Y-93017024D01* -X97933332Y-93012640D01* -X97939645Y-93008856D01* -X97946299Y-93005709D01* -X97953229Y-93003229D01* -X97960368Y-93001441D01* -X97967649Y-93000361D01* -X97975000Y-93000000D01* -X98125000Y-93000000D01* -X98132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98632351Y-93000361D02* -G01* -X98639632Y-93001441D01* -X98646771Y-93003229D01* -X98653701Y-93005709D01* -X98660355Y-93008856D01* -X98666668Y-93012640D01* -X98672579Y-93017024D01* -X98678033Y-93021967D01* -X98682976Y-93027421D01* -X98687360Y-93033332D01* -X98691144Y-93039645D01* -X98694291Y-93046299D01* -X98696771Y-93053229D01* -X98698559Y-93060368D01* -X98699639Y-93067649D01* -X98700000Y-93075000D01* -X98700000Y-94400000D01* -X98699639Y-94407351D01* -X98698559Y-94414632D01* -X98696771Y-94421771D01* -X98694291Y-94428701D01* -X98691144Y-94435355D01* -X98687360Y-94441668D01* -X98682976Y-94447579D01* -X98678033Y-94453033D01* -X98672579Y-94457976D01* -X98666668Y-94462360D01* -X98660355Y-94466144D01* -X98653701Y-94469291D01* -X98646771Y-94471771D01* -X98639632Y-94473559D01* -X98632351Y-94474639D01* -X98625000Y-94475000D01* -X98475000Y-94475000D01* -X98467649Y-94474639D01* -X98460368Y-94473559D01* -X98453229Y-94471771D01* -X98446299Y-94469291D01* -X98439645Y-94466144D01* -X98433332Y-94462360D01* -X98427421Y-94457976D01* -X98421967Y-94453033D01* -X98417024Y-94447579D01* -X98412640Y-94441668D01* -X98408856Y-94435355D01* -X98405709Y-94428701D01* -X98403229Y-94421771D01* -X98401441Y-94414632D01* -X98400361Y-94407351D01* -X98400000Y-94400000D01* -X98400000Y-93075000D01* -X98400361Y-93067649D01* -X98401441Y-93060368D01* -X98403229Y-93053229D01* -X98405709Y-93046299D01* -X98408856Y-93039645D01* -X98412640Y-93033332D01* -X98417024Y-93027421D01* -X98421967Y-93021967D01* -X98427421Y-93017024D01* -X98433332Y-93012640D01* -X98439645Y-93008856D01* -X98446299Y-93005709D01* -X98453229Y-93003229D01* -X98460368Y-93001441D01* -X98467649Y-93000361D01* -X98475000Y-93000000D01* -X98625000Y-93000000D01* -X98632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99132351Y-93000361D02* -G01* -X99139632Y-93001441D01* -X99146771Y-93003229D01* -X99153701Y-93005709D01* -X99160355Y-93008856D01* -X99166668Y-93012640D01* -X99172579Y-93017024D01* -X99178033Y-93021967D01* -X99182976Y-93027421D01* -X99187360Y-93033332D01* -X99191144Y-93039645D01* -X99194291Y-93046299D01* -X99196771Y-93053229D01* -X99198559Y-93060368D01* -X99199639Y-93067649D01* -X99200000Y-93075000D01* -X99200000Y-94400000D01* -X99199639Y-94407351D01* -X99198559Y-94414632D01* -X99196771Y-94421771D01* -X99194291Y-94428701D01* -X99191144Y-94435355D01* -X99187360Y-94441668D01* -X99182976Y-94447579D01* -X99178033Y-94453033D01* -X99172579Y-94457976D01* -X99166668Y-94462360D01* -X99160355Y-94466144D01* -X99153701Y-94469291D01* -X99146771Y-94471771D01* -X99139632Y-94473559D01* -X99132351Y-94474639D01* -X99125000Y-94475000D01* -X98975000Y-94475000D01* -X98967649Y-94474639D01* -X98960368Y-94473559D01* -X98953229Y-94471771D01* -X98946299Y-94469291D01* -X98939645Y-94466144D01* -X98933332Y-94462360D01* -X98927421Y-94457976D01* -X98921967Y-94453033D01* -X98917024Y-94447579D01* -X98912640Y-94441668D01* -X98908856Y-94435355D01* -X98905709Y-94428701D01* -X98903229Y-94421771D01* -X98901441Y-94414632D01* -X98900361Y-94407351D01* -X98900000Y-94400000D01* -X98900000Y-93075000D01* -X98900361Y-93067649D01* -X98901441Y-93060368D01* -X98903229Y-93053229D01* -X98905709Y-93046299D01* -X98908856Y-93039645D01* -X98912640Y-93033332D01* -X98917024Y-93027421D01* -X98921967Y-93021967D01* -X98927421Y-93017024D01* -X98933332Y-93012640D01* -X98939645Y-93008856D01* -X98946299Y-93005709D01* -X98953229Y-93003229D01* -X98960368Y-93001441D01* -X98967649Y-93000361D01* -X98975000Y-93000000D01* -X99125000Y-93000000D01* -X99132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99632351Y-93000361D02* -G01* -X99639632Y-93001441D01* -X99646771Y-93003229D01* -X99653701Y-93005709D01* -X99660355Y-93008856D01* -X99666668Y-93012640D01* -X99672579Y-93017024D01* -X99678033Y-93021967D01* -X99682976Y-93027421D01* -X99687360Y-93033332D01* -X99691144Y-93039645D01* -X99694291Y-93046299D01* -X99696771Y-93053229D01* -X99698559Y-93060368D01* -X99699639Y-93067649D01* -X99700000Y-93075000D01* -X99700000Y-94400000D01* -X99699639Y-94407351D01* -X99698559Y-94414632D01* -X99696771Y-94421771D01* -X99694291Y-94428701D01* -X99691144Y-94435355D01* -X99687360Y-94441668D01* -X99682976Y-94447579D01* -X99678033Y-94453033D01* -X99672579Y-94457976D01* -X99666668Y-94462360D01* -X99660355Y-94466144D01* -X99653701Y-94469291D01* -X99646771Y-94471771D01* -X99639632Y-94473559D01* -X99632351Y-94474639D01* -X99625000Y-94475000D01* -X99475000Y-94475000D01* -X99467649Y-94474639D01* -X99460368Y-94473559D01* -X99453229Y-94471771D01* -X99446299Y-94469291D01* -X99439645Y-94466144D01* -X99433332Y-94462360D01* -X99427421Y-94457976D01* -X99421967Y-94453033D01* -X99417024Y-94447579D01* -X99412640Y-94441668D01* -X99408856Y-94435355D01* -X99405709Y-94428701D01* -X99403229Y-94421771D01* -X99401441Y-94414632D01* -X99400361Y-94407351D01* -X99400000Y-94400000D01* -X99400000Y-93075000D01* -X99400361Y-93067649D01* -X99401441Y-93060368D01* -X99403229Y-93053229D01* -X99405709Y-93046299D01* -X99408856Y-93039645D01* -X99412640Y-93033332D01* -X99417024Y-93027421D01* -X99421967Y-93021967D01* -X99427421Y-93017024D01* -X99433332Y-93012640D01* -X99439645Y-93008856D01* -X99446299Y-93005709D01* -X99453229Y-93003229D01* -X99460368Y-93001441D01* -X99467649Y-93000361D01* -X99475000Y-93000000D01* -X99625000Y-93000000D01* -X99632351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X100132351Y-93000361D02* -G01* -X100139632Y-93001441D01* -X100146771Y-93003229D01* -X100153701Y-93005709D01* -X100160355Y-93008856D01* -X100166668Y-93012640D01* -X100172579Y-93017024D01* -X100178033Y-93021967D01* -X100182976Y-93027421D01* -X100187360Y-93033332D01* -X100191144Y-93039645D01* -X100194291Y-93046299D01* -X100196771Y-93053229D01* -X100198559Y-93060368D01* -X100199639Y-93067649D01* -X100200000Y-93075000D01* -X100200000Y-94400000D01* -X100199639Y-94407351D01* -X100198559Y-94414632D01* -X100196771Y-94421771D01* -X100194291Y-94428701D01* -X100191144Y-94435355D01* -X100187360Y-94441668D01* -X100182976Y-94447579D01* -X100178033Y-94453033D01* -X100172579Y-94457976D01* -X100166668Y-94462360D01* -X100160355Y-94466144D01* -X100153701Y-94469291D01* -X100146771Y-94471771D01* -X100139632Y-94473559D01* -X100132351Y-94474639D01* -X100125000Y-94475000D01* -X99975000Y-94475000D01* -X99967649Y-94474639D01* -X99960368Y-94473559D01* -X99953229Y-94471771D01* -X99946299Y-94469291D01* -X99939645Y-94466144D01* -X99933332Y-94462360D01* -X99927421Y-94457976D01* -X99921967Y-94453033D01* -X99917024Y-94447579D01* -X99912640Y-94441668D01* -X99908856Y-94435355D01* -X99905709Y-94428701D01* -X99903229Y-94421771D01* -X99901441Y-94414632D01* -X99900361Y-94407351D01* -X99900000Y-94400000D01* -X99900000Y-93075000D01* -X99900361Y-93067649D01* -X99901441Y-93060368D01* -X99903229Y-93053229D01* -X99905709Y-93046299D01* -X99908856Y-93039645D01* -X99912640Y-93033332D01* -X99917024Y-93027421D01* -X99921967Y-93021967D01* -X99927421Y-93017024D01* -X99933332Y-93012640D01* -X99939645Y-93008856D01* -X99946299Y-93005709D01* -X99953229Y-93003229D01* -X99960368Y-93001441D01* -X99967649Y-93000361D01* -X99975000Y-93000000D01* -X100125000Y-93000000D01* -X100132351Y-93000361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-95250361D02* -G01* -X102389632Y-95251441D01* -X102396771Y-95253229D01* -X102403701Y-95255709D01* -X102410355Y-95258856D01* -X102416668Y-95262640D01* -X102422579Y-95267024D01* -X102428033Y-95271967D01* -X102432976Y-95277421D01* -X102437360Y-95283332D01* -X102441144Y-95289645D01* -X102444291Y-95296299D01* -X102446771Y-95303229D01* -X102448559Y-95310368D01* -X102449639Y-95317649D01* -X102450000Y-95325000D01* -X102450000Y-95475000D01* -X102449639Y-95482351D01* -X102448559Y-95489632D01* -X102446771Y-95496771D01* -X102444291Y-95503701D01* -X102441144Y-95510355D01* -X102437360Y-95516668D01* -X102432976Y-95522579D01* -X102428033Y-95528033D01* -X102422579Y-95532976D01* -X102416668Y-95537360D01* -X102410355Y-95541144D01* -X102403701Y-95544291D01* -X102396771Y-95546771D01* -X102389632Y-95548559D01* -X102382351Y-95549639D01* -X102375000Y-95550000D01* -X101050000Y-95550000D01* -X101042649Y-95549639D01* -X101035368Y-95548559D01* -X101028229Y-95546771D01* -X101021299Y-95544291D01* -X101014645Y-95541144D01* -X101008332Y-95537360D01* -X101002421Y-95532976D01* -X100996967Y-95528033D01* -X100992024Y-95522579D01* -X100987640Y-95516668D01* -X100983856Y-95510355D01* -X100980709Y-95503701D01* -X100978229Y-95496771D01* -X100976441Y-95489632D01* -X100975361Y-95482351D01* -X100975000Y-95475000D01* -X100975000Y-95325000D01* -X100975361Y-95317649D01* -X100976441Y-95310368D01* -X100978229Y-95303229D01* -X100980709Y-95296299D01* -X100983856Y-95289645D01* -X100987640Y-95283332D01* -X100992024Y-95277421D01* -X100996967Y-95271967D01* -X101002421Y-95267024D01* -X101008332Y-95262640D01* -X101014645Y-95258856D01* -X101021299Y-95255709D01* -X101028229Y-95253229D01* -X101035368Y-95251441D01* -X101042649Y-95250361D01* -X101050000Y-95250000D01* -X102375000Y-95250000D01* -X102382351Y-95250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-95750361D02* -G01* -X102389632Y-95751441D01* -X102396771Y-95753229D01* -X102403701Y-95755709D01* -X102410355Y-95758856D01* -X102416668Y-95762640D01* -X102422579Y-95767024D01* -X102428033Y-95771967D01* -X102432976Y-95777421D01* -X102437360Y-95783332D01* -X102441144Y-95789645D01* -X102444291Y-95796299D01* -X102446771Y-95803229D01* -X102448559Y-95810368D01* -X102449639Y-95817649D01* -X102450000Y-95825000D01* -X102450000Y-95975000D01* -X102449639Y-95982351D01* -X102448559Y-95989632D01* -X102446771Y-95996771D01* -X102444291Y-96003701D01* -X102441144Y-96010355D01* -X102437360Y-96016668D01* -X102432976Y-96022579D01* -X102428033Y-96028033D01* -X102422579Y-96032976D01* -X102416668Y-96037360D01* -X102410355Y-96041144D01* -X102403701Y-96044291D01* -X102396771Y-96046771D01* -X102389632Y-96048559D01* -X102382351Y-96049639D01* -X102375000Y-96050000D01* -X101050000Y-96050000D01* -X101042649Y-96049639D01* -X101035368Y-96048559D01* -X101028229Y-96046771D01* -X101021299Y-96044291D01* -X101014645Y-96041144D01* -X101008332Y-96037360D01* -X101002421Y-96032976D01* -X100996967Y-96028033D01* -X100992024Y-96022579D01* -X100987640Y-96016668D01* -X100983856Y-96010355D01* -X100980709Y-96003701D01* -X100978229Y-95996771D01* -X100976441Y-95989632D01* -X100975361Y-95982351D01* -X100975000Y-95975000D01* -X100975000Y-95825000D01* -X100975361Y-95817649D01* -X100976441Y-95810368D01* -X100978229Y-95803229D01* -X100980709Y-95796299D01* -X100983856Y-95789645D01* -X100987640Y-95783332D01* -X100992024Y-95777421D01* -X100996967Y-95771967D01* -X101002421Y-95767024D01* -X101008332Y-95762640D01* -X101014645Y-95758856D01* -X101021299Y-95755709D01* -X101028229Y-95753229D01* -X101035368Y-95751441D01* -X101042649Y-95750361D01* -X101050000Y-95750000D01* -X102375000Y-95750000D01* -X102382351Y-95750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-96250361D02* -G01* -X102389632Y-96251441D01* -X102396771Y-96253229D01* -X102403701Y-96255709D01* -X102410355Y-96258856D01* -X102416668Y-96262640D01* -X102422579Y-96267024D01* -X102428033Y-96271967D01* -X102432976Y-96277421D01* -X102437360Y-96283332D01* -X102441144Y-96289645D01* -X102444291Y-96296299D01* -X102446771Y-96303229D01* -X102448559Y-96310368D01* -X102449639Y-96317649D01* -X102450000Y-96325000D01* -X102450000Y-96475000D01* -X102449639Y-96482351D01* -X102448559Y-96489632D01* -X102446771Y-96496771D01* -X102444291Y-96503701D01* -X102441144Y-96510355D01* -X102437360Y-96516668D01* -X102432976Y-96522579D01* -X102428033Y-96528033D01* -X102422579Y-96532976D01* -X102416668Y-96537360D01* -X102410355Y-96541144D01* -X102403701Y-96544291D01* -X102396771Y-96546771D01* -X102389632Y-96548559D01* -X102382351Y-96549639D01* -X102375000Y-96550000D01* -X101050000Y-96550000D01* -X101042649Y-96549639D01* -X101035368Y-96548559D01* -X101028229Y-96546771D01* -X101021299Y-96544291D01* -X101014645Y-96541144D01* -X101008332Y-96537360D01* -X101002421Y-96532976D01* -X100996967Y-96528033D01* -X100992024Y-96522579D01* -X100987640Y-96516668D01* -X100983856Y-96510355D01* -X100980709Y-96503701D01* -X100978229Y-96496771D01* -X100976441Y-96489632D01* -X100975361Y-96482351D01* -X100975000Y-96475000D01* -X100975000Y-96325000D01* -X100975361Y-96317649D01* -X100976441Y-96310368D01* -X100978229Y-96303229D01* -X100980709Y-96296299D01* -X100983856Y-96289645D01* -X100987640Y-96283332D01* -X100992024Y-96277421D01* -X100996967Y-96271967D01* -X101002421Y-96267024D01* -X101008332Y-96262640D01* -X101014645Y-96258856D01* -X101021299Y-96255709D01* -X101028229Y-96253229D01* -X101035368Y-96251441D01* -X101042649Y-96250361D01* -X101050000Y-96250000D01* -X102375000Y-96250000D01* -X102382351Y-96250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-96750361D02* -G01* -X102389632Y-96751441D01* -X102396771Y-96753229D01* -X102403701Y-96755709D01* -X102410355Y-96758856D01* -X102416668Y-96762640D01* -X102422579Y-96767024D01* -X102428033Y-96771967D01* -X102432976Y-96777421D01* -X102437360Y-96783332D01* -X102441144Y-96789645D01* -X102444291Y-96796299D01* -X102446771Y-96803229D01* -X102448559Y-96810368D01* -X102449639Y-96817649D01* -X102450000Y-96825000D01* -X102450000Y-96975000D01* -X102449639Y-96982351D01* -X102448559Y-96989632D01* -X102446771Y-96996771D01* -X102444291Y-97003701D01* -X102441144Y-97010355D01* -X102437360Y-97016668D01* -X102432976Y-97022579D01* -X102428033Y-97028033D01* -X102422579Y-97032976D01* -X102416668Y-97037360D01* -X102410355Y-97041144D01* -X102403701Y-97044291D01* -X102396771Y-97046771D01* -X102389632Y-97048559D01* -X102382351Y-97049639D01* -X102375000Y-97050000D01* -X101050000Y-97050000D01* -X101042649Y-97049639D01* -X101035368Y-97048559D01* -X101028229Y-97046771D01* -X101021299Y-97044291D01* -X101014645Y-97041144D01* -X101008332Y-97037360D01* -X101002421Y-97032976D01* -X100996967Y-97028033D01* -X100992024Y-97022579D01* -X100987640Y-97016668D01* -X100983856Y-97010355D01* -X100980709Y-97003701D01* -X100978229Y-96996771D01* -X100976441Y-96989632D01* -X100975361Y-96982351D01* -X100975000Y-96975000D01* -X100975000Y-96825000D01* -X100975361Y-96817649D01* -X100976441Y-96810368D01* -X100978229Y-96803229D01* -X100980709Y-96796299D01* -X100983856Y-96789645D01* -X100987640Y-96783332D01* -X100992024Y-96777421D01* -X100996967Y-96771967D01* -X101002421Y-96767024D01* -X101008332Y-96762640D01* -X101014645Y-96758856D01* -X101021299Y-96755709D01* -X101028229Y-96753229D01* -X101035368Y-96751441D01* -X101042649Y-96750361D01* -X101050000Y-96750000D01* -X102375000Y-96750000D01* -X102382351Y-96750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-97250361D02* -G01* -X102389632Y-97251441D01* -X102396771Y-97253229D01* -X102403701Y-97255709D01* -X102410355Y-97258856D01* -X102416668Y-97262640D01* -X102422579Y-97267024D01* -X102428033Y-97271967D01* -X102432976Y-97277421D01* -X102437360Y-97283332D01* -X102441144Y-97289645D01* -X102444291Y-97296299D01* -X102446771Y-97303229D01* -X102448559Y-97310368D01* -X102449639Y-97317649D01* -X102450000Y-97325000D01* -X102450000Y-97475000D01* -X102449639Y-97482351D01* -X102448559Y-97489632D01* -X102446771Y-97496771D01* -X102444291Y-97503701D01* -X102441144Y-97510355D01* -X102437360Y-97516668D01* -X102432976Y-97522579D01* -X102428033Y-97528033D01* -X102422579Y-97532976D01* -X102416668Y-97537360D01* -X102410355Y-97541144D01* -X102403701Y-97544291D01* -X102396771Y-97546771D01* -X102389632Y-97548559D01* -X102382351Y-97549639D01* -X102375000Y-97550000D01* -X101050000Y-97550000D01* -X101042649Y-97549639D01* -X101035368Y-97548559D01* -X101028229Y-97546771D01* -X101021299Y-97544291D01* -X101014645Y-97541144D01* -X101008332Y-97537360D01* -X101002421Y-97532976D01* -X100996967Y-97528033D01* -X100992024Y-97522579D01* -X100987640Y-97516668D01* -X100983856Y-97510355D01* -X100980709Y-97503701D01* -X100978229Y-97496771D01* -X100976441Y-97489632D01* -X100975361Y-97482351D01* -X100975000Y-97475000D01* -X100975000Y-97325000D01* -X100975361Y-97317649D01* -X100976441Y-97310368D01* -X100978229Y-97303229D01* -X100980709Y-97296299D01* -X100983856Y-97289645D01* -X100987640Y-97283332D01* -X100992024Y-97277421D01* -X100996967Y-97271967D01* -X101002421Y-97267024D01* -X101008332Y-97262640D01* -X101014645Y-97258856D01* -X101021299Y-97255709D01* -X101028229Y-97253229D01* -X101035368Y-97251441D01* -X101042649Y-97250361D01* -X101050000Y-97250000D01* -X102375000Y-97250000D01* -X102382351Y-97250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-97750361D02* -G01* -X102389632Y-97751441D01* -X102396771Y-97753229D01* -X102403701Y-97755709D01* -X102410355Y-97758856D01* -X102416668Y-97762640D01* -X102422579Y-97767024D01* -X102428033Y-97771967D01* -X102432976Y-97777421D01* -X102437360Y-97783332D01* -X102441144Y-97789645D01* -X102444291Y-97796299D01* -X102446771Y-97803229D01* -X102448559Y-97810368D01* -X102449639Y-97817649D01* -X102450000Y-97825000D01* -X102450000Y-97975000D01* -X102449639Y-97982351D01* -X102448559Y-97989632D01* -X102446771Y-97996771D01* -X102444291Y-98003701D01* -X102441144Y-98010355D01* -X102437360Y-98016668D01* -X102432976Y-98022579D01* -X102428033Y-98028033D01* -X102422579Y-98032976D01* -X102416668Y-98037360D01* -X102410355Y-98041144D01* -X102403701Y-98044291D01* -X102396771Y-98046771D01* -X102389632Y-98048559D01* -X102382351Y-98049639D01* -X102375000Y-98050000D01* -X101050000Y-98050000D01* -X101042649Y-98049639D01* -X101035368Y-98048559D01* -X101028229Y-98046771D01* -X101021299Y-98044291D01* -X101014645Y-98041144D01* -X101008332Y-98037360D01* -X101002421Y-98032976D01* -X100996967Y-98028033D01* -X100992024Y-98022579D01* -X100987640Y-98016668D01* -X100983856Y-98010355D01* -X100980709Y-98003701D01* -X100978229Y-97996771D01* -X100976441Y-97989632D01* -X100975361Y-97982351D01* -X100975000Y-97975000D01* -X100975000Y-97825000D01* -X100975361Y-97817649D01* -X100976441Y-97810368D01* -X100978229Y-97803229D01* -X100980709Y-97796299D01* -X100983856Y-97789645D01* -X100987640Y-97783332D01* -X100992024Y-97777421D01* -X100996967Y-97771967D01* -X101002421Y-97767024D01* -X101008332Y-97762640D01* -X101014645Y-97758856D01* -X101021299Y-97755709D01* -X101028229Y-97753229D01* -X101035368Y-97751441D01* -X101042649Y-97750361D01* -X101050000Y-97750000D01* -X102375000Y-97750000D01* -X102382351Y-97750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-98250361D02* -G01* -X102389632Y-98251441D01* -X102396771Y-98253229D01* -X102403701Y-98255709D01* -X102410355Y-98258856D01* -X102416668Y-98262640D01* -X102422579Y-98267024D01* -X102428033Y-98271967D01* -X102432976Y-98277421D01* -X102437360Y-98283332D01* -X102441144Y-98289645D01* -X102444291Y-98296299D01* -X102446771Y-98303229D01* -X102448559Y-98310368D01* -X102449639Y-98317649D01* -X102450000Y-98325000D01* -X102450000Y-98475000D01* -X102449639Y-98482351D01* -X102448559Y-98489632D01* -X102446771Y-98496771D01* -X102444291Y-98503701D01* -X102441144Y-98510355D01* -X102437360Y-98516668D01* -X102432976Y-98522579D01* -X102428033Y-98528033D01* -X102422579Y-98532976D01* -X102416668Y-98537360D01* -X102410355Y-98541144D01* -X102403701Y-98544291D01* -X102396771Y-98546771D01* -X102389632Y-98548559D01* -X102382351Y-98549639D01* -X102375000Y-98550000D01* -X101050000Y-98550000D01* -X101042649Y-98549639D01* -X101035368Y-98548559D01* -X101028229Y-98546771D01* -X101021299Y-98544291D01* -X101014645Y-98541144D01* -X101008332Y-98537360D01* -X101002421Y-98532976D01* -X100996967Y-98528033D01* -X100992024Y-98522579D01* -X100987640Y-98516668D01* -X100983856Y-98510355D01* -X100980709Y-98503701D01* -X100978229Y-98496771D01* -X100976441Y-98489632D01* -X100975361Y-98482351D01* -X100975000Y-98475000D01* -X100975000Y-98325000D01* -X100975361Y-98317649D01* -X100976441Y-98310368D01* -X100978229Y-98303229D01* -X100980709Y-98296299D01* -X100983856Y-98289645D01* -X100987640Y-98283332D01* -X100992024Y-98277421D01* -X100996967Y-98271967D01* -X101002421Y-98267024D01* -X101008332Y-98262640D01* -X101014645Y-98258856D01* -X101021299Y-98255709D01* -X101028229Y-98253229D01* -X101035368Y-98251441D01* -X101042649Y-98250361D01* -X101050000Y-98250000D01* -X102375000Y-98250000D01* -X102382351Y-98250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-98750361D02* -G01* -X102389632Y-98751441D01* -X102396771Y-98753229D01* -X102403701Y-98755709D01* -X102410355Y-98758856D01* -X102416668Y-98762640D01* -X102422579Y-98767024D01* -X102428033Y-98771967D01* -X102432976Y-98777421D01* -X102437360Y-98783332D01* -X102441144Y-98789645D01* -X102444291Y-98796299D01* -X102446771Y-98803229D01* -X102448559Y-98810368D01* -X102449639Y-98817649D01* -X102450000Y-98825000D01* -X102450000Y-98975000D01* -X102449639Y-98982351D01* -X102448559Y-98989632D01* -X102446771Y-98996771D01* -X102444291Y-99003701D01* -X102441144Y-99010355D01* -X102437360Y-99016668D01* -X102432976Y-99022579D01* -X102428033Y-99028033D01* -X102422579Y-99032976D01* -X102416668Y-99037360D01* -X102410355Y-99041144D01* -X102403701Y-99044291D01* -X102396771Y-99046771D01* -X102389632Y-99048559D01* -X102382351Y-99049639D01* -X102375000Y-99050000D01* -X101050000Y-99050000D01* -X101042649Y-99049639D01* -X101035368Y-99048559D01* -X101028229Y-99046771D01* -X101021299Y-99044291D01* -X101014645Y-99041144D01* -X101008332Y-99037360D01* -X101002421Y-99032976D01* -X100996967Y-99028033D01* -X100992024Y-99022579D01* -X100987640Y-99016668D01* -X100983856Y-99010355D01* -X100980709Y-99003701D01* -X100978229Y-98996771D01* -X100976441Y-98989632D01* -X100975361Y-98982351D01* -X100975000Y-98975000D01* -X100975000Y-98825000D01* -X100975361Y-98817649D01* -X100976441Y-98810368D01* -X100978229Y-98803229D01* -X100980709Y-98796299D01* -X100983856Y-98789645D01* -X100987640Y-98783332D01* -X100992024Y-98777421D01* -X100996967Y-98771967D01* -X101002421Y-98767024D01* -X101008332Y-98762640D01* -X101014645Y-98758856D01* -X101021299Y-98755709D01* -X101028229Y-98753229D01* -X101035368Y-98751441D01* -X101042649Y-98750361D01* -X101050000Y-98750000D01* -X102375000Y-98750000D01* -X102382351Y-98750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-99250361D02* -G01* -X102389632Y-99251441D01* -X102396771Y-99253229D01* -X102403701Y-99255709D01* -X102410355Y-99258856D01* -X102416668Y-99262640D01* -X102422579Y-99267024D01* -X102428033Y-99271967D01* -X102432976Y-99277421D01* -X102437360Y-99283332D01* -X102441144Y-99289645D01* -X102444291Y-99296299D01* -X102446771Y-99303229D01* -X102448559Y-99310368D01* -X102449639Y-99317649D01* -X102450000Y-99325000D01* -X102450000Y-99475000D01* -X102449639Y-99482351D01* -X102448559Y-99489632D01* -X102446771Y-99496771D01* -X102444291Y-99503701D01* -X102441144Y-99510355D01* -X102437360Y-99516668D01* -X102432976Y-99522579D01* -X102428033Y-99528033D01* -X102422579Y-99532976D01* -X102416668Y-99537360D01* -X102410355Y-99541144D01* -X102403701Y-99544291D01* -X102396771Y-99546771D01* -X102389632Y-99548559D01* -X102382351Y-99549639D01* -X102375000Y-99550000D01* -X101050000Y-99550000D01* -X101042649Y-99549639D01* -X101035368Y-99548559D01* -X101028229Y-99546771D01* -X101021299Y-99544291D01* -X101014645Y-99541144D01* -X101008332Y-99537360D01* -X101002421Y-99532976D01* -X100996967Y-99528033D01* -X100992024Y-99522579D01* -X100987640Y-99516668D01* -X100983856Y-99510355D01* -X100980709Y-99503701D01* -X100978229Y-99496771D01* -X100976441Y-99489632D01* -X100975361Y-99482351D01* -X100975000Y-99475000D01* -X100975000Y-99325000D01* -X100975361Y-99317649D01* -X100976441Y-99310368D01* -X100978229Y-99303229D01* -X100980709Y-99296299D01* -X100983856Y-99289645D01* -X100987640Y-99283332D01* -X100992024Y-99277421D01* -X100996967Y-99271967D01* -X101002421Y-99267024D01* -X101008332Y-99262640D01* -X101014645Y-99258856D01* -X101021299Y-99255709D01* -X101028229Y-99253229D01* -X101035368Y-99251441D01* -X101042649Y-99250361D01* -X101050000Y-99250000D01* -X102375000Y-99250000D01* -X102382351Y-99250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-99750361D02* -G01* -X102389632Y-99751441D01* -X102396771Y-99753229D01* -X102403701Y-99755709D01* -X102410355Y-99758856D01* -X102416668Y-99762640D01* -X102422579Y-99767024D01* -X102428033Y-99771967D01* -X102432976Y-99777421D01* -X102437360Y-99783332D01* -X102441144Y-99789645D01* -X102444291Y-99796299D01* -X102446771Y-99803229D01* -X102448559Y-99810368D01* -X102449639Y-99817649D01* -X102450000Y-99825000D01* -X102450000Y-99975000D01* -X102449639Y-99982351D01* -X102448559Y-99989632D01* -X102446771Y-99996771D01* -X102444291Y-100003701D01* -X102441144Y-100010355D01* -X102437360Y-100016668D01* -X102432976Y-100022579D01* -X102428033Y-100028033D01* -X102422579Y-100032976D01* -X102416668Y-100037360D01* -X102410355Y-100041144D01* -X102403701Y-100044291D01* -X102396771Y-100046771D01* -X102389632Y-100048559D01* -X102382351Y-100049639D01* -X102375000Y-100050000D01* -X101050000Y-100050000D01* -X101042649Y-100049639D01* -X101035368Y-100048559D01* -X101028229Y-100046771D01* -X101021299Y-100044291D01* -X101014645Y-100041144D01* -X101008332Y-100037360D01* -X101002421Y-100032976D01* -X100996967Y-100028033D01* -X100992024Y-100022579D01* -X100987640Y-100016668D01* -X100983856Y-100010355D01* -X100980709Y-100003701D01* -X100978229Y-99996771D01* -X100976441Y-99989632D01* -X100975361Y-99982351D01* -X100975000Y-99975000D01* -X100975000Y-99825000D01* -X100975361Y-99817649D01* -X100976441Y-99810368D01* -X100978229Y-99803229D01* -X100980709Y-99796299D01* -X100983856Y-99789645D01* -X100987640Y-99783332D01* -X100992024Y-99777421D01* -X100996967Y-99771967D01* -X101002421Y-99767024D01* -X101008332Y-99762640D01* -X101014645Y-99758856D01* -X101021299Y-99755709D01* -X101028229Y-99753229D01* -X101035368Y-99751441D01* -X101042649Y-99750361D01* -X101050000Y-99750000D01* -X102375000Y-99750000D01* -X102382351Y-99750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-100250361D02* -G01* -X102389632Y-100251441D01* -X102396771Y-100253229D01* -X102403701Y-100255709D01* -X102410355Y-100258856D01* -X102416668Y-100262640D01* -X102422579Y-100267024D01* -X102428033Y-100271967D01* -X102432976Y-100277421D01* -X102437360Y-100283332D01* -X102441144Y-100289645D01* -X102444291Y-100296299D01* -X102446771Y-100303229D01* -X102448559Y-100310368D01* -X102449639Y-100317649D01* -X102450000Y-100325000D01* -X102450000Y-100475000D01* -X102449639Y-100482351D01* -X102448559Y-100489632D01* -X102446771Y-100496771D01* -X102444291Y-100503701D01* -X102441144Y-100510355D01* -X102437360Y-100516668D01* -X102432976Y-100522579D01* -X102428033Y-100528033D01* -X102422579Y-100532976D01* -X102416668Y-100537360D01* -X102410355Y-100541144D01* -X102403701Y-100544291D01* -X102396771Y-100546771D01* -X102389632Y-100548559D01* -X102382351Y-100549639D01* -X102375000Y-100550000D01* -X101050000Y-100550000D01* -X101042649Y-100549639D01* -X101035368Y-100548559D01* -X101028229Y-100546771D01* -X101021299Y-100544291D01* -X101014645Y-100541144D01* -X101008332Y-100537360D01* -X101002421Y-100532976D01* -X100996967Y-100528033D01* -X100992024Y-100522579D01* -X100987640Y-100516668D01* -X100983856Y-100510355D01* -X100980709Y-100503701D01* -X100978229Y-100496771D01* -X100976441Y-100489632D01* -X100975361Y-100482351D01* -X100975000Y-100475000D01* -X100975000Y-100325000D01* -X100975361Y-100317649D01* -X100976441Y-100310368D01* -X100978229Y-100303229D01* -X100980709Y-100296299D01* -X100983856Y-100289645D01* -X100987640Y-100283332D01* -X100992024Y-100277421D01* -X100996967Y-100271967D01* -X101002421Y-100267024D01* -X101008332Y-100262640D01* -X101014645Y-100258856D01* -X101021299Y-100255709D01* -X101028229Y-100253229D01* -X101035368Y-100251441D01* -X101042649Y-100250361D01* -X101050000Y-100250000D01* -X102375000Y-100250000D01* -X102382351Y-100250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-100750361D02* -G01* -X102389632Y-100751441D01* -X102396771Y-100753229D01* -X102403701Y-100755709D01* -X102410355Y-100758856D01* -X102416668Y-100762640D01* -X102422579Y-100767024D01* -X102428033Y-100771967D01* -X102432976Y-100777421D01* -X102437360Y-100783332D01* -X102441144Y-100789645D01* -X102444291Y-100796299D01* -X102446771Y-100803229D01* -X102448559Y-100810368D01* -X102449639Y-100817649D01* -X102450000Y-100825000D01* -X102450000Y-100975000D01* -X102449639Y-100982351D01* -X102448559Y-100989632D01* -X102446771Y-100996771D01* -X102444291Y-101003701D01* -X102441144Y-101010355D01* -X102437360Y-101016668D01* -X102432976Y-101022579D01* -X102428033Y-101028033D01* -X102422579Y-101032976D01* -X102416668Y-101037360D01* -X102410355Y-101041144D01* -X102403701Y-101044291D01* -X102396771Y-101046771D01* -X102389632Y-101048559D01* -X102382351Y-101049639D01* -X102375000Y-101050000D01* -X101050000Y-101050000D01* -X101042649Y-101049639D01* -X101035368Y-101048559D01* -X101028229Y-101046771D01* -X101021299Y-101044291D01* -X101014645Y-101041144D01* -X101008332Y-101037360D01* -X101002421Y-101032976D01* -X100996967Y-101028033D01* -X100992024Y-101022579D01* -X100987640Y-101016668D01* -X100983856Y-101010355D01* -X100980709Y-101003701D01* -X100978229Y-100996771D01* -X100976441Y-100989632D01* -X100975361Y-100982351D01* -X100975000Y-100975000D01* -X100975000Y-100825000D01* -X100975361Y-100817649D01* -X100976441Y-100810368D01* -X100978229Y-100803229D01* -X100980709Y-100796299D01* -X100983856Y-100789645D01* -X100987640Y-100783332D01* -X100992024Y-100777421D01* -X100996967Y-100771967D01* -X101002421Y-100767024D01* -X101008332Y-100762640D01* -X101014645Y-100758856D01* -X101021299Y-100755709D01* -X101028229Y-100753229D01* -X101035368Y-100751441D01* -X101042649Y-100750361D01* -X101050000Y-100750000D01* -X102375000Y-100750000D01* -X102382351Y-100750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-101250361D02* -G01* -X102389632Y-101251441D01* -X102396771Y-101253229D01* -X102403701Y-101255709D01* -X102410355Y-101258856D01* -X102416668Y-101262640D01* -X102422579Y-101267024D01* -X102428033Y-101271967D01* -X102432976Y-101277421D01* -X102437360Y-101283332D01* -X102441144Y-101289645D01* -X102444291Y-101296299D01* -X102446771Y-101303229D01* -X102448559Y-101310368D01* -X102449639Y-101317649D01* -X102450000Y-101325000D01* -X102450000Y-101475000D01* -X102449639Y-101482351D01* -X102448559Y-101489632D01* -X102446771Y-101496771D01* -X102444291Y-101503701D01* -X102441144Y-101510355D01* -X102437360Y-101516668D01* -X102432976Y-101522579D01* -X102428033Y-101528033D01* -X102422579Y-101532976D01* -X102416668Y-101537360D01* -X102410355Y-101541144D01* -X102403701Y-101544291D01* -X102396771Y-101546771D01* -X102389632Y-101548559D01* -X102382351Y-101549639D01* -X102375000Y-101550000D01* -X101050000Y-101550000D01* -X101042649Y-101549639D01* -X101035368Y-101548559D01* -X101028229Y-101546771D01* -X101021299Y-101544291D01* -X101014645Y-101541144D01* -X101008332Y-101537360D01* -X101002421Y-101532976D01* -X100996967Y-101528033D01* -X100992024Y-101522579D01* -X100987640Y-101516668D01* -X100983856Y-101510355D01* -X100980709Y-101503701D01* -X100978229Y-101496771D01* -X100976441Y-101489632D01* -X100975361Y-101482351D01* -X100975000Y-101475000D01* -X100975000Y-101325000D01* -X100975361Y-101317649D01* -X100976441Y-101310368D01* -X100978229Y-101303229D01* -X100980709Y-101296299D01* -X100983856Y-101289645D01* -X100987640Y-101283332D01* -X100992024Y-101277421D01* -X100996967Y-101271967D01* -X101002421Y-101267024D01* -X101008332Y-101262640D01* -X101014645Y-101258856D01* -X101021299Y-101255709D01* -X101028229Y-101253229D01* -X101035368Y-101251441D01* -X101042649Y-101250361D01* -X101050000Y-101250000D01* -X102375000Y-101250000D01* -X102382351Y-101250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-101750361D02* -G01* -X102389632Y-101751441D01* -X102396771Y-101753229D01* -X102403701Y-101755709D01* -X102410355Y-101758856D01* -X102416668Y-101762640D01* -X102422579Y-101767024D01* -X102428033Y-101771967D01* -X102432976Y-101777421D01* -X102437360Y-101783332D01* -X102441144Y-101789645D01* -X102444291Y-101796299D01* -X102446771Y-101803229D01* -X102448559Y-101810368D01* -X102449639Y-101817649D01* -X102450000Y-101825000D01* -X102450000Y-101975000D01* -X102449639Y-101982351D01* -X102448559Y-101989632D01* -X102446771Y-101996771D01* -X102444291Y-102003701D01* -X102441144Y-102010355D01* -X102437360Y-102016668D01* -X102432976Y-102022579D01* -X102428033Y-102028033D01* -X102422579Y-102032976D01* -X102416668Y-102037360D01* -X102410355Y-102041144D01* -X102403701Y-102044291D01* -X102396771Y-102046771D01* -X102389632Y-102048559D01* -X102382351Y-102049639D01* -X102375000Y-102050000D01* -X101050000Y-102050000D01* -X101042649Y-102049639D01* -X101035368Y-102048559D01* -X101028229Y-102046771D01* -X101021299Y-102044291D01* -X101014645Y-102041144D01* -X101008332Y-102037360D01* -X101002421Y-102032976D01* -X100996967Y-102028033D01* -X100992024Y-102022579D01* -X100987640Y-102016668D01* -X100983856Y-102010355D01* -X100980709Y-102003701D01* -X100978229Y-101996771D01* -X100976441Y-101989632D01* -X100975361Y-101982351D01* -X100975000Y-101975000D01* -X100975000Y-101825000D01* -X100975361Y-101817649D01* -X100976441Y-101810368D01* -X100978229Y-101803229D01* -X100980709Y-101796299D01* -X100983856Y-101789645D01* -X100987640Y-101783332D01* -X100992024Y-101777421D01* -X100996967Y-101771967D01* -X101002421Y-101767024D01* -X101008332Y-101762640D01* -X101014645Y-101758856D01* -X101021299Y-101755709D01* -X101028229Y-101753229D01* -X101035368Y-101751441D01* -X101042649Y-101750361D01* -X101050000Y-101750000D01* -X102375000Y-101750000D01* -X102382351Y-101750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-102250361D02* -G01* -X102389632Y-102251441D01* -X102396771Y-102253229D01* -X102403701Y-102255709D01* -X102410355Y-102258856D01* -X102416668Y-102262640D01* -X102422579Y-102267024D01* -X102428033Y-102271967D01* -X102432976Y-102277421D01* -X102437360Y-102283332D01* -X102441144Y-102289645D01* -X102444291Y-102296299D01* -X102446771Y-102303229D01* -X102448559Y-102310368D01* -X102449639Y-102317649D01* -X102450000Y-102325000D01* -X102450000Y-102475000D01* -X102449639Y-102482351D01* -X102448559Y-102489632D01* -X102446771Y-102496771D01* -X102444291Y-102503701D01* -X102441144Y-102510355D01* -X102437360Y-102516668D01* -X102432976Y-102522579D01* -X102428033Y-102528033D01* -X102422579Y-102532976D01* -X102416668Y-102537360D01* -X102410355Y-102541144D01* -X102403701Y-102544291D01* -X102396771Y-102546771D01* -X102389632Y-102548559D01* -X102382351Y-102549639D01* -X102375000Y-102550000D01* -X101050000Y-102550000D01* -X101042649Y-102549639D01* -X101035368Y-102548559D01* -X101028229Y-102546771D01* -X101021299Y-102544291D01* -X101014645Y-102541144D01* -X101008332Y-102537360D01* -X101002421Y-102532976D01* -X100996967Y-102528033D01* -X100992024Y-102522579D01* -X100987640Y-102516668D01* -X100983856Y-102510355D01* -X100980709Y-102503701D01* -X100978229Y-102496771D01* -X100976441Y-102489632D01* -X100975361Y-102482351D01* -X100975000Y-102475000D01* -X100975000Y-102325000D01* -X100975361Y-102317649D01* -X100976441Y-102310368D01* -X100978229Y-102303229D01* -X100980709Y-102296299D01* -X100983856Y-102289645D01* -X100987640Y-102283332D01* -X100992024Y-102277421D01* -X100996967Y-102271967D01* -X101002421Y-102267024D01* -X101008332Y-102262640D01* -X101014645Y-102258856D01* -X101021299Y-102255709D01* -X101028229Y-102253229D01* -X101035368Y-102251441D01* -X101042649Y-102250361D01* -X101050000Y-102250000D01* -X102375000Y-102250000D01* -X102382351Y-102250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-102750361D02* -G01* -X102389632Y-102751441D01* -X102396771Y-102753229D01* -X102403701Y-102755709D01* -X102410355Y-102758856D01* -X102416668Y-102762640D01* -X102422579Y-102767024D01* -X102428033Y-102771967D01* -X102432976Y-102777421D01* -X102437360Y-102783332D01* -X102441144Y-102789645D01* -X102444291Y-102796299D01* -X102446771Y-102803229D01* -X102448559Y-102810368D01* -X102449639Y-102817649D01* -X102450000Y-102825000D01* -X102450000Y-102975000D01* -X102449639Y-102982351D01* -X102448559Y-102989632D01* -X102446771Y-102996771D01* -X102444291Y-103003701D01* -X102441144Y-103010355D01* -X102437360Y-103016668D01* -X102432976Y-103022579D01* -X102428033Y-103028033D01* -X102422579Y-103032976D01* -X102416668Y-103037360D01* -X102410355Y-103041144D01* -X102403701Y-103044291D01* -X102396771Y-103046771D01* -X102389632Y-103048559D01* -X102382351Y-103049639D01* -X102375000Y-103050000D01* -X101050000Y-103050000D01* -X101042649Y-103049639D01* -X101035368Y-103048559D01* -X101028229Y-103046771D01* -X101021299Y-103044291D01* -X101014645Y-103041144D01* -X101008332Y-103037360D01* -X101002421Y-103032976D01* -X100996967Y-103028033D01* -X100992024Y-103022579D01* -X100987640Y-103016668D01* -X100983856Y-103010355D01* -X100980709Y-103003701D01* -X100978229Y-102996771D01* -X100976441Y-102989632D01* -X100975361Y-102982351D01* -X100975000Y-102975000D01* -X100975000Y-102825000D01* -X100975361Y-102817649D01* -X100976441Y-102810368D01* -X100978229Y-102803229D01* -X100980709Y-102796299D01* -X100983856Y-102789645D01* -X100987640Y-102783332D01* -X100992024Y-102777421D01* -X100996967Y-102771967D01* -X101002421Y-102767024D01* -X101008332Y-102762640D01* -X101014645Y-102758856D01* -X101021299Y-102755709D01* -X101028229Y-102753229D01* -X101035368Y-102751441D01* -X101042649Y-102750361D01* -X101050000Y-102750000D01* -X102375000Y-102750000D01* -X102382351Y-102750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-103250361D02* -G01* -X102389632Y-103251441D01* -X102396771Y-103253229D01* -X102403701Y-103255709D01* -X102410355Y-103258856D01* -X102416668Y-103262640D01* -X102422579Y-103267024D01* -X102428033Y-103271967D01* -X102432976Y-103277421D01* -X102437360Y-103283332D01* -X102441144Y-103289645D01* -X102444291Y-103296299D01* -X102446771Y-103303229D01* -X102448559Y-103310368D01* -X102449639Y-103317649D01* -X102450000Y-103325000D01* -X102450000Y-103475000D01* -X102449639Y-103482351D01* -X102448559Y-103489632D01* -X102446771Y-103496771D01* -X102444291Y-103503701D01* -X102441144Y-103510355D01* -X102437360Y-103516668D01* -X102432976Y-103522579D01* -X102428033Y-103528033D01* -X102422579Y-103532976D01* -X102416668Y-103537360D01* -X102410355Y-103541144D01* -X102403701Y-103544291D01* -X102396771Y-103546771D01* -X102389632Y-103548559D01* -X102382351Y-103549639D01* -X102375000Y-103550000D01* -X101050000Y-103550000D01* -X101042649Y-103549639D01* -X101035368Y-103548559D01* -X101028229Y-103546771D01* -X101021299Y-103544291D01* -X101014645Y-103541144D01* -X101008332Y-103537360D01* -X101002421Y-103532976D01* -X100996967Y-103528033D01* -X100992024Y-103522579D01* -X100987640Y-103516668D01* -X100983856Y-103510355D01* -X100980709Y-103503701D01* -X100978229Y-103496771D01* -X100976441Y-103489632D01* -X100975361Y-103482351D01* -X100975000Y-103475000D01* -X100975000Y-103325000D01* -X100975361Y-103317649D01* -X100976441Y-103310368D01* -X100978229Y-103303229D01* -X100980709Y-103296299D01* -X100983856Y-103289645D01* -X100987640Y-103283332D01* -X100992024Y-103277421D01* -X100996967Y-103271967D01* -X101002421Y-103267024D01* -X101008332Y-103262640D01* -X101014645Y-103258856D01* -X101021299Y-103255709D01* -X101028229Y-103253229D01* -X101035368Y-103251441D01* -X101042649Y-103250361D01* -X101050000Y-103250000D01* -X102375000Y-103250000D01* -X102382351Y-103250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-103750361D02* -G01* -X102389632Y-103751441D01* -X102396771Y-103753229D01* -X102403701Y-103755709D01* -X102410355Y-103758856D01* -X102416668Y-103762640D01* -X102422579Y-103767024D01* -X102428033Y-103771967D01* -X102432976Y-103777421D01* -X102437360Y-103783332D01* -X102441144Y-103789645D01* -X102444291Y-103796299D01* -X102446771Y-103803229D01* -X102448559Y-103810368D01* -X102449639Y-103817649D01* -X102450000Y-103825000D01* -X102450000Y-103975000D01* -X102449639Y-103982351D01* -X102448559Y-103989632D01* -X102446771Y-103996771D01* -X102444291Y-104003701D01* -X102441144Y-104010355D01* -X102437360Y-104016668D01* -X102432976Y-104022579D01* -X102428033Y-104028033D01* -X102422579Y-104032976D01* -X102416668Y-104037360D01* -X102410355Y-104041144D01* -X102403701Y-104044291D01* -X102396771Y-104046771D01* -X102389632Y-104048559D01* -X102382351Y-104049639D01* -X102375000Y-104050000D01* -X101050000Y-104050000D01* -X101042649Y-104049639D01* -X101035368Y-104048559D01* -X101028229Y-104046771D01* -X101021299Y-104044291D01* -X101014645Y-104041144D01* -X101008332Y-104037360D01* -X101002421Y-104032976D01* -X100996967Y-104028033D01* -X100992024Y-104022579D01* -X100987640Y-104016668D01* -X100983856Y-104010355D01* -X100980709Y-104003701D01* -X100978229Y-103996771D01* -X100976441Y-103989632D01* -X100975361Y-103982351D01* -X100975000Y-103975000D01* -X100975000Y-103825000D01* -X100975361Y-103817649D01* -X100976441Y-103810368D01* -X100978229Y-103803229D01* -X100980709Y-103796299D01* -X100983856Y-103789645D01* -X100987640Y-103783332D01* -X100992024Y-103777421D01* -X100996967Y-103771967D01* -X101002421Y-103767024D01* -X101008332Y-103762640D01* -X101014645Y-103758856D01* -X101021299Y-103755709D01* -X101028229Y-103753229D01* -X101035368Y-103751441D01* -X101042649Y-103750361D01* -X101050000Y-103750000D01* -X102375000Y-103750000D01* -X102382351Y-103750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-104250361D02* -G01* -X102389632Y-104251441D01* -X102396771Y-104253229D01* -X102403701Y-104255709D01* -X102410355Y-104258856D01* -X102416668Y-104262640D01* -X102422579Y-104267024D01* -X102428033Y-104271967D01* -X102432976Y-104277421D01* -X102437360Y-104283332D01* -X102441144Y-104289645D01* -X102444291Y-104296299D01* -X102446771Y-104303229D01* -X102448559Y-104310368D01* -X102449639Y-104317649D01* -X102450000Y-104325000D01* -X102450000Y-104475000D01* -X102449639Y-104482351D01* -X102448559Y-104489632D01* -X102446771Y-104496771D01* -X102444291Y-104503701D01* -X102441144Y-104510355D01* -X102437360Y-104516668D01* -X102432976Y-104522579D01* -X102428033Y-104528033D01* -X102422579Y-104532976D01* -X102416668Y-104537360D01* -X102410355Y-104541144D01* -X102403701Y-104544291D01* -X102396771Y-104546771D01* -X102389632Y-104548559D01* -X102382351Y-104549639D01* -X102375000Y-104550000D01* -X101050000Y-104550000D01* -X101042649Y-104549639D01* -X101035368Y-104548559D01* -X101028229Y-104546771D01* -X101021299Y-104544291D01* -X101014645Y-104541144D01* -X101008332Y-104537360D01* -X101002421Y-104532976D01* -X100996967Y-104528033D01* -X100992024Y-104522579D01* -X100987640Y-104516668D01* -X100983856Y-104510355D01* -X100980709Y-104503701D01* -X100978229Y-104496771D01* -X100976441Y-104489632D01* -X100975361Y-104482351D01* -X100975000Y-104475000D01* -X100975000Y-104325000D01* -X100975361Y-104317649D01* -X100976441Y-104310368D01* -X100978229Y-104303229D01* -X100980709Y-104296299D01* -X100983856Y-104289645D01* -X100987640Y-104283332D01* -X100992024Y-104277421D01* -X100996967Y-104271967D01* -X101002421Y-104267024D01* -X101008332Y-104262640D01* -X101014645Y-104258856D01* -X101021299Y-104255709D01* -X101028229Y-104253229D01* -X101035368Y-104251441D01* -X101042649Y-104250361D01* -X101050000Y-104250000D01* -X102375000Y-104250000D01* -X102382351Y-104250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-104750361D02* -G01* -X102389632Y-104751441D01* -X102396771Y-104753229D01* -X102403701Y-104755709D01* -X102410355Y-104758856D01* -X102416668Y-104762640D01* -X102422579Y-104767024D01* -X102428033Y-104771967D01* -X102432976Y-104777421D01* -X102437360Y-104783332D01* -X102441144Y-104789645D01* -X102444291Y-104796299D01* -X102446771Y-104803229D01* -X102448559Y-104810368D01* -X102449639Y-104817649D01* -X102450000Y-104825000D01* -X102450000Y-104975000D01* -X102449639Y-104982351D01* -X102448559Y-104989632D01* -X102446771Y-104996771D01* -X102444291Y-105003701D01* -X102441144Y-105010355D01* -X102437360Y-105016668D01* -X102432976Y-105022579D01* -X102428033Y-105028033D01* -X102422579Y-105032976D01* -X102416668Y-105037360D01* -X102410355Y-105041144D01* -X102403701Y-105044291D01* -X102396771Y-105046771D01* -X102389632Y-105048559D01* -X102382351Y-105049639D01* -X102375000Y-105050000D01* -X101050000Y-105050000D01* -X101042649Y-105049639D01* -X101035368Y-105048559D01* -X101028229Y-105046771D01* -X101021299Y-105044291D01* -X101014645Y-105041144D01* -X101008332Y-105037360D01* -X101002421Y-105032976D01* -X100996967Y-105028033D01* -X100992024Y-105022579D01* -X100987640Y-105016668D01* -X100983856Y-105010355D01* -X100980709Y-105003701D01* -X100978229Y-104996771D01* -X100976441Y-104989632D01* -X100975361Y-104982351D01* -X100975000Y-104975000D01* -X100975000Y-104825000D01* -X100975361Y-104817649D01* -X100976441Y-104810368D01* -X100978229Y-104803229D01* -X100980709Y-104796299D01* -X100983856Y-104789645D01* -X100987640Y-104783332D01* -X100992024Y-104777421D01* -X100996967Y-104771967D01* -X101002421Y-104767024D01* -X101008332Y-104762640D01* -X101014645Y-104758856D01* -X101021299Y-104755709D01* -X101028229Y-104753229D01* -X101035368Y-104751441D01* -X101042649Y-104750361D01* -X101050000Y-104750000D01* -X102375000Y-104750000D01* -X102382351Y-104750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-105250361D02* -G01* -X102389632Y-105251441D01* -X102396771Y-105253229D01* -X102403701Y-105255709D01* -X102410355Y-105258856D01* -X102416668Y-105262640D01* -X102422579Y-105267024D01* -X102428033Y-105271967D01* -X102432976Y-105277421D01* -X102437360Y-105283332D01* -X102441144Y-105289645D01* -X102444291Y-105296299D01* -X102446771Y-105303229D01* -X102448559Y-105310368D01* -X102449639Y-105317649D01* -X102450000Y-105325000D01* -X102450000Y-105475000D01* -X102449639Y-105482351D01* -X102448559Y-105489632D01* -X102446771Y-105496771D01* -X102444291Y-105503701D01* -X102441144Y-105510355D01* -X102437360Y-105516668D01* -X102432976Y-105522579D01* -X102428033Y-105528033D01* -X102422579Y-105532976D01* -X102416668Y-105537360D01* -X102410355Y-105541144D01* -X102403701Y-105544291D01* -X102396771Y-105546771D01* -X102389632Y-105548559D01* -X102382351Y-105549639D01* -X102375000Y-105550000D01* -X101050000Y-105550000D01* -X101042649Y-105549639D01* -X101035368Y-105548559D01* -X101028229Y-105546771D01* -X101021299Y-105544291D01* -X101014645Y-105541144D01* -X101008332Y-105537360D01* -X101002421Y-105532976D01* -X100996967Y-105528033D01* -X100992024Y-105522579D01* -X100987640Y-105516668D01* -X100983856Y-105510355D01* -X100980709Y-105503701D01* -X100978229Y-105496771D01* -X100976441Y-105489632D01* -X100975361Y-105482351D01* -X100975000Y-105475000D01* -X100975000Y-105325000D01* -X100975361Y-105317649D01* -X100976441Y-105310368D01* -X100978229Y-105303229D01* -X100980709Y-105296299D01* -X100983856Y-105289645D01* -X100987640Y-105283332D01* -X100992024Y-105277421D01* -X100996967Y-105271967D01* -X101002421Y-105267024D01* -X101008332Y-105262640D01* -X101014645Y-105258856D01* -X101021299Y-105255709D01* -X101028229Y-105253229D01* -X101035368Y-105251441D01* -X101042649Y-105250361D01* -X101050000Y-105250000D01* -X102375000Y-105250000D01* -X102382351Y-105250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-105750361D02* -G01* -X102389632Y-105751441D01* -X102396771Y-105753229D01* -X102403701Y-105755709D01* -X102410355Y-105758856D01* -X102416668Y-105762640D01* -X102422579Y-105767024D01* -X102428033Y-105771967D01* -X102432976Y-105777421D01* -X102437360Y-105783332D01* -X102441144Y-105789645D01* -X102444291Y-105796299D01* -X102446771Y-105803229D01* -X102448559Y-105810368D01* -X102449639Y-105817649D01* -X102450000Y-105825000D01* -X102450000Y-105975000D01* -X102449639Y-105982351D01* -X102448559Y-105989632D01* -X102446771Y-105996771D01* -X102444291Y-106003701D01* -X102441144Y-106010355D01* -X102437360Y-106016668D01* -X102432976Y-106022579D01* -X102428033Y-106028033D01* -X102422579Y-106032976D01* -X102416668Y-106037360D01* -X102410355Y-106041144D01* -X102403701Y-106044291D01* -X102396771Y-106046771D01* -X102389632Y-106048559D01* -X102382351Y-106049639D01* -X102375000Y-106050000D01* -X101050000Y-106050000D01* -X101042649Y-106049639D01* -X101035368Y-106048559D01* -X101028229Y-106046771D01* -X101021299Y-106044291D01* -X101014645Y-106041144D01* -X101008332Y-106037360D01* -X101002421Y-106032976D01* -X100996967Y-106028033D01* -X100992024Y-106022579D01* -X100987640Y-106016668D01* -X100983856Y-106010355D01* -X100980709Y-106003701D01* -X100978229Y-105996771D01* -X100976441Y-105989632D01* -X100975361Y-105982351D01* -X100975000Y-105975000D01* -X100975000Y-105825000D01* -X100975361Y-105817649D01* -X100976441Y-105810368D01* -X100978229Y-105803229D01* -X100980709Y-105796299D01* -X100983856Y-105789645D01* -X100987640Y-105783332D01* -X100992024Y-105777421D01* -X100996967Y-105771967D01* -X101002421Y-105767024D01* -X101008332Y-105762640D01* -X101014645Y-105758856D01* -X101021299Y-105755709D01* -X101028229Y-105753229D01* -X101035368Y-105751441D01* -X101042649Y-105750361D01* -X101050000Y-105750000D01* -X102375000Y-105750000D01* -X102382351Y-105750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-106250361D02* -G01* -X102389632Y-106251441D01* -X102396771Y-106253229D01* -X102403701Y-106255709D01* -X102410355Y-106258856D01* -X102416668Y-106262640D01* -X102422579Y-106267024D01* -X102428033Y-106271967D01* -X102432976Y-106277421D01* -X102437360Y-106283332D01* -X102441144Y-106289645D01* -X102444291Y-106296299D01* -X102446771Y-106303229D01* -X102448559Y-106310368D01* -X102449639Y-106317649D01* -X102450000Y-106325000D01* -X102450000Y-106475000D01* -X102449639Y-106482351D01* -X102448559Y-106489632D01* -X102446771Y-106496771D01* -X102444291Y-106503701D01* -X102441144Y-106510355D01* -X102437360Y-106516668D01* -X102432976Y-106522579D01* -X102428033Y-106528033D01* -X102422579Y-106532976D01* -X102416668Y-106537360D01* -X102410355Y-106541144D01* -X102403701Y-106544291D01* -X102396771Y-106546771D01* -X102389632Y-106548559D01* -X102382351Y-106549639D01* -X102375000Y-106550000D01* -X101050000Y-106550000D01* -X101042649Y-106549639D01* -X101035368Y-106548559D01* -X101028229Y-106546771D01* -X101021299Y-106544291D01* -X101014645Y-106541144D01* -X101008332Y-106537360D01* -X101002421Y-106532976D01* -X100996967Y-106528033D01* -X100992024Y-106522579D01* -X100987640Y-106516668D01* -X100983856Y-106510355D01* -X100980709Y-106503701D01* -X100978229Y-106496771D01* -X100976441Y-106489632D01* -X100975361Y-106482351D01* -X100975000Y-106475000D01* -X100975000Y-106325000D01* -X100975361Y-106317649D01* -X100976441Y-106310368D01* -X100978229Y-106303229D01* -X100980709Y-106296299D01* -X100983856Y-106289645D01* -X100987640Y-106283332D01* -X100992024Y-106277421D01* -X100996967Y-106271967D01* -X101002421Y-106267024D01* -X101008332Y-106262640D01* -X101014645Y-106258856D01* -X101021299Y-106255709D01* -X101028229Y-106253229D01* -X101035368Y-106251441D01* -X101042649Y-106250361D01* -X101050000Y-106250000D01* -X102375000Y-106250000D01* -X102382351Y-106250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-106750361D02* -G01* -X102389632Y-106751441D01* -X102396771Y-106753229D01* -X102403701Y-106755709D01* -X102410355Y-106758856D01* -X102416668Y-106762640D01* -X102422579Y-106767024D01* -X102428033Y-106771967D01* -X102432976Y-106777421D01* -X102437360Y-106783332D01* -X102441144Y-106789645D01* -X102444291Y-106796299D01* -X102446771Y-106803229D01* -X102448559Y-106810368D01* -X102449639Y-106817649D01* -X102450000Y-106825000D01* -X102450000Y-106975000D01* -X102449639Y-106982351D01* -X102448559Y-106989632D01* -X102446771Y-106996771D01* -X102444291Y-107003701D01* -X102441144Y-107010355D01* -X102437360Y-107016668D01* -X102432976Y-107022579D01* -X102428033Y-107028033D01* -X102422579Y-107032976D01* -X102416668Y-107037360D01* -X102410355Y-107041144D01* -X102403701Y-107044291D01* -X102396771Y-107046771D01* -X102389632Y-107048559D01* -X102382351Y-107049639D01* -X102375000Y-107050000D01* -X101050000Y-107050000D01* -X101042649Y-107049639D01* -X101035368Y-107048559D01* -X101028229Y-107046771D01* -X101021299Y-107044291D01* -X101014645Y-107041144D01* -X101008332Y-107037360D01* -X101002421Y-107032976D01* -X100996967Y-107028033D01* -X100992024Y-107022579D01* -X100987640Y-107016668D01* -X100983856Y-107010355D01* -X100980709Y-107003701D01* -X100978229Y-106996771D01* -X100976441Y-106989632D01* -X100975361Y-106982351D01* -X100975000Y-106975000D01* -X100975000Y-106825000D01* -X100975361Y-106817649D01* -X100976441Y-106810368D01* -X100978229Y-106803229D01* -X100980709Y-106796299D01* -X100983856Y-106789645D01* -X100987640Y-106783332D01* -X100992024Y-106777421D01* -X100996967Y-106771967D01* -X101002421Y-106767024D01* -X101008332Y-106762640D01* -X101014645Y-106758856D01* -X101021299Y-106755709D01* -X101028229Y-106753229D01* -X101035368Y-106751441D01* -X101042649Y-106750361D01* -X101050000Y-106750000D01* -X102375000Y-106750000D01* -X102382351Y-106750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102382351Y-107250361D02* -G01* -X102389632Y-107251441D01* -X102396771Y-107253229D01* -X102403701Y-107255709D01* -X102410355Y-107258856D01* -X102416668Y-107262640D01* -X102422579Y-107267024D01* -X102428033Y-107271967D01* -X102432976Y-107277421D01* -X102437360Y-107283332D01* -X102441144Y-107289645D01* -X102444291Y-107296299D01* -X102446771Y-107303229D01* -X102448559Y-107310368D01* -X102449639Y-107317649D01* -X102450000Y-107325000D01* -X102450000Y-107475000D01* -X102449639Y-107482351D01* -X102448559Y-107489632D01* -X102446771Y-107496771D01* -X102444291Y-107503701D01* -X102441144Y-107510355D01* -X102437360Y-107516668D01* -X102432976Y-107522579D01* -X102428033Y-107528033D01* -X102422579Y-107532976D01* -X102416668Y-107537360D01* -X102410355Y-107541144D01* -X102403701Y-107544291D01* -X102396771Y-107546771D01* -X102389632Y-107548559D01* -X102382351Y-107549639D01* -X102375000Y-107550000D01* -X101050000Y-107550000D01* -X101042649Y-107549639D01* -X101035368Y-107548559D01* -X101028229Y-107546771D01* -X101021299Y-107544291D01* -X101014645Y-107541144D01* -X101008332Y-107537360D01* -X101002421Y-107532976D01* -X100996967Y-107528033D01* -X100992024Y-107522579D01* -X100987640Y-107516668D01* -X100983856Y-107510355D01* -X100980709Y-107503701D01* -X100978229Y-107496771D01* -X100976441Y-107489632D01* -X100975361Y-107482351D01* -X100975000Y-107475000D01* -X100975000Y-107325000D01* -X100975361Y-107317649D01* -X100976441Y-107310368D01* -X100978229Y-107303229D01* -X100980709Y-107296299D01* -X100983856Y-107289645D01* -X100987640Y-107283332D01* -X100992024Y-107277421D01* -X100996967Y-107271967D01* -X101002421Y-107267024D01* -X101008332Y-107262640D01* -X101014645Y-107258856D01* -X101021299Y-107255709D01* -X101028229Y-107253229D01* -X101035368Y-107251441D01* -X101042649Y-107250361D01* -X101050000Y-107250000D01* -X102375000Y-107250000D01* -X102382351Y-107250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X100132351Y-108325361D02* -G01* -X100139632Y-108326441D01* -X100146771Y-108328229D01* -X100153701Y-108330709D01* -X100160355Y-108333856D01* -X100166668Y-108337640D01* -X100172579Y-108342024D01* -X100178033Y-108346967D01* -X100182976Y-108352421D01* -X100187360Y-108358332D01* -X100191144Y-108364645D01* -X100194291Y-108371299D01* -X100196771Y-108378229D01* -X100198559Y-108385368D01* -X100199639Y-108392649D01* -X100200000Y-108400000D01* -X100200000Y-109725000D01* -X100199639Y-109732351D01* -X100198559Y-109739632D01* -X100196771Y-109746771D01* -X100194291Y-109753701D01* -X100191144Y-109760355D01* -X100187360Y-109766668D01* -X100182976Y-109772579D01* -X100178033Y-109778033D01* -X100172579Y-109782976D01* -X100166668Y-109787360D01* -X100160355Y-109791144D01* -X100153701Y-109794291D01* -X100146771Y-109796771D01* -X100139632Y-109798559D01* -X100132351Y-109799639D01* -X100125000Y-109800000D01* -X99975000Y-109800000D01* -X99967649Y-109799639D01* -X99960368Y-109798559D01* -X99953229Y-109796771D01* -X99946299Y-109794291D01* -X99939645Y-109791144D01* -X99933332Y-109787360D01* -X99927421Y-109782976D01* -X99921967Y-109778033D01* -X99917024Y-109772579D01* -X99912640Y-109766668D01* -X99908856Y-109760355D01* -X99905709Y-109753701D01* -X99903229Y-109746771D01* -X99901441Y-109739632D01* -X99900361Y-109732351D01* -X99900000Y-109725000D01* -X99900000Y-108400000D01* -X99900361Y-108392649D01* -X99901441Y-108385368D01* -X99903229Y-108378229D01* -X99905709Y-108371299D01* -X99908856Y-108364645D01* -X99912640Y-108358332D01* -X99917024Y-108352421D01* -X99921967Y-108346967D01* -X99927421Y-108342024D01* -X99933332Y-108337640D01* -X99939645Y-108333856D01* -X99946299Y-108330709D01* -X99953229Y-108328229D01* -X99960368Y-108326441D01* -X99967649Y-108325361D01* -X99975000Y-108325000D01* -X100125000Y-108325000D01* -X100132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99632351Y-108325361D02* -G01* -X99639632Y-108326441D01* -X99646771Y-108328229D01* -X99653701Y-108330709D01* -X99660355Y-108333856D01* -X99666668Y-108337640D01* -X99672579Y-108342024D01* -X99678033Y-108346967D01* -X99682976Y-108352421D01* -X99687360Y-108358332D01* -X99691144Y-108364645D01* -X99694291Y-108371299D01* -X99696771Y-108378229D01* -X99698559Y-108385368D01* -X99699639Y-108392649D01* -X99700000Y-108400000D01* -X99700000Y-109725000D01* -X99699639Y-109732351D01* -X99698559Y-109739632D01* -X99696771Y-109746771D01* -X99694291Y-109753701D01* -X99691144Y-109760355D01* -X99687360Y-109766668D01* -X99682976Y-109772579D01* -X99678033Y-109778033D01* -X99672579Y-109782976D01* -X99666668Y-109787360D01* -X99660355Y-109791144D01* -X99653701Y-109794291D01* -X99646771Y-109796771D01* -X99639632Y-109798559D01* -X99632351Y-109799639D01* -X99625000Y-109800000D01* -X99475000Y-109800000D01* -X99467649Y-109799639D01* -X99460368Y-109798559D01* -X99453229Y-109796771D01* -X99446299Y-109794291D01* -X99439645Y-109791144D01* -X99433332Y-109787360D01* -X99427421Y-109782976D01* -X99421967Y-109778033D01* -X99417024Y-109772579D01* -X99412640Y-109766668D01* -X99408856Y-109760355D01* -X99405709Y-109753701D01* -X99403229Y-109746771D01* -X99401441Y-109739632D01* -X99400361Y-109732351D01* -X99400000Y-109725000D01* -X99400000Y-108400000D01* -X99400361Y-108392649D01* -X99401441Y-108385368D01* -X99403229Y-108378229D01* -X99405709Y-108371299D01* -X99408856Y-108364645D01* -X99412640Y-108358332D01* -X99417024Y-108352421D01* -X99421967Y-108346967D01* -X99427421Y-108342024D01* -X99433332Y-108337640D01* -X99439645Y-108333856D01* -X99446299Y-108330709D01* -X99453229Y-108328229D01* -X99460368Y-108326441D01* -X99467649Y-108325361D01* -X99475000Y-108325000D01* -X99625000Y-108325000D01* -X99632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99132351Y-108325361D02* -G01* -X99139632Y-108326441D01* -X99146771Y-108328229D01* -X99153701Y-108330709D01* -X99160355Y-108333856D01* -X99166668Y-108337640D01* -X99172579Y-108342024D01* -X99178033Y-108346967D01* -X99182976Y-108352421D01* -X99187360Y-108358332D01* -X99191144Y-108364645D01* -X99194291Y-108371299D01* -X99196771Y-108378229D01* -X99198559Y-108385368D01* -X99199639Y-108392649D01* -X99200000Y-108400000D01* -X99200000Y-109725000D01* -X99199639Y-109732351D01* -X99198559Y-109739632D01* -X99196771Y-109746771D01* -X99194291Y-109753701D01* -X99191144Y-109760355D01* -X99187360Y-109766668D01* -X99182976Y-109772579D01* -X99178033Y-109778033D01* -X99172579Y-109782976D01* -X99166668Y-109787360D01* -X99160355Y-109791144D01* -X99153701Y-109794291D01* -X99146771Y-109796771D01* -X99139632Y-109798559D01* -X99132351Y-109799639D01* -X99125000Y-109800000D01* -X98975000Y-109800000D01* -X98967649Y-109799639D01* -X98960368Y-109798559D01* -X98953229Y-109796771D01* -X98946299Y-109794291D01* -X98939645Y-109791144D01* -X98933332Y-109787360D01* -X98927421Y-109782976D01* -X98921967Y-109778033D01* -X98917024Y-109772579D01* -X98912640Y-109766668D01* -X98908856Y-109760355D01* -X98905709Y-109753701D01* -X98903229Y-109746771D01* -X98901441Y-109739632D01* -X98900361Y-109732351D01* -X98900000Y-109725000D01* -X98900000Y-108400000D01* -X98900361Y-108392649D01* -X98901441Y-108385368D01* -X98903229Y-108378229D01* -X98905709Y-108371299D01* -X98908856Y-108364645D01* -X98912640Y-108358332D01* -X98917024Y-108352421D01* -X98921967Y-108346967D01* -X98927421Y-108342024D01* -X98933332Y-108337640D01* -X98939645Y-108333856D01* -X98946299Y-108330709D01* -X98953229Y-108328229D01* -X98960368Y-108326441D01* -X98967649Y-108325361D01* -X98975000Y-108325000D01* -X99125000Y-108325000D01* -X99132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98632351Y-108325361D02* -G01* -X98639632Y-108326441D01* -X98646771Y-108328229D01* -X98653701Y-108330709D01* -X98660355Y-108333856D01* -X98666668Y-108337640D01* -X98672579Y-108342024D01* -X98678033Y-108346967D01* -X98682976Y-108352421D01* -X98687360Y-108358332D01* -X98691144Y-108364645D01* -X98694291Y-108371299D01* -X98696771Y-108378229D01* -X98698559Y-108385368D01* -X98699639Y-108392649D01* -X98700000Y-108400000D01* -X98700000Y-109725000D01* -X98699639Y-109732351D01* -X98698559Y-109739632D01* -X98696771Y-109746771D01* -X98694291Y-109753701D01* -X98691144Y-109760355D01* -X98687360Y-109766668D01* -X98682976Y-109772579D01* -X98678033Y-109778033D01* -X98672579Y-109782976D01* -X98666668Y-109787360D01* -X98660355Y-109791144D01* -X98653701Y-109794291D01* -X98646771Y-109796771D01* -X98639632Y-109798559D01* -X98632351Y-109799639D01* -X98625000Y-109800000D01* -X98475000Y-109800000D01* -X98467649Y-109799639D01* -X98460368Y-109798559D01* -X98453229Y-109796771D01* -X98446299Y-109794291D01* -X98439645Y-109791144D01* -X98433332Y-109787360D01* -X98427421Y-109782976D01* -X98421967Y-109778033D01* -X98417024Y-109772579D01* -X98412640Y-109766668D01* -X98408856Y-109760355D01* -X98405709Y-109753701D01* -X98403229Y-109746771D01* -X98401441Y-109739632D01* -X98400361Y-109732351D01* -X98400000Y-109725000D01* -X98400000Y-108400000D01* -X98400361Y-108392649D01* -X98401441Y-108385368D01* -X98403229Y-108378229D01* -X98405709Y-108371299D01* -X98408856Y-108364645D01* -X98412640Y-108358332D01* -X98417024Y-108352421D01* -X98421967Y-108346967D01* -X98427421Y-108342024D01* -X98433332Y-108337640D01* -X98439645Y-108333856D01* -X98446299Y-108330709D01* -X98453229Y-108328229D01* -X98460368Y-108326441D01* -X98467649Y-108325361D01* -X98475000Y-108325000D01* -X98625000Y-108325000D01* -X98632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98132351Y-108325361D02* -G01* -X98139632Y-108326441D01* -X98146771Y-108328229D01* -X98153701Y-108330709D01* -X98160355Y-108333856D01* -X98166668Y-108337640D01* -X98172579Y-108342024D01* -X98178033Y-108346967D01* -X98182976Y-108352421D01* -X98187360Y-108358332D01* -X98191144Y-108364645D01* -X98194291Y-108371299D01* -X98196771Y-108378229D01* -X98198559Y-108385368D01* -X98199639Y-108392649D01* -X98200000Y-108400000D01* -X98200000Y-109725000D01* -X98199639Y-109732351D01* -X98198559Y-109739632D01* -X98196771Y-109746771D01* -X98194291Y-109753701D01* -X98191144Y-109760355D01* -X98187360Y-109766668D01* -X98182976Y-109772579D01* -X98178033Y-109778033D01* -X98172579Y-109782976D01* -X98166668Y-109787360D01* -X98160355Y-109791144D01* -X98153701Y-109794291D01* -X98146771Y-109796771D01* -X98139632Y-109798559D01* -X98132351Y-109799639D01* -X98125000Y-109800000D01* -X97975000Y-109800000D01* -X97967649Y-109799639D01* -X97960368Y-109798559D01* -X97953229Y-109796771D01* -X97946299Y-109794291D01* -X97939645Y-109791144D01* -X97933332Y-109787360D01* -X97927421Y-109782976D01* -X97921967Y-109778033D01* -X97917024Y-109772579D01* -X97912640Y-109766668D01* -X97908856Y-109760355D01* -X97905709Y-109753701D01* -X97903229Y-109746771D01* -X97901441Y-109739632D01* -X97900361Y-109732351D01* -X97900000Y-109725000D01* -X97900000Y-108400000D01* -X97900361Y-108392649D01* -X97901441Y-108385368D01* -X97903229Y-108378229D01* -X97905709Y-108371299D01* -X97908856Y-108364645D01* -X97912640Y-108358332D01* -X97917024Y-108352421D01* -X97921967Y-108346967D01* -X97927421Y-108342024D01* -X97933332Y-108337640D01* -X97939645Y-108333856D01* -X97946299Y-108330709D01* -X97953229Y-108328229D01* -X97960368Y-108326441D01* -X97967649Y-108325361D01* -X97975000Y-108325000D01* -X98125000Y-108325000D01* -X98132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97632351Y-108325361D02* -G01* -X97639632Y-108326441D01* -X97646771Y-108328229D01* -X97653701Y-108330709D01* -X97660355Y-108333856D01* -X97666668Y-108337640D01* -X97672579Y-108342024D01* -X97678033Y-108346967D01* -X97682976Y-108352421D01* -X97687360Y-108358332D01* -X97691144Y-108364645D01* -X97694291Y-108371299D01* -X97696771Y-108378229D01* -X97698559Y-108385368D01* -X97699639Y-108392649D01* -X97700000Y-108400000D01* -X97700000Y-109725000D01* -X97699639Y-109732351D01* -X97698559Y-109739632D01* -X97696771Y-109746771D01* -X97694291Y-109753701D01* -X97691144Y-109760355D01* -X97687360Y-109766668D01* -X97682976Y-109772579D01* -X97678033Y-109778033D01* -X97672579Y-109782976D01* -X97666668Y-109787360D01* -X97660355Y-109791144D01* -X97653701Y-109794291D01* -X97646771Y-109796771D01* -X97639632Y-109798559D01* -X97632351Y-109799639D01* -X97625000Y-109800000D01* -X97475000Y-109800000D01* -X97467649Y-109799639D01* -X97460368Y-109798559D01* -X97453229Y-109796771D01* -X97446299Y-109794291D01* -X97439645Y-109791144D01* -X97433332Y-109787360D01* -X97427421Y-109782976D01* -X97421967Y-109778033D01* -X97417024Y-109772579D01* -X97412640Y-109766668D01* -X97408856Y-109760355D01* -X97405709Y-109753701D01* -X97403229Y-109746771D01* -X97401441Y-109739632D01* -X97400361Y-109732351D01* -X97400000Y-109725000D01* -X97400000Y-108400000D01* -X97400361Y-108392649D01* -X97401441Y-108385368D01* -X97403229Y-108378229D01* -X97405709Y-108371299D01* -X97408856Y-108364645D01* -X97412640Y-108358332D01* -X97417024Y-108352421D01* -X97421967Y-108346967D01* -X97427421Y-108342024D01* -X97433332Y-108337640D01* -X97439645Y-108333856D01* -X97446299Y-108330709D01* -X97453229Y-108328229D01* -X97460368Y-108326441D01* -X97467649Y-108325361D01* -X97475000Y-108325000D01* -X97625000Y-108325000D01* -X97632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97132351Y-108325361D02* -G01* -X97139632Y-108326441D01* -X97146771Y-108328229D01* -X97153701Y-108330709D01* -X97160355Y-108333856D01* -X97166668Y-108337640D01* -X97172579Y-108342024D01* -X97178033Y-108346967D01* -X97182976Y-108352421D01* -X97187360Y-108358332D01* -X97191144Y-108364645D01* -X97194291Y-108371299D01* -X97196771Y-108378229D01* -X97198559Y-108385368D01* -X97199639Y-108392649D01* -X97200000Y-108400000D01* -X97200000Y-109725000D01* -X97199639Y-109732351D01* -X97198559Y-109739632D01* -X97196771Y-109746771D01* -X97194291Y-109753701D01* -X97191144Y-109760355D01* -X97187360Y-109766668D01* -X97182976Y-109772579D01* -X97178033Y-109778033D01* -X97172579Y-109782976D01* -X97166668Y-109787360D01* -X97160355Y-109791144D01* -X97153701Y-109794291D01* -X97146771Y-109796771D01* -X97139632Y-109798559D01* -X97132351Y-109799639D01* -X97125000Y-109800000D01* -X96975000Y-109800000D01* -X96967649Y-109799639D01* -X96960368Y-109798559D01* -X96953229Y-109796771D01* -X96946299Y-109794291D01* -X96939645Y-109791144D01* -X96933332Y-109787360D01* -X96927421Y-109782976D01* -X96921967Y-109778033D01* -X96917024Y-109772579D01* -X96912640Y-109766668D01* -X96908856Y-109760355D01* -X96905709Y-109753701D01* -X96903229Y-109746771D01* -X96901441Y-109739632D01* -X96900361Y-109732351D01* -X96900000Y-109725000D01* -X96900000Y-108400000D01* -X96900361Y-108392649D01* -X96901441Y-108385368D01* -X96903229Y-108378229D01* -X96905709Y-108371299D01* -X96908856Y-108364645D01* -X96912640Y-108358332D01* -X96917024Y-108352421D01* -X96921967Y-108346967D01* -X96927421Y-108342024D01* -X96933332Y-108337640D01* -X96939645Y-108333856D01* -X96946299Y-108330709D01* -X96953229Y-108328229D01* -X96960368Y-108326441D01* -X96967649Y-108325361D01* -X96975000Y-108325000D01* -X97125000Y-108325000D01* -X97132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96632351Y-108325361D02* -G01* -X96639632Y-108326441D01* -X96646771Y-108328229D01* -X96653701Y-108330709D01* -X96660355Y-108333856D01* -X96666668Y-108337640D01* -X96672579Y-108342024D01* -X96678033Y-108346967D01* -X96682976Y-108352421D01* -X96687360Y-108358332D01* -X96691144Y-108364645D01* -X96694291Y-108371299D01* -X96696771Y-108378229D01* -X96698559Y-108385368D01* -X96699639Y-108392649D01* -X96700000Y-108400000D01* -X96700000Y-109725000D01* -X96699639Y-109732351D01* -X96698559Y-109739632D01* -X96696771Y-109746771D01* -X96694291Y-109753701D01* -X96691144Y-109760355D01* -X96687360Y-109766668D01* -X96682976Y-109772579D01* -X96678033Y-109778033D01* -X96672579Y-109782976D01* -X96666668Y-109787360D01* -X96660355Y-109791144D01* -X96653701Y-109794291D01* -X96646771Y-109796771D01* -X96639632Y-109798559D01* -X96632351Y-109799639D01* -X96625000Y-109800000D01* -X96475000Y-109800000D01* -X96467649Y-109799639D01* -X96460368Y-109798559D01* -X96453229Y-109796771D01* -X96446299Y-109794291D01* -X96439645Y-109791144D01* -X96433332Y-109787360D01* -X96427421Y-109782976D01* -X96421967Y-109778033D01* -X96417024Y-109772579D01* -X96412640Y-109766668D01* -X96408856Y-109760355D01* -X96405709Y-109753701D01* -X96403229Y-109746771D01* -X96401441Y-109739632D01* -X96400361Y-109732351D01* -X96400000Y-109725000D01* -X96400000Y-108400000D01* -X96400361Y-108392649D01* -X96401441Y-108385368D01* -X96403229Y-108378229D01* -X96405709Y-108371299D01* -X96408856Y-108364645D01* -X96412640Y-108358332D01* -X96417024Y-108352421D01* -X96421967Y-108346967D01* -X96427421Y-108342024D01* -X96433332Y-108337640D01* -X96439645Y-108333856D01* -X96446299Y-108330709D01* -X96453229Y-108328229D01* -X96460368Y-108326441D01* -X96467649Y-108325361D01* -X96475000Y-108325000D01* -X96625000Y-108325000D01* -X96632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96132351Y-108325361D02* -G01* -X96139632Y-108326441D01* -X96146771Y-108328229D01* -X96153701Y-108330709D01* -X96160355Y-108333856D01* -X96166668Y-108337640D01* -X96172579Y-108342024D01* -X96178033Y-108346967D01* -X96182976Y-108352421D01* -X96187360Y-108358332D01* -X96191144Y-108364645D01* -X96194291Y-108371299D01* -X96196771Y-108378229D01* -X96198559Y-108385368D01* -X96199639Y-108392649D01* -X96200000Y-108400000D01* -X96200000Y-109725000D01* -X96199639Y-109732351D01* -X96198559Y-109739632D01* -X96196771Y-109746771D01* -X96194291Y-109753701D01* -X96191144Y-109760355D01* -X96187360Y-109766668D01* -X96182976Y-109772579D01* -X96178033Y-109778033D01* -X96172579Y-109782976D01* -X96166668Y-109787360D01* -X96160355Y-109791144D01* -X96153701Y-109794291D01* -X96146771Y-109796771D01* -X96139632Y-109798559D01* -X96132351Y-109799639D01* -X96125000Y-109800000D01* -X95975000Y-109800000D01* -X95967649Y-109799639D01* -X95960368Y-109798559D01* -X95953229Y-109796771D01* -X95946299Y-109794291D01* -X95939645Y-109791144D01* -X95933332Y-109787360D01* -X95927421Y-109782976D01* -X95921967Y-109778033D01* -X95917024Y-109772579D01* -X95912640Y-109766668D01* -X95908856Y-109760355D01* -X95905709Y-109753701D01* -X95903229Y-109746771D01* -X95901441Y-109739632D01* -X95900361Y-109732351D01* -X95900000Y-109725000D01* -X95900000Y-108400000D01* -X95900361Y-108392649D01* -X95901441Y-108385368D01* -X95903229Y-108378229D01* -X95905709Y-108371299D01* -X95908856Y-108364645D01* -X95912640Y-108358332D01* -X95917024Y-108352421D01* -X95921967Y-108346967D01* -X95927421Y-108342024D01* -X95933332Y-108337640D01* -X95939645Y-108333856D01* -X95946299Y-108330709D01* -X95953229Y-108328229D01* -X95960368Y-108326441D01* -X95967649Y-108325361D01* -X95975000Y-108325000D01* -X96125000Y-108325000D01* -X96132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X95632351Y-108325361D02* -G01* -X95639632Y-108326441D01* -X95646771Y-108328229D01* -X95653701Y-108330709D01* -X95660355Y-108333856D01* -X95666668Y-108337640D01* -X95672579Y-108342024D01* -X95678033Y-108346967D01* -X95682976Y-108352421D01* -X95687360Y-108358332D01* -X95691144Y-108364645D01* -X95694291Y-108371299D01* -X95696771Y-108378229D01* -X95698559Y-108385368D01* -X95699639Y-108392649D01* -X95700000Y-108400000D01* -X95700000Y-109725000D01* -X95699639Y-109732351D01* -X95698559Y-109739632D01* -X95696771Y-109746771D01* -X95694291Y-109753701D01* -X95691144Y-109760355D01* -X95687360Y-109766668D01* -X95682976Y-109772579D01* -X95678033Y-109778033D01* -X95672579Y-109782976D01* -X95666668Y-109787360D01* -X95660355Y-109791144D01* -X95653701Y-109794291D01* -X95646771Y-109796771D01* -X95639632Y-109798559D01* -X95632351Y-109799639D01* -X95625000Y-109800000D01* -X95475000Y-109800000D01* -X95467649Y-109799639D01* -X95460368Y-109798559D01* -X95453229Y-109796771D01* -X95446299Y-109794291D01* -X95439645Y-109791144D01* -X95433332Y-109787360D01* -X95427421Y-109782976D01* -X95421967Y-109778033D01* -X95417024Y-109772579D01* -X95412640Y-109766668D01* -X95408856Y-109760355D01* -X95405709Y-109753701D01* -X95403229Y-109746771D01* -X95401441Y-109739632D01* -X95400361Y-109732351D01* -X95400000Y-109725000D01* -X95400000Y-108400000D01* -X95400361Y-108392649D01* -X95401441Y-108385368D01* -X95403229Y-108378229D01* -X95405709Y-108371299D01* -X95408856Y-108364645D01* -X95412640Y-108358332D01* -X95417024Y-108352421D01* -X95421967Y-108346967D01* -X95427421Y-108342024D01* -X95433332Y-108337640D01* -X95439645Y-108333856D01* -X95446299Y-108330709D01* -X95453229Y-108328229D01* -X95460368Y-108326441D01* -X95467649Y-108325361D01* -X95475000Y-108325000D01* -X95625000Y-108325000D01* -X95632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X95132351Y-108325361D02* -G01* -X95139632Y-108326441D01* -X95146771Y-108328229D01* -X95153701Y-108330709D01* -X95160355Y-108333856D01* -X95166668Y-108337640D01* -X95172579Y-108342024D01* -X95178033Y-108346967D01* -X95182976Y-108352421D01* -X95187360Y-108358332D01* -X95191144Y-108364645D01* -X95194291Y-108371299D01* -X95196771Y-108378229D01* -X95198559Y-108385368D01* -X95199639Y-108392649D01* -X95200000Y-108400000D01* -X95200000Y-109725000D01* -X95199639Y-109732351D01* -X95198559Y-109739632D01* -X95196771Y-109746771D01* -X95194291Y-109753701D01* -X95191144Y-109760355D01* -X95187360Y-109766668D01* -X95182976Y-109772579D01* -X95178033Y-109778033D01* -X95172579Y-109782976D01* -X95166668Y-109787360D01* -X95160355Y-109791144D01* -X95153701Y-109794291D01* -X95146771Y-109796771D01* -X95139632Y-109798559D01* -X95132351Y-109799639D01* -X95125000Y-109800000D01* -X94975000Y-109800000D01* -X94967649Y-109799639D01* -X94960368Y-109798559D01* -X94953229Y-109796771D01* -X94946299Y-109794291D01* -X94939645Y-109791144D01* -X94933332Y-109787360D01* -X94927421Y-109782976D01* -X94921967Y-109778033D01* -X94917024Y-109772579D01* -X94912640Y-109766668D01* -X94908856Y-109760355D01* -X94905709Y-109753701D01* -X94903229Y-109746771D01* -X94901441Y-109739632D01* -X94900361Y-109732351D01* -X94900000Y-109725000D01* -X94900000Y-108400000D01* -X94900361Y-108392649D01* -X94901441Y-108385368D01* -X94903229Y-108378229D01* -X94905709Y-108371299D01* -X94908856Y-108364645D01* -X94912640Y-108358332D01* -X94917024Y-108352421D01* -X94921967Y-108346967D01* -X94927421Y-108342024D01* -X94933332Y-108337640D01* -X94939645Y-108333856D01* -X94946299Y-108330709D01* -X94953229Y-108328229D01* -X94960368Y-108326441D01* -X94967649Y-108325361D01* -X94975000Y-108325000D01* -X95125000Y-108325000D01* -X95132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X94632351Y-108325361D02* -G01* -X94639632Y-108326441D01* -X94646771Y-108328229D01* -X94653701Y-108330709D01* -X94660355Y-108333856D01* -X94666668Y-108337640D01* -X94672579Y-108342024D01* -X94678033Y-108346967D01* -X94682976Y-108352421D01* -X94687360Y-108358332D01* -X94691144Y-108364645D01* -X94694291Y-108371299D01* -X94696771Y-108378229D01* -X94698559Y-108385368D01* -X94699639Y-108392649D01* -X94700000Y-108400000D01* -X94700000Y-109725000D01* -X94699639Y-109732351D01* -X94698559Y-109739632D01* -X94696771Y-109746771D01* -X94694291Y-109753701D01* -X94691144Y-109760355D01* -X94687360Y-109766668D01* -X94682976Y-109772579D01* -X94678033Y-109778033D01* -X94672579Y-109782976D01* -X94666668Y-109787360D01* -X94660355Y-109791144D01* -X94653701Y-109794291D01* -X94646771Y-109796771D01* -X94639632Y-109798559D01* -X94632351Y-109799639D01* -X94625000Y-109800000D01* -X94475000Y-109800000D01* -X94467649Y-109799639D01* -X94460368Y-109798559D01* -X94453229Y-109796771D01* -X94446299Y-109794291D01* -X94439645Y-109791144D01* -X94433332Y-109787360D01* -X94427421Y-109782976D01* -X94421967Y-109778033D01* -X94417024Y-109772579D01* -X94412640Y-109766668D01* -X94408856Y-109760355D01* -X94405709Y-109753701D01* -X94403229Y-109746771D01* -X94401441Y-109739632D01* -X94400361Y-109732351D01* -X94400000Y-109725000D01* -X94400000Y-108400000D01* -X94400361Y-108392649D01* -X94401441Y-108385368D01* -X94403229Y-108378229D01* -X94405709Y-108371299D01* -X94408856Y-108364645D01* -X94412640Y-108358332D01* -X94417024Y-108352421D01* -X94421967Y-108346967D01* -X94427421Y-108342024D01* -X94433332Y-108337640D01* -X94439645Y-108333856D01* -X94446299Y-108330709D01* -X94453229Y-108328229D01* -X94460368Y-108326441D01* -X94467649Y-108325361D01* -X94475000Y-108325000D01* -X94625000Y-108325000D01* -X94632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X94132351Y-108325361D02* -G01* -X94139632Y-108326441D01* -X94146771Y-108328229D01* -X94153701Y-108330709D01* -X94160355Y-108333856D01* -X94166668Y-108337640D01* -X94172579Y-108342024D01* -X94178033Y-108346967D01* -X94182976Y-108352421D01* -X94187360Y-108358332D01* -X94191144Y-108364645D01* -X94194291Y-108371299D01* -X94196771Y-108378229D01* -X94198559Y-108385368D01* -X94199639Y-108392649D01* -X94200000Y-108400000D01* -X94200000Y-109725000D01* -X94199639Y-109732351D01* -X94198559Y-109739632D01* -X94196771Y-109746771D01* -X94194291Y-109753701D01* -X94191144Y-109760355D01* -X94187360Y-109766668D01* -X94182976Y-109772579D01* -X94178033Y-109778033D01* -X94172579Y-109782976D01* -X94166668Y-109787360D01* -X94160355Y-109791144D01* -X94153701Y-109794291D01* -X94146771Y-109796771D01* -X94139632Y-109798559D01* -X94132351Y-109799639D01* -X94125000Y-109800000D01* -X93975000Y-109800000D01* -X93967649Y-109799639D01* -X93960368Y-109798559D01* -X93953229Y-109796771D01* -X93946299Y-109794291D01* -X93939645Y-109791144D01* -X93933332Y-109787360D01* -X93927421Y-109782976D01* -X93921967Y-109778033D01* -X93917024Y-109772579D01* -X93912640Y-109766668D01* -X93908856Y-109760355D01* -X93905709Y-109753701D01* -X93903229Y-109746771D01* -X93901441Y-109739632D01* -X93900361Y-109732351D01* -X93900000Y-109725000D01* -X93900000Y-108400000D01* -X93900361Y-108392649D01* -X93901441Y-108385368D01* -X93903229Y-108378229D01* -X93905709Y-108371299D01* -X93908856Y-108364645D01* -X93912640Y-108358332D01* -X93917024Y-108352421D01* -X93921967Y-108346967D01* -X93927421Y-108342024D01* -X93933332Y-108337640D01* -X93939645Y-108333856D01* -X93946299Y-108330709D01* -X93953229Y-108328229D01* -X93960368Y-108326441D01* -X93967649Y-108325361D01* -X93975000Y-108325000D01* -X94125000Y-108325000D01* -X94132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X93632351Y-108325361D02* -G01* -X93639632Y-108326441D01* -X93646771Y-108328229D01* -X93653701Y-108330709D01* -X93660355Y-108333856D01* -X93666668Y-108337640D01* -X93672579Y-108342024D01* -X93678033Y-108346967D01* -X93682976Y-108352421D01* -X93687360Y-108358332D01* -X93691144Y-108364645D01* -X93694291Y-108371299D01* -X93696771Y-108378229D01* -X93698559Y-108385368D01* -X93699639Y-108392649D01* -X93700000Y-108400000D01* -X93700000Y-109725000D01* -X93699639Y-109732351D01* -X93698559Y-109739632D01* -X93696771Y-109746771D01* -X93694291Y-109753701D01* -X93691144Y-109760355D01* -X93687360Y-109766668D01* -X93682976Y-109772579D01* -X93678033Y-109778033D01* -X93672579Y-109782976D01* -X93666668Y-109787360D01* -X93660355Y-109791144D01* -X93653701Y-109794291D01* -X93646771Y-109796771D01* -X93639632Y-109798559D01* -X93632351Y-109799639D01* -X93625000Y-109800000D01* -X93475000Y-109800000D01* -X93467649Y-109799639D01* -X93460368Y-109798559D01* -X93453229Y-109796771D01* -X93446299Y-109794291D01* -X93439645Y-109791144D01* -X93433332Y-109787360D01* -X93427421Y-109782976D01* -X93421967Y-109778033D01* -X93417024Y-109772579D01* -X93412640Y-109766668D01* -X93408856Y-109760355D01* -X93405709Y-109753701D01* -X93403229Y-109746771D01* -X93401441Y-109739632D01* -X93400361Y-109732351D01* -X93400000Y-109725000D01* -X93400000Y-108400000D01* -X93400361Y-108392649D01* -X93401441Y-108385368D01* -X93403229Y-108378229D01* -X93405709Y-108371299D01* -X93408856Y-108364645D01* -X93412640Y-108358332D01* -X93417024Y-108352421D01* -X93421967Y-108346967D01* -X93427421Y-108342024D01* -X93433332Y-108337640D01* -X93439645Y-108333856D01* -X93446299Y-108330709D01* -X93453229Y-108328229D01* -X93460368Y-108326441D01* -X93467649Y-108325361D01* -X93475000Y-108325000D01* -X93625000Y-108325000D01* -X93632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X93132351Y-108325361D02* -G01* -X93139632Y-108326441D01* -X93146771Y-108328229D01* -X93153701Y-108330709D01* -X93160355Y-108333856D01* -X93166668Y-108337640D01* -X93172579Y-108342024D01* -X93178033Y-108346967D01* -X93182976Y-108352421D01* -X93187360Y-108358332D01* -X93191144Y-108364645D01* -X93194291Y-108371299D01* -X93196771Y-108378229D01* -X93198559Y-108385368D01* -X93199639Y-108392649D01* -X93200000Y-108400000D01* -X93200000Y-109725000D01* -X93199639Y-109732351D01* -X93198559Y-109739632D01* -X93196771Y-109746771D01* -X93194291Y-109753701D01* -X93191144Y-109760355D01* -X93187360Y-109766668D01* -X93182976Y-109772579D01* -X93178033Y-109778033D01* -X93172579Y-109782976D01* -X93166668Y-109787360D01* -X93160355Y-109791144D01* -X93153701Y-109794291D01* -X93146771Y-109796771D01* -X93139632Y-109798559D01* -X93132351Y-109799639D01* -X93125000Y-109800000D01* -X92975000Y-109800000D01* -X92967649Y-109799639D01* -X92960368Y-109798559D01* -X92953229Y-109796771D01* -X92946299Y-109794291D01* -X92939645Y-109791144D01* -X92933332Y-109787360D01* -X92927421Y-109782976D01* -X92921967Y-109778033D01* -X92917024Y-109772579D01* -X92912640Y-109766668D01* -X92908856Y-109760355D01* -X92905709Y-109753701D01* -X92903229Y-109746771D01* -X92901441Y-109739632D01* -X92900361Y-109732351D01* -X92900000Y-109725000D01* -X92900000Y-108400000D01* -X92900361Y-108392649D01* -X92901441Y-108385368D01* -X92903229Y-108378229D01* -X92905709Y-108371299D01* -X92908856Y-108364645D01* -X92912640Y-108358332D01* -X92917024Y-108352421D01* -X92921967Y-108346967D01* -X92927421Y-108342024D01* -X92933332Y-108337640D01* -X92939645Y-108333856D01* -X92946299Y-108330709D01* -X92953229Y-108328229D01* -X92960368Y-108326441D01* -X92967649Y-108325361D01* -X92975000Y-108325000D01* -X93125000Y-108325000D01* -X93132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X92632351Y-108325361D02* -G01* -X92639632Y-108326441D01* -X92646771Y-108328229D01* -X92653701Y-108330709D01* -X92660355Y-108333856D01* -X92666668Y-108337640D01* -X92672579Y-108342024D01* -X92678033Y-108346967D01* -X92682976Y-108352421D01* -X92687360Y-108358332D01* -X92691144Y-108364645D01* -X92694291Y-108371299D01* -X92696771Y-108378229D01* -X92698559Y-108385368D01* -X92699639Y-108392649D01* -X92700000Y-108400000D01* -X92700000Y-109725000D01* -X92699639Y-109732351D01* -X92698559Y-109739632D01* -X92696771Y-109746771D01* -X92694291Y-109753701D01* -X92691144Y-109760355D01* -X92687360Y-109766668D01* -X92682976Y-109772579D01* -X92678033Y-109778033D01* -X92672579Y-109782976D01* -X92666668Y-109787360D01* -X92660355Y-109791144D01* -X92653701Y-109794291D01* -X92646771Y-109796771D01* -X92639632Y-109798559D01* -X92632351Y-109799639D01* -X92625000Y-109800000D01* -X92475000Y-109800000D01* -X92467649Y-109799639D01* -X92460368Y-109798559D01* -X92453229Y-109796771D01* -X92446299Y-109794291D01* -X92439645Y-109791144D01* -X92433332Y-109787360D01* -X92427421Y-109782976D01* -X92421967Y-109778033D01* -X92417024Y-109772579D01* -X92412640Y-109766668D01* -X92408856Y-109760355D01* -X92405709Y-109753701D01* -X92403229Y-109746771D01* -X92401441Y-109739632D01* -X92400361Y-109732351D01* -X92400000Y-109725000D01* -X92400000Y-108400000D01* -X92400361Y-108392649D01* -X92401441Y-108385368D01* -X92403229Y-108378229D01* -X92405709Y-108371299D01* -X92408856Y-108364645D01* -X92412640Y-108358332D01* -X92417024Y-108352421D01* -X92421967Y-108346967D01* -X92427421Y-108342024D01* -X92433332Y-108337640D01* -X92439645Y-108333856D01* -X92446299Y-108330709D01* -X92453229Y-108328229D01* -X92460368Y-108326441D01* -X92467649Y-108325361D01* -X92475000Y-108325000D01* -X92625000Y-108325000D01* -X92632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X92132351Y-108325361D02* -G01* -X92139632Y-108326441D01* -X92146771Y-108328229D01* -X92153701Y-108330709D01* -X92160355Y-108333856D01* -X92166668Y-108337640D01* -X92172579Y-108342024D01* -X92178033Y-108346967D01* -X92182976Y-108352421D01* -X92187360Y-108358332D01* -X92191144Y-108364645D01* -X92194291Y-108371299D01* -X92196771Y-108378229D01* -X92198559Y-108385368D01* -X92199639Y-108392649D01* -X92200000Y-108400000D01* -X92200000Y-109725000D01* -X92199639Y-109732351D01* -X92198559Y-109739632D01* -X92196771Y-109746771D01* -X92194291Y-109753701D01* -X92191144Y-109760355D01* -X92187360Y-109766668D01* -X92182976Y-109772579D01* -X92178033Y-109778033D01* -X92172579Y-109782976D01* -X92166668Y-109787360D01* -X92160355Y-109791144D01* -X92153701Y-109794291D01* -X92146771Y-109796771D01* -X92139632Y-109798559D01* -X92132351Y-109799639D01* -X92125000Y-109800000D01* -X91975000Y-109800000D01* -X91967649Y-109799639D01* -X91960368Y-109798559D01* -X91953229Y-109796771D01* -X91946299Y-109794291D01* -X91939645Y-109791144D01* -X91933332Y-109787360D01* -X91927421Y-109782976D01* -X91921967Y-109778033D01* -X91917024Y-109772579D01* -X91912640Y-109766668D01* -X91908856Y-109760355D01* -X91905709Y-109753701D01* -X91903229Y-109746771D01* -X91901441Y-109739632D01* -X91900361Y-109732351D01* -X91900000Y-109725000D01* -X91900000Y-108400000D01* -X91900361Y-108392649D01* -X91901441Y-108385368D01* -X91903229Y-108378229D01* -X91905709Y-108371299D01* -X91908856Y-108364645D01* -X91912640Y-108358332D01* -X91917024Y-108352421D01* -X91921967Y-108346967D01* -X91927421Y-108342024D01* -X91933332Y-108337640D01* -X91939645Y-108333856D01* -X91946299Y-108330709D01* -X91953229Y-108328229D01* -X91960368Y-108326441D01* -X91967649Y-108325361D01* -X91975000Y-108325000D01* -X92125000Y-108325000D01* -X92132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91632351Y-108325361D02* -G01* -X91639632Y-108326441D01* -X91646771Y-108328229D01* -X91653701Y-108330709D01* -X91660355Y-108333856D01* -X91666668Y-108337640D01* -X91672579Y-108342024D01* -X91678033Y-108346967D01* -X91682976Y-108352421D01* -X91687360Y-108358332D01* -X91691144Y-108364645D01* -X91694291Y-108371299D01* -X91696771Y-108378229D01* -X91698559Y-108385368D01* -X91699639Y-108392649D01* -X91700000Y-108400000D01* -X91700000Y-109725000D01* -X91699639Y-109732351D01* -X91698559Y-109739632D01* -X91696771Y-109746771D01* -X91694291Y-109753701D01* -X91691144Y-109760355D01* -X91687360Y-109766668D01* -X91682976Y-109772579D01* -X91678033Y-109778033D01* -X91672579Y-109782976D01* -X91666668Y-109787360D01* -X91660355Y-109791144D01* -X91653701Y-109794291D01* -X91646771Y-109796771D01* -X91639632Y-109798559D01* -X91632351Y-109799639D01* -X91625000Y-109800000D01* -X91475000Y-109800000D01* -X91467649Y-109799639D01* -X91460368Y-109798559D01* -X91453229Y-109796771D01* -X91446299Y-109794291D01* -X91439645Y-109791144D01* -X91433332Y-109787360D01* -X91427421Y-109782976D01* -X91421967Y-109778033D01* -X91417024Y-109772579D01* -X91412640Y-109766668D01* -X91408856Y-109760355D01* -X91405709Y-109753701D01* -X91403229Y-109746771D01* -X91401441Y-109739632D01* -X91400361Y-109732351D01* -X91400000Y-109725000D01* -X91400000Y-108400000D01* -X91400361Y-108392649D01* -X91401441Y-108385368D01* -X91403229Y-108378229D01* -X91405709Y-108371299D01* -X91408856Y-108364645D01* -X91412640Y-108358332D01* -X91417024Y-108352421D01* -X91421967Y-108346967D01* -X91427421Y-108342024D01* -X91433332Y-108337640D01* -X91439645Y-108333856D01* -X91446299Y-108330709D01* -X91453229Y-108328229D01* -X91460368Y-108326441D01* -X91467649Y-108325361D01* -X91475000Y-108325000D01* -X91625000Y-108325000D01* -X91632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91132351Y-108325361D02* -G01* -X91139632Y-108326441D01* -X91146771Y-108328229D01* -X91153701Y-108330709D01* -X91160355Y-108333856D01* -X91166668Y-108337640D01* -X91172579Y-108342024D01* -X91178033Y-108346967D01* -X91182976Y-108352421D01* -X91187360Y-108358332D01* -X91191144Y-108364645D01* -X91194291Y-108371299D01* -X91196771Y-108378229D01* -X91198559Y-108385368D01* -X91199639Y-108392649D01* -X91200000Y-108400000D01* -X91200000Y-109725000D01* -X91199639Y-109732351D01* -X91198559Y-109739632D01* -X91196771Y-109746771D01* -X91194291Y-109753701D01* -X91191144Y-109760355D01* -X91187360Y-109766668D01* -X91182976Y-109772579D01* -X91178033Y-109778033D01* -X91172579Y-109782976D01* -X91166668Y-109787360D01* -X91160355Y-109791144D01* -X91153701Y-109794291D01* -X91146771Y-109796771D01* -X91139632Y-109798559D01* -X91132351Y-109799639D01* -X91125000Y-109800000D01* -X90975000Y-109800000D01* -X90967649Y-109799639D01* -X90960368Y-109798559D01* -X90953229Y-109796771D01* -X90946299Y-109794291D01* -X90939645Y-109791144D01* -X90933332Y-109787360D01* -X90927421Y-109782976D01* -X90921967Y-109778033D01* -X90917024Y-109772579D01* -X90912640Y-109766668D01* -X90908856Y-109760355D01* -X90905709Y-109753701D01* -X90903229Y-109746771D01* -X90901441Y-109739632D01* -X90900361Y-109732351D01* -X90900000Y-109725000D01* -X90900000Y-108400000D01* -X90900361Y-108392649D01* -X90901441Y-108385368D01* -X90903229Y-108378229D01* -X90905709Y-108371299D01* -X90908856Y-108364645D01* -X90912640Y-108358332D01* -X90917024Y-108352421D01* -X90921967Y-108346967D01* -X90927421Y-108342024D01* -X90933332Y-108337640D01* -X90939645Y-108333856D01* -X90946299Y-108330709D01* -X90953229Y-108328229D01* -X90960368Y-108326441D01* -X90967649Y-108325361D01* -X90975000Y-108325000D01* -X91125000Y-108325000D01* -X91132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90632351Y-108325361D02* -G01* -X90639632Y-108326441D01* -X90646771Y-108328229D01* -X90653701Y-108330709D01* -X90660355Y-108333856D01* -X90666668Y-108337640D01* -X90672579Y-108342024D01* -X90678033Y-108346967D01* -X90682976Y-108352421D01* -X90687360Y-108358332D01* -X90691144Y-108364645D01* -X90694291Y-108371299D01* -X90696771Y-108378229D01* -X90698559Y-108385368D01* -X90699639Y-108392649D01* -X90700000Y-108400000D01* -X90700000Y-109725000D01* -X90699639Y-109732351D01* -X90698559Y-109739632D01* -X90696771Y-109746771D01* -X90694291Y-109753701D01* -X90691144Y-109760355D01* -X90687360Y-109766668D01* -X90682976Y-109772579D01* -X90678033Y-109778033D01* -X90672579Y-109782976D01* -X90666668Y-109787360D01* -X90660355Y-109791144D01* -X90653701Y-109794291D01* -X90646771Y-109796771D01* -X90639632Y-109798559D01* -X90632351Y-109799639D01* -X90625000Y-109800000D01* -X90475000Y-109800000D01* -X90467649Y-109799639D01* -X90460368Y-109798559D01* -X90453229Y-109796771D01* -X90446299Y-109794291D01* -X90439645Y-109791144D01* -X90433332Y-109787360D01* -X90427421Y-109782976D01* -X90421967Y-109778033D01* -X90417024Y-109772579D01* -X90412640Y-109766668D01* -X90408856Y-109760355D01* -X90405709Y-109753701D01* -X90403229Y-109746771D01* -X90401441Y-109739632D01* -X90400361Y-109732351D01* -X90400000Y-109725000D01* -X90400000Y-108400000D01* -X90400361Y-108392649D01* -X90401441Y-108385368D01* -X90403229Y-108378229D01* -X90405709Y-108371299D01* -X90408856Y-108364645D01* -X90412640Y-108358332D01* -X90417024Y-108352421D01* -X90421967Y-108346967D01* -X90427421Y-108342024D01* -X90433332Y-108337640D01* -X90439645Y-108333856D01* -X90446299Y-108330709D01* -X90453229Y-108328229D01* -X90460368Y-108326441D01* -X90467649Y-108325361D01* -X90475000Y-108325000D01* -X90625000Y-108325000D01* -X90632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90132351Y-108325361D02* -G01* -X90139632Y-108326441D01* -X90146771Y-108328229D01* -X90153701Y-108330709D01* -X90160355Y-108333856D01* -X90166668Y-108337640D01* -X90172579Y-108342024D01* -X90178033Y-108346967D01* -X90182976Y-108352421D01* -X90187360Y-108358332D01* -X90191144Y-108364645D01* -X90194291Y-108371299D01* -X90196771Y-108378229D01* -X90198559Y-108385368D01* -X90199639Y-108392649D01* -X90200000Y-108400000D01* -X90200000Y-109725000D01* -X90199639Y-109732351D01* -X90198559Y-109739632D01* -X90196771Y-109746771D01* -X90194291Y-109753701D01* -X90191144Y-109760355D01* -X90187360Y-109766668D01* -X90182976Y-109772579D01* -X90178033Y-109778033D01* -X90172579Y-109782976D01* -X90166668Y-109787360D01* -X90160355Y-109791144D01* -X90153701Y-109794291D01* -X90146771Y-109796771D01* -X90139632Y-109798559D01* -X90132351Y-109799639D01* -X90125000Y-109800000D01* -X89975000Y-109800000D01* -X89967649Y-109799639D01* -X89960368Y-109798559D01* -X89953229Y-109796771D01* -X89946299Y-109794291D01* -X89939645Y-109791144D01* -X89933332Y-109787360D01* -X89927421Y-109782976D01* -X89921967Y-109778033D01* -X89917024Y-109772579D01* -X89912640Y-109766668D01* -X89908856Y-109760355D01* -X89905709Y-109753701D01* -X89903229Y-109746771D01* -X89901441Y-109739632D01* -X89900361Y-109732351D01* -X89900000Y-109725000D01* -X89900000Y-108400000D01* -X89900361Y-108392649D01* -X89901441Y-108385368D01* -X89903229Y-108378229D01* -X89905709Y-108371299D01* -X89908856Y-108364645D01* -X89912640Y-108358332D01* -X89917024Y-108352421D01* -X89921967Y-108346967D01* -X89927421Y-108342024D01* -X89933332Y-108337640D01* -X89939645Y-108333856D01* -X89946299Y-108330709D01* -X89953229Y-108328229D01* -X89960368Y-108326441D01* -X89967649Y-108325361D01* -X89975000Y-108325000D01* -X90125000Y-108325000D01* -X90132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89632351Y-108325361D02* -G01* -X89639632Y-108326441D01* -X89646771Y-108328229D01* -X89653701Y-108330709D01* -X89660355Y-108333856D01* -X89666668Y-108337640D01* -X89672579Y-108342024D01* -X89678033Y-108346967D01* -X89682976Y-108352421D01* -X89687360Y-108358332D01* -X89691144Y-108364645D01* -X89694291Y-108371299D01* -X89696771Y-108378229D01* -X89698559Y-108385368D01* -X89699639Y-108392649D01* -X89700000Y-108400000D01* -X89700000Y-109725000D01* -X89699639Y-109732351D01* -X89698559Y-109739632D01* -X89696771Y-109746771D01* -X89694291Y-109753701D01* -X89691144Y-109760355D01* -X89687360Y-109766668D01* -X89682976Y-109772579D01* -X89678033Y-109778033D01* -X89672579Y-109782976D01* -X89666668Y-109787360D01* -X89660355Y-109791144D01* -X89653701Y-109794291D01* -X89646771Y-109796771D01* -X89639632Y-109798559D01* -X89632351Y-109799639D01* -X89625000Y-109800000D01* -X89475000Y-109800000D01* -X89467649Y-109799639D01* -X89460368Y-109798559D01* -X89453229Y-109796771D01* -X89446299Y-109794291D01* -X89439645Y-109791144D01* -X89433332Y-109787360D01* -X89427421Y-109782976D01* -X89421967Y-109778033D01* -X89417024Y-109772579D01* -X89412640Y-109766668D01* -X89408856Y-109760355D01* -X89405709Y-109753701D01* -X89403229Y-109746771D01* -X89401441Y-109739632D01* -X89400361Y-109732351D01* -X89400000Y-109725000D01* -X89400000Y-108400000D01* -X89400361Y-108392649D01* -X89401441Y-108385368D01* -X89403229Y-108378229D01* -X89405709Y-108371299D01* -X89408856Y-108364645D01* -X89412640Y-108358332D01* -X89417024Y-108352421D01* -X89421967Y-108346967D01* -X89427421Y-108342024D01* -X89433332Y-108337640D01* -X89439645Y-108333856D01* -X89446299Y-108330709D01* -X89453229Y-108328229D01* -X89460368Y-108326441D01* -X89467649Y-108325361D01* -X89475000Y-108325000D01* -X89625000Y-108325000D01* -X89632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89132351Y-108325361D02* -G01* -X89139632Y-108326441D01* -X89146771Y-108328229D01* -X89153701Y-108330709D01* -X89160355Y-108333856D01* -X89166668Y-108337640D01* -X89172579Y-108342024D01* -X89178033Y-108346967D01* -X89182976Y-108352421D01* -X89187360Y-108358332D01* -X89191144Y-108364645D01* -X89194291Y-108371299D01* -X89196771Y-108378229D01* -X89198559Y-108385368D01* -X89199639Y-108392649D01* -X89200000Y-108400000D01* -X89200000Y-109725000D01* -X89199639Y-109732351D01* -X89198559Y-109739632D01* -X89196771Y-109746771D01* -X89194291Y-109753701D01* -X89191144Y-109760355D01* -X89187360Y-109766668D01* -X89182976Y-109772579D01* -X89178033Y-109778033D01* -X89172579Y-109782976D01* -X89166668Y-109787360D01* -X89160355Y-109791144D01* -X89153701Y-109794291D01* -X89146771Y-109796771D01* -X89139632Y-109798559D01* -X89132351Y-109799639D01* -X89125000Y-109800000D01* -X88975000Y-109800000D01* -X88967649Y-109799639D01* -X88960368Y-109798559D01* -X88953229Y-109796771D01* -X88946299Y-109794291D01* -X88939645Y-109791144D01* -X88933332Y-109787360D01* -X88927421Y-109782976D01* -X88921967Y-109778033D01* -X88917024Y-109772579D01* -X88912640Y-109766668D01* -X88908856Y-109760355D01* -X88905709Y-109753701D01* -X88903229Y-109746771D01* -X88901441Y-109739632D01* -X88900361Y-109732351D01* -X88900000Y-109725000D01* -X88900000Y-108400000D01* -X88900361Y-108392649D01* -X88901441Y-108385368D01* -X88903229Y-108378229D01* -X88905709Y-108371299D01* -X88908856Y-108364645D01* -X88912640Y-108358332D01* -X88917024Y-108352421D01* -X88921967Y-108346967D01* -X88927421Y-108342024D01* -X88933332Y-108337640D01* -X88939645Y-108333856D01* -X88946299Y-108330709D01* -X88953229Y-108328229D01* -X88960368Y-108326441D01* -X88967649Y-108325361D01* -X88975000Y-108325000D01* -X89125000Y-108325000D01* -X89132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88632351Y-108325361D02* -G01* -X88639632Y-108326441D01* -X88646771Y-108328229D01* -X88653701Y-108330709D01* -X88660355Y-108333856D01* -X88666668Y-108337640D01* -X88672579Y-108342024D01* -X88678033Y-108346967D01* -X88682976Y-108352421D01* -X88687360Y-108358332D01* -X88691144Y-108364645D01* -X88694291Y-108371299D01* -X88696771Y-108378229D01* -X88698559Y-108385368D01* -X88699639Y-108392649D01* -X88700000Y-108400000D01* -X88700000Y-109725000D01* -X88699639Y-109732351D01* -X88698559Y-109739632D01* -X88696771Y-109746771D01* -X88694291Y-109753701D01* -X88691144Y-109760355D01* -X88687360Y-109766668D01* -X88682976Y-109772579D01* -X88678033Y-109778033D01* -X88672579Y-109782976D01* -X88666668Y-109787360D01* -X88660355Y-109791144D01* -X88653701Y-109794291D01* -X88646771Y-109796771D01* -X88639632Y-109798559D01* -X88632351Y-109799639D01* -X88625000Y-109800000D01* -X88475000Y-109800000D01* -X88467649Y-109799639D01* -X88460368Y-109798559D01* -X88453229Y-109796771D01* -X88446299Y-109794291D01* -X88439645Y-109791144D01* -X88433332Y-109787360D01* -X88427421Y-109782976D01* -X88421967Y-109778033D01* -X88417024Y-109772579D01* -X88412640Y-109766668D01* -X88408856Y-109760355D01* -X88405709Y-109753701D01* -X88403229Y-109746771D01* -X88401441Y-109739632D01* -X88400361Y-109732351D01* -X88400000Y-109725000D01* -X88400000Y-108400000D01* -X88400361Y-108392649D01* -X88401441Y-108385368D01* -X88403229Y-108378229D01* -X88405709Y-108371299D01* -X88408856Y-108364645D01* -X88412640Y-108358332D01* -X88417024Y-108352421D01* -X88421967Y-108346967D01* -X88427421Y-108342024D01* -X88433332Y-108337640D01* -X88439645Y-108333856D01* -X88446299Y-108330709D01* -X88453229Y-108328229D01* -X88460368Y-108326441D01* -X88467649Y-108325361D01* -X88475000Y-108325000D01* -X88625000Y-108325000D01* -X88632351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88132351Y-108325361D02* -G01* -X88139632Y-108326441D01* -X88146771Y-108328229D01* -X88153701Y-108330709D01* -X88160355Y-108333856D01* -X88166668Y-108337640D01* -X88172579Y-108342024D01* -X88178033Y-108346967D01* -X88182976Y-108352421D01* -X88187360Y-108358332D01* -X88191144Y-108364645D01* -X88194291Y-108371299D01* -X88196771Y-108378229D01* -X88198559Y-108385368D01* -X88199639Y-108392649D01* -X88200000Y-108400000D01* -X88200000Y-109725000D01* -X88199639Y-109732351D01* -X88198559Y-109739632D01* -X88196771Y-109746771D01* -X88194291Y-109753701D01* -X88191144Y-109760355D01* -X88187360Y-109766668D01* -X88182976Y-109772579D01* -X88178033Y-109778033D01* -X88172579Y-109782976D01* -X88166668Y-109787360D01* -X88160355Y-109791144D01* -X88153701Y-109794291D01* -X88146771Y-109796771D01* -X88139632Y-109798559D01* -X88132351Y-109799639D01* -X88125000Y-109800000D01* -X87975000Y-109800000D01* -X87967649Y-109799639D01* -X87960368Y-109798559D01* -X87953229Y-109796771D01* -X87946299Y-109794291D01* -X87939645Y-109791144D01* -X87933332Y-109787360D01* -X87927421Y-109782976D01* -X87921967Y-109778033D01* -X87917024Y-109772579D01* -X87912640Y-109766668D01* -X87908856Y-109760355D01* -X87905709Y-109753701D01* -X87903229Y-109746771D01* -X87901441Y-109739632D01* -X87900361Y-109732351D01* -X87900000Y-109725000D01* -X87900000Y-108400000D01* -X87900361Y-108392649D01* -X87901441Y-108385368D01* -X87903229Y-108378229D01* -X87905709Y-108371299D01* -X87908856Y-108364645D01* -X87912640Y-108358332D01* -X87917024Y-108352421D01* -X87921967Y-108346967D01* -X87927421Y-108342024D01* -X87933332Y-108337640D01* -X87939645Y-108333856D01* -X87946299Y-108330709D01* -X87953229Y-108328229D01* -X87960368Y-108326441D01* -X87967649Y-108325361D01* -X87975000Y-108325000D01* -X88125000Y-108325000D01* -X88132351Y-108325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-107250361D02* -G01* -X87064632Y-107251441D01* -X87071771Y-107253229D01* -X87078701Y-107255709D01* -X87085355Y-107258856D01* -X87091668Y-107262640D01* -X87097579Y-107267024D01* -X87103033Y-107271967D01* -X87107976Y-107277421D01* -X87112360Y-107283332D01* -X87116144Y-107289645D01* -X87119291Y-107296299D01* -X87121771Y-107303229D01* -X87123559Y-107310368D01* -X87124639Y-107317649D01* -X87125000Y-107325000D01* -X87125000Y-107475000D01* -X87124639Y-107482351D01* -X87123559Y-107489632D01* -X87121771Y-107496771D01* -X87119291Y-107503701D01* -X87116144Y-107510355D01* -X87112360Y-107516668D01* -X87107976Y-107522579D01* -X87103033Y-107528033D01* -X87097579Y-107532976D01* -X87091668Y-107537360D01* -X87085355Y-107541144D01* -X87078701Y-107544291D01* -X87071771Y-107546771D01* -X87064632Y-107548559D01* -X87057351Y-107549639D01* -X87050000Y-107550000D01* -X85725000Y-107550000D01* -X85717649Y-107549639D01* -X85710368Y-107548559D01* -X85703229Y-107546771D01* -X85696299Y-107544291D01* -X85689645Y-107541144D01* -X85683332Y-107537360D01* -X85677421Y-107532976D01* -X85671967Y-107528033D01* -X85667024Y-107522579D01* -X85662640Y-107516668D01* -X85658856Y-107510355D01* -X85655709Y-107503701D01* -X85653229Y-107496771D01* -X85651441Y-107489632D01* -X85650361Y-107482351D01* -X85650000Y-107475000D01* -X85650000Y-107325000D01* -X85650361Y-107317649D01* -X85651441Y-107310368D01* -X85653229Y-107303229D01* -X85655709Y-107296299D01* -X85658856Y-107289645D01* -X85662640Y-107283332D01* -X85667024Y-107277421D01* -X85671967Y-107271967D01* -X85677421Y-107267024D01* -X85683332Y-107262640D01* -X85689645Y-107258856D01* -X85696299Y-107255709D01* -X85703229Y-107253229D01* -X85710368Y-107251441D01* -X85717649Y-107250361D01* -X85725000Y-107250000D01* -X87050000Y-107250000D01* -X87057351Y-107250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-106750361D02* -G01* -X87064632Y-106751441D01* -X87071771Y-106753229D01* -X87078701Y-106755709D01* -X87085355Y-106758856D01* -X87091668Y-106762640D01* -X87097579Y-106767024D01* -X87103033Y-106771967D01* -X87107976Y-106777421D01* -X87112360Y-106783332D01* -X87116144Y-106789645D01* -X87119291Y-106796299D01* -X87121771Y-106803229D01* -X87123559Y-106810368D01* -X87124639Y-106817649D01* -X87125000Y-106825000D01* -X87125000Y-106975000D01* -X87124639Y-106982351D01* -X87123559Y-106989632D01* -X87121771Y-106996771D01* -X87119291Y-107003701D01* -X87116144Y-107010355D01* -X87112360Y-107016668D01* -X87107976Y-107022579D01* -X87103033Y-107028033D01* -X87097579Y-107032976D01* -X87091668Y-107037360D01* -X87085355Y-107041144D01* -X87078701Y-107044291D01* -X87071771Y-107046771D01* -X87064632Y-107048559D01* -X87057351Y-107049639D01* -X87050000Y-107050000D01* -X85725000Y-107050000D01* -X85717649Y-107049639D01* -X85710368Y-107048559D01* -X85703229Y-107046771D01* -X85696299Y-107044291D01* -X85689645Y-107041144D01* -X85683332Y-107037360D01* -X85677421Y-107032976D01* -X85671967Y-107028033D01* -X85667024Y-107022579D01* -X85662640Y-107016668D01* -X85658856Y-107010355D01* -X85655709Y-107003701D01* -X85653229Y-106996771D01* -X85651441Y-106989632D01* -X85650361Y-106982351D01* -X85650000Y-106975000D01* -X85650000Y-106825000D01* -X85650361Y-106817649D01* -X85651441Y-106810368D01* -X85653229Y-106803229D01* -X85655709Y-106796299D01* -X85658856Y-106789645D01* -X85662640Y-106783332D01* -X85667024Y-106777421D01* -X85671967Y-106771967D01* -X85677421Y-106767024D01* -X85683332Y-106762640D01* -X85689645Y-106758856D01* -X85696299Y-106755709D01* -X85703229Y-106753229D01* -X85710368Y-106751441D01* -X85717649Y-106750361D01* -X85725000Y-106750000D01* -X87050000Y-106750000D01* -X87057351Y-106750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-106250361D02* -G01* -X87064632Y-106251441D01* -X87071771Y-106253229D01* -X87078701Y-106255709D01* -X87085355Y-106258856D01* -X87091668Y-106262640D01* -X87097579Y-106267024D01* -X87103033Y-106271967D01* -X87107976Y-106277421D01* -X87112360Y-106283332D01* -X87116144Y-106289645D01* -X87119291Y-106296299D01* -X87121771Y-106303229D01* -X87123559Y-106310368D01* -X87124639Y-106317649D01* -X87125000Y-106325000D01* -X87125000Y-106475000D01* -X87124639Y-106482351D01* -X87123559Y-106489632D01* -X87121771Y-106496771D01* -X87119291Y-106503701D01* -X87116144Y-106510355D01* -X87112360Y-106516668D01* -X87107976Y-106522579D01* -X87103033Y-106528033D01* -X87097579Y-106532976D01* -X87091668Y-106537360D01* -X87085355Y-106541144D01* -X87078701Y-106544291D01* -X87071771Y-106546771D01* -X87064632Y-106548559D01* -X87057351Y-106549639D01* -X87050000Y-106550000D01* -X85725000Y-106550000D01* -X85717649Y-106549639D01* -X85710368Y-106548559D01* -X85703229Y-106546771D01* -X85696299Y-106544291D01* -X85689645Y-106541144D01* -X85683332Y-106537360D01* -X85677421Y-106532976D01* -X85671967Y-106528033D01* -X85667024Y-106522579D01* -X85662640Y-106516668D01* -X85658856Y-106510355D01* -X85655709Y-106503701D01* -X85653229Y-106496771D01* -X85651441Y-106489632D01* -X85650361Y-106482351D01* -X85650000Y-106475000D01* -X85650000Y-106325000D01* -X85650361Y-106317649D01* -X85651441Y-106310368D01* -X85653229Y-106303229D01* -X85655709Y-106296299D01* -X85658856Y-106289645D01* -X85662640Y-106283332D01* -X85667024Y-106277421D01* -X85671967Y-106271967D01* -X85677421Y-106267024D01* -X85683332Y-106262640D01* -X85689645Y-106258856D01* -X85696299Y-106255709D01* -X85703229Y-106253229D01* -X85710368Y-106251441D01* -X85717649Y-106250361D01* -X85725000Y-106250000D01* -X87050000Y-106250000D01* -X87057351Y-106250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-105750361D02* -G01* -X87064632Y-105751441D01* -X87071771Y-105753229D01* -X87078701Y-105755709D01* -X87085355Y-105758856D01* -X87091668Y-105762640D01* -X87097579Y-105767024D01* -X87103033Y-105771967D01* -X87107976Y-105777421D01* -X87112360Y-105783332D01* -X87116144Y-105789645D01* -X87119291Y-105796299D01* -X87121771Y-105803229D01* -X87123559Y-105810368D01* -X87124639Y-105817649D01* -X87125000Y-105825000D01* -X87125000Y-105975000D01* -X87124639Y-105982351D01* -X87123559Y-105989632D01* -X87121771Y-105996771D01* -X87119291Y-106003701D01* -X87116144Y-106010355D01* -X87112360Y-106016668D01* -X87107976Y-106022579D01* -X87103033Y-106028033D01* -X87097579Y-106032976D01* -X87091668Y-106037360D01* -X87085355Y-106041144D01* -X87078701Y-106044291D01* -X87071771Y-106046771D01* -X87064632Y-106048559D01* -X87057351Y-106049639D01* -X87050000Y-106050000D01* -X85725000Y-106050000D01* -X85717649Y-106049639D01* -X85710368Y-106048559D01* -X85703229Y-106046771D01* -X85696299Y-106044291D01* -X85689645Y-106041144D01* -X85683332Y-106037360D01* -X85677421Y-106032976D01* -X85671967Y-106028033D01* -X85667024Y-106022579D01* -X85662640Y-106016668D01* -X85658856Y-106010355D01* -X85655709Y-106003701D01* -X85653229Y-105996771D01* -X85651441Y-105989632D01* -X85650361Y-105982351D01* -X85650000Y-105975000D01* -X85650000Y-105825000D01* -X85650361Y-105817649D01* -X85651441Y-105810368D01* -X85653229Y-105803229D01* -X85655709Y-105796299D01* -X85658856Y-105789645D01* -X85662640Y-105783332D01* -X85667024Y-105777421D01* -X85671967Y-105771967D01* -X85677421Y-105767024D01* -X85683332Y-105762640D01* -X85689645Y-105758856D01* -X85696299Y-105755709D01* -X85703229Y-105753229D01* -X85710368Y-105751441D01* -X85717649Y-105750361D01* -X85725000Y-105750000D01* -X87050000Y-105750000D01* -X87057351Y-105750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-105250361D02* -G01* -X87064632Y-105251441D01* -X87071771Y-105253229D01* -X87078701Y-105255709D01* -X87085355Y-105258856D01* -X87091668Y-105262640D01* -X87097579Y-105267024D01* -X87103033Y-105271967D01* -X87107976Y-105277421D01* -X87112360Y-105283332D01* -X87116144Y-105289645D01* -X87119291Y-105296299D01* -X87121771Y-105303229D01* -X87123559Y-105310368D01* -X87124639Y-105317649D01* -X87125000Y-105325000D01* -X87125000Y-105475000D01* -X87124639Y-105482351D01* -X87123559Y-105489632D01* -X87121771Y-105496771D01* -X87119291Y-105503701D01* -X87116144Y-105510355D01* -X87112360Y-105516668D01* -X87107976Y-105522579D01* -X87103033Y-105528033D01* -X87097579Y-105532976D01* -X87091668Y-105537360D01* -X87085355Y-105541144D01* -X87078701Y-105544291D01* -X87071771Y-105546771D01* -X87064632Y-105548559D01* -X87057351Y-105549639D01* -X87050000Y-105550000D01* -X85725000Y-105550000D01* -X85717649Y-105549639D01* -X85710368Y-105548559D01* -X85703229Y-105546771D01* -X85696299Y-105544291D01* -X85689645Y-105541144D01* -X85683332Y-105537360D01* -X85677421Y-105532976D01* -X85671967Y-105528033D01* -X85667024Y-105522579D01* -X85662640Y-105516668D01* -X85658856Y-105510355D01* -X85655709Y-105503701D01* -X85653229Y-105496771D01* -X85651441Y-105489632D01* -X85650361Y-105482351D01* -X85650000Y-105475000D01* -X85650000Y-105325000D01* -X85650361Y-105317649D01* -X85651441Y-105310368D01* -X85653229Y-105303229D01* -X85655709Y-105296299D01* -X85658856Y-105289645D01* -X85662640Y-105283332D01* -X85667024Y-105277421D01* -X85671967Y-105271967D01* -X85677421Y-105267024D01* -X85683332Y-105262640D01* -X85689645Y-105258856D01* -X85696299Y-105255709D01* -X85703229Y-105253229D01* -X85710368Y-105251441D01* -X85717649Y-105250361D01* -X85725000Y-105250000D01* -X87050000Y-105250000D01* -X87057351Y-105250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-104750361D02* -G01* -X87064632Y-104751441D01* -X87071771Y-104753229D01* -X87078701Y-104755709D01* -X87085355Y-104758856D01* -X87091668Y-104762640D01* -X87097579Y-104767024D01* -X87103033Y-104771967D01* -X87107976Y-104777421D01* -X87112360Y-104783332D01* -X87116144Y-104789645D01* -X87119291Y-104796299D01* -X87121771Y-104803229D01* -X87123559Y-104810368D01* -X87124639Y-104817649D01* -X87125000Y-104825000D01* -X87125000Y-104975000D01* -X87124639Y-104982351D01* -X87123559Y-104989632D01* -X87121771Y-104996771D01* -X87119291Y-105003701D01* -X87116144Y-105010355D01* -X87112360Y-105016668D01* -X87107976Y-105022579D01* -X87103033Y-105028033D01* -X87097579Y-105032976D01* -X87091668Y-105037360D01* -X87085355Y-105041144D01* -X87078701Y-105044291D01* -X87071771Y-105046771D01* -X87064632Y-105048559D01* -X87057351Y-105049639D01* -X87050000Y-105050000D01* -X85725000Y-105050000D01* -X85717649Y-105049639D01* -X85710368Y-105048559D01* -X85703229Y-105046771D01* -X85696299Y-105044291D01* -X85689645Y-105041144D01* -X85683332Y-105037360D01* -X85677421Y-105032976D01* -X85671967Y-105028033D01* -X85667024Y-105022579D01* -X85662640Y-105016668D01* -X85658856Y-105010355D01* -X85655709Y-105003701D01* -X85653229Y-104996771D01* -X85651441Y-104989632D01* -X85650361Y-104982351D01* -X85650000Y-104975000D01* -X85650000Y-104825000D01* -X85650361Y-104817649D01* -X85651441Y-104810368D01* -X85653229Y-104803229D01* -X85655709Y-104796299D01* -X85658856Y-104789645D01* -X85662640Y-104783332D01* -X85667024Y-104777421D01* -X85671967Y-104771967D01* -X85677421Y-104767024D01* -X85683332Y-104762640D01* -X85689645Y-104758856D01* -X85696299Y-104755709D01* -X85703229Y-104753229D01* -X85710368Y-104751441D01* -X85717649Y-104750361D01* -X85725000Y-104750000D01* -X87050000Y-104750000D01* -X87057351Y-104750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-104250361D02* -G01* -X87064632Y-104251441D01* -X87071771Y-104253229D01* -X87078701Y-104255709D01* -X87085355Y-104258856D01* -X87091668Y-104262640D01* -X87097579Y-104267024D01* -X87103033Y-104271967D01* -X87107976Y-104277421D01* -X87112360Y-104283332D01* -X87116144Y-104289645D01* -X87119291Y-104296299D01* -X87121771Y-104303229D01* -X87123559Y-104310368D01* -X87124639Y-104317649D01* -X87125000Y-104325000D01* -X87125000Y-104475000D01* -X87124639Y-104482351D01* -X87123559Y-104489632D01* -X87121771Y-104496771D01* -X87119291Y-104503701D01* -X87116144Y-104510355D01* -X87112360Y-104516668D01* -X87107976Y-104522579D01* -X87103033Y-104528033D01* -X87097579Y-104532976D01* -X87091668Y-104537360D01* -X87085355Y-104541144D01* -X87078701Y-104544291D01* -X87071771Y-104546771D01* -X87064632Y-104548559D01* -X87057351Y-104549639D01* -X87050000Y-104550000D01* -X85725000Y-104550000D01* -X85717649Y-104549639D01* -X85710368Y-104548559D01* -X85703229Y-104546771D01* -X85696299Y-104544291D01* -X85689645Y-104541144D01* -X85683332Y-104537360D01* -X85677421Y-104532976D01* -X85671967Y-104528033D01* -X85667024Y-104522579D01* -X85662640Y-104516668D01* -X85658856Y-104510355D01* -X85655709Y-104503701D01* -X85653229Y-104496771D01* -X85651441Y-104489632D01* -X85650361Y-104482351D01* -X85650000Y-104475000D01* -X85650000Y-104325000D01* -X85650361Y-104317649D01* -X85651441Y-104310368D01* -X85653229Y-104303229D01* -X85655709Y-104296299D01* -X85658856Y-104289645D01* -X85662640Y-104283332D01* -X85667024Y-104277421D01* -X85671967Y-104271967D01* -X85677421Y-104267024D01* -X85683332Y-104262640D01* -X85689645Y-104258856D01* -X85696299Y-104255709D01* -X85703229Y-104253229D01* -X85710368Y-104251441D01* -X85717649Y-104250361D01* -X85725000Y-104250000D01* -X87050000Y-104250000D01* -X87057351Y-104250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-103750361D02* -G01* -X87064632Y-103751441D01* -X87071771Y-103753229D01* -X87078701Y-103755709D01* -X87085355Y-103758856D01* -X87091668Y-103762640D01* -X87097579Y-103767024D01* -X87103033Y-103771967D01* -X87107976Y-103777421D01* -X87112360Y-103783332D01* -X87116144Y-103789645D01* -X87119291Y-103796299D01* -X87121771Y-103803229D01* -X87123559Y-103810368D01* -X87124639Y-103817649D01* -X87125000Y-103825000D01* -X87125000Y-103975000D01* -X87124639Y-103982351D01* -X87123559Y-103989632D01* -X87121771Y-103996771D01* -X87119291Y-104003701D01* -X87116144Y-104010355D01* -X87112360Y-104016668D01* -X87107976Y-104022579D01* -X87103033Y-104028033D01* -X87097579Y-104032976D01* -X87091668Y-104037360D01* -X87085355Y-104041144D01* -X87078701Y-104044291D01* -X87071771Y-104046771D01* -X87064632Y-104048559D01* -X87057351Y-104049639D01* -X87050000Y-104050000D01* -X85725000Y-104050000D01* -X85717649Y-104049639D01* -X85710368Y-104048559D01* -X85703229Y-104046771D01* -X85696299Y-104044291D01* -X85689645Y-104041144D01* -X85683332Y-104037360D01* -X85677421Y-104032976D01* -X85671967Y-104028033D01* -X85667024Y-104022579D01* -X85662640Y-104016668D01* -X85658856Y-104010355D01* -X85655709Y-104003701D01* -X85653229Y-103996771D01* -X85651441Y-103989632D01* -X85650361Y-103982351D01* -X85650000Y-103975000D01* -X85650000Y-103825000D01* -X85650361Y-103817649D01* -X85651441Y-103810368D01* -X85653229Y-103803229D01* -X85655709Y-103796299D01* -X85658856Y-103789645D01* -X85662640Y-103783332D01* -X85667024Y-103777421D01* -X85671967Y-103771967D01* -X85677421Y-103767024D01* -X85683332Y-103762640D01* -X85689645Y-103758856D01* -X85696299Y-103755709D01* -X85703229Y-103753229D01* -X85710368Y-103751441D01* -X85717649Y-103750361D01* -X85725000Y-103750000D01* -X87050000Y-103750000D01* -X87057351Y-103750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-103250361D02* -G01* -X87064632Y-103251441D01* -X87071771Y-103253229D01* -X87078701Y-103255709D01* -X87085355Y-103258856D01* -X87091668Y-103262640D01* -X87097579Y-103267024D01* -X87103033Y-103271967D01* -X87107976Y-103277421D01* -X87112360Y-103283332D01* -X87116144Y-103289645D01* -X87119291Y-103296299D01* -X87121771Y-103303229D01* -X87123559Y-103310368D01* -X87124639Y-103317649D01* -X87125000Y-103325000D01* -X87125000Y-103475000D01* -X87124639Y-103482351D01* -X87123559Y-103489632D01* -X87121771Y-103496771D01* -X87119291Y-103503701D01* -X87116144Y-103510355D01* -X87112360Y-103516668D01* -X87107976Y-103522579D01* -X87103033Y-103528033D01* -X87097579Y-103532976D01* -X87091668Y-103537360D01* -X87085355Y-103541144D01* -X87078701Y-103544291D01* -X87071771Y-103546771D01* -X87064632Y-103548559D01* -X87057351Y-103549639D01* -X87050000Y-103550000D01* -X85725000Y-103550000D01* -X85717649Y-103549639D01* -X85710368Y-103548559D01* -X85703229Y-103546771D01* -X85696299Y-103544291D01* -X85689645Y-103541144D01* -X85683332Y-103537360D01* -X85677421Y-103532976D01* -X85671967Y-103528033D01* -X85667024Y-103522579D01* -X85662640Y-103516668D01* -X85658856Y-103510355D01* -X85655709Y-103503701D01* -X85653229Y-103496771D01* -X85651441Y-103489632D01* -X85650361Y-103482351D01* -X85650000Y-103475000D01* -X85650000Y-103325000D01* -X85650361Y-103317649D01* -X85651441Y-103310368D01* -X85653229Y-103303229D01* -X85655709Y-103296299D01* -X85658856Y-103289645D01* -X85662640Y-103283332D01* -X85667024Y-103277421D01* -X85671967Y-103271967D01* -X85677421Y-103267024D01* -X85683332Y-103262640D01* -X85689645Y-103258856D01* -X85696299Y-103255709D01* -X85703229Y-103253229D01* -X85710368Y-103251441D01* -X85717649Y-103250361D01* -X85725000Y-103250000D01* -X87050000Y-103250000D01* -X87057351Y-103250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-102750361D02* -G01* -X87064632Y-102751441D01* -X87071771Y-102753229D01* -X87078701Y-102755709D01* -X87085355Y-102758856D01* -X87091668Y-102762640D01* -X87097579Y-102767024D01* -X87103033Y-102771967D01* -X87107976Y-102777421D01* -X87112360Y-102783332D01* -X87116144Y-102789645D01* -X87119291Y-102796299D01* -X87121771Y-102803229D01* -X87123559Y-102810368D01* -X87124639Y-102817649D01* -X87125000Y-102825000D01* -X87125000Y-102975000D01* -X87124639Y-102982351D01* -X87123559Y-102989632D01* -X87121771Y-102996771D01* -X87119291Y-103003701D01* -X87116144Y-103010355D01* -X87112360Y-103016668D01* -X87107976Y-103022579D01* -X87103033Y-103028033D01* -X87097579Y-103032976D01* -X87091668Y-103037360D01* -X87085355Y-103041144D01* -X87078701Y-103044291D01* -X87071771Y-103046771D01* -X87064632Y-103048559D01* -X87057351Y-103049639D01* -X87050000Y-103050000D01* -X85725000Y-103050000D01* -X85717649Y-103049639D01* -X85710368Y-103048559D01* -X85703229Y-103046771D01* -X85696299Y-103044291D01* -X85689645Y-103041144D01* -X85683332Y-103037360D01* -X85677421Y-103032976D01* -X85671967Y-103028033D01* -X85667024Y-103022579D01* -X85662640Y-103016668D01* -X85658856Y-103010355D01* -X85655709Y-103003701D01* -X85653229Y-102996771D01* -X85651441Y-102989632D01* -X85650361Y-102982351D01* -X85650000Y-102975000D01* -X85650000Y-102825000D01* -X85650361Y-102817649D01* -X85651441Y-102810368D01* -X85653229Y-102803229D01* -X85655709Y-102796299D01* -X85658856Y-102789645D01* -X85662640Y-102783332D01* -X85667024Y-102777421D01* -X85671967Y-102771967D01* -X85677421Y-102767024D01* -X85683332Y-102762640D01* -X85689645Y-102758856D01* -X85696299Y-102755709D01* -X85703229Y-102753229D01* -X85710368Y-102751441D01* -X85717649Y-102750361D01* -X85725000Y-102750000D01* -X87050000Y-102750000D01* -X87057351Y-102750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-102250361D02* -G01* -X87064632Y-102251441D01* -X87071771Y-102253229D01* -X87078701Y-102255709D01* -X87085355Y-102258856D01* -X87091668Y-102262640D01* -X87097579Y-102267024D01* -X87103033Y-102271967D01* -X87107976Y-102277421D01* -X87112360Y-102283332D01* -X87116144Y-102289645D01* -X87119291Y-102296299D01* -X87121771Y-102303229D01* -X87123559Y-102310368D01* -X87124639Y-102317649D01* -X87125000Y-102325000D01* -X87125000Y-102475000D01* -X87124639Y-102482351D01* -X87123559Y-102489632D01* -X87121771Y-102496771D01* -X87119291Y-102503701D01* -X87116144Y-102510355D01* -X87112360Y-102516668D01* -X87107976Y-102522579D01* -X87103033Y-102528033D01* -X87097579Y-102532976D01* -X87091668Y-102537360D01* -X87085355Y-102541144D01* -X87078701Y-102544291D01* -X87071771Y-102546771D01* -X87064632Y-102548559D01* -X87057351Y-102549639D01* -X87050000Y-102550000D01* -X85725000Y-102550000D01* -X85717649Y-102549639D01* -X85710368Y-102548559D01* -X85703229Y-102546771D01* -X85696299Y-102544291D01* -X85689645Y-102541144D01* -X85683332Y-102537360D01* -X85677421Y-102532976D01* -X85671967Y-102528033D01* -X85667024Y-102522579D01* -X85662640Y-102516668D01* -X85658856Y-102510355D01* -X85655709Y-102503701D01* -X85653229Y-102496771D01* -X85651441Y-102489632D01* -X85650361Y-102482351D01* -X85650000Y-102475000D01* -X85650000Y-102325000D01* -X85650361Y-102317649D01* -X85651441Y-102310368D01* -X85653229Y-102303229D01* -X85655709Y-102296299D01* -X85658856Y-102289645D01* -X85662640Y-102283332D01* -X85667024Y-102277421D01* -X85671967Y-102271967D01* -X85677421Y-102267024D01* -X85683332Y-102262640D01* -X85689645Y-102258856D01* -X85696299Y-102255709D01* -X85703229Y-102253229D01* -X85710368Y-102251441D01* -X85717649Y-102250361D01* -X85725000Y-102250000D01* -X87050000Y-102250000D01* -X87057351Y-102250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-101750361D02* -G01* -X87064632Y-101751441D01* -X87071771Y-101753229D01* -X87078701Y-101755709D01* -X87085355Y-101758856D01* -X87091668Y-101762640D01* -X87097579Y-101767024D01* -X87103033Y-101771967D01* -X87107976Y-101777421D01* -X87112360Y-101783332D01* -X87116144Y-101789645D01* -X87119291Y-101796299D01* -X87121771Y-101803229D01* -X87123559Y-101810368D01* -X87124639Y-101817649D01* -X87125000Y-101825000D01* -X87125000Y-101975000D01* -X87124639Y-101982351D01* -X87123559Y-101989632D01* -X87121771Y-101996771D01* -X87119291Y-102003701D01* -X87116144Y-102010355D01* -X87112360Y-102016668D01* -X87107976Y-102022579D01* -X87103033Y-102028033D01* -X87097579Y-102032976D01* -X87091668Y-102037360D01* -X87085355Y-102041144D01* -X87078701Y-102044291D01* -X87071771Y-102046771D01* -X87064632Y-102048559D01* -X87057351Y-102049639D01* -X87050000Y-102050000D01* -X85725000Y-102050000D01* -X85717649Y-102049639D01* -X85710368Y-102048559D01* -X85703229Y-102046771D01* -X85696299Y-102044291D01* -X85689645Y-102041144D01* -X85683332Y-102037360D01* -X85677421Y-102032976D01* -X85671967Y-102028033D01* -X85667024Y-102022579D01* -X85662640Y-102016668D01* -X85658856Y-102010355D01* -X85655709Y-102003701D01* -X85653229Y-101996771D01* -X85651441Y-101989632D01* -X85650361Y-101982351D01* -X85650000Y-101975000D01* -X85650000Y-101825000D01* -X85650361Y-101817649D01* -X85651441Y-101810368D01* -X85653229Y-101803229D01* -X85655709Y-101796299D01* -X85658856Y-101789645D01* -X85662640Y-101783332D01* -X85667024Y-101777421D01* -X85671967Y-101771967D01* -X85677421Y-101767024D01* -X85683332Y-101762640D01* -X85689645Y-101758856D01* -X85696299Y-101755709D01* -X85703229Y-101753229D01* -X85710368Y-101751441D01* -X85717649Y-101750361D01* -X85725000Y-101750000D01* -X87050000Y-101750000D01* -X87057351Y-101750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-101250361D02* -G01* -X87064632Y-101251441D01* -X87071771Y-101253229D01* -X87078701Y-101255709D01* -X87085355Y-101258856D01* -X87091668Y-101262640D01* -X87097579Y-101267024D01* -X87103033Y-101271967D01* -X87107976Y-101277421D01* -X87112360Y-101283332D01* -X87116144Y-101289645D01* -X87119291Y-101296299D01* -X87121771Y-101303229D01* -X87123559Y-101310368D01* -X87124639Y-101317649D01* -X87125000Y-101325000D01* -X87125000Y-101475000D01* -X87124639Y-101482351D01* -X87123559Y-101489632D01* -X87121771Y-101496771D01* -X87119291Y-101503701D01* -X87116144Y-101510355D01* -X87112360Y-101516668D01* -X87107976Y-101522579D01* -X87103033Y-101528033D01* -X87097579Y-101532976D01* -X87091668Y-101537360D01* -X87085355Y-101541144D01* -X87078701Y-101544291D01* -X87071771Y-101546771D01* -X87064632Y-101548559D01* -X87057351Y-101549639D01* -X87050000Y-101550000D01* -X85725000Y-101550000D01* -X85717649Y-101549639D01* -X85710368Y-101548559D01* -X85703229Y-101546771D01* -X85696299Y-101544291D01* -X85689645Y-101541144D01* -X85683332Y-101537360D01* -X85677421Y-101532976D01* -X85671967Y-101528033D01* -X85667024Y-101522579D01* -X85662640Y-101516668D01* -X85658856Y-101510355D01* -X85655709Y-101503701D01* -X85653229Y-101496771D01* -X85651441Y-101489632D01* -X85650361Y-101482351D01* -X85650000Y-101475000D01* -X85650000Y-101325000D01* -X85650361Y-101317649D01* -X85651441Y-101310368D01* -X85653229Y-101303229D01* -X85655709Y-101296299D01* -X85658856Y-101289645D01* -X85662640Y-101283332D01* -X85667024Y-101277421D01* -X85671967Y-101271967D01* -X85677421Y-101267024D01* -X85683332Y-101262640D01* -X85689645Y-101258856D01* -X85696299Y-101255709D01* -X85703229Y-101253229D01* -X85710368Y-101251441D01* -X85717649Y-101250361D01* -X85725000Y-101250000D01* -X87050000Y-101250000D01* -X87057351Y-101250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-100750361D02* -G01* -X87064632Y-100751441D01* -X87071771Y-100753229D01* -X87078701Y-100755709D01* -X87085355Y-100758856D01* -X87091668Y-100762640D01* -X87097579Y-100767024D01* -X87103033Y-100771967D01* -X87107976Y-100777421D01* -X87112360Y-100783332D01* -X87116144Y-100789645D01* -X87119291Y-100796299D01* -X87121771Y-100803229D01* -X87123559Y-100810368D01* -X87124639Y-100817649D01* -X87125000Y-100825000D01* -X87125000Y-100975000D01* -X87124639Y-100982351D01* -X87123559Y-100989632D01* -X87121771Y-100996771D01* -X87119291Y-101003701D01* -X87116144Y-101010355D01* -X87112360Y-101016668D01* -X87107976Y-101022579D01* -X87103033Y-101028033D01* -X87097579Y-101032976D01* -X87091668Y-101037360D01* -X87085355Y-101041144D01* -X87078701Y-101044291D01* -X87071771Y-101046771D01* -X87064632Y-101048559D01* -X87057351Y-101049639D01* -X87050000Y-101050000D01* -X85725000Y-101050000D01* -X85717649Y-101049639D01* -X85710368Y-101048559D01* -X85703229Y-101046771D01* -X85696299Y-101044291D01* -X85689645Y-101041144D01* -X85683332Y-101037360D01* -X85677421Y-101032976D01* -X85671967Y-101028033D01* -X85667024Y-101022579D01* -X85662640Y-101016668D01* -X85658856Y-101010355D01* -X85655709Y-101003701D01* -X85653229Y-100996771D01* -X85651441Y-100989632D01* -X85650361Y-100982351D01* -X85650000Y-100975000D01* -X85650000Y-100825000D01* -X85650361Y-100817649D01* -X85651441Y-100810368D01* -X85653229Y-100803229D01* -X85655709Y-100796299D01* -X85658856Y-100789645D01* -X85662640Y-100783332D01* -X85667024Y-100777421D01* -X85671967Y-100771967D01* -X85677421Y-100767024D01* -X85683332Y-100762640D01* -X85689645Y-100758856D01* -X85696299Y-100755709D01* -X85703229Y-100753229D01* -X85710368Y-100751441D01* -X85717649Y-100750361D01* -X85725000Y-100750000D01* -X87050000Y-100750000D01* -X87057351Y-100750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-100250361D02* -G01* -X87064632Y-100251441D01* -X87071771Y-100253229D01* -X87078701Y-100255709D01* -X87085355Y-100258856D01* -X87091668Y-100262640D01* -X87097579Y-100267024D01* -X87103033Y-100271967D01* -X87107976Y-100277421D01* -X87112360Y-100283332D01* -X87116144Y-100289645D01* -X87119291Y-100296299D01* -X87121771Y-100303229D01* -X87123559Y-100310368D01* -X87124639Y-100317649D01* -X87125000Y-100325000D01* -X87125000Y-100475000D01* -X87124639Y-100482351D01* -X87123559Y-100489632D01* -X87121771Y-100496771D01* -X87119291Y-100503701D01* -X87116144Y-100510355D01* -X87112360Y-100516668D01* -X87107976Y-100522579D01* -X87103033Y-100528033D01* -X87097579Y-100532976D01* -X87091668Y-100537360D01* -X87085355Y-100541144D01* -X87078701Y-100544291D01* -X87071771Y-100546771D01* -X87064632Y-100548559D01* -X87057351Y-100549639D01* -X87050000Y-100550000D01* -X85725000Y-100550000D01* -X85717649Y-100549639D01* -X85710368Y-100548559D01* -X85703229Y-100546771D01* -X85696299Y-100544291D01* -X85689645Y-100541144D01* -X85683332Y-100537360D01* -X85677421Y-100532976D01* -X85671967Y-100528033D01* -X85667024Y-100522579D01* -X85662640Y-100516668D01* -X85658856Y-100510355D01* -X85655709Y-100503701D01* -X85653229Y-100496771D01* -X85651441Y-100489632D01* -X85650361Y-100482351D01* -X85650000Y-100475000D01* -X85650000Y-100325000D01* -X85650361Y-100317649D01* -X85651441Y-100310368D01* -X85653229Y-100303229D01* -X85655709Y-100296299D01* -X85658856Y-100289645D01* -X85662640Y-100283332D01* -X85667024Y-100277421D01* -X85671967Y-100271967D01* -X85677421Y-100267024D01* -X85683332Y-100262640D01* -X85689645Y-100258856D01* -X85696299Y-100255709D01* -X85703229Y-100253229D01* -X85710368Y-100251441D01* -X85717649Y-100250361D01* -X85725000Y-100250000D01* -X87050000Y-100250000D01* -X87057351Y-100250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-99750361D02* -G01* -X87064632Y-99751441D01* -X87071771Y-99753229D01* -X87078701Y-99755709D01* -X87085355Y-99758856D01* -X87091668Y-99762640D01* -X87097579Y-99767024D01* -X87103033Y-99771967D01* -X87107976Y-99777421D01* -X87112360Y-99783332D01* -X87116144Y-99789645D01* -X87119291Y-99796299D01* -X87121771Y-99803229D01* -X87123559Y-99810368D01* -X87124639Y-99817649D01* -X87125000Y-99825000D01* -X87125000Y-99975000D01* -X87124639Y-99982351D01* -X87123559Y-99989632D01* -X87121771Y-99996771D01* -X87119291Y-100003701D01* -X87116144Y-100010355D01* -X87112360Y-100016668D01* -X87107976Y-100022579D01* -X87103033Y-100028033D01* -X87097579Y-100032976D01* -X87091668Y-100037360D01* -X87085355Y-100041144D01* -X87078701Y-100044291D01* -X87071771Y-100046771D01* -X87064632Y-100048559D01* -X87057351Y-100049639D01* -X87050000Y-100050000D01* -X85725000Y-100050000D01* -X85717649Y-100049639D01* -X85710368Y-100048559D01* -X85703229Y-100046771D01* -X85696299Y-100044291D01* -X85689645Y-100041144D01* -X85683332Y-100037360D01* -X85677421Y-100032976D01* -X85671967Y-100028033D01* -X85667024Y-100022579D01* -X85662640Y-100016668D01* -X85658856Y-100010355D01* -X85655709Y-100003701D01* -X85653229Y-99996771D01* -X85651441Y-99989632D01* -X85650361Y-99982351D01* -X85650000Y-99975000D01* -X85650000Y-99825000D01* -X85650361Y-99817649D01* -X85651441Y-99810368D01* -X85653229Y-99803229D01* -X85655709Y-99796299D01* -X85658856Y-99789645D01* -X85662640Y-99783332D01* -X85667024Y-99777421D01* -X85671967Y-99771967D01* -X85677421Y-99767024D01* -X85683332Y-99762640D01* -X85689645Y-99758856D01* -X85696299Y-99755709D01* -X85703229Y-99753229D01* -X85710368Y-99751441D01* -X85717649Y-99750361D01* -X85725000Y-99750000D01* -X87050000Y-99750000D01* -X87057351Y-99750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-99250361D02* -G01* -X87064632Y-99251441D01* -X87071771Y-99253229D01* -X87078701Y-99255709D01* -X87085355Y-99258856D01* -X87091668Y-99262640D01* -X87097579Y-99267024D01* -X87103033Y-99271967D01* -X87107976Y-99277421D01* -X87112360Y-99283332D01* -X87116144Y-99289645D01* -X87119291Y-99296299D01* -X87121771Y-99303229D01* -X87123559Y-99310368D01* -X87124639Y-99317649D01* -X87125000Y-99325000D01* -X87125000Y-99475000D01* -X87124639Y-99482351D01* -X87123559Y-99489632D01* -X87121771Y-99496771D01* -X87119291Y-99503701D01* -X87116144Y-99510355D01* -X87112360Y-99516668D01* -X87107976Y-99522579D01* -X87103033Y-99528033D01* -X87097579Y-99532976D01* -X87091668Y-99537360D01* -X87085355Y-99541144D01* -X87078701Y-99544291D01* -X87071771Y-99546771D01* -X87064632Y-99548559D01* -X87057351Y-99549639D01* -X87050000Y-99550000D01* -X85725000Y-99550000D01* -X85717649Y-99549639D01* -X85710368Y-99548559D01* -X85703229Y-99546771D01* -X85696299Y-99544291D01* -X85689645Y-99541144D01* -X85683332Y-99537360D01* -X85677421Y-99532976D01* -X85671967Y-99528033D01* -X85667024Y-99522579D01* -X85662640Y-99516668D01* -X85658856Y-99510355D01* -X85655709Y-99503701D01* -X85653229Y-99496771D01* -X85651441Y-99489632D01* -X85650361Y-99482351D01* -X85650000Y-99475000D01* -X85650000Y-99325000D01* -X85650361Y-99317649D01* -X85651441Y-99310368D01* -X85653229Y-99303229D01* -X85655709Y-99296299D01* -X85658856Y-99289645D01* -X85662640Y-99283332D01* -X85667024Y-99277421D01* -X85671967Y-99271967D01* -X85677421Y-99267024D01* -X85683332Y-99262640D01* -X85689645Y-99258856D01* -X85696299Y-99255709D01* -X85703229Y-99253229D01* -X85710368Y-99251441D01* -X85717649Y-99250361D01* -X85725000Y-99250000D01* -X87050000Y-99250000D01* -X87057351Y-99250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-98750361D02* -G01* -X87064632Y-98751441D01* -X87071771Y-98753229D01* -X87078701Y-98755709D01* -X87085355Y-98758856D01* -X87091668Y-98762640D01* -X87097579Y-98767024D01* -X87103033Y-98771967D01* -X87107976Y-98777421D01* -X87112360Y-98783332D01* -X87116144Y-98789645D01* -X87119291Y-98796299D01* -X87121771Y-98803229D01* -X87123559Y-98810368D01* -X87124639Y-98817649D01* -X87125000Y-98825000D01* -X87125000Y-98975000D01* -X87124639Y-98982351D01* -X87123559Y-98989632D01* -X87121771Y-98996771D01* -X87119291Y-99003701D01* -X87116144Y-99010355D01* -X87112360Y-99016668D01* -X87107976Y-99022579D01* -X87103033Y-99028033D01* -X87097579Y-99032976D01* -X87091668Y-99037360D01* -X87085355Y-99041144D01* -X87078701Y-99044291D01* -X87071771Y-99046771D01* -X87064632Y-99048559D01* -X87057351Y-99049639D01* -X87050000Y-99050000D01* -X85725000Y-99050000D01* -X85717649Y-99049639D01* -X85710368Y-99048559D01* -X85703229Y-99046771D01* -X85696299Y-99044291D01* -X85689645Y-99041144D01* -X85683332Y-99037360D01* -X85677421Y-99032976D01* -X85671967Y-99028033D01* -X85667024Y-99022579D01* -X85662640Y-99016668D01* -X85658856Y-99010355D01* -X85655709Y-99003701D01* -X85653229Y-98996771D01* -X85651441Y-98989632D01* -X85650361Y-98982351D01* -X85650000Y-98975000D01* -X85650000Y-98825000D01* -X85650361Y-98817649D01* -X85651441Y-98810368D01* -X85653229Y-98803229D01* -X85655709Y-98796299D01* -X85658856Y-98789645D01* -X85662640Y-98783332D01* -X85667024Y-98777421D01* -X85671967Y-98771967D01* -X85677421Y-98767024D01* -X85683332Y-98762640D01* -X85689645Y-98758856D01* -X85696299Y-98755709D01* -X85703229Y-98753229D01* -X85710368Y-98751441D01* -X85717649Y-98750361D01* -X85725000Y-98750000D01* -X87050000Y-98750000D01* -X87057351Y-98750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-98250361D02* -G01* -X87064632Y-98251441D01* -X87071771Y-98253229D01* -X87078701Y-98255709D01* -X87085355Y-98258856D01* -X87091668Y-98262640D01* -X87097579Y-98267024D01* -X87103033Y-98271967D01* -X87107976Y-98277421D01* -X87112360Y-98283332D01* -X87116144Y-98289645D01* -X87119291Y-98296299D01* -X87121771Y-98303229D01* -X87123559Y-98310368D01* -X87124639Y-98317649D01* -X87125000Y-98325000D01* -X87125000Y-98475000D01* -X87124639Y-98482351D01* -X87123559Y-98489632D01* -X87121771Y-98496771D01* -X87119291Y-98503701D01* -X87116144Y-98510355D01* -X87112360Y-98516668D01* -X87107976Y-98522579D01* -X87103033Y-98528033D01* -X87097579Y-98532976D01* -X87091668Y-98537360D01* -X87085355Y-98541144D01* -X87078701Y-98544291D01* -X87071771Y-98546771D01* -X87064632Y-98548559D01* -X87057351Y-98549639D01* -X87050000Y-98550000D01* -X85725000Y-98550000D01* -X85717649Y-98549639D01* -X85710368Y-98548559D01* -X85703229Y-98546771D01* -X85696299Y-98544291D01* -X85689645Y-98541144D01* -X85683332Y-98537360D01* -X85677421Y-98532976D01* -X85671967Y-98528033D01* -X85667024Y-98522579D01* -X85662640Y-98516668D01* -X85658856Y-98510355D01* -X85655709Y-98503701D01* -X85653229Y-98496771D01* -X85651441Y-98489632D01* -X85650361Y-98482351D01* -X85650000Y-98475000D01* -X85650000Y-98325000D01* -X85650361Y-98317649D01* -X85651441Y-98310368D01* -X85653229Y-98303229D01* -X85655709Y-98296299D01* -X85658856Y-98289645D01* -X85662640Y-98283332D01* -X85667024Y-98277421D01* -X85671967Y-98271967D01* -X85677421Y-98267024D01* -X85683332Y-98262640D01* -X85689645Y-98258856D01* -X85696299Y-98255709D01* -X85703229Y-98253229D01* -X85710368Y-98251441D01* -X85717649Y-98250361D01* -X85725000Y-98250000D01* -X87050000Y-98250000D01* -X87057351Y-98250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-97750361D02* -G01* -X87064632Y-97751441D01* -X87071771Y-97753229D01* -X87078701Y-97755709D01* -X87085355Y-97758856D01* -X87091668Y-97762640D01* -X87097579Y-97767024D01* -X87103033Y-97771967D01* -X87107976Y-97777421D01* -X87112360Y-97783332D01* -X87116144Y-97789645D01* -X87119291Y-97796299D01* -X87121771Y-97803229D01* -X87123559Y-97810368D01* -X87124639Y-97817649D01* -X87125000Y-97825000D01* -X87125000Y-97975000D01* -X87124639Y-97982351D01* -X87123559Y-97989632D01* -X87121771Y-97996771D01* -X87119291Y-98003701D01* -X87116144Y-98010355D01* -X87112360Y-98016668D01* -X87107976Y-98022579D01* -X87103033Y-98028033D01* -X87097579Y-98032976D01* -X87091668Y-98037360D01* -X87085355Y-98041144D01* -X87078701Y-98044291D01* -X87071771Y-98046771D01* -X87064632Y-98048559D01* -X87057351Y-98049639D01* -X87050000Y-98050000D01* -X85725000Y-98050000D01* -X85717649Y-98049639D01* -X85710368Y-98048559D01* -X85703229Y-98046771D01* -X85696299Y-98044291D01* -X85689645Y-98041144D01* -X85683332Y-98037360D01* -X85677421Y-98032976D01* -X85671967Y-98028033D01* -X85667024Y-98022579D01* -X85662640Y-98016668D01* -X85658856Y-98010355D01* -X85655709Y-98003701D01* -X85653229Y-97996771D01* -X85651441Y-97989632D01* -X85650361Y-97982351D01* -X85650000Y-97975000D01* -X85650000Y-97825000D01* -X85650361Y-97817649D01* -X85651441Y-97810368D01* -X85653229Y-97803229D01* -X85655709Y-97796299D01* -X85658856Y-97789645D01* -X85662640Y-97783332D01* -X85667024Y-97777421D01* -X85671967Y-97771967D01* -X85677421Y-97767024D01* -X85683332Y-97762640D01* -X85689645Y-97758856D01* -X85696299Y-97755709D01* -X85703229Y-97753229D01* -X85710368Y-97751441D01* -X85717649Y-97750361D01* -X85725000Y-97750000D01* -X87050000Y-97750000D01* -X87057351Y-97750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-97250361D02* -G01* -X87064632Y-97251441D01* -X87071771Y-97253229D01* -X87078701Y-97255709D01* -X87085355Y-97258856D01* -X87091668Y-97262640D01* -X87097579Y-97267024D01* -X87103033Y-97271967D01* -X87107976Y-97277421D01* -X87112360Y-97283332D01* -X87116144Y-97289645D01* -X87119291Y-97296299D01* -X87121771Y-97303229D01* -X87123559Y-97310368D01* -X87124639Y-97317649D01* -X87125000Y-97325000D01* -X87125000Y-97475000D01* -X87124639Y-97482351D01* -X87123559Y-97489632D01* -X87121771Y-97496771D01* -X87119291Y-97503701D01* -X87116144Y-97510355D01* -X87112360Y-97516668D01* -X87107976Y-97522579D01* -X87103033Y-97528033D01* -X87097579Y-97532976D01* -X87091668Y-97537360D01* -X87085355Y-97541144D01* -X87078701Y-97544291D01* -X87071771Y-97546771D01* -X87064632Y-97548559D01* -X87057351Y-97549639D01* -X87050000Y-97550000D01* -X85725000Y-97550000D01* -X85717649Y-97549639D01* -X85710368Y-97548559D01* -X85703229Y-97546771D01* -X85696299Y-97544291D01* -X85689645Y-97541144D01* -X85683332Y-97537360D01* -X85677421Y-97532976D01* -X85671967Y-97528033D01* -X85667024Y-97522579D01* -X85662640Y-97516668D01* -X85658856Y-97510355D01* -X85655709Y-97503701D01* -X85653229Y-97496771D01* -X85651441Y-97489632D01* -X85650361Y-97482351D01* -X85650000Y-97475000D01* -X85650000Y-97325000D01* -X85650361Y-97317649D01* -X85651441Y-97310368D01* -X85653229Y-97303229D01* -X85655709Y-97296299D01* -X85658856Y-97289645D01* -X85662640Y-97283332D01* -X85667024Y-97277421D01* -X85671967Y-97271967D01* -X85677421Y-97267024D01* -X85683332Y-97262640D01* -X85689645Y-97258856D01* -X85696299Y-97255709D01* -X85703229Y-97253229D01* -X85710368Y-97251441D01* -X85717649Y-97250361D01* -X85725000Y-97250000D01* -X87050000Y-97250000D01* -X87057351Y-97250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-96750361D02* -G01* -X87064632Y-96751441D01* -X87071771Y-96753229D01* -X87078701Y-96755709D01* -X87085355Y-96758856D01* -X87091668Y-96762640D01* -X87097579Y-96767024D01* -X87103033Y-96771967D01* -X87107976Y-96777421D01* -X87112360Y-96783332D01* -X87116144Y-96789645D01* -X87119291Y-96796299D01* -X87121771Y-96803229D01* -X87123559Y-96810368D01* -X87124639Y-96817649D01* -X87125000Y-96825000D01* -X87125000Y-96975000D01* -X87124639Y-96982351D01* -X87123559Y-96989632D01* -X87121771Y-96996771D01* -X87119291Y-97003701D01* -X87116144Y-97010355D01* -X87112360Y-97016668D01* -X87107976Y-97022579D01* -X87103033Y-97028033D01* -X87097579Y-97032976D01* -X87091668Y-97037360D01* -X87085355Y-97041144D01* -X87078701Y-97044291D01* -X87071771Y-97046771D01* -X87064632Y-97048559D01* -X87057351Y-97049639D01* -X87050000Y-97050000D01* -X85725000Y-97050000D01* -X85717649Y-97049639D01* -X85710368Y-97048559D01* -X85703229Y-97046771D01* -X85696299Y-97044291D01* -X85689645Y-97041144D01* -X85683332Y-97037360D01* -X85677421Y-97032976D01* -X85671967Y-97028033D01* -X85667024Y-97022579D01* -X85662640Y-97016668D01* -X85658856Y-97010355D01* -X85655709Y-97003701D01* -X85653229Y-96996771D01* -X85651441Y-96989632D01* -X85650361Y-96982351D01* -X85650000Y-96975000D01* -X85650000Y-96825000D01* -X85650361Y-96817649D01* -X85651441Y-96810368D01* -X85653229Y-96803229D01* -X85655709Y-96796299D01* -X85658856Y-96789645D01* -X85662640Y-96783332D01* -X85667024Y-96777421D01* -X85671967Y-96771967D01* -X85677421Y-96767024D01* -X85683332Y-96762640D01* -X85689645Y-96758856D01* -X85696299Y-96755709D01* -X85703229Y-96753229D01* -X85710368Y-96751441D01* -X85717649Y-96750361D01* -X85725000Y-96750000D01* -X87050000Y-96750000D01* -X87057351Y-96750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-96250361D02* -G01* -X87064632Y-96251441D01* -X87071771Y-96253229D01* -X87078701Y-96255709D01* -X87085355Y-96258856D01* -X87091668Y-96262640D01* -X87097579Y-96267024D01* -X87103033Y-96271967D01* -X87107976Y-96277421D01* -X87112360Y-96283332D01* -X87116144Y-96289645D01* -X87119291Y-96296299D01* -X87121771Y-96303229D01* -X87123559Y-96310368D01* -X87124639Y-96317649D01* -X87125000Y-96325000D01* -X87125000Y-96475000D01* -X87124639Y-96482351D01* -X87123559Y-96489632D01* -X87121771Y-96496771D01* -X87119291Y-96503701D01* -X87116144Y-96510355D01* -X87112360Y-96516668D01* -X87107976Y-96522579D01* -X87103033Y-96528033D01* -X87097579Y-96532976D01* -X87091668Y-96537360D01* -X87085355Y-96541144D01* -X87078701Y-96544291D01* -X87071771Y-96546771D01* -X87064632Y-96548559D01* -X87057351Y-96549639D01* -X87050000Y-96550000D01* -X85725000Y-96550000D01* -X85717649Y-96549639D01* -X85710368Y-96548559D01* -X85703229Y-96546771D01* -X85696299Y-96544291D01* -X85689645Y-96541144D01* -X85683332Y-96537360D01* -X85677421Y-96532976D01* -X85671967Y-96528033D01* -X85667024Y-96522579D01* -X85662640Y-96516668D01* -X85658856Y-96510355D01* -X85655709Y-96503701D01* -X85653229Y-96496771D01* -X85651441Y-96489632D01* -X85650361Y-96482351D01* -X85650000Y-96475000D01* -X85650000Y-96325000D01* -X85650361Y-96317649D01* -X85651441Y-96310368D01* -X85653229Y-96303229D01* -X85655709Y-96296299D01* -X85658856Y-96289645D01* -X85662640Y-96283332D01* -X85667024Y-96277421D01* -X85671967Y-96271967D01* -X85677421Y-96267024D01* -X85683332Y-96262640D01* -X85689645Y-96258856D01* -X85696299Y-96255709D01* -X85703229Y-96253229D01* -X85710368Y-96251441D01* -X85717649Y-96250361D01* -X85725000Y-96250000D01* -X87050000Y-96250000D01* -X87057351Y-96250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-95750361D02* -G01* -X87064632Y-95751441D01* -X87071771Y-95753229D01* -X87078701Y-95755709D01* -X87085355Y-95758856D01* -X87091668Y-95762640D01* -X87097579Y-95767024D01* -X87103033Y-95771967D01* -X87107976Y-95777421D01* -X87112360Y-95783332D01* -X87116144Y-95789645D01* -X87119291Y-95796299D01* -X87121771Y-95803229D01* -X87123559Y-95810368D01* -X87124639Y-95817649D01* -X87125000Y-95825000D01* -X87125000Y-95975000D01* -X87124639Y-95982351D01* -X87123559Y-95989632D01* -X87121771Y-95996771D01* -X87119291Y-96003701D01* -X87116144Y-96010355D01* -X87112360Y-96016668D01* -X87107976Y-96022579D01* -X87103033Y-96028033D01* -X87097579Y-96032976D01* -X87091668Y-96037360D01* -X87085355Y-96041144D01* -X87078701Y-96044291D01* -X87071771Y-96046771D01* -X87064632Y-96048559D01* -X87057351Y-96049639D01* -X87050000Y-96050000D01* -X85725000Y-96050000D01* -X85717649Y-96049639D01* -X85710368Y-96048559D01* -X85703229Y-96046771D01* -X85696299Y-96044291D01* -X85689645Y-96041144D01* -X85683332Y-96037360D01* -X85677421Y-96032976D01* -X85671967Y-96028033D01* -X85667024Y-96022579D01* -X85662640Y-96016668D01* -X85658856Y-96010355D01* -X85655709Y-96003701D01* -X85653229Y-95996771D01* -X85651441Y-95989632D01* -X85650361Y-95982351D01* -X85650000Y-95975000D01* -X85650000Y-95825000D01* -X85650361Y-95817649D01* -X85651441Y-95810368D01* -X85653229Y-95803229D01* -X85655709Y-95796299D01* -X85658856Y-95789645D01* -X85662640Y-95783332D01* -X85667024Y-95777421D01* -X85671967Y-95771967D01* -X85677421Y-95767024D01* -X85683332Y-95762640D01* -X85689645Y-95758856D01* -X85696299Y-95755709D01* -X85703229Y-95753229D01* -X85710368Y-95751441D01* -X85717649Y-95750361D01* -X85725000Y-95750000D01* -X87050000Y-95750000D01* -X87057351Y-95750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87057351Y-95250361D02* -G01* -X87064632Y-95251441D01* -X87071771Y-95253229D01* -X87078701Y-95255709D01* -X87085355Y-95258856D01* -X87091668Y-95262640D01* -X87097579Y-95267024D01* -X87103033Y-95271967D01* -X87107976Y-95277421D01* -X87112360Y-95283332D01* -X87116144Y-95289645D01* -X87119291Y-95296299D01* -X87121771Y-95303229D01* -X87123559Y-95310368D01* -X87124639Y-95317649D01* -X87125000Y-95325000D01* -X87125000Y-95475000D01* -X87124639Y-95482351D01* -X87123559Y-95489632D01* -X87121771Y-95496771D01* -X87119291Y-95503701D01* -X87116144Y-95510355D01* -X87112360Y-95516668D01* -X87107976Y-95522579D01* -X87103033Y-95528033D01* -X87097579Y-95532976D01* -X87091668Y-95537360D01* -X87085355Y-95541144D01* -X87078701Y-95544291D01* -X87071771Y-95546771D01* -X87064632Y-95548559D01* -X87057351Y-95549639D01* -X87050000Y-95550000D01* -X85725000Y-95550000D01* -X85717649Y-95549639D01* -X85710368Y-95548559D01* -X85703229Y-95546771D01* -X85696299Y-95544291D01* -X85689645Y-95541144D01* -X85683332Y-95537360D01* -X85677421Y-95532976D01* -X85671967Y-95528033D01* -X85667024Y-95522579D01* -X85662640Y-95516668D01* -X85658856Y-95510355D01* -X85655709Y-95503701D01* -X85653229Y-95496771D01* -X85651441Y-95489632D01* -X85650361Y-95482351D01* -X85650000Y-95475000D01* -X85650000Y-95325000D01* -X85650361Y-95317649D01* -X85651441Y-95310368D01* -X85653229Y-95303229D01* -X85655709Y-95296299D01* -X85658856Y-95289645D01* -X85662640Y-95283332D01* -X85667024Y-95277421D01* -X85671967Y-95271967D01* -X85677421Y-95267024D01* -X85683332Y-95262640D01* -X85689645Y-95258856D01* -X85696299Y-95255709D01* -X85703229Y-95253229D01* -X85710368Y-95251441D01* -X85717649Y-95250361D01* -X85725000Y-95250000D01* -X87050000Y-95250000D01* -X87057351Y-95250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X116792153Y-123725843D02* -G01* -X116809141Y-123728363D01* -X116825800Y-123732535D01* -X116841970Y-123738321D01* -X116857494Y-123745664D01* -X116872225Y-123754493D01* -X116886019Y-123764723D01* -X116898744Y-123776256D01* -X116910277Y-123788981D01* -X116920507Y-123802775D01* -X116929336Y-123817506D01* -X116936679Y-123833030D01* -X116942465Y-123849200D01* -X116946637Y-123865859D01* -X116949157Y-123882847D01* -X116950000Y-123900000D01* -X116950000Y-124500000D01* -X116949157Y-124517153D01* -X116946637Y-124534141D01* -X116942465Y-124550800D01* -X116936679Y-124566970D01* -X116929336Y-124582494D01* -X116920507Y-124597225D01* -X116910277Y-124611019D01* -X116898744Y-124623744D01* -X116886019Y-124635277D01* -X116872225Y-124645507D01* -X116857494Y-124654336D01* -X116841970Y-124661679D01* -X116825800Y-124667465D01* -X116809141Y-124671637D01* -X116792153Y-124674157D01* -X116775000Y-124675000D01* -X116425000Y-124675000D01* -X116407847Y-124674157D01* -X116390859Y-124671637D01* -X116374200Y-124667465D01* -X116358030Y-124661679D01* -X116342506Y-124654336D01* -X116327775Y-124645507D01* -X116313981Y-124635277D01* -X116301256Y-124623744D01* -X116289723Y-124611019D01* -X116279493Y-124597225D01* -X116270664Y-124582494D01* -X116263321Y-124566970D01* -X116257535Y-124550800D01* -X116253363Y-124534141D01* -X116250843Y-124517153D01* -X116250000Y-124500000D01* -X116250000Y-123900000D01* -X116250843Y-123882847D01* -X116253363Y-123865859D01* -X116257535Y-123849200D01* -X116263321Y-123833030D01* -X116270664Y-123817506D01* -X116279493Y-123802775D01* -X116289723Y-123788981D01* -X116301256Y-123776256D01* -X116313981Y-123764723D01* -X116327775Y-123754493D01* -X116342506Y-123745664D01* -X116358030Y-123738321D01* -X116374200Y-123732535D01* -X116390859Y-123728363D01* -X116407847Y-123725843D01* -X116425000Y-123725000D01* -X116775000Y-123725000D01* -X116792153Y-123725843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X115192153Y-123725843D02* -G01* -X115209141Y-123728363D01* -X115225800Y-123732535D01* -X115241970Y-123738321D01* -X115257494Y-123745664D01* -X115272225Y-123754493D01* -X115286019Y-123764723D01* -X115298744Y-123776256D01* -X115310277Y-123788981D01* -X115320507Y-123802775D01* -X115329336Y-123817506D01* -X115336679Y-123833030D01* -X115342465Y-123849200D01* -X115346637Y-123865859D01* -X115349157Y-123882847D01* -X115350000Y-123900000D01* -X115350000Y-124500000D01* -X115349157Y-124517153D01* -X115346637Y-124534141D01* -X115342465Y-124550800D01* -X115336679Y-124566970D01* -X115329336Y-124582494D01* -X115320507Y-124597225D01* -X115310277Y-124611019D01* -X115298744Y-124623744D01* -X115286019Y-124635277D01* -X115272225Y-124645507D01* -X115257494Y-124654336D01* -X115241970Y-124661679D01* -X115225800Y-124667465D01* -X115209141Y-124671637D01* -X115192153Y-124674157D01* -X115175000Y-124675000D01* -X114825000Y-124675000D01* -X114807847Y-124674157D01* -X114790859Y-124671637D01* -X114774200Y-124667465D01* -X114758030Y-124661679D01* -X114742506Y-124654336D01* -X114727775Y-124645507D01* -X114713981Y-124635277D01* -X114701256Y-124623744D01* -X114689723Y-124611019D01* -X114679493Y-124597225D01* -X114670664Y-124582494D01* -X114663321Y-124566970D01* -X114657535Y-124550800D01* -X114653363Y-124534141D01* -X114650843Y-124517153D01* -X114650000Y-124500000D01* -X114650000Y-123900000D01* -X114650843Y-123882847D01* -X114653363Y-123865859D01* -X114657535Y-123849200D01* -X114663321Y-123833030D01* -X114670664Y-123817506D01* -X114679493Y-123802775D01* -X114689723Y-123788981D01* -X114701256Y-123776256D01* -X114713981Y-123764723D01* -X114727775Y-123754493D01* -X114742506Y-123745664D01* -X114758030Y-123738321D01* -X114774200Y-123732535D01* -X114790859Y-123728363D01* -X114807847Y-123725843D01* -X114825000Y-123725000D01* -X115175000Y-123725000D01* -X115192153Y-123725843D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69283329Y-99476023D02* -G01* -X69303957Y-99479083D01* -X69324185Y-99484150D01* -X69343820Y-99491176D01* -X69362672Y-99500092D01* -X69380559Y-99510813D01* -X69397309Y-99523235D01* -X69412760Y-99537240D01* -X69426765Y-99552691D01* -X69439187Y-99569441D01* -X69449908Y-99587328D01* -X69458824Y-99606180D01* -X69465850Y-99625815D01* -X69470917Y-99646043D01* -X69473977Y-99666671D01* -X69475000Y-99687500D01* -X69475000Y-100112500D01* -X69473977Y-100133329D01* -X69470917Y-100153957D01* -X69465850Y-100174185D01* -X69458824Y-100193820D01* -X69449908Y-100212672D01* -X69439187Y-100230559D01* -X69426765Y-100247309D01* -X69412760Y-100262760D01* -X69397309Y-100276765D01* -X69380559Y-100289187D01* -X69362672Y-100299908D01* -X69343820Y-100308824D01* -X69324185Y-100315850D01* -X69303957Y-100320917D01* -X69283329Y-100323977D01* -X69262500Y-100325000D01* -X68737500Y-100325000D01* -X68716671Y-100323977D01* -X68696043Y-100320917D01* -X68675815Y-100315850D01* -X68656180Y-100308824D01* -X68637328Y-100299908D01* -X68619441Y-100289187D01* -X68602691Y-100276765D01* -X68587240Y-100262760D01* -X68573235Y-100247309D01* -X68560813Y-100230559D01* -X68550092Y-100212672D01* -X68541176Y-100193820D01* -X68534150Y-100174185D01* -X68529083Y-100153957D01* -X68526023Y-100133329D01* -X68525000Y-100112500D01* -X68525000Y-99687500D01* -X68526023Y-99666671D01* -X68529083Y-99646043D01* -X68534150Y-99625815D01* -X68541176Y-99606180D01* -X68550092Y-99587328D01* -X68560813Y-99569441D01* -X68573235Y-99552691D01* -X68587240Y-99537240D01* -X68602691Y-99523235D01* -X68619441Y-99510813D01* -X68637328Y-99500092D01* -X68656180Y-99491176D01* -X68675815Y-99484150D01* -X68696043Y-99479083D01* -X68716671Y-99476023D01* -X68737500Y-99475000D01* -X69262500Y-99475000D01* -X69283329Y-99476023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X69283329Y-100976023D02* -G01* -X69303957Y-100979083D01* -X69324185Y-100984150D01* -X69343820Y-100991176D01* -X69362672Y-101000092D01* -X69380559Y-101010813D01* -X69397309Y-101023235D01* -X69412760Y-101037240D01* -X69426765Y-101052691D01* -X69439187Y-101069441D01* -X69449908Y-101087328D01* -X69458824Y-101106180D01* -X69465850Y-101125815D01* -X69470917Y-101146043D01* -X69473977Y-101166671D01* -X69475000Y-101187500D01* -X69475000Y-101612500D01* -X69473977Y-101633329D01* -X69470917Y-101653957D01* -X69465850Y-101674185D01* -X69458824Y-101693820D01* -X69449908Y-101712672D01* -X69439187Y-101730559D01* -X69426765Y-101747309D01* -X69412760Y-101762760D01* -X69397309Y-101776765D01* -X69380559Y-101789187D01* -X69362672Y-101799908D01* -X69343820Y-101808824D01* -X69324185Y-101815850D01* -X69303957Y-101820917D01* -X69283329Y-101823977D01* -X69262500Y-101825000D01* -X68737500Y-101825000D01* -X68716671Y-101823977D01* -X68696043Y-101820917D01* -X68675815Y-101815850D01* -X68656180Y-101808824D01* -X68637328Y-101799908D01* -X68619441Y-101789187D01* -X68602691Y-101776765D01* -X68587240Y-101762760D01* -X68573235Y-101747309D01* -X68560813Y-101730559D01* -X68550092Y-101712672D01* -X68541176Y-101693820D01* -X68534150Y-101674185D01* -X68529083Y-101653957D01* -X68526023Y-101633329D01* -X68525000Y-101612500D01* -X68525000Y-101187500D01* -X68526023Y-101166671D01* -X68529083Y-101146043D01* -X68534150Y-101125815D01* -X68541176Y-101106180D01* -X68550092Y-101087328D01* -X68560813Y-101069441D01* -X68573235Y-101052691D01* -X68587240Y-101037240D01* -X68602691Y-101023235D01* -X68619441Y-101010813D01* -X68637328Y-101000092D01* -X68656180Y-100991176D01* -X68675815Y-100984150D01* -X68696043Y-100979083D01* -X68716671Y-100976023D01* -X68737500Y-100975000D01* -X69262500Y-100975000D01* -X69283329Y-100976023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X105783329Y-111776023D02* -G01* -X105803957Y-111779083D01* -X105824185Y-111784150D01* -X105843820Y-111791176D01* -X105862672Y-111800092D01* -X105880559Y-111810813D01* -X105897309Y-111823235D01* -X105912760Y-111837240D01* -X105926765Y-111852691D01* -X105939187Y-111869441D01* -X105949908Y-111887328D01* -X105958824Y-111906180D01* -X105965850Y-111925815D01* -X105970917Y-111946043D01* -X105973977Y-111966671D01* -X105975000Y-111987500D01* -X105975000Y-112512500D01* -X105973977Y-112533329D01* -X105970917Y-112553957D01* -X105965850Y-112574185D01* -X105958824Y-112593820D01* -X105949908Y-112612672D01* -X105939187Y-112630559D01* -X105926765Y-112647309D01* -X105912760Y-112662760D01* -X105897309Y-112676765D01* -X105880559Y-112689187D01* -X105862672Y-112699908D01* -X105843820Y-112708824D01* -X105824185Y-112715850D01* -X105803957Y-112720917D01* -X105783329Y-112723977D01* -X105762500Y-112725000D01* -X105337500Y-112725000D01* -X105316671Y-112723977D01* -X105296043Y-112720917D01* -X105275815Y-112715850D01* -X105256180Y-112708824D01* -X105237328Y-112699908D01* -X105219441Y-112689187D01* -X105202691Y-112676765D01* -X105187240Y-112662760D01* -X105173235Y-112647309D01* -X105160813Y-112630559D01* -X105150092Y-112612672D01* -X105141176Y-112593820D01* -X105134150Y-112574185D01* -X105129083Y-112553957D01* -X105126023Y-112533329D01* -X105125000Y-112512500D01* -X105125000Y-111987500D01* -X105126023Y-111966671D01* -X105129083Y-111946043D01* -X105134150Y-111925815D01* -X105141176Y-111906180D01* -X105150092Y-111887328D01* -X105160813Y-111869441D01* -X105173235Y-111852691D01* -X105187240Y-111837240D01* -X105202691Y-111823235D01* -X105219441Y-111810813D01* -X105237328Y-111800092D01* -X105256180Y-111791176D01* -X105275815Y-111784150D01* -X105296043Y-111779083D01* -X105316671Y-111776023D01* -X105337500Y-111775000D01* -X105762500Y-111775000D01* -X105783329Y-111776023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104283329Y-111776023D02* -G01* -X104303957Y-111779083D01* -X104324185Y-111784150D01* -X104343820Y-111791176D01* -X104362672Y-111800092D01* -X104380559Y-111810813D01* -X104397309Y-111823235D01* -X104412760Y-111837240D01* -X104426765Y-111852691D01* -X104439187Y-111869441D01* -X104449908Y-111887328D01* -X104458824Y-111906180D01* -X104465850Y-111925815D01* -X104470917Y-111946043D01* -X104473977Y-111966671D01* -X104475000Y-111987500D01* -X104475000Y-112512500D01* -X104473977Y-112533329D01* -X104470917Y-112553957D01* -X104465850Y-112574185D01* -X104458824Y-112593820D01* -X104449908Y-112612672D01* -X104439187Y-112630559D01* -X104426765Y-112647309D01* -X104412760Y-112662760D01* -X104397309Y-112676765D01* -X104380559Y-112689187D01* -X104362672Y-112699908D01* -X104343820Y-112708824D01* -X104324185Y-112715850D01* -X104303957Y-112720917D01* -X104283329Y-112723977D01* -X104262500Y-112725000D01* -X103837500Y-112725000D01* -X103816671Y-112723977D01* -X103796043Y-112720917D01* -X103775815Y-112715850D01* -X103756180Y-112708824D01* -X103737328Y-112699908D01* -X103719441Y-112689187D01* -X103702691Y-112676765D01* -X103687240Y-112662760D01* -X103673235Y-112647309D01* -X103660813Y-112630559D01* -X103650092Y-112612672D01* -X103641176Y-112593820D01* -X103634150Y-112574185D01* -X103629083Y-112553957D01* -X103626023Y-112533329D01* -X103625000Y-112512500D01* -X103625000Y-111987500D01* -X103626023Y-111966671D01* -X103629083Y-111946043D01* -X103634150Y-111925815D01* -X103641176Y-111906180D01* -X103650092Y-111887328D01* -X103660813Y-111869441D01* -X103673235Y-111852691D01* -X103687240Y-111837240D01* -X103702691Y-111823235D01* -X103719441Y-111810813D01* -X103737328Y-111800092D01* -X103756180Y-111791176D01* -X103775815Y-111784150D01* -X103796043Y-111779083D01* -X103816671Y-111776023D01* -X103837500Y-111775000D01* -X104262500Y-111775000D01* -X104283329Y-111776023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107659802Y-97500482D02* -G01* -X107669509Y-97501921D01* -X107679028Y-97504306D01* -X107688268Y-97507612D01* -X107697140Y-97511808D01* -X107705557Y-97516853D01* -X107713439Y-97522699D01* -X107720711Y-97529289D01* -X107727301Y-97536561D01* -X107733147Y-97544443D01* -X107738192Y-97552860D01* -X107742388Y-97561732D01* -X107745694Y-97570972D01* -X107748079Y-97580491D01* -X107749518Y-97590198D01* -X107750000Y-97600000D01* -X107750000Y-98400000D01* -X107749518Y-98409802D01* -X107748079Y-98419509D01* -X107745694Y-98429028D01* -X107742388Y-98438268D01* -X107738192Y-98447140D01* -X107733147Y-98455557D01* -X107727301Y-98463439D01* -X107720711Y-98470711D01* -X107713439Y-98477301D01* -X107705557Y-98483147D01* -X107697140Y-98488192D01* -X107688268Y-98492388D01* -X107679028Y-98495694D01* -X107669509Y-98498079D01* -X107659802Y-98499518D01* -X107650000Y-98500000D01* -X107450000Y-98500000D01* -X107440198Y-98499518D01* -X107430491Y-98498079D01* -X107420972Y-98495694D01* -X107411732Y-98492388D01* -X107402860Y-98488192D01* -X107394443Y-98483147D01* -X107386561Y-98477301D01* -X107379289Y-98470711D01* -X107372699Y-98463439D01* -X107366853Y-98455557D01* -X107361808Y-98447140D01* -X107357612Y-98438268D01* -X107354306Y-98429028D01* -X107351921Y-98419509D01* -X107350482Y-98409802D01* -X107350000Y-98400000D01* -X107350000Y-97600000D01* -X107350482Y-97590198D01* -X107351921Y-97580491D01* -X107354306Y-97570972D01* -X107357612Y-97561732D01* -X107361808Y-97552860D01* -X107366853Y-97544443D01* -X107372699Y-97536561D01* -X107379289Y-97529289D01* -X107386561Y-97522699D01* -X107394443Y-97516853D01* -X107402860Y-97511808D01* -X107411732Y-97507612D01* -X107420972Y-97504306D01* -X107430491Y-97501921D01* -X107440198Y-97500482D01* -X107450000Y-97500000D01* -X107650000Y-97500000D01* -X107659802Y-97500482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108959802Y-97500482D02* -G01* -X108969509Y-97501921D01* -X108979028Y-97504306D01* -X108988268Y-97507612D01* -X108997140Y-97511808D01* -X109005557Y-97516853D01* -X109013439Y-97522699D01* -X109020711Y-97529289D01* -X109027301Y-97536561D01* -X109033147Y-97544443D01* -X109038192Y-97552860D01* -X109042388Y-97561732D01* -X109045694Y-97570972D01* -X109048079Y-97580491D01* -X109049518Y-97590198D01* -X109050000Y-97600000D01* -X109050000Y-98400000D01* -X109049518Y-98409802D01* -X109048079Y-98419509D01* -X109045694Y-98429028D01* -X109042388Y-98438268D01* -X109038192Y-98447140D01* -X109033147Y-98455557D01* -X109027301Y-98463439D01* -X109020711Y-98470711D01* -X109013439Y-98477301D01* -X109005557Y-98483147D01* -X108997140Y-98488192D01* -X108988268Y-98492388D01* -X108979028Y-98495694D01* -X108969509Y-98498079D01* -X108959802Y-98499518D01* -X108950000Y-98500000D01* -X108750000Y-98500000D01* -X108740198Y-98499518D01* -X108730491Y-98498079D01* -X108720972Y-98495694D01* -X108711732Y-98492388D01* -X108702860Y-98488192D01* -X108694443Y-98483147D01* -X108686561Y-98477301D01* -X108679289Y-98470711D01* -X108672699Y-98463439D01* -X108666853Y-98455557D01* -X108661808Y-98447140D01* -X108657612Y-98438268D01* -X108654306Y-98429028D01* -X108651921Y-98419509D01* -X108650482Y-98409802D01* -X108650000Y-98400000D01* -X108650000Y-97600000D01* -X108650482Y-97590198D01* -X108651921Y-97580491D01* -X108654306Y-97570972D01* -X108657612Y-97561732D01* -X108661808Y-97552860D01* -X108666853Y-97544443D01* -X108672699Y-97536561D01* -X108679289Y-97529289D01* -X108686561Y-97522699D01* -X108694443Y-97516853D01* -X108702860Y-97511808D01* -X108711732Y-97507612D01* -X108720972Y-97504306D01* -X108730491Y-97501921D01* -X108740198Y-97500482D01* -X108750000Y-97500000D01* -X108950000Y-97500000D01* -X108959802Y-97500482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108309802Y-99200482D02* -G01* -X108319509Y-99201921D01* -X108329028Y-99204306D01* -X108338268Y-99207612D01* -X108347140Y-99211808D01* -X108355557Y-99216853D01* -X108363439Y-99222699D01* -X108370711Y-99229289D01* -X108377301Y-99236561D01* -X108383147Y-99244443D01* -X108388192Y-99252860D01* -X108392388Y-99261732D01* -X108395694Y-99270972D01* -X108398079Y-99280491D01* -X108399518Y-99290198D01* -X108400000Y-99300000D01* -X108400000Y-100100000D01* -X108399518Y-100109802D01* -X108398079Y-100119509D01* -X108395694Y-100129028D01* -X108392388Y-100138268D01* -X108388192Y-100147140D01* -X108383147Y-100155557D01* -X108377301Y-100163439D01* -X108370711Y-100170711D01* -X108363439Y-100177301D01* -X108355557Y-100183147D01* -X108347140Y-100188192D01* -X108338268Y-100192388D01* -X108329028Y-100195694D01* -X108319509Y-100198079D01* -X108309802Y-100199518D01* -X108300000Y-100200000D01* -X108100000Y-100200000D01* -X108090198Y-100199518D01* -X108080491Y-100198079D01* -X108070972Y-100195694D01* -X108061732Y-100192388D01* -X108052860Y-100188192D01* -X108044443Y-100183147D01* -X108036561Y-100177301D01* -X108029289Y-100170711D01* -X108022699Y-100163439D01* -X108016853Y-100155557D01* -X108011808Y-100147140D01* -X108007612Y-100138268D01* -X108004306Y-100129028D01* -X108001921Y-100119509D01* -X108000482Y-100109802D01* -X108000000Y-100100000D01* -X108000000Y-99300000D01* -X108000482Y-99290198D01* -X108001921Y-99280491D01* -X108004306Y-99270972D01* -X108007612Y-99261732D01* -X108011808Y-99252860D01* -X108016853Y-99244443D01* -X108022699Y-99236561D01* -X108029289Y-99229289D01* -X108036561Y-99222699D01* -X108044443Y-99216853D01* -X108052860Y-99211808D01* -X108061732Y-99207612D01* -X108070972Y-99204306D01* -X108080491Y-99201921D01* -X108090198Y-99200482D01* -X108100000Y-99200000D01* -X108300000Y-99200000D01* -X108309802Y-99200482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107659802Y-99200482D02* -G01* -X107669509Y-99201921D01* -X107679028Y-99204306D01* -X107688268Y-99207612D01* -X107697140Y-99211808D01* -X107705557Y-99216853D01* -X107713439Y-99222699D01* -X107720711Y-99229289D01* -X107727301Y-99236561D01* -X107733147Y-99244443D01* -X107738192Y-99252860D01* -X107742388Y-99261732D01* -X107745694Y-99270972D01* -X107748079Y-99280491D01* -X107749518Y-99290198D01* -X107750000Y-99300000D01* -X107750000Y-100100000D01* -X107749518Y-100109802D01* -X107748079Y-100119509D01* -X107745694Y-100129028D01* -X107742388Y-100138268D01* -X107738192Y-100147140D01* -X107733147Y-100155557D01* -X107727301Y-100163439D01* -X107720711Y-100170711D01* -X107713439Y-100177301D01* -X107705557Y-100183147D01* -X107697140Y-100188192D01* -X107688268Y-100192388D01* -X107679028Y-100195694D01* -X107669509Y-100198079D01* -X107659802Y-100199518D01* -X107650000Y-100200000D01* -X107450000Y-100200000D01* -X107440198Y-100199518D01* -X107430491Y-100198079D01* -X107420972Y-100195694D01* -X107411732Y-100192388D01* -X107402860Y-100188192D01* -X107394443Y-100183147D01* -X107386561Y-100177301D01* -X107379289Y-100170711D01* -X107372699Y-100163439D01* -X107366853Y-100155557D01* -X107361808Y-100147140D01* -X107357612Y-100138268D01* -X107354306Y-100129028D01* -X107351921Y-100119509D01* -X107350482Y-100109802D01* -X107350000Y-100100000D01* -X107350000Y-99300000D01* -X107350482Y-99290198D01* -X107351921Y-99280491D01* -X107354306Y-99270972D01* -X107357612Y-99261732D01* -X107361808Y-99252860D01* -X107366853Y-99244443D01* -X107372699Y-99236561D01* -X107379289Y-99229289D01* -X107386561Y-99222699D01* -X107394443Y-99216853D01* -X107402860Y-99211808D01* -X107411732Y-99207612D01* -X107420972Y-99204306D01* -X107430491Y-99201921D01* -X107440198Y-99200482D01* -X107450000Y-99200000D01* -X107650000Y-99200000D01* -X107659802Y-99200482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108959802Y-99200482D02* -G01* -X108969509Y-99201921D01* -X108979028Y-99204306D01* -X108988268Y-99207612D01* -X108997140Y-99211808D01* -X109005557Y-99216853D01* -X109013439Y-99222699D01* -X109020711Y-99229289D01* -X109027301Y-99236561D01* -X109033147Y-99244443D01* -X109038192Y-99252860D01* -X109042388Y-99261732D01* -X109045694Y-99270972D01* -X109048079Y-99280491D01* -X109049518Y-99290198D01* -X109050000Y-99300000D01* -X109050000Y-100100000D01* -X109049518Y-100109802D01* -X109048079Y-100119509D01* -X109045694Y-100129028D01* -X109042388Y-100138268D01* -X109038192Y-100147140D01* -X109033147Y-100155557D01* -X109027301Y-100163439D01* -X109020711Y-100170711D01* -X109013439Y-100177301D01* -X109005557Y-100183147D01* -X108997140Y-100188192D01* -X108988268Y-100192388D01* -X108979028Y-100195694D01* -X108969509Y-100198079D01* -X108959802Y-100199518D01* -X108950000Y-100200000D01* -X108750000Y-100200000D01* -X108740198Y-100199518D01* -X108730491Y-100198079D01* -X108720972Y-100195694D01* -X108711732Y-100192388D01* -X108702860Y-100188192D01* -X108694443Y-100183147D01* -X108686561Y-100177301D01* -X108679289Y-100170711D01* -X108672699Y-100163439D01* -X108666853Y-100155557D01* -X108661808Y-100147140D01* -X108657612Y-100138268D01* -X108654306Y-100129028D01* -X108651921Y-100119509D01* -X108650482Y-100109802D01* -X108650000Y-100100000D01* -X108650000Y-99300000D01* -X108650482Y-99290198D01* -X108651921Y-99280491D01* -X108654306Y-99270972D01* -X108657612Y-99261732D01* -X108661808Y-99252860D01* -X108666853Y-99244443D01* -X108672699Y-99236561D01* -X108679289Y-99229289D01* -X108686561Y-99222699D01* -X108694443Y-99216853D01* -X108702860Y-99211808D01* -X108711732Y-99207612D01* -X108720972Y-99204306D01* -X108730491Y-99201921D01* -X108740198Y-99200482D01* -X108750000Y-99200000D01* -X108950000Y-99200000D01* -X108959802Y-99200482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-113221551D02* -G01* -X125062838Y-113223200D01* -X125073738Y-113225930D01* -X125084317Y-113229716D01* -X125094475Y-113234520D01* -X125104113Y-113240297D01* -X125113138Y-113246990D01* -X125121464Y-113254536D01* -X125129010Y-113262862D01* -X125135703Y-113271887D01* -X125141480Y-113281525D01* -X125146284Y-113291683D01* -X125150070Y-113302262D01* -X125152800Y-113313162D01* -X125154449Y-113324277D01* -X125155000Y-113335500D01* -X125155000Y-113564500D01* -X125154449Y-113575723D01* -X125152800Y-113586838D01* -X125150070Y-113597738D01* -X125146284Y-113608317D01* -X125141480Y-113618475D01* -X125135703Y-113628113D01* -X125129010Y-113637138D01* -X125121464Y-113645464D01* -X125113138Y-113653010D01* -X125104113Y-113659703D01* -X125094475Y-113665480D01* -X125084317Y-113670284D01* -X125073738Y-113674070D01* -X125062838Y-113676800D01* -X125051723Y-113678449D01* -X125040500Y-113679000D01* -X123759500Y-113679000D01* -X123748277Y-113678449D01* -X123737162Y-113676800D01* -X123726262Y-113674070D01* -X123715683Y-113670284D01* -X123705525Y-113665480D01* -X123695887Y-113659703D01* -X123686862Y-113653010D01* -X123678536Y-113645464D01* -X123670990Y-113637138D01* -X123664297Y-113628113D01* -X123658520Y-113618475D01* -X123653716Y-113608317D01* -X123649930Y-113597738D01* -X123647200Y-113586838D01* -X123645551Y-113575723D01* -X123645000Y-113564500D01* -X123645000Y-113335500D01* -X123645551Y-113324277D01* -X123647200Y-113313162D01* -X123649930Y-113302262D01* -X123653716Y-113291683D01* -X123658520Y-113281525D01* -X123664297Y-113271887D01* -X123670990Y-113262862D01* -X123678536Y-113254536D01* -X123686862Y-113246990D01* -X123695887Y-113240297D01* -X123705525Y-113234520D01* -X123715683Y-113229716D01* -X123726262Y-113225930D01* -X123737162Y-113223200D01* -X123748277Y-113221551D01* -X123759500Y-113221000D01* -X125040500Y-113221000D01* -X125051723Y-113221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-112421551D02* -G01* -X125062838Y-112423200D01* -X125073738Y-112425930D01* -X125084317Y-112429716D01* -X125094475Y-112434520D01* -X125104113Y-112440297D01* -X125113138Y-112446990D01* -X125121464Y-112454536D01* -X125129010Y-112462862D01* -X125135703Y-112471887D01* -X125141480Y-112481525D01* -X125146284Y-112491683D01* -X125150070Y-112502262D01* -X125152800Y-112513162D01* -X125154449Y-112524277D01* -X125155000Y-112535500D01* -X125155000Y-112764500D01* -X125154449Y-112775723D01* -X125152800Y-112786838D01* -X125150070Y-112797738D01* -X125146284Y-112808317D01* -X125141480Y-112818475D01* -X125135703Y-112828113D01* -X125129010Y-112837138D01* -X125121464Y-112845464D01* -X125113138Y-112853010D01* -X125104113Y-112859703D01* -X125094475Y-112865480D01* -X125084317Y-112870284D01* -X125073738Y-112874070D01* -X125062838Y-112876800D01* -X125051723Y-112878449D01* -X125040500Y-112879000D01* -X123759500Y-112879000D01* -X123748277Y-112878449D01* -X123737162Y-112876800D01* -X123726262Y-112874070D01* -X123715683Y-112870284D01* -X123705525Y-112865480D01* -X123695887Y-112859703D01* -X123686862Y-112853010D01* -X123678536Y-112845464D01* -X123670990Y-112837138D01* -X123664297Y-112828113D01* -X123658520Y-112818475D01* -X123653716Y-112808317D01* -X123649930Y-112797738D01* -X123647200Y-112786838D01* -X123645551Y-112775723D01* -X123645000Y-112764500D01* -X123645000Y-112535500D01* -X123645551Y-112524277D01* -X123647200Y-112513162D01* -X123649930Y-112502262D01* -X123653716Y-112491683D01* -X123658520Y-112481525D01* -X123664297Y-112471887D01* -X123670990Y-112462862D01* -X123678536Y-112454536D01* -X123686862Y-112446990D01* -X123695887Y-112440297D01* -X123705525Y-112434520D01* -X123715683Y-112429716D01* -X123726262Y-112425930D01* -X123737162Y-112423200D01* -X123748277Y-112421551D01* -X123759500Y-112421000D01* -X125040500Y-112421000D01* -X125051723Y-112421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-111621551D02* -G01* -X125062838Y-111623200D01* -X125073738Y-111625930D01* -X125084317Y-111629716D01* -X125094475Y-111634520D01* -X125104113Y-111640297D01* -X125113138Y-111646990D01* -X125121464Y-111654536D01* -X125129010Y-111662862D01* -X125135703Y-111671887D01* -X125141480Y-111681525D01* -X125146284Y-111691683D01* -X125150070Y-111702262D01* -X125152800Y-111713162D01* -X125154449Y-111724277D01* -X125155000Y-111735500D01* -X125155000Y-111964500D01* -X125154449Y-111975723D01* -X125152800Y-111986838D01* -X125150070Y-111997738D01* -X125146284Y-112008317D01* -X125141480Y-112018475D01* -X125135703Y-112028113D01* -X125129010Y-112037138D01* -X125121464Y-112045464D01* -X125113138Y-112053010D01* -X125104113Y-112059703D01* -X125094475Y-112065480D01* -X125084317Y-112070284D01* -X125073738Y-112074070D01* -X125062838Y-112076800D01* -X125051723Y-112078449D01* -X125040500Y-112079000D01* -X123759500Y-112079000D01* -X123748277Y-112078449D01* -X123737162Y-112076800D01* -X123726262Y-112074070D01* -X123715683Y-112070284D01* -X123705525Y-112065480D01* -X123695887Y-112059703D01* -X123686862Y-112053010D01* -X123678536Y-112045464D01* -X123670990Y-112037138D01* -X123664297Y-112028113D01* -X123658520Y-112018475D01* -X123653716Y-112008317D01* -X123649930Y-111997738D01* -X123647200Y-111986838D01* -X123645551Y-111975723D01* -X123645000Y-111964500D01* -X123645000Y-111735500D01* -X123645551Y-111724277D01* -X123647200Y-111713162D01* -X123649930Y-111702262D01* -X123653716Y-111691683D01* -X123658520Y-111681525D01* -X123664297Y-111671887D01* -X123670990Y-111662862D01* -X123678536Y-111654536D01* -X123686862Y-111646990D01* -X123695887Y-111640297D01* -X123705525Y-111634520D01* -X123715683Y-111629716D01* -X123726262Y-111625930D01* -X123737162Y-111623200D01* -X123748277Y-111621551D01* -X123759500Y-111621000D01* -X125040500Y-111621000D01* -X125051723Y-111621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-110821551D02* -G01* -X125062838Y-110823200D01* -X125073738Y-110825930D01* -X125084317Y-110829716D01* -X125094475Y-110834520D01* -X125104113Y-110840297D01* -X125113138Y-110846990D01* -X125121464Y-110854536D01* -X125129010Y-110862862D01* -X125135703Y-110871887D01* -X125141480Y-110881525D01* -X125146284Y-110891683D01* -X125150070Y-110902262D01* -X125152800Y-110913162D01* -X125154449Y-110924277D01* -X125155000Y-110935500D01* -X125155000Y-111164500D01* -X125154449Y-111175723D01* -X125152800Y-111186838D01* -X125150070Y-111197738D01* -X125146284Y-111208317D01* -X125141480Y-111218475D01* -X125135703Y-111228113D01* -X125129010Y-111237138D01* -X125121464Y-111245464D01* -X125113138Y-111253010D01* -X125104113Y-111259703D01* -X125094475Y-111265480D01* -X125084317Y-111270284D01* -X125073738Y-111274070D01* -X125062838Y-111276800D01* -X125051723Y-111278449D01* -X125040500Y-111279000D01* -X123759500Y-111279000D01* -X123748277Y-111278449D01* -X123737162Y-111276800D01* -X123726262Y-111274070D01* -X123715683Y-111270284D01* -X123705525Y-111265480D01* -X123695887Y-111259703D01* -X123686862Y-111253010D01* -X123678536Y-111245464D01* -X123670990Y-111237138D01* -X123664297Y-111228113D01* -X123658520Y-111218475D01* -X123653716Y-111208317D01* -X123649930Y-111197738D01* -X123647200Y-111186838D01* -X123645551Y-111175723D01* -X123645000Y-111164500D01* -X123645000Y-110935500D01* -X123645551Y-110924277D01* -X123647200Y-110913162D01* -X123649930Y-110902262D01* -X123653716Y-110891683D01* -X123658520Y-110881525D01* -X123664297Y-110871887D01* -X123670990Y-110862862D01* -X123678536Y-110854536D01* -X123686862Y-110846990D01* -X123695887Y-110840297D01* -X123705525Y-110834520D01* -X123715683Y-110829716D01* -X123726262Y-110825930D01* -X123737162Y-110823200D01* -X123748277Y-110821551D01* -X123759500Y-110821000D01* -X125040500Y-110821000D01* -X125051723Y-110821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-110021551D02* -G01* -X125062838Y-110023200D01* -X125073738Y-110025930D01* -X125084317Y-110029716D01* -X125094475Y-110034520D01* -X125104113Y-110040297D01* -X125113138Y-110046990D01* -X125121464Y-110054536D01* -X125129010Y-110062862D01* -X125135703Y-110071887D01* -X125141480Y-110081525D01* -X125146284Y-110091683D01* -X125150070Y-110102262D01* -X125152800Y-110113162D01* -X125154449Y-110124277D01* -X125155000Y-110135500D01* -X125155000Y-110364500D01* -X125154449Y-110375723D01* -X125152800Y-110386838D01* -X125150070Y-110397738D01* -X125146284Y-110408317D01* -X125141480Y-110418475D01* -X125135703Y-110428113D01* -X125129010Y-110437138D01* -X125121464Y-110445464D01* -X125113138Y-110453010D01* -X125104113Y-110459703D01* -X125094475Y-110465480D01* -X125084317Y-110470284D01* -X125073738Y-110474070D01* -X125062838Y-110476800D01* -X125051723Y-110478449D01* -X125040500Y-110479000D01* -X123759500Y-110479000D01* -X123748277Y-110478449D01* -X123737162Y-110476800D01* -X123726262Y-110474070D01* -X123715683Y-110470284D01* -X123705525Y-110465480D01* -X123695887Y-110459703D01* -X123686862Y-110453010D01* -X123678536Y-110445464D01* -X123670990Y-110437138D01* -X123664297Y-110428113D01* -X123658520Y-110418475D01* -X123653716Y-110408317D01* -X123649930Y-110397738D01* -X123647200Y-110386838D01* -X123645551Y-110375723D01* -X123645000Y-110364500D01* -X123645000Y-110135500D01* -X123645551Y-110124277D01* -X123647200Y-110113162D01* -X123649930Y-110102262D01* -X123653716Y-110091683D01* -X123658520Y-110081525D01* -X123664297Y-110071887D01* -X123670990Y-110062862D01* -X123678536Y-110054536D01* -X123686862Y-110046990D01* -X123695887Y-110040297D01* -X123705525Y-110034520D01* -X123715683Y-110029716D01* -X123726262Y-110025930D01* -X123737162Y-110023200D01* -X123748277Y-110021551D01* -X123759500Y-110021000D01* -X125040500Y-110021000D01* -X125051723Y-110021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-109221551D02* -G01* -X125062838Y-109223200D01* -X125073738Y-109225930D01* -X125084317Y-109229716D01* -X125094475Y-109234520D01* -X125104113Y-109240297D01* -X125113138Y-109246990D01* -X125121464Y-109254536D01* -X125129010Y-109262862D01* -X125135703Y-109271887D01* -X125141480Y-109281525D01* -X125146284Y-109291683D01* -X125150070Y-109302262D01* -X125152800Y-109313162D01* -X125154449Y-109324277D01* -X125155000Y-109335500D01* -X125155000Y-109564500D01* -X125154449Y-109575723D01* -X125152800Y-109586838D01* -X125150070Y-109597738D01* -X125146284Y-109608317D01* -X125141480Y-109618475D01* -X125135703Y-109628113D01* -X125129010Y-109637138D01* -X125121464Y-109645464D01* -X125113138Y-109653010D01* -X125104113Y-109659703D01* -X125094475Y-109665480D01* -X125084317Y-109670284D01* -X125073738Y-109674070D01* -X125062838Y-109676800D01* -X125051723Y-109678449D01* -X125040500Y-109679000D01* -X123759500Y-109679000D01* -X123748277Y-109678449D01* -X123737162Y-109676800D01* -X123726262Y-109674070D01* -X123715683Y-109670284D01* -X123705525Y-109665480D01* -X123695887Y-109659703D01* -X123686862Y-109653010D01* -X123678536Y-109645464D01* -X123670990Y-109637138D01* -X123664297Y-109628113D01* -X123658520Y-109618475D01* -X123653716Y-109608317D01* -X123649930Y-109597738D01* -X123647200Y-109586838D01* -X123645551Y-109575723D01* -X123645000Y-109564500D01* -X123645000Y-109335500D01* -X123645551Y-109324277D01* -X123647200Y-109313162D01* -X123649930Y-109302262D01* -X123653716Y-109291683D01* -X123658520Y-109281525D01* -X123664297Y-109271887D01* -X123670990Y-109262862D01* -X123678536Y-109254536D01* -X123686862Y-109246990D01* -X123695887Y-109240297D01* -X123705525Y-109234520D01* -X123715683Y-109229716D01* -X123726262Y-109225930D01* -X123737162Y-109223200D01* -X123748277Y-109221551D01* -X123759500Y-109221000D01* -X125040500Y-109221000D01* -X125051723Y-109221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-108421551D02* -G01* -X125062838Y-108423200D01* -X125073738Y-108425930D01* -X125084317Y-108429716D01* -X125094475Y-108434520D01* -X125104113Y-108440297D01* -X125113138Y-108446990D01* -X125121464Y-108454536D01* -X125129010Y-108462862D01* -X125135703Y-108471887D01* -X125141480Y-108481525D01* -X125146284Y-108491683D01* -X125150070Y-108502262D01* -X125152800Y-108513162D01* -X125154449Y-108524277D01* -X125155000Y-108535500D01* -X125155000Y-108764500D01* -X125154449Y-108775723D01* -X125152800Y-108786838D01* -X125150070Y-108797738D01* -X125146284Y-108808317D01* -X125141480Y-108818475D01* -X125135703Y-108828113D01* -X125129010Y-108837138D01* -X125121464Y-108845464D01* -X125113138Y-108853010D01* -X125104113Y-108859703D01* -X125094475Y-108865480D01* -X125084317Y-108870284D01* -X125073738Y-108874070D01* -X125062838Y-108876800D01* -X125051723Y-108878449D01* -X125040500Y-108879000D01* -X123759500Y-108879000D01* -X123748277Y-108878449D01* -X123737162Y-108876800D01* -X123726262Y-108874070D01* -X123715683Y-108870284D01* -X123705525Y-108865480D01* -X123695887Y-108859703D01* -X123686862Y-108853010D01* -X123678536Y-108845464D01* -X123670990Y-108837138D01* -X123664297Y-108828113D01* -X123658520Y-108818475D01* -X123653716Y-108808317D01* -X123649930Y-108797738D01* -X123647200Y-108786838D01* -X123645551Y-108775723D01* -X123645000Y-108764500D01* -X123645000Y-108535500D01* -X123645551Y-108524277D01* -X123647200Y-108513162D01* -X123649930Y-108502262D01* -X123653716Y-108491683D01* -X123658520Y-108481525D01* -X123664297Y-108471887D01* -X123670990Y-108462862D01* -X123678536Y-108454536D01* -X123686862Y-108446990D01* -X123695887Y-108440297D01* -X123705525Y-108434520D01* -X123715683Y-108429716D01* -X123726262Y-108425930D01* -X123737162Y-108423200D01* -X123748277Y-108421551D01* -X123759500Y-108421000D01* -X125040500Y-108421000D01* -X125051723Y-108421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-107621551D02* -G01* -X125062838Y-107623200D01* -X125073738Y-107625930D01* -X125084317Y-107629716D01* -X125094475Y-107634520D01* -X125104113Y-107640297D01* -X125113138Y-107646990D01* -X125121464Y-107654536D01* -X125129010Y-107662862D01* -X125135703Y-107671887D01* -X125141480Y-107681525D01* -X125146284Y-107691683D01* -X125150070Y-107702262D01* -X125152800Y-107713162D01* -X125154449Y-107724277D01* -X125155000Y-107735500D01* -X125155000Y-107964500D01* -X125154449Y-107975723D01* -X125152800Y-107986838D01* -X125150070Y-107997738D01* -X125146284Y-108008317D01* -X125141480Y-108018475D01* -X125135703Y-108028113D01* -X125129010Y-108037138D01* -X125121464Y-108045464D01* -X125113138Y-108053010D01* -X125104113Y-108059703D01* -X125094475Y-108065480D01* -X125084317Y-108070284D01* -X125073738Y-108074070D01* -X125062838Y-108076800D01* -X125051723Y-108078449D01* -X125040500Y-108079000D01* -X123759500Y-108079000D01* -X123748277Y-108078449D01* -X123737162Y-108076800D01* -X123726262Y-108074070D01* -X123715683Y-108070284D01* -X123705525Y-108065480D01* -X123695887Y-108059703D01* -X123686862Y-108053010D01* -X123678536Y-108045464D01* -X123670990Y-108037138D01* -X123664297Y-108028113D01* -X123658520Y-108018475D01* -X123653716Y-108008317D01* -X123649930Y-107997738D01* -X123647200Y-107986838D01* -X123645551Y-107975723D01* -X123645000Y-107964500D01* -X123645000Y-107735500D01* -X123645551Y-107724277D01* -X123647200Y-107713162D01* -X123649930Y-107702262D01* -X123653716Y-107691683D01* -X123658520Y-107681525D01* -X123664297Y-107671887D01* -X123670990Y-107662862D01* -X123678536Y-107654536D01* -X123686862Y-107646990D01* -X123695887Y-107640297D01* -X123705525Y-107634520D01* -X123715683Y-107629716D01* -X123726262Y-107625930D01* -X123737162Y-107623200D01* -X123748277Y-107621551D01* -X123759500Y-107621000D01* -X125040500Y-107621000D01* -X125051723Y-107621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-106821551D02* -G01* -X125062838Y-106823200D01* -X125073738Y-106825930D01* -X125084317Y-106829716D01* -X125094475Y-106834520D01* -X125104113Y-106840297D01* -X125113138Y-106846990D01* -X125121464Y-106854536D01* -X125129010Y-106862862D01* -X125135703Y-106871887D01* -X125141480Y-106881525D01* -X125146284Y-106891683D01* -X125150070Y-106902262D01* -X125152800Y-106913162D01* -X125154449Y-106924277D01* -X125155000Y-106935500D01* -X125155000Y-107164500D01* -X125154449Y-107175723D01* -X125152800Y-107186838D01* -X125150070Y-107197738D01* -X125146284Y-107208317D01* -X125141480Y-107218475D01* -X125135703Y-107228113D01* -X125129010Y-107237138D01* -X125121464Y-107245464D01* -X125113138Y-107253010D01* -X125104113Y-107259703D01* -X125094475Y-107265480D01* -X125084317Y-107270284D01* -X125073738Y-107274070D01* -X125062838Y-107276800D01* -X125051723Y-107278449D01* -X125040500Y-107279000D01* -X123759500Y-107279000D01* -X123748277Y-107278449D01* -X123737162Y-107276800D01* -X123726262Y-107274070D01* -X123715683Y-107270284D01* -X123705525Y-107265480D01* -X123695887Y-107259703D01* -X123686862Y-107253010D01* -X123678536Y-107245464D01* -X123670990Y-107237138D01* -X123664297Y-107228113D01* -X123658520Y-107218475D01* -X123653716Y-107208317D01* -X123649930Y-107197738D01* -X123647200Y-107186838D01* -X123645551Y-107175723D01* -X123645000Y-107164500D01* -X123645000Y-106935500D01* -X123645551Y-106924277D01* -X123647200Y-106913162D01* -X123649930Y-106902262D01* -X123653716Y-106891683D01* -X123658520Y-106881525D01* -X123664297Y-106871887D01* -X123670990Y-106862862D01* -X123678536Y-106854536D01* -X123686862Y-106846990D01* -X123695887Y-106840297D01* -X123705525Y-106834520D01* -X123715683Y-106829716D01* -X123726262Y-106825930D01* -X123737162Y-106823200D01* -X123748277Y-106821551D01* -X123759500Y-106821000D01* -X125040500Y-106821000D01* -X125051723Y-106821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-106021551D02* -G01* -X125062838Y-106023200D01* -X125073738Y-106025930D01* -X125084317Y-106029716D01* -X125094475Y-106034520D01* -X125104113Y-106040297D01* -X125113138Y-106046990D01* -X125121464Y-106054536D01* -X125129010Y-106062862D01* -X125135703Y-106071887D01* -X125141480Y-106081525D01* -X125146284Y-106091683D01* -X125150070Y-106102262D01* -X125152800Y-106113162D01* -X125154449Y-106124277D01* -X125155000Y-106135500D01* -X125155000Y-106364500D01* -X125154449Y-106375723D01* -X125152800Y-106386838D01* -X125150070Y-106397738D01* -X125146284Y-106408317D01* -X125141480Y-106418475D01* -X125135703Y-106428113D01* -X125129010Y-106437138D01* -X125121464Y-106445464D01* -X125113138Y-106453010D01* -X125104113Y-106459703D01* -X125094475Y-106465480D01* -X125084317Y-106470284D01* -X125073738Y-106474070D01* -X125062838Y-106476800D01* -X125051723Y-106478449D01* -X125040500Y-106479000D01* -X123759500Y-106479000D01* -X123748277Y-106478449D01* -X123737162Y-106476800D01* -X123726262Y-106474070D01* -X123715683Y-106470284D01* -X123705525Y-106465480D01* -X123695887Y-106459703D01* -X123686862Y-106453010D01* -X123678536Y-106445464D01* -X123670990Y-106437138D01* -X123664297Y-106428113D01* -X123658520Y-106418475D01* -X123653716Y-106408317D01* -X123649930Y-106397738D01* -X123647200Y-106386838D01* -X123645551Y-106375723D01* -X123645000Y-106364500D01* -X123645000Y-106135500D01* -X123645551Y-106124277D01* -X123647200Y-106113162D01* -X123649930Y-106102262D01* -X123653716Y-106091683D01* -X123658520Y-106081525D01* -X123664297Y-106071887D01* -X123670990Y-106062862D01* -X123678536Y-106054536D01* -X123686862Y-106046990D01* -X123695887Y-106040297D01* -X123705525Y-106034520D01* -X123715683Y-106029716D01* -X123726262Y-106025930D01* -X123737162Y-106023200D01* -X123748277Y-106021551D01* -X123759500Y-106021000D01* -X125040500Y-106021000D01* -X125051723Y-106021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-105221551D02* -G01* -X125062838Y-105223200D01* -X125073738Y-105225930D01* -X125084317Y-105229716D01* -X125094475Y-105234520D01* -X125104113Y-105240297D01* -X125113138Y-105246990D01* -X125121464Y-105254536D01* -X125129010Y-105262862D01* -X125135703Y-105271887D01* -X125141480Y-105281525D01* -X125146284Y-105291683D01* -X125150070Y-105302262D01* -X125152800Y-105313162D01* -X125154449Y-105324277D01* -X125155000Y-105335500D01* -X125155000Y-105564500D01* -X125154449Y-105575723D01* -X125152800Y-105586838D01* -X125150070Y-105597738D01* -X125146284Y-105608317D01* -X125141480Y-105618475D01* -X125135703Y-105628113D01* -X125129010Y-105637138D01* -X125121464Y-105645464D01* -X125113138Y-105653010D01* -X125104113Y-105659703D01* -X125094475Y-105665480D01* -X125084317Y-105670284D01* -X125073738Y-105674070D01* -X125062838Y-105676800D01* -X125051723Y-105678449D01* -X125040500Y-105679000D01* -X123759500Y-105679000D01* -X123748277Y-105678449D01* -X123737162Y-105676800D01* -X123726262Y-105674070D01* -X123715683Y-105670284D01* -X123705525Y-105665480D01* -X123695887Y-105659703D01* -X123686862Y-105653010D01* -X123678536Y-105645464D01* -X123670990Y-105637138D01* -X123664297Y-105628113D01* -X123658520Y-105618475D01* -X123653716Y-105608317D01* -X123649930Y-105597738D01* -X123647200Y-105586838D01* -X123645551Y-105575723D01* -X123645000Y-105564500D01* -X123645000Y-105335500D01* -X123645551Y-105324277D01* -X123647200Y-105313162D01* -X123649930Y-105302262D01* -X123653716Y-105291683D01* -X123658520Y-105281525D01* -X123664297Y-105271887D01* -X123670990Y-105262862D01* -X123678536Y-105254536D01* -X123686862Y-105246990D01* -X123695887Y-105240297D01* -X123705525Y-105234520D01* -X123715683Y-105229716D01* -X123726262Y-105225930D01* -X123737162Y-105223200D01* -X123748277Y-105221551D01* -X123759500Y-105221000D01* -X125040500Y-105221000D01* -X125051723Y-105221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-104421551D02* -G01* -X125062838Y-104423200D01* -X125073738Y-104425930D01* -X125084317Y-104429716D01* -X125094475Y-104434520D01* -X125104113Y-104440297D01* -X125113138Y-104446990D01* -X125121464Y-104454536D01* -X125129010Y-104462862D01* -X125135703Y-104471887D01* -X125141480Y-104481525D01* -X125146284Y-104491683D01* -X125150070Y-104502262D01* -X125152800Y-104513162D01* -X125154449Y-104524277D01* -X125155000Y-104535500D01* -X125155000Y-104764500D01* -X125154449Y-104775723D01* -X125152800Y-104786838D01* -X125150070Y-104797738D01* -X125146284Y-104808317D01* -X125141480Y-104818475D01* -X125135703Y-104828113D01* -X125129010Y-104837138D01* -X125121464Y-104845464D01* -X125113138Y-104853010D01* -X125104113Y-104859703D01* -X125094475Y-104865480D01* -X125084317Y-104870284D01* -X125073738Y-104874070D01* -X125062838Y-104876800D01* -X125051723Y-104878449D01* -X125040500Y-104879000D01* -X123759500Y-104879000D01* -X123748277Y-104878449D01* -X123737162Y-104876800D01* -X123726262Y-104874070D01* -X123715683Y-104870284D01* -X123705525Y-104865480D01* -X123695887Y-104859703D01* -X123686862Y-104853010D01* -X123678536Y-104845464D01* -X123670990Y-104837138D01* -X123664297Y-104828113D01* -X123658520Y-104818475D01* -X123653716Y-104808317D01* -X123649930Y-104797738D01* -X123647200Y-104786838D01* -X123645551Y-104775723D01* -X123645000Y-104764500D01* -X123645000Y-104535500D01* -X123645551Y-104524277D01* -X123647200Y-104513162D01* -X123649930Y-104502262D01* -X123653716Y-104491683D01* -X123658520Y-104481525D01* -X123664297Y-104471887D01* -X123670990Y-104462862D01* -X123678536Y-104454536D01* -X123686862Y-104446990D01* -X123695887Y-104440297D01* -X123705525Y-104434520D01* -X123715683Y-104429716D01* -X123726262Y-104425930D01* -X123737162Y-104423200D01* -X123748277Y-104421551D01* -X123759500Y-104421000D01* -X125040500Y-104421000D01* -X125051723Y-104421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-103621551D02* -G01* -X125062838Y-103623200D01* -X125073738Y-103625930D01* -X125084317Y-103629716D01* -X125094475Y-103634520D01* -X125104113Y-103640297D01* -X125113138Y-103646990D01* -X125121464Y-103654536D01* -X125129010Y-103662862D01* -X125135703Y-103671887D01* -X125141480Y-103681525D01* -X125146284Y-103691683D01* -X125150070Y-103702262D01* -X125152800Y-103713162D01* -X125154449Y-103724277D01* -X125155000Y-103735500D01* -X125155000Y-103964500D01* -X125154449Y-103975723D01* -X125152800Y-103986838D01* -X125150070Y-103997738D01* -X125146284Y-104008317D01* -X125141480Y-104018475D01* -X125135703Y-104028113D01* -X125129010Y-104037138D01* -X125121464Y-104045464D01* -X125113138Y-104053010D01* -X125104113Y-104059703D01* -X125094475Y-104065480D01* -X125084317Y-104070284D01* -X125073738Y-104074070D01* -X125062838Y-104076800D01* -X125051723Y-104078449D01* -X125040500Y-104079000D01* -X123759500Y-104079000D01* -X123748277Y-104078449D01* -X123737162Y-104076800D01* -X123726262Y-104074070D01* -X123715683Y-104070284D01* -X123705525Y-104065480D01* -X123695887Y-104059703D01* -X123686862Y-104053010D01* -X123678536Y-104045464D01* -X123670990Y-104037138D01* -X123664297Y-104028113D01* -X123658520Y-104018475D01* -X123653716Y-104008317D01* -X123649930Y-103997738D01* -X123647200Y-103986838D01* -X123645551Y-103975723D01* -X123645000Y-103964500D01* -X123645000Y-103735500D01* -X123645551Y-103724277D01* -X123647200Y-103713162D01* -X123649930Y-103702262D01* -X123653716Y-103691683D01* -X123658520Y-103681525D01* -X123664297Y-103671887D01* -X123670990Y-103662862D01* -X123678536Y-103654536D01* -X123686862Y-103646990D01* -X123695887Y-103640297D01* -X123705525Y-103634520D01* -X123715683Y-103629716D01* -X123726262Y-103625930D01* -X123737162Y-103623200D01* -X123748277Y-103621551D01* -X123759500Y-103621000D01* -X125040500Y-103621000D01* -X125051723Y-103621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-102821551D02* -G01* -X125062838Y-102823200D01* -X125073738Y-102825930D01* -X125084317Y-102829716D01* -X125094475Y-102834520D01* -X125104113Y-102840297D01* -X125113138Y-102846990D01* -X125121464Y-102854536D01* -X125129010Y-102862862D01* -X125135703Y-102871887D01* -X125141480Y-102881525D01* -X125146284Y-102891683D01* -X125150070Y-102902262D01* -X125152800Y-102913162D01* -X125154449Y-102924277D01* -X125155000Y-102935500D01* -X125155000Y-103164500D01* -X125154449Y-103175723D01* -X125152800Y-103186838D01* -X125150070Y-103197738D01* -X125146284Y-103208317D01* -X125141480Y-103218475D01* -X125135703Y-103228113D01* -X125129010Y-103237138D01* -X125121464Y-103245464D01* -X125113138Y-103253010D01* -X125104113Y-103259703D01* -X125094475Y-103265480D01* -X125084317Y-103270284D01* -X125073738Y-103274070D01* -X125062838Y-103276800D01* -X125051723Y-103278449D01* -X125040500Y-103279000D01* -X123759500Y-103279000D01* -X123748277Y-103278449D01* -X123737162Y-103276800D01* -X123726262Y-103274070D01* -X123715683Y-103270284D01* -X123705525Y-103265480D01* -X123695887Y-103259703D01* -X123686862Y-103253010D01* -X123678536Y-103245464D01* -X123670990Y-103237138D01* -X123664297Y-103228113D01* -X123658520Y-103218475D01* -X123653716Y-103208317D01* -X123649930Y-103197738D01* -X123647200Y-103186838D01* -X123645551Y-103175723D01* -X123645000Y-103164500D01* -X123645000Y-102935500D01* -X123645551Y-102924277D01* -X123647200Y-102913162D01* -X123649930Y-102902262D01* -X123653716Y-102891683D01* -X123658520Y-102881525D01* -X123664297Y-102871887D01* -X123670990Y-102862862D01* -X123678536Y-102854536D01* -X123686862Y-102846990D01* -X123695887Y-102840297D01* -X123705525Y-102834520D01* -X123715683Y-102829716D01* -X123726262Y-102825930D01* -X123737162Y-102823200D01* -X123748277Y-102821551D01* -X123759500Y-102821000D01* -X125040500Y-102821000D01* -X125051723Y-102821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-102021551D02* -G01* -X125062838Y-102023200D01* -X125073738Y-102025930D01* -X125084317Y-102029716D01* -X125094475Y-102034520D01* -X125104113Y-102040297D01* -X125113138Y-102046990D01* -X125121464Y-102054536D01* -X125129010Y-102062862D01* -X125135703Y-102071887D01* -X125141480Y-102081525D01* -X125146284Y-102091683D01* -X125150070Y-102102262D01* -X125152800Y-102113162D01* -X125154449Y-102124277D01* -X125155000Y-102135500D01* -X125155000Y-102364500D01* -X125154449Y-102375723D01* -X125152800Y-102386838D01* -X125150070Y-102397738D01* -X125146284Y-102408317D01* -X125141480Y-102418475D01* -X125135703Y-102428113D01* -X125129010Y-102437138D01* -X125121464Y-102445464D01* -X125113138Y-102453010D01* -X125104113Y-102459703D01* -X125094475Y-102465480D01* -X125084317Y-102470284D01* -X125073738Y-102474070D01* -X125062838Y-102476800D01* -X125051723Y-102478449D01* -X125040500Y-102479000D01* -X123759500Y-102479000D01* -X123748277Y-102478449D01* -X123737162Y-102476800D01* -X123726262Y-102474070D01* -X123715683Y-102470284D01* -X123705525Y-102465480D01* -X123695887Y-102459703D01* -X123686862Y-102453010D01* -X123678536Y-102445464D01* -X123670990Y-102437138D01* -X123664297Y-102428113D01* -X123658520Y-102418475D01* -X123653716Y-102408317D01* -X123649930Y-102397738D01* -X123647200Y-102386838D01* -X123645551Y-102375723D01* -X123645000Y-102364500D01* -X123645000Y-102135500D01* -X123645551Y-102124277D01* -X123647200Y-102113162D01* -X123649930Y-102102262D01* -X123653716Y-102091683D01* -X123658520Y-102081525D01* -X123664297Y-102071887D01* -X123670990Y-102062862D01* -X123678536Y-102054536D01* -X123686862Y-102046990D01* -X123695887Y-102040297D01* -X123705525Y-102034520D01* -X123715683Y-102029716D01* -X123726262Y-102025930D01* -X123737162Y-102023200D01* -X123748277Y-102021551D01* -X123759500Y-102021000D01* -X125040500Y-102021000D01* -X125051723Y-102021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-101221551D02* -G01* -X125062838Y-101223200D01* -X125073738Y-101225930D01* -X125084317Y-101229716D01* -X125094475Y-101234520D01* -X125104113Y-101240297D01* -X125113138Y-101246990D01* -X125121464Y-101254536D01* -X125129010Y-101262862D01* -X125135703Y-101271887D01* -X125141480Y-101281525D01* -X125146284Y-101291683D01* -X125150070Y-101302262D01* -X125152800Y-101313162D01* -X125154449Y-101324277D01* -X125155000Y-101335500D01* -X125155000Y-101564500D01* -X125154449Y-101575723D01* -X125152800Y-101586838D01* -X125150070Y-101597738D01* -X125146284Y-101608317D01* -X125141480Y-101618475D01* -X125135703Y-101628113D01* -X125129010Y-101637138D01* -X125121464Y-101645464D01* -X125113138Y-101653010D01* -X125104113Y-101659703D01* -X125094475Y-101665480D01* -X125084317Y-101670284D01* -X125073738Y-101674070D01* -X125062838Y-101676800D01* -X125051723Y-101678449D01* -X125040500Y-101679000D01* -X123759500Y-101679000D01* -X123748277Y-101678449D01* -X123737162Y-101676800D01* -X123726262Y-101674070D01* -X123715683Y-101670284D01* -X123705525Y-101665480D01* -X123695887Y-101659703D01* -X123686862Y-101653010D01* -X123678536Y-101645464D01* -X123670990Y-101637138D01* -X123664297Y-101628113D01* -X123658520Y-101618475D01* -X123653716Y-101608317D01* -X123649930Y-101597738D01* -X123647200Y-101586838D01* -X123645551Y-101575723D01* -X123645000Y-101564500D01* -X123645000Y-101335500D01* -X123645551Y-101324277D01* -X123647200Y-101313162D01* -X123649930Y-101302262D01* -X123653716Y-101291683D01* -X123658520Y-101281525D01* -X123664297Y-101271887D01* -X123670990Y-101262862D01* -X123678536Y-101254536D01* -X123686862Y-101246990D01* -X123695887Y-101240297D01* -X123705525Y-101234520D01* -X123715683Y-101229716D01* -X123726262Y-101225930D01* -X123737162Y-101223200D01* -X123748277Y-101221551D01* -X123759500Y-101221000D01* -X125040500Y-101221000D01* -X125051723Y-101221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-100421551D02* -G01* -X125062838Y-100423200D01* -X125073738Y-100425930D01* -X125084317Y-100429716D01* -X125094475Y-100434520D01* -X125104113Y-100440297D01* -X125113138Y-100446990D01* -X125121464Y-100454536D01* -X125129010Y-100462862D01* -X125135703Y-100471887D01* -X125141480Y-100481525D01* -X125146284Y-100491683D01* -X125150070Y-100502262D01* -X125152800Y-100513162D01* -X125154449Y-100524277D01* -X125155000Y-100535500D01* -X125155000Y-100764500D01* -X125154449Y-100775723D01* -X125152800Y-100786838D01* -X125150070Y-100797738D01* -X125146284Y-100808317D01* -X125141480Y-100818475D01* -X125135703Y-100828113D01* -X125129010Y-100837138D01* -X125121464Y-100845464D01* -X125113138Y-100853010D01* -X125104113Y-100859703D01* -X125094475Y-100865480D01* -X125084317Y-100870284D01* -X125073738Y-100874070D01* -X125062838Y-100876800D01* -X125051723Y-100878449D01* -X125040500Y-100879000D01* -X123759500Y-100879000D01* -X123748277Y-100878449D01* -X123737162Y-100876800D01* -X123726262Y-100874070D01* -X123715683Y-100870284D01* -X123705525Y-100865480D01* -X123695887Y-100859703D01* -X123686862Y-100853010D01* -X123678536Y-100845464D01* -X123670990Y-100837138D01* -X123664297Y-100828113D01* -X123658520Y-100818475D01* -X123653716Y-100808317D01* -X123649930Y-100797738D01* -X123647200Y-100786838D01* -X123645551Y-100775723D01* -X123645000Y-100764500D01* -X123645000Y-100535500D01* -X123645551Y-100524277D01* -X123647200Y-100513162D01* -X123649930Y-100502262D01* -X123653716Y-100491683D01* -X123658520Y-100481525D01* -X123664297Y-100471887D01* -X123670990Y-100462862D01* -X123678536Y-100454536D01* -X123686862Y-100446990D01* -X123695887Y-100440297D01* -X123705525Y-100434520D01* -X123715683Y-100429716D01* -X123726262Y-100425930D01* -X123737162Y-100423200D01* -X123748277Y-100421551D01* -X123759500Y-100421000D01* -X125040500Y-100421000D01* -X125051723Y-100421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-99621551D02* -G01* -X125062838Y-99623200D01* -X125073738Y-99625930D01* -X125084317Y-99629716D01* -X125094475Y-99634520D01* -X125104113Y-99640297D01* -X125113138Y-99646990D01* -X125121464Y-99654536D01* -X125129010Y-99662862D01* -X125135703Y-99671887D01* -X125141480Y-99681525D01* -X125146284Y-99691683D01* -X125150070Y-99702262D01* -X125152800Y-99713162D01* -X125154449Y-99724277D01* -X125155000Y-99735500D01* -X125155000Y-99964500D01* -X125154449Y-99975723D01* -X125152800Y-99986838D01* -X125150070Y-99997738D01* -X125146284Y-100008317D01* -X125141480Y-100018475D01* -X125135703Y-100028113D01* -X125129010Y-100037138D01* -X125121464Y-100045464D01* -X125113138Y-100053010D01* -X125104113Y-100059703D01* -X125094475Y-100065480D01* -X125084317Y-100070284D01* -X125073738Y-100074070D01* -X125062838Y-100076800D01* -X125051723Y-100078449D01* -X125040500Y-100079000D01* -X123759500Y-100079000D01* -X123748277Y-100078449D01* -X123737162Y-100076800D01* -X123726262Y-100074070D01* -X123715683Y-100070284D01* -X123705525Y-100065480D01* -X123695887Y-100059703D01* -X123686862Y-100053010D01* -X123678536Y-100045464D01* -X123670990Y-100037138D01* -X123664297Y-100028113D01* -X123658520Y-100018475D01* -X123653716Y-100008317D01* -X123649930Y-99997738D01* -X123647200Y-99986838D01* -X123645551Y-99975723D01* -X123645000Y-99964500D01* -X123645000Y-99735500D01* -X123645551Y-99724277D01* -X123647200Y-99713162D01* -X123649930Y-99702262D01* -X123653716Y-99691683D01* -X123658520Y-99681525D01* -X123664297Y-99671887D01* -X123670990Y-99662862D01* -X123678536Y-99654536D01* -X123686862Y-99646990D01* -X123695887Y-99640297D01* -X123705525Y-99634520D01* -X123715683Y-99629716D01* -X123726262Y-99625930D01* -X123737162Y-99623200D01* -X123748277Y-99621551D01* -X123759500Y-99621000D01* -X125040500Y-99621000D01* -X125051723Y-99621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-98821551D02* -G01* -X125062838Y-98823200D01* -X125073738Y-98825930D01* -X125084317Y-98829716D01* -X125094475Y-98834520D01* -X125104113Y-98840297D01* -X125113138Y-98846990D01* -X125121464Y-98854536D01* -X125129010Y-98862862D01* -X125135703Y-98871887D01* -X125141480Y-98881525D01* -X125146284Y-98891683D01* -X125150070Y-98902262D01* -X125152800Y-98913162D01* -X125154449Y-98924277D01* -X125155000Y-98935500D01* -X125155000Y-99164500D01* -X125154449Y-99175723D01* -X125152800Y-99186838D01* -X125150070Y-99197738D01* -X125146284Y-99208317D01* -X125141480Y-99218475D01* -X125135703Y-99228113D01* -X125129010Y-99237138D01* -X125121464Y-99245464D01* -X125113138Y-99253010D01* -X125104113Y-99259703D01* -X125094475Y-99265480D01* -X125084317Y-99270284D01* -X125073738Y-99274070D01* -X125062838Y-99276800D01* -X125051723Y-99278449D01* -X125040500Y-99279000D01* -X123759500Y-99279000D01* -X123748277Y-99278449D01* -X123737162Y-99276800D01* -X123726262Y-99274070D01* -X123715683Y-99270284D01* -X123705525Y-99265480D01* -X123695887Y-99259703D01* -X123686862Y-99253010D01* -X123678536Y-99245464D01* -X123670990Y-99237138D01* -X123664297Y-99228113D01* -X123658520Y-99218475D01* -X123653716Y-99208317D01* -X123649930Y-99197738D01* -X123647200Y-99186838D01* -X123645551Y-99175723D01* -X123645000Y-99164500D01* -X123645000Y-98935500D01* -X123645551Y-98924277D01* -X123647200Y-98913162D01* -X123649930Y-98902262D01* -X123653716Y-98891683D01* -X123658520Y-98881525D01* -X123664297Y-98871887D01* -X123670990Y-98862862D01* -X123678536Y-98854536D01* -X123686862Y-98846990D01* -X123695887Y-98840297D01* -X123705525Y-98834520D01* -X123715683Y-98829716D01* -X123726262Y-98825930D01* -X123737162Y-98823200D01* -X123748277Y-98821551D01* -X123759500Y-98821000D01* -X125040500Y-98821000D01* -X125051723Y-98821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-98021551D02* -G01* -X125062838Y-98023200D01* -X125073738Y-98025930D01* -X125084317Y-98029716D01* -X125094475Y-98034520D01* -X125104113Y-98040297D01* -X125113138Y-98046990D01* -X125121464Y-98054536D01* -X125129010Y-98062862D01* -X125135703Y-98071887D01* -X125141480Y-98081525D01* -X125146284Y-98091683D01* -X125150070Y-98102262D01* -X125152800Y-98113162D01* -X125154449Y-98124277D01* -X125155000Y-98135500D01* -X125155000Y-98364500D01* -X125154449Y-98375723D01* -X125152800Y-98386838D01* -X125150070Y-98397738D01* -X125146284Y-98408317D01* -X125141480Y-98418475D01* -X125135703Y-98428113D01* -X125129010Y-98437138D01* -X125121464Y-98445464D01* -X125113138Y-98453010D01* -X125104113Y-98459703D01* -X125094475Y-98465480D01* -X125084317Y-98470284D01* -X125073738Y-98474070D01* -X125062838Y-98476800D01* -X125051723Y-98478449D01* -X125040500Y-98479000D01* -X123759500Y-98479000D01* -X123748277Y-98478449D01* -X123737162Y-98476800D01* -X123726262Y-98474070D01* -X123715683Y-98470284D01* -X123705525Y-98465480D01* -X123695887Y-98459703D01* -X123686862Y-98453010D01* -X123678536Y-98445464D01* -X123670990Y-98437138D01* -X123664297Y-98428113D01* -X123658520Y-98418475D01* -X123653716Y-98408317D01* -X123649930Y-98397738D01* -X123647200Y-98386838D01* -X123645551Y-98375723D01* -X123645000Y-98364500D01* -X123645000Y-98135500D01* -X123645551Y-98124277D01* -X123647200Y-98113162D01* -X123649930Y-98102262D01* -X123653716Y-98091683D01* -X123658520Y-98081525D01* -X123664297Y-98071887D01* -X123670990Y-98062862D01* -X123678536Y-98054536D01* -X123686862Y-98046990D01* -X123695887Y-98040297D01* -X123705525Y-98034520D01* -X123715683Y-98029716D01* -X123726262Y-98025930D01* -X123737162Y-98023200D01* -X123748277Y-98021551D01* -X123759500Y-98021000D01* -X125040500Y-98021000D01* -X125051723Y-98021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-97221551D02* -G01* -X125062838Y-97223200D01* -X125073738Y-97225930D01* -X125084317Y-97229716D01* -X125094475Y-97234520D01* -X125104113Y-97240297D01* -X125113138Y-97246990D01* -X125121464Y-97254536D01* -X125129010Y-97262862D01* -X125135703Y-97271887D01* -X125141480Y-97281525D01* -X125146284Y-97291683D01* -X125150070Y-97302262D01* -X125152800Y-97313162D01* -X125154449Y-97324277D01* -X125155000Y-97335500D01* -X125155000Y-97564500D01* -X125154449Y-97575723D01* -X125152800Y-97586838D01* -X125150070Y-97597738D01* -X125146284Y-97608317D01* -X125141480Y-97618475D01* -X125135703Y-97628113D01* -X125129010Y-97637138D01* -X125121464Y-97645464D01* -X125113138Y-97653010D01* -X125104113Y-97659703D01* -X125094475Y-97665480D01* -X125084317Y-97670284D01* -X125073738Y-97674070D01* -X125062838Y-97676800D01* -X125051723Y-97678449D01* -X125040500Y-97679000D01* -X123759500Y-97679000D01* -X123748277Y-97678449D01* -X123737162Y-97676800D01* -X123726262Y-97674070D01* -X123715683Y-97670284D01* -X123705525Y-97665480D01* -X123695887Y-97659703D01* -X123686862Y-97653010D01* -X123678536Y-97645464D01* -X123670990Y-97637138D01* -X123664297Y-97628113D01* -X123658520Y-97618475D01* -X123653716Y-97608317D01* -X123649930Y-97597738D01* -X123647200Y-97586838D01* -X123645551Y-97575723D01* -X123645000Y-97564500D01* -X123645000Y-97335500D01* -X123645551Y-97324277D01* -X123647200Y-97313162D01* -X123649930Y-97302262D01* -X123653716Y-97291683D01* -X123658520Y-97281525D01* -X123664297Y-97271887D01* -X123670990Y-97262862D01* -X123678536Y-97254536D01* -X123686862Y-97246990D01* -X123695887Y-97240297D01* -X123705525Y-97234520D01* -X123715683Y-97229716D01* -X123726262Y-97225930D01* -X123737162Y-97223200D01* -X123748277Y-97221551D01* -X123759500Y-97221000D01* -X125040500Y-97221000D01* -X125051723Y-97221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-96421551D02* -G01* -X125062838Y-96423200D01* -X125073738Y-96425930D01* -X125084317Y-96429716D01* -X125094475Y-96434520D01* -X125104113Y-96440297D01* -X125113138Y-96446990D01* -X125121464Y-96454536D01* -X125129010Y-96462862D01* -X125135703Y-96471887D01* -X125141480Y-96481525D01* -X125146284Y-96491683D01* -X125150070Y-96502262D01* -X125152800Y-96513162D01* -X125154449Y-96524277D01* -X125155000Y-96535500D01* -X125155000Y-96764500D01* -X125154449Y-96775723D01* -X125152800Y-96786838D01* -X125150070Y-96797738D01* -X125146284Y-96808317D01* -X125141480Y-96818475D01* -X125135703Y-96828113D01* -X125129010Y-96837138D01* -X125121464Y-96845464D01* -X125113138Y-96853010D01* -X125104113Y-96859703D01* -X125094475Y-96865480D01* -X125084317Y-96870284D01* -X125073738Y-96874070D01* -X125062838Y-96876800D01* -X125051723Y-96878449D01* -X125040500Y-96879000D01* -X123759500Y-96879000D01* -X123748277Y-96878449D01* -X123737162Y-96876800D01* -X123726262Y-96874070D01* -X123715683Y-96870284D01* -X123705525Y-96865480D01* -X123695887Y-96859703D01* -X123686862Y-96853010D01* -X123678536Y-96845464D01* -X123670990Y-96837138D01* -X123664297Y-96828113D01* -X123658520Y-96818475D01* -X123653716Y-96808317D01* -X123649930Y-96797738D01* -X123647200Y-96786838D01* -X123645551Y-96775723D01* -X123645000Y-96764500D01* -X123645000Y-96535500D01* -X123645551Y-96524277D01* -X123647200Y-96513162D01* -X123649930Y-96502262D01* -X123653716Y-96491683D01* -X123658520Y-96481525D01* -X123664297Y-96471887D01* -X123670990Y-96462862D01* -X123678536Y-96454536D01* -X123686862Y-96446990D01* -X123695887Y-96440297D01* -X123705525Y-96434520D01* -X123715683Y-96429716D01* -X123726262Y-96425930D01* -X123737162Y-96423200D01* -X123748277Y-96421551D01* -X123759500Y-96421000D01* -X125040500Y-96421000D01* -X125051723Y-96421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-95621551D02* -G01* -X125062838Y-95623200D01* -X125073738Y-95625930D01* -X125084317Y-95629716D01* -X125094475Y-95634520D01* -X125104113Y-95640297D01* -X125113138Y-95646990D01* -X125121464Y-95654536D01* -X125129010Y-95662862D01* -X125135703Y-95671887D01* -X125141480Y-95681525D01* -X125146284Y-95691683D01* -X125150070Y-95702262D01* -X125152800Y-95713162D01* -X125154449Y-95724277D01* -X125155000Y-95735500D01* -X125155000Y-95964500D01* -X125154449Y-95975723D01* -X125152800Y-95986838D01* -X125150070Y-95997738D01* -X125146284Y-96008317D01* -X125141480Y-96018475D01* -X125135703Y-96028113D01* -X125129010Y-96037138D01* -X125121464Y-96045464D01* -X125113138Y-96053010D01* -X125104113Y-96059703D01* -X125094475Y-96065480D01* -X125084317Y-96070284D01* -X125073738Y-96074070D01* -X125062838Y-96076800D01* -X125051723Y-96078449D01* -X125040500Y-96079000D01* -X123759500Y-96079000D01* -X123748277Y-96078449D01* -X123737162Y-96076800D01* -X123726262Y-96074070D01* -X123715683Y-96070284D01* -X123705525Y-96065480D01* -X123695887Y-96059703D01* -X123686862Y-96053010D01* -X123678536Y-96045464D01* -X123670990Y-96037138D01* -X123664297Y-96028113D01* -X123658520Y-96018475D01* -X123653716Y-96008317D01* -X123649930Y-95997738D01* -X123647200Y-95986838D01* -X123645551Y-95975723D01* -X123645000Y-95964500D01* -X123645000Y-95735500D01* -X123645551Y-95724277D01* -X123647200Y-95713162D01* -X123649930Y-95702262D01* -X123653716Y-95691683D01* -X123658520Y-95681525D01* -X123664297Y-95671887D01* -X123670990Y-95662862D01* -X123678536Y-95654536D01* -X123686862Y-95646990D01* -X123695887Y-95640297D01* -X123705525Y-95634520D01* -X123715683Y-95629716D01* -X123726262Y-95625930D01* -X123737162Y-95623200D01* -X123748277Y-95621551D01* -X123759500Y-95621000D01* -X125040500Y-95621000D01* -X125051723Y-95621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-94821551D02* -G01* -X125062838Y-94823200D01* -X125073738Y-94825930D01* -X125084317Y-94829716D01* -X125094475Y-94834520D01* -X125104113Y-94840297D01* -X125113138Y-94846990D01* -X125121464Y-94854536D01* -X125129010Y-94862862D01* -X125135703Y-94871887D01* -X125141480Y-94881525D01* -X125146284Y-94891683D01* -X125150070Y-94902262D01* -X125152800Y-94913162D01* -X125154449Y-94924277D01* -X125155000Y-94935500D01* -X125155000Y-95164500D01* -X125154449Y-95175723D01* -X125152800Y-95186838D01* -X125150070Y-95197738D01* -X125146284Y-95208317D01* -X125141480Y-95218475D01* -X125135703Y-95228113D01* -X125129010Y-95237138D01* -X125121464Y-95245464D01* -X125113138Y-95253010D01* -X125104113Y-95259703D01* -X125094475Y-95265480D01* -X125084317Y-95270284D01* -X125073738Y-95274070D01* -X125062838Y-95276800D01* -X125051723Y-95278449D01* -X125040500Y-95279000D01* -X123759500Y-95279000D01* -X123748277Y-95278449D01* -X123737162Y-95276800D01* -X123726262Y-95274070D01* -X123715683Y-95270284D01* -X123705525Y-95265480D01* -X123695887Y-95259703D01* -X123686862Y-95253010D01* -X123678536Y-95245464D01* -X123670990Y-95237138D01* -X123664297Y-95228113D01* -X123658520Y-95218475D01* -X123653716Y-95208317D01* -X123649930Y-95197738D01* -X123647200Y-95186838D01* -X123645551Y-95175723D01* -X123645000Y-95164500D01* -X123645000Y-94935500D01* -X123645551Y-94924277D01* -X123647200Y-94913162D01* -X123649930Y-94902262D01* -X123653716Y-94891683D01* -X123658520Y-94881525D01* -X123664297Y-94871887D01* -X123670990Y-94862862D01* -X123678536Y-94854536D01* -X123686862Y-94846990D01* -X123695887Y-94840297D01* -X123705525Y-94834520D01* -X123715683Y-94829716D01* -X123726262Y-94825930D01* -X123737162Y-94823200D01* -X123748277Y-94821551D01* -X123759500Y-94821000D01* -X125040500Y-94821000D01* -X125051723Y-94821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-94021551D02* -G01* -X125062838Y-94023200D01* -X125073738Y-94025930D01* -X125084317Y-94029716D01* -X125094475Y-94034520D01* -X125104113Y-94040297D01* -X125113138Y-94046990D01* -X125121464Y-94054536D01* -X125129010Y-94062862D01* -X125135703Y-94071887D01* -X125141480Y-94081525D01* -X125146284Y-94091683D01* -X125150070Y-94102262D01* -X125152800Y-94113162D01* -X125154449Y-94124277D01* -X125155000Y-94135500D01* -X125155000Y-94364500D01* -X125154449Y-94375723D01* -X125152800Y-94386838D01* -X125150070Y-94397738D01* -X125146284Y-94408317D01* -X125141480Y-94418475D01* -X125135703Y-94428113D01* -X125129010Y-94437138D01* -X125121464Y-94445464D01* -X125113138Y-94453010D01* -X125104113Y-94459703D01* -X125094475Y-94465480D01* -X125084317Y-94470284D01* -X125073738Y-94474070D01* -X125062838Y-94476800D01* -X125051723Y-94478449D01* -X125040500Y-94479000D01* -X123759500Y-94479000D01* -X123748277Y-94478449D01* -X123737162Y-94476800D01* -X123726262Y-94474070D01* -X123715683Y-94470284D01* -X123705525Y-94465480D01* -X123695887Y-94459703D01* -X123686862Y-94453010D01* -X123678536Y-94445464D01* -X123670990Y-94437138D01* -X123664297Y-94428113D01* -X123658520Y-94418475D01* -X123653716Y-94408317D01* -X123649930Y-94397738D01* -X123647200Y-94386838D01* -X123645551Y-94375723D01* -X123645000Y-94364500D01* -X123645000Y-94135500D01* -X123645551Y-94124277D01* -X123647200Y-94113162D01* -X123649930Y-94102262D01* -X123653716Y-94091683D01* -X123658520Y-94081525D01* -X123664297Y-94071887D01* -X123670990Y-94062862D01* -X123678536Y-94054536D01* -X123686862Y-94046990D01* -X123695887Y-94040297D01* -X123705525Y-94034520D01* -X123715683Y-94029716D01* -X123726262Y-94025930D01* -X123737162Y-94023200D01* -X123748277Y-94021551D01* -X123759500Y-94021000D01* -X125040500Y-94021000D01* -X125051723Y-94021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-93221551D02* -G01* -X125062838Y-93223200D01* -X125073738Y-93225930D01* -X125084317Y-93229716D01* -X125094475Y-93234520D01* -X125104113Y-93240297D01* -X125113138Y-93246990D01* -X125121464Y-93254536D01* -X125129010Y-93262862D01* -X125135703Y-93271887D01* -X125141480Y-93281525D01* -X125146284Y-93291683D01* -X125150070Y-93302262D01* -X125152800Y-93313162D01* -X125154449Y-93324277D01* -X125155000Y-93335500D01* -X125155000Y-93564500D01* -X125154449Y-93575723D01* -X125152800Y-93586838D01* -X125150070Y-93597738D01* -X125146284Y-93608317D01* -X125141480Y-93618475D01* -X125135703Y-93628113D01* -X125129010Y-93637138D01* -X125121464Y-93645464D01* -X125113138Y-93653010D01* -X125104113Y-93659703D01* -X125094475Y-93665480D01* -X125084317Y-93670284D01* -X125073738Y-93674070D01* -X125062838Y-93676800D01* -X125051723Y-93678449D01* -X125040500Y-93679000D01* -X123759500Y-93679000D01* -X123748277Y-93678449D01* -X123737162Y-93676800D01* -X123726262Y-93674070D01* -X123715683Y-93670284D01* -X123705525Y-93665480D01* -X123695887Y-93659703D01* -X123686862Y-93653010D01* -X123678536Y-93645464D01* -X123670990Y-93637138D01* -X123664297Y-93628113D01* -X123658520Y-93618475D01* -X123653716Y-93608317D01* -X123649930Y-93597738D01* -X123647200Y-93586838D01* -X123645551Y-93575723D01* -X123645000Y-93564500D01* -X123645000Y-93335500D01* -X123645551Y-93324277D01* -X123647200Y-93313162D01* -X123649930Y-93302262D01* -X123653716Y-93291683D01* -X123658520Y-93281525D01* -X123664297Y-93271887D01* -X123670990Y-93262862D01* -X123678536Y-93254536D01* -X123686862Y-93246990D01* -X123695887Y-93240297D01* -X123705525Y-93234520D01* -X123715683Y-93229716D01* -X123726262Y-93225930D01* -X123737162Y-93223200D01* -X123748277Y-93221551D01* -X123759500Y-93221000D01* -X125040500Y-93221000D01* -X125051723Y-93221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X125051723Y-92421551D02* -G01* -X125062838Y-92423200D01* -X125073738Y-92425930D01* -X125084317Y-92429716D01* -X125094475Y-92434520D01* -X125104113Y-92440297D01* -X125113138Y-92446990D01* -X125121464Y-92454536D01* -X125129010Y-92462862D01* -X125135703Y-92471887D01* -X125141480Y-92481525D01* -X125146284Y-92491683D01* -X125150070Y-92502262D01* -X125152800Y-92513162D01* -X125154449Y-92524277D01* -X125155000Y-92535500D01* -X125155000Y-92764500D01* -X125154449Y-92775723D01* -X125152800Y-92786838D01* -X125150070Y-92797738D01* -X125146284Y-92808317D01* -X125141480Y-92818475D01* -X125135703Y-92828113D01* -X125129010Y-92837138D01* -X125121464Y-92845464D01* -X125113138Y-92853010D01* -X125104113Y-92859703D01* -X125094475Y-92865480D01* -X125084317Y-92870284D01* -X125073738Y-92874070D01* -X125062838Y-92876800D01* -X125051723Y-92878449D01* -X125040500Y-92879000D01* -X123759500Y-92879000D01* -X123748277Y-92878449D01* -X123737162Y-92876800D01* -X123726262Y-92874070D01* -X123715683Y-92870284D01* -X123705525Y-92865480D01* -X123695887Y-92859703D01* -X123686862Y-92853010D01* -X123678536Y-92845464D01* -X123670990Y-92837138D01* -X123664297Y-92828113D01* -X123658520Y-92818475D01* -X123653716Y-92808317D01* -X123649930Y-92797738D01* -X123647200Y-92786838D01* -X123645551Y-92775723D01* -X123645000Y-92764500D01* -X123645000Y-92535500D01* -X123645551Y-92524277D01* -X123647200Y-92513162D01* -X123649930Y-92502262D01* -X123653716Y-92491683D01* -X123658520Y-92481525D01* -X123664297Y-92471887D01* -X123670990Y-92462862D01* -X123678536Y-92454536D01* -X123686862Y-92446990D01* -X123695887Y-92440297D01* -X123705525Y-92434520D01* -X123715683Y-92429716D01* -X123726262Y-92425930D01* -X123737162Y-92423200D01* -X123748277Y-92421551D01* -X123759500Y-92421000D01* -X125040500Y-92421000D01* -X125051723Y-92421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-92421551D02* -G01* -X113562838Y-92423200D01* -X113573738Y-92425930D01* -X113584317Y-92429716D01* -X113594475Y-92434520D01* -X113604113Y-92440297D01* -X113613138Y-92446990D01* -X113621464Y-92454536D01* -X113629010Y-92462862D01* -X113635703Y-92471887D01* -X113641480Y-92481525D01* -X113646284Y-92491683D01* -X113650070Y-92502262D01* -X113652800Y-92513162D01* -X113654449Y-92524277D01* -X113655000Y-92535500D01* -X113655000Y-92764500D01* -X113654449Y-92775723D01* -X113652800Y-92786838D01* -X113650070Y-92797738D01* -X113646284Y-92808317D01* -X113641480Y-92818475D01* -X113635703Y-92828113D01* -X113629010Y-92837138D01* -X113621464Y-92845464D01* -X113613138Y-92853010D01* -X113604113Y-92859703D01* -X113594475Y-92865480D01* -X113584317Y-92870284D01* -X113573738Y-92874070D01* -X113562838Y-92876800D01* -X113551723Y-92878449D01* -X113540500Y-92879000D01* -X112259500Y-92879000D01* -X112248277Y-92878449D01* -X112237162Y-92876800D01* -X112226262Y-92874070D01* -X112215683Y-92870284D01* -X112205525Y-92865480D01* -X112195887Y-92859703D01* -X112186862Y-92853010D01* -X112178536Y-92845464D01* -X112170990Y-92837138D01* -X112164297Y-92828113D01* -X112158520Y-92818475D01* -X112153716Y-92808317D01* -X112149930Y-92797738D01* -X112147200Y-92786838D01* -X112145551Y-92775723D01* -X112145000Y-92764500D01* -X112145000Y-92535500D01* -X112145551Y-92524277D01* -X112147200Y-92513162D01* -X112149930Y-92502262D01* -X112153716Y-92491683D01* -X112158520Y-92481525D01* -X112164297Y-92471887D01* -X112170990Y-92462862D01* -X112178536Y-92454536D01* -X112186862Y-92446990D01* -X112195887Y-92440297D01* -X112205525Y-92434520D01* -X112215683Y-92429716D01* -X112226262Y-92425930D01* -X112237162Y-92423200D01* -X112248277Y-92421551D01* -X112259500Y-92421000D01* -X113540500Y-92421000D01* -X113551723Y-92421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-93221551D02* -G01* -X113562838Y-93223200D01* -X113573738Y-93225930D01* -X113584317Y-93229716D01* -X113594475Y-93234520D01* -X113604113Y-93240297D01* -X113613138Y-93246990D01* -X113621464Y-93254536D01* -X113629010Y-93262862D01* -X113635703Y-93271887D01* -X113641480Y-93281525D01* -X113646284Y-93291683D01* -X113650070Y-93302262D01* -X113652800Y-93313162D01* -X113654449Y-93324277D01* -X113655000Y-93335500D01* -X113655000Y-93564500D01* -X113654449Y-93575723D01* -X113652800Y-93586838D01* -X113650070Y-93597738D01* -X113646284Y-93608317D01* -X113641480Y-93618475D01* -X113635703Y-93628113D01* -X113629010Y-93637138D01* -X113621464Y-93645464D01* -X113613138Y-93653010D01* -X113604113Y-93659703D01* -X113594475Y-93665480D01* -X113584317Y-93670284D01* -X113573738Y-93674070D01* -X113562838Y-93676800D01* -X113551723Y-93678449D01* -X113540500Y-93679000D01* -X112259500Y-93679000D01* -X112248277Y-93678449D01* -X112237162Y-93676800D01* -X112226262Y-93674070D01* -X112215683Y-93670284D01* -X112205525Y-93665480D01* -X112195887Y-93659703D01* -X112186862Y-93653010D01* -X112178536Y-93645464D01* -X112170990Y-93637138D01* -X112164297Y-93628113D01* -X112158520Y-93618475D01* -X112153716Y-93608317D01* -X112149930Y-93597738D01* -X112147200Y-93586838D01* -X112145551Y-93575723D01* -X112145000Y-93564500D01* -X112145000Y-93335500D01* -X112145551Y-93324277D01* -X112147200Y-93313162D01* -X112149930Y-93302262D01* -X112153716Y-93291683D01* -X112158520Y-93281525D01* -X112164297Y-93271887D01* -X112170990Y-93262862D01* -X112178536Y-93254536D01* -X112186862Y-93246990D01* -X112195887Y-93240297D01* -X112205525Y-93234520D01* -X112215683Y-93229716D01* -X112226262Y-93225930D01* -X112237162Y-93223200D01* -X112248277Y-93221551D01* -X112259500Y-93221000D01* -X113540500Y-93221000D01* -X113551723Y-93221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-94021551D02* -G01* -X113562838Y-94023200D01* -X113573738Y-94025930D01* -X113584317Y-94029716D01* -X113594475Y-94034520D01* -X113604113Y-94040297D01* -X113613138Y-94046990D01* -X113621464Y-94054536D01* -X113629010Y-94062862D01* -X113635703Y-94071887D01* -X113641480Y-94081525D01* -X113646284Y-94091683D01* -X113650070Y-94102262D01* -X113652800Y-94113162D01* -X113654449Y-94124277D01* -X113655000Y-94135500D01* -X113655000Y-94364500D01* -X113654449Y-94375723D01* -X113652800Y-94386838D01* -X113650070Y-94397738D01* -X113646284Y-94408317D01* -X113641480Y-94418475D01* -X113635703Y-94428113D01* -X113629010Y-94437138D01* -X113621464Y-94445464D01* -X113613138Y-94453010D01* -X113604113Y-94459703D01* -X113594475Y-94465480D01* -X113584317Y-94470284D01* -X113573738Y-94474070D01* -X113562838Y-94476800D01* -X113551723Y-94478449D01* -X113540500Y-94479000D01* -X112259500Y-94479000D01* -X112248277Y-94478449D01* -X112237162Y-94476800D01* -X112226262Y-94474070D01* -X112215683Y-94470284D01* -X112205525Y-94465480D01* -X112195887Y-94459703D01* -X112186862Y-94453010D01* -X112178536Y-94445464D01* -X112170990Y-94437138D01* -X112164297Y-94428113D01* -X112158520Y-94418475D01* -X112153716Y-94408317D01* -X112149930Y-94397738D01* -X112147200Y-94386838D01* -X112145551Y-94375723D01* -X112145000Y-94364500D01* -X112145000Y-94135500D01* -X112145551Y-94124277D01* -X112147200Y-94113162D01* -X112149930Y-94102262D01* -X112153716Y-94091683D01* -X112158520Y-94081525D01* -X112164297Y-94071887D01* -X112170990Y-94062862D01* -X112178536Y-94054536D01* -X112186862Y-94046990D01* -X112195887Y-94040297D01* -X112205525Y-94034520D01* -X112215683Y-94029716D01* -X112226262Y-94025930D01* -X112237162Y-94023200D01* -X112248277Y-94021551D01* -X112259500Y-94021000D01* -X113540500Y-94021000D01* -X113551723Y-94021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-94821551D02* -G01* -X113562838Y-94823200D01* -X113573738Y-94825930D01* -X113584317Y-94829716D01* -X113594475Y-94834520D01* -X113604113Y-94840297D01* -X113613138Y-94846990D01* -X113621464Y-94854536D01* -X113629010Y-94862862D01* -X113635703Y-94871887D01* -X113641480Y-94881525D01* -X113646284Y-94891683D01* -X113650070Y-94902262D01* -X113652800Y-94913162D01* -X113654449Y-94924277D01* -X113655000Y-94935500D01* -X113655000Y-95164500D01* -X113654449Y-95175723D01* -X113652800Y-95186838D01* -X113650070Y-95197738D01* -X113646284Y-95208317D01* -X113641480Y-95218475D01* -X113635703Y-95228113D01* -X113629010Y-95237138D01* -X113621464Y-95245464D01* -X113613138Y-95253010D01* -X113604113Y-95259703D01* -X113594475Y-95265480D01* -X113584317Y-95270284D01* -X113573738Y-95274070D01* -X113562838Y-95276800D01* -X113551723Y-95278449D01* -X113540500Y-95279000D01* -X112259500Y-95279000D01* -X112248277Y-95278449D01* -X112237162Y-95276800D01* -X112226262Y-95274070D01* -X112215683Y-95270284D01* -X112205525Y-95265480D01* -X112195887Y-95259703D01* -X112186862Y-95253010D01* -X112178536Y-95245464D01* -X112170990Y-95237138D01* -X112164297Y-95228113D01* -X112158520Y-95218475D01* -X112153716Y-95208317D01* -X112149930Y-95197738D01* -X112147200Y-95186838D01* -X112145551Y-95175723D01* -X112145000Y-95164500D01* -X112145000Y-94935500D01* -X112145551Y-94924277D01* -X112147200Y-94913162D01* -X112149930Y-94902262D01* -X112153716Y-94891683D01* -X112158520Y-94881525D01* -X112164297Y-94871887D01* -X112170990Y-94862862D01* -X112178536Y-94854536D01* -X112186862Y-94846990D01* -X112195887Y-94840297D01* -X112205525Y-94834520D01* -X112215683Y-94829716D01* -X112226262Y-94825930D01* -X112237162Y-94823200D01* -X112248277Y-94821551D01* -X112259500Y-94821000D01* -X113540500Y-94821000D01* -X113551723Y-94821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-95621551D02* -G01* -X113562838Y-95623200D01* -X113573738Y-95625930D01* -X113584317Y-95629716D01* -X113594475Y-95634520D01* -X113604113Y-95640297D01* -X113613138Y-95646990D01* -X113621464Y-95654536D01* -X113629010Y-95662862D01* -X113635703Y-95671887D01* -X113641480Y-95681525D01* -X113646284Y-95691683D01* -X113650070Y-95702262D01* -X113652800Y-95713162D01* -X113654449Y-95724277D01* -X113655000Y-95735500D01* -X113655000Y-95964500D01* -X113654449Y-95975723D01* -X113652800Y-95986838D01* -X113650070Y-95997738D01* -X113646284Y-96008317D01* -X113641480Y-96018475D01* -X113635703Y-96028113D01* -X113629010Y-96037138D01* -X113621464Y-96045464D01* -X113613138Y-96053010D01* -X113604113Y-96059703D01* -X113594475Y-96065480D01* -X113584317Y-96070284D01* -X113573738Y-96074070D01* -X113562838Y-96076800D01* -X113551723Y-96078449D01* -X113540500Y-96079000D01* -X112259500Y-96079000D01* -X112248277Y-96078449D01* -X112237162Y-96076800D01* -X112226262Y-96074070D01* -X112215683Y-96070284D01* -X112205525Y-96065480D01* -X112195887Y-96059703D01* -X112186862Y-96053010D01* -X112178536Y-96045464D01* -X112170990Y-96037138D01* -X112164297Y-96028113D01* -X112158520Y-96018475D01* -X112153716Y-96008317D01* -X112149930Y-95997738D01* -X112147200Y-95986838D01* -X112145551Y-95975723D01* -X112145000Y-95964500D01* -X112145000Y-95735500D01* -X112145551Y-95724277D01* -X112147200Y-95713162D01* -X112149930Y-95702262D01* -X112153716Y-95691683D01* -X112158520Y-95681525D01* -X112164297Y-95671887D01* -X112170990Y-95662862D01* -X112178536Y-95654536D01* -X112186862Y-95646990D01* -X112195887Y-95640297D01* -X112205525Y-95634520D01* -X112215683Y-95629716D01* -X112226262Y-95625930D01* -X112237162Y-95623200D01* -X112248277Y-95621551D01* -X112259500Y-95621000D01* -X113540500Y-95621000D01* -X113551723Y-95621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-96421551D02* -G01* -X113562838Y-96423200D01* -X113573738Y-96425930D01* -X113584317Y-96429716D01* -X113594475Y-96434520D01* -X113604113Y-96440297D01* -X113613138Y-96446990D01* -X113621464Y-96454536D01* -X113629010Y-96462862D01* -X113635703Y-96471887D01* -X113641480Y-96481525D01* -X113646284Y-96491683D01* -X113650070Y-96502262D01* -X113652800Y-96513162D01* -X113654449Y-96524277D01* -X113655000Y-96535500D01* -X113655000Y-96764500D01* -X113654449Y-96775723D01* -X113652800Y-96786838D01* -X113650070Y-96797738D01* -X113646284Y-96808317D01* -X113641480Y-96818475D01* -X113635703Y-96828113D01* -X113629010Y-96837138D01* -X113621464Y-96845464D01* -X113613138Y-96853010D01* -X113604113Y-96859703D01* -X113594475Y-96865480D01* -X113584317Y-96870284D01* -X113573738Y-96874070D01* -X113562838Y-96876800D01* -X113551723Y-96878449D01* -X113540500Y-96879000D01* -X112259500Y-96879000D01* -X112248277Y-96878449D01* -X112237162Y-96876800D01* -X112226262Y-96874070D01* -X112215683Y-96870284D01* -X112205525Y-96865480D01* -X112195887Y-96859703D01* -X112186862Y-96853010D01* -X112178536Y-96845464D01* -X112170990Y-96837138D01* -X112164297Y-96828113D01* -X112158520Y-96818475D01* -X112153716Y-96808317D01* -X112149930Y-96797738D01* -X112147200Y-96786838D01* -X112145551Y-96775723D01* -X112145000Y-96764500D01* -X112145000Y-96535500D01* -X112145551Y-96524277D01* -X112147200Y-96513162D01* -X112149930Y-96502262D01* -X112153716Y-96491683D01* -X112158520Y-96481525D01* -X112164297Y-96471887D01* -X112170990Y-96462862D01* -X112178536Y-96454536D01* -X112186862Y-96446990D01* -X112195887Y-96440297D01* -X112205525Y-96434520D01* -X112215683Y-96429716D01* -X112226262Y-96425930D01* -X112237162Y-96423200D01* -X112248277Y-96421551D01* -X112259500Y-96421000D01* -X113540500Y-96421000D01* -X113551723Y-96421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-97221551D02* -G01* -X113562838Y-97223200D01* -X113573738Y-97225930D01* -X113584317Y-97229716D01* -X113594475Y-97234520D01* -X113604113Y-97240297D01* -X113613138Y-97246990D01* -X113621464Y-97254536D01* -X113629010Y-97262862D01* -X113635703Y-97271887D01* -X113641480Y-97281525D01* -X113646284Y-97291683D01* -X113650070Y-97302262D01* -X113652800Y-97313162D01* -X113654449Y-97324277D01* -X113655000Y-97335500D01* -X113655000Y-97564500D01* -X113654449Y-97575723D01* -X113652800Y-97586838D01* -X113650070Y-97597738D01* -X113646284Y-97608317D01* -X113641480Y-97618475D01* -X113635703Y-97628113D01* -X113629010Y-97637138D01* -X113621464Y-97645464D01* -X113613138Y-97653010D01* -X113604113Y-97659703D01* -X113594475Y-97665480D01* -X113584317Y-97670284D01* -X113573738Y-97674070D01* -X113562838Y-97676800D01* -X113551723Y-97678449D01* -X113540500Y-97679000D01* -X112259500Y-97679000D01* -X112248277Y-97678449D01* -X112237162Y-97676800D01* -X112226262Y-97674070D01* -X112215683Y-97670284D01* -X112205525Y-97665480D01* -X112195887Y-97659703D01* -X112186862Y-97653010D01* -X112178536Y-97645464D01* -X112170990Y-97637138D01* -X112164297Y-97628113D01* -X112158520Y-97618475D01* -X112153716Y-97608317D01* -X112149930Y-97597738D01* -X112147200Y-97586838D01* -X112145551Y-97575723D01* -X112145000Y-97564500D01* -X112145000Y-97335500D01* -X112145551Y-97324277D01* -X112147200Y-97313162D01* -X112149930Y-97302262D01* -X112153716Y-97291683D01* -X112158520Y-97281525D01* -X112164297Y-97271887D01* -X112170990Y-97262862D01* -X112178536Y-97254536D01* -X112186862Y-97246990D01* -X112195887Y-97240297D01* -X112205525Y-97234520D01* -X112215683Y-97229716D01* -X112226262Y-97225930D01* -X112237162Y-97223200D01* -X112248277Y-97221551D01* -X112259500Y-97221000D01* -X113540500Y-97221000D01* -X113551723Y-97221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-98021551D02* -G01* -X113562838Y-98023200D01* -X113573738Y-98025930D01* -X113584317Y-98029716D01* -X113594475Y-98034520D01* -X113604113Y-98040297D01* -X113613138Y-98046990D01* -X113621464Y-98054536D01* -X113629010Y-98062862D01* -X113635703Y-98071887D01* -X113641480Y-98081525D01* -X113646284Y-98091683D01* -X113650070Y-98102262D01* -X113652800Y-98113162D01* -X113654449Y-98124277D01* -X113655000Y-98135500D01* -X113655000Y-98364500D01* -X113654449Y-98375723D01* -X113652800Y-98386838D01* -X113650070Y-98397738D01* -X113646284Y-98408317D01* -X113641480Y-98418475D01* -X113635703Y-98428113D01* -X113629010Y-98437138D01* -X113621464Y-98445464D01* -X113613138Y-98453010D01* -X113604113Y-98459703D01* -X113594475Y-98465480D01* -X113584317Y-98470284D01* -X113573738Y-98474070D01* -X113562838Y-98476800D01* -X113551723Y-98478449D01* -X113540500Y-98479000D01* -X112259500Y-98479000D01* -X112248277Y-98478449D01* -X112237162Y-98476800D01* -X112226262Y-98474070D01* -X112215683Y-98470284D01* -X112205525Y-98465480D01* -X112195887Y-98459703D01* -X112186862Y-98453010D01* -X112178536Y-98445464D01* -X112170990Y-98437138D01* -X112164297Y-98428113D01* -X112158520Y-98418475D01* -X112153716Y-98408317D01* -X112149930Y-98397738D01* -X112147200Y-98386838D01* -X112145551Y-98375723D01* -X112145000Y-98364500D01* -X112145000Y-98135500D01* -X112145551Y-98124277D01* -X112147200Y-98113162D01* -X112149930Y-98102262D01* -X112153716Y-98091683D01* -X112158520Y-98081525D01* -X112164297Y-98071887D01* -X112170990Y-98062862D01* -X112178536Y-98054536D01* -X112186862Y-98046990D01* -X112195887Y-98040297D01* -X112205525Y-98034520D01* -X112215683Y-98029716D01* -X112226262Y-98025930D01* -X112237162Y-98023200D01* -X112248277Y-98021551D01* -X112259500Y-98021000D01* -X113540500Y-98021000D01* -X113551723Y-98021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-98821551D02* -G01* -X113562838Y-98823200D01* -X113573738Y-98825930D01* -X113584317Y-98829716D01* -X113594475Y-98834520D01* -X113604113Y-98840297D01* -X113613138Y-98846990D01* -X113621464Y-98854536D01* -X113629010Y-98862862D01* -X113635703Y-98871887D01* -X113641480Y-98881525D01* -X113646284Y-98891683D01* -X113650070Y-98902262D01* -X113652800Y-98913162D01* -X113654449Y-98924277D01* -X113655000Y-98935500D01* -X113655000Y-99164500D01* -X113654449Y-99175723D01* -X113652800Y-99186838D01* -X113650070Y-99197738D01* -X113646284Y-99208317D01* -X113641480Y-99218475D01* -X113635703Y-99228113D01* -X113629010Y-99237138D01* -X113621464Y-99245464D01* -X113613138Y-99253010D01* -X113604113Y-99259703D01* -X113594475Y-99265480D01* -X113584317Y-99270284D01* -X113573738Y-99274070D01* -X113562838Y-99276800D01* -X113551723Y-99278449D01* -X113540500Y-99279000D01* -X112259500Y-99279000D01* -X112248277Y-99278449D01* -X112237162Y-99276800D01* -X112226262Y-99274070D01* -X112215683Y-99270284D01* -X112205525Y-99265480D01* -X112195887Y-99259703D01* -X112186862Y-99253010D01* -X112178536Y-99245464D01* -X112170990Y-99237138D01* -X112164297Y-99228113D01* -X112158520Y-99218475D01* -X112153716Y-99208317D01* -X112149930Y-99197738D01* -X112147200Y-99186838D01* -X112145551Y-99175723D01* -X112145000Y-99164500D01* -X112145000Y-98935500D01* -X112145551Y-98924277D01* -X112147200Y-98913162D01* -X112149930Y-98902262D01* -X112153716Y-98891683D01* -X112158520Y-98881525D01* -X112164297Y-98871887D01* -X112170990Y-98862862D01* -X112178536Y-98854536D01* -X112186862Y-98846990D01* -X112195887Y-98840297D01* -X112205525Y-98834520D01* -X112215683Y-98829716D01* -X112226262Y-98825930D01* -X112237162Y-98823200D01* -X112248277Y-98821551D01* -X112259500Y-98821000D01* -X113540500Y-98821000D01* -X113551723Y-98821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-99621551D02* -G01* -X113562838Y-99623200D01* -X113573738Y-99625930D01* -X113584317Y-99629716D01* -X113594475Y-99634520D01* -X113604113Y-99640297D01* -X113613138Y-99646990D01* -X113621464Y-99654536D01* -X113629010Y-99662862D01* -X113635703Y-99671887D01* -X113641480Y-99681525D01* -X113646284Y-99691683D01* -X113650070Y-99702262D01* -X113652800Y-99713162D01* -X113654449Y-99724277D01* -X113655000Y-99735500D01* -X113655000Y-99964500D01* -X113654449Y-99975723D01* -X113652800Y-99986838D01* -X113650070Y-99997738D01* -X113646284Y-100008317D01* -X113641480Y-100018475D01* -X113635703Y-100028113D01* -X113629010Y-100037138D01* -X113621464Y-100045464D01* -X113613138Y-100053010D01* -X113604113Y-100059703D01* -X113594475Y-100065480D01* -X113584317Y-100070284D01* -X113573738Y-100074070D01* -X113562838Y-100076800D01* -X113551723Y-100078449D01* -X113540500Y-100079000D01* -X112259500Y-100079000D01* -X112248277Y-100078449D01* -X112237162Y-100076800D01* -X112226262Y-100074070D01* -X112215683Y-100070284D01* -X112205525Y-100065480D01* -X112195887Y-100059703D01* -X112186862Y-100053010D01* -X112178536Y-100045464D01* -X112170990Y-100037138D01* -X112164297Y-100028113D01* -X112158520Y-100018475D01* -X112153716Y-100008317D01* -X112149930Y-99997738D01* -X112147200Y-99986838D01* -X112145551Y-99975723D01* -X112145000Y-99964500D01* -X112145000Y-99735500D01* -X112145551Y-99724277D01* -X112147200Y-99713162D01* -X112149930Y-99702262D01* -X112153716Y-99691683D01* -X112158520Y-99681525D01* -X112164297Y-99671887D01* -X112170990Y-99662862D01* -X112178536Y-99654536D01* -X112186862Y-99646990D01* -X112195887Y-99640297D01* -X112205525Y-99634520D01* -X112215683Y-99629716D01* -X112226262Y-99625930D01* -X112237162Y-99623200D01* -X112248277Y-99621551D01* -X112259500Y-99621000D01* -X113540500Y-99621000D01* -X113551723Y-99621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-100421551D02* -G01* -X113562838Y-100423200D01* -X113573738Y-100425930D01* -X113584317Y-100429716D01* -X113594475Y-100434520D01* -X113604113Y-100440297D01* -X113613138Y-100446990D01* -X113621464Y-100454536D01* -X113629010Y-100462862D01* -X113635703Y-100471887D01* -X113641480Y-100481525D01* -X113646284Y-100491683D01* -X113650070Y-100502262D01* -X113652800Y-100513162D01* -X113654449Y-100524277D01* -X113655000Y-100535500D01* -X113655000Y-100764500D01* -X113654449Y-100775723D01* -X113652800Y-100786838D01* -X113650070Y-100797738D01* -X113646284Y-100808317D01* -X113641480Y-100818475D01* -X113635703Y-100828113D01* -X113629010Y-100837138D01* -X113621464Y-100845464D01* -X113613138Y-100853010D01* -X113604113Y-100859703D01* -X113594475Y-100865480D01* -X113584317Y-100870284D01* -X113573738Y-100874070D01* -X113562838Y-100876800D01* -X113551723Y-100878449D01* -X113540500Y-100879000D01* -X112259500Y-100879000D01* -X112248277Y-100878449D01* -X112237162Y-100876800D01* -X112226262Y-100874070D01* -X112215683Y-100870284D01* -X112205525Y-100865480D01* -X112195887Y-100859703D01* -X112186862Y-100853010D01* -X112178536Y-100845464D01* -X112170990Y-100837138D01* -X112164297Y-100828113D01* -X112158520Y-100818475D01* -X112153716Y-100808317D01* -X112149930Y-100797738D01* -X112147200Y-100786838D01* -X112145551Y-100775723D01* -X112145000Y-100764500D01* -X112145000Y-100535500D01* -X112145551Y-100524277D01* -X112147200Y-100513162D01* -X112149930Y-100502262D01* -X112153716Y-100491683D01* -X112158520Y-100481525D01* -X112164297Y-100471887D01* -X112170990Y-100462862D01* -X112178536Y-100454536D01* -X112186862Y-100446990D01* -X112195887Y-100440297D01* -X112205525Y-100434520D01* -X112215683Y-100429716D01* -X112226262Y-100425930D01* -X112237162Y-100423200D01* -X112248277Y-100421551D01* -X112259500Y-100421000D01* -X113540500Y-100421000D01* -X113551723Y-100421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-101221551D02* -G01* -X113562838Y-101223200D01* -X113573738Y-101225930D01* -X113584317Y-101229716D01* -X113594475Y-101234520D01* -X113604113Y-101240297D01* -X113613138Y-101246990D01* -X113621464Y-101254536D01* -X113629010Y-101262862D01* -X113635703Y-101271887D01* -X113641480Y-101281525D01* -X113646284Y-101291683D01* -X113650070Y-101302262D01* -X113652800Y-101313162D01* -X113654449Y-101324277D01* -X113655000Y-101335500D01* -X113655000Y-101564500D01* -X113654449Y-101575723D01* -X113652800Y-101586838D01* -X113650070Y-101597738D01* -X113646284Y-101608317D01* -X113641480Y-101618475D01* -X113635703Y-101628113D01* -X113629010Y-101637138D01* -X113621464Y-101645464D01* -X113613138Y-101653010D01* -X113604113Y-101659703D01* -X113594475Y-101665480D01* -X113584317Y-101670284D01* -X113573738Y-101674070D01* -X113562838Y-101676800D01* -X113551723Y-101678449D01* -X113540500Y-101679000D01* -X112259500Y-101679000D01* -X112248277Y-101678449D01* -X112237162Y-101676800D01* -X112226262Y-101674070D01* -X112215683Y-101670284D01* -X112205525Y-101665480D01* -X112195887Y-101659703D01* -X112186862Y-101653010D01* -X112178536Y-101645464D01* -X112170990Y-101637138D01* -X112164297Y-101628113D01* -X112158520Y-101618475D01* -X112153716Y-101608317D01* -X112149930Y-101597738D01* -X112147200Y-101586838D01* -X112145551Y-101575723D01* -X112145000Y-101564500D01* -X112145000Y-101335500D01* -X112145551Y-101324277D01* -X112147200Y-101313162D01* -X112149930Y-101302262D01* -X112153716Y-101291683D01* -X112158520Y-101281525D01* -X112164297Y-101271887D01* -X112170990Y-101262862D01* -X112178536Y-101254536D01* -X112186862Y-101246990D01* -X112195887Y-101240297D01* -X112205525Y-101234520D01* -X112215683Y-101229716D01* -X112226262Y-101225930D01* -X112237162Y-101223200D01* -X112248277Y-101221551D01* -X112259500Y-101221000D01* -X113540500Y-101221000D01* -X113551723Y-101221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-102021551D02* -G01* -X113562838Y-102023200D01* -X113573738Y-102025930D01* -X113584317Y-102029716D01* -X113594475Y-102034520D01* -X113604113Y-102040297D01* -X113613138Y-102046990D01* -X113621464Y-102054536D01* -X113629010Y-102062862D01* -X113635703Y-102071887D01* -X113641480Y-102081525D01* -X113646284Y-102091683D01* -X113650070Y-102102262D01* -X113652800Y-102113162D01* -X113654449Y-102124277D01* -X113655000Y-102135500D01* -X113655000Y-102364500D01* -X113654449Y-102375723D01* -X113652800Y-102386838D01* -X113650070Y-102397738D01* -X113646284Y-102408317D01* -X113641480Y-102418475D01* -X113635703Y-102428113D01* -X113629010Y-102437138D01* -X113621464Y-102445464D01* -X113613138Y-102453010D01* -X113604113Y-102459703D01* -X113594475Y-102465480D01* -X113584317Y-102470284D01* -X113573738Y-102474070D01* -X113562838Y-102476800D01* -X113551723Y-102478449D01* -X113540500Y-102479000D01* -X112259500Y-102479000D01* -X112248277Y-102478449D01* -X112237162Y-102476800D01* -X112226262Y-102474070D01* -X112215683Y-102470284D01* -X112205525Y-102465480D01* -X112195887Y-102459703D01* -X112186862Y-102453010D01* -X112178536Y-102445464D01* -X112170990Y-102437138D01* -X112164297Y-102428113D01* -X112158520Y-102418475D01* -X112153716Y-102408317D01* -X112149930Y-102397738D01* -X112147200Y-102386838D01* -X112145551Y-102375723D01* -X112145000Y-102364500D01* -X112145000Y-102135500D01* -X112145551Y-102124277D01* -X112147200Y-102113162D01* -X112149930Y-102102262D01* -X112153716Y-102091683D01* -X112158520Y-102081525D01* -X112164297Y-102071887D01* -X112170990Y-102062862D01* -X112178536Y-102054536D01* -X112186862Y-102046990D01* -X112195887Y-102040297D01* -X112205525Y-102034520D01* -X112215683Y-102029716D01* -X112226262Y-102025930D01* -X112237162Y-102023200D01* -X112248277Y-102021551D01* -X112259500Y-102021000D01* -X113540500Y-102021000D01* -X113551723Y-102021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-102821551D02* -G01* -X113562838Y-102823200D01* -X113573738Y-102825930D01* -X113584317Y-102829716D01* -X113594475Y-102834520D01* -X113604113Y-102840297D01* -X113613138Y-102846990D01* -X113621464Y-102854536D01* -X113629010Y-102862862D01* -X113635703Y-102871887D01* -X113641480Y-102881525D01* -X113646284Y-102891683D01* -X113650070Y-102902262D01* -X113652800Y-102913162D01* -X113654449Y-102924277D01* -X113655000Y-102935500D01* -X113655000Y-103164500D01* -X113654449Y-103175723D01* -X113652800Y-103186838D01* -X113650070Y-103197738D01* -X113646284Y-103208317D01* -X113641480Y-103218475D01* -X113635703Y-103228113D01* -X113629010Y-103237138D01* -X113621464Y-103245464D01* -X113613138Y-103253010D01* -X113604113Y-103259703D01* -X113594475Y-103265480D01* -X113584317Y-103270284D01* -X113573738Y-103274070D01* -X113562838Y-103276800D01* -X113551723Y-103278449D01* -X113540500Y-103279000D01* -X112259500Y-103279000D01* -X112248277Y-103278449D01* -X112237162Y-103276800D01* -X112226262Y-103274070D01* -X112215683Y-103270284D01* -X112205525Y-103265480D01* -X112195887Y-103259703D01* -X112186862Y-103253010D01* -X112178536Y-103245464D01* -X112170990Y-103237138D01* -X112164297Y-103228113D01* -X112158520Y-103218475D01* -X112153716Y-103208317D01* -X112149930Y-103197738D01* -X112147200Y-103186838D01* -X112145551Y-103175723D01* -X112145000Y-103164500D01* -X112145000Y-102935500D01* -X112145551Y-102924277D01* -X112147200Y-102913162D01* -X112149930Y-102902262D01* -X112153716Y-102891683D01* -X112158520Y-102881525D01* -X112164297Y-102871887D01* -X112170990Y-102862862D01* -X112178536Y-102854536D01* -X112186862Y-102846990D01* -X112195887Y-102840297D01* -X112205525Y-102834520D01* -X112215683Y-102829716D01* -X112226262Y-102825930D01* -X112237162Y-102823200D01* -X112248277Y-102821551D01* -X112259500Y-102821000D01* -X113540500Y-102821000D01* -X113551723Y-102821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-103621551D02* -G01* -X113562838Y-103623200D01* -X113573738Y-103625930D01* -X113584317Y-103629716D01* -X113594475Y-103634520D01* -X113604113Y-103640297D01* -X113613138Y-103646990D01* -X113621464Y-103654536D01* -X113629010Y-103662862D01* -X113635703Y-103671887D01* -X113641480Y-103681525D01* -X113646284Y-103691683D01* -X113650070Y-103702262D01* -X113652800Y-103713162D01* -X113654449Y-103724277D01* -X113655000Y-103735500D01* -X113655000Y-103964500D01* -X113654449Y-103975723D01* -X113652800Y-103986838D01* -X113650070Y-103997738D01* -X113646284Y-104008317D01* -X113641480Y-104018475D01* -X113635703Y-104028113D01* -X113629010Y-104037138D01* -X113621464Y-104045464D01* -X113613138Y-104053010D01* -X113604113Y-104059703D01* -X113594475Y-104065480D01* -X113584317Y-104070284D01* -X113573738Y-104074070D01* -X113562838Y-104076800D01* -X113551723Y-104078449D01* -X113540500Y-104079000D01* -X112259500Y-104079000D01* -X112248277Y-104078449D01* -X112237162Y-104076800D01* -X112226262Y-104074070D01* -X112215683Y-104070284D01* -X112205525Y-104065480D01* -X112195887Y-104059703D01* -X112186862Y-104053010D01* -X112178536Y-104045464D01* -X112170990Y-104037138D01* -X112164297Y-104028113D01* -X112158520Y-104018475D01* -X112153716Y-104008317D01* -X112149930Y-103997738D01* -X112147200Y-103986838D01* -X112145551Y-103975723D01* -X112145000Y-103964500D01* -X112145000Y-103735500D01* -X112145551Y-103724277D01* -X112147200Y-103713162D01* -X112149930Y-103702262D01* -X112153716Y-103691683D01* -X112158520Y-103681525D01* -X112164297Y-103671887D01* -X112170990Y-103662862D01* -X112178536Y-103654536D01* -X112186862Y-103646990D01* -X112195887Y-103640297D01* -X112205525Y-103634520D01* -X112215683Y-103629716D01* -X112226262Y-103625930D01* -X112237162Y-103623200D01* -X112248277Y-103621551D01* -X112259500Y-103621000D01* -X113540500Y-103621000D01* -X113551723Y-103621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-104421551D02* -G01* -X113562838Y-104423200D01* -X113573738Y-104425930D01* -X113584317Y-104429716D01* -X113594475Y-104434520D01* -X113604113Y-104440297D01* -X113613138Y-104446990D01* -X113621464Y-104454536D01* -X113629010Y-104462862D01* -X113635703Y-104471887D01* -X113641480Y-104481525D01* -X113646284Y-104491683D01* -X113650070Y-104502262D01* -X113652800Y-104513162D01* -X113654449Y-104524277D01* -X113655000Y-104535500D01* -X113655000Y-104764500D01* -X113654449Y-104775723D01* -X113652800Y-104786838D01* -X113650070Y-104797738D01* -X113646284Y-104808317D01* -X113641480Y-104818475D01* -X113635703Y-104828113D01* -X113629010Y-104837138D01* -X113621464Y-104845464D01* -X113613138Y-104853010D01* -X113604113Y-104859703D01* -X113594475Y-104865480D01* -X113584317Y-104870284D01* -X113573738Y-104874070D01* -X113562838Y-104876800D01* -X113551723Y-104878449D01* -X113540500Y-104879000D01* -X112259500Y-104879000D01* -X112248277Y-104878449D01* -X112237162Y-104876800D01* -X112226262Y-104874070D01* -X112215683Y-104870284D01* -X112205525Y-104865480D01* -X112195887Y-104859703D01* -X112186862Y-104853010D01* -X112178536Y-104845464D01* -X112170990Y-104837138D01* -X112164297Y-104828113D01* -X112158520Y-104818475D01* -X112153716Y-104808317D01* -X112149930Y-104797738D01* -X112147200Y-104786838D01* -X112145551Y-104775723D01* -X112145000Y-104764500D01* -X112145000Y-104535500D01* -X112145551Y-104524277D01* -X112147200Y-104513162D01* -X112149930Y-104502262D01* -X112153716Y-104491683D01* -X112158520Y-104481525D01* -X112164297Y-104471887D01* -X112170990Y-104462862D01* -X112178536Y-104454536D01* -X112186862Y-104446990D01* -X112195887Y-104440297D01* -X112205525Y-104434520D01* -X112215683Y-104429716D01* -X112226262Y-104425930D01* -X112237162Y-104423200D01* -X112248277Y-104421551D01* -X112259500Y-104421000D01* -X113540500Y-104421000D01* -X113551723Y-104421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-105221551D02* -G01* -X113562838Y-105223200D01* -X113573738Y-105225930D01* -X113584317Y-105229716D01* -X113594475Y-105234520D01* -X113604113Y-105240297D01* -X113613138Y-105246990D01* -X113621464Y-105254536D01* -X113629010Y-105262862D01* -X113635703Y-105271887D01* -X113641480Y-105281525D01* -X113646284Y-105291683D01* -X113650070Y-105302262D01* -X113652800Y-105313162D01* -X113654449Y-105324277D01* -X113655000Y-105335500D01* -X113655000Y-105564500D01* -X113654449Y-105575723D01* -X113652800Y-105586838D01* -X113650070Y-105597738D01* -X113646284Y-105608317D01* -X113641480Y-105618475D01* -X113635703Y-105628113D01* -X113629010Y-105637138D01* -X113621464Y-105645464D01* -X113613138Y-105653010D01* -X113604113Y-105659703D01* -X113594475Y-105665480D01* -X113584317Y-105670284D01* -X113573738Y-105674070D01* -X113562838Y-105676800D01* -X113551723Y-105678449D01* -X113540500Y-105679000D01* -X112259500Y-105679000D01* -X112248277Y-105678449D01* -X112237162Y-105676800D01* -X112226262Y-105674070D01* -X112215683Y-105670284D01* -X112205525Y-105665480D01* -X112195887Y-105659703D01* -X112186862Y-105653010D01* -X112178536Y-105645464D01* -X112170990Y-105637138D01* -X112164297Y-105628113D01* -X112158520Y-105618475D01* -X112153716Y-105608317D01* -X112149930Y-105597738D01* -X112147200Y-105586838D01* -X112145551Y-105575723D01* -X112145000Y-105564500D01* -X112145000Y-105335500D01* -X112145551Y-105324277D01* -X112147200Y-105313162D01* -X112149930Y-105302262D01* -X112153716Y-105291683D01* -X112158520Y-105281525D01* -X112164297Y-105271887D01* -X112170990Y-105262862D01* -X112178536Y-105254536D01* -X112186862Y-105246990D01* -X112195887Y-105240297D01* -X112205525Y-105234520D01* -X112215683Y-105229716D01* -X112226262Y-105225930D01* -X112237162Y-105223200D01* -X112248277Y-105221551D01* -X112259500Y-105221000D01* -X113540500Y-105221000D01* -X113551723Y-105221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-106021551D02* -G01* -X113562838Y-106023200D01* -X113573738Y-106025930D01* -X113584317Y-106029716D01* -X113594475Y-106034520D01* -X113604113Y-106040297D01* -X113613138Y-106046990D01* -X113621464Y-106054536D01* -X113629010Y-106062862D01* -X113635703Y-106071887D01* -X113641480Y-106081525D01* -X113646284Y-106091683D01* -X113650070Y-106102262D01* -X113652800Y-106113162D01* -X113654449Y-106124277D01* -X113655000Y-106135500D01* -X113655000Y-106364500D01* -X113654449Y-106375723D01* -X113652800Y-106386838D01* -X113650070Y-106397738D01* -X113646284Y-106408317D01* -X113641480Y-106418475D01* -X113635703Y-106428113D01* -X113629010Y-106437138D01* -X113621464Y-106445464D01* -X113613138Y-106453010D01* -X113604113Y-106459703D01* -X113594475Y-106465480D01* -X113584317Y-106470284D01* -X113573738Y-106474070D01* -X113562838Y-106476800D01* -X113551723Y-106478449D01* -X113540500Y-106479000D01* -X112259500Y-106479000D01* -X112248277Y-106478449D01* -X112237162Y-106476800D01* -X112226262Y-106474070D01* -X112215683Y-106470284D01* -X112205525Y-106465480D01* -X112195887Y-106459703D01* -X112186862Y-106453010D01* -X112178536Y-106445464D01* -X112170990Y-106437138D01* -X112164297Y-106428113D01* -X112158520Y-106418475D01* -X112153716Y-106408317D01* -X112149930Y-106397738D01* -X112147200Y-106386838D01* -X112145551Y-106375723D01* -X112145000Y-106364500D01* -X112145000Y-106135500D01* -X112145551Y-106124277D01* -X112147200Y-106113162D01* -X112149930Y-106102262D01* -X112153716Y-106091683D01* -X112158520Y-106081525D01* -X112164297Y-106071887D01* -X112170990Y-106062862D01* -X112178536Y-106054536D01* -X112186862Y-106046990D01* -X112195887Y-106040297D01* -X112205525Y-106034520D01* -X112215683Y-106029716D01* -X112226262Y-106025930D01* -X112237162Y-106023200D01* -X112248277Y-106021551D01* -X112259500Y-106021000D01* -X113540500Y-106021000D01* -X113551723Y-106021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-106821551D02* -G01* -X113562838Y-106823200D01* -X113573738Y-106825930D01* -X113584317Y-106829716D01* -X113594475Y-106834520D01* -X113604113Y-106840297D01* -X113613138Y-106846990D01* -X113621464Y-106854536D01* -X113629010Y-106862862D01* -X113635703Y-106871887D01* -X113641480Y-106881525D01* -X113646284Y-106891683D01* -X113650070Y-106902262D01* -X113652800Y-106913162D01* -X113654449Y-106924277D01* -X113655000Y-106935500D01* -X113655000Y-107164500D01* -X113654449Y-107175723D01* -X113652800Y-107186838D01* -X113650070Y-107197738D01* -X113646284Y-107208317D01* -X113641480Y-107218475D01* -X113635703Y-107228113D01* -X113629010Y-107237138D01* -X113621464Y-107245464D01* -X113613138Y-107253010D01* -X113604113Y-107259703D01* -X113594475Y-107265480D01* -X113584317Y-107270284D01* -X113573738Y-107274070D01* -X113562838Y-107276800D01* -X113551723Y-107278449D01* -X113540500Y-107279000D01* -X112259500Y-107279000D01* -X112248277Y-107278449D01* -X112237162Y-107276800D01* -X112226262Y-107274070D01* -X112215683Y-107270284D01* -X112205525Y-107265480D01* -X112195887Y-107259703D01* -X112186862Y-107253010D01* -X112178536Y-107245464D01* -X112170990Y-107237138D01* -X112164297Y-107228113D01* -X112158520Y-107218475D01* -X112153716Y-107208317D01* -X112149930Y-107197738D01* -X112147200Y-107186838D01* -X112145551Y-107175723D01* -X112145000Y-107164500D01* -X112145000Y-106935500D01* -X112145551Y-106924277D01* -X112147200Y-106913162D01* -X112149930Y-106902262D01* -X112153716Y-106891683D01* -X112158520Y-106881525D01* -X112164297Y-106871887D01* -X112170990Y-106862862D01* -X112178536Y-106854536D01* -X112186862Y-106846990D01* -X112195887Y-106840297D01* -X112205525Y-106834520D01* -X112215683Y-106829716D01* -X112226262Y-106825930D01* -X112237162Y-106823200D01* -X112248277Y-106821551D01* -X112259500Y-106821000D01* -X113540500Y-106821000D01* -X113551723Y-106821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-107621551D02* -G01* -X113562838Y-107623200D01* -X113573738Y-107625930D01* -X113584317Y-107629716D01* -X113594475Y-107634520D01* -X113604113Y-107640297D01* -X113613138Y-107646990D01* -X113621464Y-107654536D01* -X113629010Y-107662862D01* -X113635703Y-107671887D01* -X113641480Y-107681525D01* -X113646284Y-107691683D01* -X113650070Y-107702262D01* -X113652800Y-107713162D01* -X113654449Y-107724277D01* -X113655000Y-107735500D01* -X113655000Y-107964500D01* -X113654449Y-107975723D01* -X113652800Y-107986838D01* -X113650070Y-107997738D01* -X113646284Y-108008317D01* -X113641480Y-108018475D01* -X113635703Y-108028113D01* -X113629010Y-108037138D01* -X113621464Y-108045464D01* -X113613138Y-108053010D01* -X113604113Y-108059703D01* -X113594475Y-108065480D01* -X113584317Y-108070284D01* -X113573738Y-108074070D01* -X113562838Y-108076800D01* -X113551723Y-108078449D01* -X113540500Y-108079000D01* -X112259500Y-108079000D01* -X112248277Y-108078449D01* -X112237162Y-108076800D01* -X112226262Y-108074070D01* -X112215683Y-108070284D01* -X112205525Y-108065480D01* -X112195887Y-108059703D01* -X112186862Y-108053010D01* -X112178536Y-108045464D01* -X112170990Y-108037138D01* -X112164297Y-108028113D01* -X112158520Y-108018475D01* -X112153716Y-108008317D01* -X112149930Y-107997738D01* -X112147200Y-107986838D01* -X112145551Y-107975723D01* -X112145000Y-107964500D01* -X112145000Y-107735500D01* -X112145551Y-107724277D01* -X112147200Y-107713162D01* -X112149930Y-107702262D01* -X112153716Y-107691683D01* -X112158520Y-107681525D01* -X112164297Y-107671887D01* -X112170990Y-107662862D01* -X112178536Y-107654536D01* -X112186862Y-107646990D01* -X112195887Y-107640297D01* -X112205525Y-107634520D01* -X112215683Y-107629716D01* -X112226262Y-107625930D01* -X112237162Y-107623200D01* -X112248277Y-107621551D01* -X112259500Y-107621000D01* -X113540500Y-107621000D01* -X113551723Y-107621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-108421551D02* -G01* -X113562838Y-108423200D01* -X113573738Y-108425930D01* -X113584317Y-108429716D01* -X113594475Y-108434520D01* -X113604113Y-108440297D01* -X113613138Y-108446990D01* -X113621464Y-108454536D01* -X113629010Y-108462862D01* -X113635703Y-108471887D01* -X113641480Y-108481525D01* -X113646284Y-108491683D01* -X113650070Y-108502262D01* -X113652800Y-108513162D01* -X113654449Y-108524277D01* -X113655000Y-108535500D01* -X113655000Y-108764500D01* -X113654449Y-108775723D01* -X113652800Y-108786838D01* -X113650070Y-108797738D01* -X113646284Y-108808317D01* -X113641480Y-108818475D01* -X113635703Y-108828113D01* -X113629010Y-108837138D01* -X113621464Y-108845464D01* -X113613138Y-108853010D01* -X113604113Y-108859703D01* -X113594475Y-108865480D01* -X113584317Y-108870284D01* -X113573738Y-108874070D01* -X113562838Y-108876800D01* -X113551723Y-108878449D01* -X113540500Y-108879000D01* -X112259500Y-108879000D01* -X112248277Y-108878449D01* -X112237162Y-108876800D01* -X112226262Y-108874070D01* -X112215683Y-108870284D01* -X112205525Y-108865480D01* -X112195887Y-108859703D01* -X112186862Y-108853010D01* -X112178536Y-108845464D01* -X112170990Y-108837138D01* -X112164297Y-108828113D01* -X112158520Y-108818475D01* -X112153716Y-108808317D01* -X112149930Y-108797738D01* -X112147200Y-108786838D01* -X112145551Y-108775723D01* -X112145000Y-108764500D01* -X112145000Y-108535500D01* -X112145551Y-108524277D01* -X112147200Y-108513162D01* -X112149930Y-108502262D01* -X112153716Y-108491683D01* -X112158520Y-108481525D01* -X112164297Y-108471887D01* -X112170990Y-108462862D01* -X112178536Y-108454536D01* -X112186862Y-108446990D01* -X112195887Y-108440297D01* -X112205525Y-108434520D01* -X112215683Y-108429716D01* -X112226262Y-108425930D01* -X112237162Y-108423200D01* -X112248277Y-108421551D01* -X112259500Y-108421000D01* -X113540500Y-108421000D01* -X113551723Y-108421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-109221551D02* -G01* -X113562838Y-109223200D01* -X113573738Y-109225930D01* -X113584317Y-109229716D01* -X113594475Y-109234520D01* -X113604113Y-109240297D01* -X113613138Y-109246990D01* -X113621464Y-109254536D01* -X113629010Y-109262862D01* -X113635703Y-109271887D01* -X113641480Y-109281525D01* -X113646284Y-109291683D01* -X113650070Y-109302262D01* -X113652800Y-109313162D01* -X113654449Y-109324277D01* -X113655000Y-109335500D01* -X113655000Y-109564500D01* -X113654449Y-109575723D01* -X113652800Y-109586838D01* -X113650070Y-109597738D01* -X113646284Y-109608317D01* -X113641480Y-109618475D01* -X113635703Y-109628113D01* -X113629010Y-109637138D01* -X113621464Y-109645464D01* -X113613138Y-109653010D01* -X113604113Y-109659703D01* -X113594475Y-109665480D01* -X113584317Y-109670284D01* -X113573738Y-109674070D01* -X113562838Y-109676800D01* -X113551723Y-109678449D01* -X113540500Y-109679000D01* -X112259500Y-109679000D01* -X112248277Y-109678449D01* -X112237162Y-109676800D01* -X112226262Y-109674070D01* -X112215683Y-109670284D01* -X112205525Y-109665480D01* -X112195887Y-109659703D01* -X112186862Y-109653010D01* -X112178536Y-109645464D01* -X112170990Y-109637138D01* -X112164297Y-109628113D01* -X112158520Y-109618475D01* -X112153716Y-109608317D01* -X112149930Y-109597738D01* -X112147200Y-109586838D01* -X112145551Y-109575723D01* -X112145000Y-109564500D01* -X112145000Y-109335500D01* -X112145551Y-109324277D01* -X112147200Y-109313162D01* -X112149930Y-109302262D01* -X112153716Y-109291683D01* -X112158520Y-109281525D01* -X112164297Y-109271887D01* -X112170990Y-109262862D01* -X112178536Y-109254536D01* -X112186862Y-109246990D01* -X112195887Y-109240297D01* -X112205525Y-109234520D01* -X112215683Y-109229716D01* -X112226262Y-109225930D01* -X112237162Y-109223200D01* -X112248277Y-109221551D01* -X112259500Y-109221000D01* -X113540500Y-109221000D01* -X113551723Y-109221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-110021551D02* -G01* -X113562838Y-110023200D01* -X113573738Y-110025930D01* -X113584317Y-110029716D01* -X113594475Y-110034520D01* -X113604113Y-110040297D01* -X113613138Y-110046990D01* -X113621464Y-110054536D01* -X113629010Y-110062862D01* -X113635703Y-110071887D01* -X113641480Y-110081525D01* -X113646284Y-110091683D01* -X113650070Y-110102262D01* -X113652800Y-110113162D01* -X113654449Y-110124277D01* -X113655000Y-110135500D01* -X113655000Y-110364500D01* -X113654449Y-110375723D01* -X113652800Y-110386838D01* -X113650070Y-110397738D01* -X113646284Y-110408317D01* -X113641480Y-110418475D01* -X113635703Y-110428113D01* -X113629010Y-110437138D01* -X113621464Y-110445464D01* -X113613138Y-110453010D01* -X113604113Y-110459703D01* -X113594475Y-110465480D01* -X113584317Y-110470284D01* -X113573738Y-110474070D01* -X113562838Y-110476800D01* -X113551723Y-110478449D01* -X113540500Y-110479000D01* -X112259500Y-110479000D01* -X112248277Y-110478449D01* -X112237162Y-110476800D01* -X112226262Y-110474070D01* -X112215683Y-110470284D01* -X112205525Y-110465480D01* -X112195887Y-110459703D01* -X112186862Y-110453010D01* -X112178536Y-110445464D01* -X112170990Y-110437138D01* -X112164297Y-110428113D01* -X112158520Y-110418475D01* -X112153716Y-110408317D01* -X112149930Y-110397738D01* -X112147200Y-110386838D01* -X112145551Y-110375723D01* -X112145000Y-110364500D01* -X112145000Y-110135500D01* -X112145551Y-110124277D01* -X112147200Y-110113162D01* -X112149930Y-110102262D01* -X112153716Y-110091683D01* -X112158520Y-110081525D01* -X112164297Y-110071887D01* -X112170990Y-110062862D01* -X112178536Y-110054536D01* -X112186862Y-110046990D01* -X112195887Y-110040297D01* -X112205525Y-110034520D01* -X112215683Y-110029716D01* -X112226262Y-110025930D01* -X112237162Y-110023200D01* -X112248277Y-110021551D01* -X112259500Y-110021000D01* -X113540500Y-110021000D01* -X113551723Y-110021551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-110821551D02* -G01* -X113562838Y-110823200D01* -X113573738Y-110825930D01* -X113584317Y-110829716D01* -X113594475Y-110834520D01* -X113604113Y-110840297D01* -X113613138Y-110846990D01* -X113621464Y-110854536D01* -X113629010Y-110862862D01* -X113635703Y-110871887D01* -X113641480Y-110881525D01* -X113646284Y-110891683D01* -X113650070Y-110902262D01* -X113652800Y-110913162D01* -X113654449Y-110924277D01* -X113655000Y-110935500D01* -X113655000Y-111164500D01* -X113654449Y-111175723D01* -X113652800Y-111186838D01* -X113650070Y-111197738D01* -X113646284Y-111208317D01* -X113641480Y-111218475D01* -X113635703Y-111228113D01* -X113629010Y-111237138D01* -X113621464Y-111245464D01* -X113613138Y-111253010D01* -X113604113Y-111259703D01* -X113594475Y-111265480D01* -X113584317Y-111270284D01* -X113573738Y-111274070D01* -X113562838Y-111276800D01* -X113551723Y-111278449D01* -X113540500Y-111279000D01* -X112259500Y-111279000D01* -X112248277Y-111278449D01* -X112237162Y-111276800D01* -X112226262Y-111274070D01* -X112215683Y-111270284D01* -X112205525Y-111265480D01* -X112195887Y-111259703D01* -X112186862Y-111253010D01* -X112178536Y-111245464D01* -X112170990Y-111237138D01* -X112164297Y-111228113D01* -X112158520Y-111218475D01* -X112153716Y-111208317D01* -X112149930Y-111197738D01* -X112147200Y-111186838D01* -X112145551Y-111175723D01* -X112145000Y-111164500D01* -X112145000Y-110935500D01* -X112145551Y-110924277D01* -X112147200Y-110913162D01* -X112149930Y-110902262D01* -X112153716Y-110891683D01* -X112158520Y-110881525D01* -X112164297Y-110871887D01* -X112170990Y-110862862D01* -X112178536Y-110854536D01* -X112186862Y-110846990D01* -X112195887Y-110840297D01* -X112205525Y-110834520D01* -X112215683Y-110829716D01* -X112226262Y-110825930D01* -X112237162Y-110823200D01* -X112248277Y-110821551D01* -X112259500Y-110821000D01* -X113540500Y-110821000D01* -X113551723Y-110821551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-111621551D02* -G01* -X113562838Y-111623200D01* -X113573738Y-111625930D01* -X113584317Y-111629716D01* -X113594475Y-111634520D01* -X113604113Y-111640297D01* -X113613138Y-111646990D01* -X113621464Y-111654536D01* -X113629010Y-111662862D01* -X113635703Y-111671887D01* -X113641480Y-111681525D01* -X113646284Y-111691683D01* -X113650070Y-111702262D01* -X113652800Y-111713162D01* -X113654449Y-111724277D01* -X113655000Y-111735500D01* -X113655000Y-111964500D01* -X113654449Y-111975723D01* -X113652800Y-111986838D01* -X113650070Y-111997738D01* -X113646284Y-112008317D01* -X113641480Y-112018475D01* -X113635703Y-112028113D01* -X113629010Y-112037138D01* -X113621464Y-112045464D01* -X113613138Y-112053010D01* -X113604113Y-112059703D01* -X113594475Y-112065480D01* -X113584317Y-112070284D01* -X113573738Y-112074070D01* -X113562838Y-112076800D01* -X113551723Y-112078449D01* -X113540500Y-112079000D01* -X112259500Y-112079000D01* -X112248277Y-112078449D01* -X112237162Y-112076800D01* -X112226262Y-112074070D01* -X112215683Y-112070284D01* -X112205525Y-112065480D01* -X112195887Y-112059703D01* -X112186862Y-112053010D01* -X112178536Y-112045464D01* -X112170990Y-112037138D01* -X112164297Y-112028113D01* -X112158520Y-112018475D01* -X112153716Y-112008317D01* -X112149930Y-111997738D01* -X112147200Y-111986838D01* -X112145551Y-111975723D01* -X112145000Y-111964500D01* -X112145000Y-111735500D01* -X112145551Y-111724277D01* -X112147200Y-111713162D01* -X112149930Y-111702262D01* -X112153716Y-111691683D01* -X112158520Y-111681525D01* -X112164297Y-111671887D01* -X112170990Y-111662862D01* -X112178536Y-111654536D01* -X112186862Y-111646990D01* -X112195887Y-111640297D01* -X112205525Y-111634520D01* -X112215683Y-111629716D01* -X112226262Y-111625930D01* -X112237162Y-111623200D01* -X112248277Y-111621551D01* -X112259500Y-111621000D01* -X113540500Y-111621000D01* -X113551723Y-111621551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-112421551D02* -G01* -X113562838Y-112423200D01* -X113573738Y-112425930D01* -X113584317Y-112429716D01* -X113594475Y-112434520D01* -X113604113Y-112440297D01* -X113613138Y-112446990D01* -X113621464Y-112454536D01* -X113629010Y-112462862D01* -X113635703Y-112471887D01* -X113641480Y-112481525D01* -X113646284Y-112491683D01* -X113650070Y-112502262D01* -X113652800Y-112513162D01* -X113654449Y-112524277D01* -X113655000Y-112535500D01* -X113655000Y-112764500D01* -X113654449Y-112775723D01* -X113652800Y-112786838D01* -X113650070Y-112797738D01* -X113646284Y-112808317D01* -X113641480Y-112818475D01* -X113635703Y-112828113D01* -X113629010Y-112837138D01* -X113621464Y-112845464D01* -X113613138Y-112853010D01* -X113604113Y-112859703D01* -X113594475Y-112865480D01* -X113584317Y-112870284D01* -X113573738Y-112874070D01* -X113562838Y-112876800D01* -X113551723Y-112878449D01* -X113540500Y-112879000D01* -X112259500Y-112879000D01* -X112248277Y-112878449D01* -X112237162Y-112876800D01* -X112226262Y-112874070D01* -X112215683Y-112870284D01* -X112205525Y-112865480D01* -X112195887Y-112859703D01* -X112186862Y-112853010D01* -X112178536Y-112845464D01* -X112170990Y-112837138D01* -X112164297Y-112828113D01* -X112158520Y-112818475D01* -X112153716Y-112808317D01* -X112149930Y-112797738D01* -X112147200Y-112786838D01* -X112145551Y-112775723D01* -X112145000Y-112764500D01* -X112145000Y-112535500D01* -X112145551Y-112524277D01* -X112147200Y-112513162D01* -X112149930Y-112502262D01* -X112153716Y-112491683D01* -X112158520Y-112481525D01* -X112164297Y-112471887D01* -X112170990Y-112462862D01* -X112178536Y-112454536D01* -X112186862Y-112446990D01* -X112195887Y-112440297D01* -X112205525Y-112434520D01* -X112215683Y-112429716D01* -X112226262Y-112425930D01* -X112237162Y-112423200D01* -X112248277Y-112421551D01* -X112259500Y-112421000D01* -X113540500Y-112421000D01* -X113551723Y-112421551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113551723Y-113221551D02* -G01* -X113562838Y-113223200D01* -X113573738Y-113225930D01* -X113584317Y-113229716D01* -X113594475Y-113234520D01* -X113604113Y-113240297D01* -X113613138Y-113246990D01* -X113621464Y-113254536D01* -X113629010Y-113262862D01* -X113635703Y-113271887D01* -X113641480Y-113281525D01* -X113646284Y-113291683D01* -X113650070Y-113302262D01* -X113652800Y-113313162D01* -X113654449Y-113324277D01* -X113655000Y-113335500D01* -X113655000Y-113564500D01* -X113654449Y-113575723D01* -X113652800Y-113586838D01* -X113650070Y-113597738D01* -X113646284Y-113608317D01* -X113641480Y-113618475D01* -X113635703Y-113628113D01* -X113629010Y-113637138D01* -X113621464Y-113645464D01* -X113613138Y-113653010D01* -X113604113Y-113659703D01* -X113594475Y-113665480D01* -X113584317Y-113670284D01* -X113573738Y-113674070D01* -X113562838Y-113676800D01* -X113551723Y-113678449D01* -X113540500Y-113679000D01* -X112259500Y-113679000D01* -X112248277Y-113678449D01* -X112237162Y-113676800D01* -X112226262Y-113674070D01* -X112215683Y-113670284D01* -X112205525Y-113665480D01* -X112195887Y-113659703D01* -X112186862Y-113653010D01* -X112178536Y-113645464D01* -X112170990Y-113637138D01* -X112164297Y-113628113D01* -X112158520Y-113618475D01* -X112153716Y-113608317D01* -X112149930Y-113597738D01* -X112147200Y-113586838D01* -X112145551Y-113575723D01* -X112145000Y-113564500D01* -X112145000Y-113335500D01* -X112145551Y-113324277D01* -X112147200Y-113313162D01* -X112149930Y-113302262D01* -X112153716Y-113291683D01* -X112158520Y-113281525D01* -X112164297Y-113271887D01* -X112170990Y-113262862D01* -X112178536Y-113254536D01* -X112186862Y-113246990D01* -X112195887Y-113240297D01* -X112205525Y-113234520D01* -X112215683Y-113229716D01* -X112226262Y-113225930D01* -X112237162Y-113223200D01* -X112248277Y-113221551D01* -X112259500Y-113221000D01* -X113540500Y-113221000D01* -X113551723Y-113221551D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X133213229Y-124526264D02* -G01* -X133238711Y-124530044D01* -X133263700Y-124536303D01* -X133287954Y-124544982D01* -X133311242Y-124555996D01* -X133333337Y-124569239D01* -X133354028Y-124584585D01* -X133373116Y-124601884D01* -X133390415Y-124620972D01* -X133405761Y-124641663D01* -X133419004Y-124663758D01* -X133430018Y-124687046D01* -X133438697Y-124711300D01* -X133444956Y-124736289D01* -X133448736Y-124761771D01* -X133450000Y-124787500D01* -X133450000Y-125312500D01* -X133448736Y-125338229D01* -X133444956Y-125363711D01* -X133438697Y-125388700D01* -X133430018Y-125412954D01* -X133419004Y-125436242D01* -X133405761Y-125458337D01* -X133390415Y-125479028D01* -X133373116Y-125498116D01* -X133354028Y-125515415D01* -X133333337Y-125530761D01* -X133311242Y-125544004D01* -X133287954Y-125555018D01* -X133263700Y-125563697D01* -X133238711Y-125569956D01* -X133213229Y-125573736D01* -X133187500Y-125575000D01* -X132312500Y-125575000D01* -X132286771Y-125573736D01* -X132261289Y-125569956D01* -X132236300Y-125563697D01* -X132212046Y-125555018D01* -X132188758Y-125544004D01* -X132166663Y-125530761D01* -X132145972Y-125515415D01* -X132126884Y-125498116D01* -X132109585Y-125479028D01* -X132094239Y-125458337D01* -X132080996Y-125436242D01* -X132069982Y-125412954D01* -X132061303Y-125388700D01* -X132055044Y-125363711D01* -X132051264Y-125338229D01* -X132050000Y-125312500D01* -X132050000Y-124787500D01* -X132051264Y-124761771D01* -X132055044Y-124736289D01* -X132061303Y-124711300D01* -X132069982Y-124687046D01* -X132080996Y-124663758D01* -X132094239Y-124641663D01* -X132109585Y-124620972D01* -X132126884Y-124601884D01* -X132145972Y-124584585D01* -X132166663Y-124569239D01* -X132188758Y-124555996D01* -X132212046Y-124544982D01* -X132236300Y-124536303D01* -X132261289Y-124530044D01* -X132286771Y-124526264D01* -X132312500Y-124525000D01* -X133187500Y-124525000D01* -X133213229Y-124526264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X133213229Y-122826264D02* -G01* -X133238711Y-122830044D01* -X133263700Y-122836303D01* -X133287954Y-122844982D01* -X133311242Y-122855996D01* -X133333337Y-122869239D01* -X133354028Y-122884585D01* -X133373116Y-122901884D01* -X133390415Y-122920972D01* -X133405761Y-122941663D01* -X133419004Y-122963758D01* -X133430018Y-122987046D01* -X133438697Y-123011300D01* -X133444956Y-123036289D01* -X133448736Y-123061771D01* -X133450000Y-123087500D01* -X133450000Y-123612500D01* -X133448736Y-123638229D01* -X133444956Y-123663711D01* -X133438697Y-123688700D01* -X133430018Y-123712954D01* -X133419004Y-123736242D01* -X133405761Y-123758337D01* -X133390415Y-123779028D01* -X133373116Y-123798116D01* -X133354028Y-123815415D01* -X133333337Y-123830761D01* -X133311242Y-123844004D01* -X133287954Y-123855018D01* -X133263700Y-123863697D01* -X133238711Y-123869956D01* -X133213229Y-123873736D01* -X133187500Y-123875000D01* -X132312500Y-123875000D01* -X132286771Y-123873736D01* -X132261289Y-123869956D01* -X132236300Y-123863697D01* -X132212046Y-123855018D01* -X132188758Y-123844004D01* -X132166663Y-123830761D01* -X132145972Y-123815415D01* -X132126884Y-123798116D01* -X132109585Y-123779028D01* -X132094239Y-123758337D01* -X132080996Y-123736242D01* -X132069982Y-123712954D01* -X132061303Y-123688700D01* -X132055044Y-123663711D01* -X132051264Y-123638229D01* -X132050000Y-123612500D01* -X132050000Y-123087500D01* -X132051264Y-123061771D01* -X132055044Y-123036289D01* -X132061303Y-123011300D01* -X132069982Y-122987046D01* -X132080996Y-122963758D01* -X132094239Y-122941663D01* -X132109585Y-122920972D01* -X132126884Y-122901884D01* -X132145972Y-122884585D01* -X132166663Y-122869239D01* -X132188758Y-122855996D01* -X132212046Y-122844982D01* -X132236300Y-122836303D01* -X132261289Y-122830044D01* -X132286771Y-122826264D01* -X132312500Y-122825000D01* -X133187500Y-122825000D01* -X133213229Y-122826264D01* -G37* -G04 #@! TD.AperFunction* -D14* -X61341000Y-119888000D03* -X62611000Y-119888000D03* -X63881000Y-119888000D03* -X65151000Y-119888000D03* -X66421000Y-119888000D03* -X66421000Y-118618000D03* -X65151000Y-118618000D03* -X63881000Y-118618000D03* -X62611000Y-118618000D03* -X61341000Y-118618000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -D10* -G36* -X123133329Y-114876023D02* -G01* -X123153957Y-114879083D01* -X123174185Y-114884150D01* -X123193820Y-114891176D01* -X123212672Y-114900092D01* -X123230559Y-114910813D01* -X123247309Y-114923235D01* -X123262760Y-114937240D01* -X123276765Y-114952691D01* -X123289187Y-114969441D01* -X123299908Y-114987328D01* -X123308824Y-115006180D01* -X123315850Y-115025815D01* -X123320917Y-115046043D01* -X123323977Y-115066671D01* -X123325000Y-115087500D01* -X123325000Y-115612500D01* -X123323977Y-115633329D01* -X123320917Y-115653957D01* -X123315850Y-115674185D01* -X123308824Y-115693820D01* -X123299908Y-115712672D01* -X123289187Y-115730559D01* -X123276765Y-115747309D01* -X123262760Y-115762760D01* -X123247309Y-115776765D01* -X123230559Y-115789187D01* -X123212672Y-115799908D01* -X123193820Y-115808824D01* -X123174185Y-115815850D01* -X123153957Y-115820917D01* -X123133329Y-115823977D01* -X123112500Y-115825000D01* -X122687500Y-115825000D01* -X122666671Y-115823977D01* -X122646043Y-115820917D01* -X122625815Y-115815850D01* -X122606180Y-115808824D01* -X122587328Y-115799908D01* -X122569441Y-115789187D01* -X122552691Y-115776765D01* -X122537240Y-115762760D01* -X122523235Y-115747309D01* -X122510813Y-115730559D01* -X122500092Y-115712672D01* -X122491176Y-115693820D01* -X122484150Y-115674185D01* -X122479083Y-115653957D01* -X122476023Y-115633329D01* -X122475000Y-115612500D01* -X122475000Y-115087500D01* -X122476023Y-115066671D01* -X122479083Y-115046043D01* -X122484150Y-115025815D01* -X122491176Y-115006180D01* -X122500092Y-114987328D01* -X122510813Y-114969441D01* -X122523235Y-114952691D01* -X122537240Y-114937240D01* -X122552691Y-114923235D01* -X122569441Y-114910813D01* -X122587328Y-114900092D01* -X122606180Y-114891176D01* -X122625815Y-114884150D01* -X122646043Y-114879083D01* -X122666671Y-114876023D01* -X122687500Y-114875000D01* -X123112500Y-114875000D01* -X123133329Y-114876023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X124633329Y-114876023D02* -G01* -X124653957Y-114879083D01* -X124674185Y-114884150D01* -X124693820Y-114891176D01* -X124712672Y-114900092D01* -X124730559Y-114910813D01* -X124747309Y-114923235D01* -X124762760Y-114937240D01* -X124776765Y-114952691D01* -X124789187Y-114969441D01* -X124799908Y-114987328D01* -X124808824Y-115006180D01* -X124815850Y-115025815D01* -X124820917Y-115046043D01* -X124823977Y-115066671D01* -X124825000Y-115087500D01* -X124825000Y-115612500D01* -X124823977Y-115633329D01* -X124820917Y-115653957D01* -X124815850Y-115674185D01* -X124808824Y-115693820D01* -X124799908Y-115712672D01* -X124789187Y-115730559D01* -X124776765Y-115747309D01* -X124762760Y-115762760D01* -X124747309Y-115776765D01* -X124730559Y-115789187D01* -X124712672Y-115799908D01* -X124693820Y-115808824D01* -X124674185Y-115815850D01* -X124653957Y-115820917D01* -X124633329Y-115823977D01* -X124612500Y-115825000D01* -X124187500Y-115825000D01* -X124166671Y-115823977D01* -X124146043Y-115820917D01* -X124125815Y-115815850D01* -X124106180Y-115808824D01* -X124087328Y-115799908D01* -X124069441Y-115789187D01* -X124052691Y-115776765D01* -X124037240Y-115762760D01* -X124023235Y-115747309D01* -X124010813Y-115730559D01* -X124000092Y-115712672D01* -X123991176Y-115693820D01* -X123984150Y-115674185D01* -X123979083Y-115653957D01* -X123976023Y-115633329D01* -X123975000Y-115612500D01* -X123975000Y-115087500D01* -X123976023Y-115066671D01* -X123979083Y-115046043D01* -X123984150Y-115025815D01* -X123991176Y-115006180D01* -X124000092Y-114987328D01* -X124010813Y-114969441D01* -X124023235Y-114952691D01* -X124037240Y-114937240D01* -X124052691Y-114923235D01* -X124069441Y-114910813D01* -X124087328Y-114900092D01* -X124106180Y-114891176D01* -X124125815Y-114884150D01* -X124146043Y-114879083D01* -X124166671Y-114876023D01* -X124187500Y-114875000D01* -X124612500Y-114875000D01* -X124633329Y-114876023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X105823527Y-124225542D02* -G01* -X105834448Y-124227162D01* -X105845157Y-124229844D01* -X105855552Y-124233564D01* -X105865532Y-124238284D01* -X105875002Y-124243960D01* -X105883869Y-124250536D01* -X105892050Y-124257950D01* -X105899464Y-124266131D01* -X105906040Y-124274998D01* -X105911716Y-124284468D01* -X105916436Y-124294448D01* -X105920156Y-124304843D01* -X105922838Y-124315552D01* -X105924458Y-124326473D01* -X105925000Y-124337500D01* -X105925000Y-125562500D01* -X105924458Y-125573527D01* -X105922838Y-125584448D01* -X105920156Y-125595157D01* -X105916436Y-125605552D01* -X105911716Y-125615532D01* -X105906040Y-125625002D01* -X105899464Y-125633869D01* -X105892050Y-125642050D01* -X105883869Y-125649464D01* -X105875002Y-125656040D01* -X105865532Y-125661716D01* -X105855552Y-125666436D01* -X105845157Y-125670156D01* -X105834448Y-125672838D01* -X105823527Y-125674458D01* -X105812500Y-125675000D01* -X105587500Y-125675000D01* -X105576473Y-125674458D01* -X105565552Y-125672838D01* -X105554843Y-125670156D01* -X105544448Y-125666436D01* -X105534468Y-125661716D01* -X105524998Y-125656040D01* -X105516131Y-125649464D01* -X105507950Y-125642050D01* -X105500536Y-125633869D01* -X105493960Y-125625002D01* -X105488284Y-125615532D01* -X105483564Y-125605552D01* -X105479844Y-125595157D01* -X105477162Y-125584448D01* -X105475542Y-125573527D01* -X105475000Y-125562500D01* -X105475000Y-124337500D01* -X105475542Y-124326473D01* -X105477162Y-124315552D01* -X105479844Y-124304843D01* -X105483564Y-124294448D01* -X105488284Y-124284468D01* -X105493960Y-124274998D01* -X105500536Y-124266131D01* -X105507950Y-124257950D01* -X105516131Y-124250536D01* -X105524998Y-124243960D01* -X105534468Y-124238284D01* -X105544448Y-124233564D01* -X105554843Y-124229844D01* -X105565552Y-124227162D01* -X105576473Y-124225542D01* -X105587500Y-124225000D01* -X105812500Y-124225000D01* -X105823527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X106473527Y-124225542D02* -G01* -X106484448Y-124227162D01* -X106495157Y-124229844D01* -X106505552Y-124233564D01* -X106515532Y-124238284D01* -X106525002Y-124243960D01* -X106533869Y-124250536D01* -X106542050Y-124257950D01* -X106549464Y-124266131D01* -X106556040Y-124274998D01* -X106561716Y-124284468D01* -X106566436Y-124294448D01* -X106570156Y-124304843D01* -X106572838Y-124315552D01* -X106574458Y-124326473D01* -X106575000Y-124337500D01* -X106575000Y-125562500D01* -X106574458Y-125573527D01* -X106572838Y-125584448D01* -X106570156Y-125595157D01* -X106566436Y-125605552D01* -X106561716Y-125615532D01* -X106556040Y-125625002D01* -X106549464Y-125633869D01* -X106542050Y-125642050D01* -X106533869Y-125649464D01* -X106525002Y-125656040D01* -X106515532Y-125661716D01* -X106505552Y-125666436D01* -X106495157Y-125670156D01* -X106484448Y-125672838D01* -X106473527Y-125674458D01* -X106462500Y-125675000D01* -X106237500Y-125675000D01* -X106226473Y-125674458D01* -X106215552Y-125672838D01* -X106204843Y-125670156D01* -X106194448Y-125666436D01* -X106184468Y-125661716D01* -X106174998Y-125656040D01* -X106166131Y-125649464D01* -X106157950Y-125642050D01* -X106150536Y-125633869D01* -X106143960Y-125625002D01* -X106138284Y-125615532D01* -X106133564Y-125605552D01* -X106129844Y-125595157D01* -X106127162Y-125584448D01* -X106125542Y-125573527D01* -X106125000Y-125562500D01* -X106125000Y-124337500D01* -X106125542Y-124326473D01* -X106127162Y-124315552D01* -X106129844Y-124304843D01* -X106133564Y-124294448D01* -X106138284Y-124284468D01* -X106143960Y-124274998D01* -X106150536Y-124266131D01* -X106157950Y-124257950D01* -X106166131Y-124250536D01* -X106174998Y-124243960D01* -X106184468Y-124238284D01* -X106194448Y-124233564D01* -X106204843Y-124229844D01* -X106215552Y-124227162D01* -X106226473Y-124225542D01* -X106237500Y-124225000D01* -X106462500Y-124225000D01* -X106473527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107123527Y-124225542D02* -G01* -X107134448Y-124227162D01* -X107145157Y-124229844D01* -X107155552Y-124233564D01* -X107165532Y-124238284D01* -X107175002Y-124243960D01* -X107183869Y-124250536D01* -X107192050Y-124257950D01* -X107199464Y-124266131D01* -X107206040Y-124274998D01* -X107211716Y-124284468D01* -X107216436Y-124294448D01* -X107220156Y-124304843D01* -X107222838Y-124315552D01* -X107224458Y-124326473D01* -X107225000Y-124337500D01* -X107225000Y-125562500D01* -X107224458Y-125573527D01* -X107222838Y-125584448D01* -X107220156Y-125595157D01* -X107216436Y-125605552D01* -X107211716Y-125615532D01* -X107206040Y-125625002D01* -X107199464Y-125633869D01* -X107192050Y-125642050D01* -X107183869Y-125649464D01* -X107175002Y-125656040D01* -X107165532Y-125661716D01* -X107155552Y-125666436D01* -X107145157Y-125670156D01* -X107134448Y-125672838D01* -X107123527Y-125674458D01* -X107112500Y-125675000D01* -X106887500Y-125675000D01* -X106876473Y-125674458D01* -X106865552Y-125672838D01* -X106854843Y-125670156D01* -X106844448Y-125666436D01* -X106834468Y-125661716D01* -X106824998Y-125656040D01* -X106816131Y-125649464D01* -X106807950Y-125642050D01* -X106800536Y-125633869D01* -X106793960Y-125625002D01* -X106788284Y-125615532D01* -X106783564Y-125605552D01* -X106779844Y-125595157D01* -X106777162Y-125584448D01* -X106775542Y-125573527D01* -X106775000Y-125562500D01* -X106775000Y-124337500D01* -X106775542Y-124326473D01* -X106777162Y-124315552D01* -X106779844Y-124304843D01* -X106783564Y-124294448D01* -X106788284Y-124284468D01* -X106793960Y-124274998D01* -X106800536Y-124266131D01* -X106807950Y-124257950D01* -X106816131Y-124250536D01* -X106824998Y-124243960D01* -X106834468Y-124238284D01* -X106844448Y-124233564D01* -X106854843Y-124229844D01* -X106865552Y-124227162D01* -X106876473Y-124225542D01* -X106887500Y-124225000D01* -X107112500Y-124225000D01* -X107123527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107773527Y-124225542D02* -G01* -X107784448Y-124227162D01* -X107795157Y-124229844D01* -X107805552Y-124233564D01* -X107815532Y-124238284D01* -X107825002Y-124243960D01* -X107833869Y-124250536D01* -X107842050Y-124257950D01* -X107849464Y-124266131D01* -X107856040Y-124274998D01* -X107861716Y-124284468D01* -X107866436Y-124294448D01* -X107870156Y-124304843D01* -X107872838Y-124315552D01* -X107874458Y-124326473D01* -X107875000Y-124337500D01* -X107875000Y-125562500D01* -X107874458Y-125573527D01* -X107872838Y-125584448D01* -X107870156Y-125595157D01* -X107866436Y-125605552D01* -X107861716Y-125615532D01* -X107856040Y-125625002D01* -X107849464Y-125633869D01* -X107842050Y-125642050D01* -X107833869Y-125649464D01* -X107825002Y-125656040D01* -X107815532Y-125661716D01* -X107805552Y-125666436D01* -X107795157Y-125670156D01* -X107784448Y-125672838D01* -X107773527Y-125674458D01* -X107762500Y-125675000D01* -X107537500Y-125675000D01* -X107526473Y-125674458D01* -X107515552Y-125672838D01* -X107504843Y-125670156D01* -X107494448Y-125666436D01* -X107484468Y-125661716D01* -X107474998Y-125656040D01* -X107466131Y-125649464D01* -X107457950Y-125642050D01* -X107450536Y-125633869D01* -X107443960Y-125625002D01* -X107438284Y-125615532D01* -X107433564Y-125605552D01* -X107429844Y-125595157D01* -X107427162Y-125584448D01* -X107425542Y-125573527D01* -X107425000Y-125562500D01* -X107425000Y-124337500D01* -X107425542Y-124326473D01* -X107427162Y-124315552D01* -X107429844Y-124304843D01* -X107433564Y-124294448D01* -X107438284Y-124284468D01* -X107443960Y-124274998D01* -X107450536Y-124266131D01* -X107457950Y-124257950D01* -X107466131Y-124250536D01* -X107474998Y-124243960D01* -X107484468Y-124238284D01* -X107494448Y-124233564D01* -X107504843Y-124229844D01* -X107515552Y-124227162D01* -X107526473Y-124225542D01* -X107537500Y-124225000D01* -X107762500Y-124225000D01* -X107773527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108423527Y-124225542D02* -G01* -X108434448Y-124227162D01* -X108445157Y-124229844D01* -X108455552Y-124233564D01* -X108465532Y-124238284D01* -X108475002Y-124243960D01* -X108483869Y-124250536D01* -X108492050Y-124257950D01* -X108499464Y-124266131D01* -X108506040Y-124274998D01* -X108511716Y-124284468D01* -X108516436Y-124294448D01* -X108520156Y-124304843D01* -X108522838Y-124315552D01* -X108524458Y-124326473D01* -X108525000Y-124337500D01* -X108525000Y-125562500D01* -X108524458Y-125573527D01* -X108522838Y-125584448D01* -X108520156Y-125595157D01* -X108516436Y-125605552D01* -X108511716Y-125615532D01* -X108506040Y-125625002D01* -X108499464Y-125633869D01* -X108492050Y-125642050D01* -X108483869Y-125649464D01* -X108475002Y-125656040D01* -X108465532Y-125661716D01* -X108455552Y-125666436D01* -X108445157Y-125670156D01* -X108434448Y-125672838D01* -X108423527Y-125674458D01* -X108412500Y-125675000D01* -X108187500Y-125675000D01* -X108176473Y-125674458D01* -X108165552Y-125672838D01* -X108154843Y-125670156D01* -X108144448Y-125666436D01* -X108134468Y-125661716D01* -X108124998Y-125656040D01* -X108116131Y-125649464D01* -X108107950Y-125642050D01* -X108100536Y-125633869D01* -X108093960Y-125625002D01* -X108088284Y-125615532D01* -X108083564Y-125605552D01* -X108079844Y-125595157D01* -X108077162Y-125584448D01* -X108075542Y-125573527D01* -X108075000Y-125562500D01* -X108075000Y-124337500D01* -X108075542Y-124326473D01* -X108077162Y-124315552D01* -X108079844Y-124304843D01* -X108083564Y-124294448D01* -X108088284Y-124284468D01* -X108093960Y-124274998D01* -X108100536Y-124266131D01* -X108107950Y-124257950D01* -X108116131Y-124250536D01* -X108124998Y-124243960D01* -X108134468Y-124238284D01* -X108144448Y-124233564D01* -X108154843Y-124229844D01* -X108165552Y-124227162D01* -X108176473Y-124225542D01* -X108187500Y-124225000D01* -X108412500Y-124225000D01* -X108423527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109073527Y-124225542D02* -G01* -X109084448Y-124227162D01* -X109095157Y-124229844D01* -X109105552Y-124233564D01* -X109115532Y-124238284D01* -X109125002Y-124243960D01* -X109133869Y-124250536D01* -X109142050Y-124257950D01* -X109149464Y-124266131D01* -X109156040Y-124274998D01* -X109161716Y-124284468D01* -X109166436Y-124294448D01* -X109170156Y-124304843D01* -X109172838Y-124315552D01* -X109174458Y-124326473D01* -X109175000Y-124337500D01* -X109175000Y-125562500D01* -X109174458Y-125573527D01* -X109172838Y-125584448D01* -X109170156Y-125595157D01* -X109166436Y-125605552D01* -X109161716Y-125615532D01* -X109156040Y-125625002D01* -X109149464Y-125633869D01* -X109142050Y-125642050D01* -X109133869Y-125649464D01* -X109125002Y-125656040D01* -X109115532Y-125661716D01* -X109105552Y-125666436D01* -X109095157Y-125670156D01* -X109084448Y-125672838D01* -X109073527Y-125674458D01* -X109062500Y-125675000D01* -X108837500Y-125675000D01* -X108826473Y-125674458D01* -X108815552Y-125672838D01* -X108804843Y-125670156D01* -X108794448Y-125666436D01* -X108784468Y-125661716D01* -X108774998Y-125656040D01* -X108766131Y-125649464D01* -X108757950Y-125642050D01* -X108750536Y-125633869D01* -X108743960Y-125625002D01* -X108738284Y-125615532D01* -X108733564Y-125605552D01* -X108729844Y-125595157D01* -X108727162Y-125584448D01* -X108725542Y-125573527D01* -X108725000Y-125562500D01* -X108725000Y-124337500D01* -X108725542Y-124326473D01* -X108727162Y-124315552D01* -X108729844Y-124304843D01* -X108733564Y-124294448D01* -X108738284Y-124284468D01* -X108743960Y-124274998D01* -X108750536Y-124266131D01* -X108757950Y-124257950D01* -X108766131Y-124250536D01* -X108774998Y-124243960D01* -X108784468Y-124238284D01* -X108794448Y-124233564D01* -X108804843Y-124229844D01* -X108815552Y-124227162D01* -X108826473Y-124225542D01* -X108837500Y-124225000D01* -X109062500Y-124225000D01* -X109073527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109723527Y-124225542D02* -G01* -X109734448Y-124227162D01* -X109745157Y-124229844D01* -X109755552Y-124233564D01* -X109765532Y-124238284D01* -X109775002Y-124243960D01* -X109783869Y-124250536D01* -X109792050Y-124257950D01* -X109799464Y-124266131D01* -X109806040Y-124274998D01* -X109811716Y-124284468D01* -X109816436Y-124294448D01* -X109820156Y-124304843D01* -X109822838Y-124315552D01* -X109824458Y-124326473D01* -X109825000Y-124337500D01* -X109825000Y-125562500D01* -X109824458Y-125573527D01* -X109822838Y-125584448D01* -X109820156Y-125595157D01* -X109816436Y-125605552D01* -X109811716Y-125615532D01* -X109806040Y-125625002D01* -X109799464Y-125633869D01* -X109792050Y-125642050D01* -X109783869Y-125649464D01* -X109775002Y-125656040D01* -X109765532Y-125661716D01* -X109755552Y-125666436D01* -X109745157Y-125670156D01* -X109734448Y-125672838D01* -X109723527Y-125674458D01* -X109712500Y-125675000D01* -X109487500Y-125675000D01* -X109476473Y-125674458D01* -X109465552Y-125672838D01* -X109454843Y-125670156D01* -X109444448Y-125666436D01* -X109434468Y-125661716D01* -X109424998Y-125656040D01* -X109416131Y-125649464D01* -X109407950Y-125642050D01* -X109400536Y-125633869D01* -X109393960Y-125625002D01* -X109388284Y-125615532D01* -X109383564Y-125605552D01* -X109379844Y-125595157D01* -X109377162Y-125584448D01* -X109375542Y-125573527D01* -X109375000Y-125562500D01* -X109375000Y-124337500D01* -X109375542Y-124326473D01* -X109377162Y-124315552D01* -X109379844Y-124304843D01* -X109383564Y-124294448D01* -X109388284Y-124284468D01* -X109393960Y-124274998D01* -X109400536Y-124266131D01* -X109407950Y-124257950D01* -X109416131Y-124250536D01* -X109424998Y-124243960D01* -X109434468Y-124238284D01* -X109444448Y-124233564D01* -X109454843Y-124229844D01* -X109465552Y-124227162D01* -X109476473Y-124225542D01* -X109487500Y-124225000D01* -X109712500Y-124225000D01* -X109723527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X110373527Y-124225542D02* -G01* -X110384448Y-124227162D01* -X110395157Y-124229844D01* -X110405552Y-124233564D01* -X110415532Y-124238284D01* -X110425002Y-124243960D01* -X110433869Y-124250536D01* -X110442050Y-124257950D01* -X110449464Y-124266131D01* -X110456040Y-124274998D01* -X110461716Y-124284468D01* -X110466436Y-124294448D01* -X110470156Y-124304843D01* -X110472838Y-124315552D01* -X110474458Y-124326473D01* -X110475000Y-124337500D01* -X110475000Y-125562500D01* -X110474458Y-125573527D01* -X110472838Y-125584448D01* -X110470156Y-125595157D01* -X110466436Y-125605552D01* -X110461716Y-125615532D01* -X110456040Y-125625002D01* -X110449464Y-125633869D01* -X110442050Y-125642050D01* -X110433869Y-125649464D01* -X110425002Y-125656040D01* -X110415532Y-125661716D01* -X110405552Y-125666436D01* -X110395157Y-125670156D01* -X110384448Y-125672838D01* -X110373527Y-125674458D01* -X110362500Y-125675000D01* -X110137500Y-125675000D01* -X110126473Y-125674458D01* -X110115552Y-125672838D01* -X110104843Y-125670156D01* -X110094448Y-125666436D01* -X110084468Y-125661716D01* -X110074998Y-125656040D01* -X110066131Y-125649464D01* -X110057950Y-125642050D01* -X110050536Y-125633869D01* -X110043960Y-125625002D01* -X110038284Y-125615532D01* -X110033564Y-125605552D01* -X110029844Y-125595157D01* -X110027162Y-125584448D01* -X110025542Y-125573527D01* -X110025000Y-125562500D01* -X110025000Y-124337500D01* -X110025542Y-124326473D01* -X110027162Y-124315552D01* -X110029844Y-124304843D01* -X110033564Y-124294448D01* -X110038284Y-124284468D01* -X110043960Y-124274998D01* -X110050536Y-124266131D01* -X110057950Y-124257950D01* -X110066131Y-124250536D01* -X110074998Y-124243960D01* -X110084468Y-124238284D01* -X110094448Y-124233564D01* -X110104843Y-124229844D01* -X110115552Y-124227162D01* -X110126473Y-124225542D01* -X110137500Y-124225000D01* -X110362500Y-124225000D01* -X110373527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111023527Y-124225542D02* -G01* -X111034448Y-124227162D01* -X111045157Y-124229844D01* -X111055552Y-124233564D01* -X111065532Y-124238284D01* -X111075002Y-124243960D01* -X111083869Y-124250536D01* -X111092050Y-124257950D01* -X111099464Y-124266131D01* -X111106040Y-124274998D01* -X111111716Y-124284468D01* -X111116436Y-124294448D01* -X111120156Y-124304843D01* -X111122838Y-124315552D01* -X111124458Y-124326473D01* -X111125000Y-124337500D01* -X111125000Y-125562500D01* -X111124458Y-125573527D01* -X111122838Y-125584448D01* -X111120156Y-125595157D01* -X111116436Y-125605552D01* -X111111716Y-125615532D01* -X111106040Y-125625002D01* -X111099464Y-125633869D01* -X111092050Y-125642050D01* -X111083869Y-125649464D01* -X111075002Y-125656040D01* -X111065532Y-125661716D01* -X111055552Y-125666436D01* -X111045157Y-125670156D01* -X111034448Y-125672838D01* -X111023527Y-125674458D01* -X111012500Y-125675000D01* -X110787500Y-125675000D01* -X110776473Y-125674458D01* -X110765552Y-125672838D01* -X110754843Y-125670156D01* -X110744448Y-125666436D01* -X110734468Y-125661716D01* -X110724998Y-125656040D01* -X110716131Y-125649464D01* -X110707950Y-125642050D01* -X110700536Y-125633869D01* -X110693960Y-125625002D01* -X110688284Y-125615532D01* -X110683564Y-125605552D01* -X110679844Y-125595157D01* -X110677162Y-125584448D01* -X110675542Y-125573527D01* -X110675000Y-125562500D01* -X110675000Y-124337500D01* -X110675542Y-124326473D01* -X110677162Y-124315552D01* -X110679844Y-124304843D01* -X110683564Y-124294448D01* -X110688284Y-124284468D01* -X110693960Y-124274998D01* -X110700536Y-124266131D01* -X110707950Y-124257950D01* -X110716131Y-124250536D01* -X110724998Y-124243960D01* -X110734468Y-124238284D01* -X110744448Y-124233564D01* -X110754843Y-124229844D01* -X110765552Y-124227162D01* -X110776473Y-124225542D01* -X110787500Y-124225000D01* -X111012500Y-124225000D01* -X111023527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111673527Y-124225542D02* -G01* -X111684448Y-124227162D01* -X111695157Y-124229844D01* -X111705552Y-124233564D01* -X111715532Y-124238284D01* -X111725002Y-124243960D01* -X111733869Y-124250536D01* -X111742050Y-124257950D01* -X111749464Y-124266131D01* -X111756040Y-124274998D01* -X111761716Y-124284468D01* -X111766436Y-124294448D01* -X111770156Y-124304843D01* -X111772838Y-124315552D01* -X111774458Y-124326473D01* -X111775000Y-124337500D01* -X111775000Y-125562500D01* -X111774458Y-125573527D01* -X111772838Y-125584448D01* -X111770156Y-125595157D01* -X111766436Y-125605552D01* -X111761716Y-125615532D01* -X111756040Y-125625002D01* -X111749464Y-125633869D01* -X111742050Y-125642050D01* -X111733869Y-125649464D01* -X111725002Y-125656040D01* -X111715532Y-125661716D01* -X111705552Y-125666436D01* -X111695157Y-125670156D01* -X111684448Y-125672838D01* -X111673527Y-125674458D01* -X111662500Y-125675000D01* -X111437500Y-125675000D01* -X111426473Y-125674458D01* -X111415552Y-125672838D01* -X111404843Y-125670156D01* -X111394448Y-125666436D01* -X111384468Y-125661716D01* -X111374998Y-125656040D01* -X111366131Y-125649464D01* -X111357950Y-125642050D01* -X111350536Y-125633869D01* -X111343960Y-125625002D01* -X111338284Y-125615532D01* -X111333564Y-125605552D01* -X111329844Y-125595157D01* -X111327162Y-125584448D01* -X111325542Y-125573527D01* -X111325000Y-125562500D01* -X111325000Y-124337500D01* -X111325542Y-124326473D01* -X111327162Y-124315552D01* -X111329844Y-124304843D01* -X111333564Y-124294448D01* -X111338284Y-124284468D01* -X111343960Y-124274998D01* -X111350536Y-124266131D01* -X111357950Y-124257950D01* -X111366131Y-124250536D01* -X111374998Y-124243960D01* -X111384468Y-124238284D01* -X111394448Y-124233564D01* -X111404843Y-124229844D01* -X111415552Y-124227162D01* -X111426473Y-124225542D01* -X111437500Y-124225000D01* -X111662500Y-124225000D01* -X111673527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111673527Y-118325542D02* -G01* -X111684448Y-118327162D01* -X111695157Y-118329844D01* -X111705552Y-118333564D01* -X111715532Y-118338284D01* -X111725002Y-118343960D01* -X111733869Y-118350536D01* -X111742050Y-118357950D01* -X111749464Y-118366131D01* -X111756040Y-118374998D01* -X111761716Y-118384468D01* -X111766436Y-118394448D01* -X111770156Y-118404843D01* -X111772838Y-118415552D01* -X111774458Y-118426473D01* -X111775000Y-118437500D01* -X111775000Y-119662500D01* -X111774458Y-119673527D01* -X111772838Y-119684448D01* -X111770156Y-119695157D01* -X111766436Y-119705552D01* -X111761716Y-119715532D01* -X111756040Y-119725002D01* -X111749464Y-119733869D01* -X111742050Y-119742050D01* -X111733869Y-119749464D01* -X111725002Y-119756040D01* -X111715532Y-119761716D01* -X111705552Y-119766436D01* -X111695157Y-119770156D01* -X111684448Y-119772838D01* -X111673527Y-119774458D01* -X111662500Y-119775000D01* -X111437500Y-119775000D01* -X111426473Y-119774458D01* -X111415552Y-119772838D01* -X111404843Y-119770156D01* -X111394448Y-119766436D01* -X111384468Y-119761716D01* -X111374998Y-119756040D01* -X111366131Y-119749464D01* -X111357950Y-119742050D01* -X111350536Y-119733869D01* -X111343960Y-119725002D01* -X111338284Y-119715532D01* -X111333564Y-119705552D01* -X111329844Y-119695157D01* -X111327162Y-119684448D01* -X111325542Y-119673527D01* -X111325000Y-119662500D01* -X111325000Y-118437500D01* -X111325542Y-118426473D01* -X111327162Y-118415552D01* -X111329844Y-118404843D01* -X111333564Y-118394448D01* -X111338284Y-118384468D01* -X111343960Y-118374998D01* -X111350536Y-118366131D01* -X111357950Y-118357950D01* -X111366131Y-118350536D01* -X111374998Y-118343960D01* -X111384468Y-118338284D01* -X111394448Y-118333564D01* -X111404843Y-118329844D01* -X111415552Y-118327162D01* -X111426473Y-118325542D01* -X111437500Y-118325000D01* -X111662500Y-118325000D01* -X111673527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111023527Y-118325542D02* -G01* -X111034448Y-118327162D01* -X111045157Y-118329844D01* -X111055552Y-118333564D01* -X111065532Y-118338284D01* -X111075002Y-118343960D01* -X111083869Y-118350536D01* -X111092050Y-118357950D01* -X111099464Y-118366131D01* -X111106040Y-118374998D01* -X111111716Y-118384468D01* -X111116436Y-118394448D01* -X111120156Y-118404843D01* -X111122838Y-118415552D01* -X111124458Y-118426473D01* -X111125000Y-118437500D01* -X111125000Y-119662500D01* -X111124458Y-119673527D01* -X111122838Y-119684448D01* -X111120156Y-119695157D01* -X111116436Y-119705552D01* -X111111716Y-119715532D01* -X111106040Y-119725002D01* -X111099464Y-119733869D01* -X111092050Y-119742050D01* -X111083869Y-119749464D01* -X111075002Y-119756040D01* -X111065532Y-119761716D01* -X111055552Y-119766436D01* -X111045157Y-119770156D01* -X111034448Y-119772838D01* -X111023527Y-119774458D01* -X111012500Y-119775000D01* -X110787500Y-119775000D01* -X110776473Y-119774458D01* -X110765552Y-119772838D01* -X110754843Y-119770156D01* -X110744448Y-119766436D01* -X110734468Y-119761716D01* -X110724998Y-119756040D01* -X110716131Y-119749464D01* -X110707950Y-119742050D01* -X110700536Y-119733869D01* -X110693960Y-119725002D01* -X110688284Y-119715532D01* -X110683564Y-119705552D01* -X110679844Y-119695157D01* -X110677162Y-119684448D01* -X110675542Y-119673527D01* -X110675000Y-119662500D01* -X110675000Y-118437500D01* -X110675542Y-118426473D01* -X110677162Y-118415552D01* -X110679844Y-118404843D01* -X110683564Y-118394448D01* -X110688284Y-118384468D01* -X110693960Y-118374998D01* -X110700536Y-118366131D01* -X110707950Y-118357950D01* -X110716131Y-118350536D01* -X110724998Y-118343960D01* -X110734468Y-118338284D01* -X110744448Y-118333564D01* -X110754843Y-118329844D01* -X110765552Y-118327162D01* -X110776473Y-118325542D01* -X110787500Y-118325000D01* -X111012500Y-118325000D01* -X111023527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X110373527Y-118325542D02* -G01* -X110384448Y-118327162D01* -X110395157Y-118329844D01* -X110405552Y-118333564D01* -X110415532Y-118338284D01* -X110425002Y-118343960D01* -X110433869Y-118350536D01* -X110442050Y-118357950D01* -X110449464Y-118366131D01* -X110456040Y-118374998D01* -X110461716Y-118384468D01* -X110466436Y-118394448D01* -X110470156Y-118404843D01* -X110472838Y-118415552D01* -X110474458Y-118426473D01* -X110475000Y-118437500D01* -X110475000Y-119662500D01* -X110474458Y-119673527D01* -X110472838Y-119684448D01* -X110470156Y-119695157D01* -X110466436Y-119705552D01* -X110461716Y-119715532D01* -X110456040Y-119725002D01* -X110449464Y-119733869D01* -X110442050Y-119742050D01* -X110433869Y-119749464D01* -X110425002Y-119756040D01* -X110415532Y-119761716D01* -X110405552Y-119766436D01* -X110395157Y-119770156D01* -X110384448Y-119772838D01* -X110373527Y-119774458D01* -X110362500Y-119775000D01* -X110137500Y-119775000D01* -X110126473Y-119774458D01* -X110115552Y-119772838D01* -X110104843Y-119770156D01* -X110094448Y-119766436D01* -X110084468Y-119761716D01* -X110074998Y-119756040D01* -X110066131Y-119749464D01* -X110057950Y-119742050D01* -X110050536Y-119733869D01* -X110043960Y-119725002D01* -X110038284Y-119715532D01* -X110033564Y-119705552D01* -X110029844Y-119695157D01* -X110027162Y-119684448D01* -X110025542Y-119673527D01* -X110025000Y-119662500D01* -X110025000Y-118437500D01* -X110025542Y-118426473D01* -X110027162Y-118415552D01* -X110029844Y-118404843D01* -X110033564Y-118394448D01* -X110038284Y-118384468D01* -X110043960Y-118374998D01* -X110050536Y-118366131D01* -X110057950Y-118357950D01* -X110066131Y-118350536D01* -X110074998Y-118343960D01* -X110084468Y-118338284D01* -X110094448Y-118333564D01* -X110104843Y-118329844D01* -X110115552Y-118327162D01* -X110126473Y-118325542D01* -X110137500Y-118325000D01* -X110362500Y-118325000D01* -X110373527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109723527Y-118325542D02* -G01* -X109734448Y-118327162D01* -X109745157Y-118329844D01* -X109755552Y-118333564D01* -X109765532Y-118338284D01* -X109775002Y-118343960D01* -X109783869Y-118350536D01* -X109792050Y-118357950D01* -X109799464Y-118366131D01* -X109806040Y-118374998D01* -X109811716Y-118384468D01* -X109816436Y-118394448D01* -X109820156Y-118404843D01* -X109822838Y-118415552D01* -X109824458Y-118426473D01* -X109825000Y-118437500D01* -X109825000Y-119662500D01* -X109824458Y-119673527D01* -X109822838Y-119684448D01* -X109820156Y-119695157D01* -X109816436Y-119705552D01* -X109811716Y-119715532D01* -X109806040Y-119725002D01* -X109799464Y-119733869D01* -X109792050Y-119742050D01* -X109783869Y-119749464D01* -X109775002Y-119756040D01* -X109765532Y-119761716D01* -X109755552Y-119766436D01* -X109745157Y-119770156D01* -X109734448Y-119772838D01* -X109723527Y-119774458D01* -X109712500Y-119775000D01* -X109487500Y-119775000D01* -X109476473Y-119774458D01* -X109465552Y-119772838D01* -X109454843Y-119770156D01* -X109444448Y-119766436D01* -X109434468Y-119761716D01* -X109424998Y-119756040D01* -X109416131Y-119749464D01* -X109407950Y-119742050D01* -X109400536Y-119733869D01* -X109393960Y-119725002D01* -X109388284Y-119715532D01* -X109383564Y-119705552D01* -X109379844Y-119695157D01* -X109377162Y-119684448D01* -X109375542Y-119673527D01* -X109375000Y-119662500D01* -X109375000Y-118437500D01* -X109375542Y-118426473D01* -X109377162Y-118415552D01* -X109379844Y-118404843D01* -X109383564Y-118394448D01* -X109388284Y-118384468D01* -X109393960Y-118374998D01* -X109400536Y-118366131D01* -X109407950Y-118357950D01* -X109416131Y-118350536D01* -X109424998Y-118343960D01* -X109434468Y-118338284D01* -X109444448Y-118333564D01* -X109454843Y-118329844D01* -X109465552Y-118327162D01* -X109476473Y-118325542D01* -X109487500Y-118325000D01* -X109712500Y-118325000D01* -X109723527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109073527Y-118325542D02* -G01* -X109084448Y-118327162D01* -X109095157Y-118329844D01* -X109105552Y-118333564D01* -X109115532Y-118338284D01* -X109125002Y-118343960D01* -X109133869Y-118350536D01* -X109142050Y-118357950D01* -X109149464Y-118366131D01* -X109156040Y-118374998D01* -X109161716Y-118384468D01* -X109166436Y-118394448D01* -X109170156Y-118404843D01* -X109172838Y-118415552D01* -X109174458Y-118426473D01* -X109175000Y-118437500D01* -X109175000Y-119662500D01* -X109174458Y-119673527D01* -X109172838Y-119684448D01* -X109170156Y-119695157D01* -X109166436Y-119705552D01* -X109161716Y-119715532D01* -X109156040Y-119725002D01* -X109149464Y-119733869D01* -X109142050Y-119742050D01* -X109133869Y-119749464D01* -X109125002Y-119756040D01* -X109115532Y-119761716D01* -X109105552Y-119766436D01* -X109095157Y-119770156D01* -X109084448Y-119772838D01* -X109073527Y-119774458D01* -X109062500Y-119775000D01* -X108837500Y-119775000D01* -X108826473Y-119774458D01* -X108815552Y-119772838D01* -X108804843Y-119770156D01* -X108794448Y-119766436D01* -X108784468Y-119761716D01* -X108774998Y-119756040D01* -X108766131Y-119749464D01* -X108757950Y-119742050D01* -X108750536Y-119733869D01* -X108743960Y-119725002D01* -X108738284Y-119715532D01* -X108733564Y-119705552D01* -X108729844Y-119695157D01* -X108727162Y-119684448D01* -X108725542Y-119673527D01* -X108725000Y-119662500D01* -X108725000Y-118437500D01* -X108725542Y-118426473D01* -X108727162Y-118415552D01* -X108729844Y-118404843D01* -X108733564Y-118394448D01* -X108738284Y-118384468D01* -X108743960Y-118374998D01* -X108750536Y-118366131D01* -X108757950Y-118357950D01* -X108766131Y-118350536D01* -X108774998Y-118343960D01* -X108784468Y-118338284D01* -X108794448Y-118333564D01* -X108804843Y-118329844D01* -X108815552Y-118327162D01* -X108826473Y-118325542D01* -X108837500Y-118325000D01* -X109062500Y-118325000D01* -X109073527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108423527Y-118325542D02* -G01* -X108434448Y-118327162D01* -X108445157Y-118329844D01* -X108455552Y-118333564D01* -X108465532Y-118338284D01* -X108475002Y-118343960D01* -X108483869Y-118350536D01* -X108492050Y-118357950D01* -X108499464Y-118366131D01* -X108506040Y-118374998D01* -X108511716Y-118384468D01* -X108516436Y-118394448D01* -X108520156Y-118404843D01* -X108522838Y-118415552D01* -X108524458Y-118426473D01* -X108525000Y-118437500D01* -X108525000Y-119662500D01* -X108524458Y-119673527D01* -X108522838Y-119684448D01* -X108520156Y-119695157D01* -X108516436Y-119705552D01* -X108511716Y-119715532D01* -X108506040Y-119725002D01* -X108499464Y-119733869D01* -X108492050Y-119742050D01* -X108483869Y-119749464D01* -X108475002Y-119756040D01* -X108465532Y-119761716D01* -X108455552Y-119766436D01* -X108445157Y-119770156D01* -X108434448Y-119772838D01* -X108423527Y-119774458D01* -X108412500Y-119775000D01* -X108187500Y-119775000D01* -X108176473Y-119774458D01* -X108165552Y-119772838D01* -X108154843Y-119770156D01* -X108144448Y-119766436D01* -X108134468Y-119761716D01* -X108124998Y-119756040D01* -X108116131Y-119749464D01* -X108107950Y-119742050D01* -X108100536Y-119733869D01* -X108093960Y-119725002D01* -X108088284Y-119715532D01* -X108083564Y-119705552D01* -X108079844Y-119695157D01* -X108077162Y-119684448D01* -X108075542Y-119673527D01* -X108075000Y-119662500D01* -X108075000Y-118437500D01* -X108075542Y-118426473D01* -X108077162Y-118415552D01* -X108079844Y-118404843D01* -X108083564Y-118394448D01* -X108088284Y-118384468D01* -X108093960Y-118374998D01* -X108100536Y-118366131D01* -X108107950Y-118357950D01* -X108116131Y-118350536D01* -X108124998Y-118343960D01* -X108134468Y-118338284D01* -X108144448Y-118333564D01* -X108154843Y-118329844D01* -X108165552Y-118327162D01* -X108176473Y-118325542D01* -X108187500Y-118325000D01* -X108412500Y-118325000D01* -X108423527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107773527Y-118325542D02* -G01* -X107784448Y-118327162D01* -X107795157Y-118329844D01* -X107805552Y-118333564D01* -X107815532Y-118338284D01* -X107825002Y-118343960D01* -X107833869Y-118350536D01* -X107842050Y-118357950D01* -X107849464Y-118366131D01* -X107856040Y-118374998D01* -X107861716Y-118384468D01* -X107866436Y-118394448D01* -X107870156Y-118404843D01* -X107872838Y-118415552D01* -X107874458Y-118426473D01* -X107875000Y-118437500D01* -X107875000Y-119662500D01* -X107874458Y-119673527D01* -X107872838Y-119684448D01* -X107870156Y-119695157D01* -X107866436Y-119705552D01* -X107861716Y-119715532D01* -X107856040Y-119725002D01* -X107849464Y-119733869D01* -X107842050Y-119742050D01* -X107833869Y-119749464D01* -X107825002Y-119756040D01* -X107815532Y-119761716D01* -X107805552Y-119766436D01* -X107795157Y-119770156D01* -X107784448Y-119772838D01* -X107773527Y-119774458D01* -X107762500Y-119775000D01* -X107537500Y-119775000D01* -X107526473Y-119774458D01* -X107515552Y-119772838D01* -X107504843Y-119770156D01* -X107494448Y-119766436D01* -X107484468Y-119761716D01* -X107474998Y-119756040D01* -X107466131Y-119749464D01* -X107457950Y-119742050D01* -X107450536Y-119733869D01* -X107443960Y-119725002D01* -X107438284Y-119715532D01* -X107433564Y-119705552D01* -X107429844Y-119695157D01* -X107427162Y-119684448D01* -X107425542Y-119673527D01* -X107425000Y-119662500D01* -X107425000Y-118437500D01* -X107425542Y-118426473D01* -X107427162Y-118415552D01* -X107429844Y-118404843D01* -X107433564Y-118394448D01* -X107438284Y-118384468D01* -X107443960Y-118374998D01* -X107450536Y-118366131D01* -X107457950Y-118357950D01* -X107466131Y-118350536D01* -X107474998Y-118343960D01* -X107484468Y-118338284D01* -X107494448Y-118333564D01* -X107504843Y-118329844D01* -X107515552Y-118327162D01* -X107526473Y-118325542D01* -X107537500Y-118325000D01* -X107762500Y-118325000D01* -X107773527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107123527Y-118325542D02* -G01* -X107134448Y-118327162D01* -X107145157Y-118329844D01* -X107155552Y-118333564D01* -X107165532Y-118338284D01* -X107175002Y-118343960D01* -X107183869Y-118350536D01* -X107192050Y-118357950D01* -X107199464Y-118366131D01* -X107206040Y-118374998D01* -X107211716Y-118384468D01* -X107216436Y-118394448D01* -X107220156Y-118404843D01* -X107222838Y-118415552D01* -X107224458Y-118426473D01* -X107225000Y-118437500D01* -X107225000Y-119662500D01* -X107224458Y-119673527D01* -X107222838Y-119684448D01* -X107220156Y-119695157D01* -X107216436Y-119705552D01* -X107211716Y-119715532D01* -X107206040Y-119725002D01* -X107199464Y-119733869D01* -X107192050Y-119742050D01* -X107183869Y-119749464D01* -X107175002Y-119756040D01* -X107165532Y-119761716D01* -X107155552Y-119766436D01* -X107145157Y-119770156D01* -X107134448Y-119772838D01* -X107123527Y-119774458D01* -X107112500Y-119775000D01* -X106887500Y-119775000D01* -X106876473Y-119774458D01* -X106865552Y-119772838D01* -X106854843Y-119770156D01* -X106844448Y-119766436D01* -X106834468Y-119761716D01* -X106824998Y-119756040D01* -X106816131Y-119749464D01* -X106807950Y-119742050D01* -X106800536Y-119733869D01* -X106793960Y-119725002D01* -X106788284Y-119715532D01* -X106783564Y-119705552D01* -X106779844Y-119695157D01* -X106777162Y-119684448D01* -X106775542Y-119673527D01* -X106775000Y-119662500D01* -X106775000Y-118437500D01* -X106775542Y-118426473D01* -X106777162Y-118415552D01* -X106779844Y-118404843D01* -X106783564Y-118394448D01* -X106788284Y-118384468D01* -X106793960Y-118374998D01* -X106800536Y-118366131D01* -X106807950Y-118357950D01* -X106816131Y-118350536D01* -X106824998Y-118343960D01* -X106834468Y-118338284D01* -X106844448Y-118333564D01* -X106854843Y-118329844D01* -X106865552Y-118327162D01* -X106876473Y-118325542D01* -X106887500Y-118325000D01* -X107112500Y-118325000D01* -X107123527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X106473527Y-118325542D02* -G01* -X106484448Y-118327162D01* -X106495157Y-118329844D01* -X106505552Y-118333564D01* -X106515532Y-118338284D01* -X106525002Y-118343960D01* -X106533869Y-118350536D01* -X106542050Y-118357950D01* -X106549464Y-118366131D01* -X106556040Y-118374998D01* -X106561716Y-118384468D01* -X106566436Y-118394448D01* -X106570156Y-118404843D01* -X106572838Y-118415552D01* -X106574458Y-118426473D01* -X106575000Y-118437500D01* -X106575000Y-119662500D01* -X106574458Y-119673527D01* -X106572838Y-119684448D01* -X106570156Y-119695157D01* -X106566436Y-119705552D01* -X106561716Y-119715532D01* -X106556040Y-119725002D01* -X106549464Y-119733869D01* -X106542050Y-119742050D01* -X106533869Y-119749464D01* -X106525002Y-119756040D01* -X106515532Y-119761716D01* -X106505552Y-119766436D01* -X106495157Y-119770156D01* -X106484448Y-119772838D01* -X106473527Y-119774458D01* -X106462500Y-119775000D01* -X106237500Y-119775000D01* -X106226473Y-119774458D01* -X106215552Y-119772838D01* -X106204843Y-119770156D01* -X106194448Y-119766436D01* -X106184468Y-119761716D01* -X106174998Y-119756040D01* -X106166131Y-119749464D01* -X106157950Y-119742050D01* -X106150536Y-119733869D01* -X106143960Y-119725002D01* -X106138284Y-119715532D01* -X106133564Y-119705552D01* -X106129844Y-119695157D01* -X106127162Y-119684448D01* -X106125542Y-119673527D01* -X106125000Y-119662500D01* -X106125000Y-118437500D01* -X106125542Y-118426473D01* -X106127162Y-118415552D01* -X106129844Y-118404843D01* -X106133564Y-118394448D01* -X106138284Y-118384468D01* -X106143960Y-118374998D01* -X106150536Y-118366131D01* -X106157950Y-118357950D01* -X106166131Y-118350536D01* -X106174998Y-118343960D01* -X106184468Y-118338284D01* -X106194448Y-118333564D01* -X106204843Y-118329844D01* -X106215552Y-118327162D01* -X106226473Y-118325542D01* -X106237500Y-118325000D01* -X106462500Y-118325000D01* -X106473527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X105823527Y-118325542D02* -G01* -X105834448Y-118327162D01* -X105845157Y-118329844D01* -X105855552Y-118333564D01* -X105865532Y-118338284D01* -X105875002Y-118343960D01* -X105883869Y-118350536D01* -X105892050Y-118357950D01* -X105899464Y-118366131D01* -X105906040Y-118374998D01* -X105911716Y-118384468D01* -X105916436Y-118394448D01* -X105920156Y-118404843D01* -X105922838Y-118415552D01* -X105924458Y-118426473D01* -X105925000Y-118437500D01* -X105925000Y-119662500D01* -X105924458Y-119673527D01* -X105922838Y-119684448D01* -X105920156Y-119695157D01* -X105916436Y-119705552D01* -X105911716Y-119715532D01* -X105906040Y-119725002D01* -X105899464Y-119733869D01* -X105892050Y-119742050D01* -X105883869Y-119749464D01* -X105875002Y-119756040D01* -X105865532Y-119761716D01* -X105855552Y-119766436D01* -X105845157Y-119770156D01* -X105834448Y-119772838D01* -X105823527Y-119774458D01* -X105812500Y-119775000D01* -X105587500Y-119775000D01* -X105576473Y-119774458D01* -X105565552Y-119772838D01* -X105554843Y-119770156D01* -X105544448Y-119766436D01* -X105534468Y-119761716D01* -X105524998Y-119756040D01* -X105516131Y-119749464D01* -X105507950Y-119742050D01* -X105500536Y-119733869D01* -X105493960Y-119725002D01* -X105488284Y-119715532D01* -X105483564Y-119705552D01* -X105479844Y-119695157D01* -X105477162Y-119684448D01* -X105475542Y-119673527D01* -X105475000Y-119662500D01* -X105475000Y-118437500D01* -X105475542Y-118426473D01* -X105477162Y-118415552D01* -X105479844Y-118404843D01* -X105483564Y-118394448D01* -X105488284Y-118384468D01* -X105493960Y-118374998D01* -X105500536Y-118366131D01* -X105507950Y-118357950D01* -X105516131Y-118350536D01* -X105524998Y-118343960D01* -X105534468Y-118338284D01* -X105544448Y-118333564D01* -X105554843Y-118329844D01* -X105565552Y-118327162D01* -X105576473Y-118325542D01* -X105587500Y-118325000D01* -X105812500Y-118325000D01* -X105823527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X106429405Y-102751445D02* -G01* -X106458527Y-102755764D01* -X106487085Y-102762918D01* -X106514805Y-102772836D01* -X106541419Y-102785424D01* -X106566671Y-102800559D01* -X106590318Y-102818097D01* -X106612132Y-102837868D01* -X106631903Y-102859682D01* -X106649441Y-102883329D01* -X106664576Y-102908581D01* -X106677164Y-102935195D01* -X106687082Y-102962915D01* -X106694236Y-102991473D01* -X106698555Y-103020595D01* -X106700000Y-103050000D01* -X106700000Y-103650000D01* -X106698555Y-103679405D01* -X106694236Y-103708527D01* -X106687082Y-103737085D01* -X106677164Y-103764805D01* -X106664576Y-103791419D01* -X106649441Y-103816671D01* -X106631903Y-103840318D01* -X106612132Y-103862132D01* -X106590318Y-103881903D01* -X106566671Y-103899441D01* -X106541419Y-103914576D01* -X106514805Y-103927164D01* -X106487085Y-103937082D01* -X106458527Y-103944236D01* -X106429405Y-103948555D01* -X106400000Y-103950000D01* -X105600000Y-103950000D01* -X105570595Y-103948555D01* -X105541473Y-103944236D01* -X105512915Y-103937082D01* -X105485195Y-103927164D01* -X105458581Y-103914576D01* -X105433329Y-103899441D01* -X105409682Y-103881903D01* -X105387868Y-103862132D01* -X105368097Y-103840318D01* -X105350559Y-103816671D01* -X105335424Y-103791419D01* -X105322836Y-103764805D01* -X105312918Y-103737085D01* -X105305764Y-103708527D01* -X105301445Y-103679405D01* -X105300000Y-103650000D01* -X105300000Y-103050000D01* -X105301445Y-103020595D01* -X105305764Y-102991473D01* -X105312918Y-102962915D01* -X105322836Y-102935195D01* -X105335424Y-102908581D01* -X105350559Y-102883329D01* -X105368097Y-102859682D01* -X105387868Y-102837868D01* -X105409682Y-102818097D01* -X105433329Y-102800559D01* -X105458581Y-102785424D01* -X105485195Y-102772836D01* -X105512915Y-102762918D01* -X105541473Y-102755764D01* -X105570595Y-102751445D01* -X105600000Y-102750000D01* -X106400000Y-102750000D01* -X106429405Y-102751445D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108629405Y-102751445D02* -G01* -X108658527Y-102755764D01* -X108687085Y-102762918D01* -X108714805Y-102772836D01* -X108741419Y-102785424D01* -X108766671Y-102800559D01* -X108790318Y-102818097D01* -X108812132Y-102837868D01* -X108831903Y-102859682D01* -X108849441Y-102883329D01* -X108864576Y-102908581D01* -X108877164Y-102935195D01* -X108887082Y-102962915D01* -X108894236Y-102991473D01* -X108898555Y-103020595D01* -X108900000Y-103050000D01* -X108900000Y-103650000D01* -X108898555Y-103679405D01* -X108894236Y-103708527D01* -X108887082Y-103737085D01* -X108877164Y-103764805D01* -X108864576Y-103791419D01* -X108849441Y-103816671D01* -X108831903Y-103840318D01* -X108812132Y-103862132D01* -X108790318Y-103881903D01* -X108766671Y-103899441D01* -X108741419Y-103914576D01* -X108714805Y-103927164D01* -X108687085Y-103937082D01* -X108658527Y-103944236D01* -X108629405Y-103948555D01* -X108600000Y-103950000D01* -X107800000Y-103950000D01* -X107770595Y-103948555D01* -X107741473Y-103944236D01* -X107712915Y-103937082D01* -X107685195Y-103927164D01* -X107658581Y-103914576D01* -X107633329Y-103899441D01* -X107609682Y-103881903D01* -X107587868Y-103862132D01* -X107568097Y-103840318D01* -X107550559Y-103816671D01* -X107535424Y-103791419D01* -X107522836Y-103764805D01* -X107512918Y-103737085D01* -X107505764Y-103708527D01* -X107501445Y-103679405D01* -X107500000Y-103650000D01* -X107500000Y-103050000D01* -X107501445Y-103020595D01* -X107505764Y-102991473D01* -X107512918Y-102962915D01* -X107522836Y-102935195D01* -X107535424Y-102908581D01* -X107550559Y-102883329D01* -X107568097Y-102859682D01* -X107587868Y-102837868D01* -X107609682Y-102818097D01* -X107633329Y-102800559D01* -X107658581Y-102785424D01* -X107685195Y-102772836D01* -X107712915Y-102762918D01* -X107741473Y-102755764D01* -X107770595Y-102751445D01* -X107800000Y-102750000D01* -X108600000Y-102750000D01* -X108629405Y-102751445D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108629405Y-101051445D02* -G01* -X108658527Y-101055764D01* -X108687085Y-101062918D01* -X108714805Y-101072836D01* -X108741419Y-101085424D01* -X108766671Y-101100559D01* -X108790318Y-101118097D01* -X108812132Y-101137868D01* -X108831903Y-101159682D01* -X108849441Y-101183329D01* -X108864576Y-101208581D01* -X108877164Y-101235195D01* -X108887082Y-101262915D01* -X108894236Y-101291473D01* -X108898555Y-101320595D01* -X108900000Y-101350000D01* -X108900000Y-101950000D01* -X108898555Y-101979405D01* -X108894236Y-102008527D01* -X108887082Y-102037085D01* -X108877164Y-102064805D01* -X108864576Y-102091419D01* -X108849441Y-102116671D01* -X108831903Y-102140318D01* -X108812132Y-102162132D01* -X108790318Y-102181903D01* -X108766671Y-102199441D01* -X108741419Y-102214576D01* -X108714805Y-102227164D01* -X108687085Y-102237082D01* -X108658527Y-102244236D01* -X108629405Y-102248555D01* -X108600000Y-102250000D01* -X107800000Y-102250000D01* -X107770595Y-102248555D01* -X107741473Y-102244236D01* -X107712915Y-102237082D01* -X107685195Y-102227164D01* -X107658581Y-102214576D01* -X107633329Y-102199441D01* -X107609682Y-102181903D01* -X107587868Y-102162132D01* -X107568097Y-102140318D01* -X107550559Y-102116671D01* -X107535424Y-102091419D01* -X107522836Y-102064805D01* -X107512918Y-102037085D01* -X107505764Y-102008527D01* -X107501445Y-101979405D01* -X107500000Y-101950000D01* -X107500000Y-101350000D01* -X107501445Y-101320595D01* -X107505764Y-101291473D01* -X107512918Y-101262915D01* -X107522836Y-101235195D01* -X107535424Y-101208581D01* -X107550559Y-101183329D01* -X107568097Y-101159682D01* -X107587868Y-101137868D01* -X107609682Y-101118097D01* -X107633329Y-101100559D01* -X107658581Y-101085424D01* -X107685195Y-101072836D01* -X107712915Y-101062918D01* -X107741473Y-101055764D01* -X107770595Y-101051445D01* -X107800000Y-101050000D01* -X108600000Y-101050000D01* -X108629405Y-101051445D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X106429405Y-101051445D02* -G01* -X106458527Y-101055764D01* -X106487085Y-101062918D01* -X106514805Y-101072836D01* -X106541419Y-101085424D01* -X106566671Y-101100559D01* -X106590318Y-101118097D01* -X106612132Y-101137868D01* -X106631903Y-101159682D01* -X106649441Y-101183329D01* -X106664576Y-101208581D01* -X106677164Y-101235195D01* -X106687082Y-101262915D01* -X106694236Y-101291473D01* -X106698555Y-101320595D01* -X106700000Y-101350000D01* -X106700000Y-101950000D01* -X106698555Y-101979405D01* -X106694236Y-102008527D01* -X106687082Y-102037085D01* -X106677164Y-102064805D01* -X106664576Y-102091419D01* -X106649441Y-102116671D01* -X106631903Y-102140318D01* -X106612132Y-102162132D01* -X106590318Y-102181903D01* -X106566671Y-102199441D01* -X106541419Y-102214576D01* -X106514805Y-102227164D01* -X106487085Y-102237082D01* -X106458527Y-102244236D01* -X106429405Y-102248555D01* -X106400000Y-102250000D01* -X105600000Y-102250000D01* -X105570595Y-102248555D01* -X105541473Y-102244236D01* -X105512915Y-102237082D01* -X105485195Y-102227164D01* -X105458581Y-102214576D01* -X105433329Y-102199441D01* -X105409682Y-102181903D01* -X105387868Y-102162132D01* -X105368097Y-102140318D01* -X105350559Y-102116671D01* -X105335424Y-102091419D01* -X105322836Y-102064805D01* -X105312918Y-102037085D01* -X105305764Y-102008527D01* -X105301445Y-101979405D01* -X105300000Y-101950000D01* -X105300000Y-101350000D01* -X105301445Y-101320595D01* -X105305764Y-101291473D01* -X105312918Y-101262915D01* -X105322836Y-101235195D01* -X105335424Y-101208581D01* -X105350559Y-101183329D01* -X105368097Y-101159682D01* -X105387868Y-101137868D01* -X105409682Y-101118097D01* -X105433329Y-101100559D01* -X105458581Y-101085424D01* -X105485195Y-101072836D01* -X105512915Y-101062918D01* -X105541473Y-101055764D01* -X105570595Y-101051445D01* -X105600000Y-101050000D01* -X106400000Y-101050000D01* -X106429405Y-101051445D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X106233329Y-97576023D02* -G01* -X106253957Y-97579083D01* -X106274185Y-97584150D01* -X106293820Y-97591176D01* -X106312672Y-97600092D01* -X106330559Y-97610813D01* -X106347309Y-97623235D01* -X106362760Y-97637240D01* -X106376765Y-97652691D01* -X106389187Y-97669441D01* -X106399908Y-97687328D01* -X106408824Y-97706180D01* -X106415850Y-97725815D01* -X106420917Y-97746043D01* -X106423977Y-97766671D01* -X106425000Y-97787500D01* -X106425000Y-98212500D01* -X106423977Y-98233329D01* -X106420917Y-98253957D01* -X106415850Y-98274185D01* -X106408824Y-98293820D01* -X106399908Y-98312672D01* -X106389187Y-98330559D01* -X106376765Y-98347309D01* -X106362760Y-98362760D01* -X106347309Y-98376765D01* -X106330559Y-98389187D01* -X106312672Y-98399908D01* -X106293820Y-98408824D01* -X106274185Y-98415850D01* -X106253957Y-98420917D01* -X106233329Y-98423977D01* -X106212500Y-98425000D01* -X105687500Y-98425000D01* -X105666671Y-98423977D01* -X105646043Y-98420917D01* -X105625815Y-98415850D01* -X105606180Y-98408824D01* -X105587328Y-98399908D01* -X105569441Y-98389187D01* -X105552691Y-98376765D01* -X105537240Y-98362760D01* -X105523235Y-98347309D01* -X105510813Y-98330559D01* -X105500092Y-98312672D01* -X105491176Y-98293820D01* -X105484150Y-98274185D01* -X105479083Y-98253957D01* -X105476023Y-98233329D01* -X105475000Y-98212500D01* -X105475000Y-97787500D01* -X105476023Y-97766671D01* -X105479083Y-97746043D01* -X105484150Y-97725815D01* -X105491176Y-97706180D01* -X105500092Y-97687328D01* -X105510813Y-97669441D01* -X105523235Y-97652691D01* -X105537240Y-97637240D01* -X105552691Y-97623235D01* -X105569441Y-97610813D01* -X105587328Y-97600092D01* -X105606180Y-97591176D01* -X105625815Y-97584150D01* -X105646043Y-97579083D01* -X105666671Y-97576023D01* -X105687500Y-97575000D01* -X106212500Y-97575000D01* -X106233329Y-97576023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X106233329Y-99076023D02* -G01* -X106253957Y-99079083D01* -X106274185Y-99084150D01* -X106293820Y-99091176D01* -X106312672Y-99100092D01* -X106330559Y-99110813D01* -X106347309Y-99123235D01* -X106362760Y-99137240D01* -X106376765Y-99152691D01* -X106389187Y-99169441D01* -X106399908Y-99187328D01* -X106408824Y-99206180D01* -X106415850Y-99225815D01* -X106420917Y-99246043D01* -X106423977Y-99266671D01* -X106425000Y-99287500D01* -X106425000Y-99712500D01* -X106423977Y-99733329D01* -X106420917Y-99753957D01* -X106415850Y-99774185D01* -X106408824Y-99793820D01* -X106399908Y-99812672D01* -X106389187Y-99830559D01* -X106376765Y-99847309D01* -X106362760Y-99862760D01* -X106347309Y-99876765D01* -X106330559Y-99889187D01* -X106312672Y-99899908D01* -X106293820Y-99908824D01* -X106274185Y-99915850D01* -X106253957Y-99920917D01* -X106233329Y-99923977D01* -X106212500Y-99925000D01* -X105687500Y-99925000D01* -X105666671Y-99923977D01* -X105646043Y-99920917D01* -X105625815Y-99915850D01* -X105606180Y-99908824D01* -X105587328Y-99899908D01* -X105569441Y-99889187D01* -X105552691Y-99876765D01* -X105537240Y-99862760D01* -X105523235Y-99847309D01* -X105510813Y-99830559D01* -X105500092Y-99812672D01* -X105491176Y-99793820D01* -X105484150Y-99774185D01* -X105479083Y-99753957D01* -X105476023Y-99733329D01* -X105475000Y-99712500D01* -X105475000Y-99287500D01* -X105476023Y-99266671D01* -X105479083Y-99246043D01* -X105484150Y-99225815D01* -X105491176Y-99206180D01* -X105500092Y-99187328D01* -X105510813Y-99169441D01* -X105523235Y-99152691D01* -X105537240Y-99137240D01* -X105552691Y-99123235D01* -X105569441Y-99110813D01* -X105587328Y-99100092D01* -X105606180Y-99091176D01* -X105625815Y-99084150D01* -X105646043Y-99079083D01* -X105666671Y-99076023D01* -X105687500Y-99075000D01* -X106212500Y-99075000D01* -X106233329Y-99076023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111133329Y-109026023D02* -G01* -X111153957Y-109029083D01* -X111174185Y-109034150D01* -X111193820Y-109041176D01* -X111212672Y-109050092D01* -X111230559Y-109060813D01* -X111247309Y-109073235D01* -X111262760Y-109087240D01* -X111276765Y-109102691D01* -X111289187Y-109119441D01* -X111299908Y-109137328D01* -X111308824Y-109156180D01* -X111315850Y-109175815D01* -X111320917Y-109196043D01* -X111323977Y-109216671D01* -X111325000Y-109237500D01* -X111325000Y-109662500D01* -X111323977Y-109683329D01* -X111320917Y-109703957D01* -X111315850Y-109724185D01* -X111308824Y-109743820D01* -X111299908Y-109762672D01* -X111289187Y-109780559D01* -X111276765Y-109797309D01* -X111262760Y-109812760D01* -X111247309Y-109826765D01* -X111230559Y-109839187D01* -X111212672Y-109849908D01* -X111193820Y-109858824D01* -X111174185Y-109865850D01* -X111153957Y-109870917D01* -X111133329Y-109873977D01* -X111112500Y-109875000D01* -X110587500Y-109875000D01* -X110566671Y-109873977D01* -X110546043Y-109870917D01* -X110525815Y-109865850D01* -X110506180Y-109858824D01* -X110487328Y-109849908D01* -X110469441Y-109839187D01* -X110452691Y-109826765D01* -X110437240Y-109812760D01* -X110423235Y-109797309D01* -X110410813Y-109780559D01* -X110400092Y-109762672D01* -X110391176Y-109743820D01* -X110384150Y-109724185D01* -X110379083Y-109703957D01* -X110376023Y-109683329D01* -X110375000Y-109662500D01* -X110375000Y-109237500D01* -X110376023Y-109216671D01* -X110379083Y-109196043D01* -X110384150Y-109175815D01* -X110391176Y-109156180D01* -X110400092Y-109137328D01* -X110410813Y-109119441D01* -X110423235Y-109102691D01* -X110437240Y-109087240D01* -X110452691Y-109073235D01* -X110469441Y-109060813D01* -X110487328Y-109050092D01* -X110506180Y-109041176D01* -X110525815Y-109034150D01* -X110546043Y-109029083D01* -X110566671Y-109026023D01* -X110587500Y-109025000D01* -X111112500Y-109025000D01* -X111133329Y-109026023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111133329Y-107526023D02* -G01* -X111153957Y-107529083D01* -X111174185Y-107534150D01* -X111193820Y-107541176D01* -X111212672Y-107550092D01* -X111230559Y-107560813D01* -X111247309Y-107573235D01* -X111262760Y-107587240D01* -X111276765Y-107602691D01* -X111289187Y-107619441D01* -X111299908Y-107637328D01* -X111308824Y-107656180D01* -X111315850Y-107675815D01* -X111320917Y-107696043D01* -X111323977Y-107716671D01* -X111325000Y-107737500D01* -X111325000Y-108162500D01* -X111323977Y-108183329D01* -X111320917Y-108203957D01* -X111315850Y-108224185D01* -X111308824Y-108243820D01* -X111299908Y-108262672D01* -X111289187Y-108280559D01* -X111276765Y-108297309D01* -X111262760Y-108312760D01* -X111247309Y-108326765D01* -X111230559Y-108339187D01* -X111212672Y-108349908D01* -X111193820Y-108358824D01* -X111174185Y-108365850D01* -X111153957Y-108370917D01* -X111133329Y-108373977D01* -X111112500Y-108375000D01* -X110587500Y-108375000D01* -X110566671Y-108373977D01* -X110546043Y-108370917D01* -X110525815Y-108365850D01* -X110506180Y-108358824D01* -X110487328Y-108349908D01* -X110469441Y-108339187D01* -X110452691Y-108326765D01* -X110437240Y-108312760D01* -X110423235Y-108297309D01* -X110410813Y-108280559D01* -X110400092Y-108262672D01* -X110391176Y-108243820D01* -X110384150Y-108224185D01* -X110379083Y-108203957D01* -X110376023Y-108183329D01* -X110375000Y-108162500D01* -X110375000Y-107737500D01* -X110376023Y-107716671D01* -X110379083Y-107696043D01* -X110384150Y-107675815D01* -X110391176Y-107656180D01* -X110400092Y-107637328D01* -X110410813Y-107619441D01* -X110423235Y-107602691D01* -X110437240Y-107587240D01* -X110452691Y-107573235D01* -X110469441Y-107560813D01* -X110487328Y-107550092D01* -X110506180Y-107541176D01* -X110525815Y-107534150D01* -X110546043Y-107529083D01* -X110566671Y-107526023D01* -X110587500Y-107525000D01* -X111112500Y-107525000D01* -X111133329Y-107526023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X114633329Y-114876023D02* -G01* -X114653957Y-114879083D01* -X114674185Y-114884150D01* -X114693820Y-114891176D01* -X114712672Y-114900092D01* -X114730559Y-114910813D01* -X114747309Y-114923235D01* -X114762760Y-114937240D01* -X114776765Y-114952691D01* -X114789187Y-114969441D01* -X114799908Y-114987328D01* -X114808824Y-115006180D01* -X114815850Y-115025815D01* -X114820917Y-115046043D01* -X114823977Y-115066671D01* -X114825000Y-115087500D01* -X114825000Y-115612500D01* -X114823977Y-115633329D01* -X114820917Y-115653957D01* -X114815850Y-115674185D01* -X114808824Y-115693820D01* -X114799908Y-115712672D01* -X114789187Y-115730559D01* -X114776765Y-115747309D01* -X114762760Y-115762760D01* -X114747309Y-115776765D01* -X114730559Y-115789187D01* -X114712672Y-115799908D01* -X114693820Y-115808824D01* -X114674185Y-115815850D01* -X114653957Y-115820917D01* -X114633329Y-115823977D01* -X114612500Y-115825000D01* -X114187500Y-115825000D01* -X114166671Y-115823977D01* -X114146043Y-115820917D01* -X114125815Y-115815850D01* -X114106180Y-115808824D01* -X114087328Y-115799908D01* -X114069441Y-115789187D01* -X114052691Y-115776765D01* -X114037240Y-115762760D01* -X114023235Y-115747309D01* -X114010813Y-115730559D01* -X114000092Y-115712672D01* -X113991176Y-115693820D01* -X113984150Y-115674185D01* -X113979083Y-115653957D01* -X113976023Y-115633329D01* -X113975000Y-115612500D01* -X113975000Y-115087500D01* -X113976023Y-115066671D01* -X113979083Y-115046043D01* -X113984150Y-115025815D01* -X113991176Y-115006180D01* -X114000092Y-114987328D01* -X114010813Y-114969441D01* -X114023235Y-114952691D01* -X114037240Y-114937240D01* -X114052691Y-114923235D01* -X114069441Y-114910813D01* -X114087328Y-114900092D01* -X114106180Y-114891176D01* -X114125815Y-114884150D01* -X114146043Y-114879083D01* -X114166671Y-114876023D01* -X114187500Y-114875000D01* -X114612500Y-114875000D01* -X114633329Y-114876023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113133329Y-114876023D02* -G01* -X113153957Y-114879083D01* -X113174185Y-114884150D01* -X113193820Y-114891176D01* -X113212672Y-114900092D01* -X113230559Y-114910813D01* -X113247309Y-114923235D01* -X113262760Y-114937240D01* -X113276765Y-114952691D01* -X113289187Y-114969441D01* -X113299908Y-114987328D01* -X113308824Y-115006180D01* -X113315850Y-115025815D01* -X113320917Y-115046043D01* -X113323977Y-115066671D01* -X113325000Y-115087500D01* -X113325000Y-115612500D01* -X113323977Y-115633329D01* -X113320917Y-115653957D01* -X113315850Y-115674185D01* -X113308824Y-115693820D01* -X113299908Y-115712672D01* -X113289187Y-115730559D01* -X113276765Y-115747309D01* -X113262760Y-115762760D01* -X113247309Y-115776765D01* -X113230559Y-115789187D01* -X113212672Y-115799908D01* -X113193820Y-115808824D01* -X113174185Y-115815850D01* -X113153957Y-115820917D01* -X113133329Y-115823977D01* -X113112500Y-115825000D01* -X112687500Y-115825000D01* -X112666671Y-115823977D01* -X112646043Y-115820917D01* -X112625815Y-115815850D01* -X112606180Y-115808824D01* -X112587328Y-115799908D01* -X112569441Y-115789187D01* -X112552691Y-115776765D01* -X112537240Y-115762760D01* -X112523235Y-115747309D01* -X112510813Y-115730559D01* -X112500092Y-115712672D01* -X112491176Y-115693820D01* -X112484150Y-115674185D01* -X112479083Y-115653957D01* -X112476023Y-115633329D01* -X112475000Y-115612500D01* -X112475000Y-115087500D01* -X112476023Y-115066671D01* -X112479083Y-115046043D01* -X112484150Y-115025815D01* -X112491176Y-115006180D01* -X112500092Y-114987328D01* -X112510813Y-114969441D01* -X112523235Y-114952691D01* -X112537240Y-114937240D01* -X112552691Y-114923235D01* -X112569441Y-114910813D01* -X112587328Y-114900092D01* -X112606180Y-114891176D01* -X112625815Y-114884150D01* -X112646043Y-114879083D01* -X112666671Y-114876023D01* -X112687500Y-114875000D01* -X113112500Y-114875000D01* -X113133329Y-114876023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-93726023D02* -G01* -X126753957Y-93729083D01* -X126774185Y-93734150D01* -X126793820Y-93741176D01* -X126812672Y-93750092D01* -X126830559Y-93760813D01* -X126847309Y-93773235D01* -X126862760Y-93787240D01* -X126876765Y-93802691D01* -X126889187Y-93819441D01* -X126899908Y-93837328D01* -X126908824Y-93856180D01* -X126915850Y-93875815D01* -X126920917Y-93896043D01* -X126923977Y-93916671D01* -X126925000Y-93937500D01* -X126925000Y-94362500D01* -X126923977Y-94383329D01* -X126920917Y-94403957D01* -X126915850Y-94424185D01* -X126908824Y-94443820D01* -X126899908Y-94462672D01* -X126889187Y-94480559D01* -X126876765Y-94497309D01* -X126862760Y-94512760D01* -X126847309Y-94526765D01* -X126830559Y-94539187D01* -X126812672Y-94549908D01* -X126793820Y-94558824D01* -X126774185Y-94565850D01* -X126753957Y-94570917D01* -X126733329Y-94573977D01* -X126712500Y-94575000D01* -X126187500Y-94575000D01* -X126166671Y-94573977D01* -X126146043Y-94570917D01* -X126125815Y-94565850D01* -X126106180Y-94558824D01* -X126087328Y-94549908D01* -X126069441Y-94539187D01* -X126052691Y-94526765D01* -X126037240Y-94512760D01* -X126023235Y-94497309D01* -X126010813Y-94480559D01* -X126000092Y-94462672D01* -X125991176Y-94443820D01* -X125984150Y-94424185D01* -X125979083Y-94403957D01* -X125976023Y-94383329D01* -X125975000Y-94362500D01* -X125975000Y-93937500D01* -X125976023Y-93916671D01* -X125979083Y-93896043D01* -X125984150Y-93875815D01* -X125991176Y-93856180D01* -X126000092Y-93837328D01* -X126010813Y-93819441D01* -X126023235Y-93802691D01* -X126037240Y-93787240D01* -X126052691Y-93773235D01* -X126069441Y-93760813D01* -X126087328Y-93750092D01* -X126106180Y-93741176D01* -X126125815Y-93734150D01* -X126146043Y-93729083D01* -X126166671Y-93726023D01* -X126187500Y-93725000D01* -X126712500Y-93725000D01* -X126733329Y-93726023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-92226023D02* -G01* -X126753957Y-92229083D01* -X126774185Y-92234150D01* -X126793820Y-92241176D01* -X126812672Y-92250092D01* -X126830559Y-92260813D01* -X126847309Y-92273235D01* -X126862760Y-92287240D01* -X126876765Y-92302691D01* -X126889187Y-92319441D01* -X126899908Y-92337328D01* -X126908824Y-92356180D01* -X126915850Y-92375815D01* -X126920917Y-92396043D01* -X126923977Y-92416671D01* -X126925000Y-92437500D01* -X126925000Y-92862500D01* -X126923977Y-92883329D01* -X126920917Y-92903957D01* -X126915850Y-92924185D01* -X126908824Y-92943820D01* -X126899908Y-92962672D01* -X126889187Y-92980559D01* -X126876765Y-92997309D01* -X126862760Y-93012760D01* -X126847309Y-93026765D01* -X126830559Y-93039187D01* -X126812672Y-93049908D01* -X126793820Y-93058824D01* -X126774185Y-93065850D01* -X126753957Y-93070917D01* -X126733329Y-93073977D01* -X126712500Y-93075000D01* -X126187500Y-93075000D01* -X126166671Y-93073977D01* -X126146043Y-93070917D01* -X126125815Y-93065850D01* -X126106180Y-93058824D01* -X126087328Y-93049908D01* -X126069441Y-93039187D01* -X126052691Y-93026765D01* -X126037240Y-93012760D01* -X126023235Y-92997309D01* -X126010813Y-92980559D01* -X126000092Y-92962672D01* -X125991176Y-92943820D01* -X125984150Y-92924185D01* -X125979083Y-92903957D01* -X125976023Y-92883329D01* -X125975000Y-92862500D01* -X125975000Y-92437500D01* -X125976023Y-92416671D01* -X125979083Y-92396043D01* -X125984150Y-92375815D01* -X125991176Y-92356180D01* -X126000092Y-92337328D01* -X126010813Y-92319441D01* -X126023235Y-92302691D01* -X126037240Y-92287240D01* -X126052691Y-92273235D01* -X126069441Y-92260813D01* -X126087328Y-92250092D01* -X126106180Y-92241176D01* -X126125815Y-92234150D01* -X126146043Y-92229083D01* -X126166671Y-92226023D01* -X126187500Y-92225000D01* -X126712500Y-92225000D01* -X126733329Y-92226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111083329Y-104376023D02* -G01* -X111103957Y-104379083D01* -X111124185Y-104384150D01* -X111143820Y-104391176D01* -X111162672Y-104400092D01* -X111180559Y-104410813D01* -X111197309Y-104423235D01* -X111212760Y-104437240D01* -X111226765Y-104452691D01* -X111239187Y-104469441D01* -X111249908Y-104487328D01* -X111258824Y-104506180D01* -X111265850Y-104525815D01* -X111270917Y-104546043D01* -X111273977Y-104566671D01* -X111275000Y-104587500D01* -X111275000Y-105012500D01* -X111273977Y-105033329D01* -X111270917Y-105053957D01* -X111265850Y-105074185D01* -X111258824Y-105093820D01* -X111249908Y-105112672D01* -X111239187Y-105130559D01* -X111226765Y-105147309D01* -X111212760Y-105162760D01* -X111197309Y-105176765D01* -X111180559Y-105189187D01* -X111162672Y-105199908D01* -X111143820Y-105208824D01* -X111124185Y-105215850D01* -X111103957Y-105220917D01* -X111083329Y-105223977D01* -X111062500Y-105225000D01* -X110537500Y-105225000D01* -X110516671Y-105223977D01* -X110496043Y-105220917D01* -X110475815Y-105215850D01* -X110456180Y-105208824D01* -X110437328Y-105199908D01* -X110419441Y-105189187D01* -X110402691Y-105176765D01* -X110387240Y-105162760D01* -X110373235Y-105147309D01* -X110360813Y-105130559D01* -X110350092Y-105112672D01* -X110341176Y-105093820D01* -X110334150Y-105074185D01* -X110329083Y-105053957D01* -X110326023Y-105033329D01* -X110325000Y-105012500D01* -X110325000Y-104587500D01* -X110326023Y-104566671D01* -X110329083Y-104546043D01* -X110334150Y-104525815D01* -X110341176Y-104506180D01* -X110350092Y-104487328D01* -X110360813Y-104469441D01* -X110373235Y-104452691D01* -X110387240Y-104437240D01* -X110402691Y-104423235D01* -X110419441Y-104410813D01* -X110437328Y-104400092D01* -X110456180Y-104391176D01* -X110475815Y-104384150D01* -X110496043Y-104379083D01* -X110516671Y-104376023D01* -X110537500Y-104375000D01* -X111062500Y-104375000D01* -X111083329Y-104376023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X111083329Y-102876023D02* -G01* -X111103957Y-102879083D01* -X111124185Y-102884150D01* -X111143820Y-102891176D01* -X111162672Y-102900092D01* -X111180559Y-102910813D01* -X111197309Y-102923235D01* -X111212760Y-102937240D01* -X111226765Y-102952691D01* -X111239187Y-102969441D01* -X111249908Y-102987328D01* -X111258824Y-103006180D01* -X111265850Y-103025815D01* -X111270917Y-103046043D01* -X111273977Y-103066671D01* -X111275000Y-103087500D01* -X111275000Y-103512500D01* -X111273977Y-103533329D01* -X111270917Y-103553957D01* -X111265850Y-103574185D01* -X111258824Y-103593820D01* -X111249908Y-103612672D01* -X111239187Y-103630559D01* -X111226765Y-103647309D01* -X111212760Y-103662760D01* -X111197309Y-103676765D01* -X111180559Y-103689187D01* -X111162672Y-103699908D01* -X111143820Y-103708824D01* -X111124185Y-103715850D01* -X111103957Y-103720917D01* -X111083329Y-103723977D01* -X111062500Y-103725000D01* -X110537500Y-103725000D01* -X110516671Y-103723977D01* -X110496043Y-103720917D01* -X110475815Y-103715850D01* -X110456180Y-103708824D01* -X110437328Y-103699908D01* -X110419441Y-103689187D01* -X110402691Y-103676765D01* -X110387240Y-103662760D01* -X110373235Y-103647309D01* -X110360813Y-103630559D01* -X110350092Y-103612672D01* -X110341176Y-103593820D01* -X110334150Y-103574185D01* -X110329083Y-103553957D01* -X110326023Y-103533329D01* -X110325000Y-103512500D01* -X110325000Y-103087500D01* -X110326023Y-103066671D01* -X110329083Y-103046043D01* -X110334150Y-103025815D01* -X110341176Y-103006180D01* -X110350092Y-102987328D01* -X110360813Y-102969441D01* -X110373235Y-102952691D01* -X110387240Y-102937240D01* -X110402691Y-102923235D01* -X110419441Y-102910813D01* -X110437328Y-102900092D01* -X110456180Y-102891176D01* -X110475815Y-102884150D01* -X110496043Y-102879083D01* -X110516671Y-102876023D01* -X110537500Y-102875000D01* -X111062500Y-102875000D01* -X111083329Y-102876023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-106626023D02* -G01* -X126753957Y-106629083D01* -X126774185Y-106634150D01* -X126793820Y-106641176D01* -X126812672Y-106650092D01* -X126830559Y-106660813D01* -X126847309Y-106673235D01* -X126862760Y-106687240D01* -X126876765Y-106702691D01* -X126889187Y-106719441D01* -X126899908Y-106737328D01* -X126908824Y-106756180D01* -X126915850Y-106775815D01* -X126920917Y-106796043D01* -X126923977Y-106816671D01* -X126925000Y-106837500D01* -X126925000Y-107262500D01* -X126923977Y-107283329D01* -X126920917Y-107303957D01* -X126915850Y-107324185D01* -X126908824Y-107343820D01* -X126899908Y-107362672D01* -X126889187Y-107380559D01* -X126876765Y-107397309D01* -X126862760Y-107412760D01* -X126847309Y-107426765D01* -X126830559Y-107439187D01* -X126812672Y-107449908D01* -X126793820Y-107458824D01* -X126774185Y-107465850D01* -X126753957Y-107470917D01* -X126733329Y-107473977D01* -X126712500Y-107475000D01* -X126187500Y-107475000D01* -X126166671Y-107473977D01* -X126146043Y-107470917D01* -X126125815Y-107465850D01* -X126106180Y-107458824D01* -X126087328Y-107449908D01* -X126069441Y-107439187D01* -X126052691Y-107426765D01* -X126037240Y-107412760D01* -X126023235Y-107397309D01* -X126010813Y-107380559D01* -X126000092Y-107362672D01* -X125991176Y-107343820D01* -X125984150Y-107324185D01* -X125979083Y-107303957D01* -X125976023Y-107283329D01* -X125975000Y-107262500D01* -X125975000Y-106837500D01* -X125976023Y-106816671D01* -X125979083Y-106796043D01* -X125984150Y-106775815D01* -X125991176Y-106756180D01* -X126000092Y-106737328D01* -X126010813Y-106719441D01* -X126023235Y-106702691D01* -X126037240Y-106687240D01* -X126052691Y-106673235D01* -X126069441Y-106660813D01* -X126087328Y-106650092D01* -X126106180Y-106641176D01* -X126125815Y-106634150D01* -X126146043Y-106629083D01* -X126166671Y-106626023D01* -X126187500Y-106625000D01* -X126712500Y-106625000D01* -X126733329Y-106626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-108126023D02* -G01* -X126753957Y-108129083D01* -X126774185Y-108134150D01* -X126793820Y-108141176D01* -X126812672Y-108150092D01* -X126830559Y-108160813D01* -X126847309Y-108173235D01* -X126862760Y-108187240D01* -X126876765Y-108202691D01* -X126889187Y-108219441D01* -X126899908Y-108237328D01* -X126908824Y-108256180D01* -X126915850Y-108275815D01* -X126920917Y-108296043D01* -X126923977Y-108316671D01* -X126925000Y-108337500D01* -X126925000Y-108762500D01* -X126923977Y-108783329D01* -X126920917Y-108803957D01* -X126915850Y-108824185D01* -X126908824Y-108843820D01* -X126899908Y-108862672D01* -X126889187Y-108880559D01* -X126876765Y-108897309D01* -X126862760Y-108912760D01* -X126847309Y-108926765D01* -X126830559Y-108939187D01* -X126812672Y-108949908D01* -X126793820Y-108958824D01* -X126774185Y-108965850D01* -X126753957Y-108970917D01* -X126733329Y-108973977D01* -X126712500Y-108975000D01* -X126187500Y-108975000D01* -X126166671Y-108973977D01* -X126146043Y-108970917D01* -X126125815Y-108965850D01* -X126106180Y-108958824D01* -X126087328Y-108949908D01* -X126069441Y-108939187D01* -X126052691Y-108926765D01* -X126037240Y-108912760D01* -X126023235Y-108897309D01* -X126010813Y-108880559D01* -X126000092Y-108862672D01* -X125991176Y-108843820D01* -X125984150Y-108824185D01* -X125979083Y-108803957D01* -X125976023Y-108783329D01* -X125975000Y-108762500D01* -X125975000Y-108337500D01* -X125976023Y-108316671D01* -X125979083Y-108296043D01* -X125984150Y-108275815D01* -X125991176Y-108256180D01* -X126000092Y-108237328D01* -X126010813Y-108219441D01* -X126023235Y-108202691D01* -X126037240Y-108187240D01* -X126052691Y-108173235D01* -X126069441Y-108160813D01* -X126087328Y-108150092D01* -X126106180Y-108141176D01* -X126125815Y-108134150D01* -X126146043Y-108129083D01* -X126166671Y-108126023D01* -X126187500Y-108125000D01* -X126712500Y-108125000D01* -X126733329Y-108126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-111426023D02* -G01* -X126753957Y-111429083D01* -X126774185Y-111434150D01* -X126793820Y-111441176D01* -X126812672Y-111450092D01* -X126830559Y-111460813D01* -X126847309Y-111473235D01* -X126862760Y-111487240D01* -X126876765Y-111502691D01* -X126889187Y-111519441D01* -X126899908Y-111537328D01* -X126908824Y-111556180D01* -X126915850Y-111575815D01* -X126920917Y-111596043D01* -X126923977Y-111616671D01* -X126925000Y-111637500D01* -X126925000Y-112062500D01* -X126923977Y-112083329D01* -X126920917Y-112103957D01* -X126915850Y-112124185D01* -X126908824Y-112143820D01* -X126899908Y-112162672D01* -X126889187Y-112180559D01* -X126876765Y-112197309D01* -X126862760Y-112212760D01* -X126847309Y-112226765D01* -X126830559Y-112239187D01* -X126812672Y-112249908D01* -X126793820Y-112258824D01* -X126774185Y-112265850D01* -X126753957Y-112270917D01* -X126733329Y-112273977D01* -X126712500Y-112275000D01* -X126187500Y-112275000D01* -X126166671Y-112273977D01* -X126146043Y-112270917D01* -X126125815Y-112265850D01* -X126106180Y-112258824D01* -X126087328Y-112249908D01* -X126069441Y-112239187D01* -X126052691Y-112226765D01* -X126037240Y-112212760D01* -X126023235Y-112197309D01* -X126010813Y-112180559D01* -X126000092Y-112162672D01* -X125991176Y-112143820D01* -X125984150Y-112124185D01* -X125979083Y-112103957D01* -X125976023Y-112083329D01* -X125975000Y-112062500D01* -X125975000Y-111637500D01* -X125976023Y-111616671D01* -X125979083Y-111596043D01* -X125984150Y-111575815D01* -X125991176Y-111556180D01* -X126000092Y-111537328D01* -X126010813Y-111519441D01* -X126023235Y-111502691D01* -X126037240Y-111487240D01* -X126052691Y-111473235D01* -X126069441Y-111460813D01* -X126087328Y-111450092D01* -X126106180Y-111441176D01* -X126125815Y-111434150D01* -X126146043Y-111429083D01* -X126166671Y-111426023D01* -X126187500Y-111425000D01* -X126712500Y-111425000D01* -X126733329Y-111426023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-112926023D02* -G01* -X126753957Y-112929083D01* -X126774185Y-112934150D01* -X126793820Y-112941176D01* -X126812672Y-112950092D01* -X126830559Y-112960813D01* -X126847309Y-112973235D01* -X126862760Y-112987240D01* -X126876765Y-113002691D01* -X126889187Y-113019441D01* -X126899908Y-113037328D01* -X126908824Y-113056180D01* -X126915850Y-113075815D01* -X126920917Y-113096043D01* -X126923977Y-113116671D01* -X126925000Y-113137500D01* -X126925000Y-113562500D01* -X126923977Y-113583329D01* -X126920917Y-113603957D01* -X126915850Y-113624185D01* -X126908824Y-113643820D01* -X126899908Y-113662672D01* -X126889187Y-113680559D01* -X126876765Y-113697309D01* -X126862760Y-113712760D01* -X126847309Y-113726765D01* -X126830559Y-113739187D01* -X126812672Y-113749908D01* -X126793820Y-113758824D01* -X126774185Y-113765850D01* -X126753957Y-113770917D01* -X126733329Y-113773977D01* -X126712500Y-113775000D01* -X126187500Y-113775000D01* -X126166671Y-113773977D01* -X126146043Y-113770917D01* -X126125815Y-113765850D01* -X126106180Y-113758824D01* -X126087328Y-113749908D01* -X126069441Y-113739187D01* -X126052691Y-113726765D01* -X126037240Y-113712760D01* -X126023235Y-113697309D01* -X126010813Y-113680559D01* -X126000092Y-113662672D01* -X125991176Y-113643820D01* -X125984150Y-113624185D01* -X125979083Y-113603957D01* -X125976023Y-113583329D01* -X125975000Y-113562500D01* -X125975000Y-113137500D01* -X125976023Y-113116671D01* -X125979083Y-113096043D01* -X125984150Y-113075815D01* -X125991176Y-113056180D01* -X126000092Y-113037328D01* -X126010813Y-113019441D01* -X126023235Y-113002691D01* -X126037240Y-112987240D01* -X126052691Y-112973235D01* -X126069441Y-112960813D01* -X126087328Y-112950092D01* -X126106180Y-112941176D01* -X126125815Y-112934150D01* -X126146043Y-112929083D01* -X126166671Y-112926023D01* -X126187500Y-112925000D01* -X126712500Y-112925000D01* -X126733329Y-112926023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-102626023D02* -G01* -X126753957Y-102629083D01* -X126774185Y-102634150D01* -X126793820Y-102641176D01* -X126812672Y-102650092D01* -X126830559Y-102660813D01* -X126847309Y-102673235D01* -X126862760Y-102687240D01* -X126876765Y-102702691D01* -X126889187Y-102719441D01* -X126899908Y-102737328D01* -X126908824Y-102756180D01* -X126915850Y-102775815D01* -X126920917Y-102796043D01* -X126923977Y-102816671D01* -X126925000Y-102837500D01* -X126925000Y-103262500D01* -X126923977Y-103283329D01* -X126920917Y-103303957D01* -X126915850Y-103324185D01* -X126908824Y-103343820D01* -X126899908Y-103362672D01* -X126889187Y-103380559D01* -X126876765Y-103397309D01* -X126862760Y-103412760D01* -X126847309Y-103426765D01* -X126830559Y-103439187D01* -X126812672Y-103449908D01* -X126793820Y-103458824D01* -X126774185Y-103465850D01* -X126753957Y-103470917D01* -X126733329Y-103473977D01* -X126712500Y-103475000D01* -X126187500Y-103475000D01* -X126166671Y-103473977D01* -X126146043Y-103470917D01* -X126125815Y-103465850D01* -X126106180Y-103458824D01* -X126087328Y-103449908D01* -X126069441Y-103439187D01* -X126052691Y-103426765D01* -X126037240Y-103412760D01* -X126023235Y-103397309D01* -X126010813Y-103380559D01* -X126000092Y-103362672D01* -X125991176Y-103343820D01* -X125984150Y-103324185D01* -X125979083Y-103303957D01* -X125976023Y-103283329D01* -X125975000Y-103262500D01* -X125975000Y-102837500D01* -X125976023Y-102816671D01* -X125979083Y-102796043D01* -X125984150Y-102775815D01* -X125991176Y-102756180D01* -X126000092Y-102737328D01* -X126010813Y-102719441D01* -X126023235Y-102702691D01* -X126037240Y-102687240D01* -X126052691Y-102673235D01* -X126069441Y-102660813D01* -X126087328Y-102650092D01* -X126106180Y-102641176D01* -X126125815Y-102634150D01* -X126146043Y-102629083D01* -X126166671Y-102626023D01* -X126187500Y-102625000D01* -X126712500Y-102625000D01* -X126733329Y-102626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X126733329Y-104126023D02* -G01* -X126753957Y-104129083D01* -X126774185Y-104134150D01* -X126793820Y-104141176D01* -X126812672Y-104150092D01* -X126830559Y-104160813D01* -X126847309Y-104173235D01* -X126862760Y-104187240D01* -X126876765Y-104202691D01* -X126889187Y-104219441D01* -X126899908Y-104237328D01* -X126908824Y-104256180D01* -X126915850Y-104275815D01* -X126920917Y-104296043D01* -X126923977Y-104316671D01* -X126925000Y-104337500D01* -X126925000Y-104762500D01* -X126923977Y-104783329D01* -X126920917Y-104803957D01* -X126915850Y-104824185D01* -X126908824Y-104843820D01* -X126899908Y-104862672D01* -X126889187Y-104880559D01* -X126876765Y-104897309D01* -X126862760Y-104912760D01* -X126847309Y-104926765D01* -X126830559Y-104939187D01* -X126812672Y-104949908D01* -X126793820Y-104958824D01* -X126774185Y-104965850D01* -X126753957Y-104970917D01* -X126733329Y-104973977D01* -X126712500Y-104975000D01* -X126187500Y-104975000D01* -X126166671Y-104973977D01* -X126146043Y-104970917D01* -X126125815Y-104965850D01* -X126106180Y-104958824D01* -X126087328Y-104949908D01* -X126069441Y-104939187D01* -X126052691Y-104926765D01* -X126037240Y-104912760D01* -X126023235Y-104897309D01* -X126010813Y-104880559D01* -X126000092Y-104862672D01* -X125991176Y-104843820D01* -X125984150Y-104824185D01* -X125979083Y-104803957D01* -X125976023Y-104783329D01* -X125975000Y-104762500D01* -X125975000Y-104337500D01* -X125976023Y-104316671D01* -X125979083Y-104296043D01* -X125984150Y-104275815D01* -X125991176Y-104256180D01* -X126000092Y-104237328D01* -X126010813Y-104219441D01* -X126023235Y-104202691D01* -X126037240Y-104187240D01* -X126052691Y-104173235D01* -X126069441Y-104160813D01* -X126087328Y-104150092D01* -X126106180Y-104141176D01* -X126125815Y-104134150D01* -X126146043Y-104129083D01* -X126166671Y-104126023D01* -X126187500Y-104125000D01* -X126712500Y-104125000D01* -X126733329Y-104126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X76618345Y-131613835D02* -G01* -X76655329Y-131619321D01* -X76691598Y-131628406D01* -X76726802Y-131641002D01* -X76760602Y-131656988D01* -X76792672Y-131676210D01* -X76822704Y-131698483D01* -X76850408Y-131723592D01* -X76875517Y-131751296D01* -X76897790Y-131781328D01* -X76917012Y-131813398D01* -X76932998Y-131847198D01* -X76945594Y-131882402D01* -X76954679Y-131918671D01* -X76960165Y-131955655D01* -X76962000Y-131993000D01* -X76962000Y-138771000D01* -X76960165Y-138808345D01* -X76954679Y-138845329D01* -X76945594Y-138881598D01* -X76932998Y-138916802D01* -X76917012Y-138950602D01* -X76897790Y-138982672D01* -X76875517Y-139012704D01* -X76850408Y-139040408D01* -X76822704Y-139065517D01* -X76792672Y-139087790D01* -X76760602Y-139107012D01* -X76726802Y-139122998D01* -X76691598Y-139135594D01* -X76655329Y-139144679D01* -X76618345Y-139150165D01* -X76581000Y-139152000D01* -X75819000Y-139152000D01* -X75781655Y-139150165D01* -X75744671Y-139144679D01* -X75708402Y-139135594D01* -X75673198Y-139122998D01* -X75639398Y-139107012D01* -X75607328Y-139087790D01* -X75577296Y-139065517D01* -X75549592Y-139040408D01* -X75524483Y-139012704D01* -X75502210Y-138982672D01* -X75482988Y-138950602D01* -X75467002Y-138916802D01* -X75454406Y-138881598D01* -X75445321Y-138845329D01* -X75439835Y-138808345D01* -X75438000Y-138771000D01* -X75438000Y-131993000D01* -X75439835Y-131955655D01* -X75445321Y-131918671D01* -X75454406Y-131882402D01* -X75467002Y-131847198D01* -X75482988Y-131813398D01* -X75502210Y-131781328D01* -X75524483Y-131751296D01* -X75549592Y-131723592D01* -X75577296Y-131698483D01* -X75607328Y-131676210D01* -X75639398Y-131656988D01* -X75673198Y-131641002D01* -X75708402Y-131628406D01* -X75744671Y-131619321D01* -X75781655Y-131613835D01* -X75819000Y-131612000D01* -X76581000Y-131612000D01* -X76618345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X79158345Y-131613835D02* -G01* -X79195329Y-131619321D01* -X79231598Y-131628406D01* -X79266802Y-131641002D01* -X79300602Y-131656988D01* -X79332672Y-131676210D01* -X79362704Y-131698483D01* -X79390408Y-131723592D01* -X79415517Y-131751296D01* -X79437790Y-131781328D01* -X79457012Y-131813398D01* -X79472998Y-131847198D01* -X79485594Y-131882402D01* -X79494679Y-131918671D01* -X79500165Y-131955655D01* -X79502000Y-131993000D01* -X79502000Y-138771000D01* -X79500165Y-138808345D01* -X79494679Y-138845329D01* -X79485594Y-138881598D01* -X79472998Y-138916802D01* -X79457012Y-138950602D01* -X79437790Y-138982672D01* -X79415517Y-139012704D01* -X79390408Y-139040408D01* -X79362704Y-139065517D01* -X79332672Y-139087790D01* -X79300602Y-139107012D01* -X79266802Y-139122998D01* -X79231598Y-139135594D01* -X79195329Y-139144679D01* -X79158345Y-139150165D01* -X79121000Y-139152000D01* -X78359000Y-139152000D01* -X78321655Y-139150165D01* -X78284671Y-139144679D01* -X78248402Y-139135594D01* -X78213198Y-139122998D01* -X78179398Y-139107012D01* -X78147328Y-139087790D01* -X78117296Y-139065517D01* -X78089592Y-139040408D01* -X78064483Y-139012704D01* -X78042210Y-138982672D01* -X78022988Y-138950602D01* -X78007002Y-138916802D01* -X77994406Y-138881598D01* -X77985321Y-138845329D01* -X77979835Y-138808345D01* -X77978000Y-138771000D01* -X77978000Y-131993000D01* -X77979835Y-131955655D01* -X77985321Y-131918671D01* -X77994406Y-131882402D01* -X78007002Y-131847198D01* -X78022988Y-131813398D01* -X78042210Y-131781328D01* -X78064483Y-131751296D01* -X78089592Y-131723592D01* -X78117296Y-131698483D01* -X78147328Y-131676210D01* -X78179398Y-131656988D01* -X78213198Y-131641002D01* -X78248402Y-131628406D01* -X78284671Y-131619321D01* -X78321655Y-131613835D01* -X78359000Y-131612000D01* -X79121000Y-131612000D01* -X79158345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X81698345Y-131613835D02* -G01* -X81735329Y-131619321D01* -X81771598Y-131628406D01* -X81806802Y-131641002D01* -X81840602Y-131656988D01* -X81872672Y-131676210D01* -X81902704Y-131698483D01* -X81930408Y-131723592D01* -X81955517Y-131751296D01* -X81977790Y-131781328D01* -X81997012Y-131813398D01* -X82012998Y-131847198D01* -X82025594Y-131882402D01* -X82034679Y-131918671D01* -X82040165Y-131955655D01* -X82042000Y-131993000D01* -X82042000Y-138771000D01* -X82040165Y-138808345D01* -X82034679Y-138845329D01* -X82025594Y-138881598D01* -X82012998Y-138916802D01* -X81997012Y-138950602D01* -X81977790Y-138982672D01* -X81955517Y-139012704D01* -X81930408Y-139040408D01* -X81902704Y-139065517D01* -X81872672Y-139087790D01* -X81840602Y-139107012D01* -X81806802Y-139122998D01* -X81771598Y-139135594D01* -X81735329Y-139144679D01* -X81698345Y-139150165D01* -X81661000Y-139152000D01* -X80899000Y-139152000D01* -X80861655Y-139150165D01* -X80824671Y-139144679D01* -X80788402Y-139135594D01* -X80753198Y-139122998D01* -X80719398Y-139107012D01* -X80687328Y-139087790D01* -X80657296Y-139065517D01* -X80629592Y-139040408D01* -X80604483Y-139012704D01* -X80582210Y-138982672D01* -X80562988Y-138950602D01* -X80547002Y-138916802D01* -X80534406Y-138881598D01* -X80525321Y-138845329D01* -X80519835Y-138808345D01* -X80518000Y-138771000D01* -X80518000Y-131993000D01* -X80519835Y-131955655D01* -X80525321Y-131918671D01* -X80534406Y-131882402D01* -X80547002Y-131847198D01* -X80562988Y-131813398D01* -X80582210Y-131781328D01* -X80604483Y-131751296D01* -X80629592Y-131723592D01* -X80657296Y-131698483D01* -X80687328Y-131676210D01* -X80719398Y-131656988D01* -X80753198Y-131641002D01* -X80788402Y-131628406D01* -X80824671Y-131619321D01* -X80861655Y-131613835D01* -X80899000Y-131612000D01* -X81661000Y-131612000D01* -X81698345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84238345Y-131613835D02* -G01* -X84275329Y-131619321D01* -X84311598Y-131628406D01* -X84346802Y-131641002D01* -X84380602Y-131656988D01* -X84412672Y-131676210D01* -X84442704Y-131698483D01* -X84470408Y-131723592D01* -X84495517Y-131751296D01* -X84517790Y-131781328D01* -X84537012Y-131813398D01* -X84552998Y-131847198D01* -X84565594Y-131882402D01* -X84574679Y-131918671D01* -X84580165Y-131955655D01* -X84582000Y-131993000D01* -X84582000Y-138771000D01* -X84580165Y-138808345D01* -X84574679Y-138845329D01* -X84565594Y-138881598D01* -X84552998Y-138916802D01* -X84537012Y-138950602D01* -X84517790Y-138982672D01* -X84495517Y-139012704D01* -X84470408Y-139040408D01* -X84442704Y-139065517D01* -X84412672Y-139087790D01* -X84380602Y-139107012D01* -X84346802Y-139122998D01* -X84311598Y-139135594D01* -X84275329Y-139144679D01* -X84238345Y-139150165D01* -X84201000Y-139152000D01* -X83439000Y-139152000D01* -X83401655Y-139150165D01* -X83364671Y-139144679D01* -X83328402Y-139135594D01* -X83293198Y-139122998D01* -X83259398Y-139107012D01* -X83227328Y-139087790D01* -X83197296Y-139065517D01* -X83169592Y-139040408D01* -X83144483Y-139012704D01* -X83122210Y-138982672D01* -X83102988Y-138950602D01* -X83087002Y-138916802D01* -X83074406Y-138881598D01* -X83065321Y-138845329D01* -X83059835Y-138808345D01* -X83058000Y-138771000D01* -X83058000Y-131993000D01* -X83059835Y-131955655D01* -X83065321Y-131918671D01* -X83074406Y-131882402D01* -X83087002Y-131847198D01* -X83102988Y-131813398D01* -X83122210Y-131781328D01* -X83144483Y-131751296D01* -X83169592Y-131723592D01* -X83197296Y-131698483D01* -X83227328Y-131676210D01* -X83259398Y-131656988D01* -X83293198Y-131641002D01* -X83328402Y-131628406D01* -X83364671Y-131619321D01* -X83401655Y-131613835D01* -X83439000Y-131612000D01* -X84201000Y-131612000D01* -X84238345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X86778345Y-131613835D02* -G01* -X86815329Y-131619321D01* -X86851598Y-131628406D01* -X86886802Y-131641002D01* -X86920602Y-131656988D01* -X86952672Y-131676210D01* -X86982704Y-131698483D01* -X87010408Y-131723592D01* -X87035517Y-131751296D01* -X87057790Y-131781328D01* -X87077012Y-131813398D01* -X87092998Y-131847198D01* -X87105594Y-131882402D01* -X87114679Y-131918671D01* -X87120165Y-131955655D01* -X87122000Y-131993000D01* -X87122000Y-138771000D01* -X87120165Y-138808345D01* -X87114679Y-138845329D01* -X87105594Y-138881598D01* -X87092998Y-138916802D01* -X87077012Y-138950602D01* -X87057790Y-138982672D01* -X87035517Y-139012704D01* -X87010408Y-139040408D01* -X86982704Y-139065517D01* -X86952672Y-139087790D01* -X86920602Y-139107012D01* -X86886802Y-139122998D01* -X86851598Y-139135594D01* -X86815329Y-139144679D01* -X86778345Y-139150165D01* -X86741000Y-139152000D01* -X85979000Y-139152000D01* -X85941655Y-139150165D01* -X85904671Y-139144679D01* -X85868402Y-139135594D01* -X85833198Y-139122998D01* -X85799398Y-139107012D01* -X85767328Y-139087790D01* -X85737296Y-139065517D01* -X85709592Y-139040408D01* -X85684483Y-139012704D01* -X85662210Y-138982672D01* -X85642988Y-138950602D01* -X85627002Y-138916802D01* -X85614406Y-138881598D01* -X85605321Y-138845329D01* -X85599835Y-138808345D01* -X85598000Y-138771000D01* -X85598000Y-131993000D01* -X85599835Y-131955655D01* -X85605321Y-131918671D01* -X85614406Y-131882402D01* -X85627002Y-131847198D01* -X85642988Y-131813398D01* -X85662210Y-131781328D01* -X85684483Y-131751296D01* -X85709592Y-131723592D01* -X85737296Y-131698483D01* -X85767328Y-131676210D01* -X85799398Y-131656988D01* -X85833198Y-131641002D01* -X85868402Y-131628406D01* -X85904671Y-131619321D01* -X85941655Y-131613835D01* -X85979000Y-131612000D01* -X86741000Y-131612000D01* -X86778345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89318345Y-131613835D02* -G01* -X89355329Y-131619321D01* -X89391598Y-131628406D01* -X89426802Y-131641002D01* -X89460602Y-131656988D01* -X89492672Y-131676210D01* -X89522704Y-131698483D01* -X89550408Y-131723592D01* -X89575517Y-131751296D01* -X89597790Y-131781328D01* -X89617012Y-131813398D01* -X89632998Y-131847198D01* -X89645594Y-131882402D01* -X89654679Y-131918671D01* -X89660165Y-131955655D01* -X89662000Y-131993000D01* -X89662000Y-138771000D01* -X89660165Y-138808345D01* -X89654679Y-138845329D01* -X89645594Y-138881598D01* -X89632998Y-138916802D01* -X89617012Y-138950602D01* -X89597790Y-138982672D01* -X89575517Y-139012704D01* -X89550408Y-139040408D01* -X89522704Y-139065517D01* -X89492672Y-139087790D01* -X89460602Y-139107012D01* -X89426802Y-139122998D01* -X89391598Y-139135594D01* -X89355329Y-139144679D01* -X89318345Y-139150165D01* -X89281000Y-139152000D01* -X88519000Y-139152000D01* -X88481655Y-139150165D01* -X88444671Y-139144679D01* -X88408402Y-139135594D01* -X88373198Y-139122998D01* -X88339398Y-139107012D01* -X88307328Y-139087790D01* -X88277296Y-139065517D01* -X88249592Y-139040408D01* -X88224483Y-139012704D01* -X88202210Y-138982672D01* -X88182988Y-138950602D01* -X88167002Y-138916802D01* -X88154406Y-138881598D01* -X88145321Y-138845329D01* -X88139835Y-138808345D01* -X88138000Y-138771000D01* -X88138000Y-131993000D01* -X88139835Y-131955655D01* -X88145321Y-131918671D01* -X88154406Y-131882402D01* -X88167002Y-131847198D01* -X88182988Y-131813398D01* -X88202210Y-131781328D01* -X88224483Y-131751296D01* -X88249592Y-131723592D01* -X88277296Y-131698483D01* -X88307328Y-131676210D01* -X88339398Y-131656988D01* -X88373198Y-131641002D01* -X88408402Y-131628406D01* -X88444671Y-131619321D01* -X88481655Y-131613835D01* -X88519000Y-131612000D01* -X89281000Y-131612000D01* -X89318345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91858345Y-131613835D02* -G01* -X91895329Y-131619321D01* -X91931598Y-131628406D01* -X91966802Y-131641002D01* -X92000602Y-131656988D01* -X92032672Y-131676210D01* -X92062704Y-131698483D01* -X92090408Y-131723592D01* -X92115517Y-131751296D01* -X92137790Y-131781328D01* -X92157012Y-131813398D01* -X92172998Y-131847198D01* -X92185594Y-131882402D01* -X92194679Y-131918671D01* -X92200165Y-131955655D01* -X92202000Y-131993000D01* -X92202000Y-138771000D01* -X92200165Y-138808345D01* -X92194679Y-138845329D01* -X92185594Y-138881598D01* -X92172998Y-138916802D01* -X92157012Y-138950602D01* -X92137790Y-138982672D01* -X92115517Y-139012704D01* -X92090408Y-139040408D01* -X92062704Y-139065517D01* -X92032672Y-139087790D01* -X92000602Y-139107012D01* -X91966802Y-139122998D01* -X91931598Y-139135594D01* -X91895329Y-139144679D01* -X91858345Y-139150165D01* -X91821000Y-139152000D01* -X91059000Y-139152000D01* -X91021655Y-139150165D01* -X90984671Y-139144679D01* -X90948402Y-139135594D01* -X90913198Y-139122998D01* -X90879398Y-139107012D01* -X90847328Y-139087790D01* -X90817296Y-139065517D01* -X90789592Y-139040408D01* -X90764483Y-139012704D01* -X90742210Y-138982672D01* -X90722988Y-138950602D01* -X90707002Y-138916802D01* -X90694406Y-138881598D01* -X90685321Y-138845329D01* -X90679835Y-138808345D01* -X90678000Y-138771000D01* -X90678000Y-131993000D01* -X90679835Y-131955655D01* -X90685321Y-131918671D01* -X90694406Y-131882402D01* -X90707002Y-131847198D01* -X90722988Y-131813398D01* -X90742210Y-131781328D01* -X90764483Y-131751296D01* -X90789592Y-131723592D01* -X90817296Y-131698483D01* -X90847328Y-131676210D01* -X90879398Y-131656988D01* -X90913198Y-131641002D01* -X90948402Y-131628406D01* -X90984671Y-131619321D01* -X91021655Y-131613835D01* -X91059000Y-131612000D01* -X91821000Y-131612000D01* -X91858345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X94398345Y-131613835D02* -G01* -X94435329Y-131619321D01* -X94471598Y-131628406D01* -X94506802Y-131641002D01* -X94540602Y-131656988D01* -X94572672Y-131676210D01* -X94602704Y-131698483D01* -X94630408Y-131723592D01* -X94655517Y-131751296D01* -X94677790Y-131781328D01* -X94697012Y-131813398D01* -X94712998Y-131847198D01* -X94725594Y-131882402D01* -X94734679Y-131918671D01* -X94740165Y-131955655D01* -X94742000Y-131993000D01* -X94742000Y-138771000D01* -X94740165Y-138808345D01* -X94734679Y-138845329D01* -X94725594Y-138881598D01* -X94712998Y-138916802D01* -X94697012Y-138950602D01* -X94677790Y-138982672D01* -X94655517Y-139012704D01* -X94630408Y-139040408D01* -X94602704Y-139065517D01* -X94572672Y-139087790D01* -X94540602Y-139107012D01* -X94506802Y-139122998D01* -X94471598Y-139135594D01* -X94435329Y-139144679D01* -X94398345Y-139150165D01* -X94361000Y-139152000D01* -X93599000Y-139152000D01* -X93561655Y-139150165D01* -X93524671Y-139144679D01* -X93488402Y-139135594D01* -X93453198Y-139122998D01* -X93419398Y-139107012D01* -X93387328Y-139087790D01* -X93357296Y-139065517D01* -X93329592Y-139040408D01* -X93304483Y-139012704D01* -X93282210Y-138982672D01* -X93262988Y-138950602D01* -X93247002Y-138916802D01* -X93234406Y-138881598D01* -X93225321Y-138845329D01* -X93219835Y-138808345D01* -X93218000Y-138771000D01* -X93218000Y-131993000D01* -X93219835Y-131955655D01* -X93225321Y-131918671D01* -X93234406Y-131882402D01* -X93247002Y-131847198D01* -X93262988Y-131813398D01* -X93282210Y-131781328D01* -X93304483Y-131751296D01* -X93329592Y-131723592D01* -X93357296Y-131698483D01* -X93387328Y-131676210D01* -X93419398Y-131656988D01* -X93453198Y-131641002D01* -X93488402Y-131628406D01* -X93524671Y-131619321D01* -X93561655Y-131613835D01* -X93599000Y-131612000D01* -X94361000Y-131612000D01* -X94398345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96938345Y-131613835D02* -G01* -X96975329Y-131619321D01* -X97011598Y-131628406D01* -X97046802Y-131641002D01* -X97080602Y-131656988D01* -X97112672Y-131676210D01* -X97142704Y-131698483D01* -X97170408Y-131723592D01* -X97195517Y-131751296D01* -X97217790Y-131781328D01* -X97237012Y-131813398D01* -X97252998Y-131847198D01* -X97265594Y-131882402D01* -X97274679Y-131918671D01* -X97280165Y-131955655D01* -X97282000Y-131993000D01* -X97282000Y-138771000D01* -X97280165Y-138808345D01* -X97274679Y-138845329D01* -X97265594Y-138881598D01* -X97252998Y-138916802D01* -X97237012Y-138950602D01* -X97217790Y-138982672D01* -X97195517Y-139012704D01* -X97170408Y-139040408D01* -X97142704Y-139065517D01* -X97112672Y-139087790D01* -X97080602Y-139107012D01* -X97046802Y-139122998D01* -X97011598Y-139135594D01* -X96975329Y-139144679D01* -X96938345Y-139150165D01* -X96901000Y-139152000D01* -X96139000Y-139152000D01* -X96101655Y-139150165D01* -X96064671Y-139144679D01* -X96028402Y-139135594D01* -X95993198Y-139122998D01* -X95959398Y-139107012D01* -X95927328Y-139087790D01* -X95897296Y-139065517D01* -X95869592Y-139040408D01* -X95844483Y-139012704D01* -X95822210Y-138982672D01* -X95802988Y-138950602D01* -X95787002Y-138916802D01* -X95774406Y-138881598D01* -X95765321Y-138845329D01* -X95759835Y-138808345D01* -X95758000Y-138771000D01* -X95758000Y-131993000D01* -X95759835Y-131955655D01* -X95765321Y-131918671D01* -X95774406Y-131882402D01* -X95787002Y-131847198D01* -X95802988Y-131813398D01* -X95822210Y-131781328D01* -X95844483Y-131751296D01* -X95869592Y-131723592D01* -X95897296Y-131698483D01* -X95927328Y-131676210D01* -X95959398Y-131656988D01* -X95993198Y-131641002D01* -X96028402Y-131628406D01* -X96064671Y-131619321D01* -X96101655Y-131613835D01* -X96139000Y-131612000D01* -X96901000Y-131612000D01* -X96938345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99478345Y-131613835D02* -G01* -X99515329Y-131619321D01* -X99551598Y-131628406D01* -X99586802Y-131641002D01* -X99620602Y-131656988D01* -X99652672Y-131676210D01* -X99682704Y-131698483D01* -X99710408Y-131723592D01* -X99735517Y-131751296D01* -X99757790Y-131781328D01* -X99777012Y-131813398D01* -X99792998Y-131847198D01* -X99805594Y-131882402D01* -X99814679Y-131918671D01* -X99820165Y-131955655D01* -X99822000Y-131993000D01* -X99822000Y-138771000D01* -X99820165Y-138808345D01* -X99814679Y-138845329D01* -X99805594Y-138881598D01* -X99792998Y-138916802D01* -X99777012Y-138950602D01* -X99757790Y-138982672D01* -X99735517Y-139012704D01* -X99710408Y-139040408D01* -X99682704Y-139065517D01* -X99652672Y-139087790D01* -X99620602Y-139107012D01* -X99586802Y-139122998D01* -X99551598Y-139135594D01* -X99515329Y-139144679D01* -X99478345Y-139150165D01* -X99441000Y-139152000D01* -X98679000Y-139152000D01* -X98641655Y-139150165D01* -X98604671Y-139144679D01* -X98568402Y-139135594D01* -X98533198Y-139122998D01* -X98499398Y-139107012D01* -X98467328Y-139087790D01* -X98437296Y-139065517D01* -X98409592Y-139040408D01* -X98384483Y-139012704D01* -X98362210Y-138982672D01* -X98342988Y-138950602D01* -X98327002Y-138916802D01* -X98314406Y-138881598D01* -X98305321Y-138845329D01* -X98299835Y-138808345D01* -X98298000Y-138771000D01* -X98298000Y-131993000D01* -X98299835Y-131955655D01* -X98305321Y-131918671D01* -X98314406Y-131882402D01* -X98327002Y-131847198D01* -X98342988Y-131813398D01* -X98362210Y-131781328D01* -X98384483Y-131751296D01* -X98409592Y-131723592D01* -X98437296Y-131698483D01* -X98467328Y-131676210D01* -X98499398Y-131656988D01* -X98533198Y-131641002D01* -X98568402Y-131628406D01* -X98604671Y-131619321D01* -X98641655Y-131613835D01* -X98679000Y-131612000D01* -X99441000Y-131612000D01* -X99478345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102018345Y-131613835D02* -G01* -X102055329Y-131619321D01* -X102091598Y-131628406D01* -X102126802Y-131641002D01* -X102160602Y-131656988D01* -X102192672Y-131676210D01* -X102222704Y-131698483D01* -X102250408Y-131723592D01* -X102275517Y-131751296D01* -X102297790Y-131781328D01* -X102317012Y-131813398D01* -X102332998Y-131847198D01* -X102345594Y-131882402D01* -X102354679Y-131918671D01* -X102360165Y-131955655D01* -X102362000Y-131993000D01* -X102362000Y-138771000D01* -X102360165Y-138808345D01* -X102354679Y-138845329D01* -X102345594Y-138881598D01* -X102332998Y-138916802D01* -X102317012Y-138950602D01* -X102297790Y-138982672D01* -X102275517Y-139012704D01* -X102250408Y-139040408D01* -X102222704Y-139065517D01* -X102192672Y-139087790D01* -X102160602Y-139107012D01* -X102126802Y-139122998D01* -X102091598Y-139135594D01* -X102055329Y-139144679D01* -X102018345Y-139150165D01* -X101981000Y-139152000D01* -X101219000Y-139152000D01* -X101181655Y-139150165D01* -X101144671Y-139144679D01* -X101108402Y-139135594D01* -X101073198Y-139122998D01* -X101039398Y-139107012D01* -X101007328Y-139087790D01* -X100977296Y-139065517D01* -X100949592Y-139040408D01* -X100924483Y-139012704D01* -X100902210Y-138982672D01* -X100882988Y-138950602D01* -X100867002Y-138916802D01* -X100854406Y-138881598D01* -X100845321Y-138845329D01* -X100839835Y-138808345D01* -X100838000Y-138771000D01* -X100838000Y-131993000D01* -X100839835Y-131955655D01* -X100845321Y-131918671D01* -X100854406Y-131882402D01* -X100867002Y-131847198D01* -X100882988Y-131813398D01* -X100902210Y-131781328D01* -X100924483Y-131751296D01* -X100949592Y-131723592D01* -X100977296Y-131698483D01* -X101007328Y-131676210D01* -X101039398Y-131656988D01* -X101073198Y-131641002D01* -X101108402Y-131628406D01* -X101144671Y-131619321D01* -X101181655Y-131613835D01* -X101219000Y-131612000D01* -X101981000Y-131612000D01* -X102018345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104558345Y-131613835D02* -G01* -X104595329Y-131619321D01* -X104631598Y-131628406D01* -X104666802Y-131641002D01* -X104700602Y-131656988D01* -X104732672Y-131676210D01* -X104762704Y-131698483D01* -X104790408Y-131723592D01* -X104815517Y-131751296D01* -X104837790Y-131781328D01* -X104857012Y-131813398D01* -X104872998Y-131847198D01* -X104885594Y-131882402D01* -X104894679Y-131918671D01* -X104900165Y-131955655D01* -X104902000Y-131993000D01* -X104902000Y-138771000D01* -X104900165Y-138808345D01* -X104894679Y-138845329D01* -X104885594Y-138881598D01* -X104872998Y-138916802D01* -X104857012Y-138950602D01* -X104837790Y-138982672D01* -X104815517Y-139012704D01* -X104790408Y-139040408D01* -X104762704Y-139065517D01* -X104732672Y-139087790D01* -X104700602Y-139107012D01* -X104666802Y-139122998D01* -X104631598Y-139135594D01* -X104595329Y-139144679D01* -X104558345Y-139150165D01* -X104521000Y-139152000D01* -X103759000Y-139152000D01* -X103721655Y-139150165D01* -X103684671Y-139144679D01* -X103648402Y-139135594D01* -X103613198Y-139122998D01* -X103579398Y-139107012D01* -X103547328Y-139087790D01* -X103517296Y-139065517D01* -X103489592Y-139040408D01* -X103464483Y-139012704D01* -X103442210Y-138982672D01* -X103422988Y-138950602D01* -X103407002Y-138916802D01* -X103394406Y-138881598D01* -X103385321Y-138845329D01* -X103379835Y-138808345D01* -X103378000Y-138771000D01* -X103378000Y-131993000D01* -X103379835Y-131955655D01* -X103385321Y-131918671D01* -X103394406Y-131882402D01* -X103407002Y-131847198D01* -X103422988Y-131813398D01* -X103442210Y-131781328D01* -X103464483Y-131751296D01* -X103489592Y-131723592D01* -X103517296Y-131698483D01* -X103547328Y-131676210D01* -X103579398Y-131656988D01* -X103613198Y-131641002D01* -X103648402Y-131628406D01* -X103684671Y-131619321D01* -X103721655Y-131613835D01* -X103759000Y-131612000D01* -X104521000Y-131612000D01* -X104558345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107098345Y-131613835D02* -G01* -X107135329Y-131619321D01* -X107171598Y-131628406D01* -X107206802Y-131641002D01* -X107240602Y-131656988D01* -X107272672Y-131676210D01* -X107302704Y-131698483D01* -X107330408Y-131723592D01* -X107355517Y-131751296D01* -X107377790Y-131781328D01* -X107397012Y-131813398D01* -X107412998Y-131847198D01* -X107425594Y-131882402D01* -X107434679Y-131918671D01* -X107440165Y-131955655D01* -X107442000Y-131993000D01* -X107442000Y-138771000D01* -X107440165Y-138808345D01* -X107434679Y-138845329D01* -X107425594Y-138881598D01* -X107412998Y-138916802D01* -X107397012Y-138950602D01* -X107377790Y-138982672D01* -X107355517Y-139012704D01* -X107330408Y-139040408D01* -X107302704Y-139065517D01* -X107272672Y-139087790D01* -X107240602Y-139107012D01* -X107206802Y-139122998D01* -X107171598Y-139135594D01* -X107135329Y-139144679D01* -X107098345Y-139150165D01* -X107061000Y-139152000D01* -X106299000Y-139152000D01* -X106261655Y-139150165D01* -X106224671Y-139144679D01* -X106188402Y-139135594D01* -X106153198Y-139122998D01* -X106119398Y-139107012D01* -X106087328Y-139087790D01* -X106057296Y-139065517D01* -X106029592Y-139040408D01* -X106004483Y-139012704D01* -X105982210Y-138982672D01* -X105962988Y-138950602D01* -X105947002Y-138916802D01* -X105934406Y-138881598D01* -X105925321Y-138845329D01* -X105919835Y-138808345D01* -X105918000Y-138771000D01* -X105918000Y-131993000D01* -X105919835Y-131955655D01* -X105925321Y-131918671D01* -X105934406Y-131882402D01* -X105947002Y-131847198D01* -X105962988Y-131813398D01* -X105982210Y-131781328D01* -X106004483Y-131751296D01* -X106029592Y-131723592D01* -X106057296Y-131698483D01* -X106087328Y-131676210D01* -X106119398Y-131656988D01* -X106153198Y-131641002D01* -X106188402Y-131628406D01* -X106224671Y-131619321D01* -X106261655Y-131613835D01* -X106299000Y-131612000D01* -X107061000Y-131612000D01* -X107098345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109638345Y-131613835D02* -G01* -X109675329Y-131619321D01* -X109711598Y-131628406D01* -X109746802Y-131641002D01* -X109780602Y-131656988D01* -X109812672Y-131676210D01* -X109842704Y-131698483D01* -X109870408Y-131723592D01* -X109895517Y-131751296D01* -X109917790Y-131781328D01* -X109937012Y-131813398D01* -X109952998Y-131847198D01* -X109965594Y-131882402D01* -X109974679Y-131918671D01* -X109980165Y-131955655D01* -X109982000Y-131993000D01* -X109982000Y-138771000D01* -X109980165Y-138808345D01* -X109974679Y-138845329D01* -X109965594Y-138881598D01* -X109952998Y-138916802D01* -X109937012Y-138950602D01* -X109917790Y-138982672D01* -X109895517Y-139012704D01* -X109870408Y-139040408D01* -X109842704Y-139065517D01* -X109812672Y-139087790D01* -X109780602Y-139107012D01* -X109746802Y-139122998D01* -X109711598Y-139135594D01* -X109675329Y-139144679D01* -X109638345Y-139150165D01* -X109601000Y-139152000D01* -X108839000Y-139152000D01* -X108801655Y-139150165D01* -X108764671Y-139144679D01* -X108728402Y-139135594D01* -X108693198Y-139122998D01* -X108659398Y-139107012D01* -X108627328Y-139087790D01* -X108597296Y-139065517D01* -X108569592Y-139040408D01* -X108544483Y-139012704D01* -X108522210Y-138982672D01* -X108502988Y-138950602D01* -X108487002Y-138916802D01* -X108474406Y-138881598D01* -X108465321Y-138845329D01* -X108459835Y-138808345D01* -X108458000Y-138771000D01* -X108458000Y-131993000D01* -X108459835Y-131955655D01* -X108465321Y-131918671D01* -X108474406Y-131882402D01* -X108487002Y-131847198D01* -X108502988Y-131813398D01* -X108522210Y-131781328D01* -X108544483Y-131751296D01* -X108569592Y-131723592D01* -X108597296Y-131698483D01* -X108627328Y-131676210D01* -X108659398Y-131656988D01* -X108693198Y-131641002D01* -X108728402Y-131628406D01* -X108764671Y-131619321D01* -X108801655Y-131613835D01* -X108839000Y-131612000D01* -X109601000Y-131612000D01* -X109638345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X112178345Y-131613835D02* -G01* -X112215329Y-131619321D01* -X112251598Y-131628406D01* -X112286802Y-131641002D01* -X112320602Y-131656988D01* -X112352672Y-131676210D01* -X112382704Y-131698483D01* -X112410408Y-131723592D01* -X112435517Y-131751296D01* -X112457790Y-131781328D01* -X112477012Y-131813398D01* -X112492998Y-131847198D01* -X112505594Y-131882402D01* -X112514679Y-131918671D01* -X112520165Y-131955655D01* -X112522000Y-131993000D01* -X112522000Y-138771000D01* -X112520165Y-138808345D01* -X112514679Y-138845329D01* -X112505594Y-138881598D01* -X112492998Y-138916802D01* -X112477012Y-138950602D01* -X112457790Y-138982672D01* -X112435517Y-139012704D01* -X112410408Y-139040408D01* -X112382704Y-139065517D01* -X112352672Y-139087790D01* -X112320602Y-139107012D01* -X112286802Y-139122998D01* -X112251598Y-139135594D01* -X112215329Y-139144679D01* -X112178345Y-139150165D01* -X112141000Y-139152000D01* -X111379000Y-139152000D01* -X111341655Y-139150165D01* -X111304671Y-139144679D01* -X111268402Y-139135594D01* -X111233198Y-139122998D01* -X111199398Y-139107012D01* -X111167328Y-139087790D01* -X111137296Y-139065517D01* -X111109592Y-139040408D01* -X111084483Y-139012704D01* -X111062210Y-138982672D01* -X111042988Y-138950602D01* -X111027002Y-138916802D01* -X111014406Y-138881598D01* -X111005321Y-138845329D01* -X110999835Y-138808345D01* -X110998000Y-138771000D01* -X110998000Y-131993000D01* -X110999835Y-131955655D01* -X111005321Y-131918671D01* -X111014406Y-131882402D01* -X111027002Y-131847198D01* -X111042988Y-131813398D01* -X111062210Y-131781328D01* -X111084483Y-131751296D01* -X111109592Y-131723592D01* -X111137296Y-131698483D01* -X111167328Y-131676210D01* -X111199398Y-131656988D01* -X111233198Y-131641002D01* -X111268402Y-131628406D01* -X111304671Y-131619321D01* -X111341655Y-131613835D01* -X111379000Y-131612000D01* -X112141000Y-131612000D01* -X112178345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X114718345Y-131613835D02* -G01* -X114755329Y-131619321D01* -X114791598Y-131628406D01* -X114826802Y-131641002D01* -X114860602Y-131656988D01* -X114892672Y-131676210D01* -X114922704Y-131698483D01* -X114950408Y-131723592D01* -X114975517Y-131751296D01* -X114997790Y-131781328D01* -X115017012Y-131813398D01* -X115032998Y-131847198D01* -X115045594Y-131882402D01* -X115054679Y-131918671D01* -X115060165Y-131955655D01* -X115062000Y-131993000D01* -X115062000Y-138771000D01* -X115060165Y-138808345D01* -X115054679Y-138845329D01* -X115045594Y-138881598D01* -X115032998Y-138916802D01* -X115017012Y-138950602D01* -X114997790Y-138982672D01* -X114975517Y-139012704D01* -X114950408Y-139040408D01* -X114922704Y-139065517D01* -X114892672Y-139087790D01* -X114860602Y-139107012D01* -X114826802Y-139122998D01* -X114791598Y-139135594D01* -X114755329Y-139144679D01* -X114718345Y-139150165D01* -X114681000Y-139152000D01* -X113919000Y-139152000D01* -X113881655Y-139150165D01* -X113844671Y-139144679D01* -X113808402Y-139135594D01* -X113773198Y-139122998D01* -X113739398Y-139107012D01* -X113707328Y-139087790D01* -X113677296Y-139065517D01* -X113649592Y-139040408D01* -X113624483Y-139012704D01* -X113602210Y-138982672D01* -X113582988Y-138950602D01* -X113567002Y-138916802D01* -X113554406Y-138881598D01* -X113545321Y-138845329D01* -X113539835Y-138808345D01* -X113538000Y-138771000D01* -X113538000Y-131993000D01* -X113539835Y-131955655D01* -X113545321Y-131918671D01* -X113554406Y-131882402D01* -X113567002Y-131847198D01* -X113582988Y-131813398D01* -X113602210Y-131781328D01* -X113624483Y-131751296D01* -X113649592Y-131723592D01* -X113677296Y-131698483D01* -X113707328Y-131676210D01* -X113739398Y-131656988D01* -X113773198Y-131641002D01* -X113808402Y-131628406D01* -X113844671Y-131619321D01* -X113881655Y-131613835D01* -X113919000Y-131612000D01* -X114681000Y-131612000D01* -X114718345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X117258345Y-131613835D02* -G01* -X117295329Y-131619321D01* -X117331598Y-131628406D01* -X117366802Y-131641002D01* -X117400602Y-131656988D01* -X117432672Y-131676210D01* -X117462704Y-131698483D01* -X117490408Y-131723592D01* -X117515517Y-131751296D01* -X117537790Y-131781328D01* -X117557012Y-131813398D01* -X117572998Y-131847198D01* -X117585594Y-131882402D01* -X117594679Y-131918671D01* -X117600165Y-131955655D01* -X117602000Y-131993000D01* -X117602000Y-138771000D01* -X117600165Y-138808345D01* -X117594679Y-138845329D01* -X117585594Y-138881598D01* -X117572998Y-138916802D01* -X117557012Y-138950602D01* -X117537790Y-138982672D01* -X117515517Y-139012704D01* -X117490408Y-139040408D01* -X117462704Y-139065517D01* -X117432672Y-139087790D01* -X117400602Y-139107012D01* -X117366802Y-139122998D01* -X117331598Y-139135594D01* -X117295329Y-139144679D01* -X117258345Y-139150165D01* -X117221000Y-139152000D01* -X116459000Y-139152000D01* -X116421655Y-139150165D01* -X116384671Y-139144679D01* -X116348402Y-139135594D01* -X116313198Y-139122998D01* -X116279398Y-139107012D01* -X116247328Y-139087790D01* -X116217296Y-139065517D01* -X116189592Y-139040408D01* -X116164483Y-139012704D01* -X116142210Y-138982672D01* -X116122988Y-138950602D01* -X116107002Y-138916802D01* -X116094406Y-138881598D01* -X116085321Y-138845329D01* -X116079835Y-138808345D01* -X116078000Y-138771000D01* -X116078000Y-131993000D01* -X116079835Y-131955655D01* -X116085321Y-131918671D01* -X116094406Y-131882402D01* -X116107002Y-131847198D01* -X116122988Y-131813398D01* -X116142210Y-131781328D01* -X116164483Y-131751296D01* -X116189592Y-131723592D01* -X116217296Y-131698483D01* -X116247328Y-131676210D01* -X116279398Y-131656988D01* -X116313198Y-131641002D01* -X116348402Y-131628406D01* -X116384671Y-131619321D01* -X116421655Y-131613835D01* -X116459000Y-131612000D01* -X117221000Y-131612000D01* -X117258345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X119798345Y-131613835D02* -G01* -X119835329Y-131619321D01* -X119871598Y-131628406D01* -X119906802Y-131641002D01* -X119940602Y-131656988D01* -X119972672Y-131676210D01* -X120002704Y-131698483D01* -X120030408Y-131723592D01* -X120055517Y-131751296D01* -X120077790Y-131781328D01* -X120097012Y-131813398D01* -X120112998Y-131847198D01* -X120125594Y-131882402D01* -X120134679Y-131918671D01* -X120140165Y-131955655D01* -X120142000Y-131993000D01* -X120142000Y-138771000D01* -X120140165Y-138808345D01* -X120134679Y-138845329D01* -X120125594Y-138881598D01* -X120112998Y-138916802D01* -X120097012Y-138950602D01* -X120077790Y-138982672D01* -X120055517Y-139012704D01* -X120030408Y-139040408D01* -X120002704Y-139065517D01* -X119972672Y-139087790D01* -X119940602Y-139107012D01* -X119906802Y-139122998D01* -X119871598Y-139135594D01* -X119835329Y-139144679D01* -X119798345Y-139150165D01* -X119761000Y-139152000D01* -X118999000Y-139152000D01* -X118961655Y-139150165D01* -X118924671Y-139144679D01* -X118888402Y-139135594D01* -X118853198Y-139122998D01* -X118819398Y-139107012D01* -X118787328Y-139087790D01* -X118757296Y-139065517D01* -X118729592Y-139040408D01* -X118704483Y-139012704D01* -X118682210Y-138982672D01* -X118662988Y-138950602D01* -X118647002Y-138916802D01* -X118634406Y-138881598D01* -X118625321Y-138845329D01* -X118619835Y-138808345D01* -X118618000Y-138771000D01* -X118618000Y-131993000D01* -X118619835Y-131955655D01* -X118625321Y-131918671D01* -X118634406Y-131882402D01* -X118647002Y-131847198D01* -X118662988Y-131813398D01* -X118682210Y-131781328D01* -X118704483Y-131751296D01* -X118729592Y-131723592D01* -X118757296Y-131698483D01* -X118787328Y-131676210D01* -X118819398Y-131656988D01* -X118853198Y-131641002D01* -X118888402Y-131628406D01* -X118924671Y-131619321D01* -X118961655Y-131613835D01* -X118999000Y-131612000D01* -X119761000Y-131612000D01* -X119798345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X122338345Y-131613835D02* -G01* -X122375329Y-131619321D01* -X122411598Y-131628406D01* -X122446802Y-131641002D01* -X122480602Y-131656988D01* -X122512672Y-131676210D01* -X122542704Y-131698483D01* -X122570408Y-131723592D01* -X122595517Y-131751296D01* -X122617790Y-131781328D01* -X122637012Y-131813398D01* -X122652998Y-131847198D01* -X122665594Y-131882402D01* -X122674679Y-131918671D01* -X122680165Y-131955655D01* -X122682000Y-131993000D01* -X122682000Y-138771000D01* -X122680165Y-138808345D01* -X122674679Y-138845329D01* -X122665594Y-138881598D01* -X122652998Y-138916802D01* -X122637012Y-138950602D01* -X122617790Y-138982672D01* -X122595517Y-139012704D01* -X122570408Y-139040408D01* -X122542704Y-139065517D01* -X122512672Y-139087790D01* -X122480602Y-139107012D01* -X122446802Y-139122998D01* -X122411598Y-139135594D01* -X122375329Y-139144679D01* -X122338345Y-139150165D01* -X122301000Y-139152000D01* -X121539000Y-139152000D01* -X121501655Y-139150165D01* -X121464671Y-139144679D01* -X121428402Y-139135594D01* -X121393198Y-139122998D01* -X121359398Y-139107012D01* -X121327328Y-139087790D01* -X121297296Y-139065517D01* -X121269592Y-139040408D01* -X121244483Y-139012704D01* -X121222210Y-138982672D01* -X121202988Y-138950602D01* -X121187002Y-138916802D01* -X121174406Y-138881598D01* -X121165321Y-138845329D01* -X121159835Y-138808345D01* -X121158000Y-138771000D01* -X121158000Y-131993000D01* -X121159835Y-131955655D01* -X121165321Y-131918671D01* -X121174406Y-131882402D01* -X121187002Y-131847198D01* -X121202988Y-131813398D01* -X121222210Y-131781328D01* -X121244483Y-131751296D01* -X121269592Y-131723592D01* -X121297296Y-131698483D01* -X121327328Y-131676210D01* -X121359398Y-131656988D01* -X121393198Y-131641002D01* -X121428402Y-131628406D01* -X121464671Y-131619321D01* -X121501655Y-131613835D01* -X121539000Y-131612000D01* -X122301000Y-131612000D01* -X122338345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X124878345Y-131613835D02* -G01* -X124915329Y-131619321D01* -X124951598Y-131628406D01* -X124986802Y-131641002D01* -X125020602Y-131656988D01* -X125052672Y-131676210D01* -X125082704Y-131698483D01* -X125110408Y-131723592D01* -X125135517Y-131751296D01* -X125157790Y-131781328D01* -X125177012Y-131813398D01* -X125192998Y-131847198D01* -X125205594Y-131882402D01* -X125214679Y-131918671D01* -X125220165Y-131955655D01* -X125222000Y-131993000D01* -X125222000Y-138771000D01* -X125220165Y-138808345D01* -X125214679Y-138845329D01* -X125205594Y-138881598D01* -X125192998Y-138916802D01* -X125177012Y-138950602D01* -X125157790Y-138982672D01* -X125135517Y-139012704D01* -X125110408Y-139040408D01* -X125082704Y-139065517D01* -X125052672Y-139087790D01* -X125020602Y-139107012D01* -X124986802Y-139122998D01* -X124951598Y-139135594D01* -X124915329Y-139144679D01* -X124878345Y-139150165D01* -X124841000Y-139152000D01* -X124079000Y-139152000D01* -X124041655Y-139150165D01* -X124004671Y-139144679D01* -X123968402Y-139135594D01* -X123933198Y-139122998D01* -X123899398Y-139107012D01* -X123867328Y-139087790D01* -X123837296Y-139065517D01* -X123809592Y-139040408D01* -X123784483Y-139012704D01* -X123762210Y-138982672D01* -X123742988Y-138950602D01* -X123727002Y-138916802D01* -X123714406Y-138881598D01* -X123705321Y-138845329D01* -X123699835Y-138808345D01* -X123698000Y-138771000D01* -X123698000Y-131993000D01* -X123699835Y-131955655D01* -X123705321Y-131918671D01* -X123714406Y-131882402D01* -X123727002Y-131847198D01* -X123742988Y-131813398D01* -X123762210Y-131781328D01* -X123784483Y-131751296D01* -X123809592Y-131723592D01* -X123837296Y-131698483D01* -X123867328Y-131676210D01* -X123899398Y-131656988D01* -X123933198Y-131641002D01* -X123968402Y-131628406D01* -X124004671Y-131619321D01* -X124041655Y-131613835D01* -X124079000Y-131612000D01* -X124841000Y-131612000D01* -X124878345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X127418345Y-131613835D02* -G01* -X127455329Y-131619321D01* -X127491598Y-131628406D01* -X127526802Y-131641002D01* -X127560602Y-131656988D01* -X127592672Y-131676210D01* -X127622704Y-131698483D01* -X127650408Y-131723592D01* -X127675517Y-131751296D01* -X127697790Y-131781328D01* -X127717012Y-131813398D01* -X127732998Y-131847198D01* -X127745594Y-131882402D01* -X127754679Y-131918671D01* -X127760165Y-131955655D01* -X127762000Y-131993000D01* -X127762000Y-138771000D01* -X127760165Y-138808345D01* -X127754679Y-138845329D01* -X127745594Y-138881598D01* -X127732998Y-138916802D01* -X127717012Y-138950602D01* -X127697790Y-138982672D01* -X127675517Y-139012704D01* -X127650408Y-139040408D01* -X127622704Y-139065517D01* -X127592672Y-139087790D01* -X127560602Y-139107012D01* -X127526802Y-139122998D01* -X127491598Y-139135594D01* -X127455329Y-139144679D01* -X127418345Y-139150165D01* -X127381000Y-139152000D01* -X126619000Y-139152000D01* -X126581655Y-139150165D01* -X126544671Y-139144679D01* -X126508402Y-139135594D01* -X126473198Y-139122998D01* -X126439398Y-139107012D01* -X126407328Y-139087790D01* -X126377296Y-139065517D01* -X126349592Y-139040408D01* -X126324483Y-139012704D01* -X126302210Y-138982672D01* -X126282988Y-138950602D01* -X126267002Y-138916802D01* -X126254406Y-138881598D01* -X126245321Y-138845329D01* -X126239835Y-138808345D01* -X126238000Y-138771000D01* -X126238000Y-131993000D01* -X126239835Y-131955655D01* -X126245321Y-131918671D01* -X126254406Y-131882402D01* -X126267002Y-131847198D01* -X126282988Y-131813398D01* -X126302210Y-131781328D01* -X126324483Y-131751296D01* -X126349592Y-131723592D01* -X126377296Y-131698483D01* -X126407328Y-131676210D01* -X126439398Y-131656988D01* -X126473198Y-131641002D01* -X126508402Y-131628406D01* -X126544671Y-131619321D01* -X126581655Y-131613835D01* -X126619000Y-131612000D01* -X127381000Y-131612000D01* -X127418345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X129958345Y-131613835D02* -G01* -X129995329Y-131619321D01* -X130031598Y-131628406D01* -X130066802Y-131641002D01* -X130100602Y-131656988D01* -X130132672Y-131676210D01* -X130162704Y-131698483D01* -X130190408Y-131723592D01* -X130215517Y-131751296D01* -X130237790Y-131781328D01* -X130257012Y-131813398D01* -X130272998Y-131847198D01* -X130285594Y-131882402D01* -X130294679Y-131918671D01* -X130300165Y-131955655D01* -X130302000Y-131993000D01* -X130302000Y-138771000D01* -X130300165Y-138808345D01* -X130294679Y-138845329D01* -X130285594Y-138881598D01* -X130272998Y-138916802D01* -X130257012Y-138950602D01* -X130237790Y-138982672D01* -X130215517Y-139012704D01* -X130190408Y-139040408D01* -X130162704Y-139065517D01* -X130132672Y-139087790D01* -X130100602Y-139107012D01* -X130066802Y-139122998D01* -X130031598Y-139135594D01* -X129995329Y-139144679D01* -X129958345Y-139150165D01* -X129921000Y-139152000D01* -X129159000Y-139152000D01* -X129121655Y-139150165D01* -X129084671Y-139144679D01* -X129048402Y-139135594D01* -X129013198Y-139122998D01* -X128979398Y-139107012D01* -X128947328Y-139087790D01* -X128917296Y-139065517D01* -X128889592Y-139040408D01* -X128864483Y-139012704D01* -X128842210Y-138982672D01* -X128822988Y-138950602D01* -X128807002Y-138916802D01* -X128794406Y-138881598D01* -X128785321Y-138845329D01* -X128779835Y-138808345D01* -X128778000Y-138771000D01* -X128778000Y-131993000D01* -X128779835Y-131955655D01* -X128785321Y-131918671D01* -X128794406Y-131882402D01* -X128807002Y-131847198D01* -X128822988Y-131813398D01* -X128842210Y-131781328D01* -X128864483Y-131751296D01* -X128889592Y-131723592D01* -X128917296Y-131698483D01* -X128947328Y-131676210D01* -X128979398Y-131656988D01* -X129013198Y-131641002D01* -X129048402Y-131628406D01* -X129084671Y-131619321D01* -X129121655Y-131613835D01* -X129159000Y-131612000D01* -X129921000Y-131612000D01* -X129958345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X132498345Y-131613835D02* -G01* -X132535329Y-131619321D01* -X132571598Y-131628406D01* -X132606802Y-131641002D01* -X132640602Y-131656988D01* -X132672672Y-131676210D01* -X132702704Y-131698483D01* -X132730408Y-131723592D01* -X132755517Y-131751296D01* -X132777790Y-131781328D01* -X132797012Y-131813398D01* -X132812998Y-131847198D01* -X132825594Y-131882402D01* -X132834679Y-131918671D01* -X132840165Y-131955655D01* -X132842000Y-131993000D01* -X132842000Y-138771000D01* -X132840165Y-138808345D01* -X132834679Y-138845329D01* -X132825594Y-138881598D01* -X132812998Y-138916802D01* -X132797012Y-138950602D01* -X132777790Y-138982672D01* -X132755517Y-139012704D01* -X132730408Y-139040408D01* -X132702704Y-139065517D01* -X132672672Y-139087790D01* -X132640602Y-139107012D01* -X132606802Y-139122998D01* -X132571598Y-139135594D01* -X132535329Y-139144679D01* -X132498345Y-139150165D01* -X132461000Y-139152000D01* -X131699000Y-139152000D01* -X131661655Y-139150165D01* -X131624671Y-139144679D01* -X131588402Y-139135594D01* -X131553198Y-139122998D01* -X131519398Y-139107012D01* -X131487328Y-139087790D01* -X131457296Y-139065517D01* -X131429592Y-139040408D01* -X131404483Y-139012704D01* -X131382210Y-138982672D01* -X131362988Y-138950602D01* -X131347002Y-138916802D01* -X131334406Y-138881598D01* -X131325321Y-138845329D01* -X131319835Y-138808345D01* -X131318000Y-138771000D01* -X131318000Y-131993000D01* -X131319835Y-131955655D01* -X131325321Y-131918671D01* -X131334406Y-131882402D01* -X131347002Y-131847198D01* -X131362988Y-131813398D01* -X131382210Y-131781328D01* -X131404483Y-131751296D01* -X131429592Y-131723592D01* -X131457296Y-131698483D01* -X131487328Y-131676210D01* -X131519398Y-131656988D01* -X131553198Y-131641002D01* -X131588402Y-131628406D01* -X131624671Y-131619321D01* -X131661655Y-131613835D01* -X131699000Y-131612000D01* -X132461000Y-131612000D01* -X132498345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135038345Y-131613835D02* -G01* -X135075329Y-131619321D01* -X135111598Y-131628406D01* -X135146802Y-131641002D01* -X135180602Y-131656988D01* -X135212672Y-131676210D01* -X135242704Y-131698483D01* -X135270408Y-131723592D01* -X135295517Y-131751296D01* -X135317790Y-131781328D01* -X135337012Y-131813398D01* -X135352998Y-131847198D01* -X135365594Y-131882402D01* -X135374679Y-131918671D01* -X135380165Y-131955655D01* -X135382000Y-131993000D01* -X135382000Y-138771000D01* -X135380165Y-138808345D01* -X135374679Y-138845329D01* -X135365594Y-138881598D01* -X135352998Y-138916802D01* -X135337012Y-138950602D01* -X135317790Y-138982672D01* -X135295517Y-139012704D01* -X135270408Y-139040408D01* -X135242704Y-139065517D01* -X135212672Y-139087790D01* -X135180602Y-139107012D01* -X135146802Y-139122998D01* -X135111598Y-139135594D01* -X135075329Y-139144679D01* -X135038345Y-139150165D01* -X135001000Y-139152000D01* -X134239000Y-139152000D01* -X134201655Y-139150165D01* -X134164671Y-139144679D01* -X134128402Y-139135594D01* -X134093198Y-139122998D01* -X134059398Y-139107012D01* -X134027328Y-139087790D01* -X133997296Y-139065517D01* -X133969592Y-139040408D01* -X133944483Y-139012704D01* -X133922210Y-138982672D01* -X133902988Y-138950602D01* -X133887002Y-138916802D01* -X133874406Y-138881598D01* -X133865321Y-138845329D01* -X133859835Y-138808345D01* -X133858000Y-138771000D01* -X133858000Y-131993000D01* -X133859835Y-131955655D01* -X133865321Y-131918671D01* -X133874406Y-131882402D01* -X133887002Y-131847198D01* -X133902988Y-131813398D01* -X133922210Y-131781328D01* -X133944483Y-131751296D01* -X133969592Y-131723592D01* -X133997296Y-131698483D01* -X134027328Y-131676210D01* -X134059398Y-131656988D01* -X134093198Y-131641002D01* -X134128402Y-131628406D01* -X134164671Y-131619321D01* -X134201655Y-131613835D01* -X134239000Y-131612000D01* -X135001000Y-131612000D01* -X135038345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X137578345Y-131613835D02* -G01* -X137615329Y-131619321D01* -X137651598Y-131628406D01* -X137686802Y-131641002D01* -X137720602Y-131656988D01* -X137752672Y-131676210D01* -X137782704Y-131698483D01* -X137810408Y-131723592D01* -X137835517Y-131751296D01* -X137857790Y-131781328D01* -X137877012Y-131813398D01* -X137892998Y-131847198D01* -X137905594Y-131882402D01* -X137914679Y-131918671D01* -X137920165Y-131955655D01* -X137922000Y-131993000D01* -X137922000Y-138771000D01* -X137920165Y-138808345D01* -X137914679Y-138845329D01* -X137905594Y-138881598D01* -X137892998Y-138916802D01* -X137877012Y-138950602D01* -X137857790Y-138982672D01* -X137835517Y-139012704D01* -X137810408Y-139040408D01* -X137782704Y-139065517D01* -X137752672Y-139087790D01* -X137720602Y-139107012D01* -X137686802Y-139122998D01* -X137651598Y-139135594D01* -X137615329Y-139144679D01* -X137578345Y-139150165D01* -X137541000Y-139152000D01* -X136779000Y-139152000D01* -X136741655Y-139150165D01* -X136704671Y-139144679D01* -X136668402Y-139135594D01* -X136633198Y-139122998D01* -X136599398Y-139107012D01* -X136567328Y-139087790D01* -X136537296Y-139065517D01* -X136509592Y-139040408D01* -X136484483Y-139012704D01* -X136462210Y-138982672D01* -X136442988Y-138950602D01* -X136427002Y-138916802D01* -X136414406Y-138881598D01* -X136405321Y-138845329D01* -X136399835Y-138808345D01* -X136398000Y-138771000D01* -X136398000Y-131993000D01* -X136399835Y-131955655D01* -X136405321Y-131918671D01* -X136414406Y-131882402D01* -X136427002Y-131847198D01* -X136442988Y-131813398D01* -X136462210Y-131781328D01* -X136484483Y-131751296D01* -X136509592Y-131723592D01* -X136537296Y-131698483D01* -X136567328Y-131676210D01* -X136599398Y-131656988D01* -X136633198Y-131641002D01* -X136668402Y-131628406D01* -X136704671Y-131619321D01* -X136741655Y-131613835D01* -X136779000Y-131612000D01* -X137541000Y-131612000D01* -X137578345Y-131613835D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X117382229Y-127571264D02* -G01* -X117407711Y-127575044D01* -X117432700Y-127581303D01* -X117456954Y-127589982D01* -X117480242Y-127600996D01* -X117502337Y-127614239D01* -X117523028Y-127629585D01* -X117542116Y-127646884D01* -X117559415Y-127665972D01* -X117574761Y-127686663D01* -X117588004Y-127708758D01* -X117599018Y-127732046D01* -X117607697Y-127756300D01* -X117613956Y-127781289D01* -X117617736Y-127806771D01* -X117619000Y-127832500D01* -X117619000Y-128707500D01* -X117617736Y-128733229D01* -X117613956Y-128758711D01* -X117607697Y-128783700D01* -X117599018Y-128807954D01* -X117588004Y-128831242D01* -X117574761Y-128853337D01* -X117559415Y-128874028D01* -X117542116Y-128893116D01* -X117523028Y-128910415D01* -X117502337Y-128925761D01* -X117480242Y-128939004D01* -X117456954Y-128950018D01* -X117432700Y-128958697D01* -X117407711Y-128964956D01* -X117382229Y-128968736D01* -X117356500Y-128970000D01* -X116831500Y-128970000D01* -X116805771Y-128968736D01* -X116780289Y-128964956D01* -X116755300Y-128958697D01* -X116731046Y-128950018D01* -X116707758Y-128939004D01* -X116685663Y-128925761D01* -X116664972Y-128910415D01* -X116645884Y-128893116D01* -X116628585Y-128874028D01* -X116613239Y-128853337D01* -X116599996Y-128831242D01* -X116588982Y-128807954D01* -X116580303Y-128783700D01* -X116574044Y-128758711D01* -X116570264Y-128733229D01* -X116569000Y-128707500D01* -X116569000Y-127832500D01* -X116570264Y-127806771D01* -X116574044Y-127781289D01* -X116580303Y-127756300D01* -X116588982Y-127732046D01* -X116599996Y-127708758D01* -X116613239Y-127686663D01* -X116628585Y-127665972D01* -X116645884Y-127646884D01* -X116664972Y-127629585D01* -X116685663Y-127614239D01* -X116707758Y-127600996D01* -X116731046Y-127589982D01* -X116755300Y-127581303D01* -X116780289Y-127575044D01* -X116805771Y-127571264D01* -X116831500Y-127570000D01* -X117356500Y-127570000D01* -X117382229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X115682229Y-127571264D02* -G01* -X115707711Y-127575044D01* -X115732700Y-127581303D01* -X115756954Y-127589982D01* -X115780242Y-127600996D01* -X115802337Y-127614239D01* -X115823028Y-127629585D01* -X115842116Y-127646884D01* -X115859415Y-127665972D01* -X115874761Y-127686663D01* -X115888004Y-127708758D01* -X115899018Y-127732046D01* -X115907697Y-127756300D01* -X115913956Y-127781289D01* -X115917736Y-127806771D01* -X115919000Y-127832500D01* -X115919000Y-128707500D01* -X115917736Y-128733229D01* -X115913956Y-128758711D01* -X115907697Y-128783700D01* -X115899018Y-128807954D01* -X115888004Y-128831242D01* -X115874761Y-128853337D01* -X115859415Y-128874028D01* -X115842116Y-128893116D01* -X115823028Y-128910415D01* -X115802337Y-128925761D01* -X115780242Y-128939004D01* -X115756954Y-128950018D01* -X115732700Y-128958697D01* -X115707711Y-128964956D01* -X115682229Y-128968736D01* -X115656500Y-128970000D01* -X115131500Y-128970000D01* -X115105771Y-128968736D01* -X115080289Y-128964956D01* -X115055300Y-128958697D01* -X115031046Y-128950018D01* -X115007758Y-128939004D01* -X114985663Y-128925761D01* -X114964972Y-128910415D01* -X114945884Y-128893116D01* -X114928585Y-128874028D01* -X114913239Y-128853337D01* -X114899996Y-128831242D01* -X114888982Y-128807954D01* -X114880303Y-128783700D01* -X114874044Y-128758711D01* -X114870264Y-128733229D01* -X114869000Y-128707500D01* -X114869000Y-127832500D01* -X114870264Y-127806771D01* -X114874044Y-127781289D01* -X114880303Y-127756300D01* -X114888982Y-127732046D01* -X114899996Y-127708758D01* -X114913239Y-127686663D01* -X114928585Y-127665972D01* -X114945884Y-127646884D01* -X114964972Y-127629585D01* -X114985663Y-127614239D01* -X115007758Y-127600996D01* -X115031046Y-127589982D01* -X115055300Y-127581303D01* -X115080289Y-127575044D01* -X115105771Y-127571264D01* -X115131500Y-127570000D01* -X115656500Y-127570000D01* -X115682229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X119414229Y-127571264D02* -G01* -X119439711Y-127575044D01* -X119464700Y-127581303D01* -X119488954Y-127589982D01* -X119512242Y-127600996D01* -X119534337Y-127614239D01* -X119555028Y-127629585D01* -X119574116Y-127646884D01* -X119591415Y-127665972D01* -X119606761Y-127686663D01* -X119620004Y-127708758D01* -X119631018Y-127732046D01* -X119639697Y-127756300D01* -X119645956Y-127781289D01* -X119649736Y-127806771D01* -X119651000Y-127832500D01* -X119651000Y-128707500D01* -X119649736Y-128733229D01* -X119645956Y-128758711D01* -X119639697Y-128783700D01* -X119631018Y-128807954D01* -X119620004Y-128831242D01* -X119606761Y-128853337D01* -X119591415Y-128874028D01* -X119574116Y-128893116D01* -X119555028Y-128910415D01* -X119534337Y-128925761D01* -X119512242Y-128939004D01* -X119488954Y-128950018D01* -X119464700Y-128958697D01* -X119439711Y-128964956D01* -X119414229Y-128968736D01* -X119388500Y-128970000D01* -X118863500Y-128970000D01* -X118837771Y-128968736D01* -X118812289Y-128964956D01* -X118787300Y-128958697D01* -X118763046Y-128950018D01* -X118739758Y-128939004D01* -X118717663Y-128925761D01* -X118696972Y-128910415D01* -X118677884Y-128893116D01* -X118660585Y-128874028D01* -X118645239Y-128853337D01* -X118631996Y-128831242D01* -X118620982Y-128807954D01* -X118612303Y-128783700D01* -X118606044Y-128758711D01* -X118602264Y-128733229D01* -X118601000Y-128707500D01* -X118601000Y-127832500D01* -X118602264Y-127806771D01* -X118606044Y-127781289D01* -X118612303Y-127756300D01* -X118620982Y-127732046D01* -X118631996Y-127708758D01* -X118645239Y-127686663D01* -X118660585Y-127665972D01* -X118677884Y-127646884D01* -X118696972Y-127629585D01* -X118717663Y-127614239D01* -X118739758Y-127600996D01* -X118763046Y-127589982D01* -X118787300Y-127581303D01* -X118812289Y-127575044D01* -X118837771Y-127571264D01* -X118863500Y-127570000D01* -X119388500Y-127570000D01* -X119414229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X121114229Y-127571264D02* -G01* -X121139711Y-127575044D01* -X121164700Y-127581303D01* -X121188954Y-127589982D01* -X121212242Y-127600996D01* -X121234337Y-127614239D01* -X121255028Y-127629585D01* -X121274116Y-127646884D01* -X121291415Y-127665972D01* -X121306761Y-127686663D01* -X121320004Y-127708758D01* -X121331018Y-127732046D01* -X121339697Y-127756300D01* -X121345956Y-127781289D01* -X121349736Y-127806771D01* -X121351000Y-127832500D01* -X121351000Y-128707500D01* -X121349736Y-128733229D01* -X121345956Y-128758711D01* -X121339697Y-128783700D01* -X121331018Y-128807954D01* -X121320004Y-128831242D01* -X121306761Y-128853337D01* -X121291415Y-128874028D01* -X121274116Y-128893116D01* -X121255028Y-128910415D01* -X121234337Y-128925761D01* -X121212242Y-128939004D01* -X121188954Y-128950018D01* -X121164700Y-128958697D01* -X121139711Y-128964956D01* -X121114229Y-128968736D01* -X121088500Y-128970000D01* -X120563500Y-128970000D01* -X120537771Y-128968736D01* -X120512289Y-128964956D01* -X120487300Y-128958697D01* -X120463046Y-128950018D01* -X120439758Y-128939004D01* -X120417663Y-128925761D01* -X120396972Y-128910415D01* -X120377884Y-128893116D01* -X120360585Y-128874028D01* -X120345239Y-128853337D01* -X120331996Y-128831242D01* -X120320982Y-128807954D01* -X120312303Y-128783700D01* -X120306044Y-128758711D01* -X120302264Y-128733229D01* -X120301000Y-128707500D01* -X120301000Y-127832500D01* -X120302264Y-127806771D01* -X120306044Y-127781289D01* -X120312303Y-127756300D01* -X120320982Y-127732046D01* -X120331996Y-127708758D01* -X120345239Y-127686663D01* -X120360585Y-127665972D01* -X120377884Y-127646884D01* -X120396972Y-127629585D01* -X120417663Y-127614239D01* -X120439758Y-127600996D01* -X120463046Y-127589982D01* -X120487300Y-127581303D01* -X120512289Y-127575044D01* -X120537771Y-127571264D01* -X120563500Y-127570000D01* -X121088500Y-127570000D01* -X121114229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -D15* -X140462000Y-129540000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -D10* -G36* -X76488229Y-127571264D02* -G01* -X76513711Y-127575044D01* -X76538700Y-127581303D01* -X76562954Y-127589982D01* -X76586242Y-127600996D01* -X76608337Y-127614239D01* -X76629028Y-127629585D01* -X76648116Y-127646884D01* -X76665415Y-127665972D01* -X76680761Y-127686663D01* -X76694004Y-127708758D01* -X76705018Y-127732046D01* -X76713697Y-127756300D01* -X76719956Y-127781289D01* -X76723736Y-127806771D01* -X76725000Y-127832500D01* -X76725000Y-128707500D01* -X76723736Y-128733229D01* -X76719956Y-128758711D01* -X76713697Y-128783700D01* -X76705018Y-128807954D01* -X76694004Y-128831242D01* -X76680761Y-128853337D01* -X76665415Y-128874028D01* -X76648116Y-128893116D01* -X76629028Y-128910415D01* -X76608337Y-128925761D01* -X76586242Y-128939004D01* -X76562954Y-128950018D01* -X76538700Y-128958697D01* -X76513711Y-128964956D01* -X76488229Y-128968736D01* -X76462500Y-128970000D01* -X75937500Y-128970000D01* -X75911771Y-128968736D01* -X75886289Y-128964956D01* -X75861300Y-128958697D01* -X75837046Y-128950018D01* -X75813758Y-128939004D01* -X75791663Y-128925761D01* -X75770972Y-128910415D01* -X75751884Y-128893116D01* -X75734585Y-128874028D01* -X75719239Y-128853337D01* -X75705996Y-128831242D01* -X75694982Y-128807954D01* -X75686303Y-128783700D01* -X75680044Y-128758711D01* -X75676264Y-128733229D01* -X75675000Y-128707500D01* -X75675000Y-127832500D01* -X75676264Y-127806771D01* -X75680044Y-127781289D01* -X75686303Y-127756300D01* -X75694982Y-127732046D01* -X75705996Y-127708758D01* -X75719239Y-127686663D01* -X75734585Y-127665972D01* -X75751884Y-127646884D01* -X75770972Y-127629585D01* -X75791663Y-127614239D01* -X75813758Y-127600996D01* -X75837046Y-127589982D01* -X75861300Y-127581303D01* -X75886289Y-127575044D01* -X75911771Y-127571264D01* -X75937500Y-127570000D01* -X76462500Y-127570000D01* -X76488229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X74788229Y-127571264D02* -G01* -X74813711Y-127575044D01* -X74838700Y-127581303D01* -X74862954Y-127589982D01* -X74886242Y-127600996D01* -X74908337Y-127614239D01* -X74929028Y-127629585D01* -X74948116Y-127646884D01* -X74965415Y-127665972D01* -X74980761Y-127686663D01* -X74994004Y-127708758D01* -X75005018Y-127732046D01* -X75013697Y-127756300D01* -X75019956Y-127781289D01* -X75023736Y-127806771D01* -X75025000Y-127832500D01* -X75025000Y-128707500D01* -X75023736Y-128733229D01* -X75019956Y-128758711D01* -X75013697Y-128783700D01* -X75005018Y-128807954D01* -X74994004Y-128831242D01* -X74980761Y-128853337D01* -X74965415Y-128874028D01* -X74948116Y-128893116D01* -X74929028Y-128910415D01* -X74908337Y-128925761D01* -X74886242Y-128939004D01* -X74862954Y-128950018D01* -X74838700Y-128958697D01* -X74813711Y-128964956D01* -X74788229Y-128968736D01* -X74762500Y-128970000D01* -X74237500Y-128970000D01* -X74211771Y-128968736D01* -X74186289Y-128964956D01* -X74161300Y-128958697D01* -X74137046Y-128950018D01* -X74113758Y-128939004D01* -X74091663Y-128925761D01* -X74070972Y-128910415D01* -X74051884Y-128893116D01* -X74034585Y-128874028D01* -X74019239Y-128853337D01* -X74005996Y-128831242D01* -X73994982Y-128807954D01* -X73986303Y-128783700D01* -X73980044Y-128758711D01* -X73976264Y-128733229D01* -X73975000Y-128707500D01* -X73975000Y-127832500D01* -X73976264Y-127806771D01* -X73980044Y-127781289D01* -X73986303Y-127756300D01* -X73994982Y-127732046D01* -X74005996Y-127708758D01* -X74019239Y-127686663D01* -X74034585Y-127665972D01* -X74051884Y-127646884D01* -X74070972Y-127629585D01* -X74091663Y-127614239D01* -X74113758Y-127600996D01* -X74137046Y-127589982D01* -X74161300Y-127581303D01* -X74186289Y-127575044D01* -X74211771Y-127571264D01* -X74237500Y-127570000D01* -X74762500Y-127570000D01* -X74788229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -D13* -X143002000Y-129540000D03* -X48133000Y-129540000D03* -X143002000Y-82423000D03* -X58801000Y-82931000D03* -G04 #@! TA.AperFunction,SMDPad,CuDef* -D10* -G36* -X104033329Y-103126023D02* -G01* -X104053957Y-103129083D01* -X104074185Y-103134150D01* -X104093820Y-103141176D01* -X104112672Y-103150092D01* -X104130559Y-103160813D01* -X104147309Y-103173235D01* -X104162760Y-103187240D01* -X104176765Y-103202691D01* -X104189187Y-103219441D01* -X104199908Y-103237328D01* -X104208824Y-103256180D01* -X104215850Y-103275815D01* -X104220917Y-103296043D01* -X104223977Y-103316671D01* -X104225000Y-103337500D01* -X104225000Y-103762500D01* -X104223977Y-103783329D01* -X104220917Y-103803957D01* -X104215850Y-103824185D01* -X104208824Y-103843820D01* -X104199908Y-103862672D01* -X104189187Y-103880559D01* -X104176765Y-103897309D01* -X104162760Y-103912760D01* -X104147309Y-103926765D01* -X104130559Y-103939187D01* -X104112672Y-103949908D01* -X104093820Y-103958824D01* -X104074185Y-103965850D01* -X104053957Y-103970917D01* -X104033329Y-103973977D01* -X104012500Y-103975000D01* -X103487500Y-103975000D01* -X103466671Y-103973977D01* -X103446043Y-103970917D01* -X103425815Y-103965850D01* -X103406180Y-103958824D01* -X103387328Y-103949908D01* -X103369441Y-103939187D01* -X103352691Y-103926765D01* -X103337240Y-103912760D01* -X103323235Y-103897309D01* -X103310813Y-103880559D01* -X103300092Y-103862672D01* -X103291176Y-103843820D01* -X103284150Y-103824185D01* -X103279083Y-103803957D01* -X103276023Y-103783329D01* -X103275000Y-103762500D01* -X103275000Y-103337500D01* -X103276023Y-103316671D01* -X103279083Y-103296043D01* -X103284150Y-103275815D01* -X103291176Y-103256180D01* -X103300092Y-103237328D01* -X103310813Y-103219441D01* -X103323235Y-103202691D01* -X103337240Y-103187240D01* -X103352691Y-103173235D01* -X103369441Y-103160813D01* -X103387328Y-103150092D01* -X103406180Y-103141176D01* -X103425815Y-103134150D01* -X103446043Y-103129083D01* -X103466671Y-103126023D01* -X103487500Y-103125000D01* -X104012500Y-103125000D01* -X104033329Y-103126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104033329Y-104626023D02* -G01* -X104053957Y-104629083D01* -X104074185Y-104634150D01* -X104093820Y-104641176D01* -X104112672Y-104650092D01* -X104130559Y-104660813D01* -X104147309Y-104673235D01* -X104162760Y-104687240D01* -X104176765Y-104702691D01* -X104189187Y-104719441D01* -X104199908Y-104737328D01* -X104208824Y-104756180D01* -X104215850Y-104775815D01* -X104220917Y-104796043D01* -X104223977Y-104816671D01* -X104225000Y-104837500D01* -X104225000Y-105262500D01* -X104223977Y-105283329D01* -X104220917Y-105303957D01* -X104215850Y-105324185D01* -X104208824Y-105343820D01* -X104199908Y-105362672D01* -X104189187Y-105380559D01* -X104176765Y-105397309D01* -X104162760Y-105412760D01* -X104147309Y-105426765D01* -X104130559Y-105439187D01* -X104112672Y-105449908D01* -X104093820Y-105458824D01* -X104074185Y-105465850D01* -X104053957Y-105470917D01* -X104033329Y-105473977D01* -X104012500Y-105475000D01* -X103487500Y-105475000D01* -X103466671Y-105473977D01* -X103446043Y-105470917D01* -X103425815Y-105465850D01* -X103406180Y-105458824D01* -X103387328Y-105449908D01* -X103369441Y-105439187D01* -X103352691Y-105426765D01* -X103337240Y-105412760D01* -X103323235Y-105397309D01* -X103310813Y-105380559D01* -X103300092Y-105362672D01* -X103291176Y-105343820D01* -X103284150Y-105324185D01* -X103279083Y-105303957D01* -X103276023Y-105283329D01* -X103275000Y-105262500D01* -X103275000Y-104837500D01* -X103276023Y-104816671D01* -X103279083Y-104796043D01* -X103284150Y-104775815D01* -X103291176Y-104756180D01* -X103300092Y-104737328D01* -X103310813Y-104719441D01* -X103323235Y-104702691D01* -X103337240Y-104687240D01* -X103352691Y-104673235D01* -X103369441Y-104660813D01* -X103387328Y-104650092D01* -X103406180Y-104641176D01* -X103425815Y-104634150D01* -X103446043Y-104629083D01* -X103466671Y-104626023D01* -X103487500Y-104625000D01* -X104012500Y-104625000D01* -X104033329Y-104626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98783329Y-110626023D02* -G01* -X98803957Y-110629083D01* -X98824185Y-110634150D01* -X98843820Y-110641176D01* -X98862672Y-110650092D01* -X98880559Y-110660813D01* -X98897309Y-110673235D01* -X98912760Y-110687240D01* -X98926765Y-110702691D01* -X98939187Y-110719441D01* -X98949908Y-110737328D01* -X98958824Y-110756180D01* -X98965850Y-110775815D01* -X98970917Y-110796043D01* -X98973977Y-110816671D01* -X98975000Y-110837500D01* -X98975000Y-111362500D01* -X98973977Y-111383329D01* -X98970917Y-111403957D01* -X98965850Y-111424185D01* -X98958824Y-111443820D01* -X98949908Y-111462672D01* -X98939187Y-111480559D01* -X98926765Y-111497309D01* -X98912760Y-111512760D01* -X98897309Y-111526765D01* -X98880559Y-111539187D01* -X98862672Y-111549908D01* -X98843820Y-111558824D01* -X98824185Y-111565850D01* -X98803957Y-111570917D01* -X98783329Y-111573977D01* -X98762500Y-111575000D01* -X98337500Y-111575000D01* -X98316671Y-111573977D01* -X98296043Y-111570917D01* -X98275815Y-111565850D01* -X98256180Y-111558824D01* -X98237328Y-111549908D01* -X98219441Y-111539187D01* -X98202691Y-111526765D01* -X98187240Y-111512760D01* -X98173235Y-111497309D01* -X98160813Y-111480559D01* -X98150092Y-111462672D01* -X98141176Y-111443820D01* -X98134150Y-111424185D01* -X98129083Y-111403957D01* -X98126023Y-111383329D01* -X98125000Y-111362500D01* -X98125000Y-110837500D01* -X98126023Y-110816671D01* -X98129083Y-110796043D01* -X98134150Y-110775815D01* -X98141176Y-110756180D01* -X98150092Y-110737328D01* -X98160813Y-110719441D01* -X98173235Y-110702691D01* -X98187240Y-110687240D01* -X98202691Y-110673235D01* -X98219441Y-110660813D01* -X98237328Y-110650092D01* -X98256180Y-110641176D01* -X98275815Y-110634150D01* -X98296043Y-110629083D01* -X98316671Y-110626023D01* -X98337500Y-110625000D01* -X98762500Y-110625000D01* -X98783329Y-110626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97283329Y-110626023D02* -G01* -X97303957Y-110629083D01* -X97324185Y-110634150D01* -X97343820Y-110641176D01* -X97362672Y-110650092D01* -X97380559Y-110660813D01* -X97397309Y-110673235D01* -X97412760Y-110687240D01* -X97426765Y-110702691D01* -X97439187Y-110719441D01* -X97449908Y-110737328D01* -X97458824Y-110756180D01* -X97465850Y-110775815D01* -X97470917Y-110796043D01* -X97473977Y-110816671D01* -X97475000Y-110837500D01* -X97475000Y-111362500D01* -X97473977Y-111383329D01* -X97470917Y-111403957D01* -X97465850Y-111424185D01* -X97458824Y-111443820D01* -X97449908Y-111462672D01* -X97439187Y-111480559D01* -X97426765Y-111497309D01* -X97412760Y-111512760D01* -X97397309Y-111526765D01* -X97380559Y-111539187D01* -X97362672Y-111549908D01* -X97343820Y-111558824D01* -X97324185Y-111565850D01* -X97303957Y-111570917D01* -X97283329Y-111573977D01* -X97262500Y-111575000D01* -X96837500Y-111575000D01* -X96816671Y-111573977D01* -X96796043Y-111570917D01* -X96775815Y-111565850D01* -X96756180Y-111558824D01* -X96737328Y-111549908D01* -X96719441Y-111539187D01* -X96702691Y-111526765D01* -X96687240Y-111512760D01* -X96673235Y-111497309D01* -X96660813Y-111480559D01* -X96650092Y-111462672D01* -X96641176Y-111443820D01* -X96634150Y-111424185D01* -X96629083Y-111403957D01* -X96626023Y-111383329D01* -X96625000Y-111362500D01* -X96625000Y-110837500D01* -X96626023Y-110816671D01* -X96629083Y-110796043D01* -X96634150Y-110775815D01* -X96641176Y-110756180D01* -X96650092Y-110737328D01* -X96660813Y-110719441D01* -X96673235Y-110702691D01* -X96687240Y-110687240D01* -X96702691Y-110673235D01* -X96719441Y-110660813D01* -X96737328Y-110650092D01* -X96756180Y-110641176D01* -X96775815Y-110634150D01* -X96796043Y-110629083D01* -X96816671Y-110626023D01* -X96837500Y-110625000D01* -X97262500Y-110625000D01* -X97283329Y-110626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84633329Y-101226023D02* -G01* -X84653957Y-101229083D01* -X84674185Y-101234150D01* -X84693820Y-101241176D01* -X84712672Y-101250092D01* -X84730559Y-101260813D01* -X84747309Y-101273235D01* -X84762760Y-101287240D01* -X84776765Y-101302691D01* -X84789187Y-101319441D01* -X84799908Y-101337328D01* -X84808824Y-101356180D01* -X84815850Y-101375815D01* -X84820917Y-101396043D01* -X84823977Y-101416671D01* -X84825000Y-101437500D01* -X84825000Y-101862500D01* -X84823977Y-101883329D01* -X84820917Y-101903957D01* -X84815850Y-101924185D01* -X84808824Y-101943820D01* -X84799908Y-101962672D01* -X84789187Y-101980559D01* -X84776765Y-101997309D01* -X84762760Y-102012760D01* -X84747309Y-102026765D01* -X84730559Y-102039187D01* -X84712672Y-102049908D01* -X84693820Y-102058824D01* -X84674185Y-102065850D01* -X84653957Y-102070917D01* -X84633329Y-102073977D01* -X84612500Y-102075000D01* -X84087500Y-102075000D01* -X84066671Y-102073977D01* -X84046043Y-102070917D01* -X84025815Y-102065850D01* -X84006180Y-102058824D01* -X83987328Y-102049908D01* -X83969441Y-102039187D01* -X83952691Y-102026765D01* -X83937240Y-102012760D01* -X83923235Y-101997309D01* -X83910813Y-101980559D01* -X83900092Y-101962672D01* -X83891176Y-101943820D01* -X83884150Y-101924185D01* -X83879083Y-101903957D01* -X83876023Y-101883329D01* -X83875000Y-101862500D01* -X83875000Y-101437500D01* -X83876023Y-101416671D01* -X83879083Y-101396043D01* -X83884150Y-101375815D01* -X83891176Y-101356180D01* -X83900092Y-101337328D01* -X83910813Y-101319441D01* -X83923235Y-101302691D01* -X83937240Y-101287240D01* -X83952691Y-101273235D01* -X83969441Y-101260813D01* -X83987328Y-101250092D01* -X84006180Y-101241176D01* -X84025815Y-101234150D01* -X84046043Y-101229083D01* -X84066671Y-101226023D01* -X84087500Y-101225000D01* -X84612500Y-101225000D01* -X84633329Y-101226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84633329Y-99726023D02* -G01* -X84653957Y-99729083D01* -X84674185Y-99734150D01* -X84693820Y-99741176D01* -X84712672Y-99750092D01* -X84730559Y-99760813D01* -X84747309Y-99773235D01* -X84762760Y-99787240D01* -X84776765Y-99802691D01* -X84789187Y-99819441D01* -X84799908Y-99837328D01* -X84808824Y-99856180D01* -X84815850Y-99875815D01* -X84820917Y-99896043D01* -X84823977Y-99916671D01* -X84825000Y-99937500D01* -X84825000Y-100362500D01* -X84823977Y-100383329D01* -X84820917Y-100403957D01* -X84815850Y-100424185D01* -X84808824Y-100443820D01* -X84799908Y-100462672D01* -X84789187Y-100480559D01* -X84776765Y-100497309D01* -X84762760Y-100512760D01* -X84747309Y-100526765D01* -X84730559Y-100539187D01* -X84712672Y-100549908D01* -X84693820Y-100558824D01* -X84674185Y-100565850D01* -X84653957Y-100570917D01* -X84633329Y-100573977D01* -X84612500Y-100575000D01* -X84087500Y-100575000D01* -X84066671Y-100573977D01* -X84046043Y-100570917D01* -X84025815Y-100565850D01* -X84006180Y-100558824D01* -X83987328Y-100549908D01* -X83969441Y-100539187D01* -X83952691Y-100526765D01* -X83937240Y-100512760D01* -X83923235Y-100497309D01* -X83910813Y-100480559D01* -X83900092Y-100462672D01* -X83891176Y-100443820D01* -X83884150Y-100424185D01* -X83879083Y-100403957D01* -X83876023Y-100383329D01* -X83875000Y-100362500D01* -X83875000Y-99937500D01* -X83876023Y-99916671D01* -X83879083Y-99896043D01* -X83884150Y-99875815D01* -X83891176Y-99856180D01* -X83900092Y-99837328D01* -X83910813Y-99819441D01* -X83923235Y-99802691D01* -X83937240Y-99787240D01* -X83952691Y-99773235D01* -X83969441Y-99760813D01* -X83987328Y-99750092D01* -X84006180Y-99741176D01* -X84025815Y-99734150D01* -X84046043Y-99729083D01* -X84066671Y-99726023D01* -X84087500Y-99725000D01* -X84612500Y-99725000D01* -X84633329Y-99726023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98133329Y-91226023D02* -G01* -X98153957Y-91229083D01* -X98174185Y-91234150D01* -X98193820Y-91241176D01* -X98212672Y-91250092D01* -X98230559Y-91260813D01* -X98247309Y-91273235D01* -X98262760Y-91287240D01* -X98276765Y-91302691D01* -X98289187Y-91319441D01* -X98299908Y-91337328D01* -X98308824Y-91356180D01* -X98315850Y-91375815D01* -X98320917Y-91396043D01* -X98323977Y-91416671D01* -X98325000Y-91437500D01* -X98325000Y-91962500D01* -X98323977Y-91983329D01* -X98320917Y-92003957D01* -X98315850Y-92024185D01* -X98308824Y-92043820D01* -X98299908Y-92062672D01* -X98289187Y-92080559D01* -X98276765Y-92097309D01* -X98262760Y-92112760D01* -X98247309Y-92126765D01* -X98230559Y-92139187D01* -X98212672Y-92149908D01* -X98193820Y-92158824D01* -X98174185Y-92165850D01* -X98153957Y-92170917D01* -X98133329Y-92173977D01* -X98112500Y-92175000D01* -X97687500Y-92175000D01* -X97666671Y-92173977D01* -X97646043Y-92170917D01* -X97625815Y-92165850D01* -X97606180Y-92158824D01* -X97587328Y-92149908D01* -X97569441Y-92139187D01* -X97552691Y-92126765D01* -X97537240Y-92112760D01* -X97523235Y-92097309D01* -X97510813Y-92080559D01* -X97500092Y-92062672D01* -X97491176Y-92043820D01* -X97484150Y-92024185D01* -X97479083Y-92003957D01* -X97476023Y-91983329D01* -X97475000Y-91962500D01* -X97475000Y-91437500D01* -X97476023Y-91416671D01* -X97479083Y-91396043D01* -X97484150Y-91375815D01* -X97491176Y-91356180D01* -X97500092Y-91337328D01* -X97510813Y-91319441D01* -X97523235Y-91302691D01* -X97537240Y-91287240D01* -X97552691Y-91273235D01* -X97569441Y-91260813D01* -X97587328Y-91250092D01* -X97606180Y-91241176D01* -X97625815Y-91234150D01* -X97646043Y-91229083D01* -X97666671Y-91226023D01* -X97687500Y-91225000D01* -X98112500Y-91225000D01* -X98133329Y-91226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96633329Y-91226023D02* -G01* -X96653957Y-91229083D01* -X96674185Y-91234150D01* -X96693820Y-91241176D01* -X96712672Y-91250092D01* -X96730559Y-91260813D01* -X96747309Y-91273235D01* -X96762760Y-91287240D01* -X96776765Y-91302691D01* -X96789187Y-91319441D01* -X96799908Y-91337328D01* -X96808824Y-91356180D01* -X96815850Y-91375815D01* -X96820917Y-91396043D01* -X96823977Y-91416671D01* -X96825000Y-91437500D01* -X96825000Y-91962500D01* -X96823977Y-91983329D01* -X96820917Y-92003957D01* -X96815850Y-92024185D01* -X96808824Y-92043820D01* -X96799908Y-92062672D01* -X96789187Y-92080559D01* -X96776765Y-92097309D01* -X96762760Y-92112760D01* -X96747309Y-92126765D01* -X96730559Y-92139187D01* -X96712672Y-92149908D01* -X96693820Y-92158824D01* -X96674185Y-92165850D01* -X96653957Y-92170917D01* -X96633329Y-92173977D01* -X96612500Y-92175000D01* -X96187500Y-92175000D01* -X96166671Y-92173977D01* -X96146043Y-92170917D01* -X96125815Y-92165850D01* -X96106180Y-92158824D01* -X96087328Y-92149908D01* -X96069441Y-92139187D01* -X96052691Y-92126765D01* -X96037240Y-92112760D01* -X96023235Y-92097309D01* -X96010813Y-92080559D01* -X96000092Y-92062672D01* -X95991176Y-92043820D01* -X95984150Y-92024185D01* -X95979083Y-92003957D01* -X95976023Y-91983329D01* -X95975000Y-91962500D01* -X95975000Y-91437500D01* -X95976023Y-91416671D01* -X95979083Y-91396043D01* -X95984150Y-91375815D01* -X95991176Y-91356180D01* -X96000092Y-91337328D01* -X96010813Y-91319441D01* -X96023235Y-91302691D01* -X96037240Y-91287240D01* -X96052691Y-91273235D01* -X96069441Y-91260813D01* -X96087328Y-91250092D01* -X96106180Y-91241176D01* -X96125815Y-91234150D01* -X96146043Y-91229083D01* -X96166671Y-91226023D01* -X96187500Y-91225000D01* -X96612500Y-91225000D01* -X96633329Y-91226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89633329Y-91226023D02* -G01* -X89653957Y-91229083D01* -X89674185Y-91234150D01* -X89693820Y-91241176D01* -X89712672Y-91250092D01* -X89730559Y-91260813D01* -X89747309Y-91273235D01* -X89762760Y-91287240D01* -X89776765Y-91302691D01* -X89789187Y-91319441D01* -X89799908Y-91337328D01* -X89808824Y-91356180D01* -X89815850Y-91375815D01* -X89820917Y-91396043D01* -X89823977Y-91416671D01* -X89825000Y-91437500D01* -X89825000Y-91962500D01* -X89823977Y-91983329D01* -X89820917Y-92003957D01* -X89815850Y-92024185D01* -X89808824Y-92043820D01* -X89799908Y-92062672D01* -X89789187Y-92080559D01* -X89776765Y-92097309D01* -X89762760Y-92112760D01* -X89747309Y-92126765D01* -X89730559Y-92139187D01* -X89712672Y-92149908D01* -X89693820Y-92158824D01* -X89674185Y-92165850D01* -X89653957Y-92170917D01* -X89633329Y-92173977D01* -X89612500Y-92175000D01* -X89187500Y-92175000D01* -X89166671Y-92173977D01* -X89146043Y-92170917D01* -X89125815Y-92165850D01* -X89106180Y-92158824D01* -X89087328Y-92149908D01* -X89069441Y-92139187D01* -X89052691Y-92126765D01* -X89037240Y-92112760D01* -X89023235Y-92097309D01* -X89010813Y-92080559D01* -X89000092Y-92062672D01* -X88991176Y-92043820D01* -X88984150Y-92024185D01* -X88979083Y-92003957D01* -X88976023Y-91983329D01* -X88975000Y-91962500D01* -X88975000Y-91437500D01* -X88976023Y-91416671D01* -X88979083Y-91396043D01* -X88984150Y-91375815D01* -X88991176Y-91356180D01* -X89000092Y-91337328D01* -X89010813Y-91319441D01* -X89023235Y-91302691D01* -X89037240Y-91287240D01* -X89052691Y-91273235D01* -X89069441Y-91260813D01* -X89087328Y-91250092D01* -X89106180Y-91241176D01* -X89125815Y-91234150D01* -X89146043Y-91229083D01* -X89166671Y-91226023D01* -X89187500Y-91225000D01* -X89612500Y-91225000D01* -X89633329Y-91226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91133329Y-91226023D02* -G01* -X91153957Y-91229083D01* -X91174185Y-91234150D01* -X91193820Y-91241176D01* -X91212672Y-91250092D01* -X91230559Y-91260813D01* -X91247309Y-91273235D01* -X91262760Y-91287240D01* -X91276765Y-91302691D01* -X91289187Y-91319441D01* -X91299908Y-91337328D01* -X91308824Y-91356180D01* -X91315850Y-91375815D01* -X91320917Y-91396043D01* -X91323977Y-91416671D01* -X91325000Y-91437500D01* -X91325000Y-91962500D01* -X91323977Y-91983329D01* -X91320917Y-92003957D01* -X91315850Y-92024185D01* -X91308824Y-92043820D01* -X91299908Y-92062672D01* -X91289187Y-92080559D01* -X91276765Y-92097309D01* -X91262760Y-92112760D01* -X91247309Y-92126765D01* -X91230559Y-92139187D01* -X91212672Y-92149908D01* -X91193820Y-92158824D01* -X91174185Y-92165850D01* -X91153957Y-92170917D01* -X91133329Y-92173977D01* -X91112500Y-92175000D01* -X90687500Y-92175000D01* -X90666671Y-92173977D01* -X90646043Y-92170917D01* -X90625815Y-92165850D01* -X90606180Y-92158824D01* -X90587328Y-92149908D01* -X90569441Y-92139187D01* -X90552691Y-92126765D01* -X90537240Y-92112760D01* -X90523235Y-92097309D01* -X90510813Y-92080559D01* -X90500092Y-92062672D01* -X90491176Y-92043820D01* -X90484150Y-92024185D01* -X90479083Y-92003957D01* -X90476023Y-91983329D01* -X90475000Y-91962500D01* -X90475000Y-91437500D01* -X90476023Y-91416671D01* -X90479083Y-91396043D01* -X90484150Y-91375815D01* -X90491176Y-91356180D01* -X90500092Y-91337328D01* -X90510813Y-91319441D01* -X90523235Y-91302691D01* -X90537240Y-91287240D01* -X90552691Y-91273235D01* -X90569441Y-91260813D01* -X90587328Y-91250092D01* -X90606180Y-91241176D01* -X90625815Y-91234150D01* -X90646043Y-91229083D01* -X90666671Y-91226023D01* -X90687500Y-91225000D01* -X91112500Y-91225000D01* -X91133329Y-91226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84633329Y-96826023D02* -G01* -X84653957Y-96829083D01* -X84674185Y-96834150D01* -X84693820Y-96841176D01* -X84712672Y-96850092D01* -X84730559Y-96860813D01* -X84747309Y-96873235D01* -X84762760Y-96887240D01* -X84776765Y-96902691D01* -X84789187Y-96919441D01* -X84799908Y-96937328D01* -X84808824Y-96956180D01* -X84815850Y-96975815D01* -X84820917Y-96996043D01* -X84823977Y-97016671D01* -X84825000Y-97037500D01* -X84825000Y-97462500D01* -X84823977Y-97483329D01* -X84820917Y-97503957D01* -X84815850Y-97524185D01* -X84808824Y-97543820D01* -X84799908Y-97562672D01* -X84789187Y-97580559D01* -X84776765Y-97597309D01* -X84762760Y-97612760D01* -X84747309Y-97626765D01* -X84730559Y-97639187D01* -X84712672Y-97649908D01* -X84693820Y-97658824D01* -X84674185Y-97665850D01* -X84653957Y-97670917D01* -X84633329Y-97673977D01* -X84612500Y-97675000D01* -X84087500Y-97675000D01* -X84066671Y-97673977D01* -X84046043Y-97670917D01* -X84025815Y-97665850D01* -X84006180Y-97658824D01* -X83987328Y-97649908D01* -X83969441Y-97639187D01* -X83952691Y-97626765D01* -X83937240Y-97612760D01* -X83923235Y-97597309D01* -X83910813Y-97580559D01* -X83900092Y-97562672D01* -X83891176Y-97543820D01* -X83884150Y-97524185D01* -X83879083Y-97503957D01* -X83876023Y-97483329D01* -X83875000Y-97462500D01* -X83875000Y-97037500D01* -X83876023Y-97016671D01* -X83879083Y-96996043D01* -X83884150Y-96975815D01* -X83891176Y-96956180D01* -X83900092Y-96937328D01* -X83910813Y-96919441D01* -X83923235Y-96902691D01* -X83937240Y-96887240D01* -X83952691Y-96873235D01* -X83969441Y-96860813D01* -X83987328Y-96850092D01* -X84006180Y-96841176D01* -X84025815Y-96834150D01* -X84046043Y-96829083D01* -X84066671Y-96826023D01* -X84087500Y-96825000D01* -X84612500Y-96825000D01* -X84633329Y-96826023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X84633329Y-98326023D02* -G01* -X84653957Y-98329083D01* -X84674185Y-98334150D01* -X84693820Y-98341176D01* -X84712672Y-98350092D01* -X84730559Y-98360813D01* -X84747309Y-98373235D01* -X84762760Y-98387240D01* -X84776765Y-98402691D01* -X84789187Y-98419441D01* -X84799908Y-98437328D01* -X84808824Y-98456180D01* -X84815850Y-98475815D01* -X84820917Y-98496043D01* -X84823977Y-98516671D01* -X84825000Y-98537500D01* -X84825000Y-98962500D01* -X84823977Y-98983329D01* -X84820917Y-99003957D01* -X84815850Y-99024185D01* -X84808824Y-99043820D01* -X84799908Y-99062672D01* -X84789187Y-99080559D01* -X84776765Y-99097309D01* -X84762760Y-99112760D01* -X84747309Y-99126765D01* -X84730559Y-99139187D01* -X84712672Y-99149908D01* -X84693820Y-99158824D01* -X84674185Y-99165850D01* -X84653957Y-99170917D01* -X84633329Y-99173977D01* -X84612500Y-99175000D01* -X84087500Y-99175000D01* -X84066671Y-99173977D01* -X84046043Y-99170917D01* -X84025815Y-99165850D01* -X84006180Y-99158824D01* -X83987328Y-99149908D01* -X83969441Y-99139187D01* -X83952691Y-99126765D01* -X83937240Y-99112760D01* -X83923235Y-99097309D01* -X83910813Y-99080559D01* -X83900092Y-99062672D01* -X83891176Y-99043820D01* -X83884150Y-99024185D01* -X83879083Y-99003957D01* -X83876023Y-98983329D01* -X83875000Y-98962500D01* -X83875000Y-98537500D01* -X83876023Y-98516671D01* -X83879083Y-98496043D01* -X83884150Y-98475815D01* -X83891176Y-98456180D01* -X83900092Y-98437328D01* -X83910813Y-98419441D01* -X83923235Y-98402691D01* -X83937240Y-98387240D01* -X83952691Y-98373235D01* -X83969441Y-98360813D01* -X83987328Y-98350092D01* -X84006180Y-98341176D01* -X84025815Y-98334150D01* -X84046043Y-98329083D01* -X84066671Y-98326023D01* -X84087500Y-98325000D01* -X84612500Y-98325000D01* -X84633329Y-98326023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X86083329Y-120126023D02* -G01* -X86103957Y-120129083D01* -X86124185Y-120134150D01* -X86143820Y-120141176D01* -X86162672Y-120150092D01* -X86180559Y-120160813D01* -X86197309Y-120173235D01* -X86212760Y-120187240D01* -X86226765Y-120202691D01* -X86239187Y-120219441D01* -X86249908Y-120237328D01* -X86258824Y-120256180D01* -X86265850Y-120275815D01* -X86270917Y-120296043D01* -X86273977Y-120316671D01* -X86275000Y-120337500D01* -X86275000Y-120762500D01* -X86273977Y-120783329D01* -X86270917Y-120803957D01* -X86265850Y-120824185D01* -X86258824Y-120843820D01* -X86249908Y-120862672D01* -X86239187Y-120880559D01* -X86226765Y-120897309D01* -X86212760Y-120912760D01* -X86197309Y-120926765D01* -X86180559Y-120939187D01* -X86162672Y-120949908D01* -X86143820Y-120958824D01* -X86124185Y-120965850D01* -X86103957Y-120970917D01* -X86083329Y-120973977D01* -X86062500Y-120975000D01* -X85537500Y-120975000D01* -X85516671Y-120973977D01* -X85496043Y-120970917D01* -X85475815Y-120965850D01* -X85456180Y-120958824D01* -X85437328Y-120949908D01* -X85419441Y-120939187D01* -X85402691Y-120926765D01* -X85387240Y-120912760D01* -X85373235Y-120897309D01* -X85360813Y-120880559D01* -X85350092Y-120862672D01* -X85341176Y-120843820D01* -X85334150Y-120824185D01* -X85329083Y-120803957D01* -X85326023Y-120783329D01* -X85325000Y-120762500D01* -X85325000Y-120337500D01* -X85326023Y-120316671D01* -X85329083Y-120296043D01* -X85334150Y-120275815D01* -X85341176Y-120256180D01* -X85350092Y-120237328D01* -X85360813Y-120219441D01* -X85373235Y-120202691D01* -X85387240Y-120187240D01* -X85402691Y-120173235D01* -X85419441Y-120160813D01* -X85437328Y-120150092D01* -X85456180Y-120141176D01* -X85475815Y-120134150D01* -X85496043Y-120129083D01* -X85516671Y-120126023D01* -X85537500Y-120125000D01* -X86062500Y-120125000D01* -X86083329Y-120126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X86083329Y-118626023D02* -G01* -X86103957Y-118629083D01* -X86124185Y-118634150D01* -X86143820Y-118641176D01* -X86162672Y-118650092D01* -X86180559Y-118660813D01* -X86197309Y-118673235D01* -X86212760Y-118687240D01* -X86226765Y-118702691D01* -X86239187Y-118719441D01* -X86249908Y-118737328D01* -X86258824Y-118756180D01* -X86265850Y-118775815D01* -X86270917Y-118796043D01* -X86273977Y-118816671D01* -X86275000Y-118837500D01* -X86275000Y-119262500D01* -X86273977Y-119283329D01* -X86270917Y-119303957D01* -X86265850Y-119324185D01* -X86258824Y-119343820D01* -X86249908Y-119362672D01* -X86239187Y-119380559D01* -X86226765Y-119397309D01* -X86212760Y-119412760D01* -X86197309Y-119426765D01* -X86180559Y-119439187D01* -X86162672Y-119449908D01* -X86143820Y-119458824D01* -X86124185Y-119465850D01* -X86103957Y-119470917D01* -X86083329Y-119473977D01* -X86062500Y-119475000D01* -X85537500Y-119475000D01* -X85516671Y-119473977D01* -X85496043Y-119470917D01* -X85475815Y-119465850D01* -X85456180Y-119458824D01* -X85437328Y-119449908D01* -X85419441Y-119439187D01* -X85402691Y-119426765D01* -X85387240Y-119412760D01* -X85373235Y-119397309D01* -X85360813Y-119380559D01* -X85350092Y-119362672D01* -X85341176Y-119343820D01* -X85334150Y-119324185D01* -X85329083Y-119303957D01* -X85326023Y-119283329D01* -X85325000Y-119262500D01* -X85325000Y-118837500D01* -X85326023Y-118816671D01* -X85329083Y-118796043D01* -X85334150Y-118775815D01* -X85341176Y-118756180D01* -X85350092Y-118737328D01* -X85360813Y-118719441D01* -X85373235Y-118702691D01* -X85387240Y-118687240D01* -X85402691Y-118673235D01* -X85419441Y-118660813D01* -X85437328Y-118650092D01* -X85456180Y-118641176D01* -X85475815Y-118634150D01* -X85496043Y-118629083D01* -X85516671Y-118626023D01* -X85537500Y-118625000D01* -X86062500Y-118625000D01* -X86083329Y-118626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104483329Y-120126023D02* -G01* -X104503957Y-120129083D01* -X104524185Y-120134150D01* -X104543820Y-120141176D01* -X104562672Y-120150092D01* -X104580559Y-120160813D01* -X104597309Y-120173235D01* -X104612760Y-120187240D01* -X104626765Y-120202691D01* -X104639187Y-120219441D01* -X104649908Y-120237328D01* -X104658824Y-120256180D01* -X104665850Y-120275815D01* -X104670917Y-120296043D01* -X104673977Y-120316671D01* -X104675000Y-120337500D01* -X104675000Y-120762500D01* -X104673977Y-120783329D01* -X104670917Y-120803957D01* -X104665850Y-120824185D01* -X104658824Y-120843820D01* -X104649908Y-120862672D01* -X104639187Y-120880559D01* -X104626765Y-120897309D01* -X104612760Y-120912760D01* -X104597309Y-120926765D01* -X104580559Y-120939187D01* -X104562672Y-120949908D01* -X104543820Y-120958824D01* -X104524185Y-120965850D01* -X104503957Y-120970917D01* -X104483329Y-120973977D01* -X104462500Y-120975000D01* -X103937500Y-120975000D01* -X103916671Y-120973977D01* -X103896043Y-120970917D01* -X103875815Y-120965850D01* -X103856180Y-120958824D01* -X103837328Y-120949908D01* -X103819441Y-120939187D01* -X103802691Y-120926765D01* -X103787240Y-120912760D01* -X103773235Y-120897309D01* -X103760813Y-120880559D01* -X103750092Y-120862672D01* -X103741176Y-120843820D01* -X103734150Y-120824185D01* -X103729083Y-120803957D01* -X103726023Y-120783329D01* -X103725000Y-120762500D01* -X103725000Y-120337500D01* -X103726023Y-120316671D01* -X103729083Y-120296043D01* -X103734150Y-120275815D01* -X103741176Y-120256180D01* -X103750092Y-120237328D01* -X103760813Y-120219441D01* -X103773235Y-120202691D01* -X103787240Y-120187240D01* -X103802691Y-120173235D01* -X103819441Y-120160813D01* -X103837328Y-120150092D01* -X103856180Y-120141176D01* -X103875815Y-120134150D01* -X103896043Y-120129083D01* -X103916671Y-120126023D01* -X103937500Y-120125000D01* -X104462500Y-120125000D01* -X104483329Y-120126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104483329Y-118626023D02* -G01* -X104503957Y-118629083D01* -X104524185Y-118634150D01* -X104543820Y-118641176D01* -X104562672Y-118650092D01* -X104580559Y-118660813D01* -X104597309Y-118673235D01* -X104612760Y-118687240D01* -X104626765Y-118702691D01* -X104639187Y-118719441D01* -X104649908Y-118737328D01* -X104658824Y-118756180D01* -X104665850Y-118775815D01* -X104670917Y-118796043D01* -X104673977Y-118816671D01* -X104675000Y-118837500D01* -X104675000Y-119262500D01* -X104673977Y-119283329D01* -X104670917Y-119303957D01* -X104665850Y-119324185D01* -X104658824Y-119343820D01* -X104649908Y-119362672D01* -X104639187Y-119380559D01* -X104626765Y-119397309D01* -X104612760Y-119412760D01* -X104597309Y-119426765D01* -X104580559Y-119439187D01* -X104562672Y-119449908D01* -X104543820Y-119458824D01* -X104524185Y-119465850D01* -X104503957Y-119470917D01* -X104483329Y-119473977D01* -X104462500Y-119475000D01* -X103937500Y-119475000D01* -X103916671Y-119473977D01* -X103896043Y-119470917D01* -X103875815Y-119465850D01* -X103856180Y-119458824D01* -X103837328Y-119449908D01* -X103819441Y-119439187D01* -X103802691Y-119426765D01* -X103787240Y-119412760D01* -X103773235Y-119397309D01* -X103760813Y-119380559D01* -X103750092Y-119362672D01* -X103741176Y-119343820D01* -X103734150Y-119324185D01* -X103729083Y-119303957D01* -X103726023Y-119283329D01* -X103725000Y-119262500D01* -X103725000Y-118837500D01* -X103726023Y-118816671D01* -X103729083Y-118796043D01* -X103734150Y-118775815D01* -X103741176Y-118756180D01* -X103750092Y-118737328D01* -X103760813Y-118719441D01* -X103773235Y-118702691D01* -X103787240Y-118687240D01* -X103802691Y-118673235D01* -X103819441Y-118660813D01* -X103837328Y-118650092D01* -X103856180Y-118641176D01* -X103875815Y-118634150D01* -X103896043Y-118629083D01* -X103916671Y-118626023D01* -X103937500Y-118625000D01* -X104462500Y-118625000D01* -X104483329Y-118626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X95283329Y-118626023D02* -G01* -X95303957Y-118629083D01* -X95324185Y-118634150D01* -X95343820Y-118641176D01* -X95362672Y-118650092D01* -X95380559Y-118660813D01* -X95397309Y-118673235D01* -X95412760Y-118687240D01* -X95426765Y-118702691D01* -X95439187Y-118719441D01* -X95449908Y-118737328D01* -X95458824Y-118756180D01* -X95465850Y-118775815D01* -X95470917Y-118796043D01* -X95473977Y-118816671D01* -X95475000Y-118837500D01* -X95475000Y-119262500D01* -X95473977Y-119283329D01* -X95470917Y-119303957D01* -X95465850Y-119324185D01* -X95458824Y-119343820D01* -X95449908Y-119362672D01* -X95439187Y-119380559D01* -X95426765Y-119397309D01* -X95412760Y-119412760D01* -X95397309Y-119426765D01* -X95380559Y-119439187D01* -X95362672Y-119449908D01* -X95343820Y-119458824D01* -X95324185Y-119465850D01* -X95303957Y-119470917D01* -X95283329Y-119473977D01* -X95262500Y-119475000D01* -X94737500Y-119475000D01* -X94716671Y-119473977D01* -X94696043Y-119470917D01* -X94675815Y-119465850D01* -X94656180Y-119458824D01* -X94637328Y-119449908D01* -X94619441Y-119439187D01* -X94602691Y-119426765D01* -X94587240Y-119412760D01* -X94573235Y-119397309D01* -X94560813Y-119380559D01* -X94550092Y-119362672D01* -X94541176Y-119343820D01* -X94534150Y-119324185D01* -X94529083Y-119303957D01* -X94526023Y-119283329D01* -X94525000Y-119262500D01* -X94525000Y-118837500D01* -X94526023Y-118816671D01* -X94529083Y-118796043D01* -X94534150Y-118775815D01* -X94541176Y-118756180D01* -X94550092Y-118737328D01* -X94560813Y-118719441D01* -X94573235Y-118702691D01* -X94587240Y-118687240D01* -X94602691Y-118673235D01* -X94619441Y-118660813D01* -X94637328Y-118650092D01* -X94656180Y-118641176D01* -X94675815Y-118634150D01* -X94696043Y-118629083D01* -X94716671Y-118626023D01* -X94737500Y-118625000D01* -X95262500Y-118625000D01* -X95283329Y-118626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X95283329Y-120126023D02* -G01* -X95303957Y-120129083D01* -X95324185Y-120134150D01* -X95343820Y-120141176D01* -X95362672Y-120150092D01* -X95380559Y-120160813D01* -X95397309Y-120173235D01* -X95412760Y-120187240D01* -X95426765Y-120202691D01* -X95439187Y-120219441D01* -X95449908Y-120237328D01* -X95458824Y-120256180D01* -X95465850Y-120275815D01* -X95470917Y-120296043D01* -X95473977Y-120316671D01* -X95475000Y-120337500D01* -X95475000Y-120762500D01* -X95473977Y-120783329D01* -X95470917Y-120803957D01* -X95465850Y-120824185D01* -X95458824Y-120843820D01* -X95449908Y-120862672D01* -X95439187Y-120880559D01* -X95426765Y-120897309D01* -X95412760Y-120912760D01* -X95397309Y-120926765D01* -X95380559Y-120939187D01* -X95362672Y-120949908D01* -X95343820Y-120958824D01* -X95324185Y-120965850D01* -X95303957Y-120970917D01* -X95283329Y-120973977D01* -X95262500Y-120975000D01* -X94737500Y-120975000D01* -X94716671Y-120973977D01* -X94696043Y-120970917D01* -X94675815Y-120965850D01* -X94656180Y-120958824D01* -X94637328Y-120949908D01* -X94619441Y-120939187D01* -X94602691Y-120926765D01* -X94587240Y-120912760D01* -X94573235Y-120897309D01* -X94560813Y-120880559D01* -X94550092Y-120862672D01* -X94541176Y-120843820D01* -X94534150Y-120824185D01* -X94529083Y-120803957D01* -X94526023Y-120783329D01* -X94525000Y-120762500D01* -X94525000Y-120337500D01* -X94526023Y-120316671D01* -X94529083Y-120296043D01* -X94534150Y-120275815D01* -X94541176Y-120256180D01* -X94550092Y-120237328D01* -X94560813Y-120219441D01* -X94573235Y-120202691D01* -X94587240Y-120187240D01* -X94602691Y-120173235D01* -X94619441Y-120160813D01* -X94637328Y-120150092D01* -X94656180Y-120141176D01* -X94675815Y-120134150D01* -X94696043Y-120129083D01* -X94716671Y-120126023D01* -X94737500Y-120125000D01* -X95262500Y-120125000D01* -X95283329Y-120126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X137448229Y-127571264D02* -G01* -X137473711Y-127575044D01* -X137498700Y-127581303D01* -X137522954Y-127589982D01* -X137546242Y-127600996D01* -X137568337Y-127614239D01* -X137589028Y-127629585D01* -X137608116Y-127646884D01* -X137625415Y-127665972D01* -X137640761Y-127686663D01* -X137654004Y-127708758D01* -X137665018Y-127732046D01* -X137673697Y-127756300D01* -X137679956Y-127781289D01* -X137683736Y-127806771D01* -X137685000Y-127832500D01* -X137685000Y-128707500D01* -X137683736Y-128733229D01* -X137679956Y-128758711D01* -X137673697Y-128783700D01* -X137665018Y-128807954D01* -X137654004Y-128831242D01* -X137640761Y-128853337D01* -X137625415Y-128874028D01* -X137608116Y-128893116D01* -X137589028Y-128910415D01* -X137568337Y-128925761D01* -X137546242Y-128939004D01* -X137522954Y-128950018D01* -X137498700Y-128958697D01* -X137473711Y-128964956D01* -X137448229Y-128968736D01* -X137422500Y-128970000D01* -X136897500Y-128970000D01* -X136871771Y-128968736D01* -X136846289Y-128964956D01* -X136821300Y-128958697D01* -X136797046Y-128950018D01* -X136773758Y-128939004D01* -X136751663Y-128925761D01* -X136730972Y-128910415D01* -X136711884Y-128893116D01* -X136694585Y-128874028D01* -X136679239Y-128853337D01* -X136665996Y-128831242D01* -X136654982Y-128807954D01* -X136646303Y-128783700D01* -X136640044Y-128758711D01* -X136636264Y-128733229D01* -X136635000Y-128707500D01* -X136635000Y-127832500D01* -X136636264Y-127806771D01* -X136640044Y-127781289D01* -X136646303Y-127756300D01* -X136654982Y-127732046D01* -X136665996Y-127708758D01* -X136679239Y-127686663D01* -X136694585Y-127665972D01* -X136711884Y-127646884D01* -X136730972Y-127629585D01* -X136751663Y-127614239D01* -X136773758Y-127600996D01* -X136797046Y-127589982D01* -X136821300Y-127581303D01* -X136846289Y-127575044D01* -X136871771Y-127571264D01* -X136897500Y-127570000D01* -X137422500Y-127570000D01* -X137448229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135748229Y-127571264D02* -G01* -X135773711Y-127575044D01* -X135798700Y-127581303D01* -X135822954Y-127589982D01* -X135846242Y-127600996D01* -X135868337Y-127614239D01* -X135889028Y-127629585D01* -X135908116Y-127646884D01* -X135925415Y-127665972D01* -X135940761Y-127686663D01* -X135954004Y-127708758D01* -X135965018Y-127732046D01* -X135973697Y-127756300D01* -X135979956Y-127781289D01* -X135983736Y-127806771D01* -X135985000Y-127832500D01* -X135985000Y-128707500D01* -X135983736Y-128733229D01* -X135979956Y-128758711D01* -X135973697Y-128783700D01* -X135965018Y-128807954D01* -X135954004Y-128831242D01* -X135940761Y-128853337D01* -X135925415Y-128874028D01* -X135908116Y-128893116D01* -X135889028Y-128910415D01* -X135868337Y-128925761D01* -X135846242Y-128939004D01* -X135822954Y-128950018D01* -X135798700Y-128958697D01* -X135773711Y-128964956D01* -X135748229Y-128968736D01* -X135722500Y-128970000D01* -X135197500Y-128970000D01* -X135171771Y-128968736D01* -X135146289Y-128964956D01* -X135121300Y-128958697D01* -X135097046Y-128950018D01* -X135073758Y-128939004D01* -X135051663Y-128925761D01* -X135030972Y-128910415D01* -X135011884Y-128893116D01* -X134994585Y-128874028D01* -X134979239Y-128853337D01* -X134965996Y-128831242D01* -X134954982Y-128807954D01* -X134946303Y-128783700D01* -X134940044Y-128758711D01* -X134936264Y-128733229D01* -X134935000Y-128707500D01* -X134935000Y-127832500D01* -X134936264Y-127806771D01* -X134940044Y-127781289D01* -X134946303Y-127756300D01* -X134954982Y-127732046D01* -X134965996Y-127708758D01* -X134979239Y-127686663D01* -X134994585Y-127665972D01* -X135011884Y-127646884D01* -X135030972Y-127629585D01* -X135051663Y-127614239D01* -X135073758Y-127600996D01* -X135097046Y-127589982D01* -X135121300Y-127581303D01* -X135146289Y-127575044D01* -X135171771Y-127571264D01* -X135197500Y-127570000D01* -X135722500Y-127570000D01* -X135748229Y-127571264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96623527Y-118325542D02* -G01* -X96634448Y-118327162D01* -X96645157Y-118329844D01* -X96655552Y-118333564D01* -X96665532Y-118338284D01* -X96675002Y-118343960D01* -X96683869Y-118350536D01* -X96692050Y-118357950D01* -X96699464Y-118366131D01* -X96706040Y-118374998D01* -X96711716Y-118384468D01* -X96716436Y-118394448D01* -X96720156Y-118404843D01* -X96722838Y-118415552D01* -X96724458Y-118426473D01* -X96725000Y-118437500D01* -X96725000Y-119662500D01* -X96724458Y-119673527D01* -X96722838Y-119684448D01* -X96720156Y-119695157D01* -X96716436Y-119705552D01* -X96711716Y-119715532D01* -X96706040Y-119725002D01* -X96699464Y-119733869D01* -X96692050Y-119742050D01* -X96683869Y-119749464D01* -X96675002Y-119756040D01* -X96665532Y-119761716D01* -X96655552Y-119766436D01* -X96645157Y-119770156D01* -X96634448Y-119772838D01* -X96623527Y-119774458D01* -X96612500Y-119775000D01* -X96387500Y-119775000D01* -X96376473Y-119774458D01* -X96365552Y-119772838D01* -X96354843Y-119770156D01* -X96344448Y-119766436D01* -X96334468Y-119761716D01* -X96324998Y-119756040D01* -X96316131Y-119749464D01* -X96307950Y-119742050D01* -X96300536Y-119733869D01* -X96293960Y-119725002D01* -X96288284Y-119715532D01* -X96283564Y-119705552D01* -X96279844Y-119695157D01* -X96277162Y-119684448D01* -X96275542Y-119673527D01* -X96275000Y-119662500D01* -X96275000Y-118437500D01* -X96275542Y-118426473D01* -X96277162Y-118415552D01* -X96279844Y-118404843D01* -X96283564Y-118394448D01* -X96288284Y-118384468D01* -X96293960Y-118374998D01* -X96300536Y-118366131D01* -X96307950Y-118357950D01* -X96316131Y-118350536D01* -X96324998Y-118343960D01* -X96334468Y-118338284D01* -X96344448Y-118333564D01* -X96354843Y-118329844D01* -X96365552Y-118327162D01* -X96376473Y-118325542D01* -X96387500Y-118325000D01* -X96612500Y-118325000D01* -X96623527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97273527Y-118325542D02* -G01* -X97284448Y-118327162D01* -X97295157Y-118329844D01* -X97305552Y-118333564D01* -X97315532Y-118338284D01* -X97325002Y-118343960D01* -X97333869Y-118350536D01* -X97342050Y-118357950D01* -X97349464Y-118366131D01* -X97356040Y-118374998D01* -X97361716Y-118384468D01* -X97366436Y-118394448D01* -X97370156Y-118404843D01* -X97372838Y-118415552D01* -X97374458Y-118426473D01* -X97375000Y-118437500D01* -X97375000Y-119662500D01* -X97374458Y-119673527D01* -X97372838Y-119684448D01* -X97370156Y-119695157D01* -X97366436Y-119705552D01* -X97361716Y-119715532D01* -X97356040Y-119725002D01* -X97349464Y-119733869D01* -X97342050Y-119742050D01* -X97333869Y-119749464D01* -X97325002Y-119756040D01* -X97315532Y-119761716D01* -X97305552Y-119766436D01* -X97295157Y-119770156D01* -X97284448Y-119772838D01* -X97273527Y-119774458D01* -X97262500Y-119775000D01* -X97037500Y-119775000D01* -X97026473Y-119774458D01* -X97015552Y-119772838D01* -X97004843Y-119770156D01* -X96994448Y-119766436D01* -X96984468Y-119761716D01* -X96974998Y-119756040D01* -X96966131Y-119749464D01* -X96957950Y-119742050D01* -X96950536Y-119733869D01* -X96943960Y-119725002D01* -X96938284Y-119715532D01* -X96933564Y-119705552D01* -X96929844Y-119695157D01* -X96927162Y-119684448D01* -X96925542Y-119673527D01* -X96925000Y-119662500D01* -X96925000Y-118437500D01* -X96925542Y-118426473D01* -X96927162Y-118415552D01* -X96929844Y-118404843D01* -X96933564Y-118394448D01* -X96938284Y-118384468D01* -X96943960Y-118374998D01* -X96950536Y-118366131D01* -X96957950Y-118357950D01* -X96966131Y-118350536D01* -X96974998Y-118343960D01* -X96984468Y-118338284D01* -X96994448Y-118333564D01* -X97004843Y-118329844D01* -X97015552Y-118327162D01* -X97026473Y-118325542D01* -X97037500Y-118325000D01* -X97262500Y-118325000D01* -X97273527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97923527Y-118325542D02* -G01* -X97934448Y-118327162D01* -X97945157Y-118329844D01* -X97955552Y-118333564D01* -X97965532Y-118338284D01* -X97975002Y-118343960D01* -X97983869Y-118350536D01* -X97992050Y-118357950D01* -X97999464Y-118366131D01* -X98006040Y-118374998D01* -X98011716Y-118384468D01* -X98016436Y-118394448D01* -X98020156Y-118404843D01* -X98022838Y-118415552D01* -X98024458Y-118426473D01* -X98025000Y-118437500D01* -X98025000Y-119662500D01* -X98024458Y-119673527D01* -X98022838Y-119684448D01* -X98020156Y-119695157D01* -X98016436Y-119705552D01* -X98011716Y-119715532D01* -X98006040Y-119725002D01* -X97999464Y-119733869D01* -X97992050Y-119742050D01* -X97983869Y-119749464D01* -X97975002Y-119756040D01* -X97965532Y-119761716D01* -X97955552Y-119766436D01* -X97945157Y-119770156D01* -X97934448Y-119772838D01* -X97923527Y-119774458D01* -X97912500Y-119775000D01* -X97687500Y-119775000D01* -X97676473Y-119774458D01* -X97665552Y-119772838D01* -X97654843Y-119770156D01* -X97644448Y-119766436D01* -X97634468Y-119761716D01* -X97624998Y-119756040D01* -X97616131Y-119749464D01* -X97607950Y-119742050D01* -X97600536Y-119733869D01* -X97593960Y-119725002D01* -X97588284Y-119715532D01* -X97583564Y-119705552D01* -X97579844Y-119695157D01* -X97577162Y-119684448D01* -X97575542Y-119673527D01* -X97575000Y-119662500D01* -X97575000Y-118437500D01* -X97575542Y-118426473D01* -X97577162Y-118415552D01* -X97579844Y-118404843D01* -X97583564Y-118394448D01* -X97588284Y-118384468D01* -X97593960Y-118374998D01* -X97600536Y-118366131D01* -X97607950Y-118357950D01* -X97616131Y-118350536D01* -X97624998Y-118343960D01* -X97634468Y-118338284D01* -X97644448Y-118333564D01* -X97654843Y-118329844D01* -X97665552Y-118327162D01* -X97676473Y-118325542D01* -X97687500Y-118325000D01* -X97912500Y-118325000D01* -X97923527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98573527Y-118325542D02* -G01* -X98584448Y-118327162D01* -X98595157Y-118329844D01* -X98605552Y-118333564D01* -X98615532Y-118338284D01* -X98625002Y-118343960D01* -X98633869Y-118350536D01* -X98642050Y-118357950D01* -X98649464Y-118366131D01* -X98656040Y-118374998D01* -X98661716Y-118384468D01* -X98666436Y-118394448D01* -X98670156Y-118404843D01* -X98672838Y-118415552D01* -X98674458Y-118426473D01* -X98675000Y-118437500D01* -X98675000Y-119662500D01* -X98674458Y-119673527D01* -X98672838Y-119684448D01* -X98670156Y-119695157D01* -X98666436Y-119705552D01* -X98661716Y-119715532D01* -X98656040Y-119725002D01* -X98649464Y-119733869D01* -X98642050Y-119742050D01* -X98633869Y-119749464D01* -X98625002Y-119756040D01* -X98615532Y-119761716D01* -X98605552Y-119766436D01* -X98595157Y-119770156D01* -X98584448Y-119772838D01* -X98573527Y-119774458D01* -X98562500Y-119775000D01* -X98337500Y-119775000D01* -X98326473Y-119774458D01* -X98315552Y-119772838D01* -X98304843Y-119770156D01* -X98294448Y-119766436D01* -X98284468Y-119761716D01* -X98274998Y-119756040D01* -X98266131Y-119749464D01* -X98257950Y-119742050D01* -X98250536Y-119733869D01* -X98243960Y-119725002D01* -X98238284Y-119715532D01* -X98233564Y-119705552D01* -X98229844Y-119695157D01* -X98227162Y-119684448D01* -X98225542Y-119673527D01* -X98225000Y-119662500D01* -X98225000Y-118437500D01* -X98225542Y-118426473D01* -X98227162Y-118415552D01* -X98229844Y-118404843D01* -X98233564Y-118394448D01* -X98238284Y-118384468D01* -X98243960Y-118374998D01* -X98250536Y-118366131D01* -X98257950Y-118357950D01* -X98266131Y-118350536D01* -X98274998Y-118343960D01* -X98284468Y-118338284D01* -X98294448Y-118333564D01* -X98304843Y-118329844D01* -X98315552Y-118327162D01* -X98326473Y-118325542D01* -X98337500Y-118325000D01* -X98562500Y-118325000D01* -X98573527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99223527Y-118325542D02* -G01* -X99234448Y-118327162D01* -X99245157Y-118329844D01* -X99255552Y-118333564D01* -X99265532Y-118338284D01* -X99275002Y-118343960D01* -X99283869Y-118350536D01* -X99292050Y-118357950D01* -X99299464Y-118366131D01* -X99306040Y-118374998D01* -X99311716Y-118384468D01* -X99316436Y-118394448D01* -X99320156Y-118404843D01* -X99322838Y-118415552D01* -X99324458Y-118426473D01* -X99325000Y-118437500D01* -X99325000Y-119662500D01* -X99324458Y-119673527D01* -X99322838Y-119684448D01* -X99320156Y-119695157D01* -X99316436Y-119705552D01* -X99311716Y-119715532D01* -X99306040Y-119725002D01* -X99299464Y-119733869D01* -X99292050Y-119742050D01* -X99283869Y-119749464D01* -X99275002Y-119756040D01* -X99265532Y-119761716D01* -X99255552Y-119766436D01* -X99245157Y-119770156D01* -X99234448Y-119772838D01* -X99223527Y-119774458D01* -X99212500Y-119775000D01* -X98987500Y-119775000D01* -X98976473Y-119774458D01* -X98965552Y-119772838D01* -X98954843Y-119770156D01* -X98944448Y-119766436D01* -X98934468Y-119761716D01* -X98924998Y-119756040D01* -X98916131Y-119749464D01* -X98907950Y-119742050D01* -X98900536Y-119733869D01* -X98893960Y-119725002D01* -X98888284Y-119715532D01* -X98883564Y-119705552D01* -X98879844Y-119695157D01* -X98877162Y-119684448D01* -X98875542Y-119673527D01* -X98875000Y-119662500D01* -X98875000Y-118437500D01* -X98875542Y-118426473D01* -X98877162Y-118415552D01* -X98879844Y-118404843D01* -X98883564Y-118394448D01* -X98888284Y-118384468D01* -X98893960Y-118374998D01* -X98900536Y-118366131D01* -X98907950Y-118357950D01* -X98916131Y-118350536D01* -X98924998Y-118343960D01* -X98934468Y-118338284D01* -X98944448Y-118333564D01* -X98954843Y-118329844D01* -X98965552Y-118327162D01* -X98976473Y-118325542D01* -X98987500Y-118325000D01* -X99212500Y-118325000D01* -X99223527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99873527Y-118325542D02* -G01* -X99884448Y-118327162D01* -X99895157Y-118329844D01* -X99905552Y-118333564D01* -X99915532Y-118338284D01* -X99925002Y-118343960D01* -X99933869Y-118350536D01* -X99942050Y-118357950D01* -X99949464Y-118366131D01* -X99956040Y-118374998D01* -X99961716Y-118384468D01* -X99966436Y-118394448D01* -X99970156Y-118404843D01* -X99972838Y-118415552D01* -X99974458Y-118426473D01* -X99975000Y-118437500D01* -X99975000Y-119662500D01* -X99974458Y-119673527D01* -X99972838Y-119684448D01* -X99970156Y-119695157D01* -X99966436Y-119705552D01* -X99961716Y-119715532D01* -X99956040Y-119725002D01* -X99949464Y-119733869D01* -X99942050Y-119742050D01* -X99933869Y-119749464D01* -X99925002Y-119756040D01* -X99915532Y-119761716D01* -X99905552Y-119766436D01* -X99895157Y-119770156D01* -X99884448Y-119772838D01* -X99873527Y-119774458D01* -X99862500Y-119775000D01* -X99637500Y-119775000D01* -X99626473Y-119774458D01* -X99615552Y-119772838D01* -X99604843Y-119770156D01* -X99594448Y-119766436D01* -X99584468Y-119761716D01* -X99574998Y-119756040D01* -X99566131Y-119749464D01* -X99557950Y-119742050D01* -X99550536Y-119733869D01* -X99543960Y-119725002D01* -X99538284Y-119715532D01* -X99533564Y-119705552D01* -X99529844Y-119695157D01* -X99527162Y-119684448D01* -X99525542Y-119673527D01* -X99525000Y-119662500D01* -X99525000Y-118437500D01* -X99525542Y-118426473D01* -X99527162Y-118415552D01* -X99529844Y-118404843D01* -X99533564Y-118394448D01* -X99538284Y-118384468D01* -X99543960Y-118374998D01* -X99550536Y-118366131D01* -X99557950Y-118357950D01* -X99566131Y-118350536D01* -X99574998Y-118343960D01* -X99584468Y-118338284D01* -X99594448Y-118333564D01* -X99604843Y-118329844D01* -X99615552Y-118327162D01* -X99626473Y-118325542D01* -X99637500Y-118325000D01* -X99862500Y-118325000D01* -X99873527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X100523527Y-118325542D02* -G01* -X100534448Y-118327162D01* -X100545157Y-118329844D01* -X100555552Y-118333564D01* -X100565532Y-118338284D01* -X100575002Y-118343960D01* -X100583869Y-118350536D01* -X100592050Y-118357950D01* -X100599464Y-118366131D01* -X100606040Y-118374998D01* -X100611716Y-118384468D01* -X100616436Y-118394448D01* -X100620156Y-118404843D01* -X100622838Y-118415552D01* -X100624458Y-118426473D01* -X100625000Y-118437500D01* -X100625000Y-119662500D01* -X100624458Y-119673527D01* -X100622838Y-119684448D01* -X100620156Y-119695157D01* -X100616436Y-119705552D01* -X100611716Y-119715532D01* -X100606040Y-119725002D01* -X100599464Y-119733869D01* -X100592050Y-119742050D01* -X100583869Y-119749464D01* -X100575002Y-119756040D01* -X100565532Y-119761716D01* -X100555552Y-119766436D01* -X100545157Y-119770156D01* -X100534448Y-119772838D01* -X100523527Y-119774458D01* -X100512500Y-119775000D01* -X100287500Y-119775000D01* -X100276473Y-119774458D01* -X100265552Y-119772838D01* -X100254843Y-119770156D01* -X100244448Y-119766436D01* -X100234468Y-119761716D01* -X100224998Y-119756040D01* -X100216131Y-119749464D01* -X100207950Y-119742050D01* -X100200536Y-119733869D01* -X100193960Y-119725002D01* -X100188284Y-119715532D01* -X100183564Y-119705552D01* -X100179844Y-119695157D01* -X100177162Y-119684448D01* -X100175542Y-119673527D01* -X100175000Y-119662500D01* -X100175000Y-118437500D01* -X100175542Y-118426473D01* -X100177162Y-118415552D01* -X100179844Y-118404843D01* -X100183564Y-118394448D01* -X100188284Y-118384468D01* -X100193960Y-118374998D01* -X100200536Y-118366131D01* -X100207950Y-118357950D01* -X100216131Y-118350536D01* -X100224998Y-118343960D01* -X100234468Y-118338284D01* -X100244448Y-118333564D01* -X100254843Y-118329844D01* -X100265552Y-118327162D01* -X100276473Y-118325542D01* -X100287500Y-118325000D01* -X100512500Y-118325000D01* -X100523527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X101173527Y-118325542D02* -G01* -X101184448Y-118327162D01* -X101195157Y-118329844D01* -X101205552Y-118333564D01* -X101215532Y-118338284D01* -X101225002Y-118343960D01* -X101233869Y-118350536D01* -X101242050Y-118357950D01* -X101249464Y-118366131D01* -X101256040Y-118374998D01* -X101261716Y-118384468D01* -X101266436Y-118394448D01* -X101270156Y-118404843D01* -X101272838Y-118415552D01* -X101274458Y-118426473D01* -X101275000Y-118437500D01* -X101275000Y-119662500D01* -X101274458Y-119673527D01* -X101272838Y-119684448D01* -X101270156Y-119695157D01* -X101266436Y-119705552D01* -X101261716Y-119715532D01* -X101256040Y-119725002D01* -X101249464Y-119733869D01* -X101242050Y-119742050D01* -X101233869Y-119749464D01* -X101225002Y-119756040D01* -X101215532Y-119761716D01* -X101205552Y-119766436D01* -X101195157Y-119770156D01* -X101184448Y-119772838D01* -X101173527Y-119774458D01* -X101162500Y-119775000D01* -X100937500Y-119775000D01* -X100926473Y-119774458D01* -X100915552Y-119772838D01* -X100904843Y-119770156D01* -X100894448Y-119766436D01* -X100884468Y-119761716D01* -X100874998Y-119756040D01* -X100866131Y-119749464D01* -X100857950Y-119742050D01* -X100850536Y-119733869D01* -X100843960Y-119725002D01* -X100838284Y-119715532D01* -X100833564Y-119705552D01* -X100829844Y-119695157D01* -X100827162Y-119684448D01* -X100825542Y-119673527D01* -X100825000Y-119662500D01* -X100825000Y-118437500D01* -X100825542Y-118426473D01* -X100827162Y-118415552D01* -X100829844Y-118404843D01* -X100833564Y-118394448D01* -X100838284Y-118384468D01* -X100843960Y-118374998D01* -X100850536Y-118366131D01* -X100857950Y-118357950D01* -X100866131Y-118350536D01* -X100874998Y-118343960D01* -X100884468Y-118338284D01* -X100894448Y-118333564D01* -X100904843Y-118329844D01* -X100915552Y-118327162D01* -X100926473Y-118325542D01* -X100937500Y-118325000D01* -X101162500Y-118325000D01* -X101173527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X101823527Y-118325542D02* -G01* -X101834448Y-118327162D01* -X101845157Y-118329844D01* -X101855552Y-118333564D01* -X101865532Y-118338284D01* -X101875002Y-118343960D01* -X101883869Y-118350536D01* -X101892050Y-118357950D01* -X101899464Y-118366131D01* -X101906040Y-118374998D01* -X101911716Y-118384468D01* -X101916436Y-118394448D01* -X101920156Y-118404843D01* -X101922838Y-118415552D01* -X101924458Y-118426473D01* -X101925000Y-118437500D01* -X101925000Y-119662500D01* -X101924458Y-119673527D01* -X101922838Y-119684448D01* -X101920156Y-119695157D01* -X101916436Y-119705552D01* -X101911716Y-119715532D01* -X101906040Y-119725002D01* -X101899464Y-119733869D01* -X101892050Y-119742050D01* -X101883869Y-119749464D01* -X101875002Y-119756040D01* -X101865532Y-119761716D01* -X101855552Y-119766436D01* -X101845157Y-119770156D01* -X101834448Y-119772838D01* -X101823527Y-119774458D01* -X101812500Y-119775000D01* -X101587500Y-119775000D01* -X101576473Y-119774458D01* -X101565552Y-119772838D01* -X101554843Y-119770156D01* -X101544448Y-119766436D01* -X101534468Y-119761716D01* -X101524998Y-119756040D01* -X101516131Y-119749464D01* -X101507950Y-119742050D01* -X101500536Y-119733869D01* -X101493960Y-119725002D01* -X101488284Y-119715532D01* -X101483564Y-119705552D01* -X101479844Y-119695157D01* -X101477162Y-119684448D01* -X101475542Y-119673527D01* -X101475000Y-119662500D01* -X101475000Y-118437500D01* -X101475542Y-118426473D01* -X101477162Y-118415552D01* -X101479844Y-118404843D01* -X101483564Y-118394448D01* -X101488284Y-118384468D01* -X101493960Y-118374998D01* -X101500536Y-118366131D01* -X101507950Y-118357950D01* -X101516131Y-118350536D01* -X101524998Y-118343960D01* -X101534468Y-118338284D01* -X101544448Y-118333564D01* -X101554843Y-118329844D01* -X101565552Y-118327162D01* -X101576473Y-118325542D01* -X101587500Y-118325000D01* -X101812500Y-118325000D01* -X101823527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102473527Y-118325542D02* -G01* -X102484448Y-118327162D01* -X102495157Y-118329844D01* -X102505552Y-118333564D01* -X102515532Y-118338284D01* -X102525002Y-118343960D01* -X102533869Y-118350536D01* -X102542050Y-118357950D01* -X102549464Y-118366131D01* -X102556040Y-118374998D01* -X102561716Y-118384468D01* -X102566436Y-118394448D01* -X102570156Y-118404843D01* -X102572838Y-118415552D01* -X102574458Y-118426473D01* -X102575000Y-118437500D01* -X102575000Y-119662500D01* -X102574458Y-119673527D01* -X102572838Y-119684448D01* -X102570156Y-119695157D01* -X102566436Y-119705552D01* -X102561716Y-119715532D01* -X102556040Y-119725002D01* -X102549464Y-119733869D01* -X102542050Y-119742050D01* -X102533869Y-119749464D01* -X102525002Y-119756040D01* -X102515532Y-119761716D01* -X102505552Y-119766436D01* -X102495157Y-119770156D01* -X102484448Y-119772838D01* -X102473527Y-119774458D01* -X102462500Y-119775000D01* -X102237500Y-119775000D01* -X102226473Y-119774458D01* -X102215552Y-119772838D01* -X102204843Y-119770156D01* -X102194448Y-119766436D01* -X102184468Y-119761716D01* -X102174998Y-119756040D01* -X102166131Y-119749464D01* -X102157950Y-119742050D01* -X102150536Y-119733869D01* -X102143960Y-119725002D01* -X102138284Y-119715532D01* -X102133564Y-119705552D01* -X102129844Y-119695157D01* -X102127162Y-119684448D01* -X102125542Y-119673527D01* -X102125000Y-119662500D01* -X102125000Y-118437500D01* -X102125542Y-118426473D01* -X102127162Y-118415552D01* -X102129844Y-118404843D01* -X102133564Y-118394448D01* -X102138284Y-118384468D01* -X102143960Y-118374998D01* -X102150536Y-118366131D01* -X102157950Y-118357950D01* -X102166131Y-118350536D01* -X102174998Y-118343960D01* -X102184468Y-118338284D01* -X102194448Y-118333564D01* -X102204843Y-118329844D01* -X102215552Y-118327162D01* -X102226473Y-118325542D01* -X102237500Y-118325000D01* -X102462500Y-118325000D01* -X102473527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X102473527Y-124225542D02* -G01* -X102484448Y-124227162D01* -X102495157Y-124229844D01* -X102505552Y-124233564D01* -X102515532Y-124238284D01* -X102525002Y-124243960D01* -X102533869Y-124250536D01* -X102542050Y-124257950D01* -X102549464Y-124266131D01* -X102556040Y-124274998D01* -X102561716Y-124284468D01* -X102566436Y-124294448D01* -X102570156Y-124304843D01* -X102572838Y-124315552D01* -X102574458Y-124326473D01* -X102575000Y-124337500D01* -X102575000Y-125562500D01* -X102574458Y-125573527D01* -X102572838Y-125584448D01* -X102570156Y-125595157D01* -X102566436Y-125605552D01* -X102561716Y-125615532D01* -X102556040Y-125625002D01* -X102549464Y-125633869D01* -X102542050Y-125642050D01* -X102533869Y-125649464D01* -X102525002Y-125656040D01* -X102515532Y-125661716D01* -X102505552Y-125666436D01* -X102495157Y-125670156D01* -X102484448Y-125672838D01* -X102473527Y-125674458D01* -X102462500Y-125675000D01* -X102237500Y-125675000D01* -X102226473Y-125674458D01* -X102215552Y-125672838D01* -X102204843Y-125670156D01* -X102194448Y-125666436D01* -X102184468Y-125661716D01* -X102174998Y-125656040D01* -X102166131Y-125649464D01* -X102157950Y-125642050D01* -X102150536Y-125633869D01* -X102143960Y-125625002D01* -X102138284Y-125615532D01* -X102133564Y-125605552D01* -X102129844Y-125595157D01* -X102127162Y-125584448D01* -X102125542Y-125573527D01* -X102125000Y-125562500D01* -X102125000Y-124337500D01* -X102125542Y-124326473D01* -X102127162Y-124315552D01* -X102129844Y-124304843D01* -X102133564Y-124294448D01* -X102138284Y-124284468D01* -X102143960Y-124274998D01* -X102150536Y-124266131D01* -X102157950Y-124257950D01* -X102166131Y-124250536D01* -X102174998Y-124243960D01* -X102184468Y-124238284D01* -X102194448Y-124233564D01* -X102204843Y-124229844D01* -X102215552Y-124227162D01* -X102226473Y-124225542D01* -X102237500Y-124225000D01* -X102462500Y-124225000D01* -X102473527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X101823527Y-124225542D02* -G01* -X101834448Y-124227162D01* -X101845157Y-124229844D01* -X101855552Y-124233564D01* -X101865532Y-124238284D01* -X101875002Y-124243960D01* -X101883869Y-124250536D01* -X101892050Y-124257950D01* -X101899464Y-124266131D01* -X101906040Y-124274998D01* -X101911716Y-124284468D01* -X101916436Y-124294448D01* -X101920156Y-124304843D01* -X101922838Y-124315552D01* -X101924458Y-124326473D01* -X101925000Y-124337500D01* -X101925000Y-125562500D01* -X101924458Y-125573527D01* -X101922838Y-125584448D01* -X101920156Y-125595157D01* -X101916436Y-125605552D01* -X101911716Y-125615532D01* -X101906040Y-125625002D01* -X101899464Y-125633869D01* -X101892050Y-125642050D01* -X101883869Y-125649464D01* -X101875002Y-125656040D01* -X101865532Y-125661716D01* -X101855552Y-125666436D01* -X101845157Y-125670156D01* -X101834448Y-125672838D01* -X101823527Y-125674458D01* -X101812500Y-125675000D01* -X101587500Y-125675000D01* -X101576473Y-125674458D01* -X101565552Y-125672838D01* -X101554843Y-125670156D01* -X101544448Y-125666436D01* -X101534468Y-125661716D01* -X101524998Y-125656040D01* -X101516131Y-125649464D01* -X101507950Y-125642050D01* -X101500536Y-125633869D01* -X101493960Y-125625002D01* -X101488284Y-125615532D01* -X101483564Y-125605552D01* -X101479844Y-125595157D01* -X101477162Y-125584448D01* -X101475542Y-125573527D01* -X101475000Y-125562500D01* -X101475000Y-124337500D01* -X101475542Y-124326473D01* -X101477162Y-124315552D01* -X101479844Y-124304843D01* -X101483564Y-124294448D01* -X101488284Y-124284468D01* -X101493960Y-124274998D01* -X101500536Y-124266131D01* -X101507950Y-124257950D01* -X101516131Y-124250536D01* -X101524998Y-124243960D01* -X101534468Y-124238284D01* -X101544448Y-124233564D01* -X101554843Y-124229844D01* -X101565552Y-124227162D01* -X101576473Y-124225542D01* -X101587500Y-124225000D01* -X101812500Y-124225000D01* -X101823527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X101173527Y-124225542D02* -G01* -X101184448Y-124227162D01* -X101195157Y-124229844D01* -X101205552Y-124233564D01* -X101215532Y-124238284D01* -X101225002Y-124243960D01* -X101233869Y-124250536D01* -X101242050Y-124257950D01* -X101249464Y-124266131D01* -X101256040Y-124274998D01* -X101261716Y-124284468D01* -X101266436Y-124294448D01* -X101270156Y-124304843D01* -X101272838Y-124315552D01* -X101274458Y-124326473D01* -X101275000Y-124337500D01* -X101275000Y-125562500D01* -X101274458Y-125573527D01* -X101272838Y-125584448D01* -X101270156Y-125595157D01* -X101266436Y-125605552D01* -X101261716Y-125615532D01* -X101256040Y-125625002D01* -X101249464Y-125633869D01* -X101242050Y-125642050D01* -X101233869Y-125649464D01* -X101225002Y-125656040D01* -X101215532Y-125661716D01* -X101205552Y-125666436D01* -X101195157Y-125670156D01* -X101184448Y-125672838D01* -X101173527Y-125674458D01* -X101162500Y-125675000D01* -X100937500Y-125675000D01* -X100926473Y-125674458D01* -X100915552Y-125672838D01* -X100904843Y-125670156D01* -X100894448Y-125666436D01* -X100884468Y-125661716D01* -X100874998Y-125656040D01* -X100866131Y-125649464D01* -X100857950Y-125642050D01* -X100850536Y-125633869D01* -X100843960Y-125625002D01* -X100838284Y-125615532D01* -X100833564Y-125605552D01* -X100829844Y-125595157D01* -X100827162Y-125584448D01* -X100825542Y-125573527D01* -X100825000Y-125562500D01* -X100825000Y-124337500D01* -X100825542Y-124326473D01* -X100827162Y-124315552D01* -X100829844Y-124304843D01* -X100833564Y-124294448D01* -X100838284Y-124284468D01* -X100843960Y-124274998D01* -X100850536Y-124266131D01* -X100857950Y-124257950D01* -X100866131Y-124250536D01* -X100874998Y-124243960D01* -X100884468Y-124238284D01* -X100894448Y-124233564D01* -X100904843Y-124229844D01* -X100915552Y-124227162D01* -X100926473Y-124225542D01* -X100937500Y-124225000D01* -X101162500Y-124225000D01* -X101173527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X100523527Y-124225542D02* -G01* -X100534448Y-124227162D01* -X100545157Y-124229844D01* -X100555552Y-124233564D01* -X100565532Y-124238284D01* -X100575002Y-124243960D01* -X100583869Y-124250536D01* -X100592050Y-124257950D01* -X100599464Y-124266131D01* -X100606040Y-124274998D01* -X100611716Y-124284468D01* -X100616436Y-124294448D01* -X100620156Y-124304843D01* -X100622838Y-124315552D01* -X100624458Y-124326473D01* -X100625000Y-124337500D01* -X100625000Y-125562500D01* -X100624458Y-125573527D01* -X100622838Y-125584448D01* -X100620156Y-125595157D01* -X100616436Y-125605552D01* -X100611716Y-125615532D01* -X100606040Y-125625002D01* -X100599464Y-125633869D01* -X100592050Y-125642050D01* -X100583869Y-125649464D01* -X100575002Y-125656040D01* -X100565532Y-125661716D01* -X100555552Y-125666436D01* -X100545157Y-125670156D01* -X100534448Y-125672838D01* -X100523527Y-125674458D01* -X100512500Y-125675000D01* -X100287500Y-125675000D01* -X100276473Y-125674458D01* -X100265552Y-125672838D01* -X100254843Y-125670156D01* -X100244448Y-125666436D01* -X100234468Y-125661716D01* -X100224998Y-125656040D01* -X100216131Y-125649464D01* -X100207950Y-125642050D01* -X100200536Y-125633869D01* -X100193960Y-125625002D01* -X100188284Y-125615532D01* -X100183564Y-125605552D01* -X100179844Y-125595157D01* -X100177162Y-125584448D01* -X100175542Y-125573527D01* -X100175000Y-125562500D01* -X100175000Y-124337500D01* -X100175542Y-124326473D01* -X100177162Y-124315552D01* -X100179844Y-124304843D01* -X100183564Y-124294448D01* -X100188284Y-124284468D01* -X100193960Y-124274998D01* -X100200536Y-124266131D01* -X100207950Y-124257950D01* -X100216131Y-124250536D01* -X100224998Y-124243960D01* -X100234468Y-124238284D01* -X100244448Y-124233564D01* -X100254843Y-124229844D01* -X100265552Y-124227162D01* -X100276473Y-124225542D01* -X100287500Y-124225000D01* -X100512500Y-124225000D01* -X100523527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99873527Y-124225542D02* -G01* -X99884448Y-124227162D01* -X99895157Y-124229844D01* -X99905552Y-124233564D01* -X99915532Y-124238284D01* -X99925002Y-124243960D01* -X99933869Y-124250536D01* -X99942050Y-124257950D01* -X99949464Y-124266131D01* -X99956040Y-124274998D01* -X99961716Y-124284468D01* -X99966436Y-124294448D01* -X99970156Y-124304843D01* -X99972838Y-124315552D01* -X99974458Y-124326473D01* -X99975000Y-124337500D01* -X99975000Y-125562500D01* -X99974458Y-125573527D01* -X99972838Y-125584448D01* -X99970156Y-125595157D01* -X99966436Y-125605552D01* -X99961716Y-125615532D01* -X99956040Y-125625002D01* -X99949464Y-125633869D01* -X99942050Y-125642050D01* -X99933869Y-125649464D01* -X99925002Y-125656040D01* -X99915532Y-125661716D01* -X99905552Y-125666436D01* -X99895157Y-125670156D01* -X99884448Y-125672838D01* -X99873527Y-125674458D01* -X99862500Y-125675000D01* -X99637500Y-125675000D01* -X99626473Y-125674458D01* -X99615552Y-125672838D01* -X99604843Y-125670156D01* -X99594448Y-125666436D01* -X99584468Y-125661716D01* -X99574998Y-125656040D01* -X99566131Y-125649464D01* -X99557950Y-125642050D01* -X99550536Y-125633869D01* -X99543960Y-125625002D01* -X99538284Y-125615532D01* -X99533564Y-125605552D01* -X99529844Y-125595157D01* -X99527162Y-125584448D01* -X99525542Y-125573527D01* -X99525000Y-125562500D01* -X99525000Y-124337500D01* -X99525542Y-124326473D01* -X99527162Y-124315552D01* -X99529844Y-124304843D01* -X99533564Y-124294448D01* -X99538284Y-124284468D01* -X99543960Y-124274998D01* -X99550536Y-124266131D01* -X99557950Y-124257950D01* -X99566131Y-124250536D01* -X99574998Y-124243960D01* -X99584468Y-124238284D01* -X99594448Y-124233564D01* -X99604843Y-124229844D01* -X99615552Y-124227162D01* -X99626473Y-124225542D01* -X99637500Y-124225000D01* -X99862500Y-124225000D01* -X99873527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X99223527Y-124225542D02* -G01* -X99234448Y-124227162D01* -X99245157Y-124229844D01* -X99255552Y-124233564D01* -X99265532Y-124238284D01* -X99275002Y-124243960D01* -X99283869Y-124250536D01* -X99292050Y-124257950D01* -X99299464Y-124266131D01* -X99306040Y-124274998D01* -X99311716Y-124284468D01* -X99316436Y-124294448D01* -X99320156Y-124304843D01* -X99322838Y-124315552D01* -X99324458Y-124326473D01* -X99325000Y-124337500D01* -X99325000Y-125562500D01* -X99324458Y-125573527D01* -X99322838Y-125584448D01* -X99320156Y-125595157D01* -X99316436Y-125605552D01* -X99311716Y-125615532D01* -X99306040Y-125625002D01* -X99299464Y-125633869D01* -X99292050Y-125642050D01* -X99283869Y-125649464D01* -X99275002Y-125656040D01* -X99265532Y-125661716D01* -X99255552Y-125666436D01* -X99245157Y-125670156D01* -X99234448Y-125672838D01* -X99223527Y-125674458D01* -X99212500Y-125675000D01* -X98987500Y-125675000D01* -X98976473Y-125674458D01* -X98965552Y-125672838D01* -X98954843Y-125670156D01* -X98944448Y-125666436D01* -X98934468Y-125661716D01* -X98924998Y-125656040D01* -X98916131Y-125649464D01* -X98907950Y-125642050D01* -X98900536Y-125633869D01* -X98893960Y-125625002D01* -X98888284Y-125615532D01* -X98883564Y-125605552D01* -X98879844Y-125595157D01* -X98877162Y-125584448D01* -X98875542Y-125573527D01* -X98875000Y-125562500D01* -X98875000Y-124337500D01* -X98875542Y-124326473D01* -X98877162Y-124315552D01* -X98879844Y-124304843D01* -X98883564Y-124294448D01* -X98888284Y-124284468D01* -X98893960Y-124274998D01* -X98900536Y-124266131D01* -X98907950Y-124257950D01* -X98916131Y-124250536D01* -X98924998Y-124243960D01* -X98934468Y-124238284D01* -X98944448Y-124233564D01* -X98954843Y-124229844D01* -X98965552Y-124227162D01* -X98976473Y-124225542D01* -X98987500Y-124225000D01* -X99212500Y-124225000D01* -X99223527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X98573527Y-124225542D02* -G01* -X98584448Y-124227162D01* -X98595157Y-124229844D01* -X98605552Y-124233564D01* -X98615532Y-124238284D01* -X98625002Y-124243960D01* -X98633869Y-124250536D01* -X98642050Y-124257950D01* -X98649464Y-124266131D01* -X98656040Y-124274998D01* -X98661716Y-124284468D01* -X98666436Y-124294448D01* -X98670156Y-124304843D01* -X98672838Y-124315552D01* -X98674458Y-124326473D01* -X98675000Y-124337500D01* -X98675000Y-125562500D01* -X98674458Y-125573527D01* -X98672838Y-125584448D01* -X98670156Y-125595157D01* -X98666436Y-125605552D01* -X98661716Y-125615532D01* -X98656040Y-125625002D01* -X98649464Y-125633869D01* -X98642050Y-125642050D01* -X98633869Y-125649464D01* -X98625002Y-125656040D01* -X98615532Y-125661716D01* -X98605552Y-125666436D01* -X98595157Y-125670156D01* -X98584448Y-125672838D01* -X98573527Y-125674458D01* -X98562500Y-125675000D01* -X98337500Y-125675000D01* -X98326473Y-125674458D01* -X98315552Y-125672838D01* -X98304843Y-125670156D01* -X98294448Y-125666436D01* -X98284468Y-125661716D01* -X98274998Y-125656040D01* -X98266131Y-125649464D01* -X98257950Y-125642050D01* -X98250536Y-125633869D01* -X98243960Y-125625002D01* -X98238284Y-125615532D01* -X98233564Y-125605552D01* -X98229844Y-125595157D01* -X98227162Y-125584448D01* -X98225542Y-125573527D01* -X98225000Y-125562500D01* -X98225000Y-124337500D01* -X98225542Y-124326473D01* -X98227162Y-124315552D01* -X98229844Y-124304843D01* -X98233564Y-124294448D01* -X98238284Y-124284468D01* -X98243960Y-124274998D01* -X98250536Y-124266131D01* -X98257950Y-124257950D01* -X98266131Y-124250536D01* -X98274998Y-124243960D01* -X98284468Y-124238284D01* -X98294448Y-124233564D01* -X98304843Y-124229844D01* -X98315552Y-124227162D01* -X98326473Y-124225542D01* -X98337500Y-124225000D01* -X98562500Y-124225000D01* -X98573527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97923527Y-124225542D02* -G01* -X97934448Y-124227162D01* -X97945157Y-124229844D01* -X97955552Y-124233564D01* -X97965532Y-124238284D01* -X97975002Y-124243960D01* -X97983869Y-124250536D01* -X97992050Y-124257950D01* -X97999464Y-124266131D01* -X98006040Y-124274998D01* -X98011716Y-124284468D01* -X98016436Y-124294448D01* -X98020156Y-124304843D01* -X98022838Y-124315552D01* -X98024458Y-124326473D01* -X98025000Y-124337500D01* -X98025000Y-125562500D01* -X98024458Y-125573527D01* -X98022838Y-125584448D01* -X98020156Y-125595157D01* -X98016436Y-125605552D01* -X98011716Y-125615532D01* -X98006040Y-125625002D01* -X97999464Y-125633869D01* -X97992050Y-125642050D01* -X97983869Y-125649464D01* -X97975002Y-125656040D01* -X97965532Y-125661716D01* -X97955552Y-125666436D01* -X97945157Y-125670156D01* -X97934448Y-125672838D01* -X97923527Y-125674458D01* -X97912500Y-125675000D01* -X97687500Y-125675000D01* -X97676473Y-125674458D01* -X97665552Y-125672838D01* -X97654843Y-125670156D01* -X97644448Y-125666436D01* -X97634468Y-125661716D01* -X97624998Y-125656040D01* -X97616131Y-125649464D01* -X97607950Y-125642050D01* -X97600536Y-125633869D01* -X97593960Y-125625002D01* -X97588284Y-125615532D01* -X97583564Y-125605552D01* -X97579844Y-125595157D01* -X97577162Y-125584448D01* -X97575542Y-125573527D01* -X97575000Y-125562500D01* -X97575000Y-124337500D01* -X97575542Y-124326473D01* -X97577162Y-124315552D01* -X97579844Y-124304843D01* -X97583564Y-124294448D01* -X97588284Y-124284468D01* -X97593960Y-124274998D01* -X97600536Y-124266131D01* -X97607950Y-124257950D01* -X97616131Y-124250536D01* -X97624998Y-124243960D01* -X97634468Y-124238284D01* -X97644448Y-124233564D01* -X97654843Y-124229844D01* -X97665552Y-124227162D01* -X97676473Y-124225542D01* -X97687500Y-124225000D01* -X97912500Y-124225000D01* -X97923527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X97273527Y-124225542D02* -G01* -X97284448Y-124227162D01* -X97295157Y-124229844D01* -X97305552Y-124233564D01* -X97315532Y-124238284D01* -X97325002Y-124243960D01* -X97333869Y-124250536D01* -X97342050Y-124257950D01* -X97349464Y-124266131D01* -X97356040Y-124274998D01* -X97361716Y-124284468D01* -X97366436Y-124294448D01* -X97370156Y-124304843D01* -X97372838Y-124315552D01* -X97374458Y-124326473D01* -X97375000Y-124337500D01* -X97375000Y-125562500D01* -X97374458Y-125573527D01* -X97372838Y-125584448D01* -X97370156Y-125595157D01* -X97366436Y-125605552D01* -X97361716Y-125615532D01* -X97356040Y-125625002D01* -X97349464Y-125633869D01* -X97342050Y-125642050D01* -X97333869Y-125649464D01* -X97325002Y-125656040D01* -X97315532Y-125661716D01* -X97305552Y-125666436D01* -X97295157Y-125670156D01* -X97284448Y-125672838D01* -X97273527Y-125674458D01* -X97262500Y-125675000D01* -X97037500Y-125675000D01* -X97026473Y-125674458D01* -X97015552Y-125672838D01* -X97004843Y-125670156D01* -X96994448Y-125666436D01* -X96984468Y-125661716D01* -X96974998Y-125656040D01* -X96966131Y-125649464D01* -X96957950Y-125642050D01* -X96950536Y-125633869D01* -X96943960Y-125625002D01* -X96938284Y-125615532D01* -X96933564Y-125605552D01* -X96929844Y-125595157D01* -X96927162Y-125584448D01* -X96925542Y-125573527D01* -X96925000Y-125562500D01* -X96925000Y-124337500D01* -X96925542Y-124326473D01* -X96927162Y-124315552D01* -X96929844Y-124304843D01* -X96933564Y-124294448D01* -X96938284Y-124284468D01* -X96943960Y-124274998D01* -X96950536Y-124266131D01* -X96957950Y-124257950D01* -X96966131Y-124250536D01* -X96974998Y-124243960D01* -X96984468Y-124238284D01* -X96994448Y-124233564D01* -X97004843Y-124229844D01* -X97015552Y-124227162D01* -X97026473Y-124225542D01* -X97037500Y-124225000D01* -X97262500Y-124225000D01* -X97273527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X96623527Y-124225542D02* -G01* -X96634448Y-124227162D01* -X96645157Y-124229844D01* -X96655552Y-124233564D01* -X96665532Y-124238284D01* -X96675002Y-124243960D01* -X96683869Y-124250536D01* -X96692050Y-124257950D01* -X96699464Y-124266131D01* -X96706040Y-124274998D01* -X96711716Y-124284468D01* -X96716436Y-124294448D01* -X96720156Y-124304843D01* -X96722838Y-124315552D01* -X96724458Y-124326473D01* -X96725000Y-124337500D01* -X96725000Y-125562500D01* -X96724458Y-125573527D01* -X96722838Y-125584448D01* -X96720156Y-125595157D01* -X96716436Y-125605552D01* -X96711716Y-125615532D01* -X96706040Y-125625002D01* -X96699464Y-125633869D01* -X96692050Y-125642050D01* -X96683869Y-125649464D01* -X96675002Y-125656040D01* -X96665532Y-125661716D01* -X96655552Y-125666436D01* -X96645157Y-125670156D01* -X96634448Y-125672838D01* -X96623527Y-125674458D01* -X96612500Y-125675000D01* -X96387500Y-125675000D01* -X96376473Y-125674458D01* -X96365552Y-125672838D01* -X96354843Y-125670156D01* -X96344448Y-125666436D01* -X96334468Y-125661716D01* -X96324998Y-125656040D01* -X96316131Y-125649464D01* -X96307950Y-125642050D01* -X96300536Y-125633869D01* -X96293960Y-125625002D01* -X96288284Y-125615532D01* -X96283564Y-125605552D01* -X96279844Y-125595157D01* -X96277162Y-125584448D01* -X96275542Y-125573527D01* -X96275000Y-125562500D01* -X96275000Y-124337500D01* -X96275542Y-124326473D01* -X96277162Y-124315552D01* -X96279844Y-124304843D01* -X96283564Y-124294448D01* -X96288284Y-124284468D01* -X96293960Y-124274998D01* -X96300536Y-124266131D01* -X96307950Y-124257950D01* -X96316131Y-124250536D01* -X96324998Y-124243960D01* -X96334468Y-124238284D01* -X96344448Y-124233564D01* -X96354843Y-124229844D01* -X96365552Y-124227162D01* -X96376473Y-124225542D01* -X96387500Y-124225000D01* -X96612500Y-124225000D01* -X96623527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104033329Y-99726023D02* -G01* -X104053957Y-99729083D01* -X104074185Y-99734150D01* -X104093820Y-99741176D01* -X104112672Y-99750092D01* -X104130559Y-99760813D01* -X104147309Y-99773235D01* -X104162760Y-99787240D01* -X104176765Y-99802691D01* -X104189187Y-99819441D01* -X104199908Y-99837328D01* -X104208824Y-99856180D01* -X104215850Y-99875815D01* -X104220917Y-99896043D01* -X104223977Y-99916671D01* -X104225000Y-99937500D01* -X104225000Y-100362500D01* -X104223977Y-100383329D01* -X104220917Y-100403957D01* -X104215850Y-100424185D01* -X104208824Y-100443820D01* -X104199908Y-100462672D01* -X104189187Y-100480559D01* -X104176765Y-100497309D01* -X104162760Y-100512760D01* -X104147309Y-100526765D01* -X104130559Y-100539187D01* -X104112672Y-100549908D01* -X104093820Y-100558824D01* -X104074185Y-100565850D01* -X104053957Y-100570917D01* -X104033329Y-100573977D01* -X104012500Y-100575000D01* -X103487500Y-100575000D01* -X103466671Y-100573977D01* -X103446043Y-100570917D01* -X103425815Y-100565850D01* -X103406180Y-100558824D01* -X103387328Y-100549908D01* -X103369441Y-100539187D01* -X103352691Y-100526765D01* -X103337240Y-100512760D01* -X103323235Y-100497309D01* -X103310813Y-100480559D01* -X103300092Y-100462672D01* -X103291176Y-100443820D01* -X103284150Y-100424185D01* -X103279083Y-100403957D01* -X103276023Y-100383329D01* -X103275000Y-100362500D01* -X103275000Y-99937500D01* -X103276023Y-99916671D01* -X103279083Y-99896043D01* -X103284150Y-99875815D01* -X103291176Y-99856180D01* -X103300092Y-99837328D01* -X103310813Y-99819441D01* -X103323235Y-99802691D01* -X103337240Y-99787240D01* -X103352691Y-99773235D01* -X103369441Y-99760813D01* -X103387328Y-99750092D01* -X103406180Y-99741176D01* -X103425815Y-99734150D01* -X103446043Y-99729083D01* -X103466671Y-99726023D01* -X103487500Y-99725000D01* -X104012500Y-99725000D01* -X104033329Y-99726023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X104033329Y-101226023D02* -G01* -X104053957Y-101229083D01* -X104074185Y-101234150D01* -X104093820Y-101241176D01* -X104112672Y-101250092D01* -X104130559Y-101260813D01* -X104147309Y-101273235D01* -X104162760Y-101287240D01* -X104176765Y-101302691D01* -X104189187Y-101319441D01* -X104199908Y-101337328D01* -X104208824Y-101356180D01* -X104215850Y-101375815D01* -X104220917Y-101396043D01* -X104223977Y-101416671D01* -X104225000Y-101437500D01* -X104225000Y-101862500D01* -X104223977Y-101883329D01* -X104220917Y-101903957D01* -X104215850Y-101924185D01* -X104208824Y-101943820D01* -X104199908Y-101962672D01* -X104189187Y-101980559D01* -X104176765Y-101997309D01* -X104162760Y-102012760D01* -X104147309Y-102026765D01* -X104130559Y-102039187D01* -X104112672Y-102049908D01* -X104093820Y-102058824D01* -X104074185Y-102065850D01* -X104053957Y-102070917D01* -X104033329Y-102073977D01* -X104012500Y-102075000D01* -X103487500Y-102075000D01* -X103466671Y-102073977D01* -X103446043Y-102070917D01* -X103425815Y-102065850D01* -X103406180Y-102058824D01* -X103387328Y-102049908D01* -X103369441Y-102039187D01* -X103352691Y-102026765D01* -X103337240Y-102012760D01* -X103323235Y-101997309D01* -X103310813Y-101980559D01* -X103300092Y-101962672D01* -X103291176Y-101943820D01* -X103284150Y-101924185D01* -X103279083Y-101903957D01* -X103276023Y-101883329D01* -X103275000Y-101862500D01* -X103275000Y-101437500D01* -X103276023Y-101416671D01* -X103279083Y-101396043D01* -X103284150Y-101375815D01* -X103291176Y-101356180D01* -X103300092Y-101337328D01* -X103310813Y-101319441D01* -X103323235Y-101302691D01* -X103337240Y-101287240D01* -X103352691Y-101273235D01* -X103369441Y-101260813D01* -X103387328Y-101250092D01* -X103406180Y-101241176D01* -X103425815Y-101234150D01* -X103446043Y-101229083D01* -X103466671Y-101226023D01* -X103487500Y-101225000D01* -X104012500Y-101225000D01* -X104033329Y-101226023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87423527Y-118325542D02* -G01* -X87434448Y-118327162D01* -X87445157Y-118329844D01* -X87455552Y-118333564D01* -X87465532Y-118338284D01* -X87475002Y-118343960D01* -X87483869Y-118350536D01* -X87492050Y-118357950D01* -X87499464Y-118366131D01* -X87506040Y-118374998D01* -X87511716Y-118384468D01* -X87516436Y-118394448D01* -X87520156Y-118404843D01* -X87522838Y-118415552D01* -X87524458Y-118426473D01* -X87525000Y-118437500D01* -X87525000Y-119662500D01* -X87524458Y-119673527D01* -X87522838Y-119684448D01* -X87520156Y-119695157D01* -X87516436Y-119705552D01* -X87511716Y-119715532D01* -X87506040Y-119725002D01* -X87499464Y-119733869D01* -X87492050Y-119742050D01* -X87483869Y-119749464D01* -X87475002Y-119756040D01* -X87465532Y-119761716D01* -X87455552Y-119766436D01* -X87445157Y-119770156D01* -X87434448Y-119772838D01* -X87423527Y-119774458D01* -X87412500Y-119775000D01* -X87187500Y-119775000D01* -X87176473Y-119774458D01* -X87165552Y-119772838D01* -X87154843Y-119770156D01* -X87144448Y-119766436D01* -X87134468Y-119761716D01* -X87124998Y-119756040D01* -X87116131Y-119749464D01* -X87107950Y-119742050D01* -X87100536Y-119733869D01* -X87093960Y-119725002D01* -X87088284Y-119715532D01* -X87083564Y-119705552D01* -X87079844Y-119695157D01* -X87077162Y-119684448D01* -X87075542Y-119673527D01* -X87075000Y-119662500D01* -X87075000Y-118437500D01* -X87075542Y-118426473D01* -X87077162Y-118415552D01* -X87079844Y-118404843D01* -X87083564Y-118394448D01* -X87088284Y-118384468D01* -X87093960Y-118374998D01* -X87100536Y-118366131D01* -X87107950Y-118357950D01* -X87116131Y-118350536D01* -X87124998Y-118343960D01* -X87134468Y-118338284D01* -X87144448Y-118333564D01* -X87154843Y-118329844D01* -X87165552Y-118327162D01* -X87176473Y-118325542D01* -X87187500Y-118325000D01* -X87412500Y-118325000D01* -X87423527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88073527Y-118325542D02* -G01* -X88084448Y-118327162D01* -X88095157Y-118329844D01* -X88105552Y-118333564D01* -X88115532Y-118338284D01* -X88125002Y-118343960D01* -X88133869Y-118350536D01* -X88142050Y-118357950D01* -X88149464Y-118366131D01* -X88156040Y-118374998D01* -X88161716Y-118384468D01* -X88166436Y-118394448D01* -X88170156Y-118404843D01* -X88172838Y-118415552D01* -X88174458Y-118426473D01* -X88175000Y-118437500D01* -X88175000Y-119662500D01* -X88174458Y-119673527D01* -X88172838Y-119684448D01* -X88170156Y-119695157D01* -X88166436Y-119705552D01* -X88161716Y-119715532D01* -X88156040Y-119725002D01* -X88149464Y-119733869D01* -X88142050Y-119742050D01* -X88133869Y-119749464D01* -X88125002Y-119756040D01* -X88115532Y-119761716D01* -X88105552Y-119766436D01* -X88095157Y-119770156D01* -X88084448Y-119772838D01* -X88073527Y-119774458D01* -X88062500Y-119775000D01* -X87837500Y-119775000D01* -X87826473Y-119774458D01* -X87815552Y-119772838D01* -X87804843Y-119770156D01* -X87794448Y-119766436D01* -X87784468Y-119761716D01* -X87774998Y-119756040D01* -X87766131Y-119749464D01* -X87757950Y-119742050D01* -X87750536Y-119733869D01* -X87743960Y-119725002D01* -X87738284Y-119715532D01* -X87733564Y-119705552D01* -X87729844Y-119695157D01* -X87727162Y-119684448D01* -X87725542Y-119673527D01* -X87725000Y-119662500D01* -X87725000Y-118437500D01* -X87725542Y-118426473D01* -X87727162Y-118415552D01* -X87729844Y-118404843D01* -X87733564Y-118394448D01* -X87738284Y-118384468D01* -X87743960Y-118374998D01* -X87750536Y-118366131D01* -X87757950Y-118357950D01* -X87766131Y-118350536D01* -X87774998Y-118343960D01* -X87784468Y-118338284D01* -X87794448Y-118333564D01* -X87804843Y-118329844D01* -X87815552Y-118327162D01* -X87826473Y-118325542D01* -X87837500Y-118325000D01* -X88062500Y-118325000D01* -X88073527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88723527Y-118325542D02* -G01* -X88734448Y-118327162D01* -X88745157Y-118329844D01* -X88755552Y-118333564D01* -X88765532Y-118338284D01* -X88775002Y-118343960D01* -X88783869Y-118350536D01* -X88792050Y-118357950D01* -X88799464Y-118366131D01* -X88806040Y-118374998D01* -X88811716Y-118384468D01* -X88816436Y-118394448D01* -X88820156Y-118404843D01* -X88822838Y-118415552D01* -X88824458Y-118426473D01* -X88825000Y-118437500D01* -X88825000Y-119662500D01* -X88824458Y-119673527D01* -X88822838Y-119684448D01* -X88820156Y-119695157D01* -X88816436Y-119705552D01* -X88811716Y-119715532D01* -X88806040Y-119725002D01* -X88799464Y-119733869D01* -X88792050Y-119742050D01* -X88783869Y-119749464D01* -X88775002Y-119756040D01* -X88765532Y-119761716D01* -X88755552Y-119766436D01* -X88745157Y-119770156D01* -X88734448Y-119772838D01* -X88723527Y-119774458D01* -X88712500Y-119775000D01* -X88487500Y-119775000D01* -X88476473Y-119774458D01* -X88465552Y-119772838D01* -X88454843Y-119770156D01* -X88444448Y-119766436D01* -X88434468Y-119761716D01* -X88424998Y-119756040D01* -X88416131Y-119749464D01* -X88407950Y-119742050D01* -X88400536Y-119733869D01* -X88393960Y-119725002D01* -X88388284Y-119715532D01* -X88383564Y-119705552D01* -X88379844Y-119695157D01* -X88377162Y-119684448D01* -X88375542Y-119673527D01* -X88375000Y-119662500D01* -X88375000Y-118437500D01* -X88375542Y-118426473D01* -X88377162Y-118415552D01* -X88379844Y-118404843D01* -X88383564Y-118394448D01* -X88388284Y-118384468D01* -X88393960Y-118374998D01* -X88400536Y-118366131D01* -X88407950Y-118357950D01* -X88416131Y-118350536D01* -X88424998Y-118343960D01* -X88434468Y-118338284D01* -X88444448Y-118333564D01* -X88454843Y-118329844D01* -X88465552Y-118327162D01* -X88476473Y-118325542D01* -X88487500Y-118325000D01* -X88712500Y-118325000D01* -X88723527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89373527Y-118325542D02* -G01* -X89384448Y-118327162D01* -X89395157Y-118329844D01* -X89405552Y-118333564D01* -X89415532Y-118338284D01* -X89425002Y-118343960D01* -X89433869Y-118350536D01* -X89442050Y-118357950D01* -X89449464Y-118366131D01* -X89456040Y-118374998D01* -X89461716Y-118384468D01* -X89466436Y-118394448D01* -X89470156Y-118404843D01* -X89472838Y-118415552D01* -X89474458Y-118426473D01* -X89475000Y-118437500D01* -X89475000Y-119662500D01* -X89474458Y-119673527D01* -X89472838Y-119684448D01* -X89470156Y-119695157D01* -X89466436Y-119705552D01* -X89461716Y-119715532D01* -X89456040Y-119725002D01* -X89449464Y-119733869D01* -X89442050Y-119742050D01* -X89433869Y-119749464D01* -X89425002Y-119756040D01* -X89415532Y-119761716D01* -X89405552Y-119766436D01* -X89395157Y-119770156D01* -X89384448Y-119772838D01* -X89373527Y-119774458D01* -X89362500Y-119775000D01* -X89137500Y-119775000D01* -X89126473Y-119774458D01* -X89115552Y-119772838D01* -X89104843Y-119770156D01* -X89094448Y-119766436D01* -X89084468Y-119761716D01* -X89074998Y-119756040D01* -X89066131Y-119749464D01* -X89057950Y-119742050D01* -X89050536Y-119733869D01* -X89043960Y-119725002D01* -X89038284Y-119715532D01* -X89033564Y-119705552D01* -X89029844Y-119695157D01* -X89027162Y-119684448D01* -X89025542Y-119673527D01* -X89025000Y-119662500D01* -X89025000Y-118437500D01* -X89025542Y-118426473D01* -X89027162Y-118415552D01* -X89029844Y-118404843D01* -X89033564Y-118394448D01* -X89038284Y-118384468D01* -X89043960Y-118374998D01* -X89050536Y-118366131D01* -X89057950Y-118357950D01* -X89066131Y-118350536D01* -X89074998Y-118343960D01* -X89084468Y-118338284D01* -X89094448Y-118333564D01* -X89104843Y-118329844D01* -X89115552Y-118327162D01* -X89126473Y-118325542D01* -X89137500Y-118325000D01* -X89362500Y-118325000D01* -X89373527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90023527Y-118325542D02* -G01* -X90034448Y-118327162D01* -X90045157Y-118329844D01* -X90055552Y-118333564D01* -X90065532Y-118338284D01* -X90075002Y-118343960D01* -X90083869Y-118350536D01* -X90092050Y-118357950D01* -X90099464Y-118366131D01* -X90106040Y-118374998D01* -X90111716Y-118384468D01* -X90116436Y-118394448D01* -X90120156Y-118404843D01* -X90122838Y-118415552D01* -X90124458Y-118426473D01* -X90125000Y-118437500D01* -X90125000Y-119662500D01* -X90124458Y-119673527D01* -X90122838Y-119684448D01* -X90120156Y-119695157D01* -X90116436Y-119705552D01* -X90111716Y-119715532D01* -X90106040Y-119725002D01* -X90099464Y-119733869D01* -X90092050Y-119742050D01* -X90083869Y-119749464D01* -X90075002Y-119756040D01* -X90065532Y-119761716D01* -X90055552Y-119766436D01* -X90045157Y-119770156D01* -X90034448Y-119772838D01* -X90023527Y-119774458D01* -X90012500Y-119775000D01* -X89787500Y-119775000D01* -X89776473Y-119774458D01* -X89765552Y-119772838D01* -X89754843Y-119770156D01* -X89744448Y-119766436D01* -X89734468Y-119761716D01* -X89724998Y-119756040D01* -X89716131Y-119749464D01* -X89707950Y-119742050D01* -X89700536Y-119733869D01* -X89693960Y-119725002D01* -X89688284Y-119715532D01* -X89683564Y-119705552D01* -X89679844Y-119695157D01* -X89677162Y-119684448D01* -X89675542Y-119673527D01* -X89675000Y-119662500D01* -X89675000Y-118437500D01* -X89675542Y-118426473D01* -X89677162Y-118415552D01* -X89679844Y-118404843D01* -X89683564Y-118394448D01* -X89688284Y-118384468D01* -X89693960Y-118374998D01* -X89700536Y-118366131D01* -X89707950Y-118357950D01* -X89716131Y-118350536D01* -X89724998Y-118343960D01* -X89734468Y-118338284D01* -X89744448Y-118333564D01* -X89754843Y-118329844D01* -X89765552Y-118327162D01* -X89776473Y-118325542D01* -X89787500Y-118325000D01* -X90012500Y-118325000D01* -X90023527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90673527Y-118325542D02* -G01* -X90684448Y-118327162D01* -X90695157Y-118329844D01* -X90705552Y-118333564D01* -X90715532Y-118338284D01* -X90725002Y-118343960D01* -X90733869Y-118350536D01* -X90742050Y-118357950D01* -X90749464Y-118366131D01* -X90756040Y-118374998D01* -X90761716Y-118384468D01* -X90766436Y-118394448D01* -X90770156Y-118404843D01* -X90772838Y-118415552D01* -X90774458Y-118426473D01* -X90775000Y-118437500D01* -X90775000Y-119662500D01* -X90774458Y-119673527D01* -X90772838Y-119684448D01* -X90770156Y-119695157D01* -X90766436Y-119705552D01* -X90761716Y-119715532D01* -X90756040Y-119725002D01* -X90749464Y-119733869D01* -X90742050Y-119742050D01* -X90733869Y-119749464D01* -X90725002Y-119756040D01* -X90715532Y-119761716D01* -X90705552Y-119766436D01* -X90695157Y-119770156D01* -X90684448Y-119772838D01* -X90673527Y-119774458D01* -X90662500Y-119775000D01* -X90437500Y-119775000D01* -X90426473Y-119774458D01* -X90415552Y-119772838D01* -X90404843Y-119770156D01* -X90394448Y-119766436D01* -X90384468Y-119761716D01* -X90374998Y-119756040D01* -X90366131Y-119749464D01* -X90357950Y-119742050D01* -X90350536Y-119733869D01* -X90343960Y-119725002D01* -X90338284Y-119715532D01* -X90333564Y-119705552D01* -X90329844Y-119695157D01* -X90327162Y-119684448D01* -X90325542Y-119673527D01* -X90325000Y-119662500D01* -X90325000Y-118437500D01* -X90325542Y-118426473D01* -X90327162Y-118415552D01* -X90329844Y-118404843D01* -X90333564Y-118394448D01* -X90338284Y-118384468D01* -X90343960Y-118374998D01* -X90350536Y-118366131D01* -X90357950Y-118357950D01* -X90366131Y-118350536D01* -X90374998Y-118343960D01* -X90384468Y-118338284D01* -X90394448Y-118333564D01* -X90404843Y-118329844D01* -X90415552Y-118327162D01* -X90426473Y-118325542D01* -X90437500Y-118325000D01* -X90662500Y-118325000D01* -X90673527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91323527Y-118325542D02* -G01* -X91334448Y-118327162D01* -X91345157Y-118329844D01* -X91355552Y-118333564D01* -X91365532Y-118338284D01* -X91375002Y-118343960D01* -X91383869Y-118350536D01* -X91392050Y-118357950D01* -X91399464Y-118366131D01* -X91406040Y-118374998D01* -X91411716Y-118384468D01* -X91416436Y-118394448D01* -X91420156Y-118404843D01* -X91422838Y-118415552D01* -X91424458Y-118426473D01* -X91425000Y-118437500D01* -X91425000Y-119662500D01* -X91424458Y-119673527D01* -X91422838Y-119684448D01* -X91420156Y-119695157D01* -X91416436Y-119705552D01* -X91411716Y-119715532D01* -X91406040Y-119725002D01* -X91399464Y-119733869D01* -X91392050Y-119742050D01* -X91383869Y-119749464D01* -X91375002Y-119756040D01* -X91365532Y-119761716D01* -X91355552Y-119766436D01* -X91345157Y-119770156D01* -X91334448Y-119772838D01* -X91323527Y-119774458D01* -X91312500Y-119775000D01* -X91087500Y-119775000D01* -X91076473Y-119774458D01* -X91065552Y-119772838D01* -X91054843Y-119770156D01* -X91044448Y-119766436D01* -X91034468Y-119761716D01* -X91024998Y-119756040D01* -X91016131Y-119749464D01* -X91007950Y-119742050D01* -X91000536Y-119733869D01* -X90993960Y-119725002D01* -X90988284Y-119715532D01* -X90983564Y-119705552D01* -X90979844Y-119695157D01* -X90977162Y-119684448D01* -X90975542Y-119673527D01* -X90975000Y-119662500D01* -X90975000Y-118437500D01* -X90975542Y-118426473D01* -X90977162Y-118415552D01* -X90979844Y-118404843D01* -X90983564Y-118394448D01* -X90988284Y-118384468D01* -X90993960Y-118374998D01* -X91000536Y-118366131D01* -X91007950Y-118357950D01* -X91016131Y-118350536D01* -X91024998Y-118343960D01* -X91034468Y-118338284D01* -X91044448Y-118333564D01* -X91054843Y-118329844D01* -X91065552Y-118327162D01* -X91076473Y-118325542D01* -X91087500Y-118325000D01* -X91312500Y-118325000D01* -X91323527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91973527Y-118325542D02* -G01* -X91984448Y-118327162D01* -X91995157Y-118329844D01* -X92005552Y-118333564D01* -X92015532Y-118338284D01* -X92025002Y-118343960D01* -X92033869Y-118350536D01* -X92042050Y-118357950D01* -X92049464Y-118366131D01* -X92056040Y-118374998D01* -X92061716Y-118384468D01* -X92066436Y-118394448D01* -X92070156Y-118404843D01* -X92072838Y-118415552D01* -X92074458Y-118426473D01* -X92075000Y-118437500D01* -X92075000Y-119662500D01* -X92074458Y-119673527D01* -X92072838Y-119684448D01* -X92070156Y-119695157D01* -X92066436Y-119705552D01* -X92061716Y-119715532D01* -X92056040Y-119725002D01* -X92049464Y-119733869D01* -X92042050Y-119742050D01* -X92033869Y-119749464D01* -X92025002Y-119756040D01* -X92015532Y-119761716D01* -X92005552Y-119766436D01* -X91995157Y-119770156D01* -X91984448Y-119772838D01* -X91973527Y-119774458D01* -X91962500Y-119775000D01* -X91737500Y-119775000D01* -X91726473Y-119774458D01* -X91715552Y-119772838D01* -X91704843Y-119770156D01* -X91694448Y-119766436D01* -X91684468Y-119761716D01* -X91674998Y-119756040D01* -X91666131Y-119749464D01* -X91657950Y-119742050D01* -X91650536Y-119733869D01* -X91643960Y-119725002D01* -X91638284Y-119715532D01* -X91633564Y-119705552D01* -X91629844Y-119695157D01* -X91627162Y-119684448D01* -X91625542Y-119673527D01* -X91625000Y-119662500D01* -X91625000Y-118437500D01* -X91625542Y-118426473D01* -X91627162Y-118415552D01* -X91629844Y-118404843D01* -X91633564Y-118394448D01* -X91638284Y-118384468D01* -X91643960Y-118374998D01* -X91650536Y-118366131D01* -X91657950Y-118357950D01* -X91666131Y-118350536D01* -X91674998Y-118343960D01* -X91684468Y-118338284D01* -X91694448Y-118333564D01* -X91704843Y-118329844D01* -X91715552Y-118327162D01* -X91726473Y-118325542D01* -X91737500Y-118325000D01* -X91962500Y-118325000D01* -X91973527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X92623527Y-118325542D02* -G01* -X92634448Y-118327162D01* -X92645157Y-118329844D01* -X92655552Y-118333564D01* -X92665532Y-118338284D01* -X92675002Y-118343960D01* -X92683869Y-118350536D01* -X92692050Y-118357950D01* -X92699464Y-118366131D01* -X92706040Y-118374998D01* -X92711716Y-118384468D01* -X92716436Y-118394448D01* -X92720156Y-118404843D01* -X92722838Y-118415552D01* -X92724458Y-118426473D01* -X92725000Y-118437500D01* -X92725000Y-119662500D01* -X92724458Y-119673527D01* -X92722838Y-119684448D01* -X92720156Y-119695157D01* -X92716436Y-119705552D01* -X92711716Y-119715532D01* -X92706040Y-119725002D01* -X92699464Y-119733869D01* -X92692050Y-119742050D01* -X92683869Y-119749464D01* -X92675002Y-119756040D01* -X92665532Y-119761716D01* -X92655552Y-119766436D01* -X92645157Y-119770156D01* -X92634448Y-119772838D01* -X92623527Y-119774458D01* -X92612500Y-119775000D01* -X92387500Y-119775000D01* -X92376473Y-119774458D01* -X92365552Y-119772838D01* -X92354843Y-119770156D01* -X92344448Y-119766436D01* -X92334468Y-119761716D01* -X92324998Y-119756040D01* -X92316131Y-119749464D01* -X92307950Y-119742050D01* -X92300536Y-119733869D01* -X92293960Y-119725002D01* -X92288284Y-119715532D01* -X92283564Y-119705552D01* -X92279844Y-119695157D01* -X92277162Y-119684448D01* -X92275542Y-119673527D01* -X92275000Y-119662500D01* -X92275000Y-118437500D01* -X92275542Y-118426473D01* -X92277162Y-118415552D01* -X92279844Y-118404843D01* -X92283564Y-118394448D01* -X92288284Y-118384468D01* -X92293960Y-118374998D01* -X92300536Y-118366131D01* -X92307950Y-118357950D01* -X92316131Y-118350536D01* -X92324998Y-118343960D01* -X92334468Y-118338284D01* -X92344448Y-118333564D01* -X92354843Y-118329844D01* -X92365552Y-118327162D01* -X92376473Y-118325542D01* -X92387500Y-118325000D01* -X92612500Y-118325000D01* -X92623527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X93273527Y-118325542D02* -G01* -X93284448Y-118327162D01* -X93295157Y-118329844D01* -X93305552Y-118333564D01* -X93315532Y-118338284D01* -X93325002Y-118343960D01* -X93333869Y-118350536D01* -X93342050Y-118357950D01* -X93349464Y-118366131D01* -X93356040Y-118374998D01* -X93361716Y-118384468D01* -X93366436Y-118394448D01* -X93370156Y-118404843D01* -X93372838Y-118415552D01* -X93374458Y-118426473D01* -X93375000Y-118437500D01* -X93375000Y-119662500D01* -X93374458Y-119673527D01* -X93372838Y-119684448D01* -X93370156Y-119695157D01* -X93366436Y-119705552D01* -X93361716Y-119715532D01* -X93356040Y-119725002D01* -X93349464Y-119733869D01* -X93342050Y-119742050D01* -X93333869Y-119749464D01* -X93325002Y-119756040D01* -X93315532Y-119761716D01* -X93305552Y-119766436D01* -X93295157Y-119770156D01* -X93284448Y-119772838D01* -X93273527Y-119774458D01* -X93262500Y-119775000D01* -X93037500Y-119775000D01* -X93026473Y-119774458D01* -X93015552Y-119772838D01* -X93004843Y-119770156D01* -X92994448Y-119766436D01* -X92984468Y-119761716D01* -X92974998Y-119756040D01* -X92966131Y-119749464D01* -X92957950Y-119742050D01* -X92950536Y-119733869D01* -X92943960Y-119725002D01* -X92938284Y-119715532D01* -X92933564Y-119705552D01* -X92929844Y-119695157D01* -X92927162Y-119684448D01* -X92925542Y-119673527D01* -X92925000Y-119662500D01* -X92925000Y-118437500D01* -X92925542Y-118426473D01* -X92927162Y-118415552D01* -X92929844Y-118404843D01* -X92933564Y-118394448D01* -X92938284Y-118384468D01* -X92943960Y-118374998D01* -X92950536Y-118366131D01* -X92957950Y-118357950D01* -X92966131Y-118350536D01* -X92974998Y-118343960D01* -X92984468Y-118338284D01* -X92994448Y-118333564D01* -X93004843Y-118329844D01* -X93015552Y-118327162D01* -X93026473Y-118325542D01* -X93037500Y-118325000D01* -X93262500Y-118325000D01* -X93273527Y-118325542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X93273527Y-124225542D02* -G01* -X93284448Y-124227162D01* -X93295157Y-124229844D01* -X93305552Y-124233564D01* -X93315532Y-124238284D01* -X93325002Y-124243960D01* -X93333869Y-124250536D01* -X93342050Y-124257950D01* -X93349464Y-124266131D01* -X93356040Y-124274998D01* -X93361716Y-124284468D01* -X93366436Y-124294448D01* -X93370156Y-124304843D01* -X93372838Y-124315552D01* -X93374458Y-124326473D01* -X93375000Y-124337500D01* -X93375000Y-125562500D01* -X93374458Y-125573527D01* -X93372838Y-125584448D01* -X93370156Y-125595157D01* -X93366436Y-125605552D01* -X93361716Y-125615532D01* -X93356040Y-125625002D01* -X93349464Y-125633869D01* -X93342050Y-125642050D01* -X93333869Y-125649464D01* -X93325002Y-125656040D01* -X93315532Y-125661716D01* -X93305552Y-125666436D01* -X93295157Y-125670156D01* -X93284448Y-125672838D01* -X93273527Y-125674458D01* -X93262500Y-125675000D01* -X93037500Y-125675000D01* -X93026473Y-125674458D01* -X93015552Y-125672838D01* -X93004843Y-125670156D01* -X92994448Y-125666436D01* -X92984468Y-125661716D01* -X92974998Y-125656040D01* -X92966131Y-125649464D01* -X92957950Y-125642050D01* -X92950536Y-125633869D01* -X92943960Y-125625002D01* -X92938284Y-125615532D01* -X92933564Y-125605552D01* -X92929844Y-125595157D01* -X92927162Y-125584448D01* -X92925542Y-125573527D01* -X92925000Y-125562500D01* -X92925000Y-124337500D01* -X92925542Y-124326473D01* -X92927162Y-124315552D01* -X92929844Y-124304843D01* -X92933564Y-124294448D01* -X92938284Y-124284468D01* -X92943960Y-124274998D01* -X92950536Y-124266131D01* -X92957950Y-124257950D01* -X92966131Y-124250536D01* -X92974998Y-124243960D01* -X92984468Y-124238284D01* -X92994448Y-124233564D01* -X93004843Y-124229844D01* -X93015552Y-124227162D01* -X93026473Y-124225542D01* -X93037500Y-124225000D01* -X93262500Y-124225000D01* -X93273527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X92623527Y-124225542D02* -G01* -X92634448Y-124227162D01* -X92645157Y-124229844D01* -X92655552Y-124233564D01* -X92665532Y-124238284D01* -X92675002Y-124243960D01* -X92683869Y-124250536D01* -X92692050Y-124257950D01* -X92699464Y-124266131D01* -X92706040Y-124274998D01* -X92711716Y-124284468D01* -X92716436Y-124294448D01* -X92720156Y-124304843D01* -X92722838Y-124315552D01* -X92724458Y-124326473D01* -X92725000Y-124337500D01* -X92725000Y-125562500D01* -X92724458Y-125573527D01* -X92722838Y-125584448D01* -X92720156Y-125595157D01* -X92716436Y-125605552D01* -X92711716Y-125615532D01* -X92706040Y-125625002D01* -X92699464Y-125633869D01* -X92692050Y-125642050D01* -X92683869Y-125649464D01* -X92675002Y-125656040D01* -X92665532Y-125661716D01* -X92655552Y-125666436D01* -X92645157Y-125670156D01* -X92634448Y-125672838D01* -X92623527Y-125674458D01* -X92612500Y-125675000D01* -X92387500Y-125675000D01* -X92376473Y-125674458D01* -X92365552Y-125672838D01* -X92354843Y-125670156D01* -X92344448Y-125666436D01* -X92334468Y-125661716D01* -X92324998Y-125656040D01* -X92316131Y-125649464D01* -X92307950Y-125642050D01* -X92300536Y-125633869D01* -X92293960Y-125625002D01* -X92288284Y-125615532D01* -X92283564Y-125605552D01* -X92279844Y-125595157D01* -X92277162Y-125584448D01* -X92275542Y-125573527D01* -X92275000Y-125562500D01* -X92275000Y-124337500D01* -X92275542Y-124326473D01* -X92277162Y-124315552D01* -X92279844Y-124304843D01* -X92283564Y-124294448D01* -X92288284Y-124284468D01* -X92293960Y-124274998D01* -X92300536Y-124266131D01* -X92307950Y-124257950D01* -X92316131Y-124250536D01* -X92324998Y-124243960D01* -X92334468Y-124238284D01* -X92344448Y-124233564D01* -X92354843Y-124229844D01* -X92365552Y-124227162D01* -X92376473Y-124225542D01* -X92387500Y-124225000D01* -X92612500Y-124225000D01* -X92623527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91973527Y-124225542D02* -G01* -X91984448Y-124227162D01* -X91995157Y-124229844D01* -X92005552Y-124233564D01* -X92015532Y-124238284D01* -X92025002Y-124243960D01* -X92033869Y-124250536D01* -X92042050Y-124257950D01* -X92049464Y-124266131D01* -X92056040Y-124274998D01* -X92061716Y-124284468D01* -X92066436Y-124294448D01* -X92070156Y-124304843D01* -X92072838Y-124315552D01* -X92074458Y-124326473D01* -X92075000Y-124337500D01* -X92075000Y-125562500D01* -X92074458Y-125573527D01* -X92072838Y-125584448D01* -X92070156Y-125595157D01* -X92066436Y-125605552D01* -X92061716Y-125615532D01* -X92056040Y-125625002D01* -X92049464Y-125633869D01* -X92042050Y-125642050D01* -X92033869Y-125649464D01* -X92025002Y-125656040D01* -X92015532Y-125661716D01* -X92005552Y-125666436D01* -X91995157Y-125670156D01* -X91984448Y-125672838D01* -X91973527Y-125674458D01* -X91962500Y-125675000D01* -X91737500Y-125675000D01* -X91726473Y-125674458D01* -X91715552Y-125672838D01* -X91704843Y-125670156D01* -X91694448Y-125666436D01* -X91684468Y-125661716D01* -X91674998Y-125656040D01* -X91666131Y-125649464D01* -X91657950Y-125642050D01* -X91650536Y-125633869D01* -X91643960Y-125625002D01* -X91638284Y-125615532D01* -X91633564Y-125605552D01* -X91629844Y-125595157D01* -X91627162Y-125584448D01* -X91625542Y-125573527D01* -X91625000Y-125562500D01* -X91625000Y-124337500D01* -X91625542Y-124326473D01* -X91627162Y-124315552D01* -X91629844Y-124304843D01* -X91633564Y-124294448D01* -X91638284Y-124284468D01* -X91643960Y-124274998D01* -X91650536Y-124266131D01* -X91657950Y-124257950D01* -X91666131Y-124250536D01* -X91674998Y-124243960D01* -X91684468Y-124238284D01* -X91694448Y-124233564D01* -X91704843Y-124229844D01* -X91715552Y-124227162D01* -X91726473Y-124225542D01* -X91737500Y-124225000D01* -X91962500Y-124225000D01* -X91973527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X91323527Y-124225542D02* -G01* -X91334448Y-124227162D01* -X91345157Y-124229844D01* -X91355552Y-124233564D01* -X91365532Y-124238284D01* -X91375002Y-124243960D01* -X91383869Y-124250536D01* -X91392050Y-124257950D01* -X91399464Y-124266131D01* -X91406040Y-124274998D01* -X91411716Y-124284468D01* -X91416436Y-124294448D01* -X91420156Y-124304843D01* -X91422838Y-124315552D01* -X91424458Y-124326473D01* -X91425000Y-124337500D01* -X91425000Y-125562500D01* -X91424458Y-125573527D01* -X91422838Y-125584448D01* -X91420156Y-125595157D01* -X91416436Y-125605552D01* -X91411716Y-125615532D01* -X91406040Y-125625002D01* -X91399464Y-125633869D01* -X91392050Y-125642050D01* -X91383869Y-125649464D01* -X91375002Y-125656040D01* -X91365532Y-125661716D01* -X91355552Y-125666436D01* -X91345157Y-125670156D01* -X91334448Y-125672838D01* -X91323527Y-125674458D01* -X91312500Y-125675000D01* -X91087500Y-125675000D01* -X91076473Y-125674458D01* -X91065552Y-125672838D01* -X91054843Y-125670156D01* -X91044448Y-125666436D01* -X91034468Y-125661716D01* -X91024998Y-125656040D01* -X91016131Y-125649464D01* -X91007950Y-125642050D01* -X91000536Y-125633869D01* -X90993960Y-125625002D01* -X90988284Y-125615532D01* -X90983564Y-125605552D01* -X90979844Y-125595157D01* -X90977162Y-125584448D01* -X90975542Y-125573527D01* -X90975000Y-125562500D01* -X90975000Y-124337500D01* -X90975542Y-124326473D01* -X90977162Y-124315552D01* -X90979844Y-124304843D01* -X90983564Y-124294448D01* -X90988284Y-124284468D01* -X90993960Y-124274998D01* -X91000536Y-124266131D01* -X91007950Y-124257950D01* -X91016131Y-124250536D01* -X91024998Y-124243960D01* -X91034468Y-124238284D01* -X91044448Y-124233564D01* -X91054843Y-124229844D01* -X91065552Y-124227162D01* -X91076473Y-124225542D01* -X91087500Y-124225000D01* -X91312500Y-124225000D01* -X91323527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90673527Y-124225542D02* -G01* -X90684448Y-124227162D01* -X90695157Y-124229844D01* -X90705552Y-124233564D01* -X90715532Y-124238284D01* -X90725002Y-124243960D01* -X90733869Y-124250536D01* -X90742050Y-124257950D01* -X90749464Y-124266131D01* -X90756040Y-124274998D01* -X90761716Y-124284468D01* -X90766436Y-124294448D01* -X90770156Y-124304843D01* -X90772838Y-124315552D01* -X90774458Y-124326473D01* -X90775000Y-124337500D01* -X90775000Y-125562500D01* -X90774458Y-125573527D01* -X90772838Y-125584448D01* -X90770156Y-125595157D01* -X90766436Y-125605552D01* -X90761716Y-125615532D01* -X90756040Y-125625002D01* -X90749464Y-125633869D01* -X90742050Y-125642050D01* -X90733869Y-125649464D01* -X90725002Y-125656040D01* -X90715532Y-125661716D01* -X90705552Y-125666436D01* -X90695157Y-125670156D01* -X90684448Y-125672838D01* -X90673527Y-125674458D01* -X90662500Y-125675000D01* -X90437500Y-125675000D01* -X90426473Y-125674458D01* -X90415552Y-125672838D01* -X90404843Y-125670156D01* -X90394448Y-125666436D01* -X90384468Y-125661716D01* -X90374998Y-125656040D01* -X90366131Y-125649464D01* -X90357950Y-125642050D01* -X90350536Y-125633869D01* -X90343960Y-125625002D01* -X90338284Y-125615532D01* -X90333564Y-125605552D01* -X90329844Y-125595157D01* -X90327162Y-125584448D01* -X90325542Y-125573527D01* -X90325000Y-125562500D01* -X90325000Y-124337500D01* -X90325542Y-124326473D01* -X90327162Y-124315552D01* -X90329844Y-124304843D01* -X90333564Y-124294448D01* -X90338284Y-124284468D01* -X90343960Y-124274998D01* -X90350536Y-124266131D01* -X90357950Y-124257950D01* -X90366131Y-124250536D01* -X90374998Y-124243960D01* -X90384468Y-124238284D01* -X90394448Y-124233564D01* -X90404843Y-124229844D01* -X90415552Y-124227162D01* -X90426473Y-124225542D01* -X90437500Y-124225000D01* -X90662500Y-124225000D01* -X90673527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X90023527Y-124225542D02* -G01* -X90034448Y-124227162D01* -X90045157Y-124229844D01* -X90055552Y-124233564D01* -X90065532Y-124238284D01* -X90075002Y-124243960D01* -X90083869Y-124250536D01* -X90092050Y-124257950D01* -X90099464Y-124266131D01* -X90106040Y-124274998D01* -X90111716Y-124284468D01* -X90116436Y-124294448D01* -X90120156Y-124304843D01* -X90122838Y-124315552D01* -X90124458Y-124326473D01* -X90125000Y-124337500D01* -X90125000Y-125562500D01* -X90124458Y-125573527D01* -X90122838Y-125584448D01* -X90120156Y-125595157D01* -X90116436Y-125605552D01* -X90111716Y-125615532D01* -X90106040Y-125625002D01* -X90099464Y-125633869D01* -X90092050Y-125642050D01* -X90083869Y-125649464D01* -X90075002Y-125656040D01* -X90065532Y-125661716D01* -X90055552Y-125666436D01* -X90045157Y-125670156D01* -X90034448Y-125672838D01* -X90023527Y-125674458D01* -X90012500Y-125675000D01* -X89787500Y-125675000D01* -X89776473Y-125674458D01* -X89765552Y-125672838D01* -X89754843Y-125670156D01* -X89744448Y-125666436D01* -X89734468Y-125661716D01* -X89724998Y-125656040D01* -X89716131Y-125649464D01* -X89707950Y-125642050D01* -X89700536Y-125633869D01* -X89693960Y-125625002D01* -X89688284Y-125615532D01* -X89683564Y-125605552D01* -X89679844Y-125595157D01* -X89677162Y-125584448D01* -X89675542Y-125573527D01* -X89675000Y-125562500D01* -X89675000Y-124337500D01* -X89675542Y-124326473D01* -X89677162Y-124315552D01* -X89679844Y-124304843D01* -X89683564Y-124294448D01* -X89688284Y-124284468D01* -X89693960Y-124274998D01* -X89700536Y-124266131D01* -X89707950Y-124257950D01* -X89716131Y-124250536D01* -X89724998Y-124243960D01* -X89734468Y-124238284D01* -X89744448Y-124233564D01* -X89754843Y-124229844D01* -X89765552Y-124227162D01* -X89776473Y-124225542D01* -X89787500Y-124225000D01* -X90012500Y-124225000D01* -X90023527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X89373527Y-124225542D02* -G01* -X89384448Y-124227162D01* -X89395157Y-124229844D01* -X89405552Y-124233564D01* -X89415532Y-124238284D01* -X89425002Y-124243960D01* -X89433869Y-124250536D01* -X89442050Y-124257950D01* -X89449464Y-124266131D01* -X89456040Y-124274998D01* -X89461716Y-124284468D01* -X89466436Y-124294448D01* -X89470156Y-124304843D01* -X89472838Y-124315552D01* -X89474458Y-124326473D01* -X89475000Y-124337500D01* -X89475000Y-125562500D01* -X89474458Y-125573527D01* -X89472838Y-125584448D01* -X89470156Y-125595157D01* -X89466436Y-125605552D01* -X89461716Y-125615532D01* -X89456040Y-125625002D01* -X89449464Y-125633869D01* -X89442050Y-125642050D01* -X89433869Y-125649464D01* -X89425002Y-125656040D01* -X89415532Y-125661716D01* -X89405552Y-125666436D01* -X89395157Y-125670156D01* -X89384448Y-125672838D01* -X89373527Y-125674458D01* -X89362500Y-125675000D01* -X89137500Y-125675000D01* -X89126473Y-125674458D01* -X89115552Y-125672838D01* -X89104843Y-125670156D01* -X89094448Y-125666436D01* -X89084468Y-125661716D01* -X89074998Y-125656040D01* -X89066131Y-125649464D01* -X89057950Y-125642050D01* -X89050536Y-125633869D01* -X89043960Y-125625002D01* -X89038284Y-125615532D01* -X89033564Y-125605552D01* -X89029844Y-125595157D01* -X89027162Y-125584448D01* -X89025542Y-125573527D01* -X89025000Y-125562500D01* -X89025000Y-124337500D01* -X89025542Y-124326473D01* -X89027162Y-124315552D01* -X89029844Y-124304843D01* -X89033564Y-124294448D01* -X89038284Y-124284468D01* -X89043960Y-124274998D01* -X89050536Y-124266131D01* -X89057950Y-124257950D01* -X89066131Y-124250536D01* -X89074998Y-124243960D01* -X89084468Y-124238284D01* -X89094448Y-124233564D01* -X89104843Y-124229844D01* -X89115552Y-124227162D01* -X89126473Y-124225542D01* -X89137500Y-124225000D01* -X89362500Y-124225000D01* -X89373527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88723527Y-124225542D02* -G01* -X88734448Y-124227162D01* -X88745157Y-124229844D01* -X88755552Y-124233564D01* -X88765532Y-124238284D01* -X88775002Y-124243960D01* -X88783869Y-124250536D01* -X88792050Y-124257950D01* -X88799464Y-124266131D01* -X88806040Y-124274998D01* -X88811716Y-124284468D01* -X88816436Y-124294448D01* -X88820156Y-124304843D01* -X88822838Y-124315552D01* -X88824458Y-124326473D01* -X88825000Y-124337500D01* -X88825000Y-125562500D01* -X88824458Y-125573527D01* -X88822838Y-125584448D01* -X88820156Y-125595157D01* -X88816436Y-125605552D01* -X88811716Y-125615532D01* -X88806040Y-125625002D01* -X88799464Y-125633869D01* -X88792050Y-125642050D01* -X88783869Y-125649464D01* -X88775002Y-125656040D01* -X88765532Y-125661716D01* -X88755552Y-125666436D01* -X88745157Y-125670156D01* -X88734448Y-125672838D01* -X88723527Y-125674458D01* -X88712500Y-125675000D01* -X88487500Y-125675000D01* -X88476473Y-125674458D01* -X88465552Y-125672838D01* -X88454843Y-125670156D01* -X88444448Y-125666436D01* -X88434468Y-125661716D01* -X88424998Y-125656040D01* -X88416131Y-125649464D01* -X88407950Y-125642050D01* -X88400536Y-125633869D01* -X88393960Y-125625002D01* -X88388284Y-125615532D01* -X88383564Y-125605552D01* -X88379844Y-125595157D01* -X88377162Y-125584448D01* -X88375542Y-125573527D01* -X88375000Y-125562500D01* -X88375000Y-124337500D01* -X88375542Y-124326473D01* -X88377162Y-124315552D01* -X88379844Y-124304843D01* -X88383564Y-124294448D01* -X88388284Y-124284468D01* -X88393960Y-124274998D01* -X88400536Y-124266131D01* -X88407950Y-124257950D01* -X88416131Y-124250536D01* -X88424998Y-124243960D01* -X88434468Y-124238284D01* -X88444448Y-124233564D01* -X88454843Y-124229844D01* -X88465552Y-124227162D01* -X88476473Y-124225542D01* -X88487500Y-124225000D01* -X88712500Y-124225000D01* -X88723527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X88073527Y-124225542D02* -G01* -X88084448Y-124227162D01* -X88095157Y-124229844D01* -X88105552Y-124233564D01* -X88115532Y-124238284D01* -X88125002Y-124243960D01* -X88133869Y-124250536D01* -X88142050Y-124257950D01* -X88149464Y-124266131D01* -X88156040Y-124274998D01* -X88161716Y-124284468D01* -X88166436Y-124294448D01* -X88170156Y-124304843D01* -X88172838Y-124315552D01* -X88174458Y-124326473D01* -X88175000Y-124337500D01* -X88175000Y-125562500D01* -X88174458Y-125573527D01* -X88172838Y-125584448D01* -X88170156Y-125595157D01* -X88166436Y-125605552D01* -X88161716Y-125615532D01* -X88156040Y-125625002D01* -X88149464Y-125633869D01* -X88142050Y-125642050D01* -X88133869Y-125649464D01* -X88125002Y-125656040D01* -X88115532Y-125661716D01* -X88105552Y-125666436D01* -X88095157Y-125670156D01* -X88084448Y-125672838D01* -X88073527Y-125674458D01* -X88062500Y-125675000D01* -X87837500Y-125675000D01* -X87826473Y-125674458D01* -X87815552Y-125672838D01* -X87804843Y-125670156D01* -X87794448Y-125666436D01* -X87784468Y-125661716D01* -X87774998Y-125656040D01* -X87766131Y-125649464D01* -X87757950Y-125642050D01* -X87750536Y-125633869D01* -X87743960Y-125625002D01* -X87738284Y-125615532D01* -X87733564Y-125605552D01* -X87729844Y-125595157D01* -X87727162Y-125584448D01* -X87725542Y-125573527D01* -X87725000Y-125562500D01* -X87725000Y-124337500D01* -X87725542Y-124326473D01* -X87727162Y-124315552D01* -X87729844Y-124304843D01* -X87733564Y-124294448D01* -X87738284Y-124284468D01* -X87743960Y-124274998D01* -X87750536Y-124266131D01* -X87757950Y-124257950D01* -X87766131Y-124250536D01* -X87774998Y-124243960D01* -X87784468Y-124238284D01* -X87794448Y-124233564D01* -X87804843Y-124229844D01* -X87815552Y-124227162D01* -X87826473Y-124225542D01* -X87837500Y-124225000D01* -X88062500Y-124225000D01* -X88073527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X87423527Y-124225542D02* -G01* -X87434448Y-124227162D01* -X87445157Y-124229844D01* -X87455552Y-124233564D01* -X87465532Y-124238284D01* -X87475002Y-124243960D01* -X87483869Y-124250536D01* -X87492050Y-124257950D01* -X87499464Y-124266131D01* -X87506040Y-124274998D01* -X87511716Y-124284468D01* -X87516436Y-124294448D01* -X87520156Y-124304843D01* -X87522838Y-124315552D01* -X87524458Y-124326473D01* -X87525000Y-124337500D01* -X87525000Y-125562500D01* -X87524458Y-125573527D01* -X87522838Y-125584448D01* -X87520156Y-125595157D01* -X87516436Y-125605552D01* -X87511716Y-125615532D01* -X87506040Y-125625002D01* -X87499464Y-125633869D01* -X87492050Y-125642050D01* -X87483869Y-125649464D01* -X87475002Y-125656040D01* -X87465532Y-125661716D01* -X87455552Y-125666436D01* -X87445157Y-125670156D01* -X87434448Y-125672838D01* -X87423527Y-125674458D01* -X87412500Y-125675000D01* -X87187500Y-125675000D01* -X87176473Y-125674458D01* -X87165552Y-125672838D01* -X87154843Y-125670156D01* -X87144448Y-125666436D01* -X87134468Y-125661716D01* -X87124998Y-125656040D01* -X87116131Y-125649464D01* -X87107950Y-125642050D01* -X87100536Y-125633869D01* -X87093960Y-125625002D01* -X87088284Y-125615532D01* -X87083564Y-125605552D01* -X87079844Y-125595157D01* -X87077162Y-125584448D01* -X87075542Y-125573527D01* -X87075000Y-125562500D01* -X87075000Y-124337500D01* -X87075542Y-124326473D01* -X87077162Y-124315552D01* -X87079844Y-124304843D01* -X87083564Y-124294448D01* -X87088284Y-124284468D01* -X87093960Y-124274998D01* -X87100536Y-124266131D01* -X87107950Y-124257950D01* -X87116131Y-124250536D01* -X87124998Y-124243960D01* -X87134468Y-124238284D01* -X87144448Y-124233564D01* -X87154843Y-124229844D01* -X87165552Y-124227162D01* -X87176473Y-124225542D01* -X87187500Y-124225000D01* -X87412500Y-124225000D01* -X87423527Y-124225542D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135694603Y-122850963D02* -G01* -X135714018Y-122853843D01* -X135733057Y-122858612D01* -X135751537Y-122865224D01* -X135769279Y-122873616D01* -X135786114Y-122883706D01* -X135801879Y-122895398D01* -X135816421Y-122908579D01* -X135829602Y-122923121D01* -X135841294Y-122938886D01* -X135851384Y-122955721D01* -X135859776Y-122973463D01* -X135866388Y-122991943D01* -X135871157Y-123010982D01* -X135874037Y-123030397D01* -X135875000Y-123050000D01* -X135875000Y-123450000D01* -X135874037Y-123469603D01* -X135871157Y-123489018D01* -X135866388Y-123508057D01* -X135859776Y-123526537D01* -X135851384Y-123544279D01* -X135841294Y-123561114D01* -X135829602Y-123576879D01* -X135816421Y-123591421D01* -X135801879Y-123604602D01* -X135786114Y-123616294D01* -X135769279Y-123626384D01* -X135751537Y-123634776D01* -X135733057Y-123641388D01* -X135714018Y-123646157D01* -X135694603Y-123649037D01* -X135675000Y-123650000D01* -X134725000Y-123650000D01* -X134705397Y-123649037D01* -X134685982Y-123646157D01* -X134666943Y-123641388D01* -X134648463Y-123634776D01* -X134630721Y-123626384D01* -X134613886Y-123616294D01* -X134598121Y-123604602D01* -X134583579Y-123591421D01* -X134570398Y-123576879D01* -X134558706Y-123561114D01* -X134548616Y-123544279D01* -X134540224Y-123526537D01* -X134533612Y-123508057D01* -X134528843Y-123489018D01* -X134525963Y-123469603D01* -X134525000Y-123450000D01* -X134525000Y-123050000D01* -X134525963Y-123030397D01* -X134528843Y-123010982D01* -X134533612Y-122991943D01* -X134540224Y-122973463D01* -X134548616Y-122955721D01* -X134558706Y-122938886D01* -X134570398Y-122923121D01* -X134583579Y-122908579D01* -X134598121Y-122895398D01* -X134613886Y-122883706D01* -X134630721Y-122873616D01* -X134648463Y-122865224D01* -X134666943Y-122858612D01* -X134685982Y-122853843D01* -X134705397Y-122850963D01* -X134725000Y-122850000D01* -X135675000Y-122850000D01* -X135694603Y-122850963D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X135694603Y-124750963D02* -G01* -X135714018Y-124753843D01* -X135733057Y-124758612D01* -X135751537Y-124765224D01* -X135769279Y-124773616D01* -X135786114Y-124783706D01* -X135801879Y-124795398D01* -X135816421Y-124808579D01* -X135829602Y-124823121D01* -X135841294Y-124838886D01* -X135851384Y-124855721D01* -X135859776Y-124873463D01* -X135866388Y-124891943D01* -X135871157Y-124910982D01* -X135874037Y-124930397D01* -X135875000Y-124950000D01* -X135875000Y-125350000D01* -X135874037Y-125369603D01* -X135871157Y-125389018D01* -X135866388Y-125408057D01* -X135859776Y-125426537D01* -X135851384Y-125444279D01* -X135841294Y-125461114D01* -X135829602Y-125476879D01* -X135816421Y-125491421D01* -X135801879Y-125504602D01* -X135786114Y-125516294D01* -X135769279Y-125526384D01* -X135751537Y-125534776D01* -X135733057Y-125541388D01* -X135714018Y-125546157D01* -X135694603Y-125549037D01* -X135675000Y-125550000D01* -X134725000Y-125550000D01* -X134705397Y-125549037D01* -X134685982Y-125546157D01* -X134666943Y-125541388D01* -X134648463Y-125534776D01* -X134630721Y-125526384D01* -X134613886Y-125516294D01* -X134598121Y-125504602D01* -X134583579Y-125491421D01* -X134570398Y-125476879D01* -X134558706Y-125461114D01* -X134548616Y-125444279D01* -X134540224Y-125426537D01* -X134533612Y-125408057D01* -X134528843Y-125389018D01* -X134525963Y-125369603D01* -X134525000Y-125350000D01* -X134525000Y-124950000D01* -X134525963Y-124930397D01* -X134528843Y-124910982D01* -X134533612Y-124891943D01* -X134540224Y-124873463D01* -X134548616Y-124855721D01* -X134558706Y-124838886D01* -X134570398Y-124823121D01* -X134583579Y-124808579D01* -X134598121Y-124795398D01* -X134613886Y-124783706D01* -X134630721Y-124773616D01* -X134648463Y-124765224D01* -X134666943Y-124758612D01* -X134685982Y-124753843D01* -X134705397Y-124750963D01* -X134725000Y-124750000D01* -X135675000Y-124750000D01* -X135694603Y-124750963D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X137794603Y-123800963D02* -G01* -X137814018Y-123803843D01* -X137833057Y-123808612D01* -X137851537Y-123815224D01* -X137869279Y-123823616D01* -X137886114Y-123833706D01* -X137901879Y-123845398D01* -X137916421Y-123858579D01* -X137929602Y-123873121D01* -X137941294Y-123888886D01* -X137951384Y-123905721D01* -X137959776Y-123923463D01* -X137966388Y-123941943D01* -X137971157Y-123960982D01* -X137974037Y-123980397D01* -X137975000Y-124000000D01* -X137975000Y-124400000D01* -X137974037Y-124419603D01* -X137971157Y-124439018D01* -X137966388Y-124458057D01* -X137959776Y-124476537D01* -X137951384Y-124494279D01* -X137941294Y-124511114D01* -X137929602Y-124526879D01* -X137916421Y-124541421D01* -X137901879Y-124554602D01* -X137886114Y-124566294D01* -X137869279Y-124576384D01* -X137851537Y-124584776D01* -X137833057Y-124591388D01* -X137814018Y-124596157D01* -X137794603Y-124599037D01* -X137775000Y-124600000D01* -X136825000Y-124600000D01* -X136805397Y-124599037D01* -X136785982Y-124596157D01* -X136766943Y-124591388D01* -X136748463Y-124584776D01* -X136730721Y-124576384D01* -X136713886Y-124566294D01* -X136698121Y-124554602D01* -X136683579Y-124541421D01* -X136670398Y-124526879D01* -X136658706Y-124511114D01* -X136648616Y-124494279D01* -X136640224Y-124476537D01* -X136633612Y-124458057D01* -X136628843Y-124439018D01* -X136625963Y-124419603D01* -X136625000Y-124400000D01* -X136625000Y-124000000D01* -X136625963Y-123980397D01* -X136628843Y-123960982D01* -X136633612Y-123941943D01* -X136640224Y-123923463D01* -X136648616Y-123905721D01* -X136658706Y-123888886D01* -X136670398Y-123873121D01* -X136683579Y-123858579D01* -X136698121Y-123845398D01* -X136713886Y-123833706D01* -X136730721Y-123823616D01* -X136748463Y-123815224D01* -X136766943Y-123808612D01* -X136785982Y-123803843D01* -X136805397Y-123800963D01* -X136825000Y-123800000D01* -X137775000Y-123800000D01* -X137794603Y-123800963D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X140563229Y-122826264D02* -G01* -X140588711Y-122830044D01* -X140613700Y-122836303D01* -X140637954Y-122844982D01* -X140661242Y-122855996D01* -X140683337Y-122869239D01* -X140704028Y-122884585D01* -X140723116Y-122901884D01* -X140740415Y-122920972D01* -X140755761Y-122941663D01* -X140769004Y-122963758D01* -X140780018Y-122987046D01* -X140788697Y-123011300D01* -X140794956Y-123036289D01* -X140798736Y-123061771D01* -X140800000Y-123087500D01* -X140800000Y-123612500D01* -X140798736Y-123638229D01* -X140794956Y-123663711D01* -X140788697Y-123688700D01* -X140780018Y-123712954D01* -X140769004Y-123736242D01* -X140755761Y-123758337D01* -X140740415Y-123779028D01* -X140723116Y-123798116D01* -X140704028Y-123815415D01* -X140683337Y-123830761D01* -X140661242Y-123844004D01* -X140637954Y-123855018D01* -X140613700Y-123863697D01* -X140588711Y-123869956D01* -X140563229Y-123873736D01* -X140537500Y-123875000D01* -X139662500Y-123875000D01* -X139636771Y-123873736D01* -X139611289Y-123869956D01* -X139586300Y-123863697D01* -X139562046Y-123855018D01* -X139538758Y-123844004D01* -X139516663Y-123830761D01* -X139495972Y-123815415D01* -X139476884Y-123798116D01* -X139459585Y-123779028D01* -X139444239Y-123758337D01* -X139430996Y-123736242D01* -X139419982Y-123712954D01* -X139411303Y-123688700D01* -X139405044Y-123663711D01* -X139401264Y-123638229D01* -X139400000Y-123612500D01* -X139400000Y-123087500D01* -X139401264Y-123061771D01* -X139405044Y-123036289D01* -X139411303Y-123011300D01* -X139419982Y-122987046D01* -X139430996Y-122963758D01* -X139444239Y-122941663D01* -X139459585Y-122920972D01* -X139476884Y-122901884D01* -X139495972Y-122884585D01* -X139516663Y-122869239D01* -X139538758Y-122855996D01* -X139562046Y-122844982D01* -X139586300Y-122836303D01* -X139611289Y-122830044D01* -X139636771Y-122826264D01* -X139662500Y-122825000D01* -X140537500Y-122825000D01* -X140563229Y-122826264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X140563229Y-124526264D02* -G01* -X140588711Y-124530044D01* -X140613700Y-124536303D01* -X140637954Y-124544982D01* -X140661242Y-124555996D01* -X140683337Y-124569239D01* -X140704028Y-124584585D01* -X140723116Y-124601884D01* -X140740415Y-124620972D01* -X140755761Y-124641663D01* -X140769004Y-124663758D01* -X140780018Y-124687046D01* -X140788697Y-124711300D01* -X140794956Y-124736289D01* -X140798736Y-124761771D01* -X140800000Y-124787500D01* -X140800000Y-125312500D01* -X140798736Y-125338229D01* -X140794956Y-125363711D01* -X140788697Y-125388700D01* -X140780018Y-125412954D01* -X140769004Y-125436242D01* -X140755761Y-125458337D01* -X140740415Y-125479028D01* -X140723116Y-125498116D01* -X140704028Y-125515415D01* -X140683337Y-125530761D01* -X140661242Y-125544004D01* -X140637954Y-125555018D01* -X140613700Y-125563697D01* -X140588711Y-125569956D01* -X140563229Y-125573736D01* -X140537500Y-125575000D01* -X139662500Y-125575000D01* -X139636771Y-125573736D01* -X139611289Y-125569956D01* -X139586300Y-125563697D01* -X139562046Y-125555018D01* -X139538758Y-125544004D01* -X139516663Y-125530761D01* -X139495972Y-125515415D01* -X139476884Y-125498116D01* -X139459585Y-125479028D01* -X139444239Y-125458337D01* -X139430996Y-125436242D01* -X139419982Y-125412954D01* -X139411303Y-125388700D01* -X139405044Y-125363711D01* -X139401264Y-125338229D01* -X139400000Y-125312500D01* -X139400000Y-124787500D01* -X139401264Y-124761771D01* -X139405044Y-124736289D01* -X139411303Y-124711300D01* -X139419982Y-124687046D01* -X139430996Y-124663758D01* -X139444239Y-124641663D01* -X139459585Y-124620972D01* -X139476884Y-124601884D01* -X139495972Y-124584585D01* -X139516663Y-124569239D01* -X139538758Y-124555996D01* -X139562046Y-124544982D01* -X139586300Y-124536303D01* -X139611289Y-124530044D01* -X139636771Y-124526264D01* -X139662500Y-124525000D01* -X140537500Y-124525000D01* -X140563229Y-124526264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X76883329Y-118626023D02* -G01* -X76903957Y-118629083D01* -X76924185Y-118634150D01* -X76943820Y-118641176D01* -X76962672Y-118650092D01* -X76980559Y-118660813D01* -X76997309Y-118673235D01* -X77012760Y-118687240D01* -X77026765Y-118702691D01* -X77039187Y-118719441D01* -X77049908Y-118737328D01* -X77058824Y-118756180D01* -X77065850Y-118775815D01* -X77070917Y-118796043D01* -X77073977Y-118816671D01* -X77075000Y-118837500D01* -X77075000Y-119262500D01* -X77073977Y-119283329D01* -X77070917Y-119303957D01* -X77065850Y-119324185D01* -X77058824Y-119343820D01* -X77049908Y-119362672D01* -X77039187Y-119380559D01* -X77026765Y-119397309D01* -X77012760Y-119412760D01* -X76997309Y-119426765D01* -X76980559Y-119439187D01* -X76962672Y-119449908D01* -X76943820Y-119458824D01* -X76924185Y-119465850D01* -X76903957Y-119470917D01* -X76883329Y-119473977D01* -X76862500Y-119475000D01* -X76337500Y-119475000D01* -X76316671Y-119473977D01* -X76296043Y-119470917D01* -X76275815Y-119465850D01* -X76256180Y-119458824D01* -X76237328Y-119449908D01* -X76219441Y-119439187D01* -X76202691Y-119426765D01* -X76187240Y-119412760D01* -X76173235Y-119397309D01* -X76160813Y-119380559D01* -X76150092Y-119362672D01* -X76141176Y-119343820D01* -X76134150Y-119324185D01* -X76129083Y-119303957D01* -X76126023Y-119283329D01* -X76125000Y-119262500D01* -X76125000Y-118837500D01* -X76126023Y-118816671D01* -X76129083Y-118796043D01* -X76134150Y-118775815D01* -X76141176Y-118756180D01* -X76150092Y-118737328D01* -X76160813Y-118719441D01* -X76173235Y-118702691D01* -X76187240Y-118687240D01* -X76202691Y-118673235D01* -X76219441Y-118660813D01* -X76237328Y-118650092D01* -X76256180Y-118641176D01* -X76275815Y-118634150D01* -X76296043Y-118629083D01* -X76316671Y-118626023D01* -X76337500Y-118625000D01* -X76862500Y-118625000D01* -X76883329Y-118626023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X76883329Y-120126023D02* -G01* -X76903957Y-120129083D01* -X76924185Y-120134150D01* -X76943820Y-120141176D01* -X76962672Y-120150092D01* -X76980559Y-120160813D01* -X76997309Y-120173235D01* -X77012760Y-120187240D01* -X77026765Y-120202691D01* -X77039187Y-120219441D01* -X77049908Y-120237328D01* -X77058824Y-120256180D01* -X77065850Y-120275815D01* -X77070917Y-120296043D01* -X77073977Y-120316671D01* -X77075000Y-120337500D01* -X77075000Y-120762500D01* -X77073977Y-120783329D01* -X77070917Y-120803957D01* -X77065850Y-120824185D01* -X77058824Y-120843820D01* -X77049908Y-120862672D01* -X77039187Y-120880559D01* -X77026765Y-120897309D01* -X77012760Y-120912760D01* -X76997309Y-120926765D01* -X76980559Y-120939187D01* -X76962672Y-120949908D01* -X76943820Y-120958824D01* -X76924185Y-120965850D01* -X76903957Y-120970917D01* -X76883329Y-120973977D01* -X76862500Y-120975000D01* -X76337500Y-120975000D01* -X76316671Y-120973977D01* -X76296043Y-120970917D01* -X76275815Y-120965850D01* -X76256180Y-120958824D01* -X76237328Y-120949908D01* -X76219441Y-120939187D01* -X76202691Y-120926765D01* -X76187240Y-120912760D01* -X76173235Y-120897309D01* -X76160813Y-120880559D01* -X76150092Y-120862672D01* -X76141176Y-120843820D01* -X76134150Y-120824185D01* -X76129083Y-120803957D01* -X76126023Y-120783329D01* -X76125000Y-120762500D01* -X76125000Y-120337500D01* -X76126023Y-120316671D01* -X76129083Y-120296043D01* -X76134150Y-120275815D01* -X76141176Y-120256180D01* -X76150092Y-120237328D01* -X76160813Y-120219441D01* -X76173235Y-120202691D01* -X76187240Y-120187240D01* -X76202691Y-120173235D01* -X76219441Y-120160813D01* -X76237328Y-120150092D01* -X76256180Y-120141176D01* -X76275815Y-120134150D01* -X76296043Y-120129083D01* -X76316671Y-120126023D01* -X76337500Y-120125000D01* -X76862500Y-120125000D01* -X76883329Y-120126023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109109802Y-94325482D02* -G01* -X109119509Y-94326921D01* -X109129028Y-94329306D01* -X109138268Y-94332612D01* -X109147140Y-94336808D01* -X109155557Y-94341853D01* -X109163439Y-94347699D01* -X109170711Y-94354289D01* -X109177301Y-94361561D01* -X109183147Y-94369443D01* -X109188192Y-94377860D01* -X109192388Y-94386732D01* -X109195694Y-94395972D01* -X109198079Y-94405491D01* -X109199518Y-94415198D01* -X109200000Y-94425000D01* -X109200000Y-94875000D01* -X109199518Y-94884802D01* -X109198079Y-94894509D01* -X109195694Y-94904028D01* -X109192388Y-94913268D01* -X109188192Y-94922140D01* -X109183147Y-94930557D01* -X109177301Y-94938439D01* -X109170711Y-94945711D01* -X109163439Y-94952301D01* -X109155557Y-94958147D01* -X109147140Y-94963192D01* -X109138268Y-94967388D01* -X109129028Y-94970694D01* -X109119509Y-94973079D01* -X109109802Y-94974518D01* -X109100000Y-94975000D01* -X108900000Y-94975000D01* -X108890198Y-94974518D01* -X108880491Y-94973079D01* -X108870972Y-94970694D01* -X108861732Y-94967388D01* -X108852860Y-94963192D01* -X108844443Y-94958147D01* -X108836561Y-94952301D01* -X108829289Y-94945711D01* -X108822699Y-94938439D01* -X108816853Y-94930557D01* -X108811808Y-94922140D01* -X108807612Y-94913268D01* -X108804306Y-94904028D01* -X108801921Y-94894509D01* -X108800482Y-94884802D01* -X108800000Y-94875000D01* -X108800000Y-94425000D01* -X108800482Y-94415198D01* -X108801921Y-94405491D01* -X108804306Y-94395972D01* -X108807612Y-94386732D01* -X108811808Y-94377860D01* -X108816853Y-94369443D01* -X108822699Y-94361561D01* -X108829289Y-94354289D01* -X108836561Y-94347699D01* -X108844443Y-94341853D01* -X108852860Y-94336808D01* -X108861732Y-94332612D01* -X108870972Y-94329306D01* -X108880491Y-94326921D01* -X108890198Y-94325482D01* -X108900000Y-94325000D01* -X109100000Y-94325000D01* -X109109802Y-94325482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108532351Y-94325361D02* -G01* -X108539632Y-94326441D01* -X108546771Y-94328229D01* -X108553701Y-94330709D01* -X108560355Y-94333856D01* -X108566668Y-94337640D01* -X108572579Y-94342024D01* -X108578033Y-94346967D01* -X108582976Y-94352421D01* -X108587360Y-94358332D01* -X108591144Y-94364645D01* -X108594291Y-94371299D01* -X108596771Y-94378229D01* -X108598559Y-94385368D01* -X108599639Y-94392649D01* -X108600000Y-94400000D01* -X108600000Y-94900000D01* -X108599639Y-94907351D01* -X108598559Y-94914632D01* -X108596771Y-94921771D01* -X108594291Y-94928701D01* -X108591144Y-94935355D01* -X108587360Y-94941668D01* -X108582976Y-94947579D01* -X108578033Y-94953033D01* -X108572579Y-94957976D01* -X108566668Y-94962360D01* -X108560355Y-94966144D01* -X108553701Y-94969291D01* -X108546771Y-94971771D01* -X108539632Y-94973559D01* -X108532351Y-94974639D01* -X108525000Y-94975000D01* -X108375000Y-94975000D01* -X108367649Y-94974639D01* -X108360368Y-94973559D01* -X108353229Y-94971771D01* -X108346299Y-94969291D01* -X108339645Y-94966144D01* -X108333332Y-94962360D01* -X108327421Y-94957976D01* -X108321967Y-94953033D01* -X108317024Y-94947579D01* -X108312640Y-94941668D01* -X108308856Y-94935355D01* -X108305709Y-94928701D01* -X108303229Y-94921771D01* -X108301441Y-94914632D01* -X108300361Y-94907351D01* -X108300000Y-94900000D01* -X108300000Y-94400000D01* -X108300361Y-94392649D01* -X108301441Y-94385368D01* -X108303229Y-94378229D01* -X108305709Y-94371299D01* -X108308856Y-94364645D01* -X108312640Y-94358332D01* -X108317024Y-94352421D01* -X108321967Y-94346967D01* -X108327421Y-94342024D01* -X108333332Y-94337640D01* -X108339645Y-94333856D01* -X108346299Y-94330709D01* -X108353229Y-94328229D01* -X108360368Y-94326441D01* -X108367649Y-94325361D01* -X108375000Y-94325000D01* -X108525000Y-94325000D01* -X108532351Y-94325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107509802Y-94325482D02* -G01* -X107519509Y-94326921D01* -X107529028Y-94329306D01* -X107538268Y-94332612D01* -X107547140Y-94336808D01* -X107555557Y-94341853D01* -X107563439Y-94347699D01* -X107570711Y-94354289D01* -X107577301Y-94361561D01* -X107583147Y-94369443D01* -X107588192Y-94377860D01* -X107592388Y-94386732D01* -X107595694Y-94395972D01* -X107598079Y-94405491D01* -X107599518Y-94415198D01* -X107600000Y-94425000D01* -X107600000Y-94875000D01* -X107599518Y-94884802D01* -X107598079Y-94894509D01* -X107595694Y-94904028D01* -X107592388Y-94913268D01* -X107588192Y-94922140D01* -X107583147Y-94930557D01* -X107577301Y-94938439D01* -X107570711Y-94945711D01* -X107563439Y-94952301D01* -X107555557Y-94958147D01* -X107547140Y-94963192D01* -X107538268Y-94967388D01* -X107529028Y-94970694D01* -X107519509Y-94973079D01* -X107509802Y-94974518D01* -X107500000Y-94975000D01* -X107300000Y-94975000D01* -X107290198Y-94974518D01* -X107280491Y-94973079D01* -X107270972Y-94970694D01* -X107261732Y-94967388D01* -X107252860Y-94963192D01* -X107244443Y-94958147D01* -X107236561Y-94952301D01* -X107229289Y-94945711D01* -X107222699Y-94938439D01* -X107216853Y-94930557D01* -X107211808Y-94922140D01* -X107207612Y-94913268D01* -X107204306Y-94904028D01* -X107201921Y-94894509D01* -X107200482Y-94884802D01* -X107200000Y-94875000D01* -X107200000Y-94425000D01* -X107200482Y-94415198D01* -X107201921Y-94405491D01* -X107204306Y-94395972D01* -X107207612Y-94386732D01* -X107211808Y-94377860D01* -X107216853Y-94369443D01* -X107222699Y-94361561D01* -X107229289Y-94354289D01* -X107236561Y-94347699D01* -X107244443Y-94341853D01* -X107252860Y-94336808D01* -X107261732Y-94332612D01* -X107270972Y-94329306D01* -X107280491Y-94326921D01* -X107290198Y-94325482D01* -X107300000Y-94325000D01* -X107500000Y-94325000D01* -X107509802Y-94325482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108032351Y-94325361D02* -G01* -X108039632Y-94326441D01* -X108046771Y-94328229D01* -X108053701Y-94330709D01* -X108060355Y-94333856D01* -X108066668Y-94337640D01* -X108072579Y-94342024D01* -X108078033Y-94346967D01* -X108082976Y-94352421D01* -X108087360Y-94358332D01* -X108091144Y-94364645D01* -X108094291Y-94371299D01* -X108096771Y-94378229D01* -X108098559Y-94385368D01* -X108099639Y-94392649D01* -X108100000Y-94400000D01* -X108100000Y-94900000D01* -X108099639Y-94907351D01* -X108098559Y-94914632D01* -X108096771Y-94921771D01* -X108094291Y-94928701D01* -X108091144Y-94935355D01* -X108087360Y-94941668D01* -X108082976Y-94947579D01* -X108078033Y-94953033D01* -X108072579Y-94957976D01* -X108066668Y-94962360D01* -X108060355Y-94966144D01* -X108053701Y-94969291D01* -X108046771Y-94971771D01* -X108039632Y-94973559D01* -X108032351Y-94974639D01* -X108025000Y-94975000D01* -X107875000Y-94975000D01* -X107867649Y-94974639D01* -X107860368Y-94973559D01* -X107853229Y-94971771D01* -X107846299Y-94969291D01* -X107839645Y-94966144D01* -X107833332Y-94962360D01* -X107827421Y-94957976D01* -X107821967Y-94953033D01* -X107817024Y-94947579D01* -X107812640Y-94941668D01* -X107808856Y-94935355D01* -X107805709Y-94928701D01* -X107803229Y-94921771D01* -X107801441Y-94914632D01* -X107800361Y-94907351D01* -X107800000Y-94900000D01* -X107800000Y-94400000D01* -X107800361Y-94392649D01* -X107801441Y-94385368D01* -X107803229Y-94378229D01* -X107805709Y-94371299D01* -X107808856Y-94364645D01* -X107812640Y-94358332D01* -X107817024Y-94352421D01* -X107821967Y-94346967D01* -X107827421Y-94342024D01* -X107833332Y-94337640D01* -X107839645Y-94333856D01* -X107846299Y-94330709D01* -X107853229Y-94328229D01* -X107860368Y-94326441D01* -X107867649Y-94325361D01* -X107875000Y-94325000D01* -X108025000Y-94325000D01* -X108032351Y-94325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109109802Y-95325482D02* -G01* -X109119509Y-95326921D01* -X109129028Y-95329306D01* -X109138268Y-95332612D01* -X109147140Y-95336808D01* -X109155557Y-95341853D01* -X109163439Y-95347699D01* -X109170711Y-95354289D01* -X109177301Y-95361561D01* -X109183147Y-95369443D01* -X109188192Y-95377860D01* -X109192388Y-95386732D01* -X109195694Y-95395972D01* -X109198079Y-95405491D01* -X109199518Y-95415198D01* -X109200000Y-95425000D01* -X109200000Y-95875000D01* -X109199518Y-95884802D01* -X109198079Y-95894509D01* -X109195694Y-95904028D01* -X109192388Y-95913268D01* -X109188192Y-95922140D01* -X109183147Y-95930557D01* -X109177301Y-95938439D01* -X109170711Y-95945711D01* -X109163439Y-95952301D01* -X109155557Y-95958147D01* -X109147140Y-95963192D01* -X109138268Y-95967388D01* -X109129028Y-95970694D01* -X109119509Y-95973079D01* -X109109802Y-95974518D01* -X109100000Y-95975000D01* -X108900000Y-95975000D01* -X108890198Y-95974518D01* -X108880491Y-95973079D01* -X108870972Y-95970694D01* -X108861732Y-95967388D01* -X108852860Y-95963192D01* -X108844443Y-95958147D01* -X108836561Y-95952301D01* -X108829289Y-95945711D01* -X108822699Y-95938439D01* -X108816853Y-95930557D01* -X108811808Y-95922140D01* -X108807612Y-95913268D01* -X108804306Y-95904028D01* -X108801921Y-95894509D01* -X108800482Y-95884802D01* -X108800000Y-95875000D01* -X108800000Y-95425000D01* -X108800482Y-95415198D01* -X108801921Y-95405491D01* -X108804306Y-95395972D01* -X108807612Y-95386732D01* -X108811808Y-95377860D01* -X108816853Y-95369443D01* -X108822699Y-95361561D01* -X108829289Y-95354289D01* -X108836561Y-95347699D01* -X108844443Y-95341853D01* -X108852860Y-95336808D01* -X108861732Y-95332612D01* -X108870972Y-95329306D01* -X108880491Y-95326921D01* -X108890198Y-95325482D01* -X108900000Y-95325000D01* -X109100000Y-95325000D01* -X109109802Y-95325482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108032351Y-95325361D02* -G01* -X108039632Y-95326441D01* -X108046771Y-95328229D01* -X108053701Y-95330709D01* -X108060355Y-95333856D01* -X108066668Y-95337640D01* -X108072579Y-95342024D01* -X108078033Y-95346967D01* -X108082976Y-95352421D01* -X108087360Y-95358332D01* -X108091144Y-95364645D01* -X108094291Y-95371299D01* -X108096771Y-95378229D01* -X108098559Y-95385368D01* -X108099639Y-95392649D01* -X108100000Y-95400000D01* -X108100000Y-95900000D01* -X108099639Y-95907351D01* -X108098559Y-95914632D01* -X108096771Y-95921771D01* -X108094291Y-95928701D01* -X108091144Y-95935355D01* -X108087360Y-95941668D01* -X108082976Y-95947579D01* -X108078033Y-95953033D01* -X108072579Y-95957976D01* -X108066668Y-95962360D01* -X108060355Y-95966144D01* -X108053701Y-95969291D01* -X108046771Y-95971771D01* -X108039632Y-95973559D01* -X108032351Y-95974639D01* -X108025000Y-95975000D01* -X107875000Y-95975000D01* -X107867649Y-95974639D01* -X107860368Y-95973559D01* -X107853229Y-95971771D01* -X107846299Y-95969291D01* -X107839645Y-95966144D01* -X107833332Y-95962360D01* -X107827421Y-95957976D01* -X107821967Y-95953033D01* -X107817024Y-95947579D01* -X107812640Y-95941668D01* -X107808856Y-95935355D01* -X107805709Y-95928701D01* -X107803229Y-95921771D01* -X107801441Y-95914632D01* -X107800361Y-95907351D01* -X107800000Y-95900000D01* -X107800000Y-95400000D01* -X107800361Y-95392649D01* -X107801441Y-95385368D01* -X107803229Y-95378229D01* -X107805709Y-95371299D01* -X107808856Y-95364645D01* -X107812640Y-95358332D01* -X107817024Y-95352421D01* -X107821967Y-95346967D01* -X107827421Y-95342024D01* -X107833332Y-95337640D01* -X107839645Y-95333856D01* -X107846299Y-95330709D01* -X107853229Y-95328229D01* -X107860368Y-95326441D01* -X107867649Y-95325361D01* -X107875000Y-95325000D01* -X108025000Y-95325000D01* -X108032351Y-95325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108532351Y-95325361D02* -G01* -X108539632Y-95326441D01* -X108546771Y-95328229D01* -X108553701Y-95330709D01* -X108560355Y-95333856D01* -X108566668Y-95337640D01* -X108572579Y-95342024D01* -X108578033Y-95346967D01* -X108582976Y-95352421D01* -X108587360Y-95358332D01* -X108591144Y-95364645D01* -X108594291Y-95371299D01* -X108596771Y-95378229D01* -X108598559Y-95385368D01* -X108599639Y-95392649D01* -X108600000Y-95400000D01* -X108600000Y-95900000D01* -X108599639Y-95907351D01* -X108598559Y-95914632D01* -X108596771Y-95921771D01* -X108594291Y-95928701D01* -X108591144Y-95935355D01* -X108587360Y-95941668D01* -X108582976Y-95947579D01* -X108578033Y-95953033D01* -X108572579Y-95957976D01* -X108566668Y-95962360D01* -X108560355Y-95966144D01* -X108553701Y-95969291D01* -X108546771Y-95971771D01* -X108539632Y-95973559D01* -X108532351Y-95974639D01* -X108525000Y-95975000D01* -X108375000Y-95975000D01* -X108367649Y-95974639D01* -X108360368Y-95973559D01* -X108353229Y-95971771D01* -X108346299Y-95969291D01* -X108339645Y-95966144D01* -X108333332Y-95962360D01* -X108327421Y-95957976D01* -X108321967Y-95953033D01* -X108317024Y-95947579D01* -X108312640Y-95941668D01* -X108308856Y-95935355D01* -X108305709Y-95928701D01* -X108303229Y-95921771D01* -X108301441Y-95914632D01* -X108300361Y-95907351D01* -X108300000Y-95900000D01* -X108300000Y-95400000D01* -X108300361Y-95392649D01* -X108301441Y-95385368D01* -X108303229Y-95378229D01* -X108305709Y-95371299D01* -X108308856Y-95364645D01* -X108312640Y-95358332D01* -X108317024Y-95352421D01* -X108321967Y-95346967D01* -X108327421Y-95342024D01* -X108333332Y-95337640D01* -X108339645Y-95333856D01* -X108346299Y-95330709D01* -X108353229Y-95328229D01* -X108360368Y-95326441D01* -X108367649Y-95325361D01* -X108375000Y-95325000D01* -X108525000Y-95325000D01* -X108532351Y-95325361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X107509802Y-95325482D02* -G01* -X107519509Y-95326921D01* -X107529028Y-95329306D01* -X107538268Y-95332612D01* -X107547140Y-95336808D01* -X107555557Y-95341853D01* -X107563439Y-95347699D01* -X107570711Y-95354289D01* -X107577301Y-95361561D01* -X107583147Y-95369443D01* -X107588192Y-95377860D01* -X107592388Y-95386732D01* -X107595694Y-95395972D01* -X107598079Y-95405491D01* -X107599518Y-95415198D01* -X107600000Y-95425000D01* -X107600000Y-95875000D01* -X107599518Y-95884802D01* -X107598079Y-95894509D01* -X107595694Y-95904028D01* -X107592388Y-95913268D01* -X107588192Y-95922140D01* -X107583147Y-95930557D01* -X107577301Y-95938439D01* -X107570711Y-95945711D01* -X107563439Y-95952301D01* -X107555557Y-95958147D01* -X107547140Y-95963192D01* -X107538268Y-95967388D01* -X107529028Y-95970694D01* -X107519509Y-95973079D01* -X107509802Y-95974518D01* -X107500000Y-95975000D01* -X107300000Y-95975000D01* -X107290198Y-95974518D01* -X107280491Y-95973079D01* -X107270972Y-95970694D01* -X107261732Y-95967388D01* -X107252860Y-95963192D01* -X107244443Y-95958147D01* -X107236561Y-95952301D01* -X107229289Y-95945711D01* -X107222699Y-95938439D01* -X107216853Y-95930557D01* -X107211808Y-95922140D01* -X107207612Y-95913268D01* -X107204306Y-95904028D01* -X107201921Y-95894509D01* -X107200482Y-95884802D01* -X107200000Y-95875000D01* -X107200000Y-95425000D01* -X107200482Y-95415198D01* -X107201921Y-95405491D01* -X107204306Y-95395972D01* -X107207612Y-95386732D01* -X107211808Y-95377860D01* -X107216853Y-95369443D01* -X107222699Y-95361561D01* -X107229289Y-95354289D01* -X107236561Y-95347699D01* -X107244443Y-95341853D01* -X107252860Y-95336808D01* -X107261732Y-95332612D01* -X107270972Y-95329306D01* -X107280491Y-95326921D01* -X107290198Y-95325482D01* -X107300000Y-95325000D01* -X107500000Y-95325000D01* -X107509802Y-95325482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108184802Y-105250482D02* -G01* -X108194509Y-105251921D01* -X108204028Y-105254306D01* -X108213268Y-105257612D01* -X108222140Y-105261808D01* -X108230557Y-105266853D01* -X108238439Y-105272699D01* -X108245711Y-105279289D01* -X108252301Y-105286561D01* -X108258147Y-105294443D01* -X108263192Y-105302860D01* -X108267388Y-105311732D01* -X108270694Y-105320972D01* -X108273079Y-105330491D01* -X108274518Y-105340198D01* -X108275000Y-105350000D01* -X108275000Y-105550000D01* -X108274518Y-105559802D01* -X108273079Y-105569509D01* -X108270694Y-105579028D01* -X108267388Y-105588268D01* -X108263192Y-105597140D01* -X108258147Y-105605557D01* -X108252301Y-105613439D01* -X108245711Y-105620711D01* -X108238439Y-105627301D01* -X108230557Y-105633147D01* -X108222140Y-105638192D01* -X108213268Y-105642388D01* -X108204028Y-105645694D01* -X108194509Y-105648079D01* -X108184802Y-105649518D01* -X108175000Y-105650000D01* -X107725000Y-105650000D01* -X107715198Y-105649518D01* -X107705491Y-105648079D01* -X107695972Y-105645694D01* -X107686732Y-105642388D01* -X107677860Y-105638192D01* -X107669443Y-105633147D01* -X107661561Y-105627301D01* -X107654289Y-105620711D01* -X107647699Y-105613439D01* -X107641853Y-105605557D01* -X107636808Y-105597140D01* -X107632612Y-105588268D01* -X107629306Y-105579028D01* -X107626921Y-105569509D01* -X107625482Y-105559802D01* -X107625000Y-105550000D01* -X107625000Y-105350000D01* -X107625482Y-105340198D01* -X107626921Y-105330491D01* -X107629306Y-105320972D01* -X107632612Y-105311732D01* -X107636808Y-105302860D01* -X107641853Y-105294443D01* -X107647699Y-105286561D01* -X107654289Y-105279289D01* -X107661561Y-105272699D01* -X107669443Y-105266853D01* -X107677860Y-105261808D01* -X107686732Y-105257612D01* -X107695972Y-105254306D01* -X107705491Y-105251921D01* -X107715198Y-105250482D01* -X107725000Y-105250000D01* -X108175000Y-105250000D01* -X108184802Y-105250482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108207351Y-106350361D02* -G01* -X108214632Y-106351441D01* -X108221771Y-106353229D01* -X108228701Y-106355709D01* -X108235355Y-106358856D01* -X108241668Y-106362640D01* -X108247579Y-106367024D01* -X108253033Y-106371967D01* -X108257976Y-106377421D01* -X108262360Y-106383332D01* -X108266144Y-106389645D01* -X108269291Y-106396299D01* -X108271771Y-106403229D01* -X108273559Y-106410368D01* -X108274639Y-106417649D01* -X108275000Y-106425000D01* -X108275000Y-106575000D01* -X108274639Y-106582351D01* -X108273559Y-106589632D01* -X108271771Y-106596771D01* -X108269291Y-106603701D01* -X108266144Y-106610355D01* -X108262360Y-106616668D01* -X108257976Y-106622579D01* -X108253033Y-106628033D01* -X108247579Y-106632976D01* -X108241668Y-106637360D01* -X108235355Y-106641144D01* -X108228701Y-106644291D01* -X108221771Y-106646771D01* -X108214632Y-106648559D01* -X108207351Y-106649639D01* -X108200000Y-106650000D01* -X107700000Y-106650000D01* -X107692649Y-106649639D01* -X107685368Y-106648559D01* -X107678229Y-106646771D01* -X107671299Y-106644291D01* -X107664645Y-106641144D01* -X107658332Y-106637360D01* -X107652421Y-106632976D01* -X107646967Y-106628033D01* -X107642024Y-106622579D01* -X107637640Y-106616668D01* -X107633856Y-106610355D01* -X107630709Y-106603701D01* -X107628229Y-106596771D01* -X107626441Y-106589632D01* -X107625361Y-106582351D01* -X107625000Y-106575000D01* -X107625000Y-106425000D01* -X107625361Y-106417649D01* -X107626441Y-106410368D01* -X107628229Y-106403229D01* -X107630709Y-106396299D01* -X107633856Y-106389645D01* -X107637640Y-106383332D01* -X107642024Y-106377421D01* -X107646967Y-106371967D01* -X107652421Y-106367024D01* -X107658332Y-106362640D01* -X107664645Y-106358856D01* -X107671299Y-106355709D01* -X107678229Y-106353229D01* -X107685368Y-106351441D01* -X107692649Y-106350361D01* -X107700000Y-106350000D01* -X108200000Y-106350000D01* -X108207351Y-106350361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108207351Y-105850361D02* -G01* -X108214632Y-105851441D01* -X108221771Y-105853229D01* -X108228701Y-105855709D01* -X108235355Y-105858856D01* -X108241668Y-105862640D01* -X108247579Y-105867024D01* -X108253033Y-105871967D01* -X108257976Y-105877421D01* -X108262360Y-105883332D01* -X108266144Y-105889645D01* -X108269291Y-105896299D01* -X108271771Y-105903229D01* -X108273559Y-105910368D01* -X108274639Y-105917649D01* -X108275000Y-105925000D01* -X108275000Y-106075000D01* -X108274639Y-106082351D01* -X108273559Y-106089632D01* -X108271771Y-106096771D01* -X108269291Y-106103701D01* -X108266144Y-106110355D01* -X108262360Y-106116668D01* -X108257976Y-106122579D01* -X108253033Y-106128033D01* -X108247579Y-106132976D01* -X108241668Y-106137360D01* -X108235355Y-106141144D01* -X108228701Y-106144291D01* -X108221771Y-106146771D01* -X108214632Y-106148559D01* -X108207351Y-106149639D01* -X108200000Y-106150000D01* -X107700000Y-106150000D01* -X107692649Y-106149639D01* -X107685368Y-106148559D01* -X107678229Y-106146771D01* -X107671299Y-106144291D01* -X107664645Y-106141144D01* -X107658332Y-106137360D01* -X107652421Y-106132976D01* -X107646967Y-106128033D01* -X107642024Y-106122579D01* -X107637640Y-106116668D01* -X107633856Y-106110355D01* -X107630709Y-106103701D01* -X107628229Y-106096771D01* -X107626441Y-106089632D01* -X107625361Y-106082351D01* -X107625000Y-106075000D01* -X107625000Y-105925000D01* -X107625361Y-105917649D01* -X107626441Y-105910368D01* -X107628229Y-105903229D01* -X107630709Y-105896299D01* -X107633856Y-105889645D01* -X107637640Y-105883332D01* -X107642024Y-105877421D01* -X107646967Y-105871967D01* -X107652421Y-105867024D01* -X107658332Y-105862640D01* -X107664645Y-105858856D01* -X107671299Y-105855709D01* -X107678229Y-105853229D01* -X107685368Y-105851441D01* -X107692649Y-105850361D01* -X107700000Y-105850000D01* -X108200000Y-105850000D01* -X108207351Y-105850361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108184802Y-106850482D02* -G01* -X108194509Y-106851921D01* -X108204028Y-106854306D01* -X108213268Y-106857612D01* -X108222140Y-106861808D01* -X108230557Y-106866853D01* -X108238439Y-106872699D01* -X108245711Y-106879289D01* -X108252301Y-106886561D01* -X108258147Y-106894443D01* -X108263192Y-106902860D01* -X108267388Y-106911732D01* -X108270694Y-106920972D01* -X108273079Y-106930491D01* -X108274518Y-106940198D01* -X108275000Y-106950000D01* -X108275000Y-107150000D01* -X108274518Y-107159802D01* -X108273079Y-107169509D01* -X108270694Y-107179028D01* -X108267388Y-107188268D01* -X108263192Y-107197140D01* -X108258147Y-107205557D01* -X108252301Y-107213439D01* -X108245711Y-107220711D01* -X108238439Y-107227301D01* -X108230557Y-107233147D01* -X108222140Y-107238192D01* -X108213268Y-107242388D01* -X108204028Y-107245694D01* -X108194509Y-107248079D01* -X108184802Y-107249518D01* -X108175000Y-107250000D01* -X107725000Y-107250000D01* -X107715198Y-107249518D01* -X107705491Y-107248079D01* -X107695972Y-107245694D01* -X107686732Y-107242388D01* -X107677860Y-107238192D01* -X107669443Y-107233147D01* -X107661561Y-107227301D01* -X107654289Y-107220711D01* -X107647699Y-107213439D01* -X107641853Y-107205557D01* -X107636808Y-107197140D01* -X107632612Y-107188268D01* -X107629306Y-107179028D01* -X107626921Y-107169509D01* -X107625482Y-107159802D01* -X107625000Y-107150000D01* -X107625000Y-106950000D01* -X107625482Y-106940198D01* -X107626921Y-106930491D01* -X107629306Y-106920972D01* -X107632612Y-106911732D01* -X107636808Y-106902860D01* -X107641853Y-106894443D01* -X107647699Y-106886561D01* -X107654289Y-106879289D01* -X107661561Y-106872699D01* -X107669443Y-106866853D01* -X107677860Y-106861808D01* -X107686732Y-106857612D01* -X107695972Y-106854306D01* -X107705491Y-106851921D01* -X107715198Y-106850482D01* -X107725000Y-106850000D01* -X108175000Y-106850000D01* -X108184802Y-106850482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109207351Y-105850361D02* -G01* -X109214632Y-105851441D01* -X109221771Y-105853229D01* -X109228701Y-105855709D01* -X109235355Y-105858856D01* -X109241668Y-105862640D01* -X109247579Y-105867024D01* -X109253033Y-105871967D01* -X109257976Y-105877421D01* -X109262360Y-105883332D01* -X109266144Y-105889645D01* -X109269291Y-105896299D01* -X109271771Y-105903229D01* -X109273559Y-105910368D01* -X109274639Y-105917649D01* -X109275000Y-105925000D01* -X109275000Y-106075000D01* -X109274639Y-106082351D01* -X109273559Y-106089632D01* -X109271771Y-106096771D01* -X109269291Y-106103701D01* -X109266144Y-106110355D01* -X109262360Y-106116668D01* -X109257976Y-106122579D01* -X109253033Y-106128033D01* -X109247579Y-106132976D01* -X109241668Y-106137360D01* -X109235355Y-106141144D01* -X109228701Y-106144291D01* -X109221771Y-106146771D01* -X109214632Y-106148559D01* -X109207351Y-106149639D01* -X109200000Y-106150000D01* -X108700000Y-106150000D01* -X108692649Y-106149639D01* -X108685368Y-106148559D01* -X108678229Y-106146771D01* -X108671299Y-106144291D01* -X108664645Y-106141144D01* -X108658332Y-106137360D01* -X108652421Y-106132976D01* -X108646967Y-106128033D01* -X108642024Y-106122579D01* -X108637640Y-106116668D01* -X108633856Y-106110355D01* -X108630709Y-106103701D01* -X108628229Y-106096771D01* -X108626441Y-106089632D01* -X108625361Y-106082351D01* -X108625000Y-106075000D01* -X108625000Y-105925000D01* -X108625361Y-105917649D01* -X108626441Y-105910368D01* -X108628229Y-105903229D01* -X108630709Y-105896299D01* -X108633856Y-105889645D01* -X108637640Y-105883332D01* -X108642024Y-105877421D01* -X108646967Y-105871967D01* -X108652421Y-105867024D01* -X108658332Y-105862640D01* -X108664645Y-105858856D01* -X108671299Y-105855709D01* -X108678229Y-105853229D01* -X108685368Y-105851441D01* -X108692649Y-105850361D01* -X108700000Y-105850000D01* -X109200000Y-105850000D01* -X109207351Y-105850361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109184802Y-105250482D02* -G01* -X109194509Y-105251921D01* -X109204028Y-105254306D01* -X109213268Y-105257612D01* -X109222140Y-105261808D01* -X109230557Y-105266853D01* -X109238439Y-105272699D01* -X109245711Y-105279289D01* -X109252301Y-105286561D01* -X109258147Y-105294443D01* -X109263192Y-105302860D01* -X109267388Y-105311732D01* -X109270694Y-105320972D01* -X109273079Y-105330491D01* -X109274518Y-105340198D01* -X109275000Y-105350000D01* -X109275000Y-105550000D01* -X109274518Y-105559802D01* -X109273079Y-105569509D01* -X109270694Y-105579028D01* -X109267388Y-105588268D01* -X109263192Y-105597140D01* -X109258147Y-105605557D01* -X109252301Y-105613439D01* -X109245711Y-105620711D01* -X109238439Y-105627301D01* -X109230557Y-105633147D01* -X109222140Y-105638192D01* -X109213268Y-105642388D01* -X109204028Y-105645694D01* -X109194509Y-105648079D01* -X109184802Y-105649518D01* -X109175000Y-105650000D01* -X108725000Y-105650000D01* -X108715198Y-105649518D01* -X108705491Y-105648079D01* -X108695972Y-105645694D01* -X108686732Y-105642388D01* -X108677860Y-105638192D01* -X108669443Y-105633147D01* -X108661561Y-105627301D01* -X108654289Y-105620711D01* -X108647699Y-105613439D01* -X108641853Y-105605557D01* -X108636808Y-105597140D01* -X108632612Y-105588268D01* -X108629306Y-105579028D01* -X108626921Y-105569509D01* -X108625482Y-105559802D01* -X108625000Y-105550000D01* -X108625000Y-105350000D01* -X108625482Y-105340198D01* -X108626921Y-105330491D01* -X108629306Y-105320972D01* -X108632612Y-105311732D01* -X108636808Y-105302860D01* -X108641853Y-105294443D01* -X108647699Y-105286561D01* -X108654289Y-105279289D01* -X108661561Y-105272699D01* -X108669443Y-105266853D01* -X108677860Y-105261808D01* -X108686732Y-105257612D01* -X108695972Y-105254306D01* -X108705491Y-105251921D01* -X108715198Y-105250482D01* -X108725000Y-105250000D01* -X109175000Y-105250000D01* -X109184802Y-105250482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109207351Y-106350361D02* -G01* -X109214632Y-106351441D01* -X109221771Y-106353229D01* -X109228701Y-106355709D01* -X109235355Y-106358856D01* -X109241668Y-106362640D01* -X109247579Y-106367024D01* -X109253033Y-106371967D01* -X109257976Y-106377421D01* -X109262360Y-106383332D01* -X109266144Y-106389645D01* -X109269291Y-106396299D01* -X109271771Y-106403229D01* -X109273559Y-106410368D01* -X109274639Y-106417649D01* -X109275000Y-106425000D01* -X109275000Y-106575000D01* -X109274639Y-106582351D01* -X109273559Y-106589632D01* -X109271771Y-106596771D01* -X109269291Y-106603701D01* -X109266144Y-106610355D01* -X109262360Y-106616668D01* -X109257976Y-106622579D01* -X109253033Y-106628033D01* -X109247579Y-106632976D01* -X109241668Y-106637360D01* -X109235355Y-106641144D01* -X109228701Y-106644291D01* -X109221771Y-106646771D01* -X109214632Y-106648559D01* -X109207351Y-106649639D01* -X109200000Y-106650000D01* -X108700000Y-106650000D01* -X108692649Y-106649639D01* -X108685368Y-106648559D01* -X108678229Y-106646771D01* -X108671299Y-106644291D01* -X108664645Y-106641144D01* -X108658332Y-106637360D01* -X108652421Y-106632976D01* -X108646967Y-106628033D01* -X108642024Y-106622579D01* -X108637640Y-106616668D01* -X108633856Y-106610355D01* -X108630709Y-106603701D01* -X108628229Y-106596771D01* -X108626441Y-106589632D01* -X108625361Y-106582351D01* -X108625000Y-106575000D01* -X108625000Y-106425000D01* -X108625361Y-106417649D01* -X108626441Y-106410368D01* -X108628229Y-106403229D01* -X108630709Y-106396299D01* -X108633856Y-106389645D01* -X108637640Y-106383332D01* -X108642024Y-106377421D01* -X108646967Y-106371967D01* -X108652421Y-106367024D01* -X108658332Y-106362640D01* -X108664645Y-106358856D01* -X108671299Y-106355709D01* -X108678229Y-106353229D01* -X108685368Y-106351441D01* -X108692649Y-106350361D01* -X108700000Y-106350000D01* -X109200000Y-106350000D01* -X109207351Y-106350361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109184802Y-106850482D02* -G01* -X109194509Y-106851921D01* -X109204028Y-106854306D01* -X109213268Y-106857612D01* -X109222140Y-106861808D01* -X109230557Y-106866853D01* -X109238439Y-106872699D01* -X109245711Y-106879289D01* -X109252301Y-106886561D01* -X109258147Y-106894443D01* -X109263192Y-106902860D01* -X109267388Y-106911732D01* -X109270694Y-106920972D01* -X109273079Y-106930491D01* -X109274518Y-106940198D01* -X109275000Y-106950000D01* -X109275000Y-107150000D01* -X109274518Y-107159802D01* -X109273079Y-107169509D01* -X109270694Y-107179028D01* -X109267388Y-107188268D01* -X109263192Y-107197140D01* -X109258147Y-107205557D01* -X109252301Y-107213439D01* -X109245711Y-107220711D01* -X109238439Y-107227301D01* -X109230557Y-107233147D01* -X109222140Y-107238192D01* -X109213268Y-107242388D01* -X109204028Y-107245694D01* -X109194509Y-107248079D01* -X109184802Y-107249518D01* -X109175000Y-107250000D01* -X108725000Y-107250000D01* -X108715198Y-107249518D01* -X108705491Y-107248079D01* -X108695972Y-107245694D01* -X108686732Y-107242388D01* -X108677860Y-107238192D01* -X108669443Y-107233147D01* -X108661561Y-107227301D01* -X108654289Y-107220711D01* -X108647699Y-107213439D01* -X108641853Y-107205557D01* -X108636808Y-107197140D01* -X108632612Y-107188268D01* -X108629306Y-107179028D01* -X108626921Y-107169509D01* -X108625482Y-107159802D01* -X108625000Y-107150000D01* -X108625000Y-106950000D01* -X108625482Y-106940198D01* -X108626921Y-106930491D01* -X108629306Y-106920972D01* -X108632612Y-106911732D01* -X108636808Y-106902860D01* -X108641853Y-106894443D01* -X108647699Y-106886561D01* -X108654289Y-106879289D01* -X108661561Y-106872699D01* -X108669443Y-106866853D01* -X108677860Y-106861808D01* -X108686732Y-106857612D01* -X108695972Y-106854306D01* -X108705491Y-106851921D01* -X108715198Y-106850482D01* -X108725000Y-106850000D01* -X109175000Y-106850000D01* -X109184802Y-106850482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109184802Y-111250482D02* -G01* -X109194509Y-111251921D01* -X109204028Y-111254306D01* -X109213268Y-111257612D01* -X109222140Y-111261808D01* -X109230557Y-111266853D01* -X109238439Y-111272699D01* -X109245711Y-111279289D01* -X109252301Y-111286561D01* -X109258147Y-111294443D01* -X109263192Y-111302860D01* -X109267388Y-111311732D01* -X109270694Y-111320972D01* -X109273079Y-111330491D01* -X109274518Y-111340198D01* -X109275000Y-111350000D01* -X109275000Y-111550000D01* -X109274518Y-111559802D01* -X109273079Y-111569509D01* -X109270694Y-111579028D01* -X109267388Y-111588268D01* -X109263192Y-111597140D01* -X109258147Y-111605557D01* -X109252301Y-111613439D01* -X109245711Y-111620711D01* -X109238439Y-111627301D01* -X109230557Y-111633147D01* -X109222140Y-111638192D01* -X109213268Y-111642388D01* -X109204028Y-111645694D01* -X109194509Y-111648079D01* -X109184802Y-111649518D01* -X109175000Y-111650000D01* -X108725000Y-111650000D01* -X108715198Y-111649518D01* -X108705491Y-111648079D01* -X108695972Y-111645694D01* -X108686732Y-111642388D01* -X108677860Y-111638192D01* -X108669443Y-111633147D01* -X108661561Y-111627301D01* -X108654289Y-111620711D01* -X108647699Y-111613439D01* -X108641853Y-111605557D01* -X108636808Y-111597140D01* -X108632612Y-111588268D01* -X108629306Y-111579028D01* -X108626921Y-111569509D01* -X108625482Y-111559802D01* -X108625000Y-111550000D01* -X108625000Y-111350000D01* -X108625482Y-111340198D01* -X108626921Y-111330491D01* -X108629306Y-111320972D01* -X108632612Y-111311732D01* -X108636808Y-111302860D01* -X108641853Y-111294443D01* -X108647699Y-111286561D01* -X108654289Y-111279289D01* -X108661561Y-111272699D01* -X108669443Y-111266853D01* -X108677860Y-111261808D01* -X108686732Y-111257612D01* -X108695972Y-111254306D01* -X108705491Y-111251921D01* -X108715198Y-111250482D01* -X108725000Y-111250000D01* -X109175000Y-111250000D01* -X109184802Y-111250482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109207351Y-110750361D02* -G01* -X109214632Y-110751441D01* -X109221771Y-110753229D01* -X109228701Y-110755709D01* -X109235355Y-110758856D01* -X109241668Y-110762640D01* -X109247579Y-110767024D01* -X109253033Y-110771967D01* -X109257976Y-110777421D01* -X109262360Y-110783332D01* -X109266144Y-110789645D01* -X109269291Y-110796299D01* -X109271771Y-110803229D01* -X109273559Y-110810368D01* -X109274639Y-110817649D01* -X109275000Y-110825000D01* -X109275000Y-110975000D01* -X109274639Y-110982351D01* -X109273559Y-110989632D01* -X109271771Y-110996771D01* -X109269291Y-111003701D01* -X109266144Y-111010355D01* -X109262360Y-111016668D01* -X109257976Y-111022579D01* -X109253033Y-111028033D01* -X109247579Y-111032976D01* -X109241668Y-111037360D01* -X109235355Y-111041144D01* -X109228701Y-111044291D01* -X109221771Y-111046771D01* -X109214632Y-111048559D01* -X109207351Y-111049639D01* -X109200000Y-111050000D01* -X108700000Y-111050000D01* -X108692649Y-111049639D01* -X108685368Y-111048559D01* -X108678229Y-111046771D01* -X108671299Y-111044291D01* -X108664645Y-111041144D01* -X108658332Y-111037360D01* -X108652421Y-111032976D01* -X108646967Y-111028033D01* -X108642024Y-111022579D01* -X108637640Y-111016668D01* -X108633856Y-111010355D01* -X108630709Y-111003701D01* -X108628229Y-110996771D01* -X108626441Y-110989632D01* -X108625361Y-110982351D01* -X108625000Y-110975000D01* -X108625000Y-110825000D01* -X108625361Y-110817649D01* -X108626441Y-110810368D01* -X108628229Y-110803229D01* -X108630709Y-110796299D01* -X108633856Y-110789645D01* -X108637640Y-110783332D01* -X108642024Y-110777421D01* -X108646967Y-110771967D01* -X108652421Y-110767024D01* -X108658332Y-110762640D01* -X108664645Y-110758856D01* -X108671299Y-110755709D01* -X108678229Y-110753229D01* -X108685368Y-110751441D01* -X108692649Y-110750361D01* -X108700000Y-110750000D01* -X109200000Y-110750000D01* -X109207351Y-110750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109184802Y-109650482D02* -G01* -X109194509Y-109651921D01* -X109204028Y-109654306D01* -X109213268Y-109657612D01* -X109222140Y-109661808D01* -X109230557Y-109666853D01* -X109238439Y-109672699D01* -X109245711Y-109679289D01* -X109252301Y-109686561D01* -X109258147Y-109694443D01* -X109263192Y-109702860D01* -X109267388Y-109711732D01* -X109270694Y-109720972D01* -X109273079Y-109730491D01* -X109274518Y-109740198D01* -X109275000Y-109750000D01* -X109275000Y-109950000D01* -X109274518Y-109959802D01* -X109273079Y-109969509D01* -X109270694Y-109979028D01* -X109267388Y-109988268D01* -X109263192Y-109997140D01* -X109258147Y-110005557D01* -X109252301Y-110013439D01* -X109245711Y-110020711D01* -X109238439Y-110027301D01* -X109230557Y-110033147D01* -X109222140Y-110038192D01* -X109213268Y-110042388D01* -X109204028Y-110045694D01* -X109194509Y-110048079D01* -X109184802Y-110049518D01* -X109175000Y-110050000D01* -X108725000Y-110050000D01* -X108715198Y-110049518D01* -X108705491Y-110048079D01* -X108695972Y-110045694D01* -X108686732Y-110042388D01* -X108677860Y-110038192D01* -X108669443Y-110033147D01* -X108661561Y-110027301D01* -X108654289Y-110020711D01* -X108647699Y-110013439D01* -X108641853Y-110005557D01* -X108636808Y-109997140D01* -X108632612Y-109988268D01* -X108629306Y-109979028D01* -X108626921Y-109969509D01* -X108625482Y-109959802D01* -X108625000Y-109950000D01* -X108625000Y-109750000D01* -X108625482Y-109740198D01* -X108626921Y-109730491D01* -X108629306Y-109720972D01* -X108632612Y-109711732D01* -X108636808Y-109702860D01* -X108641853Y-109694443D01* -X108647699Y-109686561D01* -X108654289Y-109679289D01* -X108661561Y-109672699D01* -X108669443Y-109666853D01* -X108677860Y-109661808D01* -X108686732Y-109657612D01* -X108695972Y-109654306D01* -X108705491Y-109651921D01* -X108715198Y-109650482D01* -X108725000Y-109650000D01* -X109175000Y-109650000D01* -X109184802Y-109650482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X109207351Y-110250361D02* -G01* -X109214632Y-110251441D01* -X109221771Y-110253229D01* -X109228701Y-110255709D01* -X109235355Y-110258856D01* -X109241668Y-110262640D01* -X109247579Y-110267024D01* -X109253033Y-110271967D01* -X109257976Y-110277421D01* -X109262360Y-110283332D01* -X109266144Y-110289645D01* -X109269291Y-110296299D01* -X109271771Y-110303229D01* -X109273559Y-110310368D01* -X109274639Y-110317649D01* -X109275000Y-110325000D01* -X109275000Y-110475000D01* -X109274639Y-110482351D01* -X109273559Y-110489632D01* -X109271771Y-110496771D01* -X109269291Y-110503701D01* -X109266144Y-110510355D01* -X109262360Y-110516668D01* -X109257976Y-110522579D01* -X109253033Y-110528033D01* -X109247579Y-110532976D01* -X109241668Y-110537360D01* -X109235355Y-110541144D01* -X109228701Y-110544291D01* -X109221771Y-110546771D01* -X109214632Y-110548559D01* -X109207351Y-110549639D01* -X109200000Y-110550000D01* -X108700000Y-110550000D01* -X108692649Y-110549639D01* -X108685368Y-110548559D01* -X108678229Y-110546771D01* -X108671299Y-110544291D01* -X108664645Y-110541144D01* -X108658332Y-110537360D01* -X108652421Y-110532976D01* -X108646967Y-110528033D01* -X108642024Y-110522579D01* -X108637640Y-110516668D01* -X108633856Y-110510355D01* -X108630709Y-110503701D01* -X108628229Y-110496771D01* -X108626441Y-110489632D01* -X108625361Y-110482351D01* -X108625000Y-110475000D01* -X108625000Y-110325000D01* -X108625361Y-110317649D01* -X108626441Y-110310368D01* -X108628229Y-110303229D01* -X108630709Y-110296299D01* -X108633856Y-110289645D01* -X108637640Y-110283332D01* -X108642024Y-110277421D01* -X108646967Y-110271967D01* -X108652421Y-110267024D01* -X108658332Y-110262640D01* -X108664645Y-110258856D01* -X108671299Y-110255709D01* -X108678229Y-110253229D01* -X108685368Y-110251441D01* -X108692649Y-110250361D01* -X108700000Y-110250000D01* -X109200000Y-110250000D01* -X109207351Y-110250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108184802Y-111250482D02* -G01* -X108194509Y-111251921D01* -X108204028Y-111254306D01* -X108213268Y-111257612D01* -X108222140Y-111261808D01* -X108230557Y-111266853D01* -X108238439Y-111272699D01* -X108245711Y-111279289D01* -X108252301Y-111286561D01* -X108258147Y-111294443D01* -X108263192Y-111302860D01* -X108267388Y-111311732D01* -X108270694Y-111320972D01* -X108273079Y-111330491D01* -X108274518Y-111340198D01* -X108275000Y-111350000D01* -X108275000Y-111550000D01* -X108274518Y-111559802D01* -X108273079Y-111569509D01* -X108270694Y-111579028D01* -X108267388Y-111588268D01* -X108263192Y-111597140D01* -X108258147Y-111605557D01* -X108252301Y-111613439D01* -X108245711Y-111620711D01* -X108238439Y-111627301D01* -X108230557Y-111633147D01* -X108222140Y-111638192D01* -X108213268Y-111642388D01* -X108204028Y-111645694D01* -X108194509Y-111648079D01* -X108184802Y-111649518D01* -X108175000Y-111650000D01* -X107725000Y-111650000D01* -X107715198Y-111649518D01* -X107705491Y-111648079D01* -X107695972Y-111645694D01* -X107686732Y-111642388D01* -X107677860Y-111638192D01* -X107669443Y-111633147D01* -X107661561Y-111627301D01* -X107654289Y-111620711D01* -X107647699Y-111613439D01* -X107641853Y-111605557D01* -X107636808Y-111597140D01* -X107632612Y-111588268D01* -X107629306Y-111579028D01* -X107626921Y-111569509D01* -X107625482Y-111559802D01* -X107625000Y-111550000D01* -X107625000Y-111350000D01* -X107625482Y-111340198D01* -X107626921Y-111330491D01* -X107629306Y-111320972D01* -X107632612Y-111311732D01* -X107636808Y-111302860D01* -X107641853Y-111294443D01* -X107647699Y-111286561D01* -X107654289Y-111279289D01* -X107661561Y-111272699D01* -X107669443Y-111266853D01* -X107677860Y-111261808D01* -X107686732Y-111257612D01* -X107695972Y-111254306D01* -X107705491Y-111251921D01* -X107715198Y-111250482D01* -X107725000Y-111250000D01* -X108175000Y-111250000D01* -X108184802Y-111250482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108207351Y-110250361D02* -G01* -X108214632Y-110251441D01* -X108221771Y-110253229D01* -X108228701Y-110255709D01* -X108235355Y-110258856D01* -X108241668Y-110262640D01* -X108247579Y-110267024D01* -X108253033Y-110271967D01* -X108257976Y-110277421D01* -X108262360Y-110283332D01* -X108266144Y-110289645D01* -X108269291Y-110296299D01* -X108271771Y-110303229D01* -X108273559Y-110310368D01* -X108274639Y-110317649D01* -X108275000Y-110325000D01* -X108275000Y-110475000D01* -X108274639Y-110482351D01* -X108273559Y-110489632D01* -X108271771Y-110496771D01* -X108269291Y-110503701D01* -X108266144Y-110510355D01* -X108262360Y-110516668D01* -X108257976Y-110522579D01* -X108253033Y-110528033D01* -X108247579Y-110532976D01* -X108241668Y-110537360D01* -X108235355Y-110541144D01* -X108228701Y-110544291D01* -X108221771Y-110546771D01* -X108214632Y-110548559D01* -X108207351Y-110549639D01* -X108200000Y-110550000D01* -X107700000Y-110550000D01* -X107692649Y-110549639D01* -X107685368Y-110548559D01* -X107678229Y-110546771D01* -X107671299Y-110544291D01* -X107664645Y-110541144D01* -X107658332Y-110537360D01* -X107652421Y-110532976D01* -X107646967Y-110528033D01* -X107642024Y-110522579D01* -X107637640Y-110516668D01* -X107633856Y-110510355D01* -X107630709Y-110503701D01* -X107628229Y-110496771D01* -X107626441Y-110489632D01* -X107625361Y-110482351D01* -X107625000Y-110475000D01* -X107625000Y-110325000D01* -X107625361Y-110317649D01* -X107626441Y-110310368D01* -X107628229Y-110303229D01* -X107630709Y-110296299D01* -X107633856Y-110289645D01* -X107637640Y-110283332D01* -X107642024Y-110277421D01* -X107646967Y-110271967D01* -X107652421Y-110267024D01* -X107658332Y-110262640D01* -X107664645Y-110258856D01* -X107671299Y-110255709D01* -X107678229Y-110253229D01* -X107685368Y-110251441D01* -X107692649Y-110250361D01* -X107700000Y-110250000D01* -X108200000Y-110250000D01* -X108207351Y-110250361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108207351Y-110750361D02* -G01* -X108214632Y-110751441D01* -X108221771Y-110753229D01* -X108228701Y-110755709D01* -X108235355Y-110758856D01* -X108241668Y-110762640D01* -X108247579Y-110767024D01* -X108253033Y-110771967D01* -X108257976Y-110777421D01* -X108262360Y-110783332D01* -X108266144Y-110789645D01* -X108269291Y-110796299D01* -X108271771Y-110803229D01* -X108273559Y-110810368D01* -X108274639Y-110817649D01* -X108275000Y-110825000D01* -X108275000Y-110975000D01* -X108274639Y-110982351D01* -X108273559Y-110989632D01* -X108271771Y-110996771D01* -X108269291Y-111003701D01* -X108266144Y-111010355D01* -X108262360Y-111016668D01* -X108257976Y-111022579D01* -X108253033Y-111028033D01* -X108247579Y-111032976D01* -X108241668Y-111037360D01* -X108235355Y-111041144D01* -X108228701Y-111044291D01* -X108221771Y-111046771D01* -X108214632Y-111048559D01* -X108207351Y-111049639D01* -X108200000Y-111050000D01* -X107700000Y-111050000D01* -X107692649Y-111049639D01* -X107685368Y-111048559D01* -X107678229Y-111046771D01* -X107671299Y-111044291D01* -X107664645Y-111041144D01* -X107658332Y-111037360D01* -X107652421Y-111032976D01* -X107646967Y-111028033D01* -X107642024Y-111022579D01* -X107637640Y-111016668D01* -X107633856Y-111010355D01* -X107630709Y-111003701D01* -X107628229Y-110996771D01* -X107626441Y-110989632D01* -X107625361Y-110982351D01* -X107625000Y-110975000D01* -X107625000Y-110825000D01* -X107625361Y-110817649D01* -X107626441Y-110810368D01* -X107628229Y-110803229D01* -X107630709Y-110796299D01* -X107633856Y-110789645D01* -X107637640Y-110783332D01* -X107642024Y-110777421D01* -X107646967Y-110771967D01* -X107652421Y-110767024D01* -X107658332Y-110762640D01* -X107664645Y-110758856D01* -X107671299Y-110755709D01* -X107678229Y-110753229D01* -X107685368Y-110751441D01* -X107692649Y-110750361D01* -X107700000Y-110750000D01* -X108200000Y-110750000D01* -X108207351Y-110750361D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X108184802Y-109650482D02* -G01* -X108194509Y-109651921D01* -X108204028Y-109654306D01* -X108213268Y-109657612D01* -X108222140Y-109661808D01* -X108230557Y-109666853D01* -X108238439Y-109672699D01* -X108245711Y-109679289D01* -X108252301Y-109686561D01* -X108258147Y-109694443D01* -X108263192Y-109702860D01* -X108267388Y-109711732D01* -X108270694Y-109720972D01* -X108273079Y-109730491D01* -X108274518Y-109740198D01* -X108275000Y-109750000D01* -X108275000Y-109950000D01* -X108274518Y-109959802D01* -X108273079Y-109969509D01* -X108270694Y-109979028D01* -X108267388Y-109988268D01* -X108263192Y-109997140D01* -X108258147Y-110005557D01* -X108252301Y-110013439D01* -X108245711Y-110020711D01* -X108238439Y-110027301D01* -X108230557Y-110033147D01* -X108222140Y-110038192D01* -X108213268Y-110042388D01* -X108204028Y-110045694D01* -X108194509Y-110048079D01* -X108184802Y-110049518D01* -X108175000Y-110050000D01* -X107725000Y-110050000D01* -X107715198Y-110049518D01* -X107705491Y-110048079D01* -X107695972Y-110045694D01* -X107686732Y-110042388D01* -X107677860Y-110038192D01* -X107669443Y-110033147D01* -X107661561Y-110027301D01* -X107654289Y-110020711D01* -X107647699Y-110013439D01* -X107641853Y-110005557D01* -X107636808Y-109997140D01* -X107632612Y-109988268D01* -X107629306Y-109979028D01* -X107626921Y-109969509D01* -X107625482Y-109959802D01* -X107625000Y-109950000D01* -X107625000Y-109750000D01* -X107625482Y-109740198D01* -X107626921Y-109730491D01* -X107629306Y-109720972D01* -X107632612Y-109711732D01* -X107636808Y-109702860D01* -X107641853Y-109694443D01* -X107647699Y-109686561D01* -X107654289Y-109679289D01* -X107661561Y-109672699D01* -X107669443Y-109666853D01* -X107677860Y-109661808D01* -X107686732Y-109657612D01* -X107695972Y-109654306D01* -X107705491Y-109651921D01* -X107715198Y-109650482D01* -X107725000Y-109650000D01* -X108175000Y-109650000D01* -X108184802Y-109650482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X83083329Y-103877023D02* -G01* -X83103957Y-103880083D01* -X83124185Y-103885150D01* -X83143820Y-103892176D01* -X83162672Y-103901092D01* -X83180559Y-103911813D01* -X83197309Y-103924235D01* -X83212760Y-103938240D01* -X83226765Y-103953691D01* -X83239187Y-103970441D01* -X83249908Y-103988328D01* -X83258824Y-104007180D01* -X83265850Y-104026815D01* -X83270917Y-104047043D01* -X83273977Y-104067671D01* -X83275000Y-104088500D01* -X83275000Y-104513500D01* -X83273977Y-104534329D01* -X83270917Y-104554957D01* -X83265850Y-104575185D01* -X83258824Y-104594820D01* -X83249908Y-104613672D01* -X83239187Y-104631559D01* -X83226765Y-104648309D01* -X83212760Y-104663760D01* -X83197309Y-104677765D01* -X83180559Y-104690187D01* -X83162672Y-104700908D01* -X83143820Y-104709824D01* -X83124185Y-104716850D01* -X83103957Y-104721917D01* -X83083329Y-104724977D01* -X83062500Y-104726000D01* -X82537500Y-104726000D01* -X82516671Y-104724977D01* -X82496043Y-104721917D01* -X82475815Y-104716850D01* -X82456180Y-104709824D01* -X82437328Y-104700908D01* -X82419441Y-104690187D01* -X82402691Y-104677765D01* -X82387240Y-104663760D01* -X82373235Y-104648309D01* -X82360813Y-104631559D01* -X82350092Y-104613672D01* -X82341176Y-104594820D01* -X82334150Y-104575185D01* -X82329083Y-104554957D01* -X82326023Y-104534329D01* -X82325000Y-104513500D01* -X82325000Y-104088500D01* -X82326023Y-104067671D01* -X82329083Y-104047043D01* -X82334150Y-104026815D01* -X82341176Y-104007180D01* -X82350092Y-103988328D01* -X82360813Y-103970441D01* -X82373235Y-103953691D01* -X82387240Y-103938240D01* -X82402691Y-103924235D01* -X82419441Y-103911813D01* -X82437328Y-103901092D01* -X82456180Y-103892176D01* -X82475815Y-103885150D01* -X82496043Y-103880083D01* -X82516671Y-103877023D01* -X82537500Y-103876000D01* -X83062500Y-103876000D01* -X83083329Y-103877023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X83083329Y-102377023D02* -G01* -X83103957Y-102380083D01* -X83124185Y-102385150D01* -X83143820Y-102392176D01* -X83162672Y-102401092D01* -X83180559Y-102411813D01* -X83197309Y-102424235D01* -X83212760Y-102438240D01* -X83226765Y-102453691D01* -X83239187Y-102470441D01* -X83249908Y-102488328D01* -X83258824Y-102507180D01* -X83265850Y-102526815D01* -X83270917Y-102547043D01* -X83273977Y-102567671D01* -X83275000Y-102588500D01* -X83275000Y-103013500D01* -X83273977Y-103034329D01* -X83270917Y-103054957D01* -X83265850Y-103075185D01* -X83258824Y-103094820D01* -X83249908Y-103113672D01* -X83239187Y-103131559D01* -X83226765Y-103148309D01* -X83212760Y-103163760D01* -X83197309Y-103177765D01* -X83180559Y-103190187D01* -X83162672Y-103200908D01* -X83143820Y-103209824D01* -X83124185Y-103216850D01* -X83103957Y-103221917D01* -X83083329Y-103224977D01* -X83062500Y-103226000D01* -X82537500Y-103226000D01* -X82516671Y-103224977D01* -X82496043Y-103221917D01* -X82475815Y-103216850D01* -X82456180Y-103209824D01* -X82437328Y-103200908D01* -X82419441Y-103190187D01* -X82402691Y-103177765D01* -X82387240Y-103163760D01* -X82373235Y-103148309D01* -X82360813Y-103131559D01* -X82350092Y-103113672D01* -X82341176Y-103094820D01* -X82334150Y-103075185D01* -X82329083Y-103054957D01* -X82326023Y-103034329D01* -X82325000Y-103013500D01* -X82325000Y-102588500D01* -X82326023Y-102567671D01* -X82329083Y-102547043D01* -X82334150Y-102526815D01* -X82341176Y-102507180D01* -X82350092Y-102488328D01* -X82360813Y-102470441D01* -X82373235Y-102453691D01* -X82387240Y-102438240D01* -X82402691Y-102424235D01* -X82419441Y-102411813D01* -X82437328Y-102401092D01* -X82456180Y-102392176D01* -X82475815Y-102385150D01* -X82496043Y-102380083D01* -X82516671Y-102377023D01* -X82537500Y-102376000D01* -X83062500Y-102376000D01* -X83083329Y-102377023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X116409802Y-122200482D02* -G01* -X116419509Y-122201921D01* -X116429028Y-122204306D01* -X116438268Y-122207612D01* -X116447140Y-122211808D01* -X116455557Y-122216853D01* -X116463439Y-122222699D01* -X116470711Y-122229289D01* -X116477301Y-122236561D01* -X116483147Y-122244443D01* -X116488192Y-122252860D01* -X116492388Y-122261732D01* -X116495694Y-122270972D01* -X116498079Y-122280491D01* -X116499518Y-122290198D01* -X116500000Y-122300000D01* -X116500000Y-123100000D01* -X116499518Y-123109802D01* -X116498079Y-123119509D01* -X116495694Y-123129028D01* -X116492388Y-123138268D01* -X116488192Y-123147140D01* -X116483147Y-123155557D01* -X116477301Y-123163439D01* -X116470711Y-123170711D01* -X116463439Y-123177301D01* -X116455557Y-123183147D01* -X116447140Y-123188192D01* -X116438268Y-123192388D01* -X116429028Y-123195694D01* -X116419509Y-123198079D01* -X116409802Y-123199518D01* -X116400000Y-123200000D01* -X116200000Y-123200000D01* -X116190198Y-123199518D01* -X116180491Y-123198079D01* -X116170972Y-123195694D01* -X116161732Y-123192388D01* -X116152860Y-123188192D01* -X116144443Y-123183147D01* -X116136561Y-123177301D01* -X116129289Y-123170711D01* -X116122699Y-123163439D01* -X116116853Y-123155557D01* -X116111808Y-123147140D01* -X116107612Y-123138268D01* -X116104306Y-123129028D01* -X116101921Y-123119509D01* -X116100482Y-123109802D01* -X116100000Y-123100000D01* -X116100000Y-122300000D01* -X116100482Y-122290198D01* -X116101921Y-122280491D01* -X116104306Y-122270972D01* -X116107612Y-122261732D01* -X116111808Y-122252860D01* -X116116853Y-122244443D01* -X116122699Y-122236561D01* -X116129289Y-122229289D01* -X116136561Y-122222699D01* -X116144443Y-122216853D01* -X116152860Y-122211808D01* -X116161732Y-122207612D01* -X116170972Y-122204306D01* -X116180491Y-122201921D01* -X116190198Y-122200482D01* -X116200000Y-122200000D01* -X116400000Y-122200000D01* -X116409802Y-122200482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X115109802Y-122200482D02* -G01* -X115119509Y-122201921D01* -X115129028Y-122204306D01* -X115138268Y-122207612D01* -X115147140Y-122211808D01* -X115155557Y-122216853D01* -X115163439Y-122222699D01* -X115170711Y-122229289D01* -X115177301Y-122236561D01* -X115183147Y-122244443D01* -X115188192Y-122252860D01* -X115192388Y-122261732D01* -X115195694Y-122270972D01* -X115198079Y-122280491D01* -X115199518Y-122290198D01* -X115200000Y-122300000D01* -X115200000Y-123100000D01* -X115199518Y-123109802D01* -X115198079Y-123119509D01* -X115195694Y-123129028D01* -X115192388Y-123138268D01* -X115188192Y-123147140D01* -X115183147Y-123155557D01* -X115177301Y-123163439D01* -X115170711Y-123170711D01* -X115163439Y-123177301D01* -X115155557Y-123183147D01* -X115147140Y-123188192D01* -X115138268Y-123192388D01* -X115129028Y-123195694D01* -X115119509Y-123198079D01* -X115109802Y-123199518D01* -X115100000Y-123200000D01* -X114900000Y-123200000D01* -X114890198Y-123199518D01* -X114880491Y-123198079D01* -X114870972Y-123195694D01* -X114861732Y-123192388D01* -X114852860Y-123188192D01* -X114844443Y-123183147D01* -X114836561Y-123177301D01* -X114829289Y-123170711D01* -X114822699Y-123163439D01* -X114816853Y-123155557D01* -X114811808Y-123147140D01* -X114807612Y-123138268D01* -X114804306Y-123129028D01* -X114801921Y-123119509D01* -X114800482Y-123109802D01* -X114800000Y-123100000D01* -X114800000Y-122300000D01* -X114800482Y-122290198D01* -X114801921Y-122280491D01* -X114804306Y-122270972D01* -X114807612Y-122261732D01* -X114811808Y-122252860D01* -X114816853Y-122244443D01* -X114822699Y-122236561D01* -X114829289Y-122229289D01* -X114836561Y-122222699D01* -X114844443Y-122216853D01* -X114852860Y-122211808D01* -X114861732Y-122207612D01* -X114870972Y-122204306D01* -X114880491Y-122201921D01* -X114890198Y-122200482D01* -X114900000Y-122200000D01* -X115100000Y-122200000D01* -X115109802Y-122200482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X115759802Y-120500482D02* -G01* -X115769509Y-120501921D01* -X115779028Y-120504306D01* -X115788268Y-120507612D01* -X115797140Y-120511808D01* -X115805557Y-120516853D01* -X115813439Y-120522699D01* -X115820711Y-120529289D01* -X115827301Y-120536561D01* -X115833147Y-120544443D01* -X115838192Y-120552860D01* -X115842388Y-120561732D01* -X115845694Y-120570972D01* -X115848079Y-120580491D01* -X115849518Y-120590198D01* -X115850000Y-120600000D01* -X115850000Y-121400000D01* -X115849518Y-121409802D01* -X115848079Y-121419509D01* -X115845694Y-121429028D01* -X115842388Y-121438268D01* -X115838192Y-121447140D01* -X115833147Y-121455557D01* -X115827301Y-121463439D01* -X115820711Y-121470711D01* -X115813439Y-121477301D01* -X115805557Y-121483147D01* -X115797140Y-121488192D01* -X115788268Y-121492388D01* -X115779028Y-121495694D01* -X115769509Y-121498079D01* -X115759802Y-121499518D01* -X115750000Y-121500000D01* -X115550000Y-121500000D01* -X115540198Y-121499518D01* -X115530491Y-121498079D01* -X115520972Y-121495694D01* -X115511732Y-121492388D01* -X115502860Y-121488192D01* -X115494443Y-121483147D01* -X115486561Y-121477301D01* -X115479289Y-121470711D01* -X115472699Y-121463439D01* -X115466853Y-121455557D01* -X115461808Y-121447140D01* -X115457612Y-121438268D01* -X115454306Y-121429028D01* -X115451921Y-121419509D01* -X115450482Y-121409802D01* -X115450000Y-121400000D01* -X115450000Y-120600000D01* -X115450482Y-120590198D01* -X115451921Y-120580491D01* -X115454306Y-120570972D01* -X115457612Y-120561732D01* -X115461808Y-120552860D01* -X115466853Y-120544443D01* -X115472699Y-120536561D01* -X115479289Y-120529289D01* -X115486561Y-120522699D01* -X115494443Y-120516853D01* -X115502860Y-120511808D01* -X115511732Y-120507612D01* -X115520972Y-120504306D01* -X115530491Y-120501921D01* -X115540198Y-120500482D01* -X115550000Y-120500000D01* -X115750000Y-120500000D01* -X115759802Y-120500482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X116409802Y-120500482D02* -G01* -X116419509Y-120501921D01* -X116429028Y-120504306D01* -X116438268Y-120507612D01* -X116447140Y-120511808D01* -X116455557Y-120516853D01* -X116463439Y-120522699D01* -X116470711Y-120529289D01* -X116477301Y-120536561D01* -X116483147Y-120544443D01* -X116488192Y-120552860D01* -X116492388Y-120561732D01* -X116495694Y-120570972D01* -X116498079Y-120580491D01* -X116499518Y-120590198D01* -X116500000Y-120600000D01* -X116500000Y-121400000D01* -X116499518Y-121409802D01* -X116498079Y-121419509D01* -X116495694Y-121429028D01* -X116492388Y-121438268D01* -X116488192Y-121447140D01* -X116483147Y-121455557D01* -X116477301Y-121463439D01* -X116470711Y-121470711D01* -X116463439Y-121477301D01* -X116455557Y-121483147D01* -X116447140Y-121488192D01* -X116438268Y-121492388D01* -X116429028Y-121495694D01* -X116419509Y-121498079D01* -X116409802Y-121499518D01* -X116400000Y-121500000D01* -X116200000Y-121500000D01* -X116190198Y-121499518D01* -X116180491Y-121498079D01* -X116170972Y-121495694D01* -X116161732Y-121492388D01* -X116152860Y-121488192D01* -X116144443Y-121483147D01* -X116136561Y-121477301D01* -X116129289Y-121470711D01* -X116122699Y-121463439D01* -X116116853Y-121455557D01* -X116111808Y-121447140D01* -X116107612Y-121438268D01* -X116104306Y-121429028D01* -X116101921Y-121419509D01* -X116100482Y-121409802D01* -X116100000Y-121400000D01* -X116100000Y-120600000D01* -X116100482Y-120590198D01* -X116101921Y-120580491D01* -X116104306Y-120570972D01* -X116107612Y-120561732D01* -X116111808Y-120552860D01* -X116116853Y-120544443D01* -X116122699Y-120536561D01* -X116129289Y-120529289D01* -X116136561Y-120522699D01* -X116144443Y-120516853D01* -X116152860Y-120511808D01* -X116161732Y-120507612D01* -X116170972Y-120504306D01* -X116180491Y-120501921D01* -X116190198Y-120500482D01* -X116200000Y-120500000D01* -X116400000Y-120500000D01* -X116409802Y-120500482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X115109802Y-120500482D02* -G01* -X115119509Y-120501921D01* -X115129028Y-120504306D01* -X115138268Y-120507612D01* -X115147140Y-120511808D01* -X115155557Y-120516853D01* -X115163439Y-120522699D01* -X115170711Y-120529289D01* -X115177301Y-120536561D01* -X115183147Y-120544443D01* -X115188192Y-120552860D01* -X115192388Y-120561732D01* -X115195694Y-120570972D01* -X115198079Y-120580491D01* -X115199518Y-120590198D01* -X115200000Y-120600000D01* -X115200000Y-121400000D01* -X115199518Y-121409802D01* -X115198079Y-121419509D01* -X115195694Y-121429028D01* -X115192388Y-121438268D01* -X115188192Y-121447140D01* -X115183147Y-121455557D01* -X115177301Y-121463439D01* -X115170711Y-121470711D01* -X115163439Y-121477301D01* -X115155557Y-121483147D01* -X115147140Y-121488192D01* -X115138268Y-121492388D01* -X115129028Y-121495694D01* -X115119509Y-121498079D01* -X115109802Y-121499518D01* -X115100000Y-121500000D01* -X114900000Y-121500000D01* -X114890198Y-121499518D01* -X114880491Y-121498079D01* -X114870972Y-121495694D01* -X114861732Y-121492388D01* -X114852860Y-121488192D01* -X114844443Y-121483147D01* -X114836561Y-121477301D01* -X114829289Y-121470711D01* -X114822699Y-121463439D01* -X114816853Y-121455557D01* -X114811808Y-121447140D01* -X114807612Y-121438268D01* -X114804306Y-121429028D01* -X114801921Y-121419509D01* -X114800482Y-121409802D01* -X114800000Y-121400000D01* -X114800000Y-120600000D01* -X114800482Y-120590198D01* -X114801921Y-120580491D01* -X114804306Y-120570972D01* -X114807612Y-120561732D01* -X114811808Y-120552860D01* -X114816853Y-120544443D01* -X114822699Y-120536561D01* -X114829289Y-120529289D01* -X114836561Y-120522699D01* -X114844443Y-120516853D01* -X114852860Y-120511808D01* -X114861732Y-120507612D01* -X114870972Y-120504306D01* -X114880491Y-120501921D01* -X114890198Y-120500482D01* -X114900000Y-120500000D01* -X115100000Y-120500000D01* -X115109802Y-120500482D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X118083329Y-122426023D02* -G01* -X118103957Y-122429083D01* -X118124185Y-122434150D01* -X118143820Y-122441176D01* -X118162672Y-122450092D01* -X118180559Y-122460813D01* -X118197309Y-122473235D01* -X118212760Y-122487240D01* -X118226765Y-122502691D01* -X118239187Y-122519441D01* -X118249908Y-122537328D01* -X118258824Y-122556180D01* -X118265850Y-122575815D01* -X118270917Y-122596043D01* -X118273977Y-122616671D01* -X118275000Y-122637500D01* -X118275000Y-123062500D01* -X118273977Y-123083329D01* -X118270917Y-123103957D01* -X118265850Y-123124185D01* -X118258824Y-123143820D01* -X118249908Y-123162672D01* -X118239187Y-123180559D01* -X118226765Y-123197309D01* -X118212760Y-123212760D01* -X118197309Y-123226765D01* -X118180559Y-123239187D01* -X118162672Y-123249908D01* -X118143820Y-123258824D01* -X118124185Y-123265850D01* -X118103957Y-123270917D01* -X118083329Y-123273977D01* -X118062500Y-123275000D01* -X117537500Y-123275000D01* -X117516671Y-123273977D01* -X117496043Y-123270917D01* -X117475815Y-123265850D01* -X117456180Y-123258824D01* -X117437328Y-123249908D01* -X117419441Y-123239187D01* -X117402691Y-123226765D01* -X117387240Y-123212760D01* -X117373235Y-123197309D01* -X117360813Y-123180559D01* -X117350092Y-123162672D01* -X117341176Y-123143820D01* -X117334150Y-123124185D01* -X117329083Y-123103957D01* -X117326023Y-123083329D01* -X117325000Y-123062500D01* -X117325000Y-122637500D01* -X117326023Y-122616671D01* -X117329083Y-122596043D01* -X117334150Y-122575815D01* -X117341176Y-122556180D01* -X117350092Y-122537328D01* -X117360813Y-122519441D01* -X117373235Y-122502691D01* -X117387240Y-122487240D01* -X117402691Y-122473235D01* -X117419441Y-122460813D01* -X117437328Y-122450092D01* -X117456180Y-122441176D01* -X117475815Y-122434150D01* -X117496043Y-122429083D01* -X117516671Y-122426023D01* -X117537500Y-122425000D01* -X118062500Y-122425000D01* -X118083329Y-122426023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X118083329Y-120926023D02* -G01* -X118103957Y-120929083D01* -X118124185Y-120934150D01* -X118143820Y-120941176D01* -X118162672Y-120950092D01* -X118180559Y-120960813D01* -X118197309Y-120973235D01* -X118212760Y-120987240D01* -X118226765Y-121002691D01* -X118239187Y-121019441D01* -X118249908Y-121037328D01* -X118258824Y-121056180D01* -X118265850Y-121075815D01* -X118270917Y-121096043D01* -X118273977Y-121116671D01* -X118275000Y-121137500D01* -X118275000Y-121562500D01* -X118273977Y-121583329D01* -X118270917Y-121603957D01* -X118265850Y-121624185D01* -X118258824Y-121643820D01* -X118249908Y-121662672D01* -X118239187Y-121680559D01* -X118226765Y-121697309D01* -X118212760Y-121712760D01* -X118197309Y-121726765D01* -X118180559Y-121739187D01* -X118162672Y-121749908D01* -X118143820Y-121758824D01* -X118124185Y-121765850D01* -X118103957Y-121770917D01* -X118083329Y-121773977D01* -X118062500Y-121775000D01* -X117537500Y-121775000D01* -X117516671Y-121773977D01* -X117496043Y-121770917D01* -X117475815Y-121765850D01* -X117456180Y-121758824D01* -X117437328Y-121749908D01* -X117419441Y-121739187D01* -X117402691Y-121726765D01* -X117387240Y-121712760D01* -X117373235Y-121697309D01* -X117360813Y-121680559D01* -X117350092Y-121662672D01* -X117341176Y-121643820D01* -X117334150Y-121624185D01* -X117329083Y-121603957D01* -X117326023Y-121583329D01* -X117325000Y-121562500D01* -X117325000Y-121137500D01* -X117326023Y-121116671D01* -X117329083Y-121096043D01* -X117334150Y-121075815D01* -X117341176Y-121056180D01* -X117350092Y-121037328D01* -X117360813Y-121019441D01* -X117373235Y-121002691D01* -X117387240Y-120987240D01* -X117402691Y-120973235D01* -X117419441Y-120960813D01* -X117437328Y-120950092D01* -X117456180Y-120941176D01* -X117475815Y-120934150D01* -X117496043Y-120929083D01* -X117516671Y-120926023D01* -X117537500Y-120925000D01* -X118062500Y-120925000D01* -X118083329Y-120926023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X113133329Y-90276023D02* -G01* -X113153957Y-90279083D01* -X113174185Y-90284150D01* -X113193820Y-90291176D01* -X113212672Y-90300092D01* -X113230559Y-90310813D01* -X113247309Y-90323235D01* -X113262760Y-90337240D01* -X113276765Y-90352691D01* -X113289187Y-90369441D01* -X113299908Y-90387328D01* -X113308824Y-90406180D01* -X113315850Y-90425815D01* -X113320917Y-90446043D01* -X113323977Y-90466671D01* -X113325000Y-90487500D01* -X113325000Y-91012500D01* -X113323977Y-91033329D01* -X113320917Y-91053957D01* -X113315850Y-91074185D01* -X113308824Y-91093820D01* -X113299908Y-91112672D01* -X113289187Y-91130559D01* -X113276765Y-91147309D01* -X113262760Y-91162760D01* -X113247309Y-91176765D01* -X113230559Y-91189187D01* -X113212672Y-91199908D01* -X113193820Y-91208824D01* -X113174185Y-91215850D01* -X113153957Y-91220917D01* -X113133329Y-91223977D01* -X113112500Y-91225000D01* -X112687500Y-91225000D01* -X112666671Y-91223977D01* -X112646043Y-91220917D01* -X112625815Y-91215850D01* -X112606180Y-91208824D01* -X112587328Y-91199908D01* -X112569441Y-91189187D01* -X112552691Y-91176765D01* -X112537240Y-91162760D01* -X112523235Y-91147309D01* -X112510813Y-91130559D01* -X112500092Y-91112672D01* -X112491176Y-91093820D01* -X112484150Y-91074185D01* -X112479083Y-91053957D01* -X112476023Y-91033329D01* -X112475000Y-91012500D01* -X112475000Y-90487500D01* -X112476023Y-90466671D01* -X112479083Y-90446043D01* -X112484150Y-90425815D01* -X112491176Y-90406180D01* -X112500092Y-90387328D01* -X112510813Y-90369441D01* -X112523235Y-90352691D01* -X112537240Y-90337240D01* -X112552691Y-90323235D01* -X112569441Y-90310813D01* -X112587328Y-90300092D01* -X112606180Y-90291176D01* -X112625815Y-90284150D01* -X112646043Y-90279083D01* -X112666671Y-90276023D01* -X112687500Y-90275000D01* -X113112500Y-90275000D01* -X113133329Y-90276023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X114633329Y-90276023D02* -G01* -X114653957Y-90279083D01* -X114674185Y-90284150D01* -X114693820Y-90291176D01* -X114712672Y-90300092D01* -X114730559Y-90310813D01* -X114747309Y-90323235D01* -X114762760Y-90337240D01* -X114776765Y-90352691D01* -X114789187Y-90369441D01* -X114799908Y-90387328D01* -X114808824Y-90406180D01* -X114815850Y-90425815D01* -X114820917Y-90446043D01* -X114823977Y-90466671D01* -X114825000Y-90487500D01* -X114825000Y-91012500D01* -X114823977Y-91033329D01* -X114820917Y-91053957D01* -X114815850Y-91074185D01* -X114808824Y-91093820D01* -X114799908Y-91112672D01* -X114789187Y-91130559D01* -X114776765Y-91147309D01* -X114762760Y-91162760D01* -X114747309Y-91176765D01* -X114730559Y-91189187D01* -X114712672Y-91199908D01* -X114693820Y-91208824D01* -X114674185Y-91215850D01* -X114653957Y-91220917D01* -X114633329Y-91223977D01* -X114612500Y-91225000D01* -X114187500Y-91225000D01* -X114166671Y-91223977D01* -X114146043Y-91220917D01* -X114125815Y-91215850D01* -X114106180Y-91208824D01* -X114087328Y-91199908D01* -X114069441Y-91189187D01* -X114052691Y-91176765D01* -X114037240Y-91162760D01* -X114023235Y-91147309D01* -X114010813Y-91130559D01* -X114000092Y-91112672D01* -X113991176Y-91093820D01* -X113984150Y-91074185D01* -X113979083Y-91053957D01* -X113976023Y-91033329D01* -X113975000Y-91012500D01* -X113975000Y-90487500D01* -X113976023Y-90466671D01* -X113979083Y-90446043D01* -X113984150Y-90425815D01* -X113991176Y-90406180D01* -X114000092Y-90387328D01* -X114010813Y-90369441D01* -X114023235Y-90352691D01* -X114037240Y-90337240D01* -X114052691Y-90323235D01* -X114069441Y-90310813D01* -X114087328Y-90300092D01* -X114106180Y-90291176D01* -X114125815Y-90284150D01* -X114146043Y-90279083D01* -X114166671Y-90276023D01* -X114187500Y-90275000D01* -X114612500Y-90275000D01* -X114633329Y-90276023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X124633329Y-90276023D02* -G01* -X124653957Y-90279083D01* -X124674185Y-90284150D01* -X124693820Y-90291176D01* -X124712672Y-90300092D01* -X124730559Y-90310813D01* -X124747309Y-90323235D01* -X124762760Y-90337240D01* -X124776765Y-90352691D01* -X124789187Y-90369441D01* -X124799908Y-90387328D01* -X124808824Y-90406180D01* -X124815850Y-90425815D01* -X124820917Y-90446043D01* -X124823977Y-90466671D01* -X124825000Y-90487500D01* -X124825000Y-91012500D01* -X124823977Y-91033329D01* -X124820917Y-91053957D01* -X124815850Y-91074185D01* -X124808824Y-91093820D01* -X124799908Y-91112672D01* -X124789187Y-91130559D01* -X124776765Y-91147309D01* -X124762760Y-91162760D01* -X124747309Y-91176765D01* -X124730559Y-91189187D01* -X124712672Y-91199908D01* -X124693820Y-91208824D01* -X124674185Y-91215850D01* -X124653957Y-91220917D01* -X124633329Y-91223977D01* -X124612500Y-91225000D01* -X124187500Y-91225000D01* -X124166671Y-91223977D01* -X124146043Y-91220917D01* -X124125815Y-91215850D01* -X124106180Y-91208824D01* -X124087328Y-91199908D01* -X124069441Y-91189187D01* -X124052691Y-91176765D01* -X124037240Y-91162760D01* -X124023235Y-91147309D01* -X124010813Y-91130559D01* -X124000092Y-91112672D01* -X123991176Y-91093820D01* -X123984150Y-91074185D01* -X123979083Y-91053957D01* -X123976023Y-91033329D01* -X123975000Y-91012500D01* -X123975000Y-90487500D01* -X123976023Y-90466671D01* -X123979083Y-90446043D01* -X123984150Y-90425815D01* -X123991176Y-90406180D01* -X124000092Y-90387328D01* -X124010813Y-90369441D01* -X124023235Y-90352691D01* -X124037240Y-90337240D01* -X124052691Y-90323235D01* -X124069441Y-90310813D01* -X124087328Y-90300092D01* -X124106180Y-90291176D01* -X124125815Y-90284150D01* -X124146043Y-90279083D01* -X124166671Y-90276023D01* -X124187500Y-90275000D01* -X124612500Y-90275000D01* -X124633329Y-90276023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X123133329Y-90276023D02* -G01* -X123153957Y-90279083D01* -X123174185Y-90284150D01* -X123193820Y-90291176D01* -X123212672Y-90300092D01* -X123230559Y-90310813D01* -X123247309Y-90323235D01* -X123262760Y-90337240D01* -X123276765Y-90352691D01* -X123289187Y-90369441D01* -X123299908Y-90387328D01* -X123308824Y-90406180D01* -X123315850Y-90425815D01* -X123320917Y-90446043D01* -X123323977Y-90466671D01* -X123325000Y-90487500D01* -X123325000Y-91012500D01* -X123323977Y-91033329D01* -X123320917Y-91053957D01* -X123315850Y-91074185D01* -X123308824Y-91093820D01* -X123299908Y-91112672D01* -X123289187Y-91130559D01* -X123276765Y-91147309D01* -X123262760Y-91162760D01* -X123247309Y-91176765D01* -X123230559Y-91189187D01* -X123212672Y-91199908D01* -X123193820Y-91208824D01* -X123174185Y-91215850D01* -X123153957Y-91220917D01* -X123133329Y-91223977D01* -X123112500Y-91225000D01* -X122687500Y-91225000D01* -X122666671Y-91223977D01* -X122646043Y-91220917D01* -X122625815Y-91215850D01* -X122606180Y-91208824D01* -X122587328Y-91199908D01* -X122569441Y-91189187D01* -X122552691Y-91176765D01* -X122537240Y-91162760D01* -X122523235Y-91147309D01* -X122510813Y-91130559D01* -X122500092Y-91112672D01* -X122491176Y-91093820D01* -X122484150Y-91074185D01* -X122479083Y-91053957D01* -X122476023Y-91033329D01* -X122475000Y-91012500D01* -X122475000Y-90487500D01* -X122476023Y-90466671D01* -X122479083Y-90446043D01* -X122484150Y-90425815D01* -X122491176Y-90406180D01* -X122500092Y-90387328D01* -X122510813Y-90369441D01* -X122523235Y-90352691D01* -X122537240Y-90337240D01* -X122552691Y-90323235D01* -X122569441Y-90310813D01* -X122587328Y-90300092D01* -X122606180Y-90291176D01* -X122625815Y-90284150D01* -X122646043Y-90279083D01* -X122666671Y-90276023D01* -X122687500Y-90275000D01* -X123112500Y-90275000D01* -X123133329Y-90276023D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X130813229Y-124526264D02* -G01* -X130838711Y-124530044D01* -X130863700Y-124536303D01* -X130887954Y-124544982D01* -X130911242Y-124555996D01* -X130933337Y-124569239D01* -X130954028Y-124584585D01* -X130973116Y-124601884D01* -X130990415Y-124620972D01* -X131005761Y-124641663D01* -X131019004Y-124663758D01* -X131030018Y-124687046D01* -X131038697Y-124711300D01* -X131044956Y-124736289D01* -X131048736Y-124761771D01* -X131050000Y-124787500D01* -X131050000Y-125312500D01* -X131048736Y-125338229D01* -X131044956Y-125363711D01* -X131038697Y-125388700D01* -X131030018Y-125412954D01* -X131019004Y-125436242D01* -X131005761Y-125458337D01* -X130990415Y-125479028D01* -X130973116Y-125498116D01* -X130954028Y-125515415D01* -X130933337Y-125530761D01* -X130911242Y-125544004D01* -X130887954Y-125555018D01* -X130863700Y-125563697D01* -X130838711Y-125569956D01* -X130813229Y-125573736D01* -X130787500Y-125575000D01* -X129912500Y-125575000D01* -X129886771Y-125573736D01* -X129861289Y-125569956D01* -X129836300Y-125563697D01* -X129812046Y-125555018D01* -X129788758Y-125544004D01* -X129766663Y-125530761D01* -X129745972Y-125515415D01* -X129726884Y-125498116D01* -X129709585Y-125479028D01* -X129694239Y-125458337D01* -X129680996Y-125436242D01* -X129669982Y-125412954D01* -X129661303Y-125388700D01* -X129655044Y-125363711D01* -X129651264Y-125338229D01* -X129650000Y-125312500D01* -X129650000Y-124787500D01* -X129651264Y-124761771D01* -X129655044Y-124736289D01* -X129661303Y-124711300D01* -X129669982Y-124687046D01* -X129680996Y-124663758D01* -X129694239Y-124641663D01* -X129709585Y-124620972D01* -X129726884Y-124601884D01* -X129745972Y-124584585D01* -X129766663Y-124569239D01* -X129788758Y-124555996D01* -X129812046Y-124544982D01* -X129836300Y-124536303D01* -X129861289Y-124530044D01* -X129886771Y-124526264D01* -X129912500Y-124525000D01* -X130787500Y-124525000D01* -X130813229Y-124526264D01* -G37* -G04 #@! TD.AperFunction* -G04 #@! TA.AperFunction,SMDPad,CuDef* -G36* -X130813229Y-122826264D02* -G01* -X130838711Y-122830044D01* -X130863700Y-122836303D01* -X130887954Y-122844982D01* -X130911242Y-122855996D01* -X130933337Y-122869239D01* -X130954028Y-122884585D01* -X130973116Y-122901884D01* -X130990415Y-122920972D01* -X131005761Y-122941663D01* -X131019004Y-122963758D01* -X131030018Y-122987046D01* -X131038697Y-123011300D01* -X131044956Y-123036289D01* -X131048736Y-123061771D01* -X131050000Y-123087500D01* -X131050000Y-123612500D01* -X131048736Y-123638229D01* -X131044956Y-123663711D01* -X131038697Y-123688700D01* -X131030018Y-123712954D01* -X131019004Y-123736242D01* -X131005761Y-123758337D01* -X130990415Y-123779028D01* -X130973116Y-123798116D01* -X130954028Y-123815415D01* -X130933337Y-123830761D01* -X130911242Y-123844004D01* -X130887954Y-123855018D01* -X130863700Y-123863697D01* -X130838711Y-123869956D01* -X130813229Y-123873736D01* -X130787500Y-123875000D01* -X129912500Y-123875000D01* -X129886771Y-123873736D01* -X129861289Y-123869956D01* -X129836300Y-123863697D01* -X129812046Y-123855018D01* -X129788758Y-123844004D01* -X129766663Y-123830761D01* -X129745972Y-123815415D01* -X129726884Y-123798116D01* -X129709585Y-123779028D01* -X129694239Y-123758337D01* -X129680996Y-123736242D01* -X129669982Y-123712954D01* -X129661303Y-123688700D01* -X129655044Y-123663711D01* -X129651264Y-123638229D01* -X129650000Y-123612500D01* -X129650000Y-123087500D01* -X129651264Y-123061771D01* -X129655044Y-123036289D01* -X129661303Y-123011300D01* -X129669982Y-122987046D01* -X129680996Y-122963758D01* -X129694239Y-122941663D01* -X129709585Y-122920972D01* -X129726884Y-122901884D01* -X129745972Y-122884585D01* -X129766663Y-122869239D01* -X129788758Y-122855996D01* -X129812046Y-122844982D01* -X129836300Y-122836303D01* -X129861289Y-122830044D01* -X129886771Y-122826264D01* -X129912500Y-122825000D01* -X130787500Y-122825000D01* -X130813229Y-122826264D01* -G37* -G04 #@! TD.AperFunction* -D16* -X137160000Y-127000000D03* -X138303000Y-128270000D03* -D17* -X137160000Y-129794000D03* -D18* -X60200000Y-106850000D03* -X74803000Y-83185000D03* -X79883000Y-83185000D03* -X84963000Y-84074000D03* -X90043000Y-83820000D03* -X102743000Y-80645000D03* -X119850000Y-103050000D03* -X117450000Y-103050000D03* -X118650000Y-109450000D03* -X118650000Y-107050000D03* -X118650000Y-104650000D03* -X118650000Y-110650000D03* -X118650000Y-111850000D03* -X118650000Y-113450000D03* -X118650000Y-105850000D03* -X118650000Y-108250000D03* -X144780000Y-93345000D03* -X51435000Y-131318000D03* -X143002000Y-131318000D03* -X144780000Y-129540000D03* -X46355000Y-104521000D03* -X46355000Y-124841000D03* -X46355000Y-119761000D03* -X46355000Y-109601000D03* -X71755000Y-131318000D03* -X117983000Y-80645000D03* -X112903000Y-80645000D03* -X107823000Y-80645000D03* -X97663000Y-80645000D03* -X92583000Y-80645000D03* -X87503000Y-80645000D03* -X48133000Y-131318000D03* -X46355000Y-129540000D03* -X144780000Y-82423000D03* -X144780000Y-98425000D03* -X81788000Y-129349500D03* -X79950000Y-128350000D03* -X97790000Y-131318000D03* -X85090000Y-131318000D03* -X87630000Y-131318000D03* -X102870000Y-131318000D03* -X105410000Y-131318000D03* -X107950000Y-131318000D03* -X110490000Y-131318000D03* -X113030000Y-131318000D03* -X115570000Y-131318000D03* -X118110000Y-131318000D03* -X100330000Y-131318000D03* -X95250000Y-131318000D03* -X92710000Y-131318000D03* -X90170000Y-131318000D03* -X80010000Y-131318000D03* -X77470000Y-131318000D03* -X82550000Y-131318000D03* -X138303000Y-80645000D03* -X133223000Y-80645000D03* -X128143000Y-80645000D03* -X123063000Y-80645000D03* -X46355000Y-99441000D03* -X120650000Y-131318000D03* -X125730000Y-131318000D03* -X128270000Y-131318000D03* -X123190000Y-131318000D03* -X46355000Y-114681000D03* -X144780000Y-88265000D03* -X138430000Y-131318000D03* -X111750000Y-103050000D03* -X125550000Y-104650000D03* -D19* -X126450000Y-109500000D03* -D18* -X114050000Y-92650000D03* -X123250000Y-104650000D03* -X114050000Y-103050000D03* -X123250000Y-109450000D03* -X109950000Y-107950000D03* -D16* -X110850000Y-107000000D03* -D18* -X114050000Y-107050000D03* -X111750000Y-111850000D03* -X114050000Y-111850000D03* -X130810000Y-131318000D03* -X133350000Y-131318000D03* -X135890000Y-131318000D03* -X77475000Y-120550000D03* -X95875000Y-120550000D03* -D16* -X115443000Y-129540000D03* -X114300000Y-128270000D03* -X134366000Y-128270000D03* -X135509000Y-127000000D03* -X135509000Y-129540000D03* -D18* -X83950000Y-126100000D03* -X84600000Y-124950000D03* -X93150000Y-123800000D03* -X93150000Y-126100000D03* -X93800000Y-124950000D03* -X102350000Y-123800000D03* -X103000000Y-124950000D03* -X102350000Y-126100000D03* -X98200000Y-110200000D03* -X91200000Y-110200000D03* -X102850000Y-100400000D03* -X102850000Y-102750000D03* -X85250000Y-100150000D03* -X98700000Y-92600000D03* -X96300000Y-92599990D03* -X100550000Y-102800000D03* -X100550000Y-100400000D03* -X98650000Y-94900000D03* -X91150000Y-107900000D03* -X98150000Y-107900000D03* -D16* -X74549000Y-129540000D03* -X120777000Y-129540000D03* -D18* -X102875000Y-105150000D03* -D16* -X73406000Y-128524000D03* -D18* -X104750000Y-102600000D03* -D16* -X109450000Y-103350000D03* -D18* -X109800000Y-95500000D03* -X104000000Y-108075000D03* -X104700000Y-100200000D03* -X85200000Y-97150000D03* -X106350000Y-120150000D03* -X105050000Y-120550000D03* -X106850000Y-99500000D03* -X108050000Y-104350000D03* -X89300000Y-92550000D03* -X102250000Y-109400000D03* -X105250000Y-110100000D03* -X106500000Y-105550000D03* -X110750000Y-96450000D03* -X106750000Y-97000000D03* -X108200000Y-97750000D03* -X83950000Y-123800000D03* -X85450000Y-108550000D03* -D16* -X126450000Y-114300000D03* -D18* -X103750000Y-105850000D03* -X61341000Y-117792500D03* -X62611000Y-120713500D03* -X66103500Y-124714000D03* -X65786000Y-106299000D03* -X65786000Y-107696000D03* -D20* -X112900000Y-91950000D03* -D18* -X125600000Y-94150000D03* -D16* -X132750000Y-122300000D03* -D18* -X82423000Y-80645000D03* -X77343000Y-80645000D03* -X72263000Y-80645000D03* -X62103000Y-80645000D03* -X56515000Y-131318000D03* -X61595000Y-131318000D03* -X66675000Y-131318000D03* -X48895000Y-122301000D03* -X48895000Y-112141000D03* -X48895000Y-107061000D03* -X48895000Y-101981000D03* -X48895000Y-117221000D03* -X53975000Y-122301000D03* -X53975000Y-112141000D03* -X53975000Y-117221000D03* -X51435000Y-119761000D03* -X51435000Y-124841000D03* -X51435000Y-114681000D03* -X56400000Y-99441000D03* -X56388000Y-119761000D03* -X56388000Y-124841000D03* -X56400000Y-109600000D03* -X56388000Y-114681000D03* -X56400000Y-104521000D03* -X72263000Y-80645000D03* -X67183000Y-80645000D03* -X53848000Y-128016000D03* -X64008000Y-128016000D03* -X58928000Y-128016000D03* -X69088000Y-128016000D03* -X56515000Y-94361000D03* -X53975000Y-96901000D03* -X120523000Y-83185000D03* -X115443000Y-83947000D03* -X135763000Y-83185000D03* -X130683000Y-83185000D03* -X125603000Y-83185000D03* -X130683000Y-88265000D03* -X143383000Y-85725000D03* -X144780000Y-113665000D03* -X144780000Y-118745000D03* -X144780000Y-123825000D03* -X67183000Y-90805000D03* -X62103000Y-90805000D03* -X64643000Y-93345000D03* -X58166000Y-91059000D03* -X68650000Y-110500000D03* -X68650000Y-109100000D03* -X64643000Y-83185000D03* -X69723000Y-83185000D03* -X100203000Y-83947000D03* -X89450000Y-104100000D03* -X99750000Y-107350000D03* -X59563000Y-93345000D03* -X96150000Y-111850000D03* -X58050000Y-115200000D03* -X57400000Y-110050000D03* -X66800000Y-110050000D03* -X63100000Y-116150000D03* -X61531500Y-124714000D03* -X58750000Y-123850000D03* -X57400000Y-123900000D03* -X66800000Y-101400000D03* -X101150000Y-93000000D03* -X102500000Y-117600000D03* -X110100000Y-128450000D03* -X107200000Y-128400000D03* -X105200000Y-128700000D03* -X103150000Y-129100000D03* -X102100000Y-129700000D03* -X99550000Y-128550000D03* -X86850000Y-129950000D03* -X88050000Y-129650000D03* -X90300000Y-129350000D03* -X92550000Y-129050000D03* -X94500000Y-129900000D03* -X92550000Y-127950000D03* -X90900000Y-126300000D03* -X91950000Y-129850000D03* -X89400000Y-129850000D03* -X80750000Y-129900000D03* -X88400000Y-128050000D03* -X99750000Y-114850000D03* -X106900000Y-126800000D03* -X108900000Y-126800000D03* -X97450000Y-126900000D03* -X98550000Y-128050000D03* -X95000000Y-127900000D03* -X108900000Y-113400000D03* -X108100000Y-108450000D03* -D16* -X126450000Y-95100000D03* -D18* -X68350000Y-111600000D03* -X91600000Y-92600000D03* -X112900000Y-114050000D03* -X114050000Y-113450000D03* -X111750000Y-113450000D03* -D20* -X110800000Y-102450000D03* -D18* -X111750000Y-92500000D03* -X114400000Y-121000000D03* -X115000000Y-120100000D03* -X105400000Y-111400000D03* -X87800000Y-106400000D03* -X86650000Y-120550000D03* -X85150000Y-104650000D03* -X82800000Y-102000000D03* -X83650000Y-102800000D03* -X81950000Y-102800000D03* -X77216000Y-95821500D03* -X76350000Y-104700000D03* -X87150000Y-92900000D03* -X83150000Y-93800000D03* -X86400000Y-94450000D03* -X83500000Y-100300000D03* -X83500000Y-97400000D03* -X64135000Y-122428000D03* -X69723000Y-93345000D03* -X116950000Y-121500000D03* -X118650000Y-121500000D03* -X105400000Y-113100000D03* -X125550000Y-113050000D03* -X92450000Y-112900000D03* -X87500000Y-100150000D03* -X91700000Y-94850000D03* -D16* -X127450000Y-93900000D03* -X127450000Y-108300000D03* -D18* -X69850000Y-101250000D03* -X68150000Y-101250000D03* -X140843000Y-88265000D03* -X135763000Y-88265000D03* -X75700000Y-101650000D03* -X78000000Y-107250000D03* -X78200000Y-105950000D03* -X82500000Y-97800000D03* -D19* -X127450000Y-104300000D03* -D18* -X69750000Y-112950000D03* -X51435000Y-99441000D03* -X51435000Y-104521000D03* -X51435000Y-109601000D03* -X53975000Y-101981000D03* -X53975000Y-107061000D03* -X64600000Y-114250000D03* -X63690500Y-115062000D03* -X63373000Y-117665500D03* -X87400000Y-111750000D03* -X86400000Y-109800000D03* -X46355000Y-93472000D03* -X59182000Y-80645000D03* -X51435000Y-94361000D03* -X53975000Y-91821000D03* -X56515000Y-83185000D03* -X53975000Y-85725000D03* -X51435000Y-88265000D03* -X48895000Y-90805000D03* -X55880000Y-88519000D03* -X71755000Y-114681000D03* -X71755000Y-124841000D03* -X74295000Y-122301000D03* -X71755000Y-119761000D03* -X74295000Y-117221000D03* -X69215000Y-122301000D03* -X69215000Y-117221000D03* -X76835000Y-114681000D03* -X143002000Y-80645000D03* -X84500000Y-113750000D03* -X87800000Y-114450000D03* -X110900000Y-99800000D03* -X105050000Y-98950000D03* -X107550000Y-100550000D03* -X108850000Y-100550000D03* -X109400000Y-99700000D03* -X106000000Y-100300000D03* -X57912000Y-86360000D03* -X59944000Y-84328000D03* -X117800000Y-120550000D03* -X110363000Y-83947000D03* -X105283000Y-83947000D03* -X107823000Y-90805000D03* -X110363000Y-88265000D03* -X105283000Y-88265000D03* -X100203000Y-88265000D03* -X102743000Y-90805000D03* -X117983000Y-90805000D03* -X120523000Y-88265000D03* -X115443000Y-88265000D03* -X125603000Y-88265000D03* -X128143000Y-90805000D03* -X133223000Y-90805000D03* -X130683000Y-93345000D03* -X133223000Y-95885000D03* -X143383000Y-90805000D03* -X128143000Y-95885000D03* -X130683000Y-98425000D03* -X69000000Y-102200000D03* -X101000000Y-111550000D03* -X103950000Y-114500000D03* -X106350000Y-112250000D03* -X135763000Y-93345000D03* -X138303000Y-95885000D03* -X135763000Y-98425000D03* -X143383000Y-95885000D03* -X140843000Y-98425000D03* -X140843000Y-93345000D03* -X138303000Y-90805000D03* -D16* -X130350000Y-122300000D03* -X129150000Y-123350000D03* -X131550000Y-123550000D03* -X140100000Y-122300000D03* -X141300000Y-123550000D03* -D20* -X123050000Y-91650000D03* -X123050000Y-89850000D03* -X122050000Y-90750000D03* -X113050000Y-89850000D03* -X112050000Y-90750000D03* -X123050000Y-116250000D03* -X122050000Y-115350000D03* -X123050000Y-114450000D03* -X113050000Y-116250000D03* -X112050000Y-115350000D03* -X126450000Y-105400000D03* -X127350000Y-113200000D03* -X92400000Y-111100000D03* -X91400000Y-112000000D03* -X98400000Y-112000000D03* -X99400000Y-111100000D03* -X88550000Y-91700000D03* -X89550000Y-90800000D03* -X95550000Y-91700000D03* -X96550000Y-90800000D03* -X104650000Y-105050000D03* -X76600000Y-121400000D03* -X75700000Y-120400000D03* -X84900000Y-120400000D03* -X85800000Y-121400000D03* -D18* -X97200000Y-117950000D03* -X88000000Y-117950000D03* -X78800000Y-117950000D03* -X106400000Y-117950000D03* -X143383000Y-100965000D03* -X138303000Y-100965000D03* -X144780000Y-103505000D03* -X133223000Y-100965000D03* -X143383000Y-106045000D03* -X144780000Y-108585000D03* -X144780000Y-113665000D03* -X140843000Y-113665000D03* -X135763000Y-113665000D03* -X143383000Y-116205000D03* -X133223000Y-116205000D03* -X138303000Y-116205000D03* -X77216000Y-98298000D03* -X76454000Y-97091500D03* -X128143000Y-100965000D03* -X143383000Y-121285000D03* -X128143000Y-116205000D03* -X130683000Y-113665000D03* -X135128000Y-100838000D03* -X136398000Y-100838000D03* -X72263000Y-90805000D03* -X102743000Y-86487000D03* -X97663000Y-86487000D03* -X107823000Y-86487000D03* -X117983000Y-86487000D03* -X112903000Y-86487000D03* -X92583000Y-86487000D03* -X87503000Y-86487000D03* -X82423000Y-85725000D03* -X77343000Y-85725000D03* -X67183000Y-85725000D03* -X72263000Y-85725000D03* -X62103000Y-85725000D03* -X72263000Y-85725000D03* -X123063000Y-85725000D03* -X128143000Y-85725000D03* -X133223000Y-85725000D03* -X138303000Y-85725000D03* -X74803000Y-88265000D03* -X79883000Y-88265000D03* -X84963000Y-88392000D03* -X69723000Y-88265000D03* -X64643000Y-88265000D03* -X59563000Y-88265000D03* -X77850000Y-127200000D03* -X91950000Y-126750000D03* -X86900000Y-126200000D03* -D20* -X94100000Y-120400000D03* -X103300000Y-120400000D03* -X95000000Y-121400000D03* -X104200000Y-121400000D03* -D18* -X111550000Y-126100000D03* -X110250000Y-126100000D03* -X111550000Y-123800000D03* -X112200000Y-124950000D03* -D16* -X134000000Y-123500000D03* -X135200000Y-122300000D03* -X138900000Y-123450000D03* -D18* -X130683000Y-118745000D03* -X140843000Y-118745000D03* -X128143000Y-121285000D03* -X135763000Y-118745000D03* -X138303000Y-121285000D03* -X125603000Y-118745000D03* -X123063000Y-121285000D03* -X120523000Y-118745000D03* -X115697000Y-118745000D03* -X117983000Y-116205000D03* -X125603000Y-123825000D03* -X120523000Y-123825000D03* -X123063000Y-126365000D03* -X128143000Y-126365000D03* -X125603000Y-128905000D03* -X130683000Y-128905000D03* -X113157000Y-123825000D03* -D16* -X121856500Y-128270000D03* -D18* -X95123000Y-83820000D03* -X95123000Y-88265000D03* -X83300000Y-126850000D03* -X82650000Y-126100000D03* -X79400000Y-126850000D03* -X82000000Y-126850000D03* -X78750000Y-126100000D03* -X80050000Y-126100000D03* -X80700000Y-126850000D03* -X81350000Y-126100000D03* -D16* -X77343000Y-128270000D03* -X76200000Y-129540000D03* -X119126000Y-129540000D03* -X117094000Y-129540000D03* -D18* -X106350000Y-123050000D03* -X85200000Y-127381000D03* -X108300000Y-123800000D03* -X113150000Y-127950000D03* -X108950000Y-123050000D03* -X116600000Y-125400000D03* -X107650000Y-123050000D03* -X107000000Y-123800000D03* -X132080000Y-131191000D03* -X134620000Y-131191000D03* -X134620000Y-131191000D03* -X85250000Y-106900000D03* -X72100000Y-112100000D03* -X84350000Y-107400000D03* -X85250000Y-105900000D03* -X72050000Y-110650000D03* -X84150000Y-108400000D03* -X88450000Y-98400000D03* -X82200000Y-109200000D03* -X88500000Y-99650000D03* -X82700000Y-108300000D03* -X88450000Y-101900000D03* -X83200000Y-109200000D03* -D20* -X114250000Y-91650000D03* -X114250000Y-89850000D03* -X115250000Y-90750000D03* -D18* -X104200000Y-113100000D03* -X104200000Y-111400000D03* -X69850000Y-100050000D03* -X68150000Y-100050000D03* -D21* -X123250000Y-113450000D03* -D18* -X124400000Y-91950000D03* -D21* -X123250000Y-103050000D03* -X123250000Y-111850000D03* -D18* -X125550000Y-103050000D03* -X125550000Y-107050000D03* -X123250000Y-107050000D03* -X125550000Y-92650000D03* -X114050000Y-104650000D03* -X111750000Y-109450000D03* -X114050000Y-109450000D03* -X123250000Y-92650000D03* -X125550000Y-111850000D03* -X111750000Y-104650000D03* -D16* -X110850000Y-105600000D03* -D18* -X109950000Y-104650000D03* -X77475000Y-119050000D03* -D16* -X104750000Y-103550000D03* -D18* -X100550000Y-103500000D03* -X100550000Y-101400000D03* -X97950000Y-94900000D03* -X90450000Y-107900000D03* -X102850000Y-101400000D03* -X85250000Y-101400000D03* -X90900000Y-92600000D03* -X85250000Y-99350000D03* -X97900000Y-92600000D03* -X102850000Y-103550000D03* -X97400000Y-110200000D03* -X90400000Y-110200000D03* -D16* -X104750000Y-101650000D03* -X95000000Y-118100000D03* -D18* -X86675000Y-119050000D03* -X105075000Y-119050000D03* -X105700000Y-123800000D03* -D16* -X85800000Y-118100000D03* -D18* -X95875000Y-119050000D03* -D16* -X103750000Y-102600000D03* -D18* -X106950000Y-98000000D03* -X107550000Y-97100000D03* -X105700000Y-120150000D03* -X96500000Y-120150000D03* -X96500000Y-117950000D03* -X97450000Y-107900000D03* -X105700000Y-117950000D03* -X87300000Y-120150000D03* -X78100000Y-120150000D03* -D16* -X104200000Y-118100000D03* -D18* -X124400000Y-114050000D03* -D16* -X126450000Y-102100000D03* -D18* -X84250000Y-102450000D03* -X81950000Y-104300000D03* -X81026000Y-105600500D03* -X116850000Y-122700000D03* -X83500000Y-101500000D03* -X83500000Y-98600000D03* -X64135000Y-121666000D03* -X87500000Y-99350000D03* -X87500000Y-101400000D03* -X91000000Y-94850000D03* -D16* -X127450000Y-92900000D03* -X127450000Y-107300000D03* -D18* -X67900000Y-96450000D03* -D19* -X127450000Y-103300000D03* -D18* -X69750000Y-109800000D03* -X62928500Y-117030500D03* -X82800000Y-105100000D03* -X118650000Y-122700000D03* -X117800000Y-123650000D03* -X69000000Y-99100000D03* -X103250000Y-112250000D03* -X96500000Y-123800000D03* -D16* -X130350000Y-126100000D03* -X131550000Y-124850000D03* -D20* -X124250000Y-89850000D03* -X125250000Y-90750000D03* -X124250000Y-116250000D03* -X125250000Y-115350000D03* -X114250000Y-114450000D03* -X115250000Y-115350000D03* -X114250000Y-116250000D03* -X126450000Y-91800000D03* -X126450000Y-106200000D03* -X126450000Y-111000000D03* -X127350000Y-112000000D03* -D18* -X87300000Y-123800000D03* -D20* -X89200000Y-111100000D03* -X90200000Y-112000000D03* -X96200000Y-111100000D03* -X97200000Y-112000000D03* -X90750000Y-90800000D03* -X97750000Y-90800000D03* -X98750000Y-91700000D03* -D18* -X78100000Y-117950000D03* -D20* -X76600000Y-118200000D03* -X75700000Y-119200000D03* -D18* -X87300000Y-117950000D03* -D16* -X110850000Y-110450000D03* -D18* -X105950000Y-97000000D03* -X84950000Y-119150000D03* -X94150000Y-119150000D03* -X103350000Y-119150000D03* -D16* -X129150000Y-124850000D03* -X132750000Y-126100000D03* -X134000000Y-124900000D03* -X135200000Y-126050000D03* -D18* -X100050000Y-112900000D03* -X97800000Y-106950000D03* -X87550000Y-103400000D03* -X78100000Y-123800000D03* -D21* -X114750000Y-112650000D03* -X122550000Y-112650000D03* -D18* -X108950000Y-112050000D03* -D21* -X122550000Y-111150000D03* -X114750000Y-111150000D03* -D18* -X109700000Y-111150000D03* -X114750000Y-108750000D03* -D21* -X122550000Y-108750000D03* -D18* -X108950000Y-109250000D03* -X114750000Y-110150000D03* -X122550000Y-110150000D03* -X109700000Y-110150000D03* -X114750000Y-105350000D03* -X122550000Y-105350000D03* -X109700000Y-105750000D03* -X114750000Y-103850000D03* -D21* -X122550000Y-103850000D03* -D18* -X108950000Y-104850000D03* -D21* -X122550000Y-106350000D03* -D18* -X114750000Y-106350000D03* -X109700000Y-106750000D03* -X114750000Y-107750000D03* -X122550000Y-107750000D03* -X108950000Y-107650000D03* -X122600000Y-102250000D03* -X97200000Y-96900000D03* -X123250000Y-101450000D03* -X99600000Y-104000000D03* -X122600000Y-100650000D03* -X99600000Y-102450000D03* -X99650000Y-100900000D03* -X123250000Y-99850000D03* -X102850000Y-99400000D03* -X122600000Y-99050000D03* -X102850000Y-95400000D03* -X122600000Y-95850000D03* -X121950000Y-93450000D03* -X95950000Y-95250000D03* -X100050000Y-92600000D03* -X114700000Y-93450000D03* -X99650000Y-94900000D03* -X114700000Y-95050000D03* -X99650000Y-99900000D03* -X114700000Y-99850000D03* -X100500000Y-104500000D03* -X114050000Y-101450000D03* -X100550000Y-98900000D03* -X114050000Y-99050000D03* -X102850000Y-98400000D03* -X123250000Y-98250000D03* -X100550000Y-97900000D03* -X114700000Y-98250000D03* -X102850000Y-97400000D03* -X122600000Y-97450000D03* -X100550000Y-96900000D03* -X114050000Y-97450000D03* -X102850000Y-96400000D03* -X123250000Y-96650000D03* -X100550000Y-95900000D03* -X114700000Y-96650000D03* -X114050000Y-95850000D03* -X99150000Y-96300000D03* -X123250000Y-95050000D03* -X98100000Y-96400000D03* -X96950000Y-95000000D03* -X122600000Y-94250000D03* -X114050000Y-94250000D03* -X96700000Y-95950000D03* -X79946500Y-95758000D03* -X77216000Y-103124000D03* -X82950000Y-99450000D03* -X81026000Y-95758000D03* -X88450000Y-97400000D03* -X78486000Y-103124000D03* -X79800000Y-108650000D03* -X116300000Y-120100000D03* -X90050000Y-106900000D03* -X69600000Y-97850000D03* -X78295500Y-95758000D03* -X69600000Y-95050000D03* -X79756000Y-103124000D03* -X80050000Y-107650000D03* -X90050000Y-95350000D03* -X135128000Y-90932000D03* -X89000000Y-95600000D03* -X136398000Y-90932000D03* -D22* -X137160000Y-128270000D02* -X137160000Y-127000000D01* -D23* -X137160000Y-135382000D02* -X137160000Y-129794000D01* -D24* -X137160000Y-127000000D02* -X137750000Y-127000000D01* -X137160000Y-128270000D02* -X137160000Y-129794000D01* -X137160000Y-129794000D02* -X137668000Y-129794000D01* -X138303000Y-129159000D02* -X138303000Y-128270000D01* -X137668000Y-129794000D02* -X138303000Y-129159000D01* -X138303000Y-127381000D02* -X138303000Y-128270000D01* -X137922000Y-127000000D02* -X138303000Y-127381000D01* -X137160000Y-127000000D02* -X137922000Y-127000000D01* -X137160000Y-130937000D02* -X137160000Y-135382000D01* -D22* -X137160000Y-128270000D02* -X138303000Y-128270000D01* -D24* -X137300000Y-126378000D02* -X137922000Y-127000000D01* -X137300000Y-124200000D02* -X137300000Y-126378000D01* -X137300000Y-126860000D02* -X137160000Y-127000000D01* -X137300000Y-124200000D02* -X137300000Y-126860000D01* -X137300000Y-124200000D02* -X138250000Y-124200000D01* -X139100000Y-125050000D02* -X140100000Y-125050000D01* -X138250000Y-124200000D02* -X139100000Y-125050000D01* -D25* -X112900000Y-92650000D02* -X112900000Y-91950000D01* -X112900000Y-92650000D02* -X114050000Y-92650000D01* -X112900000Y-103050000D02* -X114050000Y-103050000D01* -D26* -X110800000Y-103300000D02* -X111600000Y-103300000D01* -D25* -X124400000Y-109450000D02* -X123250000Y-109450000D01* -X124400000Y-104650000D02* -X125550000Y-104650000D01* -D26* -X126450000Y-104550000D02* -X125650000Y-104550000D01* -D22* -X126450000Y-108550000D02* -X126450000Y-109500000D01* -D26* -X125650000Y-104550000D02* -X125550000Y-104650000D01* -D25* -X124400000Y-104650000D02* -X123250000Y-104650000D01* -D26* -X124400000Y-109450000D02* -X126400000Y-109450000D01* -D25* -X112900000Y-103050000D02* -X111750000Y-103050000D01* -D26* -X111650000Y-103150000D02* -X111750000Y-103050000D01* -X126400000Y-109450000D02* -X126450000Y-109500000D01* -D27* -X109950000Y-107950000D02* -X110850000Y-107950000D01* -D24* -X110850000Y-107950000D02* -X110850000Y-107000000D01* -D25* -X112900000Y-111850000D02* -X114050000Y-111850000D01* -X112900000Y-111850000D02* -X111750000Y-111850000D01* -X112900000Y-107050000D02* -X114050000Y-107050000D01* -D26* -X76575000Y-120550000D02* -X77475000Y-120550000D01* -X94975000Y-120550000D02* -X95875000Y-120550000D01* -D24* -X115394000Y-129491000D02* -X115443000Y-129540000D01* -X115394000Y-128270000D02* -X115394000Y-129491000D01* -X115394000Y-128270000D02* -X114300000Y-128270000D01* -D22* -X135460000Y-128270000D02* -X134366000Y-128270000D01* -X135460000Y-127049000D02* -X135509000Y-127000000D01* -X135460000Y-128270000D02* -X135460000Y-127049000D01* -X135460000Y-129491000D02* -X135509000Y-129540000D01* -X135460000Y-128270000D02* -X135460000Y-129491000D01* -D25* -X83950000Y-124950000D02* -X83950000Y-126100000D01* -X83950000Y-124950000D02* -X84600000Y-124950000D01* -X93150000Y-124950000D02* -X93150000Y-123800000D01* -X93150000Y-124950000D02* -X93150000Y-126100000D01* -X93150000Y-124950000D02* -X93800000Y-124950000D01* -X102350000Y-124950000D02* -X102350000Y-123800000D01* -X102350000Y-124950000D02* -X103000000Y-124950000D01* -X102350000Y-124950000D02* -X102350000Y-126100000D01* -D28* -X98050000Y-110050000D02* -X98200000Y-110200000D01* -X98050000Y-109062500D02* -X98050000Y-110050000D01* -X91050000Y-110050000D02* -X91200000Y-110200000D01* -X91050000Y-109062500D02* -X91050000Y-110050000D01* -D27* -X91550000Y-111100000D02* -X91550000Y-110350000D01* -X91400000Y-110200000D02* -X91200000Y-110200000D01* -X91550000Y-110350000D02* -X91400000Y-110200000D01* -D28* -X101712500Y-100400000D02* -X102850000Y-100400000D01* -X102700000Y-102900000D02* -X102850000Y-102750000D01* -X101712500Y-102900000D02* -X102700000Y-102900000D01* -D27* -X103750000Y-100150000D02* -X103000000Y-100150000D01* -X102850000Y-100300000D02* -X102850000Y-100400000D01* -X103000000Y-100150000D02* -X102850000Y-100300000D01* -D28* -X86387500Y-100400000D02* -X85450000Y-100400000D01* -X85250000Y-100200000D02* -X85250000Y-100150000D01* -X85450000Y-100400000D02* -X85250000Y-100200000D01* -X86387500Y-99900000D02* -X85450000Y-99900000D01* -X85250000Y-100100000D02* -X85250000Y-100150000D01* -X85450000Y-99900000D02* -X85250000Y-100100000D01* -D27* -X84350000Y-100150000D02* -X85250000Y-100150000D01* -D28* -X98550000Y-92750000D02* -X98700000Y-92600000D01* -X98550000Y-93737500D02* -X98550000Y-92750000D01* -D27* -X96400000Y-92499990D02* -X96300000Y-92599990D01* -X96400000Y-91700000D02* -X96400000Y-92499990D01* -D28* -X100650000Y-102900000D02* -X100550000Y-102800000D01* -X101712500Y-102900000D02* -X100650000Y-102900000D01* -X101712500Y-100400000D02* -X100550000Y-100400000D01* -X98550000Y-94800000D02* -X98650000Y-94900000D01* -X98550000Y-93737500D02* -X98550000Y-94800000D01* -X91050000Y-108000000D02* -X91150000Y-107900000D01* -X91050000Y-109062500D02* -X91050000Y-108000000D01* -X98050000Y-108000000D02* -X98150000Y-107900000D01* -X98050000Y-109062500D02* -X98050000Y-108000000D01* -D24* -X74500000Y-129491000D02* -X74549000Y-129540000D01* -X74500000Y-128270000D02* -X74500000Y-129491000D01* -X120826000Y-129491000D02* -X120777000Y-129540000D01* -X120826000Y-128270000D02* -X120826000Y-129491000D01* -D27* -X102975000Y-105050000D02* -X103750000Y-105050000D01* -X102875000Y-105150000D02* -X102975000Y-105050000D01* -D24* -X73660000Y-128270000D02* -X74500000Y-128270000D01* -X73406000Y-128524000D02* -X73660000Y-128270000D01* -X108200000Y-103350000D02* -X109450000Y-103350000D01* -D27* -X104650000Y-100150000D02* -X104700000Y-100200000D01* -X103750000Y-100150000D02* -X104650000Y-100150000D01* -D24* -X109500000Y-103300000D02* -X109450000Y-103350000D01* -X110800000Y-103300000D02* -X109500000Y-103300000D01* -D27* -X85100000Y-97250000D02* -X84350000Y-97250000D01* -X85200000Y-97150000D02* -X85100000Y-97250000D01* -D25* -X106350000Y-120150000D02* -X106350000Y-119050000D01* -D26* -X104175000Y-120550000D02* -X105050000Y-120550000D01* -D27* -X105950000Y-99500000D02* -X106850000Y-99500000D01* -X108200000Y-104200000D02* -X108050000Y-104350000D01* -X108200000Y-103350000D02* -X108200000Y-104200000D01* -X89300000Y-92550000D02* -X89400000Y-92450000D01* -X89400000Y-92450000D02* -X89400000Y-91700000D01* -D25* -X83950000Y-124950000D02* -X83950000Y-123800000D01* -D24* -X126450000Y-114300000D02* -X126450000Y-113350000D01* -D27* -X103750000Y-105050000D02* -X103750000Y-105850000D01* -D29* -X61341000Y-118618000D02* -X61341000Y-117792500D01* -X62611000Y-119888000D02* -X62611000Y-120713500D01* -D27* -X126450000Y-94150000D02* -X125600000Y-94150000D01* -D24* -X132750000Y-123350000D02* -X132750000Y-122300000D01* -D30* -X105950000Y-99500000D02* -X105301054Y-99500000D01* -D24* -X126450000Y-94150000D02* -X126450000Y-95100000D01* -D28* -X91550000Y-92650000D02* -X91550000Y-93737500D01* -X91600000Y-92600000D02* -X91550000Y-92650000D01* -D25* -X112900000Y-113450000D02* -X112900000Y-114050000D01* -D24* -X112900000Y-114700000D02* -X112900000Y-115350000D01* -D27* -X112900000Y-114700000D02* -X112900000Y-114050000D01* -D25* -X110900000Y-107050000D02* -X110850000Y-107000000D01* -X112900000Y-107050000D02* -X110900000Y-107050000D01* -X112900000Y-113450000D02* -X114050000Y-113450000D01* -X111750000Y-113450000D02* -X112900000Y-113450000D01* -D29* -X110800000Y-103300000D02* -X110800000Y-102450000D01* -D25* -X111900000Y-92650000D02* -X112900000Y-92650000D01* -X111750000Y-92500000D02* -X111900000Y-92650000D01* -D30* -X115000000Y-121000000D02* -X114400000Y-121000000D01* -X115000000Y-121000000D02* -X115000000Y-120100000D01* -D27* -X117800000Y-121350000D02* -X117800000Y-120550000D01* -X105550000Y-111550000D02* -X105400000Y-111400000D01* -X105550000Y-112250000D02* -X105550000Y-111550000D01* -D26* -X85775000Y-120550000D02* -X86650000Y-120550000D01* -D27* -X82800000Y-102801000D02* -X82800000Y-102000000D01* -X83649000Y-102801000D02* -X83650000Y-102800000D01* -X82800000Y-102801000D02* -X83649000Y-102801000D01* -X81951000Y-102801000D02* -X81950000Y-102800000D01* -X82800000Y-102801000D02* -X81951000Y-102801000D01* -X77216000Y-97061000D02* -X77216000Y-95821500D01* -X83650000Y-100150000D02* -X83500000Y-100300000D01* -X84350000Y-100150000D02* -X83650000Y-100150000D01* -X83650000Y-97250000D02* -X83500000Y-97400000D01* -X84350000Y-97250000D02* -X83650000Y-97250000D01* -X117100000Y-121350000D02* -X116950000Y-121500000D01* -X117800000Y-121350000D02* -X117100000Y-121350000D01* -X118500000Y-121350000D02* -X118650000Y-121500000D01* -X117800000Y-121350000D02* -X118500000Y-121350000D01* -X105550000Y-112950000D02* -X105550000Y-112250000D01* -X105400000Y-113100000D02* -X105550000Y-112950000D01* -X126450000Y-113350000D02* -X125750000Y-113350000D01* -X125550000Y-113150000D02* -X125550000Y-113050000D01* -X125750000Y-113350000D02* -X125550000Y-113150000D01* -D28* -X87350000Y-100400000D02* -X86387500Y-100400000D01* -X87500000Y-100150000D02* -X87500000Y-100250000D01* -X87500000Y-100250000D02* -X87350000Y-100400000D01* -X87500000Y-100050000D02* -X87350000Y-99900000D01* -X87350000Y-99900000D02* -X86387500Y-99900000D01* -X87500000Y-100150000D02* -X87500000Y-100050000D01* -X91700000Y-94850000D02* -X91550000Y-94700000D01* -X91550000Y-94700000D02* -X91550000Y-93737500D01* -D24* -X127200000Y-94150000D02* -X127450000Y-93900000D01* -X126450000Y-94150000D02* -X127200000Y-94150000D01* -X127200000Y-108550000D02* -X127450000Y-108300000D01* -X126450000Y-108550000D02* -X127200000Y-108550000D01* -D27* -X69700000Y-101400000D02* -X69850000Y-101250000D01* -X69000000Y-101400000D02* -X69700000Y-101400000D01* -X68300000Y-101400000D02* -X68150000Y-101250000D01* -X69000000Y-101400000D02* -X68300000Y-101400000D01* -D22* -X127200000Y-104550000D02* -X127450000Y-104300000D01* -X126450000Y-104550000D02* -X127200000Y-104550000D01* -D27* -X69750000Y-112100000D02* -X69750000Y-112950000D01* -D30* -X107550000Y-99700000D02* -X107550000Y-100550000D01* -X108850000Y-99700000D02* -X108850000Y-100550000D01* -X109400000Y-99700000D02* -X108850000Y-99700000D01* -D27* -X106000000Y-100300000D02* -X105950000Y-100250000D01* -X105950000Y-100250000D02* -X105950000Y-99500000D01* -X69000000Y-101400000D02* -X69000000Y-102200000D01* -X105550000Y-112250000D02* -X106350000Y-112250000D01* -D24* -X130350000Y-123350000D02* -X129150000Y-123350000D01* -X130350000Y-122300000D02* -X130350000Y-123350000D01* -X131350000Y-123350000D02* -X131550000Y-123550000D01* -X130350000Y-123350000D02* -X131350000Y-123350000D01* -X131750000Y-123350000D02* -X131550000Y-123550000D01* -X132750000Y-123350000D02* -X131750000Y-123350000D01* -X140100000Y-123350000D02* -X140100000Y-122300000D01* -X141100000Y-123350000D02* -X141300000Y-123550000D01* -X140100000Y-123350000D02* -X141100000Y-123350000D01* -D29* -X112900000Y-90750000D02* -X112900000Y-91950000D01* -X122900000Y-91500000D02* -X123050000Y-91650000D01* -X122900000Y-90750000D02* -X122900000Y-91500000D01* -X122900000Y-90000000D02* -X123050000Y-89850000D01* -X122900000Y-90750000D02* -X122900000Y-90000000D01* -X122900000Y-90750000D02* -X122050000Y-90750000D01* -X112900000Y-90000000D02* -X113050000Y-89850000D01* -X112900000Y-90750000D02* -X112900000Y-90000000D01* -X112900000Y-90750000D02* -X112050000Y-90750000D01* -X122900000Y-116100000D02* -X123050000Y-116250000D01* -X122900000Y-115350000D02* -X122900000Y-116100000D01* -X122900000Y-115350000D02* -X122050000Y-115350000D01* -X122900000Y-114600000D02* -X123050000Y-114450000D01* -X122900000Y-115350000D02* -X122900000Y-114600000D01* -X112900000Y-116100000D02* -X113050000Y-116250000D01* -X112900000Y-115350000D02* -X112900000Y-116100000D01* -X112900000Y-115350000D02* -X112050000Y-115350000D01* -X126450000Y-104550000D02* -X126450000Y-105400000D01* -X127200000Y-113350000D02* -X127350000Y-113200000D01* -X126450000Y-113350000D02* -X127200000Y-113350000D01* -X91550000Y-111100000D02* -X92400000Y-111100000D01* -X91550000Y-111850000D02* -X91400000Y-112000000D01* -X91550000Y-111100000D02* -X91550000Y-111850000D01* -X98550000Y-111850000D02* -X98400000Y-112000000D01* -X98550000Y-111100000D02* -X98550000Y-111850000D01* -X98550000Y-111100000D02* -X99400000Y-111100000D01* -X89400000Y-91700000D02* -X88550000Y-91700000D01* -X89400000Y-90950000D02* -X89550000Y-90800000D01* -X89400000Y-91700000D02* -X89400000Y-90950000D01* -X96400000Y-91700000D02* -X95550000Y-91700000D01* -X96400000Y-90950000D02* -X96550000Y-90800000D01* -X96400000Y-91700000D02* -X96400000Y-90950000D01* -X103750000Y-105050000D02* -X104650000Y-105050000D01* -X76600000Y-120550000D02* -X76600000Y-121400000D01* -X75850000Y-120550000D02* -X75700000Y-120400000D01* -X76600000Y-120550000D02* -X75850000Y-120550000D01* -X85050000Y-120550000D02* -X84900000Y-120400000D01* -X85800000Y-120550000D02* -X85050000Y-120550000D01* -X85800000Y-120550000D02* -X85800000Y-121400000D01* -D25* -X97150000Y-118000000D02* -X97200000Y-117950000D01* -X97150000Y-119050000D02* -X97150000Y-118000000D01* -X87950000Y-118000000D02* -X88000000Y-117950000D01* -X87950000Y-119050000D02* -X87950000Y-118000000D01* -X78750000Y-118000000D02* -X78800000Y-117950000D01* -X78750000Y-119050000D02* -X78750000Y-118000000D01* -X106350000Y-118000000D02* -X106400000Y-117950000D01* -X106350000Y-119050000D02* -X106350000Y-118000000D01* -D27* -X98550000Y-111100000D02* -X98550000Y-110350000D01* -X98400000Y-110200000D02* -X98200000Y-110200000D01* -X98550000Y-110350000D02* -X98400000Y-110200000D01* -X77216000Y-97061000D02* -X77216000Y-98298000D01* -X76484500Y-97061000D02* -X76454000Y-97091500D01* -X77216000Y-97061000D02* -X76484500Y-97061000D01* -D31* -X135128000Y-99695000D02* -X135128000Y-100838000D01* -X136398000Y-99695000D02* -X136398000Y-100838000D01* -D29* -X94250000Y-120550000D02* -X94100000Y-120400000D01* -X95000000Y-120550000D02* -X94250000Y-120550000D01* -X103450000Y-120550000D02* -X103300000Y-120400000D01* -X104200000Y-120550000D02* -X103450000Y-120550000D01* -X95000000Y-120550000D02* -X95000000Y-121400000D01* -X104200000Y-120550000D02* -X104200000Y-121400000D01* -D25* -X111550000Y-124950000D02* -X111550000Y-126100000D01* -X110250000Y-124950000D02* -X110250000Y-126100000D01* -X111550000Y-124950000D02* -X111550000Y-123800000D01* -X111550000Y-124950000D02* -X112200000Y-124950000D01* -D24* -X133850000Y-123350000D02* -X132750000Y-123350000D01* -X134000000Y-123500000D02* -X133850000Y-123350000D01* -X134250000Y-123250000D02* -X134000000Y-123500000D01* -X135200000Y-123250000D02* -X134250000Y-123250000D01* -X135200000Y-123250000D02* -X135200000Y-122300000D01* -X139000000Y-123350000D02* -X138900000Y-123450000D01* -X140100000Y-123350000D02* -X139000000Y-123350000D01* -X120826000Y-128270000D02* -X121856500Y-128270000D01* -D31* -X88900000Y-127500000D02* -X88900000Y-135382000D01* -X90550000Y-125850000D02* -X88900000Y-127500000D01* -X90550000Y-124950000D02* -X90550000Y-125850000D01* -X83300000Y-124950000D02* -X83300000Y-126850000D01* -X82650000Y-124950000D02* -X82650000Y-126100000D01* -X97150000Y-125900000D02* -X97150000Y-124950000D01* -X99060000Y-127810000D02* -X97150000Y-125900000D01* -X99060000Y-135382000D02* -X99060000Y-127810000D01* -X92500000Y-126150000D02* -X92500000Y-124950000D01* -X96520000Y-130170000D02* -X92500000Y-126150000D01* -X96520000Y-135382000D02* -X96520000Y-130170000D01* -X93980000Y-128080000D02* -X93980000Y-135382000D01* -X91850000Y-125950000D02* -X93980000Y-128080000D01* -X91850000Y-124950000D02* -X91850000Y-125950000D01* -X91440000Y-126090000D02* -X91440000Y-135382000D01* -X91200000Y-125850000D02* -X91440000Y-126090000D01* -X91200000Y-124950000D02* -X91200000Y-125850000D01* -X86360000Y-129390000D02* -X86360000Y-130810000D01* -X86360000Y-130810000D02* -X86360000Y-135382000D01* -X89900000Y-125850000D02* -X86360000Y-129390000D01* -X89900000Y-124950000D02* -X89900000Y-125850000D01* -X89250000Y-125850000D02* -X89250000Y-124950000D01* -X85800000Y-129300000D02* -X89250000Y-125850000D01* -X84950000Y-129300000D02* -X85800000Y-129300000D01* -X83820000Y-130430000D02* -X84950000Y-129300000D01* -X83820000Y-135382000D02* -X83820000Y-130430000D01* -X81280000Y-131064000D02* -X81280000Y-135382000D01* -X83344000Y-129000000D02* -X81280000Y-131064000D01* -X85500000Y-129000000D02* -X83344000Y-129000000D01* -X88600000Y-125900000D02* -X85500000Y-129000000D01* -X88600000Y-124950000D02* -X88600000Y-125900000D01* -X87950000Y-125850000D02* -X87950000Y-124950000D01* -X85100000Y-128700000D02* -X87950000Y-125850000D01* -X81231000Y-128700000D02* -X85100000Y-128700000D01* -X78740000Y-131191000D02* -X81231000Y-128700000D01* -X78740000Y-135382000D02* -X78740000Y-131191000D01* -X97800000Y-125900000D02* -X101600000Y-129700000D01* -X101600000Y-129700000D02* -X101600000Y-130900000D01* -X101600000Y-130900000D02* -X101600000Y-135382000D01* -X97800000Y-124950000D02* -X97800000Y-125900000D01* -X79400000Y-124950000D02* -X79400000Y-126850000D01* -X82000000Y-124950000D02* -X82000000Y-126850000D01* -X78750000Y-124950000D02* -X78750000Y-126100000D01* -X80050000Y-124950000D02* -X80050000Y-126100000D01* -X80700000Y-124950000D02* -X80700000Y-126850000D01* -X81350000Y-124950000D02* -X81350000Y-126100000D01* -X104140000Y-131040000D02* -X104140000Y-135382000D01* -X98450000Y-125900000D02* -X101350000Y-128800000D01* -X101350000Y-128800000D02* -X101900000Y-128800000D01* -X98450000Y-124950000D02* -X98450000Y-125900000D01* -X101900000Y-128800000D02* -X104140000Y-131040000D01* -D24* -X76200000Y-128270000D02* -X77343000Y-128270000D01* -X76200000Y-128270000D02* -X76200000Y-129540000D01* -X119126000Y-128270000D02* -X119126000Y-129540000D01* -X117094000Y-128270000D02* -X117094000Y-129540000D01* -D31* -X106350000Y-124950000D02* -X106350000Y-123050000D01* -X76200000Y-131050000D02* -X76200000Y-135382000D01* -X79400000Y-127850000D02* -X76200000Y-131050000D01* -X84731000Y-127850000D02* -X79400000Y-127850000D01* -X85200000Y-127381000D02* -X84731000Y-127850000D01* -X104300000Y-128500000D02* -X106680000Y-130880000D01* -X106680000Y-130880000D02* -X106680000Y-135382000D01* -X101700000Y-128500000D02* -X104300000Y-128500000D01* -X99100000Y-124950000D02* -X99100000Y-125900000D01* -X99100000Y-125900000D02* -X101700000Y-128500000D01* -X102050000Y-128200000D02* -X106250000Y-128200000D01* -X109220000Y-131170000D02* -X109220000Y-135382000D01* -X106250000Y-128200000D02* -X109220000Y-131170000D01* -X99750000Y-125900000D02* -X102050000Y-128200000D01* -X99750000Y-124950000D02* -X99750000Y-125900000D01* -X102400000Y-127900000D02* -X108850000Y-127900000D01* -X111760000Y-130810000D02* -X111760000Y-135382000D01* -X100400000Y-125900000D02* -X102400000Y-127900000D01* -X108850000Y-127900000D02* -X111760000Y-130810000D01* -X100400000Y-124950000D02* -X100400000Y-125900000D01* -X110709000Y-127600000D02* -X114300000Y-131191000D01* -X102700000Y-127600000D02* -X110709000Y-127600000D01* -X114300000Y-131191000D02* -X114300000Y-135382000D01* -X101050000Y-124950000D02* -X101050000Y-125950000D01* -X101050000Y-125950000D02* -X102700000Y-127600000D01* -X110850000Y-127300000D02* -X114390000Y-130840000D01* -X116490000Y-130840000D02* -X116840000Y-131190000D01* -X101700000Y-124950000D02* -X101700000Y-126150000D01* -X114390000Y-130840000D02* -X116490000Y-130840000D01* -X116840000Y-131190000D02* -X116840000Y-135382000D01* -X101700000Y-126150000D02* -X102850000Y-127300000D01* -X102850000Y-127300000D02* -X110850000Y-127300000D01* -X118740000Y-130540000D02* -X114540000Y-130540000D01* -X119380000Y-131180000D02* -X118740000Y-130540000D01* -X119380000Y-135382000D02* -X119380000Y-131180000D01* -X109950000Y-127000000D02* -X111000000Y-127000000D01* -X109600000Y-126650000D02* -X109950000Y-127000000D01* -X109600000Y-124950000D02* -X109600000Y-126650000D01* -X114540000Y-130540000D02* -X111000000Y-127000000D01* -X108300000Y-124950000D02* -X108300000Y-123800000D01* -X124460000Y-131191000D02* -X124460000Y-135382000D01* -X124460000Y-129210000D02* -X124460000Y-131191000D01* -X122150000Y-126900000D02* -X124460000Y-129210000D01* -X114200000Y-126900000D02* -X122150000Y-126900000D01* -X113150000Y-127950000D02* -X114200000Y-126900000D01* -X108950000Y-123050000D02* -X108950000Y-124950000D01* -X116600000Y-124200000D02* -X116600000Y-125400000D01* -X107650000Y-124950000D02* -X107650000Y-123050000D01* -X107000000Y-124950000D02* -X107000000Y-123800000D01* -X132080000Y-135382000D02* -X132080000Y-131191000D01* -X134620000Y-135382000D02* -X134620000Y-131191000D01* -X86387500Y-106900000D02* -X85250000Y-106900000D01* -X64135000Y-109855000D02* -X64135000Y-108204000D01* -X58166000Y-115824000D02* -X64135000Y-109855000D01* -X58166000Y-122555000D02* -X58166000Y-115824000D01* -X59309000Y-123698000D02* -X58166000Y-122555000D01* -X60833000Y-123698000D02* -X59309000Y-123698000D01* -X61976000Y-122555000D02* -X60833000Y-123698000D01* -X61976000Y-120523000D02* -X61976000Y-122555000D01* -X61341000Y-119888000D02* -X61976000Y-120523000D01* -X71350000Y-112100000D02* -X72100000Y-112100000D01* -X86387500Y-107400000D02* -X84350000Y-107400000D01* -X61214000Y-106934000D02* -X62865000Y-106934000D01* -X62865000Y-106934000D02* -X64135000Y-105664000D01* -X57531000Y-123337500D02* -X57531000Y-110617000D01* -X57531000Y-110617000D02* -X61214000Y-106934000D01* -X63246000Y-122301000D02* -X61087000Y-124460000D01* -X58653500Y-124460000D02* -X57531000Y-123337500D01* -X63246000Y-120523000D02* -X63246000Y-122301000D01* -X61087000Y-124460000D02* -X58653500Y-124460000D01* -X63881000Y-119888000D02* -X63246000Y-120523000D01* -X86387500Y-105900000D02* -X85250000Y-105900000D01* -X72050000Y-110650000D02* -X71350000Y-110650000D01* -X66421000Y-120205500D02* -X66421000Y-119888000D01* -X67564000Y-121348500D02* -X66421000Y-120205500D01* -X67564000Y-123952000D02* -X67564000Y-121348500D01* -X66294000Y-125222000D02* -X67564000Y-123952000D01* -X58022000Y-125222000D02* -X66294000Y-125222000D01* -X56896000Y-124096000D02* -X58022000Y-125222000D01* -X56896000Y-108712000D02* -X56896000Y-124096000D01* -X61214000Y-104394000D02* -X56896000Y-108712000D01* -X62865000Y-104394000D02* -X61214000Y-104394000D01* -X64135000Y-103124000D02* -X62865000Y-104394000D01* -X85050000Y-106400000D02* -X86387500Y-106400000D01* -X84550000Y-106900000D02* -X85050000Y-106400000D01* -X83850000Y-107200000D02* -X84150000Y-106900000D01* -X83850000Y-107600000D02* -X83850000Y-107200000D01* -X84150000Y-106900000D02* -X84550000Y-106900000D01* -X84150000Y-107900000D02* -X83850000Y-107600000D01* -X84150000Y-108400000D02* -X84150000Y-107900000D01* -X66300000Y-100209000D02* -X64135000Y-98044000D01* -X66300000Y-111754000D02* -X66300000Y-100209000D01* -X62103000Y-115951000D02* -X66300000Y-111754000D01* -X62103000Y-117475000D02* -X62103000Y-115951000D01* -X62611000Y-117983000D02* -X62103000Y-117475000D01* -X62611000Y-118618000D02* -X62611000Y-117983000D01* -X87287500Y-93737500D02* -X88050000Y-93737500D01* -X86250000Y-92700000D02* -X87287500Y-93737500D01* -X76450000Y-92700000D02* -X86250000Y-92700000D01* -X73100000Y-96050000D02* -X76450000Y-92700000D01* -X73100000Y-106350000D02* -X73100000Y-96050000D01* -X83800000Y-117050000D02* -X73100000Y-106350000D01* -X87800000Y-117050000D02* -X83800000Y-117050000D01* -X88600000Y-117850000D02* -X87800000Y-117050000D01* -X88600000Y-119050000D02* -X88600000Y-117850000D01* -X89050000Y-94650000D02* -X89050000Y-93737500D01* -X88900000Y-94800000D02* -X89050000Y-94650000D01* -X87900000Y-94800000D02* -X88900000Y-94800000D01* -X86100000Y-93000000D02* -X87900000Y-94800000D01* -X76600000Y-93000000D02* -X86100000Y-93000000D01* -X73400000Y-96200000D02* -X76600000Y-93000000D01* -X83950000Y-116750000D02* -X73400000Y-106200000D01* -X73400000Y-106200000D02* -X73400000Y-96200000D01* -X87950000Y-116750000D02* -X83950000Y-116750000D01* -X89250000Y-118050000D02* -X87950000Y-116750000D01* -X89250000Y-119050000D02* -X89250000Y-118050000D01* -X89100000Y-95100000D02* -X89550000Y-94650000D01* -X85950000Y-93300000D02* -X87750000Y-95100000D01* -X89550000Y-94650000D02* -X89550000Y-93737500D01* -X73700000Y-106050000D02* -X73700000Y-96350000D01* -X87750000Y-95100000D02* -X89100000Y-95100000D01* -X88200000Y-116450000D02* -X84100000Y-116450000D01* -X73700000Y-96350000D02* -X76750000Y-93300000D01* -X89900000Y-118150000D02* -X88200000Y-116450000D01* -X76750000Y-93300000D02* -X85950000Y-93300000D01* -X84100000Y-116450000D02* -X73700000Y-106050000D01* -X89900000Y-119050000D02* -X89900000Y-118150000D01* -X87450000Y-96750000D02* -X87300000Y-96900000D01* -X87150000Y-94950000D02* -X87450000Y-95250000D01* -X83750000Y-95100000D02* -X85400000Y-95100000D01* -X90550000Y-119050000D02* -X90550000Y-118150000D01* -X85550000Y-94950000D02* -X87150000Y-94950000D01* -X82250000Y-93600000D02* -X83750000Y-95100000D01* -X87450000Y-95250000D02* -X87450000Y-96750000D01* -X76900000Y-93600000D02* -X82250000Y-93600000D01* -X87300000Y-96900000D02* -X86387500Y-96900000D01* -X84250000Y-116150000D02* -X74000000Y-105900000D01* -X74000000Y-96500000D02* -X76900000Y-93600000D01* -X90550000Y-118150000D02* -X88550000Y-116150000D01* -X88550000Y-116150000D02* -X84250000Y-116150000D01* -X85400000Y-95100000D02* -X85550000Y-94950000D01* -X74000000Y-105900000D02* -X74000000Y-96500000D01* -X83600000Y-95400000D02* -X86387500Y-95400000D01* -X82100000Y-93900000D02* -X83600000Y-95400000D01* -X77050000Y-93900000D02* -X82100000Y-93900000D01* -X74300000Y-96650000D02* -X77050000Y-93900000D01* -X74300000Y-105750000D02* -X74300000Y-96650000D01* -X84400000Y-115850000D02* -X74300000Y-105750000D01* -X88900000Y-115850000D02* -X84400000Y-115850000D01* -X91200000Y-118150000D02* -X88900000Y-115850000D01* -X91200000Y-119050000D02* -X91200000Y-118150000D01* -X85500000Y-95900000D02* -X86387500Y-95900000D01* -X85300000Y-95700000D02* -X85500000Y-95900000D01* -X83450000Y-95700000D02* -X85300000Y-95700000D01* -X81950000Y-94200000D02* -X83450000Y-95700000D01* -X77200000Y-94200000D02* -X81950000Y-94200000D01* -X74600000Y-96800000D02* -X77200000Y-94200000D01* -X74600000Y-105600000D02* -X74600000Y-96800000D01* -X84550000Y-115550000D02* -X74600000Y-105600000D01* -X89250000Y-115550000D02* -X84550000Y-115550000D01* -X91850000Y-118150000D02* -X89250000Y-115550000D01* -X91850000Y-119050000D02* -X91850000Y-118150000D01* -X81800000Y-94500000D02* -X83300000Y-96000000D01* -X77350000Y-94500000D02* -X81800000Y-94500000D01* -X74900000Y-96950000D02* -X77350000Y-94500000D01* -X74900000Y-105450000D02* -X74900000Y-96950000D01* -X89600000Y-115250000D02* -X84700000Y-115250000D01* -X85500000Y-96400000D02* -X86387500Y-96400000D01* -X92500000Y-118150000D02* -X89600000Y-115250000D01* -X85100000Y-96000000D02* -X85500000Y-96400000D01* -X83300000Y-96000000D02* -X85100000Y-96000000D01* -X84700000Y-115250000D02* -X74900000Y-105450000D01* -X92500000Y-119050000D02* -X92500000Y-118150000D01* -X89950000Y-114950000D02* -X93150000Y-118150000D01* -X75200000Y-105300000D02* -X84850000Y-114950000D01* -X77500000Y-94800000D02* -X75200000Y-97100000D01* -X83000000Y-96150000D02* -X81650000Y-94800000D01* -X85350000Y-98000000D02* -X83400000Y-98000000D01* -X85450000Y-97900000D02* -X85350000Y-98000000D01* -X84850000Y-114950000D02* -X89950000Y-114950000D01* -X81650000Y-94800000D02* -X77500000Y-94800000D01* -X75200000Y-97100000D02* -X75200000Y-105300000D01* -X93150000Y-118150000D02* -X93150000Y-119050000D01* -X83000000Y-97600000D02* -X83000000Y-96150000D01* -X83400000Y-98000000D02* -X83000000Y-97600000D01* -X86387500Y-97900000D02* -X85450000Y-97900000D01* -X88450000Y-98400000D02* -X86387500Y-98400000D01* -X97800000Y-117800000D02* -X97800000Y-119050000D01* -X96700000Y-116700000D02* -X97800000Y-117800000D01* -X94650000Y-116700000D02* -X96700000Y-116700000D01* -X91900000Y-113950000D02* -X94650000Y-116700000D01* -X85400000Y-113950000D02* -X91900000Y-113950000D01* -X82400000Y-110950000D02* -X85400000Y-113950000D01* -X82400000Y-109400000D02* -X82400000Y-110950000D01* -X82200000Y-109200000D02* -X82400000Y-109400000D01* -X87300000Y-98900000D02* -X86387500Y-98900000D01* -X87500000Y-98700000D02* -X87300000Y-98900000D01* -X88050000Y-98700000D02* -X87500000Y-98700000D01* -X88500000Y-99150000D02* -X88050000Y-98700000D01* -X88500000Y-99650000D02* -X88500000Y-99150000D01* -X94800000Y-116400000D02* -X96850000Y-116400000D01* -X98450000Y-118000000D02* -X98450000Y-119050000D01* -X96850000Y-116400000D02* -X98450000Y-118000000D01* -X85550000Y-113650000D02* -X92050000Y-113650000D01* -X82700000Y-110800000D02* -X85550000Y-113650000D01* -X92050000Y-113650000D02* -X94800000Y-116400000D01* -X82700000Y-108300000D02* -X82700000Y-110800000D01* -X86387500Y-101900000D02* -X88450000Y-101900000D01* -X97050000Y-116100000D02* -X99100000Y-118150000D01* -X94950000Y-116100000D02* -X97050000Y-116100000D01* -X85675000Y-113350000D02* -X92200000Y-113350000D01* -X83000000Y-110675000D02* -X85675000Y-113350000D01* -X92200000Y-113350000D02* -X94950000Y-116100000D01* -X83000000Y-109400000D02* -X83000000Y-110675000D01* -X99100000Y-118150000D02* -X99100000Y-119050000D01* -X83200000Y-109200000D02* -X83000000Y-109400000D01* -X79400000Y-116250000D02* -X79400000Y-119050000D01* -X70700000Y-107550000D02* -X79400000Y-116250000D01* -X70700000Y-94850000D02* -X70700000Y-107550000D01* -X75250000Y-90300000D02* -X70700000Y-94850000D01* -X86375000Y-90300000D02* -X75250000Y-90300000D01* -X88575000Y-88100000D02* -X86375000Y-90300000D01* -X92500000Y-88100000D02* -X88575000Y-88100000D01* -X94050000Y-89650000D02* -X92500000Y-88100000D01* -X94050000Y-91850000D02* -X94050000Y-89650000D01* -X95050000Y-92850000D02* -X94050000Y-91850000D01* -X95050000Y-93737500D02* -X95050000Y-92850000D01* -X80050000Y-116450000D02* -X80050000Y-119050000D01* -X71000000Y-107400000D02* -X80050000Y-116450000D01* -X71000000Y-95000000D02* -X71000000Y-107400000D01* -X75400000Y-90600000D02* -X71000000Y-95000000D01* -X86500000Y-90600000D02* -X75400000Y-90600000D01* -X88700000Y-88400000D02* -X86500000Y-90600000D01* -X92350000Y-88400000D02* -X88700000Y-88400000D01* -X93750000Y-89800000D02* -X92350000Y-88400000D01* -X93750000Y-92050000D02* -X93750000Y-89800000D01* -X94550000Y-92850000D02* -X93750000Y-92050000D01* -X94550000Y-93737500D02* -X94550000Y-92850000D01* -X71300000Y-95150000D02* -X71300000Y-107250000D01* -X80700000Y-116650000D02* -X80700000Y-119050000D01* -X86650000Y-90900000D02* -X75550000Y-90900000D01* -X71300000Y-107250000D02* -X80700000Y-116650000D01* -X88850000Y-88700000D02* -X86650000Y-90900000D01* -X92200000Y-88700000D02* -X88850000Y-88700000D01* -X93450000Y-89950000D02* -X92200000Y-88700000D01* -X93450000Y-92250000D02* -X93450000Y-89950000D01* -X94050000Y-92850000D02* -X93450000Y-92250000D01* -X75550000Y-90900000D02* -X71300000Y-95150000D01* -X94050000Y-93737500D02* -X94050000Y-92850000D01* -X81350000Y-116850000D02* -X81350000Y-119050000D01* -X71600000Y-107100000D02* -X81350000Y-116850000D01* -X71600000Y-95300000D02* -X71600000Y-107100000D01* -X75700000Y-91200000D02* -X71600000Y-95300000D01* -X86800000Y-91200000D02* -X75700000Y-91200000D01* -X89000000Y-89000000D02* -X86800000Y-91200000D01* -X92050000Y-89000000D02* -X89000000Y-89000000D01* -X93150000Y-90100000D02* -X92050000Y-89000000D01* -X93150000Y-92450000D02* -X93150000Y-90100000D01* -X93550000Y-92850000D02* -X93150000Y-92450000D01* -X93550000Y-93737500D02* -X93550000Y-92850000D01* -X71900000Y-95450000D02* -X71900000Y-106950000D01* -X75850000Y-91500000D02* -X71900000Y-95450000D01* -X86950000Y-91500000D02* -X75850000Y-91500000D01* -X82000000Y-117050000D02* -X82000000Y-119050000D01* -X89150000Y-89300000D02* -X86950000Y-91500000D01* -X71900000Y-106950000D02* -X82000000Y-117050000D01* -X93050000Y-93737500D02* -X93050000Y-92850000D01* -X91900000Y-89300000D02* -X89150000Y-89300000D01* -X92850000Y-90250000D02* -X91900000Y-89300000D01* -X92850000Y-92650000D02* -X92850000Y-90250000D01* -X93050000Y-92850000D02* -X92850000Y-92650000D01* -X82650000Y-117250000D02* -X82650000Y-119050000D01* -X72200000Y-106800000D02* -X82650000Y-117250000D01* -X72200000Y-95600000D02* -X72200000Y-106800000D01* -X76000000Y-91800000D02* -X72200000Y-95600000D01* -X87100000Y-91800000D02* -X76000000Y-91800000D01* -X89300000Y-89600000D02* -X87100000Y-91800000D01* -X91750000Y-89600000D02* -X89300000Y-89600000D01* -X92550000Y-90400000D02* -X91750000Y-89600000D01* -X92550000Y-93737500D02* -X92550000Y-90400000D01* -X83300000Y-117450000D02* -X83300000Y-119050000D01* -X72500000Y-106650000D02* -X83300000Y-117450000D01* -X72500000Y-95750000D02* -X72500000Y-106650000D01* -X76150000Y-92100000D02* -X72500000Y-95750000D01* -X87250000Y-92100000D02* -X76150000Y-92100000D01* -X89450000Y-89900000D02* -X87250000Y-92100000D01* -X91600000Y-89900000D02* -X89450000Y-89900000D01* -X92250000Y-90550000D02* -X91600000Y-89900000D01* -X92250000Y-92600000D02* -X92250000Y-90550000D01* -X92050000Y-92800000D02* -X92250000Y-92600000D01* -X92050000Y-93737500D02* -X92050000Y-92800000D01* -X72800000Y-106500000D02* -X83950000Y-117650000D01* -X72800000Y-95900000D02* -X72800000Y-106500000D01* -X76300000Y-92400000D02* -X72800000Y-95900000D01* -X88100000Y-92400000D02* -X76300000Y-92400000D01* -X88550000Y-92850000D02* -X88100000Y-92400000D01* -X83950000Y-117650000D02* -X83950000Y-119050000D01* -X88550000Y-93737500D02* -X88550000Y-92850000D01* -D29* -X114400000Y-91500000D02* -X114250000Y-91650000D01* -X114400000Y-90750000D02* -X114400000Y-91500000D01* -X114400000Y-90000000D02* -X114250000Y-89850000D01* -X114400000Y-90750000D02* -X114400000Y-90000000D01* -X114400000Y-90750000D02* -X115250000Y-90750000D01* -D27* -X104050000Y-112950000D02* -X104200000Y-113100000D01* -X104050000Y-112250000D02* -X104050000Y-112950000D01* -X104050000Y-111550000D02* -X104200000Y-111400000D01* -X104050000Y-112250000D02* -X104050000Y-111550000D01* -X69700000Y-99900000D02* -X69850000Y-100050000D01* -X69000000Y-99900000D02* -X69700000Y-99900000D01* -X68300000Y-99900000D02* -X68150000Y-100050000D01* -X69000000Y-99900000D02* -X68300000Y-99900000D01* -D25* -X124400000Y-113450000D02* -X123250000Y-113450000D01* -X124400000Y-92650000D02* -X124400000Y-91950000D01* -X124400000Y-103050000D02* -X123250000Y-103050000D01* -D29* -X125550000Y-111850000D02* -X126450000Y-111850000D01* -D25* -X124400000Y-107050000D02* -X123250000Y-107050000D01* -X124400000Y-92650000D02* -X125550000Y-92650000D01* -D26* -X126450000Y-103050000D02* -X125550000Y-103050000D01* -X110850000Y-109450000D02* -X111750000Y-109450000D01* -D25* -X112900000Y-104650000D02* -X114050000Y-104650000D01* -X124400000Y-111850000D02* -X123250000Y-111850000D01* -X112900000Y-109450000D02* -X114050000Y-109450000D01* -X112900000Y-109450000D02* -X111750000Y-109450000D01* -X124400000Y-103050000D02* -X125550000Y-103050000D01* -X112900000Y-104650000D02* -X111750000Y-104650000D01* -X124400000Y-107050000D02* -X125550000Y-107050000D01* -X124400000Y-92650000D02* -X123250000Y-92650000D01* -D26* -X126450000Y-107050000D02* -X125550000Y-107050000D01* -D25* -X124400000Y-111850000D02* -X125550000Y-111850000D01* -D26* -X111750000Y-104650000D02* -X110850000Y-104650000D01* -D24* -X110850000Y-105600000D02* -X110850000Y-104650000D01* -D26* -X109950000Y-104650000D02* -X110850000Y-104650000D01* -D25* -X78100000Y-119050000D02* -X77475000Y-119050000D01* -D29* -X76575000Y-119050000D02* -X77475000Y-119050000D01* -D24* -X103750000Y-103550000D02* -X104750000Y-103550000D01* -D28* -X100650000Y-103400000D02* -X100550000Y-103500000D01* -X101712500Y-103400000D02* -X100650000Y-103400000D01* -X101712500Y-101400000D02* -X100550000Y-101400000D01* -X98050000Y-94800000D02* -X97950000Y-94900000D01* -X98050000Y-93737500D02* -X98050000Y-94800000D01* -X90550000Y-108000000D02* -X90450000Y-107900000D01* -X90550000Y-109062500D02* -X90550000Y-108000000D01* -D27* -X97900000Y-91700000D02* -X97900000Y-92600000D01* -X90900000Y-91700000D02* -X90900000Y-92600000D01* -D28* -X98050000Y-93737500D02* -X98050000Y-92750000D01* -X98050000Y-92750000D02* -X97900000Y-92600000D01* -X91050000Y-93737500D02* -X91050000Y-92750000D01* -D25* -X85250000Y-98900000D02* -X85250000Y-99350000D01* -D27* -X85100000Y-101650000D02* -X85250000Y-101500000D01* -D25* -X84350000Y-98750000D02* -X85100000Y-98750000D01* -D27* -X85250000Y-101500000D02* -X85250000Y-101400000D01* -D28* -X86387500Y-99400000D02* -X85300000Y-99400000D01* -D25* -X85100000Y-98750000D02* -X85250000Y-98900000D01* -D28* -X90550000Y-109062500D02* -X90550000Y-110050000D01* -D27* -X84350000Y-101650000D02* -X85100000Y-101650000D01* -D28* -X86387500Y-101400000D02* -X85250000Y-101400000D01* -D27* -X90050000Y-110350000D02* -X90200000Y-110200000D01* -X103750000Y-101650000D02* -X103000000Y-101650000D01* -X90200000Y-110200000D02* -X90400000Y-110200000D01* -X97200000Y-110200000D02* -X97400000Y-110200000D01* -D28* -X102700000Y-103400000D02* -X102850000Y-103550000D01* -X101712500Y-103400000D02* -X102700000Y-103400000D01* -X101712500Y-101400000D02* -X102850000Y-101400000D01* -X85300000Y-99400000D02* -X85250000Y-99350000D01* -D27* -X102850000Y-101500000D02* -X102850000Y-101400000D01* -X90050000Y-111100000D02* -X90050000Y-110350000D01* -X97050000Y-110350000D02* -X97200000Y-110200000D01* -D28* -X90550000Y-110050000D02* -X90400000Y-110200000D01* -X97550000Y-110050000D02* -X97400000Y-110200000D01* -X97550000Y-109062500D02* -X97550000Y-110050000D01* -D27* -X103000000Y-101650000D02* -X102850000Y-101500000D01* -D28* -X91050000Y-92750000D02* -X90900000Y-92600000D01* -D27* -X97050000Y-111100000D02* -X97050000Y-110350000D01* -D24* -X103750000Y-101650000D02* -X104750000Y-101650000D01* -X95000000Y-119050000D02* -X95000000Y-118100000D01* -D29* -X85775000Y-119050000D02* -X86675000Y-119050000D01* -D25* -X87300000Y-119050000D02* -X86675000Y-119050000D01* -X105700000Y-119050000D02* -X105075000Y-119050000D01* -X105700000Y-124950000D02* -X105700000Y-123800000D01* -D29* -X94975000Y-119050000D02* -X95875000Y-119050000D01* -D25* -X96500000Y-119050000D02* -X95875000Y-119050000D01* -D24* -X85800000Y-119050000D02* -X85800000Y-118100000D01* -D27* -X102850000Y-103550000D02* -X103750000Y-103550000D01* -D24* -X103750000Y-101650000D02* -X103750000Y-102600000D01* -X103750000Y-103550000D02* -X103750000Y-102600000D01* -X104750000Y-101650000D02* -X106000000Y-101650000D01* -D30* -X107550000Y-98000000D02* -X106950000Y-98000000D01* -X107550000Y-98000000D02* -X107550000Y-97100000D01* -D27* -X105950000Y-98000000D02* -X106950000Y-98000000D01* -D25* -X105700000Y-119050000D02* -X105700000Y-120150000D01* -X96500000Y-119050000D02* -X96500000Y-120150000D01* -X96500000Y-119050000D02* -X96500000Y-117950000D01* -D28* -X97550000Y-108000000D02* -X97550000Y-109062500D01* -X97450000Y-107900000D02* -X97550000Y-108000000D01* -D25* -X105700000Y-119050000D02* -X105700000Y-117950000D01* -X87300000Y-120150000D02* -X87300000Y-119050000D01* -X78100000Y-119050000D02* -X78100000Y-120150000D01* -D24* -X104200000Y-119050000D02* -X104200000Y-118100000D01* -D25* -X124400000Y-113450000D02* -X124400000Y-114050000D01* -D27* -X124400000Y-115350000D02* -X124400000Y-114050000D01* -X126450000Y-92650000D02* -X125550000Y-92650000D01* -D24* -X126450000Y-103050000D02* -X126450000Y-102100000D01* -D29* -X104950000Y-103350000D02* -X104750000Y-103550000D01* -X106000000Y-103350000D02* -X104950000Y-103350000D01* -D27* -X84350000Y-102350000D02* -X84250000Y-102450000D01* -X84350000Y-101650000D02* -X84350000Y-102350000D01* -X81951000Y-104301000D02* -X81950000Y-104300000D01* -X82800000Y-104301000D02* -X81951000Y-104301000D01* -X81889000Y-104361000D02* -X81950000Y-104300000D01* -X81026000Y-104361000D02* -X81889000Y-104361000D01* -X81026000Y-104361000D02* -X81026000Y-105600500D01* -D30* -X116300000Y-122700000D02* -X116850000Y-122700000D01* -D27* -X83650000Y-101650000D02* -X83500000Y-101500000D01* -X84350000Y-101650000D02* -X83650000Y-101650000D01* -X83650000Y-98750000D02* -X84350000Y-98750000D01* -X83500000Y-98600000D02* -X83650000Y-98750000D01* -X65151000Y-120015000D02* -X65151000Y-119888000D01* -X64135000Y-121031000D02* -X65151000Y-120015000D01* -X64135000Y-121666000D02* -X64135000Y-121031000D01* -D28* -X87450000Y-99400000D02* -X86387500Y-99400000D01* -X87500000Y-99350000D02* -X87450000Y-99400000D01* -X86387500Y-101400000D02* -X87500000Y-101400000D01* -X91050000Y-94800000D02* -X91050000Y-93737500D01* -X91000000Y-94850000D02* -X91050000Y-94800000D01* -D24* -X127200000Y-92650000D02* -X127450000Y-92900000D01* -X126450000Y-92650000D02* -X127200000Y-92650000D01* -X127200000Y-107050000D02* -X127450000Y-107300000D01* -X126450000Y-107050000D02* -X127200000Y-107050000D01* -D28* -X68600000Y-96200000D02* -X68600000Y-95650000D01* -X68600000Y-96200000D02* -X68600000Y-96700000D01* -X68600000Y-96700000D02* -X68600000Y-97250000D01* -X68100000Y-96200000D02* -X68600000Y-96200000D01* -X68600000Y-96700000D02* -X68050000Y-96700000D01* -X67900000Y-96400000D02* -X67900000Y-96450000D01* -X68100000Y-96200000D02* -X67900000Y-96400000D01* -X67900000Y-96550000D02* -X67900000Y-96450000D01* -X68050000Y-96700000D02* -X67900000Y-96550000D01* -D22* -X127200000Y-103050000D02* -X126450000Y-103050000D01* -X127450000Y-103300000D02* -X127200000Y-103050000D01* -D27* -X69750000Y-109800000D02* -X69750000Y-110650000D01* -X82800000Y-104301000D02* -X82800000Y-105100000D01* -X117000000Y-122850000D02* -X116850000Y-122700000D01* -X117800000Y-122850000D02* -X117000000Y-122850000D01* -X118500000Y-122850000D02* -X118650000Y-122700000D01* -X117800000Y-122850000D02* -X118500000Y-122850000D01* -X117800000Y-122850000D02* -X117800000Y-123650000D01* -X69000000Y-99900000D02* -X69000000Y-99100000D01* -X104050000Y-112250000D02* -X103250000Y-112250000D01* -D25* -X96500000Y-124950000D02* -X96500000Y-123800000D01* -D24* -X130350000Y-125050000D02* -X130350000Y-126100000D01* -X131350000Y-125050000D02* -X131550000Y-124850000D01* -X130350000Y-125050000D02* -X131350000Y-125050000D01* -X131750000Y-125050000D02* -X131550000Y-124850000D01* -X132750000Y-125050000D02* -X131750000Y-125050000D01* -X132750000Y-125050000D02* -X130350000Y-125050000D01* -D29* -X124400000Y-90750000D02* -X124400000Y-91950000D01* -X124400000Y-90000000D02* -X124250000Y-89850000D01* -X124400000Y-90750000D02* -X124400000Y-90000000D01* -X124400000Y-90750000D02* -X125250000Y-90750000D01* -X124400000Y-116100000D02* -X124250000Y-116250000D01* -X124400000Y-115350000D02* -X124400000Y-116100000D01* -X124400000Y-115350000D02* -X125250000Y-115350000D01* -X114400000Y-114600000D02* -X114250000Y-114450000D01* -X114400000Y-115350000D02* -X114400000Y-114600000D01* -X114400000Y-115350000D02* -X115250000Y-115350000D01* -X114400000Y-116100000D02* -X114250000Y-116250000D01* -X114400000Y-115350000D02* -X114400000Y-116100000D01* -X126450000Y-92650000D02* -X126450000Y-91800000D01* -X126450000Y-107050000D02* -X126450000Y-106200000D01* -X126450000Y-111850000D02* -X126450000Y-111000000D01* -X127200000Y-111850000D02* -X127350000Y-112000000D01* -X126450000Y-111850000D02* -X127200000Y-111850000D01* -D25* -X87300000Y-124950000D02* -X87300000Y-123800000D01* -D29* -X90050000Y-111100000D02* -X89200000Y-111100000D01* -X90050000Y-111850000D02* -X90200000Y-112000000D01* -X90050000Y-111100000D02* -X90050000Y-111850000D01* -X97050000Y-111100000D02* -X96200000Y-111100000D01* -X97050000Y-111850000D02* -X97200000Y-112000000D01* -X97050000Y-111100000D02* -X97050000Y-111850000D01* -X90900000Y-90950000D02* -X90750000Y-90800000D01* -X90900000Y-91700000D02* -X90900000Y-90950000D01* -X97900000Y-90950000D02* -X97750000Y-90800000D01* -X97900000Y-91700000D02* -X97900000Y-90950000D01* -X97900000Y-91700000D02* -X98750000Y-91700000D01* -D25* -X78100000Y-119050000D02* -X78100000Y-117950000D01* -D29* -X76600000Y-119050000D02* -X76600000Y-118200000D01* -X75850000Y-119050000D02* -X75700000Y-119200000D01* -X76600000Y-119050000D02* -X75850000Y-119050000D01* -D25* -X87300000Y-119050000D02* -X87300000Y-117950000D01* -D24* -X110850000Y-109450000D02* -X110850000Y-110450000D01* -D27* -X105950000Y-98000000D02* -X105950000Y-97000000D01* -D26* -X85050000Y-119050000D02* -X84950000Y-119150000D01* -X85775000Y-119050000D02* -X85050000Y-119050000D01* -X94250000Y-119050000D02* -X94150000Y-119150000D01* -X94975000Y-119050000D02* -X94250000Y-119050000D01* -X103450000Y-119050000D02* -X103350000Y-119150000D01* -X104175000Y-119050000D02* -X103450000Y-119050000D01* -D29* -X104200000Y-119050000D02* -X105075000Y-119050000D01* -D24* -X130350000Y-125050000D02* -X129350000Y-125050000D01* -X129350000Y-125050000D02* -X129150000Y-124850000D01* -X132750000Y-125050000D02* -X132750000Y-126100000D01* -X133850000Y-125050000D02* -X132750000Y-125050000D01* -X134000000Y-124900000D02* -X133850000Y-125050000D01* -X134250000Y-125150000D02* -X134000000Y-124900000D01* -X135200000Y-125150000D02* -X134250000Y-125150000D01* -X135200000Y-125150000D02* -X135200000Y-126050000D01* -X128950000Y-125050000D02* -X129150000Y-124850000D01* -D31* -X109600000Y-118150000D02* -X109600000Y-119050000D01* -X100300000Y-112900000D02* -X103300000Y-115900000D01* -X107350000Y-115900000D02* -X109600000Y-118150000D01* -X103300000Y-115900000D02* -X107350000Y-115900000D01* -X100050000Y-112900000D02* -X100300000Y-112900000D01* -X96950000Y-107700000D02* -X96950000Y-108100000D01* -X97700000Y-106950000D02* -X96950000Y-107700000D01* -X96950000Y-108100000D02* -X97050000Y-108200000D01* -X97050000Y-108200000D02* -X97050000Y-109062500D01* -X97800000Y-106950000D02* -X97700000Y-106950000D01* -X108950000Y-118150000D02* -X108950000Y-119050000D01* -X103150000Y-116200000D02* -X107000000Y-116200000D01* -X96250000Y-113400000D02* -X100350000Y-113400000D01* -X107000000Y-116200000D02* -X108950000Y-118150000D01* -X100350000Y-113400000D02* -X103150000Y-116200000D01* -X95350000Y-112500000D02* -X96250000Y-113400000D01* -X95350000Y-110700000D02* -X95350000Y-112500000D01* -X96050000Y-110000000D02* -X95350000Y-110700000D01* -X96050000Y-109062500D02* -X96050000Y-110000000D01* -X106400000Y-116800000D02* -X107650000Y-118050000D01* -X102850000Y-116800000D02* -X106400000Y-116800000D01* -X100050000Y-114000000D02* -X102850000Y-116800000D01* -X94750000Y-112800000D02* -X95950000Y-114000000D01* -X107650000Y-118050000D02* -X107650000Y-119050000D01* -X95050000Y-109062500D02* -X95050000Y-110000000D01* -X94750000Y-110300000D02* -X94750000Y-112800000D01* -X95050000Y-110000000D02* -X94750000Y-110300000D01* -X95950000Y-114000000D02* -X100050000Y-114000000D01* -X94450000Y-110100000D02* -X94550000Y-110000000D01* -X94450000Y-112950000D02* -X94450000Y-110100000D01* -X95800000Y-114300000D02* -X94450000Y-112950000D01* -X102700000Y-117100000D02* -X99900000Y-114300000D01* -X106250000Y-117100000D02* -X102700000Y-117100000D01* -X107000000Y-117850000D02* -X106250000Y-117100000D01* -X99900000Y-114300000D02* -X95800000Y-114300000D01* -X94550000Y-110000000D02* -X94550000Y-109062500D01* -X107000000Y-119050000D02* -X107000000Y-117850000D01* -X99750000Y-118150000D02* -X99750000Y-119050000D01* -X97400000Y-115800000D02* -X99750000Y-118150000D01* -X95100000Y-115800000D02* -X97400000Y-115800000D01* -X92950000Y-113650000D02* -X95100000Y-115800000D01* -X92950000Y-110824278D02* -X92950000Y-113650000D01* -X92050000Y-109924278D02* -X92950000Y-110824278D01* -X92050000Y-109062500D02* -X92050000Y-109924278D01* -X92550000Y-110000000D02* -X92550000Y-109062500D01* -X93250000Y-110700000D02* -X92550000Y-110000000D01* -X93250000Y-113525000D02* -X93250000Y-110700000D01* -X95225000Y-115500000D02* -X93250000Y-113525000D01* -X97750000Y-115500000D02* -X95225000Y-115500000D01* -X100400000Y-118150000D02* -X97750000Y-115500000D01* -X100400000Y-119050000D02* -X100400000Y-118150000D01* -X101050000Y-118150000D02* -X101050000Y-119050000D01* -X98100000Y-115200000D02* -X101050000Y-118150000D01* -X95375000Y-115200000D02* -X98100000Y-115200000D01* -X93550000Y-110500000D02* -X93550000Y-113375000D01* -X93050000Y-110000000D02* -X93550000Y-110500000D01* -X93550000Y-113375000D02* -X95375000Y-115200000D01* -X93050000Y-109062500D02* -X93050000Y-110000000D01* -X101700000Y-119050000D02* -X101700000Y-118150000D01* -X93850000Y-113225000D02* -X93850000Y-110300000D01* -X93550000Y-110000000D02* -X93550000Y-109062500D01* -X93850000Y-110300000D02* -X93550000Y-110000000D01* -X95525000Y-114900000D02* -X93850000Y-113225000D01* -X101700000Y-118150000D02* -X98450000Y-114900000D01* -X98450000Y-114900000D02* -X95525000Y-114900000D01* -X94150000Y-113100000D02* -X95650000Y-114600000D01* -X98800000Y-114600000D02* -X102350000Y-118150000D01* -X94150000Y-110100000D02* -X94150000Y-113100000D01* -X95650000Y-114600000D02* -X98800000Y-114600000D01* -X94050000Y-110000000D02* -X94150000Y-110100000D01* -X102350000Y-118150000D02* -X102350000Y-119050000D01* -X94050000Y-109062500D02* -X94050000Y-110000000D01* -X86387500Y-103400000D02* -X87550000Y-103400000D01* -X78100000Y-124950000D02* -X78100000Y-123800000D01* -X108200000Y-99700000D02* -X108200000Y-101650000D01* -X104800000Y-99400000D02* -X104550000Y-99150000D01* -X104550000Y-99150000D02* -X104550000Y-96900000D01* -X105200000Y-100000000D02* -X104800000Y-99600000D01* -X104800000Y-99600000D02* -X104800000Y-99400000D01* -X101712500Y-100900000D02* -X104700000Y-100900000D01* -X104700000Y-100900000D02* -X105200000Y-100400000D01* -X105200000Y-100400000D02* -X105200000Y-100000000D01* -X104550000Y-96900000D02* -X106800000Y-94650000D01* -X106800000Y-94650000D02* -X107400000Y-94650000D01* -X110300000Y-95300000D02* -X110300000Y-95700000D01* -X110300000Y-95700000D02* -X109950000Y-96050000D01* -X109950000Y-96050000D02* -X109950000Y-100350000D01* -X110250000Y-100650000D02* -X112900000Y-100650000D01* -X109650000Y-94650000D02* -X110300000Y-95300000D01* -X109000000Y-94650000D02* -X109650000Y-94650000D01* -X109950000Y-100350000D02* -X110250000Y-100650000D01* -X100387500Y-109062500D02* -X100050000Y-109062500D01* -X107450000Y-111450000D02* -X105500000Y-109500000D01* -X103200000Y-109500000D02* -X102500000Y-108800000D01* -X100650000Y-108800000D02* -X100387500Y-109062500D01* -X105500000Y-109500000D02* -X103200000Y-109500000D01* -X102500000Y-108800000D02* -X100650000Y-108800000D01* -X107950000Y-111450000D02* -X107450000Y-111450000D01* -X100800000Y-104900000D02* -X101712500Y-104900000D01* -X100350000Y-105350000D02* -X100800000Y-104900000D01* -X100350000Y-107724278D02* -X100350000Y-105350000D01* -X100825722Y-108200000D02* -X100350000Y-107724278D01* -X103500000Y-108900000D02* -X102800000Y-108200000D01* -X102800000Y-108200000D02* -X100825722Y-108200000D01* -X105950000Y-108900000D02* -X103500000Y-108900000D01* -X107450000Y-110400000D02* -X105950000Y-108900000D01* -X107950000Y-110400000D02* -X107450000Y-110400000D01* -X107450000Y-110900000D02* -X107950000Y-110900000D01* -X105750000Y-109200000D02* -X107450000Y-110900000D01* -X103350000Y-109200000D02* -X105750000Y-109200000D01* -X102650000Y-108500000D02* -X103350000Y-109200000D01* -X100701444Y-108500000D02* -X102650000Y-108500000D01* -X100151444Y-107950000D02* -X100701444Y-108500000D01* -X98800000Y-107950000D02* -X100151444Y-107950000D01* -X98550000Y-108200000D02* -X98800000Y-107950000D01* -X98550000Y-109062500D02* -X98550000Y-108200000D01* -X100800000Y-105400000D02* -X101712500Y-105400000D01* -X100950000Y-107900000D02* -X100650000Y-107600000D01* -X102950000Y-107900000D02* -X100950000Y-107900000D01* -X106187500Y-108600000D02* -X103650000Y-108600000D01* -X103650000Y-108600000D02* -X102950000Y-107900000D01* -X100650000Y-105550000D02* -X100800000Y-105400000D01* -X100650000Y-107600000D02* -X100650000Y-105550000D01* -X107437500Y-109850000D02* -X106187500Y-108600000D01* -X107950000Y-109850000D02* -X107437500Y-109850000D01* -X101712500Y-105900000D02* -X102750000Y-105900000D01* -X102750000Y-105900000D02* -X103500000Y-106650000D01* -X103500000Y-106650000D02* -X104050000Y-106650000D01* -X107950000Y-105450000D02* -X107450000Y-105450000D01* -X107450000Y-105450000D02* -X106750000Y-106150000D01* -X104550000Y-106150000D02* -X104050000Y-106650000D01* -X106750000Y-106150000D02* -X104550000Y-106150000D01* -X101712500Y-106900000D02* -X102850000Y-106900000D01* -X102850000Y-106900000D02* -X103200000Y-107250000D01* -X103200000Y-107250000D02* -X104350000Y-107250000D01* -X107950000Y-106500000D02* -X107450000Y-106500000D01* -X107450000Y-106500000D02* -X107200000Y-106750000D01* -X104850000Y-106750000D02* -X104350000Y-107250000D01* -X107200000Y-106750000D02* -X104850000Y-106750000D01* -X101712500Y-106400000D02* -X102800000Y-106400000D01* -X102800000Y-106400000D02* -X103350000Y-106950000D01* -X103350000Y-106950000D02* -X104200000Y-106950000D01* -X107950000Y-106000000D02* -X107450000Y-106000000D01* -X107450000Y-106000000D02* -X107000000Y-106450000D01* -X104700000Y-106450000D02* -X104200000Y-106950000D01* -X107000000Y-106450000D02* -X104700000Y-106450000D01* -X101712500Y-107400000D02* -X102900000Y-107400000D01* -X102900000Y-107400000D02* -X103050000Y-107550000D01* -X103050000Y-107550000D02* -X104500000Y-107550000D01* -X105000000Y-107050000D02* -X104500000Y-107550000D01* -X107950000Y-107050000D02* -X105000000Y-107050000D01* -X112900000Y-112650000D02* -X114700000Y-112650000D01* -X124400000Y-112650000D02* -X122550000Y-112650000D01* -X108950000Y-111450000D02* -X108950000Y-112050000D01* -X122650000Y-111050000D02* -X124400000Y-111050000D01* -X122550000Y-111150000D02* -X122650000Y-111050000D01* -X114650000Y-111050000D02* -X114750000Y-111150000D01* -X112900000Y-111050000D02* -X114650000Y-111050000D01* -X109450000Y-110900000D02* -X108950000Y-110900000D01* -X109700000Y-111150000D02* -X109450000Y-110900000D01* -X112900000Y-108650000D02* -X114600000Y-108650000D01* -X114650000Y-108650000D02* -X114750000Y-108750000D01* -X122650000Y-108650000D02* -X124400000Y-108650000D01* -X122550000Y-108750000D02* -X122650000Y-108650000D01* -X108950000Y-109850000D02* -X108950000Y-109250000D01* -X112900000Y-110250000D02* -X114600000Y-110250000D01* -X114650000Y-110250000D02* -X114750000Y-110150000D01* -X122650000Y-110250000D02* -X124400000Y-110250000D01* -X122550000Y-110150000D02* -X122650000Y-110250000D01* -X109700000Y-110150000D02* -X109450000Y-110400000D01* -X109450000Y-110400000D02* -X108950000Y-110400000D01* -X114650000Y-105450000D02* -X114750000Y-105350000D01* -X112900000Y-105450000D02* -X114600000Y-105450000D01* -X122550000Y-105350000D02* -X122650000Y-105450000D01* -X122650000Y-105450000D02* -X124400000Y-105450000D01* -X109450000Y-106000000D02* -X108950000Y-106000000D01* -X109700000Y-105750000D02* -X109450000Y-106000000D01* -X114700000Y-103850000D02* -X112900000Y-103850000D01* -X124400000Y-103850000D02* -X122550000Y-103850000D01* -X108950000Y-105450000D02* -X108950000Y-104850000D01* -X114650000Y-106250000D02* -X114750000Y-106350000D01* -X112900000Y-106250000D02* -X114600000Y-106250000D01* -X122650000Y-106250000D02* -X124400000Y-106250000D01* -X122550000Y-106350000D02* -X122650000Y-106250000D01* -X109700000Y-106750000D02* -X109450000Y-106500000D01* -X109450000Y-106500000D02* -X108950000Y-106500000D01* -X114650000Y-107850000D02* -X114750000Y-107750000D01* -X112900000Y-107850000D02* -X114600000Y-107850000D01* -X122650000Y-107850000D02* -X124400000Y-107850000D01* -X122550000Y-107750000D02* -X122650000Y-107850000D01* -X108950000Y-107050000D02* -X108950000Y-107650000D01* -X124400000Y-102250000D02* -X122600000Y-102250000D01* -X95550000Y-94950000D02* -X95550000Y-93737500D01* -X95450000Y-95050000D02* -X95550000Y-94950000D01* -X96450000Y-96450000D02* -X95450000Y-95450000D01* -X95450000Y-95450000D02* -X95450000Y-95050000D01* -X96750000Y-96450000D02* -X96450000Y-96450000D01* -X97200000Y-96900000D02* -X96750000Y-96450000D01* -X124400000Y-101450000D02* -X123250000Y-101450000D01* -X99600000Y-104000000D02* -X100750000Y-104000000D01* -X100750000Y-104000000D02* -X100850000Y-103900000D01* -X100850000Y-103900000D02* -X101712500Y-103900000D01* -X124400000Y-100650000D02* -X122600000Y-100650000D01* -X100850000Y-102400000D02* -X101712500Y-102400000D01* -X99600000Y-102450000D02* -X99750000Y-102300000D01* -X100750000Y-102300000D02* -X100850000Y-102400000D01* -X99750000Y-102300000D02* -X100750000Y-102300000D01* -X124400000Y-99850000D02* -X123250000Y-99850000D01* -X99650000Y-100900000D02* -X99650000Y-101250000D01* -X99650000Y-101250000D02* -X100300000Y-101900000D01* -X100300000Y-101900000D02* -X101712500Y-101900000D01* -X101712500Y-99400000D02* -X102850000Y-99400000D01* -X124400000Y-99050000D02* -X122600000Y-99050000D01* -X101712500Y-95400000D02* -X102850000Y-95400000D01* -X124400000Y-95850000D02* -X122600000Y-95850000D01* -X124400000Y-93450000D02* -X121950000Y-93450000D01* -X96050000Y-95150000D02* -X96050000Y-93737500D01* -X95950000Y-95250000D02* -X96050000Y-95150000D01* -X100050000Y-93737500D02* -X100050000Y-92600000D01* -X112900000Y-93450000D02* -X114700000Y-93450000D01* -X99550000Y-94800000D02* -X99650000Y-94900000D01* -X99550000Y-93737500D02* -X99550000Y-94800000D01* -X112900000Y-95050000D02* -X114700000Y-95050000D01* -X101712500Y-99900000D02* -X99650000Y-99900000D01* -X112900000Y-99850000D02* -X114700000Y-99850000D01* -X100600000Y-104400000D02* -X101712500Y-104400000D01* -X100500000Y-104500000D02* -X100600000Y-104400000D01* -X112900000Y-101450000D02* -X114050000Y-101450000D01* -X96550000Y-110000000D02* -X96550000Y-109062500D01* -X95650000Y-110900000D02* -X96550000Y-110000000D01* -X95650000Y-112350000D02* -X95650000Y-110900000D01* -X96400000Y-113100000D02* -X95650000Y-112350000D01* -X99150000Y-113100000D02* -X96400000Y-113100000D01* -X99850000Y-112400000D02* -X99150000Y-113100000D01* -X100250000Y-112400000D02* -X99850000Y-112400000D01* -X103450000Y-115600000D02* -X100250000Y-112400000D01* -X107700000Y-115600000D02* -X103450000Y-115600000D01* -X110250000Y-118150000D02* -X107700000Y-115600000D01* -X110250000Y-119050000D02* -X110250000Y-118150000D01* -X108850000Y-96300000D02* -X109000000Y-96150000D01* -X109000000Y-96150000D02* -X109000000Y-95650000D01* -X107400000Y-96150000D02* -X107550000Y-96300000D01* -X107400000Y-95650000D02* -X107400000Y-96150000D01* -X108750000Y-96300000D02* -X108850000Y-96400000D01* -X108850000Y-96400000D02* -X108850000Y-96300000D01* -X107550000Y-96300000D02* -X108750000Y-96300000D01* -X108750000Y-96300000D02* -X108850000Y-96300000D01* -X107550000Y-96300000D02* -X108700000Y-96300000D01* -X108850000Y-98000000D02* -X108850000Y-96400000D01* -X115000000Y-122700000D02* -X115000000Y-124200000D01* -X101712500Y-98900000D02* -X100550000Y-98900000D01* -X112900000Y-99050000D02* -X114050000Y-99050000D01* -X101712500Y-98400000D02* -X102850000Y-98400000D01* -X124400000Y-98250000D02* -X123250000Y-98250000D01* -X101712500Y-97900000D02* -X100550000Y-97900000D01* -X112900000Y-98250000D02* -X114700000Y-98250000D01* -X101712500Y-97400000D02* -X102850000Y-97400000D01* -X124400000Y-97450000D02* -X122600000Y-97450000D01* -X101712500Y-96900000D02* -X100550000Y-96900000D01* -X112900000Y-97450000D02* -X114050000Y-97450000D01* -X101712500Y-96400000D02* -X102850000Y-96400000D01* -X124400000Y-96650000D02* -X123250000Y-96650000D01* -X101712500Y-95900000D02* -X100550000Y-95900000D01* -X112900000Y-96650000D02* -X114700000Y-96650000D01* -X112900000Y-95850000D02* -X114050000Y-95850000D01* -X99150000Y-96300000D02* -X99150000Y-94725000D01* -X99150000Y-94725000D02* -X99050000Y-94625000D01* -X99050000Y-94625000D02* -X99050000Y-93737500D01* -X124400000Y-95050000D02* -X123250000Y-95050000D01* -X97450000Y-94700000D02* -X97550000Y-94600000D01* -X97550000Y-94600000D02* -X97550000Y-93737500D01* -X98100000Y-96400000D02* -X97450000Y-95750000D01* -X97450000Y-95750000D02* -X97450000Y-94700000D01* -X97050000Y-94900000D02* -X97050000Y-93737500D01* -X96950000Y-95000000D02* -X97050000Y-94900000D01* -X124400000Y-94250000D02* -X122600000Y-94250000D01* -X112900000Y-94250000D02* -X114050000Y-94250000D01* -X96450000Y-94750000D02* -X96550000Y-94650000D01* -X96550000Y-94650000D02* -X96550000Y-93737500D01* -X96450000Y-95700000D02* -X96450000Y-94750000D01* -X96700000Y-95950000D02* -X96450000Y-95700000D01* -X79756000Y-95948500D02* -X79756000Y-97061000D01* -X79946500Y-95758000D02* -X79756000Y-95948500D01* -X85450000Y-102900000D02* -X86387500Y-102900000D01* -X84650000Y-103700000D02* -X85450000Y-102900000D01* -X84650000Y-104900000D02* -X84650000Y-103700000D01* -X82800000Y-106750000D02* -X84650000Y-104900000D01* -X77700000Y-106750000D02* -X82800000Y-106750000D01* -X75850000Y-104900000D02* -X77700000Y-106750000D01* -X75850000Y-102204000D02* -X75850000Y-104900000D01* -X79756000Y-98298000D02* -X75850000Y-102204000D01* -X79756000Y-97061000D02* -X79756000Y-98298000D01* -X77216000Y-104361000D02* -X77216000Y-103124000D01* -X85450000Y-102400000D02* -X86387500Y-102400000D01* -X84350000Y-103500000D02* -X85450000Y-102400000D01* -X84350000Y-104750000D02* -X84350000Y-103500000D01* -X77216000Y-104361000D02* -X77216000Y-105803500D01* -X82650000Y-106450000D02* -X84350000Y-104750000D01* -X77862500Y-106450000D02* -X82650000Y-106450000D01* -X77216000Y-105803500D02* -X77862500Y-106450000D01* -X81026000Y-97061000D02* -X81026000Y-95758000D01* -X81026000Y-97061000D02* -X81026000Y-98298000D01* -X82178000Y-99450000D02* -X82950000Y-99450000D01* -X81026000Y-98298000D02* -X82178000Y-99450000D01* -X88450000Y-97400000D02* -X86387500Y-97400000D01* -X86387500Y-100900000D02* -X80710000Y-100900000D01* -X78486000Y-103124000D02* -X78486000Y-104361000D01* -X80710000Y-100900000D02* -X78486000Y-103124000D01* -X80200000Y-109300000D02* -X80950000Y-109300000D01* -X79800000Y-108900000D02* -X80200000Y-109300000D01* -X79800000Y-108650000D02* -X79800000Y-108900000D01* -X116300000Y-121000000D02* -X116300000Y-120100000D01* -X89950000Y-107650000D02* -X89950000Y-108100000D01* -X89950000Y-108100000D02* -X90050000Y-108200000D01* -X90050000Y-108200000D02* -X90050000Y-109062500D01* -X90050000Y-107550000D02* -X89950000Y-107650000D01* -X90050000Y-106900000D02* -X90050000Y-107550000D01* -X69600000Y-97250000D02* -X69600000Y-97850000D01* -X78486000Y-95948500D02* -X78486000Y-97061000D01* -X78295500Y-95758000D02* -X78486000Y-95948500D01* -X69600000Y-95650000D02* -X69600000Y-95050000D01* -X79756000Y-104361000D02* -X79756000Y-103124000D01* -X95550000Y-110000000D02* -X95550000Y-109062500D01* -X95050000Y-110500000D02* -X95550000Y-110000000D01* -X95050000Y-112650000D02* -X95050000Y-110500000D01* -X100200000Y-113700000D02* -X96100000Y-113700000D01* -X108300000Y-118150000D02* -X106650000Y-116500000D01* -X106650000Y-116500000D02* -X103000000Y-116500000D01* -X96100000Y-113700000D02* -X95050000Y-112650000D01* -X103000000Y-116500000D02* -X100200000Y-113700000D01* -X108300000Y-119050000D02* -X108300000Y-118150000D01* -X80100000Y-107700000D02* -X80050000Y-107650000D01* -X80950000Y-107700000D02* -X80100000Y-107700000D01* -X90550000Y-93737500D02* -X90550000Y-94650000D01* -X90050000Y-95150000D02* -X90050000Y-95350000D01* -X90550000Y-94650000D02* -X90050000Y-95150000D01* -X135128000Y-92075000D02* -X135128000Y-90932000D01* -X90050000Y-93737500D02* -X90050000Y-94650000D01* -X89100000Y-95600000D02* -X89000000Y-95600000D01* -X90050000Y-94650000D02* -X89100000Y-95600000D01* -X136398000Y-92075000D02* -X136398000Y-90932000D01* -D32* -G36* -X143609112Y-80590131D02* -G01* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144957800Y-82430544D01* -X144957800Y-129532456D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X142994456Y-131495800D01* -X138150600Y-131495800D01* -X138150600Y-130272690D01* -X138996673Y-129426617D01* -X141850800Y-129426617D01* -X141850800Y-129653383D01* -X141895040Y-129875793D01* -X141981820Y-130085298D01* -X142107805Y-130273847D01* -X142268153Y-130434195D01* -X142456702Y-130560180D01* -X142666207Y-130646960D01* -X142888617Y-130691200D01* -X143115383Y-130691200D01* -X143337793Y-130646960D01* -X143547298Y-130560180D01* -X143735847Y-130434195D01* -X143896195Y-130273847D01* -X144022180Y-130085298D01* -X144108960Y-129875793D01* -X144153200Y-129653383D01* -X144153200Y-129426617D01* -X144108960Y-129204207D01* -X144022180Y-128994702D01* -X143896195Y-128806153D01* -X143735847Y-128645805D01* -X143547298Y-128519820D01* -X143337793Y-128433040D01* -X143115383Y-128388800D01* -X142888617Y-128388800D01* -X142666207Y-128433040D01* -X142456702Y-128519820D01* -X142268153Y-128645805D01* -X142107805Y-128806153D01* -X141981820Y-128994702D01* -X141895040Y-129204207D01* -X141850800Y-129426617D01* -X138996673Y-129426617D01* -X141502536Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X141502536Y-126920754D01* -X141961645Y-126461645D01* -X141990074Y-126427003D01* -X142011199Y-126387481D01* -X142024208Y-126344598D01* -X142028600Y-126300000D01* -X142028600Y-125200000D01* -X142024208Y-125155402D01* -X142011199Y-125112519D01* -X141990074Y-125072997D01* -X141961645Y-125038355D01* -X141511645Y-124588355D01* -X141477003Y-124559926D01* -X141437481Y-124538801D01* -X141394598Y-124525792D01* -X141350000Y-124521400D01* -X140950685Y-124521400D01* -X140946754Y-124514045D01* -X140885542Y-124439458D01* -X140810955Y-124378246D01* -X140725859Y-124332761D01* -X140633525Y-124304752D01* -X140537500Y-124295294D01* -X139662500Y-124295294D01* -X139566475Y-124304752D01* -X139474141Y-124332761D01* -X139389045Y-124378246D01* -X139349596Y-124410621D01* -X138813975Y-123875000D01* -X139170294Y-123875000D01* -X139174708Y-123919813D01* -X139187779Y-123962905D01* -X139209006Y-124002618D01* -X139237573Y-124037427D01* -X139272382Y-124065994D01* -X139312095Y-124087221D01* -X139355187Y-124100292D01* -X139400000Y-124104706D01* -X139969050Y-124103600D01* -X140026200Y-124046450D01* -X140026200Y-123423800D01* -X140173800Y-123423800D01* -X140173800Y-124046450D01* -X140230950Y-124103600D01* -X140800000Y-124104706D01* -X140844813Y-124100292D01* -X140887905Y-124087221D01* -X140927618Y-124065994D01* -X140962427Y-124037427D01* -X140990994Y-124002618D01* -X141012221Y-123962905D01* -X141025292Y-123919813D01* -X141029706Y-123875000D01* -X141028600Y-123480950D01* -X140971450Y-123423800D01* -X140173800Y-123423800D01* -X140026200Y-123423800D01* -X139228550Y-123423800D01* -X139171400Y-123480950D01* -X139170294Y-123875000D01* -X138813975Y-123875000D01* -X138716325Y-123777351D01* -X138696638Y-123753362D01* -X138600921Y-123674810D01* -X138491719Y-123616440D01* -X138373227Y-123580496D01* -X138280875Y-123571400D01* -X138250000Y-123568359D01* -X138219125Y-123571400D01* -X137786229Y-123571400D01* -X137775000Y-123570294D01* -X137319646Y-123570294D01* -X137300000Y-123568359D01* -X137280354Y-123570294D01* -X136825000Y-123570294D01* -X136741169Y-123578551D01* -X136660559Y-123603003D01* -X136586268Y-123642713D01* -X136521152Y-123696152D01* -X136467713Y-123761268D01* -X136428003Y-123835559D01* -X136403551Y-123916169D01* -X136395294Y-124000000D01* -X136395294Y-124400000D01* -X136403551Y-124483831D01* -X136428003Y-124564441D01* -X136467713Y-124638732D01* -X136521152Y-124703848D01* -X136586268Y-124757287D01* -X136660559Y-124796997D01* -X136671400Y-124800285D01* -X136671400Y-126347125D01* -X136668359Y-126378000D01* -X136671400Y-126408875D01* -X136671400Y-126599791D01* -X136654489Y-126625100D01* -X136634810Y-126649079D01* -X136620189Y-126676433D01* -X136602941Y-126702246D01* -X136591061Y-126730928D01* -X136576440Y-126758281D01* -X136567436Y-126787962D01* -X136555556Y-126816644D01* -X136549500Y-126847091D01* -X136540496Y-126876773D01* -X136537455Y-126907645D01* -X136531400Y-126938088D01* -X136531400Y-126969125D01* -X136528359Y-127000000D01* -X136531400Y-127030875D01* -X136531400Y-127061912D01* -X136537455Y-127092355D01* -X136540496Y-127123227D01* -X136549500Y-127152909D01* -X136550401Y-127157439D01* -X136550401Y-127483684D01* -X136549458Y-127484458D01* -X136488246Y-127559045D01* -X136442761Y-127644141D01* -X136414752Y-127736475D01* -X136405294Y-127832500D01* -X136405294Y-128707500D01* -X136414752Y-128803525D01* -X136442761Y-128895859D01* -X136488246Y-128980955D01* -X136526055Y-129027025D01* -X136493945Y-129059135D01* -X136456152Y-129090151D01* -X136425136Y-129127944D01* -X136390551Y-129162529D01* -X136363379Y-129203194D01* -X136332362Y-129240989D01* -X136309314Y-129284109D01* -X136282142Y-129324775D01* -X136263425Y-129369963D01* -X136240378Y-129413080D01* -X136226186Y-129459863D01* -X136207468Y-129505053D01* -X136197926Y-129553024D01* -X136183734Y-129599808D01* -X136178942Y-129648464D01* -X136169400Y-129696434D01* -X136169400Y-129891566D01* -X136169401Y-129891571D01* -X136169401Y-131495800D01* -X135353185Y-131495800D01* -X135340290Y-131485217D01* -X135234707Y-131428781D01* -X135120143Y-131394029D01* -X135056531Y-131387764D01* -X135080208Y-131330603D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134183469Y-131387764D01* -X134119857Y-131394029D01* -X134005293Y-131428781D01* -X133899710Y-131485217D01* -X133886815Y-131495800D01* -X132813185Y-131495800D01* -X132800290Y-131485217D01* -X132694707Y-131428781D01* -X132580143Y-131394029D01* -X132516531Y-131387764D01* -X132540208Y-131330603D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131643469Y-131387764D01* -X131579857Y-131394029D01* -X131465293Y-131428781D01* -X131359710Y-131485217D01* -X131346815Y-131495800D01* -X130273185Y-131495800D01* -X130260290Y-131485217D01* -X130154707Y-131428781D01* -X130040143Y-131394029D01* -X129921000Y-131382294D01* -X129159000Y-131382294D01* -X129039857Y-131394029D01* -X128925293Y-131428781D01* -X128819710Y-131485217D01* -X128806815Y-131495800D01* -X127733185Y-131495800D01* -X127720290Y-131485217D01* -X127614707Y-131428781D01* -X127500143Y-131394029D01* -X127381000Y-131382294D01* -X126619000Y-131382294D01* -X126499857Y-131394029D01* -X126385293Y-131428781D01* -X126279710Y-131485217D01* -X126266815Y-131495800D01* -X125193185Y-131495800D01* -X125180290Y-131485217D01* -X125074707Y-131428781D01* -X124960143Y-131394029D01* -X124841000Y-131382294D01* -X124763600Y-131382294D01* -X124763600Y-129224903D01* -X124765068Y-129209999D01* -X124759206Y-129150484D01* -X124741846Y-129093256D01* -X124713655Y-129040513D01* -X124675716Y-128994284D01* -X124664140Y-128984784D01* -X124649356Y-128970000D01* -X134705294Y-128970000D01* -X134709708Y-129014813D01* -X134722779Y-129057905D01* -X134744006Y-129097618D01* -X134772573Y-129132427D01* -X134807382Y-129160994D01* -X134847095Y-129182221D01* -X134890187Y-129195292D01* -X134935000Y-129199706D01* -X135329050Y-129198600D01* -X135386200Y-129141450D01* -X135386200Y-128343800D01* -X135533800Y-128343800D01* -X135533800Y-129141450D01* -X135590950Y-129198600D01* -X135985000Y-129199706D01* -X136029813Y-129195292D01* -X136072905Y-129182221D01* -X136112618Y-129160994D01* -X136147427Y-129132427D01* -X136175994Y-129097618D01* -X136197221Y-129057905D01* -X136210292Y-129014813D01* -X136214706Y-128970000D01* -X136213600Y-128400950D01* -X136156450Y-128343800D01* -X135533800Y-128343800D01* -X135386200Y-128343800D01* -X134763550Y-128343800D01* -X134706400Y-128400950D01* -X134705294Y-128970000D01* -X124649356Y-128970000D01* -X123249355Y-127570000D01* -X134705294Y-127570000D01* -X134706400Y-128139050D01* -X134763550Y-128196200D01* -X135386200Y-128196200D01* -X135386200Y-127398550D01* -X135533800Y-127398550D01* -X135533800Y-128196200D01* -X136156450Y-128196200D01* -X136213600Y-128139050D01* -X136214706Y-127570000D01* -X136210292Y-127525187D01* -X136197221Y-127482095D01* -X136175994Y-127442382D01* -X136147427Y-127407573D01* -X136112618Y-127379006D01* -X136072905Y-127357779D01* -X136029813Y-127344708D01* -X135985000Y-127340294D01* -X135590950Y-127341400D01* -X135533800Y-127398550D01* -X135386200Y-127398550D01* -X135329050Y-127341400D01* -X134935000Y-127340294D01* -X134890187Y-127344708D01* -X134847095Y-127357779D01* -X134807382Y-127379006D01* -X134772573Y-127407573D01* -X134744006Y-127442382D01* -X134722779Y-127482095D01* -X134709708Y-127525187D01* -X134705294Y-127570000D01* -X123249355Y-127570000D01* -X122375226Y-126695872D01* -X122365716Y-126684284D01* -X122319487Y-126646345D01* -X122266744Y-126618154D01* -X122209516Y-126600794D01* -X122164904Y-126596400D01* -X122150000Y-126594932D01* -X122135096Y-126596400D01* -X114214903Y-126596400D01* -X114199999Y-126594932D01* -X114140484Y-126600794D01* -X114083256Y-126618154D01* -X114030513Y-126646345D01* -X113984284Y-126684284D01* -X113974783Y-126695861D01* -X113198896Y-127471750D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112725870Y-127723298D01* -X112689792Y-127810397D01* -X112671400Y-127902862D01* -X112671400Y-127997138D01* -X112689792Y-128089603D01* -X112725870Y-128176702D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113628250Y-127901104D01* -X113959354Y-127570000D01* -X114639294Y-127570000D01* -X114640400Y-128139050D01* -X114697550Y-128196200D01* -X115320200Y-128196200D01* -X115320200Y-127398550D01* -X115467800Y-127398550D01* -X115467800Y-128196200D01* -X116090450Y-128196200D01* -X116147600Y-128139050D01* -X116148195Y-127832500D01* -X116339294Y-127832500D01* -X116339294Y-128707500D01* -X116348752Y-128803525D01* -X116376761Y-128895859D01* -X116422246Y-128980955D01* -X116465401Y-129033539D01* -X116465401Y-129478083D01* -X116465400Y-129478088D01* -X116465400Y-129601912D01* -X116471457Y-129632365D01* -X116474497Y-129663227D01* -X116483498Y-129692899D01* -X116489556Y-129723356D01* -X116501440Y-129752047D01* -X116510441Y-129781719D01* -X116525057Y-129809064D01* -X116536941Y-129837754D01* -X116554194Y-129863575D01* -X116568811Y-129890921D01* -X116588484Y-129914893D01* -X116605734Y-129940709D01* -X116627685Y-129962660D01* -X116647363Y-129986638D01* -X116671341Y-130006316D01* -X116693291Y-130028266D01* -X116719106Y-130045515D01* -X116743080Y-130065190D01* -X116770429Y-130079808D01* -X116796246Y-130097059D01* -X116824932Y-130108941D01* -X116852282Y-130123560D01* -X116881959Y-130132563D01* -X116910644Y-130144444D01* -X116941094Y-130150501D01* -X116970774Y-130159504D01* -X117001644Y-130162545D01* -X117032088Y-130168600D01* -X117063125Y-130168600D01* -X117094000Y-130171641D01* -X117124875Y-130168600D01* -X117155912Y-130168600D01* -X117186355Y-130162545D01* -X117217227Y-130159504D01* -X117246909Y-130150500D01* -X117277356Y-130144444D01* -X117306038Y-130132564D01* -X117335719Y-130123560D01* -X117363072Y-130108939D01* -X117391754Y-130097059D01* -X117417567Y-130079811D01* -X117444921Y-130065190D01* -X117468900Y-130045511D01* -X117494709Y-130028266D01* -X117516654Y-130006321D01* -X117540638Y-129986638D01* -X117560321Y-129962654D01* -X117582266Y-129940709D01* -X117599511Y-129914900D01* -X117619190Y-129890921D01* -X117633811Y-129863567D01* -X117651059Y-129837754D01* -X117662939Y-129809072D01* -X117677560Y-129781719D01* -X117686564Y-129752038D01* -X117698444Y-129723356D01* -X117704500Y-129692909D01* -X117713504Y-129663227D01* -X117716545Y-129632355D01* -X117722600Y-129601912D01* -X117722600Y-129033538D01* -X117765754Y-128980955D01* -X117811239Y-128895859D01* -X117839248Y-128803525D01* -X117848706Y-128707500D01* -X117848706Y-127832500D01* -X118371294Y-127832500D01* -X118371294Y-128707500D01* -X118380752Y-128803525D01* -X118408761Y-128895859D01* -X118454246Y-128980955D01* -X118497401Y-129033539D01* -X118497401Y-129478083D01* -X118497400Y-129478088D01* -X118497400Y-129601912D01* -X118503457Y-129632365D01* -X118506497Y-129663227D01* -X118515498Y-129692899D01* -X118521556Y-129723356D01* -X118533440Y-129752047D01* -X118542441Y-129781719D01* -X118557057Y-129809064D01* -X118568941Y-129837754D01* -X118586194Y-129863575D01* -X118600811Y-129890921D01* -X118620484Y-129914893D01* -X118637734Y-129940709D01* -X118659685Y-129962660D01* -X118679363Y-129986638D01* -X118703341Y-130006316D01* -X118725291Y-130028266D01* -X118751106Y-130045515D01* -X118775080Y-130065190D01* -X118802429Y-130079808D01* -X118828246Y-130097059D01* -X118856932Y-130108941D01* -X118884282Y-130123560D01* -X118913959Y-130132563D01* -X118942644Y-130144444D01* -X118973094Y-130150501D01* -X119002774Y-130159504D01* -X119033644Y-130162545D01* -X119064088Y-130168600D01* -X119095125Y-130168600D01* -X119126000Y-130171641D01* -X119156875Y-130168600D01* -X119187912Y-130168600D01* -X119218355Y-130162545D01* -X119249227Y-130159504D01* -X119278909Y-130150500D01* -X119309356Y-130144444D01* -X119338038Y-130132564D01* -X119367719Y-130123560D01* -X119395072Y-130108939D01* -X119423754Y-130097059D01* -X119449567Y-130079811D01* -X119476921Y-130065190D01* -X119500900Y-130045511D01* -X119526709Y-130028266D01* -X119548654Y-130006321D01* -X119572638Y-129986638D01* -X119592321Y-129962654D01* -X119614266Y-129940709D01* -X119631511Y-129914900D01* -X119651190Y-129890921D01* -X119665811Y-129863567D01* -X119683059Y-129837754D01* -X119694939Y-129809072D01* -X119709560Y-129781719D01* -X119718564Y-129752038D01* -X119730444Y-129723356D01* -X119736500Y-129692909D01* -X119745504Y-129663227D01* -X119748545Y-129632355D01* -X119754600Y-129601912D01* -X119754600Y-129033538D01* -X119797754Y-128980955D01* -X119803609Y-128970000D01* -X120071294Y-128970000D01* -X120075708Y-129014813D01* -X120088779Y-129057905D01* -X120110006Y-129097618D01* -X120138573Y-129132427D01* -X120173382Y-129160994D01* -X120213095Y-129182221D01* -X120256187Y-129195292D01* -X120301000Y-129199706D01* -X120695050Y-129198600D01* -X120752200Y-129141450D01* -X120752200Y-128343800D01* -X120899800Y-128343800D01* -X120899800Y-129141450D01* -X120956950Y-129198600D01* -X121351000Y-129199706D01* -X121395813Y-129195292D01* -X121438905Y-129182221D01* -X121478618Y-129160994D01* -X121513427Y-129132427D01* -X121541994Y-129097618D01* -X121563221Y-129057905D01* -X121576292Y-129014813D01* -X121580706Y-128970000D01* -X121579600Y-128400950D01* -X121522450Y-128343800D01* -X120899800Y-128343800D01* -X120752200Y-128343800D01* -X120129550Y-128343800D01* -X120072400Y-128400950D01* -X120071294Y-128970000D01* -X119803609Y-128970000D01* -X119843239Y-128895859D01* -X119871248Y-128803525D01* -X119880706Y-128707500D01* -X119880706Y-127832500D01* -X119871248Y-127736475D01* -X119843239Y-127644141D01* -X119803610Y-127570000D01* -X120071294Y-127570000D01* -X120072400Y-128139050D01* -X120129550Y-128196200D01* -X120752200Y-128196200D01* -X120752200Y-127398550D01* -X120899800Y-127398550D01* -X120899800Y-128196200D01* -X121522450Y-128196200D01* -X121579600Y-128139050D01* -X121580706Y-127570000D01* -X121576292Y-127525187D01* -X121563221Y-127482095D01* -X121541994Y-127442382D01* -X121513427Y-127407573D01* -X121478618Y-127379006D01* -X121438905Y-127357779D01* -X121395813Y-127344708D01* -X121351000Y-127340294D01* -X120956950Y-127341400D01* -X120899800Y-127398550D01* -X120752200Y-127398550D01* -X120695050Y-127341400D01* -X120301000Y-127340294D01* -X120256187Y-127344708D01* -X120213095Y-127357779D01* -X120173382Y-127379006D01* -X120138573Y-127407573D01* -X120110006Y-127442382D01* -X120088779Y-127482095D01* -X120075708Y-127525187D01* -X120071294Y-127570000D01* -X119803610Y-127570000D01* -X119797754Y-127559045D01* -X119736542Y-127484458D01* -X119661955Y-127423246D01* -X119576859Y-127377761D01* -X119484525Y-127349752D01* -X119388500Y-127340294D01* -X118863500Y-127340294D01* -X118767475Y-127349752D01* -X118675141Y-127377761D01* -X118590045Y-127423246D01* -X118515458Y-127484458D01* -X118454246Y-127559045D01* -X118408761Y-127644141D01* -X118380752Y-127736475D01* -X118371294Y-127832500D01* -X117848706Y-127832500D01* -X117839248Y-127736475D01* -X117811239Y-127644141D01* -X117765754Y-127559045D01* -X117704542Y-127484458D01* -X117629955Y-127423246D01* -X117544859Y-127377761D01* -X117452525Y-127349752D01* -X117356500Y-127340294D01* -X116831500Y-127340294D01* -X116735475Y-127349752D01* -X116643141Y-127377761D01* -X116558045Y-127423246D01* -X116483458Y-127484458D01* -X116422246Y-127559045D01* -X116376761Y-127644141D01* -X116348752Y-127736475D01* -X116339294Y-127832500D01* -X116148195Y-127832500D01* -X116148706Y-127570000D01* -X116144292Y-127525187D01* -X116131221Y-127482095D01* -X116109994Y-127442382D01* -X116081427Y-127407573D01* -X116046618Y-127379006D01* -X116006905Y-127357779D01* -X115963813Y-127344708D01* -X115919000Y-127340294D01* -X115524950Y-127341400D01* -X115467800Y-127398550D01* -X115320200Y-127398550D01* -X115263050Y-127341400D01* -X114869000Y-127340294D01* -X114824187Y-127344708D01* -X114781095Y-127357779D01* -X114741382Y-127379006D01* -X114706573Y-127407573D01* -X114678006Y-127442382D01* -X114656779Y-127482095D01* -X114643708Y-127525187D01* -X114639294Y-127570000D01* -X113959354Y-127570000D01* -X114325756Y-127203600D01* -X122024246Y-127203600D01* -X124156400Y-129335756D01* -X124156401Y-131176087D01* -X124156400Y-131176097D01* -X124156400Y-131382294D01* -X124079000Y-131382294D01* -X123959857Y-131394029D01* -X123845293Y-131428781D01* -X123739710Y-131485217D01* -X123726815Y-131495800D01* -X122653185Y-131495800D01* -X122640290Y-131485217D01* -X122534707Y-131428781D01* -X122420143Y-131394029D01* -X122301000Y-131382294D01* -X121539000Y-131382294D01* -X121419857Y-131394029D01* -X121305293Y-131428781D01* -X121199710Y-131485217D01* -X121186815Y-131495800D01* -X120113185Y-131495800D01* -X120100290Y-131485217D01* -X119994707Y-131428781D01* -X119880143Y-131394029D01* -X119761000Y-131382294D01* -X119683600Y-131382294D01* -X119683600Y-131194904D01* -X119685068Y-131180000D01* -X119679206Y-131120484D01* -X119676173Y-131110485D01* -X119661846Y-131063256D01* -X119633655Y-131010513D01* -X119595716Y-130964284D01* -X119584133Y-130954779D01* -X118965226Y-130335872D01* -X118955716Y-130324284D01* -X118909487Y-130286345D01* -X118856744Y-130258154D01* -X118799516Y-130240794D01* -X118754904Y-130236400D01* -X118740000Y-130234932D01* -X118725096Y-130236400D01* -X114665755Y-130236400D01* -X113399355Y-128970000D01* -X114639294Y-128970000D01* -X114643708Y-129014813D01* -X114656779Y-129057905D01* -X114678006Y-129097618D01* -X114706573Y-129132427D01* -X114741382Y-129160994D01* -X114781095Y-129182221D01* -X114824187Y-129195292D01* -X114869000Y-129199706D01* -X115263050Y-129198600D01* -X115320200Y-129141450D01* -X115320200Y-128343800D01* -X115467800Y-128343800D01* -X115467800Y-129141450D01* -X115524950Y-129198600D01* -X115919000Y-129199706D01* -X115963813Y-129195292D01* -X116006905Y-129182221D01* -X116046618Y-129160994D01* -X116081427Y-129132427D01* -X116109994Y-129097618D01* -X116131221Y-129057905D01* -X116144292Y-129014813D01* -X116148706Y-128970000D01* -X116147600Y-128400950D01* -X116090450Y-128343800D01* -X115467800Y-128343800D01* -X115320200Y-128343800D01* -X114697550Y-128343800D01* -X114640400Y-128400950D01* -X114639294Y-128970000D01* -X113399355Y-128970000D01* -X111225226Y-126795872D01* -X111215716Y-126784284D01* -X111169487Y-126746345D01* -X111116744Y-126718154D01* -X111059516Y-126700794D01* -X111014904Y-126696400D01* -X111000000Y-126694932D01* -X110985096Y-126696400D01* -X110075755Y-126696400D01* -X109903600Y-126524246D01* -X109903600Y-125869318D01* -X109937095Y-125887221D01* -X109980187Y-125900292D01* -X110025000Y-125904706D01* -X110119050Y-125903600D01* -X110176200Y-125846450D01* -X110176200Y-125023800D01* -X110323800Y-125023800D01* -X110323800Y-125846450D01* -X110380950Y-125903600D01* -X110475000Y-125904706D01* -X110519813Y-125900292D01* -X110562905Y-125887221D01* -X110575000Y-125880756D01* -X110587095Y-125887221D01* -X110630187Y-125900292D01* -X110675000Y-125904706D01* -X110769050Y-125903600D01* -X110826200Y-125846450D01* -X110826200Y-125023800D01* -X110973800Y-125023800D01* -X110973800Y-125846450D01* -X111030950Y-125903600D01* -X111125000Y-125904706D01* -X111169813Y-125900292D01* -X111212905Y-125887221D01* -X111225000Y-125880756D01* -X111237095Y-125887221D01* -X111280187Y-125900292D01* -X111325000Y-125904706D01* -X111419050Y-125903600D01* -X111476200Y-125846450D01* -X111476200Y-125023800D01* -X111623800Y-125023800D01* -X111623800Y-125846450D01* -X111680950Y-125903600D01* -X111775000Y-125904706D01* -X111819813Y-125900292D01* -X111862905Y-125887221D01* -X111902618Y-125865994D01* -X111937427Y-125837427D01* -X111965994Y-125802618D01* -X111987221Y-125762905D01* -X112000292Y-125719813D01* -X112004706Y-125675000D01* -X112003600Y-125080950D01* -X111946450Y-125023800D01* -X111623800Y-125023800D01* -X111476200Y-125023800D01* -X110973800Y-125023800D01* -X110826200Y-125023800D01* -X110323800Y-125023800D01* -X110176200Y-125023800D01* -X110156200Y-125023800D01* -X110156200Y-124876200D01* -X110176200Y-124876200D01* -X110176200Y-124053550D01* -X110323800Y-124053550D01* -X110323800Y-124876200D01* -X110826200Y-124876200D01* -X110826200Y-124053550D01* -X110973800Y-124053550D01* -X110973800Y-124876200D01* -X111476200Y-124876200D01* -X111476200Y-124053550D01* -X111623800Y-124053550D01* -X111623800Y-124876200D01* -X111946450Y-124876200D01* -X112003600Y-124819050D01* -X112004706Y-124225000D01* -X112000292Y-124180187D01* -X111987221Y-124137095D01* -X111965994Y-124097382D01* -X111937427Y-124062573D01* -X111902618Y-124034006D01* -X111862905Y-124012779D01* -X111819813Y-123999708D01* -X111775000Y-123995294D01* -X111680950Y-123996400D01* -X111623800Y-124053550D01* -X111476200Y-124053550D01* -X111419050Y-123996400D01* -X111325000Y-123995294D01* -X111280187Y-123999708D01* -X111237095Y-124012779D01* -X111225000Y-124019244D01* -X111212905Y-124012779D01* -X111169813Y-123999708D01* -X111125000Y-123995294D01* -X111030950Y-123996400D01* -X110973800Y-124053550D01* -X110826200Y-124053550D01* -X110769050Y-123996400D01* -X110675000Y-123995294D01* -X110630187Y-123999708D01* -X110587095Y-124012779D01* -X110575000Y-124019244D01* -X110562905Y-124012779D01* -X110519813Y-123999708D01* -X110475000Y-123995294D01* -X110380950Y-123996400D01* -X110323800Y-124053550D01* -X110176200Y-124053550D01* -X110119050Y-123996400D01* -X110025000Y-123995294D01* -X109980187Y-123999708D01* -X109937095Y-124012779D01* -X109897382Y-124034006D01* -X109885457Y-124043793D01* -X109843457Y-124021343D01* -X109779261Y-124001869D01* -X109712500Y-123995294D01* -X109487500Y-123995294D01* -X109420739Y-124001869D01* -X109356543Y-124021343D01* -X109297381Y-124052966D01* -X109275000Y-124071334D01* -X109253600Y-124053771D01* -X109253600Y-123900000D01* -X114420294Y-123900000D01* -X114420294Y-124500000D01* -X114428070Y-124578954D01* -X114451100Y-124654874D01* -X114488499Y-124724843D01* -X114538830Y-124786170D01* -X114600157Y-124836501D01* -X114670126Y-124873900D01* -X114746046Y-124896930D01* -X114825000Y-124904706D01* -X115175000Y-124904706D01* -X115253954Y-124896930D01* -X115329874Y-124873900D01* -X115399843Y-124836501D01* -X115461170Y-124786170D01* -X115511501Y-124724843D01* -X115548900Y-124654874D01* -X115571930Y-124578954D01* -X115579706Y-124500000D01* -X115579706Y-123900000D01* -X116020294Y-123900000D01* -X116020294Y-124500000D01* -X116028070Y-124578954D01* -X116051100Y-124654874D01* -X116088499Y-124724843D01* -X116138830Y-124786170D01* -X116200157Y-124836501D01* -X116270126Y-124873900D01* -X116296401Y-124881870D01* -X116296401Y-125027251D01* -X116294910Y-125028247D01* -X116228247Y-125094910D01* -X116175870Y-125173298D01* -X116139792Y-125260397D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X117024130Y-125626702D01* -X117060208Y-125539603D01* -X117078600Y-125447138D01* -X117078600Y-125352862D01* -X117060208Y-125260397D01* -X117024130Y-125173298D01* -X116971753Y-125094910D01* -X116926843Y-125050000D01* -X128318359Y-125050000D01* -X128330496Y-125173226D01* -X128366440Y-125291718D01* -X128424810Y-125400920D01* -X128503363Y-125496637D01* -X128599080Y-125575190D01* -X128708282Y-125633560D01* -X128826774Y-125669504D01* -X128950000Y-125681641D01* -X129073226Y-125669504D01* -X129150000Y-125646215D01* -X129222326Y-125668155D01* -X129226773Y-125669504D01* -X129350000Y-125681641D01* -X129380875Y-125678600D01* -X129586462Y-125678600D01* -X129639045Y-125721754D01* -X129721401Y-125765774D01* -X129721401Y-126038083D01* -X129721400Y-126038088D01* -X129721400Y-126161912D01* -X129727457Y-126192365D01* -X129730497Y-126223227D01* -X129739498Y-126252899D01* -X129745556Y-126283356D01* -X129757440Y-126312047D01* -X129766441Y-126341719D01* -X129781057Y-126369064D01* -X129792941Y-126397754D01* -X129810194Y-126423575D01* -X129824811Y-126450921D01* -X129844484Y-126474893D01* -X129861734Y-126500709D01* -X129883685Y-126522660D01* -X129903363Y-126546638D01* -X129927341Y-126566316D01* -X129949291Y-126588266D01* -X129975106Y-126605515D01* -X129999080Y-126625190D01* -X130026429Y-126639808D01* -X130052246Y-126657059D01* -X130080932Y-126668941D01* -X130108282Y-126683560D01* -X130137959Y-126692563D01* -X130166644Y-126704444D01* -X130197094Y-126710501D01* -X130226774Y-126719504D01* -X130257644Y-126722545D01* -X130288088Y-126728600D01* -X130319125Y-126728600D01* -X130350000Y-126731641D01* -X130380875Y-126728600D01* -X130411912Y-126728600D01* -X130442355Y-126722545D01* -X130473227Y-126719504D01* -X130502909Y-126710500D01* -X130533356Y-126704444D01* -X130562038Y-126692564D01* -X130591719Y-126683560D01* -X130619072Y-126668939D01* -X130647754Y-126657059D01* -X130673567Y-126639811D01* -X130700921Y-126625190D01* -X130724900Y-126605511D01* -X130750709Y-126588266D01* -X130772654Y-126566321D01* -X130796638Y-126546638D01* -X130816321Y-126522654D01* -X130838266Y-126500709D01* -X130855511Y-126474900D01* -X130875190Y-126450921D01* -X130889811Y-126423567D01* -X130907059Y-126397754D01* -X130918939Y-126369072D01* -X130933560Y-126341719D01* -X130942564Y-126312038D01* -X130954444Y-126283356D01* -X130960500Y-126252909D01* -X130969504Y-126223227D01* -X130972545Y-126192355D01* -X130978600Y-126161912D01* -X130978600Y-125765774D01* -X131060955Y-125721754D01* -X131113538Y-125678600D01* -X131319125Y-125678600D01* -X131350000Y-125681641D01* -X131380875Y-125678600D01* -X131719125Y-125678600D01* -X131750000Y-125681641D01* -X131780875Y-125678600D01* -X131986462Y-125678600D01* -X132039045Y-125721754D01* -X132121401Y-125765774D01* -X132121401Y-126038083D01* -X132121400Y-126038088D01* -X132121400Y-126161912D01* -X132127457Y-126192365D01* -X132130497Y-126223227D01* -X132139498Y-126252899D01* -X132145556Y-126283356D01* -X132157440Y-126312047D01* -X132166441Y-126341719D01* -X132181057Y-126369064D01* -X132192941Y-126397754D01* -X132210194Y-126423575D01* -X132224811Y-126450921D01* -X132244484Y-126474893D01* -X132261734Y-126500709D01* -X132283685Y-126522660D01* -X132303363Y-126546638D01* -X132327341Y-126566316D01* -X132349291Y-126588266D01* -X132375106Y-126605515D01* -X132399080Y-126625190D01* -X132426429Y-126639808D01* -X132452246Y-126657059D01* -X132480932Y-126668941D01* -X132508282Y-126683560D01* -X132537959Y-126692563D01* -X132566644Y-126704444D01* -X132597094Y-126710501D01* -X132626774Y-126719504D01* -X132657644Y-126722545D01* -X132688088Y-126728600D01* -X132719125Y-126728600D01* -X132750000Y-126731641D01* -X132780875Y-126728600D01* -X132811912Y-126728600D01* -X132842355Y-126722545D01* -X132873227Y-126719504D01* -X132902909Y-126710500D01* -X132933356Y-126704444D01* -X132962038Y-126692564D01* -X132991719Y-126683560D01* -X133019072Y-126668939D01* -X133047754Y-126657059D01* -X133073567Y-126639811D01* -X133100921Y-126625190D01* -X133124900Y-126605511D01* -X133150709Y-126588266D01* -X133172654Y-126566321D01* -X133196638Y-126546638D01* -X133216321Y-126522654D01* -X133238266Y-126500709D01* -X133255511Y-126474900D01* -X133275190Y-126450921D01* -X133289811Y-126423567D01* -X133307059Y-126397754D01* -X133318939Y-126369072D01* -X133333560Y-126341719D01* -X133342564Y-126312038D01* -X133354444Y-126283356D01* -X133360500Y-126252909D01* -X133369504Y-126223227D01* -X133372545Y-126192355D01* -X133378600Y-126161912D01* -X133378600Y-125765774D01* -X133460955Y-125721754D01* -X133513538Y-125678600D01* -X133819125Y-125678600D01* -X133850000Y-125681641D01* -X133880875Y-125678600D01* -X133901634Y-125676555D01* -X134008281Y-125733560D01* -X134126773Y-125769504D01* -X134219125Y-125778600D01* -X134219126Y-125778600D01* -X134249999Y-125781641D01* -X134280872Y-125778600D01* -X134571400Y-125778600D01* -X134571400Y-126111912D01* -X134577457Y-126142362D01* -X134580497Y-126173227D01* -X134589498Y-126202899D01* -X134595556Y-126233356D01* -X134607440Y-126262047D01* -X134616441Y-126291719D01* -X134631057Y-126319064D01* -X134642941Y-126347754D01* -X134660194Y-126373575D01* -X134674811Y-126400921D01* -X134694484Y-126424893D01* -X134711734Y-126450709D01* -X134733685Y-126472660D01* -X134753363Y-126496638D01* -X134777341Y-126516316D01* -X134799291Y-126538266D01* -X134825106Y-126555515D01* -X134849080Y-126575190D01* -X134876429Y-126589808D01* -X134902246Y-126607059D01* -X134930932Y-126618941D01* -X134958282Y-126633560D01* -X134987959Y-126642563D01* -X135016644Y-126654444D01* -X135047094Y-126660501D01* -X135076774Y-126669504D01* -X135107644Y-126672545D01* -X135138088Y-126678600D01* -X135169125Y-126678600D01* -X135200000Y-126681641D01* -X135230875Y-126678600D01* -X135261912Y-126678600D01* -X135292355Y-126672545D01* -X135323227Y-126669504D01* -X135352909Y-126660500D01* -X135383356Y-126654444D01* -X135412038Y-126642564D01* -X135441719Y-126633560D01* -X135469072Y-126618939D01* -X135497754Y-126607059D01* -X135523567Y-126589811D01* -X135550921Y-126575190D01* -X135574900Y-126555511D01* -X135600709Y-126538266D01* -X135622654Y-126516321D01* -X135646638Y-126496638D01* -X135666321Y-126472654D01* -X135688266Y-126450709D01* -X135705511Y-126424900D01* -X135725190Y-126400921D01* -X135739811Y-126373567D01* -X135757059Y-126347754D01* -X135768939Y-126319072D01* -X135783560Y-126291719D01* -X135792564Y-126262038D01* -X135804444Y-126233356D01* -X135810500Y-126202909D01* -X135819504Y-126173227D01* -X135822545Y-126142355D01* -X135828600Y-126111912D01* -X135828600Y-125750285D01* -X135839441Y-125746997D01* -X135913732Y-125707287D01* -X135978848Y-125653848D01* -X136032287Y-125588732D01* -X136071997Y-125514441D01* -X136096449Y-125433831D01* -X136104706Y-125350000D01* -X136104706Y-124950000D01* -X136096449Y-124866169D01* -X136071997Y-124785559D01* -X136032287Y-124711268D01* -X135978848Y-124646152D01* -X135913732Y-124592713D01* -X135839441Y-124553003D01* -X135758831Y-124528551D01* -X135675000Y-124520294D01* -X135219646Y-124520294D01* -X135200000Y-124518359D01* -X135180354Y-124520294D01* -X134725000Y-124520294D01* -X134713771Y-124521400D01* -X134510374Y-124521400D01* -X134488268Y-124499295D01* -X134488266Y-124499291D01* -X134466312Y-124477337D01* -X134446637Y-124453363D01* -X134422663Y-124433688D01* -X134400709Y-124411734D01* -X134374894Y-124394485D01* -X134350920Y-124374810D01* -X134323571Y-124360192D01* -X134297754Y-124342941D01* -X134269068Y-124331059D01* -X134241718Y-124316440D01* -X134212041Y-124307437D01* -X134183356Y-124295556D01* -X134152906Y-124289499D01* -X134123226Y-124280496D01* -X134092356Y-124277455D01* -X134061912Y-124271400D01* -X134030875Y-124271400D01* -X134000000Y-124268359D01* -X133999999Y-124268359D01* -X133969124Y-124271400D01* -X133938088Y-124271400D01* -X133907645Y-124277455D01* -X133876773Y-124280496D01* -X133847092Y-124289500D01* -X133816644Y-124295556D01* -X133787960Y-124307437D01* -X133758282Y-124316440D01* -X133730932Y-124331059D01* -X133702246Y-124342941D01* -X133676429Y-124360192D01* -X133649080Y-124374810D01* -X133625106Y-124394485D01* -X133599291Y-124411734D01* -X133589625Y-124421400D01* -X133513538Y-124421400D01* -X133460955Y-124378246D01* -X133375859Y-124332761D01* -X133283525Y-124304752D01* -X133187500Y-124295294D01* -X132312500Y-124295294D01* -X132216475Y-124304752D01* -X132124141Y-124332761D01* -X132039045Y-124378246D01* -X132001387Y-124409151D01* -X131996637Y-124403363D01* -X131972662Y-124383687D01* -X131950709Y-124361734D01* -X131924897Y-124344487D01* -X131900921Y-124324810D01* -X131873568Y-124310190D01* -X131847754Y-124292941D01* -X131819069Y-124281059D01* -X131791718Y-124266440D01* -X131762041Y-124257437D01* -X131733356Y-124245556D01* -X131702906Y-124239499D01* -X131673226Y-124230496D01* -X131642356Y-124227455D01* -X131611912Y-124221400D01* -X131580875Y-124221400D01* -X131550000Y-124218359D01* -X131519125Y-124221400D01* -X131488088Y-124221400D01* -X131457645Y-124227455D01* -X131426773Y-124230496D01* -X131397092Y-124239500D01* -X131366644Y-124245556D01* -X131337959Y-124257437D01* -X131308282Y-124266440D01* -X131280932Y-124281059D01* -X131252246Y-124292941D01* -X131226428Y-124310192D01* -X131199080Y-124324810D01* -X131175106Y-124344485D01* -X131149291Y-124361734D01* -X131127337Y-124383688D01* -X131103363Y-124403363D01* -X131098613Y-124409151D01* -X131060955Y-124378246D01* -X130975859Y-124332761D01* -X130883525Y-124304752D01* -X130787500Y-124295294D01* -X129912500Y-124295294D01* -X129816475Y-124304752D01* -X129724141Y-124332761D01* -X129639045Y-124378246D01* -X129601387Y-124409151D01* -X129596637Y-124403363D01* -X129572662Y-124383687D01* -X129550709Y-124361734D01* -X129524897Y-124344487D01* -X129500921Y-124324810D01* -X129473568Y-124310190D01* -X129447754Y-124292941D01* -X129419069Y-124281059D01* -X129391718Y-124266440D01* -X129362041Y-124257437D01* -X129333356Y-124245556D01* -X129302906Y-124239499D01* -X129273226Y-124230496D01* -X129242356Y-124227455D01* -X129211912Y-124221400D01* -X129180875Y-124221400D01* -X129150000Y-124218359D01* -X129119125Y-124221400D01* -X129088088Y-124221400D01* -X129057645Y-124227455D01* -X129026773Y-124230496D01* -X128997092Y-124239500D01* -X128966644Y-124245556D01* -X128937959Y-124257437D01* -X128908282Y-124266440D01* -X128880932Y-124281059D01* -X128852246Y-124292941D01* -X128826428Y-124310192D01* -X128799080Y-124324810D01* -X128775106Y-124344485D01* -X128749291Y-124361734D01* -X128727337Y-124383688D01* -X128703363Y-124403363D01* -X128683688Y-124427337D01* -X128661734Y-124449291D01* -X128661732Y-124449294D01* -X128483681Y-124627345D01* -X128424810Y-124699080D01* -X128366440Y-124808282D01* -X128330496Y-124926774D01* -X128318359Y-125050000D01* -X116926843Y-125050000D01* -X116905090Y-125028247D01* -X116903600Y-125027251D01* -X116903600Y-124881870D01* -X116929874Y-124873900D01* -X116999843Y-124836501D01* -X117061170Y-124786170D01* -X117111501Y-124724843D01* -X117148900Y-124654874D01* -X117171930Y-124578954D01* -X117179706Y-124500000D01* -X117179706Y-123900000D01* -X117171930Y-123821046D01* -X117148900Y-123745126D01* -X117111501Y-123675157D01* -X117061170Y-123613830D01* -X116999843Y-123563499D01* -X116929874Y-123526100D01* -X116853954Y-123503070D01* -X116775000Y-123495294D01* -X116425000Y-123495294D01* -X116346046Y-123503070D01* -X116270126Y-123526100D01* -X116200157Y-123563499D01* -X116138830Y-123613830D01* -X116088499Y-123675157D01* -X116051100Y-123745126D01* -X116028070Y-123821046D01* -X116020294Y-123900000D01* -X115579706Y-123900000D01* -X115571930Y-123821046D01* -X115548900Y-123745126D01* -X115511501Y-123675157D01* -X115461170Y-123613830D01* -X115399843Y-123563499D01* -X115329874Y-123526100D01* -X115303600Y-123518130D01* -X115303600Y-123357378D01* -X115333137Y-123333137D01* -X115374141Y-123283175D01* -X115404609Y-123226173D01* -X115423371Y-123164322D01* -X115429706Y-123100000D01* -X115429706Y-122700000D01* -X115869327Y-122700000D01* -X115870294Y-122709818D01* -X115870294Y-123100000D01* -X115876629Y-123164322D01* -X115895391Y-123226173D01* -X115925859Y-123283175D01* -X115966863Y-123333137D01* -X116016825Y-123374141D01* -X116073827Y-123404609D01* -X116135678Y-123423371D01* -X116200000Y-123429706D01* -X116400000Y-123429706D01* -X116464322Y-123423371D01* -X116526173Y-123404609D01* -X116583175Y-123374141D01* -X116633137Y-123333137D01* -X116674141Y-123283175D01* -X116704407Y-123226550D01* -X116732818Y-123249866D01* -X116815962Y-123294308D01* -X116906178Y-123321674D01* -X116976496Y-123328600D01* -X116976503Y-123328600D01* -X116999999Y-123330914D01* -X117023495Y-123328600D01* -X117186580Y-123328600D01* -X117224813Y-123375187D01* -X117291824Y-123430181D01* -X117321401Y-123445990D01* -X117321401Y-123602857D01* -X117321400Y-123602862D01* -X117321400Y-123697138D01* -X117326013Y-123720331D01* -X117328327Y-123743822D01* -X117335179Y-123766409D01* -X117339792Y-123789603D01* -X117348841Y-123811448D01* -X117355693Y-123834038D01* -X117366822Y-123854859D01* -X117375870Y-123876702D01* -X117389006Y-123896362D01* -X117400135Y-123917182D01* -X117415110Y-123935429D01* -X117428247Y-123955090D01* -X117444968Y-123971811D01* -X117459943Y-123990058D01* -X117478190Y-124005033D01* -X117494910Y-124021753D01* -X117514570Y-124034889D01* -X117532819Y-124049866D01* -X117553642Y-124060996D01* -X117573298Y-124074130D01* -X117595137Y-124083176D01* -X117615963Y-124094308D01* -X117638558Y-124101162D01* -X117660397Y-124110208D01* -X117683584Y-124114820D01* -X117706179Y-124121674D01* -X117729678Y-124123989D01* -X117752862Y-124128600D01* -X117776497Y-124128600D01* -X117800000Y-124130915D01* -X117823504Y-124128600D01* -X117847138Y-124128600D01* -X117870321Y-124123989D01* -X117893822Y-124121674D01* -X117916419Y-124114820D01* -X117939603Y-124110208D01* -X117961439Y-124101163D01* -X117984038Y-124094308D01* -X118004867Y-124083174D01* -X118026702Y-124074130D01* -X118046354Y-124060999D01* -X118067182Y-124049866D01* -X118085436Y-124034886D01* -X118105090Y-124021753D01* -X118121806Y-124005037D01* -X118140058Y-123990058D01* -X118155037Y-123971806D01* -X118171753Y-123955090D01* -X118184886Y-123935436D01* -X118199866Y-123917182D01* -X118210999Y-123896354D01* -X118224130Y-123876702D01* -X118224834Y-123875000D01* -X129420294Y-123875000D01* -X129424708Y-123919813D01* -X129437779Y-123962905D01* -X129459006Y-124002618D01* -X129487573Y-124037427D01* -X129522382Y-124065994D01* -X129562095Y-124087221D01* -X129605187Y-124100292D01* -X129650000Y-124104706D01* -X130219050Y-124103600D01* -X130276200Y-124046450D01* -X130276200Y-123423800D01* -X130423800Y-123423800D01* -X130423800Y-124046450D01* -X130480950Y-124103600D01* -X131050000Y-124104706D01* -X131094813Y-124100292D01* -X131137905Y-124087221D01* -X131177618Y-124065994D01* -X131212427Y-124037427D01* -X131240994Y-124002618D01* -X131262221Y-123962905D01* -X131275292Y-123919813D01* -X131279706Y-123875000D01* -X131820294Y-123875000D01* -X131824708Y-123919813D01* -X131837779Y-123962905D01* -X131859006Y-124002618D01* -X131887573Y-124037427D01* -X131922382Y-124065994D01* -X131962095Y-124087221D01* -X132005187Y-124100292D01* -X132050000Y-124104706D01* -X132619050Y-124103600D01* -X132676200Y-124046450D01* -X132676200Y-123423800D01* -X132823800Y-123423800D01* -X132823800Y-124046450D01* -X132880950Y-124103600D01* -X133450000Y-124104706D01* -X133494813Y-124100292D01* -X133537905Y-124087221D01* -X133577618Y-124065994D01* -X133612427Y-124037427D01* -X133640994Y-124002618D01* -X133662221Y-123962905D01* -X133675292Y-123919813D01* -X133679706Y-123875000D01* -X133679075Y-123650000D01* -X134295294Y-123650000D01* -X134299708Y-123694813D01* -X134312779Y-123737905D01* -X134334006Y-123777618D01* -X134362573Y-123812427D01* -X134397382Y-123840994D01* -X134437095Y-123862221D01* -X134480187Y-123875292D01* -X134525000Y-123879706D01* -X135069050Y-123878600D01* -X135126200Y-123821450D01* -X135126200Y-123323800D01* -X135273800Y-123323800D01* -X135273800Y-123821450D01* -X135330950Y-123878600D01* -X135875000Y-123879706D01* -X135919813Y-123875292D01* -X135962905Y-123862221D01* -X136002618Y-123840994D01* -X136037427Y-123812427D01* -X136065994Y-123777618D01* -X136087221Y-123737905D01* -X136100292Y-123694813D01* -X136104706Y-123650000D01* -X136103600Y-123380950D01* -X136046450Y-123323800D01* -X135273800Y-123323800D01* -X135126200Y-123323800D01* -X134353550Y-123323800D01* -X134296400Y-123380950D01* -X134295294Y-123650000D01* -X133679075Y-123650000D01* -X133678600Y-123480950D01* -X133621450Y-123423800D01* -X132823800Y-123423800D01* -X132676200Y-123423800D01* -X131878550Y-123423800D01* -X131821400Y-123480950D01* -X131820294Y-123875000D01* -X131279706Y-123875000D01* -X131278600Y-123480950D01* -X131221450Y-123423800D01* -X130423800Y-123423800D01* -X130276200Y-123423800D01* -X129478550Y-123423800D01* -X129421400Y-123480950D01* -X129420294Y-123875000D01* -X118224834Y-123875000D01* -X118233174Y-123854867D01* -X118244308Y-123834038D01* -X118251163Y-123811439D01* -X118260208Y-123789603D01* -X118264820Y-123766419D01* -X118271674Y-123743822D01* -X118273989Y-123720321D01* -X118278600Y-123697138D01* -X118278600Y-123445990D01* -X118308176Y-123430181D01* -X118375187Y-123375187D01* -X118413420Y-123328600D01* -X118476504Y-123328600D01* -X118500000Y-123330914D01* -X118523496Y-123328600D01* -X118523504Y-123328600D01* -X118593822Y-123321674D01* -X118684038Y-123294308D01* -X118767182Y-123249866D01* -X118840058Y-123190058D01* -X118855042Y-123171800D01* -X118955087Y-123071755D01* -X118955090Y-123071753D01* -X119021753Y-123005090D01* -X119034888Y-122985432D01* -X119049866Y-122967181D01* -X119060995Y-122946359D01* -X119074130Y-122926702D01* -X119083177Y-122904860D01* -X119094307Y-122884038D01* -X119101160Y-122861446D01* -X119110208Y-122839603D01* -X119113112Y-122825000D01* -X129420294Y-122825000D01* -X129421400Y-123219050D01* -X129478550Y-123276200D01* -X130276200Y-123276200D01* -X130276200Y-122653550D01* -X130423800Y-122653550D01* -X130423800Y-123276200D01* -X131221450Y-123276200D01* -X131278600Y-123219050D01* -X131279706Y-122825000D01* -X131820294Y-122825000D01* -X131821400Y-123219050D01* -X131878550Y-123276200D01* -X132676200Y-123276200D01* -X132676200Y-122653550D01* -X132823800Y-122653550D01* -X132823800Y-123276200D01* -X133621450Y-123276200D01* -X133678600Y-123219050D01* -X133679635Y-122850000D01* -X134295294Y-122850000D01* -X134296400Y-123119050D01* -X134353550Y-123176200D01* -X135126200Y-123176200D01* -X135126200Y-122678550D01* -X135273800Y-122678550D01* -X135273800Y-123176200D01* -X136046450Y-123176200D01* -X136103600Y-123119050D01* -X136104706Y-122850000D01* -X136102244Y-122825000D01* -X139170294Y-122825000D01* -X139171400Y-123219050D01* -X139228550Y-123276200D01* -X140026200Y-123276200D01* -X140026200Y-122653550D01* -X140173800Y-122653550D01* -X140173800Y-123276200D01* -X140971450Y-123276200D01* -X141028600Y-123219050D01* -X141029706Y-122825000D01* -X141025292Y-122780187D01* -X141012221Y-122737095D01* -X140990994Y-122697382D01* -X140962427Y-122662573D01* -X140927618Y-122634006D01* -X140887905Y-122612779D01* -X140844813Y-122599708D01* -X140800000Y-122595294D01* -X140230950Y-122596400D01* -X140173800Y-122653550D01* -X140026200Y-122653550D01* -X139969050Y-122596400D01* -X139400000Y-122595294D01* -X139355187Y-122599708D01* -X139312095Y-122612779D01* -X139272382Y-122634006D01* -X139237573Y-122662573D01* -X139209006Y-122697382D01* -X139187779Y-122737095D01* -X139174708Y-122780187D01* -X139170294Y-122825000D01* -X136102244Y-122825000D01* -X136100292Y-122805187D01* -X136087221Y-122762095D01* -X136065994Y-122722382D01* -X136037427Y-122687573D01* -X136002618Y-122659006D01* -X135962905Y-122637779D01* -X135919813Y-122624708D01* -X135875000Y-122620294D01* -X135330950Y-122621400D01* -X135273800Y-122678550D01* -X135126200Y-122678550D01* -X135069050Y-122621400D01* -X134525000Y-122620294D01* -X134480187Y-122624708D01* -X134437095Y-122637779D01* -X134397382Y-122659006D01* -X134362573Y-122687573D01* -X134334006Y-122722382D01* -X134312779Y-122762095D01* -X134299708Y-122805187D01* -X134295294Y-122850000D01* -X133679635Y-122850000D01* -X133679706Y-122825000D01* -X133675292Y-122780187D01* -X133662221Y-122737095D01* -X133640994Y-122697382D01* -X133612427Y-122662573D01* -X133577618Y-122634006D01* -X133537905Y-122612779D01* -X133494813Y-122599708D01* -X133450000Y-122595294D01* -X132880950Y-122596400D01* -X132823800Y-122653550D01* -X132676200Y-122653550D01* -X132619050Y-122596400D01* -X132050000Y-122595294D01* -X132005187Y-122599708D01* -X131962095Y-122612779D01* -X131922382Y-122634006D01* -X131887573Y-122662573D01* -X131859006Y-122697382D01* -X131837779Y-122737095D01* -X131824708Y-122780187D01* -X131820294Y-122825000D01* -X131279706Y-122825000D01* -X131275292Y-122780187D01* -X131262221Y-122737095D01* -X131240994Y-122697382D01* -X131212427Y-122662573D01* -X131177618Y-122634006D01* -X131137905Y-122612779D01* -X131094813Y-122599708D01* -X131050000Y-122595294D01* -X130480950Y-122596400D01* -X130423800Y-122653550D01* -X130276200Y-122653550D01* -X130219050Y-122596400D01* -X129650000Y-122595294D01* -X129605187Y-122599708D01* -X129562095Y-122612779D01* -X129522382Y-122634006D01* -X129487573Y-122662573D01* -X129459006Y-122697382D01* -X129437779Y-122737095D01* -X129424708Y-122780187D01* -X129420294Y-122825000D01* -X119113112Y-122825000D01* -X119114820Y-122816416D01* -X119121674Y-122793822D01* -X119123988Y-122770323D01* -X119128600Y-122747138D01* -X119128600Y-122723497D01* -X119130914Y-122700001D01* -X119128600Y-122676505D01* -X119128600Y-122652862D01* -X119123988Y-122629676D01* -X119121674Y-122606179D01* -X119114820Y-122583586D01* -X119110208Y-122560397D01* -X119101160Y-122538554D01* -X119094307Y-122515962D01* -X119083177Y-122495140D01* -X119074130Y-122473298D01* -X119060995Y-122453641D01* -X119049866Y-122432819D01* -X119034888Y-122414568D01* -X119021753Y-122394910D01* -X119005036Y-122378193D01* -X118990058Y-122359942D01* -X118971807Y-122344964D01* -X118955090Y-122328247D01* -X118935432Y-122315112D01* -X118917181Y-122300134D01* -X118896359Y-122289005D01* -X118876702Y-122275870D01* -X118854860Y-122266823D01* -X118834038Y-122255693D01* -X118811446Y-122248840D01* -X118789603Y-122239792D01* -X118766414Y-122235180D01* -X118743821Y-122228326D01* -X118720324Y-122226012D01* -X118697138Y-122221400D01* -X118673495Y-122221400D01* -X118649999Y-122219086D01* -X118626503Y-122221400D01* -X118602862Y-122221400D01* -X118579677Y-122226012D01* -X118556178Y-122228326D01* -X118533584Y-122235180D01* -X118510397Y-122239792D01* -X118488554Y-122248840D01* -X118465962Y-122255693D01* -X118445140Y-122266823D01* -X118423298Y-122275870D01* -X118403641Y-122289005D01* -X118382819Y-122300134D01* -X118364568Y-122315112D01* -X118363906Y-122315555D01* -X118308176Y-122269819D01* -X118231725Y-122228955D01* -X118148770Y-122203791D01* -X118062500Y-122195294D01* -X117537500Y-122195294D01* -X117451230Y-122203791D01* -X117368275Y-122228955D01* -X117291824Y-122269819D01* -X117224813Y-122324813D01* -X117191837Y-122364994D01* -X117155090Y-122328247D01* -X117135432Y-122315112D01* -X117117181Y-122300134D01* -X117096359Y-122289005D01* -X117076702Y-122275870D01* -X117054860Y-122266823D01* -X117034038Y-122255693D01* -X117011446Y-122248840D01* -X116989603Y-122239792D01* -X116966414Y-122235180D01* -X116943821Y-122228326D01* -X116920324Y-122226012D01* -X116897138Y-122221400D01* -X116873496Y-122221400D01* -X116850000Y-122219086D01* -X116826504Y-122221400D01* -X116802862Y-122221400D01* -X116779676Y-122226012D01* -X116756179Y-122228326D01* -X116733586Y-122235180D01* -X116723519Y-122237182D01* -X116723371Y-122235678D01* -X116704609Y-122173827D01* -X116674141Y-122116825D01* -X116633137Y-122066863D01* -X116583175Y-122025859D01* -X116526173Y-121995391D01* -X116464322Y-121976629D01* -X116400000Y-121970294D01* -X116200000Y-121970294D01* -X116135678Y-121976629D01* -X116073827Y-121995391D01* -X116016825Y-122025859D01* -X115966863Y-122066863D01* -X115925859Y-122116825D01* -X115895391Y-122173827D01* -X115876629Y-122235678D01* -X115870294Y-122300000D01* -X115870294Y-122690182D01* -X115869327Y-122700000D01* -X115429706Y-122700000D01* -X115429706Y-122300000D01* -X115423371Y-122235678D01* -X115404609Y-122173827D01* -X115374141Y-122116825D01* -X115333137Y-122066863D01* -X115283175Y-122025859D01* -X115226173Y-121995391D01* -X115164322Y-121976629D01* -X115100000Y-121970294D01* -X114900000Y-121970294D01* -X114835678Y-121976629D01* -X114773827Y-121995391D01* -X114716825Y-122025859D01* -X114666863Y-122066863D01* -X114625859Y-122116825D01* -X114595391Y-122173827D01* -X114576629Y-122235678D01* -X114570294Y-122300000D01* -X114570294Y-123100000D01* -X114576629Y-123164322D01* -X114595391Y-123226173D01* -X114625859Y-123283175D01* -X114666863Y-123333137D01* -X114696400Y-123357378D01* -X114696401Y-123518130D01* -X114670126Y-123526100D01* -X114600157Y-123563499D01* -X114538830Y-123613830D01* -X114488499Y-123675157D01* -X114451100Y-123745126D01* -X114428070Y-123821046D01* -X114420294Y-123900000D01* -X109253600Y-123900000D01* -X109253600Y-123422749D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109374130Y-123276702D01* -X109410208Y-123189603D01* -X109428600Y-123097138D01* -X109428600Y-123002862D01* -X109410208Y-122910397D01* -X109374130Y-122823298D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108646400Y-123422749D01* -X108646400Y-123469557D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108073298Y-123375870D01* -X107994910Y-123428247D01* -X107953600Y-123469557D01* -X107953600Y-123422749D01* -X107955090Y-123421753D01* -X108021753Y-123355090D01* -X108074130Y-123276702D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107346401Y-123422749D01* -X107346401Y-123469558D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106773298Y-123375870D01* -X106694910Y-123428247D01* -X106653600Y-123469557D01* -X106653600Y-123422749D01* -X106655090Y-123421753D01* -X106721753Y-123355090D01* -X106774130Y-123276702D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106046401Y-123422749D01* -X106046401Y-123469558D01* -X106005090Y-123428247D01* -X105926702Y-123375870D01* -X105839603Y-123339792D01* -X105747138Y-123321400D01* -X105652862Y-123321400D01* -X105560397Y-123339792D01* -X105473298Y-123375870D01* -X105394910Y-123428247D01* -X105328247Y-123494910D01* -X105275870Y-123573298D01* -X105239792Y-123660397D01* -X105221400Y-123752862D01* -X105221400Y-123847138D01* -X105239792Y-123939603D01* -X105246401Y-123955558D01* -X105246401Y-124326264D01* -X105245294Y-124337500D01* -X105245294Y-125562500D01* -X105251869Y-125629261D01* -X105271343Y-125693457D01* -X105302966Y-125752619D01* -X105345524Y-125804476D01* -X105397381Y-125847034D01* -X105456543Y-125878657D01* -X105520739Y-125898131D01* -X105587500Y-125904706D01* -X105812500Y-125904706D01* -X105879261Y-125898131D01* -X105943457Y-125878657D01* -X106002619Y-125847034D01* -X106025000Y-125828666D01* -X106047381Y-125847034D01* -X106106543Y-125878657D01* -X106170739Y-125898131D01* -X106237500Y-125904706D01* -X106462500Y-125904706D01* -X106529261Y-125898131D01* -X106593457Y-125878657D01* -X106652619Y-125847034D01* -X106675000Y-125828666D01* -X106697381Y-125847034D01* -X106756543Y-125878657D01* -X106820739Y-125898131D01* -X106887500Y-125904706D01* -X107112500Y-125904706D01* -X107179261Y-125898131D01* -X107243457Y-125878657D01* -X107302619Y-125847034D01* -X107325000Y-125828666D01* -X107347381Y-125847034D01* -X107406543Y-125878657D01* -X107470739Y-125898131D01* -X107537500Y-125904706D01* -X107762500Y-125904706D01* -X107829261Y-125898131D01* -X107893457Y-125878657D01* -X107952619Y-125847034D01* -X107975000Y-125828666D01* -X107997381Y-125847034D01* -X108056543Y-125878657D01* -X108120739Y-125898131D01* -X108187500Y-125904706D01* -X108412500Y-125904706D01* -X108479261Y-125898131D01* -X108543457Y-125878657D01* -X108602619Y-125847034D01* -X108625000Y-125828666D01* -X108647381Y-125847034D01* -X108706543Y-125878657D01* -X108770739Y-125898131D01* -X108837500Y-125904706D01* -X109062500Y-125904706D01* -X109129261Y-125898131D01* -X109193457Y-125878657D01* -X109252619Y-125847034D01* -X109275000Y-125828666D01* -X109296401Y-125846229D01* -X109296401Y-126635086D01* -X109294932Y-126650000D01* -X109300794Y-126709515D01* -X109311967Y-126746345D01* -X109318155Y-126766744D01* -X109346346Y-126819487D01* -X109384285Y-126865716D01* -X109395867Y-126875221D01* -X109517046Y-126996400D01* -X102975755Y-126996400D01* -X102003600Y-126024246D01* -X102003600Y-125869318D01* -X102037095Y-125887221D01* -X102080187Y-125900292D01* -X102125000Y-125904706D01* -X102219050Y-125903600D01* -X102276200Y-125846450D01* -X102276200Y-125023800D01* -X102423800Y-125023800D01* -X102423800Y-125846450D01* -X102480950Y-125903600D01* -X102575000Y-125904706D01* -X102619813Y-125900292D01* -X102662905Y-125887221D01* -X102702618Y-125865994D01* -X102737427Y-125837427D01* -X102765994Y-125802618D01* -X102787221Y-125762905D01* -X102800292Y-125719813D01* -X102804706Y-125675000D01* -X102803600Y-125080950D01* -X102746450Y-125023800D01* -X102423800Y-125023800D01* -X102276200Y-125023800D01* -X102256200Y-125023800D01* -X102256200Y-124876200D01* -X102276200Y-124876200D01* -X102276200Y-124053550D01* -X102423800Y-124053550D01* -X102423800Y-124876200D01* -X102746450Y-124876200D01* -X102803600Y-124819050D01* -X102804706Y-124225000D01* -X102800292Y-124180187D01* -X102787221Y-124137095D01* -X102765994Y-124097382D01* -X102737427Y-124062573D01* -X102702618Y-124034006D01* -X102662905Y-124012779D01* -X102619813Y-123999708D01* -X102575000Y-123995294D01* -X102480950Y-123996400D01* -X102423800Y-124053550D01* -X102276200Y-124053550D01* -X102219050Y-123996400D01* -X102125000Y-123995294D01* -X102080187Y-123999708D01* -X102037095Y-124012779D01* -X101997382Y-124034006D01* -X101985457Y-124043793D01* -X101943457Y-124021343D01* -X101879261Y-124001869D01* -X101812500Y-123995294D01* -X101587500Y-123995294D01* -X101520739Y-124001869D01* -X101456543Y-124021343D01* -X101397381Y-124052966D01* -X101375000Y-124071334D01* -X101352619Y-124052966D01* -X101293457Y-124021343D01* -X101229261Y-124001869D01* -X101162500Y-123995294D01* -X100937500Y-123995294D01* -X100870739Y-124001869D01* -X100806543Y-124021343D01* -X100747381Y-124052966D01* -X100725000Y-124071334D01* -X100702619Y-124052966D01* -X100643457Y-124021343D01* -X100579261Y-124001869D01* -X100512500Y-123995294D01* -X100287500Y-123995294D01* -X100220739Y-124001869D01* -X100156543Y-124021343D01* -X100097381Y-124052966D01* -X100075000Y-124071334D01* -X100052619Y-124052966D01* -X99993457Y-124021343D01* -X99929261Y-124001869D01* -X99862500Y-123995294D01* -X99637500Y-123995294D01* -X99570739Y-124001869D01* -X99506543Y-124021343D01* -X99447381Y-124052966D01* -X99425000Y-124071334D01* -X99402619Y-124052966D01* -X99343457Y-124021343D01* -X99279261Y-124001869D01* -X99212500Y-123995294D01* -X98987500Y-123995294D01* -X98920739Y-124001869D01* -X98856543Y-124021343D01* -X98797381Y-124052966D01* -X98775000Y-124071334D01* -X98752619Y-124052966D01* -X98693457Y-124021343D01* -X98629261Y-124001869D01* -X98562500Y-123995294D01* -X98337500Y-123995294D01* -X98270739Y-124001869D01* -X98206543Y-124021343D01* -X98147381Y-124052966D01* -X98125000Y-124071334D01* -X98102619Y-124052966D01* -X98043457Y-124021343D01* -X97979261Y-124001869D01* -X97912500Y-123995294D01* -X97687500Y-123995294D01* -X97620739Y-124001869D01* -X97556543Y-124021343D01* -X97497381Y-124052966D01* -X97475000Y-124071334D01* -X97452619Y-124052966D01* -X97393457Y-124021343D01* -X97329261Y-124001869D01* -X97262500Y-123995294D01* -X97037500Y-123995294D01* -X96970739Y-124001869D01* -X96953600Y-124007068D01* -X96953600Y-123955556D01* -X96960208Y-123939603D01* -X96978600Y-123847138D01* -X96978600Y-123752862D01* -X96960208Y-123660397D01* -X96924130Y-123573298D01* -X96871753Y-123494910D01* -X96805090Y-123428247D01* -X96726702Y-123375870D01* -X96639603Y-123339792D01* -X96547138Y-123321400D01* -X96452862Y-123321400D01* -X96360397Y-123339792D01* -X96273298Y-123375870D01* -X96194910Y-123428247D01* -X96128247Y-123494910D01* -X96075870Y-123573298D01* -X96039792Y-123660397D01* -X96021400Y-123752862D01* -X96021400Y-123847138D01* -X96039792Y-123939603D01* -X96046401Y-123955558D01* -X96046401Y-124326264D01* -X96045294Y-124337500D01* -X96045294Y-125562500D01* -X96051869Y-125629261D01* -X96071343Y-125693457D01* -X96102966Y-125752619D01* -X96145524Y-125804476D01* -X96197381Y-125847034D01* -X96256543Y-125878657D01* -X96320739Y-125898131D01* -X96387500Y-125904706D01* -X96612500Y-125904706D01* -X96679261Y-125898131D01* -X96743457Y-125878657D01* -X96802619Y-125847034D01* -X96825000Y-125828666D01* -X96846400Y-125846229D01* -X96846400Y-125885096D01* -X96844932Y-125900000D01* -X96846953Y-125920514D01* -X96850794Y-125959515D01* -X96868154Y-126016743D01* -X96896345Y-126069486D01* -X96934284Y-126115716D01* -X96945872Y-126125226D01* -X98756401Y-127935756D01* -X98756401Y-131382294D01* -X98679000Y-131382294D01* -X98559857Y-131394029D01* -X98445293Y-131428781D01* -X98339710Y-131485217D01* -X98326815Y-131495800D01* -X97253185Y-131495800D01* -X97240290Y-131485217D01* -X97134707Y-131428781D01* -X97020143Y-131394029D01* -X96901000Y-131382294D01* -X96823600Y-131382294D01* -X96823600Y-130184904D01* -X96825068Y-130170000D01* -X96819206Y-130110484D01* -X96801846Y-130053255D01* -X96773655Y-130000513D01* -X96758335Y-129981846D01* -X96735716Y-129954284D01* -X96724134Y-129944779D01* -X92803600Y-126024246D01* -X92803600Y-125869318D01* -X92837095Y-125887221D01* -X92880187Y-125900292D01* -X92925000Y-125904706D01* -X93019050Y-125903600D01* -X93076200Y-125846450D01* -X93076200Y-125023800D01* -X93223800Y-125023800D01* -X93223800Y-125846450D01* -X93280950Y-125903600D01* -X93375000Y-125904706D01* -X93419813Y-125900292D01* -X93462905Y-125887221D01* -X93502618Y-125865994D01* -X93537427Y-125837427D01* -X93565994Y-125802618D01* -X93587221Y-125762905D01* -X93600292Y-125719813D01* -X93604706Y-125675000D01* -X93603600Y-125080950D01* -X93546450Y-125023800D01* -X93223800Y-125023800D01* -X93076200Y-125023800D01* -X93056200Y-125023800D01* -X93056200Y-124876200D01* -X93076200Y-124876200D01* -X93076200Y-124053550D01* -X93223800Y-124053550D01* -X93223800Y-124876200D01* -X93546450Y-124876200D01* -X93603600Y-124819050D01* -X93604706Y-124225000D01* -X93600292Y-124180187D01* -X93587221Y-124137095D01* -X93565994Y-124097382D01* -X93537427Y-124062573D01* -X93502618Y-124034006D01* -X93462905Y-124012779D01* -X93419813Y-123999708D01* -X93375000Y-123995294D01* -X93280950Y-123996400D01* -X93223800Y-124053550D01* -X93076200Y-124053550D01* -X93019050Y-123996400D01* -X92925000Y-123995294D01* -X92880187Y-123999708D01* -X92837095Y-124012779D01* -X92797382Y-124034006D01* -X92785457Y-124043793D01* -X92743457Y-124021343D01* -X92679261Y-124001869D01* -X92612500Y-123995294D01* -X92387500Y-123995294D01* -X92320739Y-124001869D01* -X92256543Y-124021343D01* -X92197381Y-124052966D01* -X92175000Y-124071334D01* -X92152619Y-124052966D01* -X92093457Y-124021343D01* -X92029261Y-124001869D01* -X91962500Y-123995294D01* -X91737500Y-123995294D01* -X91670739Y-124001869D01* -X91606543Y-124021343D01* -X91547381Y-124052966D01* -X91525000Y-124071334D01* -X91502619Y-124052966D01* -X91443457Y-124021343D01* -X91379261Y-124001869D01* -X91312500Y-123995294D01* -X91087500Y-123995294D01* -X91020739Y-124001869D01* -X90956543Y-124021343D01* -X90897381Y-124052966D01* -X90875000Y-124071334D01* -X90852619Y-124052966D01* -X90793457Y-124021343D01* -X90729261Y-124001869D01* -X90662500Y-123995294D01* -X90437500Y-123995294D01* -X90370739Y-124001869D01* -X90306543Y-124021343D01* -X90247381Y-124052966D01* -X90225000Y-124071334D01* -X90202619Y-124052966D01* -X90143457Y-124021343D01* -X90079261Y-124001869D01* -X90012500Y-123995294D01* -X89787500Y-123995294D01* -X89720739Y-124001869D01* -X89656543Y-124021343D01* -X89597381Y-124052966D01* -X89575000Y-124071334D01* -X89552619Y-124052966D01* -X89493457Y-124021343D01* -X89429261Y-124001869D01* -X89362500Y-123995294D01* -X89137500Y-123995294D01* -X89070739Y-124001869D01* -X89006543Y-124021343D01* -X88947381Y-124052966D01* -X88925000Y-124071334D01* -X88902619Y-124052966D01* -X88843457Y-124021343D01* -X88779261Y-124001869D01* -X88712500Y-123995294D01* -X88487500Y-123995294D01* -X88420739Y-124001869D01* -X88356543Y-124021343D01* -X88297381Y-124052966D01* -X88275000Y-124071334D01* -X88252619Y-124052966D01* -X88193457Y-124021343D01* -X88129261Y-124001869D01* -X88062500Y-123995294D01* -X87837500Y-123995294D01* -X87770739Y-124001869D01* -X87753600Y-124007068D01* -X87753600Y-123955556D01* -X87760208Y-123939603D01* -X87778600Y-123847138D01* -X87778600Y-123752862D01* -X87760208Y-123660397D01* -X87724130Y-123573298D01* -X87671753Y-123494910D01* -X87605090Y-123428247D01* -X87526702Y-123375870D01* -X87439603Y-123339792D01* -X87347138Y-123321400D01* -X87252862Y-123321400D01* -X87160397Y-123339792D01* -X87073298Y-123375870D01* -X86994910Y-123428247D01* -X86928247Y-123494910D01* -X86875870Y-123573298D01* -X86839792Y-123660397D01* -X86821400Y-123752862D01* -X86821400Y-123847138D01* -X86839792Y-123939603D01* -X86846401Y-123955558D01* -X86846401Y-124326264D01* -X86845294Y-124337500D01* -X86845294Y-125562500D01* -X86851869Y-125629261D01* -X86871343Y-125693457D01* -X86902966Y-125752619D01* -X86945524Y-125804476D01* -X86997381Y-125847034D01* -X87056543Y-125878657D01* -X87120739Y-125898131D01* -X87187500Y-125904706D01* -X87412500Y-125904706D01* -X87471777Y-125898868D01* -X84974246Y-128396400D01* -X81245904Y-128396400D01* -X81231000Y-128394932D01* -X81216096Y-128396400D01* -X81171484Y-128400794D01* -X81114256Y-128418154D01* -X81061513Y-128446345D01* -X81015284Y-128484284D01* -X81005779Y-128495866D01* -X78535867Y-130965779D01* -X78524285Y-130975284D01* -X78486346Y-131021513D01* -X78458689Y-131073257D01* -X78458155Y-131074256D01* -X78440794Y-131131485D01* -X78434932Y-131191000D01* -X78436401Y-131205914D01* -X78436401Y-131382294D01* -X78359000Y-131382294D01* -X78239857Y-131394029D01* -X78125293Y-131428781D01* -X78019710Y-131485217D01* -X78006815Y-131495800D01* -X76933185Y-131495800D01* -X76920290Y-131485217D01* -X76814707Y-131428781D01* -X76700143Y-131394029D01* -X76581000Y-131382294D01* -X76503600Y-131382294D01* -X76503600Y-131175754D01* -X79525756Y-128153600D01* -X84716096Y-128153600D01* -X84731000Y-128155068D01* -X84745904Y-128153600D01* -X84790516Y-128149206D01* -X84847744Y-128131846D01* -X84900487Y-128103655D01* -X84946716Y-128065716D01* -X84956226Y-128054129D01* -X85151104Y-127859250D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85624130Y-127607702D01* -X85660208Y-127520603D01* -X85678600Y-127428138D01* -X85678600Y-127333862D01* -X85660208Y-127241397D01* -X85624130Y-127154298D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84721750Y-127429896D01* -X84605246Y-127546400D01* -X79414903Y-127546400D01* -X79399999Y-127544932D01* -X79340483Y-127550794D01* -X79322185Y-127556345D01* -X79283256Y-127568154D01* -X79230513Y-127596345D01* -X79184284Y-127634284D01* -X79174783Y-127645861D01* -X75995872Y-130824774D01* -X75984284Y-130834284D01* -X75946345Y-130880514D01* -X75918154Y-130933257D01* -X75902197Y-130985861D01* -X75900794Y-130990485D01* -X75894932Y-131050000D01* -X75896400Y-131064904D01* -X75896400Y-131382294D01* -X75819000Y-131382294D01* -X75699857Y-131394029D01* -X75585293Y-131428781D01* -X75479710Y-131485217D01* -X75466815Y-131495800D01* -X48140544Y-131495800D01* -X47522951Y-131372281D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46177200Y-129532456D01* -X46177200Y-129426617D01* -X46981800Y-129426617D01* -X46981800Y-129653383D01* -X47026040Y-129875793D01* -X47112820Y-130085298D01* -X47238805Y-130273847D01* -X47399153Y-130434195D01* -X47587702Y-130560180D01* -X47797207Y-130646960D01* -X48019617Y-130691200D01* -X48246383Y-130691200D01* -X48468793Y-130646960D01* -X48678298Y-130560180D01* -X48866847Y-130434195D01* -X49027195Y-130273847D01* -X49153180Y-130085298D01* -X49239960Y-129875793D01* -X49284200Y-129653383D01* -X49284200Y-129426617D01* -X49239960Y-129204207D01* -X49153180Y-128994702D01* -X49136675Y-128970000D01* -X73745294Y-128970000D01* -X73749708Y-129014813D01* -X73762779Y-129057905D01* -X73784006Y-129097618D01* -X73812573Y-129132427D01* -X73847382Y-129160994D01* -X73887095Y-129182221D01* -X73930187Y-129195292D01* -X73975000Y-129199706D01* -X74369050Y-129198600D01* -X74426200Y-129141450D01* -X74426200Y-128343800D01* -X74573800Y-128343800D01* -X74573800Y-129141450D01* -X74630950Y-129198600D01* -X75025000Y-129199706D01* -X75069813Y-129195292D01* -X75112905Y-129182221D01* -X75152618Y-129160994D01* -X75187427Y-129132427D01* -X75215994Y-129097618D01* -X75237221Y-129057905D01* -X75250292Y-129014813D01* -X75254706Y-128970000D01* -X75253600Y-128400950D01* -X75196450Y-128343800D01* -X74573800Y-128343800D01* -X74426200Y-128343800D01* -X73803550Y-128343800D01* -X73746400Y-128400950D01* -X73745294Y-128970000D01* -X49136675Y-128970000D01* -X49027195Y-128806153D01* -X48866847Y-128645805D01* -X48678298Y-128519820D01* -X48468793Y-128433040D01* -X48246383Y-128388800D01* -X48019617Y-128388800D01* -X47797207Y-128433040D01* -X47587702Y-128519820D01* -X47399153Y-128645805D01* -X47238805Y-128806153D01* -X47112820Y-128994702D01* -X47026040Y-129204207D01* -X46981800Y-129426617D01* -X46177200Y-129426617D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48700877Y-127570000D01* -X73745294Y-127570000D01* -X73746400Y-128139050D01* -X73803550Y-128196200D01* -X74426200Y-128196200D01* -X74426200Y-127398550D01* -X74573800Y-127398550D01* -X74573800Y-128196200D01* -X75196450Y-128196200D01* -X75253600Y-128139050D01* -X75254195Y-127832500D01* -X75445294Y-127832500D01* -X75445294Y-128707500D01* -X75454752Y-128803525D01* -X75482761Y-128895859D01* -X75528246Y-128980955D01* -X75571401Y-129033539D01* -X75571401Y-129478083D01* -X75571400Y-129478088D01* -X75571400Y-129601912D01* -X75577457Y-129632365D01* -X75580497Y-129663227D01* -X75589498Y-129692899D01* -X75595556Y-129723356D01* -X75607440Y-129752047D01* -X75616441Y-129781719D01* -X75631057Y-129809064D01* -X75642941Y-129837754D01* -X75660194Y-129863575D01* -X75674811Y-129890921D01* -X75694484Y-129914893D01* -X75711734Y-129940709D01* -X75733685Y-129962660D01* -X75753363Y-129986638D01* -X75777341Y-130006316D01* -X75799291Y-130028266D01* -X75825106Y-130045515D01* -X75849080Y-130065190D01* -X75876429Y-130079808D01* -X75902246Y-130097059D01* -X75930932Y-130108941D01* -X75958282Y-130123560D01* -X75987959Y-130132563D01* -X76016644Y-130144444D01* -X76047094Y-130150501D01* -X76076774Y-130159504D01* -X76107644Y-130162545D01* -X76138088Y-130168600D01* -X76169125Y-130168600D01* -X76200000Y-130171641D01* -X76230875Y-130168600D01* -X76261912Y-130168600D01* -X76292355Y-130162545D01* -X76323227Y-130159504D01* -X76352909Y-130150500D01* -X76383356Y-130144444D01* -X76412038Y-130132564D01* -X76441719Y-130123560D01* -X76469072Y-130108939D01* -X76497754Y-130097059D01* -X76523567Y-130079811D01* -X76550921Y-130065190D01* -X76574900Y-130045511D01* -X76600709Y-130028266D01* -X76622654Y-130006321D01* -X76646638Y-129986638D01* -X76666321Y-129962654D01* -X76688266Y-129940709D01* -X76705511Y-129914900D01* -X76725190Y-129890921D01* -X76739811Y-129863567D01* -X76757059Y-129837754D01* -X76768939Y-129809072D01* -X76783560Y-129781719D01* -X76792564Y-129752038D01* -X76804444Y-129723356D01* -X76810500Y-129692909D01* -X76819504Y-129663227D01* -X76822545Y-129632355D01* -X76828600Y-129601912D01* -X76828600Y-129033538D01* -X76871754Y-128980955D01* -X76915774Y-128898600D01* -X77404912Y-128898600D01* -X77435355Y-128892545D01* -X77466227Y-128889504D01* -X77495909Y-128880500D01* -X77526356Y-128874444D01* -X77555038Y-128862564D01* -X77584719Y-128853560D01* -X77612072Y-128838939D01* -X77640754Y-128827059D01* -X77666567Y-128809811D01* -X77693921Y-128795190D01* -X77717900Y-128775511D01* -X77743709Y-128758266D01* -X77765654Y-128736321D01* -X77789638Y-128716638D01* -X77809321Y-128692654D01* -X77831266Y-128670709D01* -X77848511Y-128644900D01* -X77868190Y-128620921D01* -X77882811Y-128593567D01* -X77900059Y-128567754D01* -X77911939Y-128539072D01* -X77926560Y-128511719D01* -X77935564Y-128482038D01* -X77947444Y-128453356D01* -X77953500Y-128422909D01* -X77962504Y-128393227D01* -X77965545Y-128362355D01* -X77971600Y-128331912D01* -X77971600Y-128300875D01* -X77974641Y-128270000D01* -X77971600Y-128239125D01* -X77971600Y-128208088D01* -X77965545Y-128177645D01* -X77962504Y-128146773D01* -X77953500Y-128117091D01* -X77947444Y-128086644D01* -X77935564Y-128057962D01* -X77926560Y-128028281D01* -X77911939Y-128000928D01* -X77900059Y-127972246D01* -X77882811Y-127946433D01* -X77868190Y-127919079D01* -X77848511Y-127895100D01* -X77831266Y-127869291D01* -X77809321Y-127847346D01* -X77789638Y-127823362D01* -X77765654Y-127803679D01* -X77743709Y-127781734D01* -X77717900Y-127764489D01* -X77693921Y-127744810D01* -X77666567Y-127730189D01* -X77640754Y-127712941D01* -X77612072Y-127701061D01* -X77584719Y-127686440D01* -X77555038Y-127677436D01* -X77526356Y-127665556D01* -X77495909Y-127659500D01* -X77466227Y-127650496D01* -X77435355Y-127647455D01* -X77404912Y-127641400D01* -X76915774Y-127641400D01* -X76871754Y-127559045D01* -X76810542Y-127484458D01* -X76735955Y-127423246D01* -X76650859Y-127377761D01* -X76558525Y-127349752D01* -X76462500Y-127340294D01* -X75937500Y-127340294D01* -X75841475Y-127349752D01* -X75749141Y-127377761D01* -X75664045Y-127423246D01* -X75589458Y-127484458D01* -X75528246Y-127559045D01* -X75482761Y-127644141D01* -X75454752Y-127736475D01* -X75445294Y-127832500D01* -X75254195Y-127832500D01* -X75254706Y-127570000D01* -X75250292Y-127525187D01* -X75237221Y-127482095D01* -X75215994Y-127442382D01* -X75187427Y-127407573D01* -X75152618Y-127379006D01* -X75112905Y-127357779D01* -X75069813Y-127344708D01* -X75025000Y-127340294D01* -X74630950Y-127341400D01* -X74573800Y-127398550D01* -X74426200Y-127398550D01* -X74369050Y-127341400D01* -X73975000Y-127340294D01* -X73930187Y-127344708D01* -X73887095Y-127357779D01* -X73847382Y-127379006D01* -X73812573Y-127407573D01* -X73784006Y-127442382D01* -X73762779Y-127482095D01* -X73749708Y-127525187D01* -X73745294Y-127570000D01* -X48700877Y-127570000D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48906680Y-127234693D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-108712000D01* -X56590932Y-108712000D01* -X56592400Y-108726904D01* -X56592401Y-124081086D01* -X56590932Y-124096000D01* -X56596794Y-124155515D01* -X56612214Y-124206345D01* -X56614155Y-124212744D01* -X56642346Y-124265487D01* -X56680285Y-124311716D01* -X56691867Y-124321221D01* -X57796783Y-125426139D01* -X57806284Y-125437716D01* -X57852513Y-125475655D01* -X57905256Y-125503846D01* -X57937563Y-125513646D01* -X57962483Y-125521206D01* -X58021999Y-125527068D01* -X58036903Y-125525600D01* -X66279096Y-125525600D01* -X66294000Y-125527068D01* -X66308904Y-125525600D01* -X66353516Y-125521206D01* -X66410744Y-125503846D01* -X66463487Y-125475655D01* -X66509716Y-125437716D01* -X66519226Y-125426128D01* -X67768140Y-124177216D01* -X67779716Y-124167716D01* -X67817655Y-124121487D01* -X67845846Y-124068744D01* -X67863206Y-124011516D01* -X67864804Y-123995294D01* -X67869068Y-123952001D01* -X67867600Y-123937097D01* -X67867600Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77733424Y-124110267D01* -X77702966Y-124147381D01* -X77671343Y-124206543D01* -X77651869Y-124270739D01* -X77645294Y-124337500D01* -X77645294Y-125562500D01* -X77651869Y-125629261D01* -X77671343Y-125693457D01* -X77702966Y-125752619D01* -X77745524Y-125804476D01* -X77797381Y-125847034D01* -X77856543Y-125878657D01* -X77920739Y-125898131D01* -X77987500Y-125904706D01* -X78212500Y-125904706D01* -X78279261Y-125898131D01* -X78320804Y-125885529D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78289792Y-126239603D01* -X78325870Y-126326702D01* -X78378247Y-126405090D01* -X78444910Y-126471753D01* -X78523298Y-126524130D01* -X78610397Y-126560208D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79096401Y-126430442D01* -X79096401Y-126477251D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79539603Y-127310208D01* -X79626702Y-127274130D01* -X79705090Y-127221753D01* -X79771753Y-127155090D01* -X79824130Y-127076702D01* -X79860208Y-126989603D01* -X79878600Y-126897138D01* -X79878600Y-126802862D01* -X79860208Y-126710397D01* -X79824130Y-126623298D01* -X79771753Y-126544910D01* -X79705090Y-126478247D01* -X79703600Y-126477251D01* -X79703600Y-126430443D01* -X79744910Y-126471753D01* -X79823298Y-126524130D01* -X79910397Y-126560208D01* -X80002862Y-126578600D01* -X80097138Y-126578600D01* -X80189603Y-126560208D01* -X80276702Y-126524130D01* -X80355090Y-126471753D01* -X80396401Y-126430442D01* -X80396401Y-126477251D01* -X80394910Y-126478247D01* -X80328247Y-126544910D01* -X80275870Y-126623298D01* -X80239792Y-126710397D01* -X80221400Y-126802862D01* -X80221400Y-126897138D01* -X80239792Y-126989603D01* -X80275870Y-127076702D01* -X80328247Y-127155090D01* -X80394910Y-127221753D01* -X80473298Y-127274130D01* -X80560397Y-127310208D01* -X80652862Y-127328600D01* -X80747138Y-127328600D01* -X80839603Y-127310208D01* -X80926702Y-127274130D01* -X81005090Y-127221753D01* -X81071753Y-127155090D01* -X81124130Y-127076702D01* -X81160208Y-126989603D01* -X81178600Y-126897138D01* -X81178600Y-126802862D01* -X81160208Y-126710397D01* -X81124130Y-126623298D01* -X81071753Y-126544910D01* -X81005090Y-126478247D01* -X81003600Y-126477251D01* -X81003600Y-126430443D01* -X81044910Y-126471753D01* -X81123298Y-126524130D01* -X81210397Y-126560208D01* -X81302862Y-126578600D01* -X81397138Y-126578600D01* -X81489603Y-126560208D01* -X81576702Y-126524130D01* -X81655090Y-126471753D01* -X81696401Y-126430442D01* -X81696401Y-126477251D01* -X81694910Y-126478247D01* -X81628247Y-126544910D01* -X81575870Y-126623298D01* -X81539792Y-126710397D01* -X81521400Y-126802862D01* -X81521400Y-126897138D01* -X81539792Y-126989603D01* -X81575870Y-127076702D01* -X81628247Y-127155090D01* -X81694910Y-127221753D01* -X81773298Y-127274130D01* -X81860397Y-127310208D01* -X81952862Y-127328600D01* -X82047138Y-127328600D01* -X82139603Y-127310208D01* -X82226702Y-127274130D01* -X82305090Y-127221753D01* -X82371753Y-127155090D01* -X82424130Y-127076702D01* -X82460208Y-126989603D01* -X82478600Y-126897138D01* -X82478600Y-126802862D01* -X82460208Y-126710397D01* -X82424130Y-126623298D01* -X82371753Y-126544910D01* -X82305090Y-126478247D01* -X82303600Y-126477251D01* -X82303600Y-126430443D01* -X82344910Y-126471753D01* -X82423298Y-126524130D01* -X82510397Y-126560208D01* -X82602862Y-126578600D01* -X82697138Y-126578600D01* -X82789603Y-126560208D01* -X82876702Y-126524130D01* -X82955090Y-126471753D01* -X82996401Y-126430442D01* -X82996401Y-126477251D01* -X82994910Y-126478247D01* -X82928247Y-126544910D01* -X82875870Y-126623298D01* -X82839792Y-126710397D01* -X82821400Y-126802862D01* -X82821400Y-126897138D01* -X82839792Y-126989603D01* -X82875870Y-127076702D01* -X82928247Y-127155090D01* -X82994910Y-127221753D01* -X83073298Y-127274130D01* -X83160397Y-127310208D01* -X83252862Y-127328600D01* -X83347138Y-127328600D01* -X83439603Y-127310208D01* -X83526702Y-127274130D01* -X83605090Y-127221753D01* -X83671753Y-127155090D01* -X83724130Y-127076702D01* -X83760208Y-126989603D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83603600Y-126477251D01* -X83603600Y-125869318D01* -X83637095Y-125887221D01* -X83680187Y-125900292D01* -X83725000Y-125904706D01* -X83819050Y-125903600D01* -X83876200Y-125846450D01* -X83876200Y-125023800D01* -X84023800Y-125023800D01* -X84023800Y-125846450D01* -X84080950Y-125903600D01* -X84175000Y-125904706D01* -X84219813Y-125900292D01* -X84262905Y-125887221D01* -X84302618Y-125865994D01* -X84337427Y-125837427D01* -X84365994Y-125802618D01* -X84387221Y-125762905D01* -X84400292Y-125719813D01* -X84404706Y-125675000D01* -X84403600Y-125080950D01* -X84346450Y-125023800D01* -X84023800Y-125023800D01* -X83876200Y-125023800D01* -X83856200Y-125023800D01* -X83856200Y-124876200D01* -X83876200Y-124876200D01* -X83876200Y-124053550D01* -X84023800Y-124053550D01* -X84023800Y-124876200D01* -X84346450Y-124876200D01* -X84403600Y-124819050D01* -X84404706Y-124225000D01* -X84400292Y-124180187D01* -X84387221Y-124137095D01* -X84365994Y-124097382D01* -X84337427Y-124062573D01* -X84302618Y-124034006D01* -X84262905Y-124012779D01* -X84219813Y-123999708D01* -X84175000Y-123995294D01* -X84080950Y-123996400D01* -X84023800Y-124053550D01* -X83876200Y-124053550D01* -X83819050Y-123996400D01* -X83725000Y-123995294D01* -X83680187Y-123999708D01* -X83637095Y-124012779D01* -X83597382Y-124034006D01* -X83585457Y-124043793D01* -X83543457Y-124021343D01* -X83479261Y-124001869D01* -X83412500Y-123995294D01* -X83187500Y-123995294D01* -X83120739Y-124001869D01* -X83056543Y-124021343D01* -X82997381Y-124052966D01* -X82975000Y-124071334D01* -X82952619Y-124052966D01* -X82893457Y-124021343D01* -X82829261Y-124001869D01* -X82762500Y-123995294D01* -X82537500Y-123995294D01* -X82470739Y-124001869D01* -X82406543Y-124021343D01* -X82347381Y-124052966D01* -X82325000Y-124071334D01* -X82302619Y-124052966D01* -X82243457Y-124021343D01* -X82179261Y-124001869D01* -X82112500Y-123995294D01* -X81887500Y-123995294D01* -X81820739Y-124001869D01* -X81756543Y-124021343D01* -X81697381Y-124052966D01* -X81675000Y-124071334D01* -X81652619Y-124052966D01* -X81593457Y-124021343D01* -X81529261Y-124001869D01* -X81462500Y-123995294D01* -X81237500Y-123995294D01* -X81170739Y-124001869D01* -X81106543Y-124021343D01* -X81047381Y-124052966D01* -X81025000Y-124071334D01* -X81002619Y-124052966D01* -X80943457Y-124021343D01* -X80879261Y-124001869D01* -X80812500Y-123995294D01* -X80587500Y-123995294D01* -X80520739Y-124001869D01* -X80456543Y-124021343D01* -X80397381Y-124052966D01* -X80375000Y-124071334D01* -X80352619Y-124052966D01* -X80293457Y-124021343D01* -X80229261Y-124001869D01* -X80162500Y-123995294D01* -X79937500Y-123995294D01* -X79870739Y-124001869D01* -X79806543Y-124021343D01* -X79747381Y-124052966D01* -X79725000Y-124071334D01* -X79702619Y-124052966D01* -X79643457Y-124021343D01* -X79579261Y-124001869D01* -X79512500Y-123995294D01* -X79287500Y-123995294D01* -X79220739Y-124001869D01* -X79156543Y-124021343D01* -X79097381Y-124052966D01* -X79075000Y-124071334D01* -X79052619Y-124052966D01* -X78993457Y-124021343D01* -X78929261Y-124001869D01* -X78862500Y-123995294D01* -X78637500Y-123995294D01* -X78570739Y-124001869D01* -X78529196Y-124014471D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X78560208Y-123660397D01* -X78524130Y-123573298D01* -X78471753Y-123494910D01* -X78405090Y-123428247D01* -X78326702Y-123375870D01* -X78239603Y-123339792D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X67867600Y-123752862D01* -X67867600Y-121775000D01* -X117095294Y-121775000D01* -X117099708Y-121819813D01* -X117112779Y-121862905D01* -X117134006Y-121902618D01* -X117162573Y-121937427D01* -X117197382Y-121965994D01* -X117237095Y-121987221D01* -X117280187Y-122000292D01* -X117325000Y-122004706D01* -X117669050Y-122003600D01* -X117726200Y-121946450D01* -X117726200Y-121423800D01* -X117873800Y-121423800D01* -X117873800Y-121946450D01* -X117930950Y-122003600D01* -X118275000Y-122004706D01* -X118319813Y-122000292D01* -X118362905Y-121987221D01* -X118402618Y-121965994D01* -X118437427Y-121937427D01* -X118465994Y-121902618D01* -X118487221Y-121862905D01* -X118500292Y-121819813D01* -X118504706Y-121775000D01* -X118503600Y-121480950D01* -X118446450Y-121423800D01* -X117873800Y-121423800D01* -X117726200Y-121423800D01* -X117153550Y-121423800D01* -X117096400Y-121480950D01* -X117095294Y-121775000D01* -X67867600Y-121775000D01* -X67867600Y-121500000D01* -X114570294Y-121500000D01* -X114574708Y-121544813D01* -X114587779Y-121587905D01* -X114609006Y-121627618D01* -X114637573Y-121662427D01* -X114672382Y-121690994D01* -X114712095Y-121712221D01* -X114755187Y-121725292D01* -X114800000Y-121729706D01* -X114869050Y-121728600D01* -X114926200Y-121671450D01* -X114926200Y-121073800D01* -X115073800Y-121073800D01* -X115073800Y-121671450D01* -X115130950Y-121728600D01* -X115200000Y-121729706D01* -X115244813Y-121725292D01* -X115287905Y-121712221D01* -X115325000Y-121692393D01* -X115362095Y-121712221D01* -X115405187Y-121725292D01* -X115450000Y-121729706D01* -X115519050Y-121728600D01* -X115576200Y-121671450D01* -X115576200Y-121073800D01* -X115073800Y-121073800D01* -X114926200Y-121073800D01* -X114628550Y-121073800D01* -X114571400Y-121130950D01* -X114570294Y-121500000D01* -X67867600Y-121500000D01* -X67867600Y-121363403D01* -X67869068Y-121348499D01* -X67863206Y-121288984D01* -X67852489Y-121253655D01* -X67845846Y-121231756D01* -X67817655Y-121179013D01* -X67779716Y-121132784D01* -X67768134Y-121123279D01* -X67637755Y-120992900D01* -X67762298Y-120992900D01* -X67852287Y-120975000D01* -X75895294Y-120975000D01* -X75899708Y-121019813D01* -X75912779Y-121062905D01* -X75934006Y-121102618D01* -X75962573Y-121137427D01* -X75997382Y-121165994D01* -X76037095Y-121187221D01* -X76080187Y-121200292D01* -X76125000Y-121204706D01* -X76469050Y-121203600D01* -X76526200Y-121146450D01* -X76526200Y-120623800D01* -X76673800Y-120623800D01* -X76673800Y-121146450D01* -X76730950Y-121203600D01* -X77075000Y-121204706D01* -X77119813Y-121200292D01* -X77162905Y-121187221D01* -X77202618Y-121165994D01* -X77237427Y-121137427D01* -X77265994Y-121102618D01* -X77287221Y-121062905D01* -X77300292Y-121019813D01* -X77304706Y-120975000D01* -X85095294Y-120975000D01* -X85099708Y-121019813D01* -X85112779Y-121062905D01* -X85134006Y-121102618D01* -X85162573Y-121137427D01* -X85197382Y-121165994D01* -X85237095Y-121187221D01* -X85280187Y-121200292D01* -X85325000Y-121204706D01* -X85669050Y-121203600D01* -X85726200Y-121146450D01* -X85726200Y-120623800D01* -X85873800Y-120623800D01* -X85873800Y-121146450D01* -X85930950Y-121203600D01* -X86275000Y-121204706D01* -X86319813Y-121200292D01* -X86362905Y-121187221D01* -X86402618Y-121165994D01* -X86437427Y-121137427D01* -X86465994Y-121102618D01* -X86487221Y-121062905D01* -X86500292Y-121019813D01* -X86504706Y-120975000D01* -X94295294Y-120975000D01* -X94299708Y-121019813D01* -X94312779Y-121062905D01* -X94334006Y-121102618D01* -X94362573Y-121137427D01* -X94397382Y-121165994D01* -X94437095Y-121187221D01* -X94480187Y-121200292D01* -X94525000Y-121204706D01* -X94869050Y-121203600D01* -X94926200Y-121146450D01* -X94926200Y-120623800D01* -X95073800Y-120623800D01* -X95073800Y-121146450D01* -X95130950Y-121203600D01* -X95475000Y-121204706D01* -X95519813Y-121200292D01* -X95562905Y-121187221D01* -X95602618Y-121165994D01* -X95637427Y-121137427D01* -X95665994Y-121102618D01* -X95687221Y-121062905D01* -X95700292Y-121019813D01* -X95704706Y-120975000D01* -X103495294Y-120975000D01* -X103499708Y-121019813D01* -X103512779Y-121062905D01* -X103534006Y-121102618D01* -X103562573Y-121137427D01* -X103597382Y-121165994D01* -X103637095Y-121187221D01* -X103680187Y-121200292D01* -X103725000Y-121204706D01* -X104069050Y-121203600D01* -X104126200Y-121146450D01* -X104126200Y-120623800D01* -X104273800Y-120623800D01* -X104273800Y-121146450D01* -X104330950Y-121203600D01* -X104675000Y-121204706D01* -X104719813Y-121200292D01* -X104762905Y-121187221D01* -X104802618Y-121165994D01* -X104837427Y-121137427D01* -X104865994Y-121102618D01* -X104887221Y-121062905D01* -X104900292Y-121019813D01* -X104904706Y-120975000D01* -X104903600Y-120680950D01* -X104846450Y-120623800D01* -X104273800Y-120623800D01* -X104126200Y-120623800D01* -X103553550Y-120623800D01* -X103496400Y-120680950D01* -X103495294Y-120975000D01* -X95704706Y-120975000D01* -X95703600Y-120680950D01* -X95646450Y-120623800D01* -X95073800Y-120623800D01* -X94926200Y-120623800D01* -X94353550Y-120623800D01* -X94296400Y-120680950D01* -X94295294Y-120975000D01* -X86504706Y-120975000D01* -X86503600Y-120680950D01* -X86446450Y-120623800D01* -X85873800Y-120623800D01* -X85726200Y-120623800D01* -X85153550Y-120623800D01* -X85096400Y-120680950D01* -X85095294Y-120975000D01* -X77304706Y-120975000D01* -X77303600Y-120680950D01* -X77246450Y-120623800D01* -X76673800Y-120623800D01* -X76526200Y-120623800D01* -X75953550Y-120623800D01* -X75896400Y-120680950D01* -X75895294Y-120975000D01* -X67852287Y-120975000D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68400439Y-120125000D01* -X75895294Y-120125000D01* -X75896400Y-120419050D01* -X75953550Y-120476200D01* -X76526200Y-120476200D01* -X76526200Y-119953550D01* -X76673800Y-119953550D01* -X76673800Y-120476200D01* -X77246450Y-120476200D01* -X77303600Y-120419050D01* -X77304706Y-120125000D01* -X77300292Y-120080187D01* -X77287221Y-120037095D01* -X77265994Y-119997382D01* -X77237427Y-119962573D01* -X77202618Y-119934006D01* -X77162905Y-119912779D01* -X77119813Y-119899708D01* -X77075000Y-119895294D01* -X76730950Y-119896400D01* -X76673800Y-119953550D01* -X76526200Y-119953550D01* -X76469050Y-119896400D01* -X76125000Y-119895294D01* -X76080187Y-119899708D01* -X76037095Y-119912779D01* -X75997382Y-119934006D01* -X75962573Y-119962573D01* -X75934006Y-119997382D01* -X75912779Y-120037095D01* -X75899708Y-120080187D01* -X75895294Y-120125000D01* -X68400439Y-120125000D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X67043300Y-119941044D01* -X67043300Y-119826709D01* -X67019385Y-119706482D01* -X66972475Y-119593230D01* -X66904372Y-119491307D01* -X66817693Y-119404628D01* -X66715770Y-119336525D01* -X66624200Y-119298596D01* -X66624200Y-119207404D01* -X66642075Y-119200000D01* -X75168843Y-119200000D01* -X75171400Y-119225962D01* -X75171400Y-119252063D01* -X75176492Y-119277665D01* -X75179049Y-119303623D01* -X75186620Y-119328580D01* -X75191713Y-119354187D01* -X75201706Y-119378311D01* -X75209275Y-119403264D01* -X75221567Y-119426261D01* -X75231560Y-119450386D01* -X75246067Y-119472097D01* -X75258359Y-119495094D01* -X75274901Y-119515250D01* -X75289409Y-119536963D01* -X75307875Y-119555429D01* -X75324416Y-119575584D01* -X75344571Y-119592125D01* -X75363037Y-119610591D01* -X75384750Y-119625099D01* -X75404906Y-119641641D01* -X75427903Y-119653933D01* -X75449614Y-119668440D01* -X75473739Y-119678433D01* -X75496736Y-119690725D01* -X75521689Y-119698294D01* -X75545813Y-119708287D01* -X75571420Y-119713380D01* -X75596377Y-119720951D01* -X75622335Y-119723508D01* -X75647937Y-119728600D01* -X75674038Y-119728600D01* -X75700000Y-119731157D01* -X75725962Y-119728600D01* -X75752063Y-119728600D01* -X75777665Y-119723508D01* -X75803623Y-119720951D01* -X75828580Y-119713380D01* -X75854187Y-119708287D01* -X75878311Y-119698294D01* -X75903264Y-119690725D01* -X75926261Y-119678433D01* -X75950386Y-119668440D01* -X75972097Y-119653933D01* -X75995094Y-119641641D01* -X76015251Y-119625099D01* -X76036963Y-119610591D01* -X76050932Y-119596622D01* -X76091824Y-119630181D01* -X76168275Y-119671045D01* -X76251230Y-119696209D01* -X76337500Y-119704706D01* -X76862500Y-119704706D01* -X76948770Y-119696209D01* -X77031725Y-119671045D01* -X77108176Y-119630181D01* -X77171028Y-119578600D01* -X77500962Y-119578600D01* -X77578624Y-119570951D01* -X77645294Y-119550727D01* -X77645294Y-119662500D01* -X77646401Y-119673736D01* -X77646401Y-119994442D01* -X77639792Y-120010397D01* -X77621400Y-120102862D01* -X77621400Y-120197138D01* -X77639792Y-120289603D01* -X77675870Y-120376702D01* -X77728247Y-120455090D01* -X77794910Y-120521753D01* -X77873298Y-120574130D01* -X77960397Y-120610208D01* -X78052862Y-120628600D01* -X78147138Y-120628600D01* -X78239603Y-120610208D01* -X78326702Y-120574130D01* -X78405090Y-120521753D01* -X78471753Y-120455090D01* -X78524130Y-120376702D01* -X78560208Y-120289603D01* -X78578600Y-120197138D01* -X78578600Y-120125000D01* -X85095294Y-120125000D01* -X85096400Y-120419050D01* -X85153550Y-120476200D01* -X85726200Y-120476200D01* -X85726200Y-119953550D01* -X85873800Y-119953550D01* -X85873800Y-120476200D01* -X86446450Y-120476200D01* -X86503600Y-120419050D01* -X86504706Y-120125000D01* -X86500292Y-120080187D01* -X86487221Y-120037095D01* -X86465994Y-119997382D01* -X86437427Y-119962573D01* -X86402618Y-119934006D01* -X86362905Y-119912779D01* -X86319813Y-119899708D01* -X86275000Y-119895294D01* -X85930950Y-119896400D01* -X85873800Y-119953550D01* -X85726200Y-119953550D01* -X85669050Y-119896400D01* -X85325000Y-119895294D01* -X85280187Y-119899708D01* -X85237095Y-119912779D01* -X85197382Y-119934006D01* -X85162573Y-119962573D01* -X85134006Y-119997382D01* -X85112779Y-120037095D01* -X85099708Y-120080187D01* -X85095294Y-120125000D01* -X78578600Y-120125000D01* -X78578600Y-120102862D01* -X78560208Y-120010397D01* -X78557691Y-120004322D01* -X78619050Y-120003600D01* -X78676200Y-119946450D01* -X78676200Y-119123800D01* -X78656200Y-119123800D01* -X78656200Y-118976200D01* -X78676200Y-118976200D01* -X78676200Y-118153550D01* -X78619050Y-118096400D01* -X78557691Y-118095678D01* -X78560208Y-118089603D01* -X78578600Y-117997138D01* -X78578600Y-117902862D01* -X78560208Y-117810397D01* -X78524130Y-117723298D01* -X78471753Y-117644910D01* -X78405090Y-117578247D01* -X78326702Y-117525870D01* -X78239603Y-117489792D01* -X78147138Y-117471400D01* -X78052862Y-117471400D01* -X77960397Y-117489792D01* -X77873298Y-117525870D01* -X77794910Y-117578247D01* -X77728247Y-117644910D01* -X77675870Y-117723298D01* -X77639792Y-117810397D01* -X77621400Y-117902862D01* -X77621400Y-117997138D01* -X77639792Y-118089603D01* -X77646401Y-118105558D01* -X77646401Y-118426264D01* -X77645294Y-118437500D01* -X77645294Y-118549273D01* -X77578624Y-118529049D01* -X77500962Y-118521400D01* -X77171028Y-118521400D01* -X77128600Y-118486580D01* -X77128600Y-118147937D01* -X77123508Y-118122336D01* -X77120951Y-118096376D01* -X77113380Y-118071418D01* -X77108287Y-118045813D01* -X77098296Y-118021691D01* -X77090725Y-117996735D01* -X77078431Y-117973735D01* -X77068440Y-117949614D01* -X77053936Y-117927907D01* -X77041641Y-117904905D01* -X77025094Y-117884743D01* -X77010591Y-117863037D01* -X76992133Y-117844579D01* -X76975585Y-117824415D01* -X76955421Y-117807867D01* -X76936963Y-117789409D01* -X76915257Y-117774906D01* -X76895095Y-117758359D01* -X76872093Y-117746064D01* -X76850386Y-117731560D01* -X76826265Y-117721569D01* -X76803265Y-117709275D01* -X76778309Y-117701704D01* -X76754187Y-117691713D01* -X76728582Y-117686620D01* -X76703624Y-117679049D01* -X76677664Y-117676492D01* -X76652063Y-117671400D01* -X76625962Y-117671400D01* -X76600000Y-117668843D01* -X76574038Y-117671400D01* -X76547937Y-117671400D01* -X76522335Y-117676492D01* -X76496377Y-117679049D01* -X76471420Y-117686620D01* -X76445813Y-117691713D01* -X76421689Y-117701706D01* -X76396736Y-117709275D01* -X76373739Y-117721567D01* -X76349614Y-117731560D01* -X76327903Y-117746067D01* -X76304906Y-117758359D01* -X76284748Y-117774902D01* -X76263037Y-117789409D01* -X76244575Y-117807871D01* -X76224416Y-117824415D01* -X76207872Y-117844574D01* -X76189409Y-117863037D01* -X76174901Y-117884749D01* -X76158360Y-117904905D01* -X76146069Y-117927899D01* -X76131560Y-117949614D01* -X76121565Y-117973743D01* -X76109276Y-117996735D01* -X76101708Y-118021682D01* -X76091713Y-118045813D01* -X76086618Y-118071427D01* -X76079050Y-118096376D01* -X76076493Y-118122329D01* -X76071400Y-118147937D01* -X76071400Y-118486580D01* -X76028972Y-118521400D01* -X75875958Y-118521400D01* -X75849999Y-118518843D01* -X75824040Y-118521400D01* -X75824038Y-118521400D01* -X75746376Y-118529049D01* -X75646735Y-118559275D01* -X75554905Y-118608359D01* -X75474415Y-118674415D01* -X75457858Y-118694590D01* -X75363043Y-118789405D01* -X75363037Y-118789409D01* -X75289409Y-118863037D01* -X75274901Y-118884749D01* -X75258359Y-118904906D01* -X75246067Y-118927903D01* -X75231560Y-118949614D01* -X75221567Y-118973739D01* -X75209275Y-118996736D01* -X75201706Y-119021689D01* -X75191713Y-119045813D01* -X75186620Y-119071420D01* -X75179049Y-119096377D01* -X75176492Y-119122335D01* -X75171400Y-119147937D01* -X75171400Y-119174038D01* -X75168843Y-119200000D01* -X66642075Y-119200000D01* -X66715770Y-119169475D01* -X66817693Y-119101372D01* -X66904372Y-119014693D01* -X66972475Y-118912770D01* -X67019385Y-118799518D01* -X67043300Y-118679291D01* -X67043300Y-118564956D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68387081Y-118025846D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67479846Y-117540919D01* -X67348105Y-117595488D01* -X67229540Y-117674710D01* -X67128710Y-117775540D01* -X67049488Y-117894105D01* -X66994919Y-118025846D01* -X66967100Y-118165702D01* -X66967100Y-118308298D01* -X66969051Y-118318105D01* -X66904372Y-118221307D01* -X66817693Y-118134628D01* -X66715770Y-118066525D01* -X66602518Y-118019615D01* -X66482291Y-117995700D01* -X66389607Y-117995700D01* -X66456751Y-117967888D01* -X66688679Y-117812918D01* -X66885918Y-117615679D01* -X67040888Y-117383751D01* -X67147632Y-117126047D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64424368Y-116299953D01* -X64369950Y-116573531D01* -X64369950Y-116852469D01* -X64424368Y-117126047D01* -X64531112Y-117383751D01* -X64686082Y-117615679D01* -X64883321Y-117812918D01* -X65115249Y-117967888D01* -X65182393Y-117995700D01* -X65089709Y-117995700D01* -X64969482Y-118019615D01* -X64856230Y-118066525D01* -X64754307Y-118134628D01* -X64667628Y-118221307D01* -X64599525Y-118323230D01* -X64561596Y-118414800D01* -X64470404Y-118414800D01* -X64432475Y-118323230D01* -X64364372Y-118221307D01* -X64277693Y-118134628D01* -X64175770Y-118066525D01* -X64062518Y-118019615D01* -X63942291Y-117995700D01* -X63819709Y-117995700D01* -X63699482Y-118019615D01* -X63586230Y-118066525D01* -X63484307Y-118134628D01* -X63397628Y-118221307D01* -X63329525Y-118323230D01* -X63291596Y-118414800D01* -X63200404Y-118414800D01* -X63162475Y-118323230D01* -X63094372Y-118221307D01* -X63007693Y-118134628D01* -X62914600Y-118072425D01* -X62914600Y-117997903D01* -X62916068Y-117982999D01* -X62910206Y-117923483D01* -X62898003Y-117883256D01* -X62892846Y-117866256D01* -X62864655Y-117813513D01* -X62826716Y-117767284D01* -X62815133Y-117757778D01* -X62406600Y-117349246D01* -X62406600Y-116983362D01* -X62449900Y-116983362D01* -X62449900Y-117077638D01* -X62468292Y-117170103D01* -X62504370Y-117257202D01* -X62556747Y-117335590D01* -X62623410Y-117402253D01* -X62701798Y-117454630D01* -X62788897Y-117490708D01* -X62881362Y-117509100D01* -X62975638Y-117509100D01* -X63068103Y-117490708D01* -X63155202Y-117454630D01* -X63233590Y-117402253D01* -X63300253Y-117335590D01* -X63352630Y-117257202D01* -X63388708Y-117170103D01* -X63407100Y-117077638D01* -X63407100Y-116983362D01* -X63388708Y-116890897D01* -X63352630Y-116803798D01* -X63300253Y-116725410D01* -X63233590Y-116658747D01* -X63155202Y-116606370D01* -X63068103Y-116570292D01* -X62975638Y-116551900D01* -X62881362Y-116551900D01* -X62788897Y-116570292D01* -X62701798Y-116606370D01* -X62623410Y-116658747D01* -X62556747Y-116725410D01* -X62504370Y-116803798D01* -X62468292Y-116890897D01* -X62449900Y-116983362D01* -X62406600Y-116983362D01* -X62406600Y-116076754D01* -X65908355Y-112575000D01* -X69170294Y-112575000D01* -X69174708Y-112619813D01* -X69187779Y-112662905D01* -X69209006Y-112702618D01* -X69237573Y-112737427D01* -X69272382Y-112765994D01* -X69312095Y-112787221D01* -X69355187Y-112800292D01* -X69400000Y-112804706D01* -X69619050Y-112803600D01* -X69676200Y-112746450D01* -X69676200Y-112173800D01* -X69823800Y-112173800D01* -X69823800Y-112746450D01* -X69880950Y-112803600D01* -X70100000Y-112804706D01* -X70144813Y-112800292D01* -X70187905Y-112787221D01* -X70227618Y-112765994D01* -X70262427Y-112737427D01* -X70290994Y-112702618D01* -X70312221Y-112662905D01* -X70325292Y-112619813D01* -X70329706Y-112575000D01* -X70328600Y-112230950D01* -X70271450Y-112173800D01* -X69823800Y-112173800D01* -X69676200Y-112173800D01* -X69228550Y-112173800D01* -X69171400Y-112230950D01* -X69170294Y-112575000D01* -X65908355Y-112575000D01* -X66504140Y-111979216D01* -X66515716Y-111969716D01* -X66553655Y-111923487D01* -X66581846Y-111870744D01* -X66599206Y-111813516D01* -X66600459Y-111800794D01* -X66605068Y-111754001D01* -X66603600Y-111739097D01* -X66603600Y-111625000D01* -X69170294Y-111625000D01* -X69171400Y-111969050D01* -X69228550Y-112026200D01* -X69676200Y-112026200D01* -X69676200Y-111453550D01* -X69823800Y-111453550D01* -X69823800Y-112026200D01* -X70271450Y-112026200D01* -X70328600Y-111969050D01* -X70329143Y-111800000D01* -X70770294Y-111800000D01* -X70770294Y-112400000D01* -X70778070Y-112478954D01* -X70801100Y-112554874D01* -X70838499Y-112624843D01* -X70888830Y-112686170D01* -X70950157Y-112736501D01* -X71020126Y-112773900D01* -X71096046Y-112796930D01* -X71175000Y-112804706D01* -X71525000Y-112804706D01* -X71603954Y-112796930D01* -X71679874Y-112773900D01* -X71749843Y-112736501D01* -X71811170Y-112686170D01* -X71861501Y-112624843D01* -X71898900Y-112554874D01* -X71904327Y-112536983D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72524130Y-112326702D01* -X72560208Y-112239603D01* -X72578600Y-112147138D01* -X72578600Y-112052862D01* -X72560208Y-111960397D01* -X72524130Y-111873298D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71904327Y-111663017D01* -X71898900Y-111645126D01* -X71861501Y-111575157D01* -X71811170Y-111513830D01* -X71749843Y-111463499D01* -X71679874Y-111426100D01* -X71603954Y-111403070D01* -X71525000Y-111395294D01* -X71175000Y-111395294D01* -X71096046Y-111403070D01* -X71020126Y-111426100D01* -X70950157Y-111463499D01* -X70888830Y-111513830D01* -X70838499Y-111575157D01* -X70801100Y-111645126D01* -X70778070Y-111721046D01* -X70770294Y-111800000D01* -X70329143Y-111800000D01* -X70329706Y-111625000D01* -X70325292Y-111580187D01* -X70312221Y-111537095D01* -X70290994Y-111497382D01* -X70262427Y-111462573D01* -X70227618Y-111434006D01* -X70187905Y-111412779D01* -X70144813Y-111399708D01* -X70100000Y-111395294D01* -X69880950Y-111396400D01* -X69823800Y-111453550D01* -X69676200Y-111453550D01* -X69619050Y-111396400D01* -X69400000Y-111395294D01* -X69355187Y-111399708D01* -X69312095Y-111412779D01* -X69272382Y-111434006D01* -X69237573Y-111462573D01* -X69209006Y-111497382D01* -X69187779Y-111537095D01* -X69174708Y-111580187D01* -X69170294Y-111625000D01* -X66603600Y-111625000D01* -X66603600Y-110350000D01* -X69170294Y-110350000D01* -X69170294Y-110950000D01* -X69178070Y-111028954D01* -X69201100Y-111104874D01* -X69238499Y-111174843D01* -X69288830Y-111236170D01* -X69350157Y-111286501D01* -X69420126Y-111323900D01* -X69496046Y-111346930D01* -X69575000Y-111354706D01* -X69925000Y-111354706D01* -X70003954Y-111346930D01* -X70079874Y-111323900D01* -X70149843Y-111286501D01* -X70211170Y-111236170D01* -X70261501Y-111174843D01* -X70298900Y-111104874D01* -X70321930Y-111028954D01* -X70329706Y-110950000D01* -X70329706Y-110350000D01* -X70770294Y-110350000D01* -X70770294Y-110950000D01* -X70778070Y-111028954D01* -X70801100Y-111104874D01* -X70838499Y-111174843D01* -X70888830Y-111236170D01* -X70950157Y-111286501D01* -X71020126Y-111323900D01* -X71096046Y-111346930D01* -X71175000Y-111354706D01* -X71525000Y-111354706D01* -X71603954Y-111346930D01* -X71679874Y-111323900D01* -X71749843Y-111286501D01* -X71811170Y-111236170D01* -X71861501Y-111174843D01* -X71898650Y-111105342D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72474130Y-110876702D01* -X72510208Y-110789603D01* -X72528600Y-110697138D01* -X72528600Y-110602862D01* -X72510208Y-110510397D01* -X72474130Y-110423298D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X71910397Y-110189792D01* -X71898650Y-110194658D01* -X71861501Y-110125157D01* -X71811170Y-110063830D01* -X71749843Y-110013499D01* -X71679874Y-109976100D01* -X71603954Y-109953070D01* -X71525000Y-109945294D01* -X71175000Y-109945294D01* -X71096046Y-109953070D01* -X71020126Y-109976100D01* -X70950157Y-110013499D01* -X70888830Y-110063830D01* -X70838499Y-110125157D01* -X70801100Y-110195126D01* -X70778070Y-110271046D01* -X70770294Y-110350000D01* -X70329706Y-110350000D01* -X70321930Y-110271046D01* -X70298900Y-110195126D01* -X70261501Y-110125157D01* -X70228600Y-110085068D01* -X70228600Y-109752862D01* -X70223989Y-109729679D01* -X70221674Y-109706178D01* -X70214820Y-109683581D01* -X70210208Y-109660397D01* -X70201163Y-109638561D01* -X70194308Y-109615962D01* -X70183174Y-109595133D01* -X70174130Y-109573298D01* -X70160999Y-109553646D01* -X70149866Y-109532818D01* -X70134886Y-109514564D01* -X70121753Y-109494910D01* -X70105037Y-109478194D01* -X70090058Y-109459942D01* -X70071806Y-109444963D01* -X70055090Y-109428247D01* -X70035436Y-109415114D01* -X70017182Y-109400134D01* -X69996355Y-109389002D01* -X69976702Y-109375870D01* -X69954864Y-109366824D01* -X69934037Y-109355692D01* -X69911442Y-109348838D01* -X69889603Y-109339792D01* -X69866416Y-109335180D01* -X69843821Y-109328326D01* -X69820322Y-109326011D01* -X69797138Y-109321400D01* -X69773503Y-109321400D01* -X69750000Y-109319085D01* -X69726496Y-109321400D01* -X69702862Y-109321400D01* -X69679679Y-109326011D01* -X69656178Y-109328326D01* -X69633581Y-109335180D01* -X69610397Y-109339792D01* -X69588561Y-109348837D01* -X69565962Y-109355692D01* -X69545133Y-109366826D01* -X69523298Y-109375870D01* -X69503646Y-109389001D01* -X69482818Y-109400134D01* -X69464564Y-109415114D01* -X69444910Y-109428247D01* -X69428194Y-109444963D01* -X69409942Y-109459942D01* -X69394963Y-109478194D01* -X69378247Y-109494910D01* -X69365114Y-109514564D01* -X69350134Y-109532818D01* -X69339002Y-109553645D01* -X69325870Y-109573298D01* -X69316824Y-109595136D01* -X69305692Y-109615963D01* -X69298838Y-109638558D01* -X69289792Y-109660397D01* -X69285180Y-109683584D01* -X69278326Y-109706179D01* -X69276011Y-109729678D01* -X69271400Y-109752862D01* -X69271400Y-110085068D01* -X69238499Y-110125157D01* -X69201100Y-110195126D01* -X69178070Y-110271046D01* -X69170294Y-110350000D01* -X66603600Y-110350000D01* -X66603600Y-101825000D01* -X68295294Y-101825000D01* -X68299708Y-101869813D01* -X68312779Y-101912905D01* -X68334006Y-101952618D01* -X68362573Y-101987427D01* -X68397382Y-102015994D01* -X68437095Y-102037221D01* -X68480187Y-102050292D01* -X68525000Y-102054706D01* -X68869050Y-102053600D01* -X68926200Y-101996450D01* -X68926200Y-101473800D01* -X69073800Y-101473800D01* -X69073800Y-101996450D01* -X69130950Y-102053600D01* -X69475000Y-102054706D01* -X69519813Y-102050292D01* -X69562905Y-102037221D01* -X69602618Y-102015994D01* -X69637427Y-101987427D01* -X69665994Y-101952618D01* -X69687221Y-101912905D01* -X69700292Y-101869813D01* -X69704706Y-101825000D01* -X69703600Y-101530950D01* -X69646450Y-101473800D01* -X69073800Y-101473800D01* -X68926200Y-101473800D01* -X68353550Y-101473800D01* -X68296400Y-101530950D01* -X68295294Y-101825000D01* -X66603600Y-101825000D01* -X66603600Y-100975000D01* -X68295294Y-100975000D01* -X68296400Y-101269050D01* -X68353550Y-101326200D01* -X68926200Y-101326200D01* -X68926200Y-100803550D01* -X69073800Y-100803550D01* -X69073800Y-101326200D01* -X69646450Y-101326200D01* -X69703600Y-101269050D01* -X69704706Y-100975000D01* -X69700292Y-100930187D01* -X69687221Y-100887095D01* -X69665994Y-100847382D01* -X69637427Y-100812573D01* -X69602618Y-100784006D01* -X69562905Y-100762779D01* -X69519813Y-100749708D01* -X69475000Y-100745294D01* -X69130950Y-100746400D01* -X69073800Y-100803550D01* -X68926200Y-100803550D01* -X68869050Y-100746400D01* -X68525000Y-100745294D01* -X68480187Y-100749708D01* -X68437095Y-100762779D01* -X68397382Y-100784006D01* -X68362573Y-100812573D01* -X68334006Y-100847382D01* -X68312779Y-100887095D01* -X68299708Y-100930187D01* -X68295294Y-100975000D01* -X66603600Y-100975000D01* -X66603600Y-100223903D01* -X66605068Y-100208999D01* -X66599206Y-100149483D01* -X66589047Y-100115994D01* -X66581846Y-100092256D01* -X66559261Y-100050000D01* -X67669086Y-100050000D01* -X67671400Y-100073496D01* -X67671400Y-100097138D01* -X67676012Y-100120324D01* -X67678326Y-100143821D01* -X67685180Y-100166414D01* -X67689792Y-100189603D01* -X67698840Y-100211446D01* -X67705693Y-100234038D01* -X67716823Y-100254860D01* -X67725870Y-100276702D01* -X67739005Y-100296359D01* -X67750134Y-100317181D01* -X67765112Y-100335432D01* -X67778247Y-100355090D01* -X67794964Y-100371807D01* -X67809942Y-100390058D01* -X67828193Y-100405036D01* -X67844910Y-100421753D01* -X67864568Y-100434888D01* -X67882819Y-100449866D01* -X67903641Y-100460995D01* -X67923298Y-100474130D01* -X67945140Y-100483177D01* -X67965962Y-100494307D01* -X67988554Y-100501160D01* -X68010397Y-100510208D01* -X68033586Y-100514820D01* -X68056179Y-100521674D01* -X68079676Y-100523988D01* -X68102862Y-100528600D01* -X68126504Y-100528600D01* -X68150000Y-100530914D01* -X68173496Y-100528600D01* -X68197138Y-100528600D01* -X68220324Y-100523988D01* -X68243821Y-100521674D01* -X68266414Y-100514820D01* -X68289603Y-100510208D01* -X68311446Y-100501160D01* -X68334038Y-100494307D01* -X68354860Y-100483177D01* -X68376702Y-100474130D01* -X68396359Y-100460995D01* -X68417181Y-100449866D01* -X68435432Y-100434888D01* -X68436094Y-100434445D01* -X68491824Y-100480181D01* -X68568275Y-100521045D01* -X68651230Y-100546209D01* -X68737500Y-100554706D01* -X69262500Y-100554706D01* -X69348770Y-100546209D01* -X69431725Y-100521045D01* -X69508176Y-100480181D01* -X69563906Y-100434445D01* -X69564568Y-100434888D01* -X69582819Y-100449866D01* -X69603641Y-100460995D01* -X69623298Y-100474130D01* -X69645140Y-100483177D01* -X69665962Y-100494307D01* -X69688554Y-100501160D01* -X69710397Y-100510208D01* -X69733584Y-100514820D01* -X69756178Y-100521674D01* -X69779677Y-100523988D01* -X69802862Y-100528600D01* -X69826503Y-100528600D01* -X69849999Y-100530914D01* -X69873495Y-100528600D01* -X69897138Y-100528600D01* -X69920324Y-100523988D01* -X69943821Y-100521674D01* -X69966414Y-100514820D01* -X69989603Y-100510208D01* -X70011446Y-100501160D01* -X70034038Y-100494307D01* -X70054860Y-100483177D01* -X70076702Y-100474130D01* -X70096359Y-100460995D01* -X70117181Y-100449866D01* -X70135432Y-100434888D01* -X70155090Y-100421753D01* -X70171807Y-100405036D01* -X70190058Y-100390058D01* -X70205036Y-100371807D01* -X70221753Y-100355090D01* -X70234888Y-100335432D01* -X70249866Y-100317181D01* -X70260995Y-100296359D01* -X70274130Y-100276702D01* -X70283177Y-100254860D01* -X70294307Y-100234038D01* -X70301160Y-100211446D01* -X70310208Y-100189603D01* -X70314820Y-100166414D01* -X70321674Y-100143821D01* -X70323988Y-100120324D01* -X70328600Y-100097138D01* -X70328600Y-100073495D01* -X70330914Y-100049999D01* -X70328600Y-100026503D01* -X70328600Y-100002862D01* -X70323988Y-99979677D01* -X70321674Y-99956178D01* -X70314820Y-99933584D01* -X70310208Y-99910397D01* -X70301160Y-99888554D01* -X70294307Y-99865962D01* -X70283177Y-99845140D01* -X70274130Y-99823298D01* -X70260995Y-99803641D01* -X70249866Y-99782819D01* -X70234888Y-99764568D01* -X70221753Y-99744910D01* -X70155090Y-99678247D01* -X70155087Y-99678245D01* -X70055042Y-99578200D01* -X70040058Y-99559942D01* -X69967182Y-99500134D01* -X69884038Y-99455692D01* -X69793822Y-99428326D01* -X69723504Y-99421400D01* -X69723496Y-99421400D01* -X69700000Y-99419086D01* -X69676504Y-99421400D01* -X69613420Y-99421400D01* -X69575187Y-99374813D01* -X69508176Y-99319819D01* -X69478600Y-99304010D01* -X69478600Y-99052862D01* -X69473989Y-99029679D01* -X69471674Y-99006178D01* -X69464820Y-98983581D01* -X69460208Y-98960397D01* -X69451163Y-98938561D01* -X69444308Y-98915962D01* -X69433174Y-98895133D01* -X69424130Y-98873298D01* -X69410999Y-98853646D01* -X69399866Y-98832818D01* -X69384886Y-98814564D01* -X69371753Y-98794910D01* -X69355037Y-98778194D01* -X69340058Y-98759942D01* -X69321806Y-98744963D01* -X69305090Y-98728247D01* -X69285436Y-98715114D01* -X69267182Y-98700134D01* -X69246354Y-98689001D01* -X69226702Y-98675870D01* -X69204867Y-98666826D01* -X69184038Y-98655692D01* -X69161439Y-98648837D01* -X69139603Y-98639792D01* -X69116419Y-98635180D01* -X69093822Y-98628326D01* -X69070321Y-98626011D01* -X69047138Y-98621400D01* -X69023504Y-98621400D01* -X69000000Y-98619085D01* -X68976497Y-98621400D01* -X68952862Y-98621400D01* -X68929678Y-98626011D01* -X68906179Y-98628326D01* -X68883584Y-98635180D01* -X68860397Y-98639792D01* -X68838558Y-98648838D01* -X68815963Y-98655692D01* -X68795137Y-98666824D01* -X68773298Y-98675870D01* -X68753642Y-98689004D01* -X68732819Y-98700134D01* -X68714570Y-98715111D01* -X68694910Y-98728247D01* -X68678190Y-98744967D01* -X68659943Y-98759942D01* -X68644968Y-98778189D01* -X68628247Y-98794910D01* -X68615110Y-98814571D01* -X68600135Y-98832818D01* -X68589006Y-98853638D01* -X68575870Y-98873298D01* -X68566822Y-98895141D01* -X68555693Y-98915962D01* -X68548841Y-98938552D01* -X68539792Y-98960397D01* -X68535179Y-98983591D01* -X68528327Y-99006178D01* -X68526013Y-99029669D01* -X68521400Y-99052862D01* -X68521400Y-99147138D01* -X68521401Y-99147143D01* -X68521401Y-99304010D01* -X68491824Y-99319819D01* -X68424813Y-99374813D01* -X68386580Y-99421400D01* -X68323495Y-99421400D01* -X68299999Y-99419086D01* -X68276503Y-99421400D01* -X68276496Y-99421400D01* -X68206178Y-99428326D01* -X68115962Y-99455692D01* -X68032818Y-99500134D01* -X67959942Y-99559942D01* -X67944958Y-99578200D01* -X67844913Y-99678245D01* -X67844910Y-99678247D01* -X67778247Y-99744910D01* -X67765112Y-99764568D01* -X67750134Y-99782819D01* -X67739005Y-99803641D01* -X67725870Y-99823298D01* -X67716823Y-99845140D01* -X67705693Y-99865962D01* -X67698840Y-99888554D01* -X67689792Y-99910397D01* -X67685180Y-99933586D01* -X67678326Y-99956179D01* -X67676012Y-99979676D01* -X67671400Y-100002862D01* -X67671400Y-100026504D01* -X67669086Y-100050000D01* -X66559261Y-100050000D01* -X66553655Y-100039513D01* -X66515716Y-99993284D01* -X66504139Y-99983783D01* -X65094408Y-98574053D01* -X65102895Y-98561351D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64652351Y-99011895D01* -X64665053Y-99003408D01* -X65996401Y-100334757D01* -X65996400Y-111628244D01* -X61898867Y-115725779D01* -X61887285Y-115735284D01* -X61849346Y-115781513D01* -X61829761Y-115818155D01* -X61821155Y-115834256D01* -X61803794Y-115891485D01* -X61797932Y-115951000D01* -X61799401Y-115965914D01* -X61799400Y-117460096D01* -X61797932Y-117475000D01* -X61799400Y-117489903D01* -X61803794Y-117534515D01* -X61821154Y-117591743D01* -X61849345Y-117644486D01* -X61887284Y-117690716D01* -X61898872Y-117700226D01* -X62285622Y-118086977D01* -X62214307Y-118134628D01* -X62127628Y-118221307D01* -X62059525Y-118323230D01* -X62021596Y-118414800D01* -X61929750Y-118414800D01* -X61898018Y-118333843D01* -X61886730Y-118312726D01* -X61791532Y-118271837D01* -X61648569Y-118414800D01* -X61439831Y-118414800D01* -X61687163Y-118167468D01* -X61646274Y-118072270D01* -X61533942Y-118023199D01* -X61414195Y-117996987D01* -X61291635Y-117994639D01* -X61170972Y-118016248D01* -X61056843Y-118060982D01* -X61035726Y-118072270D01* -X60994837Y-118167468D01* -X61242169Y-118414800D01* -X61214000Y-118414800D01* -X61199134Y-118416264D01* -X61184840Y-118420600D01* -X61171666Y-118427642D01* -X61160118Y-118437118D01* -X61150642Y-118448666D01* -X61143600Y-118461840D01* -X61139264Y-118476134D01* -X61137800Y-118491000D01* -X61137800Y-118519169D01* -X60890468Y-118271837D01* -X60795270Y-118312726D01* -X60746199Y-118425058D01* -X60719987Y-118544805D01* -X60717639Y-118667365D01* -X60739248Y-118788028D01* -X60783982Y-118902157D01* -X60795270Y-118923274D01* -X60890468Y-118964163D01* -X61137800Y-118716831D01* -X61137800Y-118925569D01* -X60994837Y-119068532D01* -X61035726Y-119163730D01* -X61137800Y-119208320D01* -X61137800Y-119298596D01* -X61046230Y-119336525D01* -X60944307Y-119404628D01* -X60857628Y-119491307D01* -X60789525Y-119593230D01* -X60742615Y-119706482D01* -X60718700Y-119826709D01* -X60718700Y-119949291D01* -X60742615Y-120069518D01* -X60789525Y-120182770D01* -X60857628Y-120284693D01* -X60944307Y-120371372D01* -X61046230Y-120439475D01* -X61159482Y-120486385D01* -X61279709Y-120510300D01* -X61402291Y-120510300D01* -X61512102Y-120488457D01* -X61672400Y-120648755D01* -X61672401Y-122429244D01* -X60707246Y-123394400D01* -X59434755Y-123394400D01* -X58469600Y-122429246D01* -X58469600Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X58469600Y-121653531D01* -X58469600Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X58469600Y-119181702D01* -X58469600Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60973679Y-117812918D01* -X61170918Y-117615679D01* -X61325888Y-117383751D01* -X61432632Y-117126047D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X58469600Y-116573531D01* -X58469600Y-115949754D01* -X64339135Y-110080220D01* -X64350716Y-110070716D01* -X64388655Y-110024487D01* -X64416846Y-109971744D01* -X64434206Y-109914516D01* -X64437635Y-109879706D01* -X64440068Y-109855000D01* -X64438600Y-109840096D01* -X64438600Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65189594Y-107212782D01* -X65161027Y-107177973D01* -X65126218Y-107149406D01* -X65086505Y-107128179D01* -X65043413Y-107115108D01* -X64998600Y-107110694D01* -X63271400Y-107110694D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X63831400Y-109297306D01* -X63831400Y-109729245D01* -X57961867Y-115598779D01* -X57950285Y-115608284D01* -X57912346Y-115654513D01* -X57888691Y-115698770D01* -X57884155Y-115707256D01* -X57866794Y-115764485D01* -X57860932Y-115824000D01* -X57862401Y-115838914D01* -X57862400Y-122540096D01* -X57860932Y-122555000D01* -X57862400Y-122569903D01* -X57866794Y-122614515D01* -X57884154Y-122671743D01* -X57912345Y-122724486D01* -X57950284Y-122770716D01* -X57961872Y-122780226D01* -X59083779Y-123902134D01* -X59093284Y-123913716D01* -X59139513Y-123951655D01* -X59192256Y-123979846D01* -X59243181Y-123995294D01* -X59249484Y-123997206D01* -X59308999Y-124003068D01* -X59323903Y-124001600D01* -X60818096Y-124001600D01* -X60833000Y-124003068D01* -X60847904Y-124001600D01* -X60892516Y-123997206D01* -X60949744Y-123979846D01* -X61002487Y-123951655D01* -X61048716Y-123913716D01* -X61058226Y-123902128D01* -X62180134Y-122780221D01* -X62191716Y-122770716D01* -X62229655Y-122724487D01* -X62257846Y-122671744D01* -X62275206Y-122614516D01* -X62276615Y-122600206D01* -X62281068Y-122555001D01* -X62279600Y-122540097D01* -X62279600Y-120537904D01* -X62281068Y-120523000D01* -X62275206Y-120463484D01* -X62266180Y-120433730D01* -X62257846Y-120406256D01* -X62236692Y-120366680D01* -X62264838Y-120338534D01* -X62305726Y-120433730D01* -X62418058Y-120482801D01* -X62537805Y-120509013D01* -X62660365Y-120511361D01* -X62781028Y-120489752D01* -X62895157Y-120445018D01* -X62916274Y-120433730D01* -X62957162Y-120338534D01* -X62985308Y-120366680D01* -X62964154Y-120406257D01* -X62948061Y-120459308D01* -X62946794Y-120463485D01* -X62940932Y-120523000D01* -X62942400Y-120537904D01* -X62942401Y-122175244D01* -X60961246Y-124156400D01* -X58779255Y-124156400D01* -X57834600Y-123211746D01* -X57834600Y-110742754D01* -X60129995Y-108447359D01* -X60530257Y-108447359D01* -X60574492Y-108593195D01* -X60670029Y-108784808D01* -X60801112Y-108954101D01* -X60962704Y-109094568D01* -X61148595Y-109200811D01* -X61351641Y-109268747D01* -X61521200Y-109236558D01* -X61521200Y-108277800D01* -X61668800Y-108277800D01* -X61668800Y-109236558D01* -X61838359Y-109268747D01* -X62041405Y-109200811D01* -X62227296Y-109094568D01* -X62388888Y-108954101D01* -X62519971Y-108784808D01* -X62615508Y-108593195D01* -X62659743Y-108447359D01* -X62627416Y-108277800D01* -X61668800Y-108277800D01* -X61521200Y-108277800D01* -X60562584Y-108277800D01* -X60530257Y-108447359D01* -X60129995Y-108447359D01* -X60544101Y-108033254D01* -X60562584Y-108130200D01* -X61521200Y-108130200D01* -X61521200Y-108110200D01* -X61668800Y-108110200D01* -X61668800Y-108130200D01* -X62627416Y-108130200D01* -X62659743Y-107960641D01* -X62615508Y-107814805D01* -X62519971Y-107623192D01* -X62388888Y-107453899D01* -X62227296Y-107313432D01* -X62094614Y-107237600D01* -X62850096Y-107237600D01* -X62865000Y-107239068D01* -X62879904Y-107237600D01* -X62924516Y-107233206D01* -X62981744Y-107215846D01* -X63034487Y-107187655D01* -X63080716Y-107149716D01* -X63090226Y-107138128D01* -X63604947Y-106623408D01* -X63617649Y-106631895D01* -X63816417Y-106714228D01* -X64027428Y-106756200D01* -X64242572Y-106756200D01* -X64453583Y-106714228D01* -X64652351Y-106631895D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65227200Y-105771572D01* -X65227200Y-105556428D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63167105Y-105146649D01* -X63084772Y-105345417D01* -X63042800Y-105556428D01* -X63042800Y-105771572D01* -X63084772Y-105982583D01* -X63167105Y-106181351D01* -X63175592Y-106194053D01* -X62739246Y-106630400D01* -X62114588Y-106630400D01* -X62291237Y-106512367D01* -X62443367Y-106360237D01* -X62562895Y-106181351D01* -X62645228Y-105982583D01* -X62687200Y-105771572D01* -X62687200Y-105556428D01* -X62645228Y-105345417D01* -X62562895Y-105146649D01* -X62443367Y-104967763D01* -X62291237Y-104815633D01* -X62114588Y-104697600D01* -X62850096Y-104697600D01* -X62865000Y-104699068D01* -X62879904Y-104697600D01* -X62924516Y-104693206D01* -X62981744Y-104675846D01* -X63034487Y-104647655D01* -X63080716Y-104609716D01* -X63090226Y-104598128D01* -X63604947Y-104083408D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63175592Y-103654053D01* -X62739246Y-104090400D01* -X62114588Y-104090400D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61114171Y-104107023D01* -X61097256Y-104112154D01* -X61044513Y-104140345D01* -X60998284Y-104178284D01* -X60988783Y-104189861D01* -X56691872Y-108486774D01* -X56680284Y-108496284D01* -X56642345Y-108542514D01* -X56614154Y-108595257D01* -X56603459Y-108630513D01* -X56596794Y-108652485D01* -X56590932Y-108712000D01* -X46177200Y-108712000D01* -X46177200Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64831237Y-101432367D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65102895Y-100066649D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X46177200Y-100476428D01* -X46177200Y-98287359D01* -X60530257Y-98287359D01* -X60574492Y-98433195D01* -X60670029Y-98624808D01* -X60801112Y-98794101D01* -X60962704Y-98934568D01* -X61148595Y-99040811D01* -X61351641Y-99108747D01* -X61521200Y-99076558D01* -X61521200Y-98117800D01* -X61668800Y-98117800D01* -X61668800Y-99076558D01* -X61838359Y-99108747D01* -X62041405Y-99040811D01* -X62227296Y-98934568D01* -X62388888Y-98794101D01* -X62519971Y-98624808D01* -X62615508Y-98433195D01* -X62659743Y-98287359D01* -X62627416Y-98117800D01* -X61668800Y-98117800D01* -X61521200Y-98117800D01* -X60562584Y-98117800D01* -X60530257Y-98287359D01* -X46177200Y-98287359D01* -X46177200Y-97800641D01* -X60530257Y-97800641D01* -X60562584Y-97970200D01* -X61521200Y-97970200D01* -X61521200Y-97011442D01* -X61668800Y-97011442D01* -X61668800Y-97970200D01* -X62627416Y-97970200D01* -X62659743Y-97800641D01* -X62615508Y-97654805D01* -X62519971Y-97463192D01* -X62388888Y-97293899D01* -X62227296Y-97153432D01* -X62041405Y-97047189D01* -X61838359Y-96979253D01* -X61668800Y-97011442D01* -X61521200Y-97011442D01* -X61351641Y-96979253D01* -X61148595Y-97047189D01* -X60962704Y-97153432D01* -X60801112Y-97293899D01* -X60670029Y-97463192D01* -X60574492Y-97654805D01* -X60530257Y-97800641D01* -X46177200Y-97800641D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48894597Y-96402862D01* -X67421400Y-96402862D01* -X67421400Y-96497138D01* -X67439792Y-96589603D01* -X67475870Y-96676702D01* -X67528247Y-96755090D01* -X67594910Y-96821753D01* -X67673298Y-96874130D01* -X67699608Y-96885028D01* -X67769141Y-96954561D01* -X67780995Y-96969005D01* -X67838644Y-97016317D01* -X67883858Y-97040484D01* -X67904415Y-97051472D01* -X67975781Y-97073121D01* -X67983125Y-97073844D01* -X68031410Y-97078600D01* -X68031417Y-97078600D01* -X68049999Y-97080430D01* -X68053320Y-97080103D01* -X68051629Y-97085678D01* -X68045294Y-97150000D01* -X68045294Y-97350000D01* -X68051629Y-97414322D01* -X68070391Y-97476173D01* -X68100859Y-97533175D01* -X68141863Y-97583137D01* -X68191825Y-97624141D01* -X68248827Y-97654609D01* -X68310678Y-97673371D01* -X68375000Y-97679706D01* -X68825000Y-97679706D01* -X68889322Y-97673371D01* -X68951173Y-97654609D01* -X69008175Y-97624141D01* -X69058137Y-97583137D01* -X69099141Y-97533175D01* -X69100000Y-97531568D01* -X69100859Y-97533175D01* -X69141863Y-97583137D01* -X69181157Y-97615386D01* -X69175870Y-97623298D01* -X69139792Y-97710397D01* -X69121400Y-97802862D01* -X69121400Y-97897138D01* -X69139792Y-97989603D01* -X69175870Y-98076702D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70060208Y-97710397D01* -X70024130Y-97623298D01* -X70018843Y-97615386D01* -X70058137Y-97583137D01* -X70099141Y-97533175D01* -X70129609Y-97476173D01* -X70148371Y-97414322D01* -X70154706Y-97350000D01* -X70154706Y-97150000D01* -X70148371Y-97085678D01* -X70129609Y-97023827D01* -X70099141Y-96966825D01* -X70091999Y-96958122D01* -X70103354Y-96944286D01* -X70131512Y-96891606D01* -X70148851Y-96834445D01* -X70154706Y-96775000D01* -X70154706Y-96625000D01* -X70148851Y-96565555D01* -X70131512Y-96508394D01* -X70103354Y-96455714D01* -X70098665Y-96450000D01* -X70103354Y-96444286D01* -X70131512Y-96391606D01* -X70148851Y-96334445D01* -X70154706Y-96275000D01* -X70154706Y-96125000D01* -X70148851Y-96065555D01* -X70131512Y-96008394D01* -X70103354Y-95955714D01* -X70091999Y-95941878D01* -X70099141Y-95933175D01* -X70129609Y-95876173D01* -X70148371Y-95814322D01* -X70154706Y-95750000D01* -X70154706Y-95550000D01* -X70148371Y-95485678D01* -X70129609Y-95423827D01* -X70099141Y-95366825D01* -X70058137Y-95316863D01* -X70018843Y-95284614D01* -X70024130Y-95276702D01* -X70060208Y-95189603D01* -X70078600Y-95097138D01* -X70078600Y-95002862D01* -X70060208Y-94910397D01* -X70035191Y-94850000D01* -X70394932Y-94850000D01* -X70396400Y-94864904D01* -X70396401Y-107535086D01* -X70394932Y-107550000D01* -X70400794Y-107609515D01* -X70413355Y-107650920D01* -X70418155Y-107666744D01* -X70446346Y-107719487D01* -X70484285Y-107765716D01* -X70495867Y-107775221D01* -X79096400Y-116375755D01* -X79096401Y-118130683D01* -X79062905Y-118112779D01* -X79019813Y-118099708D01* -X78975000Y-118095294D01* -X78880950Y-118096400D01* -X78823800Y-118153550D01* -X78823800Y-118976200D01* -X78843800Y-118976200D01* -X78843800Y-119123800D01* -X78823800Y-119123800D01* -X78823800Y-119946450D01* -X78880950Y-120003600D01* -X78975000Y-120004706D01* -X79019813Y-120000292D01* -X79062905Y-119987221D01* -X79102618Y-119965994D01* -X79114543Y-119956207D01* -X79156543Y-119978657D01* -X79220739Y-119998131D01* -X79287500Y-120004706D01* -X79512500Y-120004706D01* -X79579261Y-119998131D01* -X79643457Y-119978657D01* -X79702619Y-119947034D01* -X79725000Y-119928666D01* -X79747381Y-119947034D01* -X79806543Y-119978657D01* -X79870739Y-119998131D01* -X79937500Y-120004706D01* -X80162500Y-120004706D01* -X80229261Y-119998131D01* -X80293457Y-119978657D01* -X80352619Y-119947034D01* -X80375000Y-119928666D01* -X80397381Y-119947034D01* -X80456543Y-119978657D01* -X80520739Y-119998131D01* -X80587500Y-120004706D01* -X80812500Y-120004706D01* -X80879261Y-119998131D01* -X80943457Y-119978657D01* -X81002619Y-119947034D01* -X81025000Y-119928666D01* -X81047381Y-119947034D01* -X81106543Y-119978657D01* -X81170739Y-119998131D01* -X81237500Y-120004706D01* -X81462500Y-120004706D01* -X81529261Y-119998131D01* -X81593457Y-119978657D01* -X81652619Y-119947034D01* -X81675000Y-119928666D01* -X81697381Y-119947034D01* -X81756543Y-119978657D01* -X81820739Y-119998131D01* -X81887500Y-120004706D01* -X82112500Y-120004706D01* -X82179261Y-119998131D01* -X82243457Y-119978657D01* -X82302619Y-119947034D01* -X82325000Y-119928666D01* -X82347381Y-119947034D01* -X82406543Y-119978657D01* -X82470739Y-119998131D01* -X82537500Y-120004706D01* -X82762500Y-120004706D01* -X82829261Y-119998131D01* -X82893457Y-119978657D01* -X82952619Y-119947034D01* -X82975000Y-119928666D01* -X82997381Y-119947034D01* -X83056543Y-119978657D01* -X83120739Y-119998131D01* -X83187500Y-120004706D01* -X83412500Y-120004706D01* -X83479261Y-119998131D01* -X83543457Y-119978657D01* -X83602619Y-119947034D01* -X83625000Y-119928666D01* -X83647381Y-119947034D01* -X83706543Y-119978657D01* -X83770739Y-119998131D01* -X83837500Y-120004706D01* -X84062500Y-120004706D01* -X84129261Y-119998131D01* -X84193457Y-119978657D01* -X84252619Y-119947034D01* -X84304476Y-119904476D01* -X84347034Y-119852619D01* -X84378657Y-119793457D01* -X84398131Y-119729261D01* -X84404706Y-119662500D01* -X84404706Y-119150000D01* -X84465065Y-119150000D01* -X84474384Y-119244606D01* -X84501978Y-119335576D01* -X84546792Y-119419415D01* -X84607100Y-119492900D01* -X84680585Y-119553208D01* -X84764424Y-119598022D01* -X84855394Y-119625616D01* -X84950000Y-119634935D01* -X85044606Y-119625616D01* -X85135576Y-119598022D01* -X85210629Y-119557904D01* -X85224813Y-119575187D01* -X85291824Y-119630181D01* -X85368275Y-119671045D01* -X85451230Y-119696209D01* -X85537500Y-119704706D01* -X86062500Y-119704706D01* -X86148770Y-119696209D01* -X86231725Y-119671045D01* -X86308176Y-119630181D01* -X86371028Y-119578600D01* -X86700962Y-119578600D01* -X86778624Y-119570951D01* -X86845294Y-119550727D01* -X86845294Y-119662500D01* -X86846400Y-119673734D01* -X86846400Y-119994444D01* -X86839792Y-120010397D01* -X86821400Y-120102862D01* -X86821400Y-120197138D01* -X86839792Y-120289603D01* -X86875870Y-120376702D01* -X86928247Y-120455090D01* -X86994910Y-120521753D01* -X87073298Y-120574130D01* -X87160397Y-120610208D01* -X87252862Y-120628600D01* -X87347138Y-120628600D01* -X87439603Y-120610208D01* -X87526702Y-120574130D01* -X87605090Y-120521753D01* -X87671753Y-120455090D01* -X87724130Y-120376702D01* -X87760208Y-120289603D01* -X87778600Y-120197138D01* -X87778600Y-120125000D01* -X94295294Y-120125000D01* -X94296400Y-120419050D01* -X94353550Y-120476200D01* -X94926200Y-120476200D01* -X94926200Y-119953550D01* -X95073800Y-119953550D01* -X95073800Y-120476200D01* -X95646450Y-120476200D01* -X95703600Y-120419050D01* -X95704706Y-120125000D01* -X95700292Y-120080187D01* -X95687221Y-120037095D01* -X95665994Y-119997382D01* -X95637427Y-119962573D01* -X95602618Y-119934006D01* -X95562905Y-119912779D01* -X95519813Y-119899708D01* -X95475000Y-119895294D01* -X95130950Y-119896400D01* -X95073800Y-119953550D01* -X94926200Y-119953550D01* -X94869050Y-119896400D01* -X94525000Y-119895294D01* -X94480187Y-119899708D01* -X94437095Y-119912779D01* -X94397382Y-119934006D01* -X94362573Y-119962573D01* -X94334006Y-119997382D01* -X94312779Y-120037095D01* -X94299708Y-120080187D01* -X94295294Y-120125000D01* -X87778600Y-120125000D01* -X87778600Y-120102862D01* -X87760208Y-120010397D01* -X87757691Y-120004322D01* -X87819050Y-120003600D01* -X87876200Y-119946450D01* -X87876200Y-119123800D01* -X87856200Y-119123800D01* -X87856200Y-118976200D01* -X87876200Y-118976200D01* -X87876200Y-118153550D01* -X87819050Y-118096400D01* -X87757691Y-118095678D01* -X87760208Y-118089603D01* -X87778600Y-117997138D01* -X87778600Y-117902862D01* -X87760208Y-117810397D01* -X87724130Y-117723298D01* -X87671753Y-117644910D01* -X87605090Y-117578247D01* -X87526702Y-117525870D01* -X87439603Y-117489792D01* -X87347138Y-117471400D01* -X87252862Y-117471400D01* -X87160397Y-117489792D01* -X87073298Y-117525870D01* -X86994910Y-117578247D01* -X86928247Y-117644910D01* -X86875870Y-117723298D01* -X86839792Y-117810397D01* -X86821400Y-117902862D01* -X86821400Y-117997138D01* -X86839792Y-118089603D01* -X86846401Y-118105558D01* -X86846401Y-118426264D01* -X86845294Y-118437500D01* -X86845294Y-118549273D01* -X86778624Y-118529049D01* -X86700962Y-118521400D01* -X86428600Y-118521400D01* -X86428600Y-118038088D01* -X86422545Y-118007645D01* -X86419504Y-117976773D01* -X86410500Y-117947091D01* -X86404444Y-117916644D01* -X86392564Y-117887962D01* -X86383560Y-117858281D01* -X86368939Y-117830928D01* -X86357059Y-117802246D01* -X86339811Y-117776433D01* -X86325190Y-117749079D01* -X86305511Y-117725100D01* -X86288266Y-117699291D01* -X86266321Y-117677346D01* -X86246638Y-117653362D01* -X86222654Y-117633679D01* -X86200709Y-117611734D01* -X86174900Y-117594489D01* -X86150921Y-117574810D01* -X86123567Y-117560189D01* -X86097754Y-117542941D01* -X86069072Y-117531061D01* -X86041719Y-117516440D01* -X86012038Y-117507436D01* -X85983356Y-117495556D01* -X85952909Y-117489500D01* -X85923227Y-117480496D01* -X85892355Y-117477455D01* -X85861912Y-117471400D01* -X85830875Y-117471400D01* -X85800000Y-117468359D01* -X85769125Y-117471400D01* -X85738088Y-117471400D01* -X85707644Y-117477455D01* -X85676774Y-117480496D01* -X85647094Y-117489499D01* -X85616644Y-117495556D01* -X85587959Y-117507437D01* -X85558282Y-117516440D01* -X85530932Y-117531059D01* -X85502246Y-117542941D01* -X85476429Y-117560192D01* -X85449080Y-117574810D01* -X85425106Y-117594485D01* -X85399291Y-117611734D01* -X85377341Y-117633684D01* -X85353363Y-117653362D01* -X85333685Y-117677340D01* -X85311734Y-117699291D01* -X85294484Y-117725107D01* -X85274811Y-117749079D01* -X85260194Y-117776425D01* -X85242941Y-117802246D01* -X85231057Y-117830936D01* -X85216441Y-117858281D01* -X85207440Y-117887953D01* -X85195556Y-117916644D01* -X85189498Y-117947101D01* -X85180497Y-117976773D01* -X85177457Y-118007635D01* -X85171400Y-118038088D01* -X85171400Y-118161912D01* -X85171401Y-118161917D01* -X85171401Y-118567400D01* -X85073696Y-118567400D01* -X85049999Y-118565066D01* -X85026302Y-118567400D01* -X85026293Y-118567400D01* -X84955394Y-118574383D01* -X84864423Y-118601978D01* -X84780585Y-118646791D01* -X84707099Y-118707099D01* -X84691980Y-118725522D01* -X84591987Y-118825514D01* -X84546792Y-118880585D01* -X84501978Y-118964424D01* -X84474384Y-119055394D01* -X84465065Y-119150000D01* -X84404706Y-119150000D01* -X84404706Y-118437500D01* -X84398131Y-118370739D01* -X84378657Y-118306543D01* -X84347034Y-118247381D01* -X84304476Y-118195524D01* -X84253600Y-118153771D01* -X84253600Y-117664903D01* -X84255068Y-117649999D01* -X84249206Y-117590484D01* -X84242432Y-117568154D01* -X84231846Y-117533256D01* -X84203655Y-117480513D01* -X84165716Y-117434284D01* -X84154140Y-117424784D01* -X84082956Y-117353600D01* -X87674246Y-117353600D01* -X88296401Y-117975756D01* -X88296401Y-118130683D01* -X88262905Y-118112779D01* -X88219813Y-118099708D01* -X88175000Y-118095294D01* -X88080950Y-118096400D01* -X88023800Y-118153550D01* -X88023800Y-118976200D01* -X88043800Y-118976200D01* -X88043800Y-119123800D01* -X88023800Y-119123800D01* -X88023800Y-119946450D01* -X88080950Y-120003600D01* -X88175000Y-120004706D01* -X88219813Y-120000292D01* -X88262905Y-119987221D01* -X88302618Y-119965994D01* -X88314543Y-119956207D01* -X88356543Y-119978657D01* -X88420739Y-119998131D01* -X88487500Y-120004706D01* -X88712500Y-120004706D01* -X88779261Y-119998131D01* -X88843457Y-119978657D01* -X88902619Y-119947034D01* -X88925000Y-119928666D01* -X88947381Y-119947034D01* -X89006543Y-119978657D01* -X89070739Y-119998131D01* -X89137500Y-120004706D01* -X89362500Y-120004706D01* -X89429261Y-119998131D01* -X89493457Y-119978657D01* -X89552619Y-119947034D01* -X89575000Y-119928666D01* -X89597381Y-119947034D01* -X89656543Y-119978657D01* -X89720739Y-119998131D01* -X89787500Y-120004706D01* -X90012500Y-120004706D01* -X90079261Y-119998131D01* -X90143457Y-119978657D01* -X90202619Y-119947034D01* -X90225000Y-119928666D01* -X90247381Y-119947034D01* -X90306543Y-119978657D01* -X90370739Y-119998131D01* -X90437500Y-120004706D01* -X90662500Y-120004706D01* -X90729261Y-119998131D01* -X90793457Y-119978657D01* -X90852619Y-119947034D01* -X90875000Y-119928666D01* -X90897381Y-119947034D01* -X90956543Y-119978657D01* -X91020739Y-119998131D01* -X91087500Y-120004706D01* -X91312500Y-120004706D01* -X91379261Y-119998131D01* -X91443457Y-119978657D01* -X91502619Y-119947034D01* -X91525000Y-119928666D01* -X91547381Y-119947034D01* -X91606543Y-119978657D01* -X91670739Y-119998131D01* -X91737500Y-120004706D01* -X91962500Y-120004706D01* -X92029261Y-119998131D01* -X92093457Y-119978657D01* -X92152619Y-119947034D01* -X92175000Y-119928666D01* -X92197381Y-119947034D01* -X92256543Y-119978657D01* -X92320739Y-119998131D01* -X92387500Y-120004706D01* -X92612500Y-120004706D01* -X92679261Y-119998131D01* -X92743457Y-119978657D01* -X92802619Y-119947034D01* -X92825000Y-119928666D01* -X92847381Y-119947034D01* -X92906543Y-119978657D01* -X92970739Y-119998131D01* -X93037500Y-120004706D01* -X93262500Y-120004706D01* -X93329261Y-119998131D01* -X93393457Y-119978657D01* -X93452619Y-119947034D01* -X93504476Y-119904476D01* -X93547034Y-119852619D01* -X93578657Y-119793457D01* -X93598131Y-119729261D01* -X93604706Y-119662500D01* -X93604706Y-119150000D01* -X93665065Y-119150000D01* -X93674384Y-119244606D01* -X93701978Y-119335576D01* -X93746792Y-119419415D01* -X93807100Y-119492900D01* -X93880585Y-119553208D01* -X93964424Y-119598022D01* -X94055394Y-119625616D01* -X94150000Y-119634935D01* -X94244606Y-119625616D01* -X94335576Y-119598022D01* -X94410629Y-119557904D01* -X94424813Y-119575187D01* -X94491824Y-119630181D01* -X94568275Y-119671045D01* -X94651230Y-119696209D01* -X94737500Y-119704706D01* -X95262500Y-119704706D01* -X95348770Y-119696209D01* -X95431725Y-119671045D01* -X95508176Y-119630181D01* -X95571028Y-119578600D01* -X95900962Y-119578600D01* -X95978624Y-119570951D01* -X96045294Y-119550727D01* -X96045294Y-119662500D01* -X96046401Y-119673736D01* -X96046401Y-119994442D01* -X96039792Y-120010397D01* -X96021400Y-120102862D01* -X96021400Y-120197138D01* -X96039792Y-120289603D01* -X96075870Y-120376702D01* -X96128247Y-120455090D01* -X96194910Y-120521753D01* -X96273298Y-120574130D01* -X96360397Y-120610208D01* -X96452862Y-120628600D01* -X96547138Y-120628600D01* -X96639603Y-120610208D01* -X96726702Y-120574130D01* -X96805090Y-120521753D01* -X96871753Y-120455090D01* -X96924130Y-120376702D01* -X96960208Y-120289603D01* -X96978600Y-120197138D01* -X96978600Y-120125000D01* -X103495294Y-120125000D01* -X103496400Y-120419050D01* -X103553550Y-120476200D01* -X104126200Y-120476200D01* -X104126200Y-119953550D01* -X104273800Y-119953550D01* -X104273800Y-120476200D01* -X104846450Y-120476200D01* -X104903600Y-120419050D01* -X104904706Y-120125000D01* -X104900292Y-120080187D01* -X104887221Y-120037095D01* -X104865994Y-119997382D01* -X104837427Y-119962573D01* -X104802618Y-119934006D01* -X104762905Y-119912779D01* -X104719813Y-119899708D01* -X104675000Y-119895294D01* -X104330950Y-119896400D01* -X104273800Y-119953550D01* -X104126200Y-119953550D01* -X104069050Y-119896400D01* -X103725000Y-119895294D01* -X103680187Y-119899708D01* -X103637095Y-119912779D01* -X103597382Y-119934006D01* -X103562573Y-119962573D01* -X103534006Y-119997382D01* -X103512779Y-120037095D01* -X103499708Y-120080187D01* -X103495294Y-120125000D01* -X96978600Y-120125000D01* -X96978600Y-120102862D01* -X96960208Y-120010397D01* -X96957691Y-120004322D01* -X97019050Y-120003600D01* -X97076200Y-119946450D01* -X97076200Y-119123800D01* -X97056200Y-119123800D01* -X97056200Y-118976200D01* -X97076200Y-118976200D01* -X97076200Y-118153550D01* -X97019050Y-118096400D01* -X96957691Y-118095678D01* -X96960208Y-118089603D01* -X96978600Y-117997138D01* -X96978600Y-117902862D01* -X96960208Y-117810397D01* -X96924130Y-117723298D01* -X96871753Y-117644910D01* -X96805090Y-117578247D01* -X96726702Y-117525870D01* -X96639603Y-117489792D01* -X96547138Y-117471400D01* -X96452862Y-117471400D01* -X96360397Y-117489792D01* -X96273298Y-117525870D01* -X96194910Y-117578247D01* -X96128247Y-117644910D01* -X96075870Y-117723298D01* -X96039792Y-117810397D01* -X96021400Y-117902862D01* -X96021400Y-117997138D01* -X96039792Y-118089603D01* -X96046401Y-118105558D01* -X96046401Y-118426264D01* -X96045294Y-118437500D01* -X96045294Y-118549273D01* -X95978624Y-118529049D01* -X95900962Y-118521400D01* -X95628600Y-118521400D01* -X95628600Y-118038088D01* -X95622545Y-118007645D01* -X95619504Y-117976773D01* -X95610500Y-117947091D01* -X95604444Y-117916644D01* -X95592564Y-117887962D01* -X95583560Y-117858281D01* -X95568939Y-117830928D01* -X95557059Y-117802246D01* -X95539811Y-117776433D01* -X95525190Y-117749079D01* -X95505511Y-117725100D01* -X95488266Y-117699291D01* -X95466321Y-117677346D01* -X95446638Y-117653362D01* -X95422654Y-117633679D01* -X95400709Y-117611734D01* -X95374900Y-117594489D01* -X95350921Y-117574810D01* -X95323567Y-117560189D01* -X95297754Y-117542941D01* -X95269072Y-117531061D01* -X95241719Y-117516440D01* -X95212038Y-117507436D01* -X95183356Y-117495556D01* -X95152909Y-117489500D01* -X95123227Y-117480496D01* -X95092355Y-117477455D01* -X95061912Y-117471400D01* -X95030875Y-117471400D01* -X95000000Y-117468359D01* -X94969125Y-117471400D01* -X94938088Y-117471400D01* -X94907644Y-117477455D01* -X94876774Y-117480496D01* -X94847094Y-117489499D01* -X94816644Y-117495556D01* -X94787959Y-117507437D01* -X94758282Y-117516440D01* -X94730932Y-117531059D01* -X94702246Y-117542941D01* -X94676429Y-117560192D01* -X94649080Y-117574810D01* -X94625106Y-117594485D01* -X94599291Y-117611734D01* -X94577341Y-117633684D01* -X94553363Y-117653362D01* -X94533685Y-117677340D01* -X94511734Y-117699291D01* -X94494484Y-117725107D01* -X94474811Y-117749079D01* -X94460194Y-117776425D01* -X94442941Y-117802246D01* -X94431057Y-117830936D01* -X94416441Y-117858281D01* -X94407440Y-117887953D01* -X94395556Y-117916644D01* -X94389498Y-117947101D01* -X94380497Y-117976773D01* -X94377457Y-118007635D01* -X94371400Y-118038088D01* -X94371400Y-118161912D01* -X94371401Y-118161917D01* -X94371401Y-118567400D01* -X94273696Y-118567400D01* -X94249999Y-118565066D01* -X94226302Y-118567400D01* -X94226293Y-118567400D01* -X94155394Y-118574383D01* -X94064423Y-118601978D01* -X93980585Y-118646791D01* -X93907099Y-118707099D01* -X93891980Y-118725522D01* -X93791987Y-118825514D01* -X93746792Y-118880585D01* -X93701978Y-118964424D01* -X93674384Y-119055394D01* -X93665065Y-119150000D01* -X93604706Y-119150000D01* -X93604706Y-118437500D01* -X93598131Y-118370739D01* -X93578657Y-118306543D01* -X93547034Y-118247381D01* -X93504476Y-118195524D01* -X93454614Y-118154604D01* -X93455068Y-118149999D01* -X93449206Y-118090483D01* -X93435655Y-118045813D01* -X93431846Y-118033256D01* -X93403655Y-117980513D01* -X93365716Y-117934284D01* -X93354140Y-117924784D01* -X90175226Y-114745872D01* -X90165716Y-114734284D01* -X90119487Y-114696345D01* -X90066744Y-114668154D01* -X90009516Y-114650794D01* -X89964904Y-114646400D01* -X89950000Y-114644932D01* -X89935096Y-114646400D01* -X84975755Y-114646400D01* -X78932217Y-108602862D01* -X79321400Y-108602862D01* -X79321400Y-108697138D01* -X79339792Y-108789603D01* -X79375870Y-108876702D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79535233Y-109048696D01* -X79546346Y-109069487D01* -X79584285Y-109115716D01* -X79595867Y-109125221D01* -X79974783Y-109504139D01* -X79984284Y-109515716D01* -X80030513Y-109553655D01* -X80083256Y-109581846D01* -X80115563Y-109591646D01* -X80140483Y-109599206D01* -X80199999Y-109605068D01* -X80214903Y-109603600D01* -X80268130Y-109603600D01* -X80276100Y-109629874D01* -X80313499Y-109699843D01* -X80363830Y-109761170D01* -X80425157Y-109811501D01* -X80495126Y-109848900D01* -X80571046Y-109871930D01* -X80650000Y-109879706D01* -X81250000Y-109879706D01* -X81328954Y-109871930D01* -X81404874Y-109848900D01* -X81474843Y-109811501D01* -X81536170Y-109761170D01* -X81586501Y-109699843D01* -X81623900Y-109629874D01* -X81646930Y-109553954D01* -X81654706Y-109475000D01* -X81654706Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82096400Y-109667369D01* -X82096401Y-110935086D01* -X82094932Y-110950000D01* -X82100794Y-111009515D01* -X82114184Y-111053655D01* -X82118155Y-111066744D01* -X82146346Y-111119487D01* -X82184285Y-111165716D01* -X82195867Y-111175221D01* -X85174779Y-114154134D01* -X85184284Y-114165716D01* -X85230513Y-114203655D01* -X85283256Y-114231846D01* -X85325241Y-114244582D01* -X85340484Y-114249206D01* -X85400000Y-114255068D01* -X85414904Y-114253600D01* -X91774246Y-114253600D01* -X94424782Y-116904138D01* -X94434284Y-116915716D01* -X94480513Y-116953655D01* -X94533256Y-116981846D01* -X94581053Y-116996345D01* -X94590484Y-116999206D01* -X94649999Y-117005068D01* -X94664903Y-117003600D01* -X96574246Y-117003600D01* -X97496400Y-117925755D01* -X97496400Y-118130683D01* -X97462905Y-118112779D01* -X97419813Y-118099708D01* -X97375000Y-118095294D01* -X97280950Y-118096400D01* -X97223800Y-118153550D01* -X97223800Y-118976200D01* -X97243800Y-118976200D01* -X97243800Y-119123800D01* -X97223800Y-119123800D01* -X97223800Y-119946450D01* -X97280950Y-120003600D01* -X97375000Y-120004706D01* -X97419813Y-120000292D01* -X97462905Y-119987221D01* -X97502618Y-119965994D01* -X97514543Y-119956207D01* -X97556543Y-119978657D01* -X97620739Y-119998131D01* -X97687500Y-120004706D01* -X97912500Y-120004706D01* -X97979261Y-119998131D01* -X98043457Y-119978657D01* -X98102619Y-119947034D01* -X98125000Y-119928666D01* -X98147381Y-119947034D01* -X98206543Y-119978657D01* -X98270739Y-119998131D01* -X98337500Y-120004706D01* -X98562500Y-120004706D01* -X98629261Y-119998131D01* -X98693457Y-119978657D01* -X98752619Y-119947034D01* -X98775000Y-119928666D01* -X98797381Y-119947034D01* -X98856543Y-119978657D01* -X98920739Y-119998131D01* -X98987500Y-120004706D01* -X99212500Y-120004706D01* -X99279261Y-119998131D01* -X99343457Y-119978657D01* -X99402619Y-119947034D01* -X99425000Y-119928666D01* -X99447381Y-119947034D01* -X99506543Y-119978657D01* -X99570739Y-119998131D01* -X99637500Y-120004706D01* -X99862500Y-120004706D01* -X99929261Y-119998131D01* -X99993457Y-119978657D01* -X100052619Y-119947034D01* -X100075000Y-119928666D01* -X100097381Y-119947034D01* -X100156543Y-119978657D01* -X100220739Y-119998131D01* -X100287500Y-120004706D01* -X100512500Y-120004706D01* -X100579261Y-119998131D01* -X100643457Y-119978657D01* -X100702619Y-119947034D01* -X100725000Y-119928666D01* -X100747381Y-119947034D01* -X100806543Y-119978657D01* -X100870739Y-119998131D01* -X100937500Y-120004706D01* -X101162500Y-120004706D01* -X101229261Y-119998131D01* -X101293457Y-119978657D01* -X101352619Y-119947034D01* -X101375000Y-119928666D01* -X101397381Y-119947034D01* -X101456543Y-119978657D01* -X101520739Y-119998131D01* -X101587500Y-120004706D01* -X101812500Y-120004706D01* -X101879261Y-119998131D01* -X101943457Y-119978657D01* -X102002619Y-119947034D01* -X102025000Y-119928666D01* -X102047381Y-119947034D01* -X102106543Y-119978657D01* -X102170739Y-119998131D01* -X102237500Y-120004706D01* -X102462500Y-120004706D01* -X102529261Y-119998131D01* -X102593457Y-119978657D01* -X102652619Y-119947034D01* -X102704476Y-119904476D01* -X102747034Y-119852619D01* -X102778657Y-119793457D01* -X102798131Y-119729261D01* -X102804706Y-119662500D01* -X102804706Y-119150000D01* -X102865065Y-119150000D01* -X102874384Y-119244606D01* -X102901978Y-119335576D01* -X102946792Y-119419415D01* -X103007100Y-119492900D01* -X103080585Y-119553208D01* -X103164424Y-119598022D01* -X103255394Y-119625616D01* -X103350000Y-119634935D01* -X103444606Y-119625616D01* -X103535576Y-119598022D01* -X103610629Y-119557904D01* -X103624813Y-119575187D01* -X103691824Y-119630181D01* -X103768275Y-119671045D01* -X103851230Y-119696209D01* -X103937500Y-119704706D01* -X104462500Y-119704706D01* -X104548770Y-119696209D01* -X104631725Y-119671045D01* -X104708176Y-119630181D01* -X104771028Y-119578600D01* -X105100962Y-119578600D01* -X105178624Y-119570951D01* -X105245294Y-119550727D01* -X105245294Y-119662500D01* -X105246401Y-119673736D01* -X105246401Y-119994442D01* -X105239792Y-120010397D01* -X105221400Y-120102862D01* -X105221400Y-120197138D01* -X105239792Y-120289603D01* -X105275870Y-120376702D01* -X105328247Y-120455090D01* -X105394910Y-120521753D01* -X105473298Y-120574130D01* -X105560397Y-120610208D01* -X105652862Y-120628600D01* -X105747138Y-120628600D01* -X105839603Y-120610208D01* -X105926702Y-120574130D01* -X106005090Y-120521753D01* -X106026843Y-120500000D01* -X114570294Y-120500000D01* -X114571400Y-120869050D01* -X114628550Y-120926200D01* -X114926200Y-120926200D01* -X114926200Y-120328550D01* -X115073800Y-120328550D01* -X115073800Y-120926200D01* -X115576200Y-120926200D01* -X115576200Y-120328550D01* -X115723800Y-120328550D01* -X115723800Y-120926200D01* -X115743800Y-120926200D01* -X115743800Y-121073800D01* -X115723800Y-121073800D01* -X115723800Y-121671450D01* -X115780950Y-121728600D01* -X115850000Y-121729706D01* -X115894813Y-121725292D01* -X115937905Y-121712221D01* -X115977618Y-121690994D01* -X116007489Y-121666479D01* -X116016825Y-121674141D01* -X116073827Y-121704609D01* -X116135678Y-121723371D01* -X116200000Y-121729706D01* -X116400000Y-121729706D01* -X116464322Y-121723371D01* -X116526173Y-121704609D01* -X116583175Y-121674141D01* -X116633137Y-121633137D01* -X116674141Y-121583175D01* -X116704609Y-121526173D01* -X116723371Y-121464322D01* -X116729706Y-121400000D01* -X116729706Y-120925000D01* -X117095294Y-120925000D01* -X117096400Y-121219050D01* -X117153550Y-121276200D01* -X117726200Y-121276200D01* -X117726200Y-120753550D01* -X117873800Y-120753550D01* -X117873800Y-121276200D01* -X118446450Y-121276200D01* -X118503600Y-121219050D01* -X118504706Y-120925000D01* -X118500292Y-120880187D01* -X118487221Y-120837095D01* -X118465994Y-120797382D01* -X118437427Y-120762573D01* -X118402618Y-120734006D01* -X118362905Y-120712779D01* -X118319813Y-120699708D01* -X118275000Y-120695294D01* -X117930950Y-120696400D01* -X117873800Y-120753550D01* -X117726200Y-120753550D01* -X117669050Y-120696400D01* -X117325000Y-120695294D01* -X117280187Y-120699708D01* -X117237095Y-120712779D01* -X117197382Y-120734006D01* -X117162573Y-120762573D01* -X117134006Y-120797382D01* -X117112779Y-120837095D01* -X117099708Y-120880187D01* -X117095294Y-120925000D01* -X116729706Y-120925000D01* -X116729706Y-120600000D01* -X116723371Y-120535678D01* -X116704609Y-120473827D01* -X116674141Y-120416825D01* -X116667775Y-120409068D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116160397Y-119639792D01* -X116073298Y-119675870D01* -X115994910Y-119728247D01* -X115928247Y-119794910D01* -X115875870Y-119873298D01* -X115839792Y-119960397D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115852611Y-120270551D01* -X115850000Y-120270294D01* -X115780950Y-120271400D01* -X115723800Y-120328550D01* -X115576200Y-120328550D01* -X115519050Y-120271400D01* -X115450000Y-120270294D01* -X115405187Y-120274708D01* -X115362095Y-120287779D01* -X115325000Y-120307607D01* -X115287905Y-120287779D01* -X115244813Y-120274708D01* -X115200000Y-120270294D01* -X115130950Y-120271400D01* -X115073800Y-120328550D01* -X114926200Y-120328550D01* -X114869050Y-120271400D01* -X114800000Y-120270294D01* -X114755187Y-120274708D01* -X114712095Y-120287779D01* -X114672382Y-120309006D01* -X114637573Y-120337573D01* -X114609006Y-120372382D01* -X114587779Y-120412095D01* -X114574708Y-120455187D01* -X114570294Y-120500000D01* -X106026843Y-120500000D01* -X106071753Y-120455090D01* -X106124130Y-120376702D01* -X106160208Y-120289603D01* -X106178600Y-120197138D01* -X106178600Y-120102862D01* -X106160208Y-120010397D01* -X106157691Y-120004322D01* -X106219050Y-120003600D01* -X106276200Y-119946450D01* -X106276200Y-119123800D01* -X106256200Y-119123800D01* -X106256200Y-118976200D01* -X106276200Y-118976200D01* -X106276200Y-118153550D01* -X106219050Y-118096400D01* -X106157691Y-118095678D01* -X106160208Y-118089603D01* -X106178600Y-117997138D01* -X106178600Y-117902862D01* -X106160208Y-117810397D01* -X106124130Y-117723298D01* -X106071753Y-117644910D01* -X106005090Y-117578247D01* -X105926702Y-117525870D01* -X105839603Y-117489792D01* -X105747138Y-117471400D01* -X105652862Y-117471400D01* -X105560397Y-117489792D01* -X105473298Y-117525870D01* -X105394910Y-117578247D01* -X105328247Y-117644910D01* -X105275870Y-117723298D01* -X105239792Y-117810397D01* -X105221400Y-117902862D01* -X105221400Y-117997138D01* -X105239792Y-118089603D01* -X105246401Y-118105558D01* -X105246401Y-118426264D01* -X105245294Y-118437500D01* -X105245294Y-118549273D01* -X105178624Y-118529049D01* -X105100962Y-118521400D01* -X104828600Y-118521400D01* -X104828600Y-118038088D01* -X104822545Y-118007645D01* -X104819504Y-117976773D01* -X104810500Y-117947091D01* -X104804444Y-117916644D01* -X104792564Y-117887962D01* -X104783560Y-117858281D01* -X104768939Y-117830928D01* -X104757059Y-117802246D01* -X104739811Y-117776433D01* -X104725190Y-117749079D01* -X104705511Y-117725100D01* -X104688266Y-117699291D01* -X104666321Y-117677346D01* -X104646638Y-117653362D01* -X104622654Y-117633679D01* -X104600709Y-117611734D01* -X104574900Y-117594489D01* -X104550921Y-117574810D01* -X104523567Y-117560189D01* -X104497754Y-117542941D01* -X104469072Y-117531061D01* -X104441719Y-117516440D01* -X104412038Y-117507436D01* -X104383356Y-117495556D01* -X104352909Y-117489500D01* -X104323227Y-117480496D01* -X104292355Y-117477455D01* -X104261912Y-117471400D01* -X104230875Y-117471400D01* -X104200000Y-117468359D01* -X104169125Y-117471400D01* -X104138088Y-117471400D01* -X104107644Y-117477455D01* -X104076774Y-117480496D01* -X104047094Y-117489499D01* -X104016644Y-117495556D01* -X103987959Y-117507437D01* -X103958282Y-117516440D01* -X103930932Y-117531059D01* -X103902246Y-117542941D01* -X103876429Y-117560192D01* -X103849080Y-117574810D01* -X103825106Y-117594485D01* -X103799291Y-117611734D01* -X103777341Y-117633684D01* -X103753363Y-117653362D01* -X103733685Y-117677340D01* -X103711734Y-117699291D01* -X103694484Y-117725107D01* -X103674811Y-117749079D01* -X103660194Y-117776425D01* -X103642941Y-117802246D01* -X103631057Y-117830936D01* -X103616441Y-117858281D01* -X103607440Y-117887953D01* -X103595556Y-117916644D01* -X103589498Y-117947101D01* -X103580497Y-117976773D01* -X103577457Y-118007635D01* -X103571400Y-118038088D01* -X103571400Y-118161912D01* -X103571401Y-118161917D01* -X103571401Y-118567400D01* -X103473696Y-118567400D01* -X103449999Y-118565066D01* -X103426302Y-118567400D01* -X103426293Y-118567400D01* -X103355394Y-118574383D01* -X103264423Y-118601978D01* -X103180585Y-118646791D01* -X103107099Y-118707099D01* -X103091980Y-118725522D01* -X102991987Y-118825514D01* -X102946792Y-118880585D01* -X102901978Y-118964424D01* -X102874384Y-119055394D01* -X102865065Y-119150000D01* -X102804706Y-119150000D01* -X102804706Y-118437500D01* -X102798131Y-118370739D01* -X102778657Y-118306543D01* -X102747034Y-118247381D01* -X102704476Y-118195524D01* -X102654614Y-118154604D01* -X102655068Y-118149999D01* -X102649206Y-118090484D01* -X102641692Y-118065715D01* -X102631846Y-118033256D01* -X102603655Y-117980513D01* -X102565716Y-117934284D01* -X102554140Y-117924784D01* -X99232954Y-114603600D01* -X99774246Y-114603600D01* -X102474779Y-117304134D01* -X102484284Y-117315716D01* -X102530513Y-117353655D01* -X102583256Y-117381846D01* -X102631053Y-117396345D01* -X102640484Y-117399206D01* -X102700000Y-117405068D01* -X102714904Y-117403600D01* -X106124246Y-117403600D01* -X106696401Y-117975757D01* -X106696401Y-118130683D01* -X106662905Y-118112779D01* -X106619813Y-118099708D01* -X106575000Y-118095294D01* -X106480950Y-118096400D01* -X106423800Y-118153550D01* -X106423800Y-118976200D01* -X106443800Y-118976200D01* -X106443800Y-119123800D01* -X106423800Y-119123800D01* -X106423800Y-119946450D01* -X106480950Y-120003600D01* -X106575000Y-120004706D01* -X106619813Y-120000292D01* -X106662905Y-119987221D01* -X106702618Y-119965994D01* -X106714543Y-119956207D01* -X106756543Y-119978657D01* -X106820739Y-119998131D01* -X106887500Y-120004706D01* -X107112500Y-120004706D01* -X107179261Y-119998131D01* -X107243457Y-119978657D01* -X107302619Y-119947034D01* -X107325000Y-119928666D01* -X107347381Y-119947034D01* -X107406543Y-119978657D01* -X107470739Y-119998131D01* -X107537500Y-120004706D01* -X107762500Y-120004706D01* -X107829261Y-119998131D01* -X107893457Y-119978657D01* -X107952619Y-119947034D01* -X107975000Y-119928666D01* -X107997381Y-119947034D01* -X108056543Y-119978657D01* -X108120739Y-119998131D01* -X108187500Y-120004706D01* -X108412500Y-120004706D01* -X108479261Y-119998131D01* -X108543457Y-119978657D01* -X108602619Y-119947034D01* -X108625000Y-119928666D01* -X108647381Y-119947034D01* -X108706543Y-119978657D01* -X108770739Y-119998131D01* -X108837500Y-120004706D01* -X109062500Y-120004706D01* -X109129261Y-119998131D01* -X109193457Y-119978657D01* -X109252619Y-119947034D01* -X109275000Y-119928666D01* -X109297381Y-119947034D01* -X109356543Y-119978657D01* -X109420739Y-119998131D01* -X109487500Y-120004706D01* -X109712500Y-120004706D01* -X109779261Y-119998131D01* -X109843457Y-119978657D01* -X109902619Y-119947034D01* -X109925000Y-119928666D01* -X109947381Y-119947034D01* -X110006543Y-119978657D01* -X110070739Y-119998131D01* -X110137500Y-120004706D01* -X110362500Y-120004706D01* -X110429261Y-119998131D01* -X110493457Y-119978657D01* -X110552619Y-119947034D01* -X110575000Y-119928666D01* -X110597381Y-119947034D01* -X110656543Y-119978657D01* -X110720739Y-119998131D01* -X110787500Y-120004706D01* -X111012500Y-120004706D01* -X111079261Y-119998131D01* -X111143457Y-119978657D01* -X111202619Y-119947034D01* -X111225000Y-119928666D01* -X111247381Y-119947034D01* -X111306543Y-119978657D01* -X111370739Y-119998131D01* -X111437500Y-120004706D01* -X111662500Y-120004706D01* -X111729261Y-119998131D01* -X111793457Y-119978657D01* -X111852619Y-119947034D01* -X111904476Y-119904476D01* -X111947034Y-119852619D01* -X111978657Y-119793457D01* -X111998131Y-119729261D01* -X112004706Y-119662500D01* -X112004706Y-118437500D01* -X111998131Y-118370739D01* -X111978657Y-118306543D01* -X111947034Y-118247381D01* -X111904476Y-118195524D01* -X111852619Y-118152966D01* -X111793457Y-118121343D01* -X111729261Y-118101869D01* -X111662500Y-118095294D01* -X111437500Y-118095294D01* -X111370739Y-118101869D01* -X111306543Y-118121343D01* -X111247381Y-118152966D01* -X111225000Y-118171334D01* -X111202619Y-118152966D01* -X111143457Y-118121343D01* -X111079261Y-118101869D01* -X111012500Y-118095294D01* -X110787500Y-118095294D01* -X110720739Y-118101869D01* -X110656543Y-118121343D01* -X110597381Y-118152966D01* -X110575000Y-118171334D01* -X110554615Y-118154604D01* -X110555068Y-118150000D01* -X110549206Y-118090484D01* -X110541692Y-118065715D01* -X110531846Y-118033256D01* -X110503655Y-117980513D01* -X110465716Y-117934284D01* -X110454134Y-117924779D01* -X108354355Y-115825000D01* -X112245294Y-115825000D01* -X112249708Y-115869813D01* -X112262779Y-115912905D01* -X112284006Y-115952618D01* -X112312573Y-115987427D01* -X112347382Y-116015994D01* -X112387095Y-116037221D01* -X112430187Y-116050292D01* -X112475000Y-116054706D01* -X112769050Y-116053600D01* -X112826200Y-115996450D01* -X112826200Y-115423800D01* -X112973800Y-115423800D01* -X112973800Y-115996450D01* -X113030950Y-116053600D01* -X113325000Y-116054706D01* -X113369813Y-116050292D01* -X113412905Y-116037221D01* -X113452618Y-116015994D01* -X113487427Y-115987427D01* -X113515994Y-115952618D01* -X113537221Y-115912905D01* -X113550292Y-115869813D01* -X113554706Y-115825000D01* -X113553600Y-115480950D01* -X113496450Y-115423800D01* -X112973800Y-115423800D01* -X112826200Y-115423800D01* -X112303550Y-115423800D01* -X112246400Y-115480950D01* -X112245294Y-115825000D01* -X108354355Y-115825000D01* -X107925226Y-115395872D01* -X107915716Y-115384284D01* -X107869487Y-115346345D01* -X107816744Y-115318154D01* -X107759516Y-115300794D01* -X107714904Y-115296400D01* -X107700000Y-115294932D01* -X107685096Y-115296400D01* -X103575756Y-115296400D01* -X103154356Y-114875000D01* -X112245294Y-114875000D01* -X112246400Y-115219050D01* -X112303550Y-115276200D01* -X112826200Y-115276200D01* -X112826200Y-114703550D01* -X112973800Y-114703550D01* -X112973800Y-115276200D01* -X113496450Y-115276200D01* -X113553600Y-115219050D01* -X113554706Y-114875000D01* -X113550292Y-114830187D01* -X113537221Y-114787095D01* -X113515994Y-114747382D01* -X113487427Y-114712573D01* -X113452618Y-114684006D01* -X113412905Y-114662779D01* -X113369813Y-114649708D01* -X113325000Y-114645294D01* -X113030950Y-114646400D01* -X112973800Y-114703550D01* -X112826200Y-114703550D01* -X112769050Y-114646400D01* -X112475000Y-114645294D01* -X112430187Y-114649708D01* -X112387095Y-114662779D01* -X112347382Y-114684006D01* -X112312573Y-114712573D01* -X112284006Y-114747382D01* -X112262779Y-114787095D01* -X112249708Y-114830187D01* -X112245294Y-114875000D01* -X103154356Y-114875000D01* -X102729356Y-114450000D01* -X113718843Y-114450000D01* -X113721400Y-114475962D01* -X113721400Y-114502063D01* -X113726492Y-114527665D01* -X113729049Y-114553623D01* -X113736620Y-114578580D01* -X113741713Y-114604187D01* -X113751706Y-114628311D01* -X113759275Y-114653264D01* -X113771567Y-114676261D01* -X113781560Y-114700386D01* -X113796067Y-114722097D01* -X113808359Y-114745094D01* -X113824901Y-114765251D01* -X113839409Y-114786963D01* -X113853378Y-114800932D01* -X113819819Y-114841824D01* -X113778955Y-114918275D01* -X113753791Y-115001230D01* -X113745294Y-115087500D01* -X113745294Y-115612500D01* -X113753791Y-115698770D01* -X113778955Y-115781725D01* -X113819819Y-115858176D01* -X113853378Y-115899068D01* -X113839409Y-115913037D01* -X113824901Y-115934749D01* -X113808359Y-115954906D01* -X113796067Y-115977903D01* -X113781560Y-115999614D01* -X113771567Y-116023739D01* -X113759275Y-116046736D01* -X113751706Y-116071689D01* -X113741713Y-116095813D01* -X113736620Y-116121420D01* -X113729049Y-116146377D01* -X113726492Y-116172335D01* -X113721400Y-116197937D01* -X113721400Y-116224038D01* -X113718843Y-116250000D01* -X113721400Y-116275962D01* -X113721400Y-116302063D01* -X113726492Y-116327665D01* -X113729049Y-116353623D01* -X113736620Y-116378580D01* -X113741713Y-116404187D01* -X113751706Y-116428311D01* -X113759275Y-116453264D01* -X113771567Y-116476261D01* -X113781560Y-116500386D01* -X113796067Y-116522097D01* -X113808359Y-116545094D01* -X113824901Y-116565250D01* -X113839409Y-116586963D01* -X113857875Y-116605429D01* -X113874416Y-116625584D01* -X113894571Y-116642125D01* -X113913037Y-116660591D01* -X113934750Y-116675099D01* -X113954906Y-116691641D01* -X113977903Y-116703933D01* -X113999614Y-116718440D01* -X114023739Y-116728433D01* -X114046736Y-116740725D01* -X114071689Y-116748294D01* -X114095813Y-116758287D01* -X114121420Y-116763380D01* -X114146377Y-116770951D01* -X114172335Y-116773508D01* -X114197937Y-116778600D01* -X114224038Y-116778600D01* -X114250000Y-116781157D01* -X114275962Y-116778600D01* -X114302063Y-116778600D01* -X114327665Y-116773508D01* -X114353623Y-116770951D01* -X114378580Y-116763380D01* -X114404187Y-116758287D01* -X114428311Y-116748294D01* -X114453264Y-116740725D01* -X114476261Y-116728433D01* -X114500386Y-116718440D01* -X114522097Y-116703933D01* -X114545094Y-116691641D01* -X114565251Y-116675099D01* -X114586963Y-116660591D01* -X114660591Y-116586963D01* -X114660595Y-116586957D01* -X114755410Y-116492142D01* -X114775585Y-116475585D01* -X114841641Y-116395095D01* -X114890725Y-116303265D01* -X114920951Y-116203624D01* -X114928600Y-116125962D01* -X114928600Y-116125960D01* -X114931157Y-116100001D01* -X114928600Y-116074042D01* -X114928600Y-115921028D01* -X114963420Y-115878600D01* -X115302063Y-115878600D01* -X115327664Y-115873508D01* -X115353624Y-115870951D01* -X115378582Y-115863380D01* -X115404187Y-115858287D01* -X115428309Y-115848296D01* -X115453265Y-115840725D01* -X115476265Y-115828431D01* -X115484548Y-115825000D01* -X122245294Y-115825000D01* -X122249708Y-115869813D01* -X122262779Y-115912905D01* -X122284006Y-115952618D01* -X122312573Y-115987427D01* -X122347382Y-116015994D01* -X122387095Y-116037221D01* -X122430187Y-116050292D01* -X122475000Y-116054706D01* -X122769050Y-116053600D01* -X122826200Y-115996450D01* -X122826200Y-115423800D01* -X122973800Y-115423800D01* -X122973800Y-115996450D01* -X123030950Y-116053600D01* -X123325000Y-116054706D01* -X123369813Y-116050292D01* -X123412905Y-116037221D01* -X123452618Y-116015994D01* -X123487427Y-115987427D01* -X123515994Y-115952618D01* -X123537221Y-115912905D01* -X123550292Y-115869813D01* -X123554706Y-115825000D01* -X123553600Y-115480950D01* -X123496450Y-115423800D01* -X122973800Y-115423800D01* -X122826200Y-115423800D01* -X122303550Y-115423800D01* -X122246400Y-115480950D01* -X122245294Y-115825000D01* -X115484548Y-115825000D01* -X115500386Y-115818440D01* -X115522093Y-115803936D01* -X115545095Y-115791641D01* -X115565257Y-115775094D01* -X115586963Y-115760591D01* -X115605421Y-115742133D01* -X115625585Y-115725585D01* -X115642133Y-115705421D01* -X115660591Y-115686963D01* -X115675094Y-115665257D01* -X115691641Y-115645095D01* -X115703936Y-115622093D01* -X115718440Y-115600386D01* -X115728431Y-115576265D01* -X115740725Y-115553265D01* -X115748296Y-115528309D01* -X115758287Y-115504187D01* -X115763380Y-115478582D01* -X115770951Y-115453624D01* -X115773508Y-115427664D01* -X115778600Y-115402063D01* -X115778600Y-115375961D01* -X115781157Y-115350000D01* -X115778600Y-115324038D01* -X115778600Y-115297937D01* -X115773508Y-115272336D01* -X115770951Y-115246376D01* -X115763380Y-115221418D01* -X115758287Y-115195813D01* -X115748296Y-115171691D01* -X115740725Y-115146735D01* -X115728431Y-115123735D01* -X115718440Y-115099614D01* -X115703936Y-115077907D01* -X115691641Y-115054905D01* -X115675094Y-115034743D01* -X115660591Y-115013037D01* -X115642133Y-114994579D01* -X115625585Y-114974415D01* -X115605421Y-114957867D01* -X115586963Y-114939409D01* -X115565257Y-114924906D01* -X115545095Y-114908359D01* -X115522093Y-114896064D01* -X115500386Y-114881560D01* -X115484549Y-114875000D01* -X122245294Y-114875000D01* -X122246400Y-115219050D01* -X122303550Y-115276200D01* -X122826200Y-115276200D01* -X122826200Y-114703550D01* -X122973800Y-114703550D01* -X122973800Y-115276200D01* -X123496450Y-115276200D01* -X123553600Y-115219050D01* -X123554706Y-114875000D01* -X123550292Y-114830187D01* -X123537221Y-114787095D01* -X123515994Y-114747382D01* -X123487427Y-114712573D01* -X123452618Y-114684006D01* -X123412905Y-114662779D01* -X123369813Y-114649708D01* -X123325000Y-114645294D01* -X123030950Y-114646400D01* -X122973800Y-114703550D01* -X122826200Y-114703550D01* -X122769050Y-114646400D01* -X122475000Y-114645294D01* -X122430187Y-114649708D01* -X122387095Y-114662779D01* -X122347382Y-114684006D01* -X122312573Y-114712573D01* -X122284006Y-114747382D01* -X122262779Y-114787095D01* -X122249708Y-114830187D01* -X122245294Y-114875000D01* -X115484549Y-114875000D01* -X115476265Y-114871569D01* -X115453265Y-114859275D01* -X115428309Y-114851704D01* -X115404187Y-114841713D01* -X115378582Y-114836620D01* -X115353624Y-114829049D01* -X115327664Y-114826492D01* -X115302063Y-114821400D01* -X114963420Y-114821400D01* -X114928600Y-114778972D01* -X114928600Y-114625958D01* -X114931157Y-114599999D01* -X114925295Y-114540483D01* -X114920951Y-114496376D01* -X114890725Y-114396735D01* -X114841641Y-114304905D01* -X114775585Y-114224415D01* -X114755410Y-114207858D01* -X114660595Y-114113043D01* -X114660591Y-114113037D01* -X114586963Y-114039409D01* -X114565251Y-114024901D01* -X114545094Y-114008359D01* -X114522097Y-113996067D01* -X114500386Y-113981560D01* -X114476261Y-113971567D01* -X114453264Y-113959275D01* -X114428311Y-113951706D01* -X114404187Y-113941713D01* -X114378580Y-113936620D01* -X114353623Y-113929049D01* -X114327665Y-113926492D01* -X114302063Y-113921400D01* -X114275962Y-113921400D01* -X114250000Y-113918843D01* -X114224038Y-113921400D01* -X114197937Y-113921400D01* -X114172335Y-113926492D01* -X114146377Y-113929049D01* -X114121420Y-113936620D01* -X114095813Y-113941713D01* -X114071689Y-113951706D01* -X114046736Y-113959275D01* -X114023739Y-113971567D01* -X113999614Y-113981560D01* -X113977903Y-113996067D01* -X113954906Y-114008359D01* -X113934750Y-114024901D01* -X113913037Y-114039409D01* -X113894571Y-114057875D01* -X113874416Y-114074416D01* -X113857875Y-114094571D01* -X113839409Y-114113037D01* -X113824901Y-114134750D01* -X113808359Y-114154906D01* -X113796067Y-114177903D01* -X113781560Y-114199614D01* -X113771567Y-114223739D01* -X113759275Y-114246736D01* -X113751706Y-114271689D01* -X113741713Y-114295813D01* -X113736620Y-114321420D01* -X113729049Y-114346377D01* -X113726492Y-114372335D01* -X113721400Y-114397937D01* -X113721400Y-114424038D01* -X113718843Y-114450000D01* -X102729356Y-114450000D01* -X101958356Y-113679000D01* -X111915294Y-113679000D01* -X111919708Y-113723813D01* -X111932779Y-113766905D01* -X111954006Y-113806618D01* -X111982573Y-113841427D01* -X112017382Y-113869994D01* -X112057095Y-113891221D01* -X112100187Y-113904292D01* -X112145000Y-113908706D01* -X112769050Y-113907600D01* -X112826200Y-113850450D01* -X112826200Y-113523800D01* -X112973800Y-113523800D01* -X112973800Y-113850450D01* -X113030950Y-113907600D01* -X113655000Y-113908706D01* -X113699813Y-113904292D01* -X113742905Y-113891221D01* -X113782618Y-113869994D01* -X113817427Y-113841427D01* -X113845994Y-113806618D01* -X113867221Y-113766905D01* -X113880292Y-113723813D01* -X113884706Y-113679000D01* -X113883600Y-113580950D01* -X113826450Y-113523800D01* -X112973800Y-113523800D01* -X112826200Y-113523800D01* -X111973550Y-113523800D01* -X111916400Y-113580950D01* -X111915294Y-113679000D01* -X101958356Y-113679000D01* -X100529355Y-112250000D01* -X102769085Y-112250000D01* -X102771400Y-112273503D01* -X102771400Y-112297138D01* -X102776011Y-112320321D01* -X102778326Y-112343822D01* -X102785180Y-112366419D01* -X102789792Y-112389603D01* -X102798837Y-112411439D01* -X102805692Y-112434038D01* -X102816826Y-112454867D01* -X102825870Y-112476702D01* -X102839001Y-112496354D01* -X102850134Y-112517182D01* -X102865114Y-112535436D01* -X102878247Y-112555090D01* -X102894963Y-112571806D01* -X102909942Y-112590058D01* -X102928194Y-112605037D01* -X102944910Y-112621753D01* -X102964564Y-112634886D01* -X102982818Y-112649866D01* -X103003646Y-112660999D01* -X103023298Y-112674130D01* -X103045133Y-112683174D01* -X103065962Y-112694308D01* -X103088561Y-112701163D01* -X103110397Y-112710208D01* -X103133581Y-112714820D01* -X103156178Y-112721674D01* -X103179679Y-112723989D01* -X103202862Y-112728600D01* -X103454010Y-112728600D01* -X103469819Y-112758176D01* -X103524813Y-112825187D01* -X103571401Y-112863420D01* -X103571401Y-112926495D01* -X103569086Y-112950000D01* -X103578326Y-113043821D01* -X103605693Y-113134038D01* -X103650134Y-113217181D01* -X103694959Y-113271801D01* -X103694964Y-113271806D01* -X103709943Y-113290058D01* -X103728195Y-113305037D01* -X103828245Y-113405087D01* -X103828247Y-113405090D01* -X103894910Y-113471753D01* -X103914568Y-113484888D01* -X103932819Y-113499866D01* -X103953641Y-113510995D01* -X103973298Y-113524130D01* -X103995140Y-113533177D01* -X104015962Y-113544307D01* -X104038554Y-113551160D01* -X104060397Y-113560208D01* -X104083584Y-113564820D01* -X104106178Y-113571674D01* -X104129677Y-113573988D01* -X104152862Y-113578600D01* -X104176503Y-113578600D01* -X104199999Y-113580914D01* -X104223495Y-113578600D01* -X104247138Y-113578600D01* -X104270324Y-113573988D01* -X104293821Y-113571674D01* -X104316414Y-113564820D01* -X104339603Y-113560208D01* -X104361446Y-113551160D01* -X104384038Y-113544307D01* -X104404860Y-113533177D01* -X104426702Y-113524130D01* -X104446359Y-113510995D01* -X104467181Y-113499866D01* -X104485432Y-113484888D01* -X104505090Y-113471753D01* -X104521807Y-113455036D01* -X104540058Y-113440058D01* -X104555036Y-113421807D01* -X104571753Y-113405090D01* -X104584888Y-113385432D01* -X104599866Y-113367181D01* -X104610995Y-113346359D01* -X104624130Y-113326702D01* -X104633177Y-113304860D01* -X104644307Y-113284038D01* -X104651160Y-113261446D01* -X104660208Y-113239603D01* -X104664820Y-113216414D01* -X104671674Y-113193821D01* -X104673988Y-113170324D01* -X104678600Y-113147138D01* -X104678600Y-113123495D01* -X104680914Y-113099999D01* -X104678600Y-113076503D01* -X104678600Y-113052862D01* -X104673988Y-113029677D01* -X104671674Y-113006178D01* -X104664820Y-112983584D01* -X104660208Y-112960397D01* -X104651160Y-112938554D01* -X104644307Y-112915962D01* -X104633177Y-112895140D01* -X104624130Y-112873298D01* -X104610995Y-112853641D01* -X104599866Y-112832819D01* -X104584888Y-112814568D01* -X104584445Y-112813906D01* -X104630181Y-112758176D01* -X104647913Y-112725000D01* -X104895294Y-112725000D01* -X104899708Y-112769813D01* -X104912779Y-112812905D01* -X104934006Y-112852618D01* -X104962573Y-112887427D01* -X104997382Y-112915994D01* -X105037095Y-112937221D01* -X105080187Y-112950292D01* -X105125000Y-112954706D01* -X105419050Y-112953600D01* -X105476200Y-112896450D01* -X105476200Y-112323800D01* -X105623800Y-112323800D01* -X105623800Y-112896450D01* -X105680950Y-112953600D01* -X105975000Y-112954706D01* -X106019813Y-112950292D01* -X106062905Y-112937221D01* -X106102618Y-112915994D01* -X106137427Y-112887427D01* -X106165994Y-112852618D01* -X106187221Y-112812905D01* -X106200292Y-112769813D01* -X106204706Y-112725000D01* -X106203600Y-112380950D01* -X106146450Y-112323800D01* -X105623800Y-112323800D01* -X105476200Y-112323800D01* -X104953550Y-112323800D01* -X104896400Y-112380950D01* -X104895294Y-112725000D01* -X104647913Y-112725000D01* -X104671045Y-112681725D01* -X104696209Y-112598770D01* -X104704706Y-112512500D01* -X104704706Y-111987500D01* -X104696209Y-111901230D01* -X104671045Y-111818275D01* -X104647914Y-111775000D01* -X104895294Y-111775000D01* -X104896400Y-112119050D01* -X104953550Y-112176200D01* -X105476200Y-112176200D01* -X105476200Y-111603550D01* -X105623800Y-111603550D01* -X105623800Y-112176200D01* -X106146450Y-112176200D01* -X106203600Y-112119050D01* -X106204706Y-111775000D01* -X106200292Y-111730187D01* -X106187221Y-111687095D01* -X106165994Y-111647382D01* -X106137427Y-111612573D01* -X106102618Y-111584006D01* -X106062905Y-111562779D01* -X106019813Y-111549708D01* -X105975000Y-111545294D01* -X105680950Y-111546400D01* -X105623800Y-111603550D01* -X105476200Y-111603550D01* -X105419050Y-111546400D01* -X105125000Y-111545294D01* -X105080187Y-111549708D01* -X105037095Y-111562779D01* -X104997382Y-111584006D01* -X104962573Y-111612573D01* -X104934006Y-111647382D01* -X104912779Y-111687095D01* -X104899708Y-111730187D01* -X104895294Y-111775000D01* -X104647914Y-111775000D01* -X104630181Y-111741824D01* -X104584445Y-111686094D01* -X104584888Y-111685432D01* -X104599866Y-111667181D01* -X104610995Y-111646359D01* -X104624130Y-111626702D01* -X104633177Y-111604860D01* -X104644307Y-111584038D01* -X104651160Y-111561446D01* -X104660208Y-111539603D01* -X104664820Y-111516416D01* -X104671674Y-111493822D01* -X104673988Y-111470323D01* -X104678600Y-111447138D01* -X104678600Y-111423497D01* -X104680914Y-111400001D01* -X104678600Y-111376505D01* -X104678600Y-111352862D01* -X104673988Y-111329676D01* -X104671674Y-111306179D01* -X104664820Y-111283586D01* -X104660208Y-111260397D01* -X104651160Y-111238554D01* -X104644307Y-111215962D01* -X104633177Y-111195140D01* -X104624130Y-111173298D01* -X104610995Y-111153641D01* -X104599866Y-111132819D01* -X104584888Y-111114568D01* -X104571753Y-111094910D01* -X104555036Y-111078193D01* -X104540058Y-111059942D01* -X104521807Y-111044964D01* -X104505090Y-111028247D01* -X104485432Y-111015112D01* -X104467181Y-111000134D01* -X104446359Y-110989005D01* -X104426702Y-110975870D01* -X104404860Y-110966823D01* -X104384038Y-110955693D01* -X104361446Y-110948840D01* -X104339603Y-110939792D01* -X104316414Y-110935180D01* -X104293821Y-110928326D01* -X104270324Y-110926012D01* -X104247138Y-110921400D01* -X104223495Y-110921400D01* -X104199999Y-110919086D01* -X104176503Y-110921400D01* -X104152862Y-110921400D01* -X104129677Y-110926012D01* -X104106178Y-110928326D01* -X104083584Y-110935180D01* -X104060397Y-110939792D01* -X104038554Y-110948840D01* -X104015962Y-110955693D01* -X103995140Y-110966823D01* -X103973298Y-110975870D01* -X103953641Y-110989005D01* -X103932819Y-111000134D01* -X103914568Y-111015112D01* -X103894910Y-111028247D01* -X103828247Y-111094910D01* -X103828245Y-111094913D01* -X103728195Y-111194963D01* -X103709943Y-111209942D01* -X103694964Y-111228194D01* -X103694959Y-111228199D01* -X103650134Y-111282819D01* -X103605693Y-111365962D01* -X103578326Y-111456179D01* -X103569086Y-111550000D01* -X103571401Y-111573505D01* -X103571401Y-111636580D01* -X103524813Y-111674813D01* -X103469819Y-111741824D01* -X103454010Y-111771400D01* -X103202862Y-111771400D01* -X103179679Y-111776011D01* -X103156178Y-111778326D01* -X103133581Y-111785180D01* -X103110397Y-111789792D01* -X103088561Y-111798837D01* -X103065962Y-111805692D01* -X103045133Y-111816826D01* -X103023298Y-111825870D01* -X103003646Y-111839001D01* -X102982818Y-111850134D01* -X102964564Y-111865114D01* -X102944910Y-111878247D01* -X102928194Y-111894963D01* -X102909942Y-111909942D01* -X102894963Y-111928194D01* -X102878247Y-111944910D01* -X102865114Y-111964564D01* -X102850134Y-111982818D01* -X102839001Y-112003646D01* -X102825870Y-112023298D01* -X102816826Y-112045133D01* -X102805692Y-112065962D01* -X102798837Y-112088561D01* -X102789792Y-112110397D01* -X102785180Y-112133581D01* -X102778326Y-112156178D01* -X102776011Y-112179679D01* -X102771400Y-112202862D01* -X102771400Y-112226496D01* -X102769085Y-112250000D01* -X100529355Y-112250000D01* -X100475226Y-112195872D01* -X100465716Y-112184284D01* -X100419487Y-112146345D01* -X100366744Y-112118154D01* -X100309516Y-112100794D01* -X100264904Y-112096400D01* -X100250000Y-112094932D01* -X100235096Y-112096400D01* -X99864903Y-112096400D01* -X99849999Y-112094932D01* -X99790483Y-112100794D01* -X99765563Y-112108354D01* -X99733256Y-112118154D01* -X99680513Y-112146345D01* -X99634284Y-112184284D01* -X99624779Y-112195867D01* -X99024246Y-112796400D01* -X96525756Y-112796400D01* -X95953600Y-112224246D01* -X95953600Y-111570091D01* -X95973735Y-111578431D01* -X95996735Y-111590725D01* -X96021691Y-111598296D01* -X96045813Y-111608287D01* -X96071418Y-111613380D01* -X96096376Y-111620951D01* -X96122336Y-111623508D01* -X96147937Y-111628600D01* -X96486580Y-111628600D01* -X96521400Y-111671028D01* -X96521400Y-111824038D01* -X96518843Y-111850000D01* -X96529049Y-111953623D01* -X96559275Y-112053264D01* -X96608359Y-112145094D01* -X96617456Y-112156179D01* -X96674416Y-112225585D01* -X96694586Y-112242138D01* -X96789405Y-112336957D01* -X96789409Y-112336963D01* -X96863037Y-112410591D01* -X96884749Y-112425099D01* -X96904906Y-112441641D01* -X96927903Y-112453933D01* -X96949614Y-112468440D01* -X96973739Y-112478433D01* -X96996736Y-112490725D01* -X97021687Y-112498294D01* -X97045813Y-112508287D01* -X97071425Y-112513381D01* -X97096376Y-112520950D01* -X97122329Y-112523506D01* -X97147937Y-112528600D01* -X97174040Y-112528600D01* -X97199999Y-112531157D01* -X97225958Y-112528600D01* -X97252063Y-112528600D01* -X97277673Y-112523506D01* -X97303623Y-112520950D01* -X97328572Y-112513382D01* -X97354187Y-112508287D01* -X97378313Y-112498293D01* -X97403264Y-112490725D01* -X97426261Y-112478433D01* -X97450386Y-112468440D01* -X97472097Y-112453933D01* -X97495094Y-112441641D01* -X97515250Y-112425099D01* -X97536963Y-112410591D01* -X97555429Y-112392125D01* -X97575584Y-112375584D01* -X97592125Y-112355429D01* -X97610591Y-112336963D01* -X97625099Y-112315250D01* -X97641641Y-112295094D01* -X97653933Y-112272097D01* -X97668440Y-112250386D01* -X97678433Y-112226261D01* -X97690725Y-112203264D01* -X97698293Y-112178313D01* -X97708287Y-112154187D01* -X97713382Y-112128572D01* -X97720950Y-112103623D01* -X97723506Y-112077673D01* -X97728600Y-112052063D01* -X97728600Y-112025958D01* -X97731157Y-111999999D01* -X97728600Y-111974040D01* -X97728600Y-111947937D01* -X97723506Y-111922329D01* -X97720950Y-111896376D01* -X97713381Y-111871425D01* -X97708287Y-111845813D01* -X97698294Y-111821687D01* -X97690725Y-111796736D01* -X97678433Y-111773739D01* -X97668440Y-111749614D01* -X97653933Y-111727903D01* -X97641641Y-111704906D01* -X97625099Y-111684749D01* -X97610591Y-111663037D01* -X97596622Y-111649068D01* -X97630181Y-111608176D01* -X97647913Y-111575000D01* -X97895294Y-111575000D01* -X97899708Y-111619813D01* -X97912779Y-111662905D01* -X97934006Y-111702618D01* -X97962573Y-111737427D01* -X97997382Y-111765994D01* -X98037095Y-111787221D01* -X98080187Y-111800292D01* -X98125000Y-111804706D01* -X98419050Y-111803600D01* -X98476200Y-111746450D01* -X98476200Y-111173800D01* -X98623800Y-111173800D01* -X98623800Y-111746450D01* -X98680950Y-111803600D01* -X98975000Y-111804706D01* -X99019813Y-111800292D01* -X99062905Y-111787221D01* -X99102618Y-111765994D01* -X99137427Y-111737427D01* -X99165994Y-111702618D01* -X99187221Y-111662905D01* -X99200292Y-111619813D01* -X99204706Y-111575000D01* -X99203600Y-111230950D01* -X99146450Y-111173800D01* -X98623800Y-111173800D01* -X98476200Y-111173800D01* -X97953550Y-111173800D01* -X97896400Y-111230950D01* -X97895294Y-111575000D01* -X97647913Y-111575000D01* -X97671045Y-111531725D01* -X97696209Y-111448770D01* -X97704706Y-111362500D01* -X97704706Y-110837500D01* -X97696209Y-110751230D01* -X97671045Y-110668275D01* -X97647915Y-110625000D01* -X97895294Y-110625000D01* -X97896400Y-110969050D01* -X97953550Y-111026200D01* -X98476200Y-111026200D01* -X98476200Y-110453550D01* -X98623800Y-110453550D01* -X98623800Y-111026200D01* -X99146450Y-111026200D01* -X99203600Y-110969050D01* -X99204706Y-110625000D01* -X99200292Y-110580187D01* -X99187221Y-110537095D01* -X99165994Y-110497382D01* -X99137427Y-110462573D01* -X99102618Y-110434006D01* -X99062905Y-110412779D01* -X99019813Y-110399708D01* -X98975000Y-110395294D01* -X98680950Y-110396400D01* -X98623800Y-110453550D01* -X98476200Y-110453550D01* -X98419050Y-110396400D01* -X98125000Y-110395294D01* -X98080187Y-110399708D01* -X98037095Y-110412779D01* -X97997382Y-110434006D01* -X97962573Y-110462573D01* -X97934006Y-110497382D01* -X97912779Y-110537095D01* -X97899708Y-110580187D01* -X97895294Y-110625000D01* -X97647915Y-110625000D01* -X97641989Y-110613915D01* -X97646354Y-110610999D01* -X97667182Y-110599866D01* -X97685436Y-110584886D01* -X97705090Y-110571753D01* -X97721806Y-110555037D01* -X97740058Y-110540058D01* -X97755037Y-110521806D01* -X97771753Y-110505090D01* -X97784886Y-110485436D01* -X97799866Y-110467182D01* -X97810999Y-110446354D01* -X97824130Y-110426702D01* -X97833174Y-110404867D01* -X97844308Y-110384038D01* -X97851163Y-110361439D01* -X97860208Y-110339603D01* -X97864820Y-110316419D01* -X97871674Y-110293822D01* -X97873989Y-110270321D01* -X97878600Y-110247138D01* -X97878600Y-110238376D01* -X97901472Y-110195585D01* -X97908701Y-110171753D01* -X97923121Y-110124219D01* -X97925861Y-110096400D01* -X97928600Y-110068590D01* -X97928600Y-110068583D01* -X97930430Y-110050001D01* -X97928600Y-110031418D01* -X97928600Y-110019050D01* -X97976200Y-109971450D01* -X97976200Y-109136300D01* -X97956200Y-109136300D01* -X97956200Y-108988700D01* -X97976200Y-108988700D01* -X97976200Y-108153550D01* -X98123800Y-108153550D01* -X98123800Y-108988700D01* -X98143800Y-108988700D01* -X98143800Y-109136300D01* -X98123800Y-109136300D01* -X98123800Y-109971450D01* -X98180950Y-110028600D01* -X98200000Y-110029706D01* -X98244813Y-110025292D01* -X98287905Y-110012221D01* -X98327618Y-109990994D01* -X98328306Y-109990430D01* -X98358394Y-110006512D01* -X98415555Y-110023851D01* -X98475000Y-110029706D01* -X98625000Y-110029706D01* -X98684445Y-110023851D01* -X98741606Y-110006512D01* -X98794286Y-109978354D01* -X98800000Y-109973665D01* -X98805714Y-109978354D01* -X98858394Y-110006512D01* -X98915555Y-110023851D01* -X98975000Y-110029706D01* -X99125000Y-110029706D01* -X99184445Y-110023851D01* -X99241606Y-110006512D01* -X99294286Y-109978354D01* -X99300000Y-109973665D01* -X99305714Y-109978354D01* -X99358394Y-110006512D01* -X99415555Y-110023851D01* -X99475000Y-110029706D01* -X99625000Y-110029706D01* -X99684445Y-110023851D01* -X99741606Y-110006512D01* -X99794286Y-109978354D01* -X99800000Y-109973665D01* -X99805714Y-109978354D01* -X99858394Y-110006512D01* -X99915555Y-110023851D01* -X99975000Y-110029706D01* -X100125000Y-110029706D01* -X100184445Y-110023851D01* -X100241606Y-110006512D01* -X100294286Y-109978354D01* -X100340460Y-109940460D01* -X100378354Y-109894286D01* -X100406512Y-109841606D01* -X100423851Y-109784445D01* -X100429706Y-109725000D01* -X100429706Y-109476200D01* -X100550000Y-109476200D01* -X100564866Y-109474736D01* -X100579160Y-109470400D01* -X100592334Y-109463358D01* -X100603882Y-109453882D01* -X100831564Y-109226200D01* -X102318436Y-109226200D01* -X102996118Y-109903882D01* -X103007666Y-109913358D01* -X103020840Y-109920400D01* -X103035134Y-109924736D01* -X103050000Y-109926200D01* -X105318436Y-109926200D01* -X107246118Y-111853882D01* -X107257666Y-111863358D01* -X107270840Y-111870400D01* -X107285134Y-111874736D01* -X107300000Y-111876200D01* -X107689402Y-111876200D01* -X107725000Y-111879706D01* -X108175000Y-111879706D01* -X108210598Y-111876200D01* -X108500000Y-111876200D01* -X108504125Y-111875794D01* -X108489792Y-111910397D01* -X108471400Y-112002862D01* -X108471400Y-112097138D01* -X108489792Y-112189603D01* -X108525870Y-112276702D01* -X108578247Y-112355090D01* -X108644910Y-112421753D01* -X108723298Y-112474130D01* -X108810397Y-112510208D01* -X108902862Y-112528600D01* -X108997138Y-112528600D01* -X109089603Y-112510208D01* -X109176702Y-112474130D01* -X109255090Y-112421753D01* -X109321753Y-112355090D01* -X109374130Y-112276702D01* -X109410208Y-112189603D01* -X109428600Y-112097138D01* -X109428600Y-112079000D01* -X111915294Y-112079000D01* -X111919708Y-112123813D01* -X111932779Y-112166905D01* -X111954006Y-112206618D01* -X111982573Y-112241427D01* -X112017382Y-112269994D01* -X112032931Y-112278305D01* -X112016110Y-112292110D01* -X111973303Y-112344269D01* -X111941495Y-112403778D01* -X111921908Y-112468349D01* -X111915294Y-112535500D01* -X111915294Y-112764500D01* -X111921908Y-112831651D01* -X111941495Y-112896222D01* -X111973303Y-112955731D01* -X112016110Y-113007890D01* -X112032931Y-113021695D01* -X112017382Y-113030006D01* -X111982573Y-113058573D01* -X111954006Y-113093382D01* -X111932779Y-113133095D01* -X111919708Y-113176187D01* -X111915294Y-113221000D01* -X111916400Y-113319050D01* -X111973550Y-113376200D01* -X112826200Y-113376200D01* -X112826200Y-113356200D01* -X112973800Y-113356200D01* -X112973800Y-113376200D01* -X113826450Y-113376200D01* -X113883600Y-113319050D01* -X113884706Y-113221000D01* -X113880292Y-113176187D01* -X113867221Y-113133095D01* -X113845994Y-113093382D01* -X113817427Y-113058573D01* -X113782618Y-113030006D01* -X113767069Y-113021695D01* -X113783890Y-113007890D01* -X113826697Y-112955731D01* -X113827836Y-112953600D01* -X114372441Y-112953600D01* -X114375140Y-112957640D01* -X114442360Y-113024860D01* -X114521403Y-113077674D01* -X114609231Y-113114054D01* -X114702468Y-113132600D01* -X114797532Y-113132600D01* -X114890769Y-113114054D01* -X114978597Y-113077674D01* -X115057640Y-113024860D01* -X115124860Y-112957640D01* -X115177674Y-112878597D01* -X115214054Y-112790769D01* -X115232600Y-112697532D01* -X115232600Y-112602468D01* -X115214054Y-112509231D01* -X115177674Y-112421403D01* -X115124860Y-112342360D01* -X115057640Y-112275140D01* -X114978597Y-112222326D01* -X114890769Y-112185946D01* -X114797532Y-112167400D01* -X114702468Y-112167400D01* -X114609231Y-112185946D01* -X114521403Y-112222326D01* -X114442360Y-112275140D01* -X114375140Y-112342360D01* -X114372441Y-112346400D01* -X113827836Y-112346400D01* -X113826697Y-112344269D01* -X113783890Y-112292110D01* -X113767069Y-112278305D01* -X113782618Y-112269994D01* -X113817427Y-112241427D01* -X113845994Y-112206618D01* -X113867221Y-112166905D01* -X113880292Y-112123813D01* -X113884706Y-112079000D01* -X113883600Y-111980950D01* -X113826450Y-111923800D01* -X112973800Y-111923800D01* -X112973800Y-111943800D01* -X112826200Y-111943800D01* -X112826200Y-111923800D01* -X111973550Y-111923800D01* -X111916400Y-111980950D01* -X111915294Y-112079000D01* -X109428600Y-112079000D01* -X109428600Y-112002862D01* -X109410208Y-111910397D01* -X109374130Y-111823298D01* -X109368843Y-111815386D01* -X109408137Y-111783137D01* -X109449141Y-111733175D01* -X109479609Y-111676173D01* -X109498371Y-111614322D01* -X109501192Y-111585684D01* -X109560397Y-111610208D01* -X109652862Y-111628600D01* -X109747138Y-111628600D01* -X109839603Y-111610208D01* -X109926702Y-111574130D01* -X110005090Y-111521753D01* -X110071753Y-111455090D01* -X110124130Y-111376702D01* -X110160208Y-111289603D01* -X110178600Y-111197138D01* -X110178600Y-111102862D01* -X110160208Y-111010397D01* -X110124130Y-110923298D01* -X110071753Y-110844910D01* -X110005090Y-110778247D01* -X109926702Y-110725870D01* -X109839603Y-110689792D01* -X109747138Y-110671400D01* -X109652862Y-110671400D01* -X109650572Y-110671856D01* -X109623941Y-110650000D01* -X109650572Y-110628144D01* -X109652862Y-110628600D01* -X109747138Y-110628600D01* -X109839603Y-110610208D01* -X109926702Y-110574130D01* -X110005090Y-110521753D01* -X110071753Y-110455090D01* -X110124130Y-110376702D01* -X110160208Y-110289603D01* -X110178600Y-110197138D01* -X110178600Y-110102862D01* -X110160208Y-110010397D01* -X110124130Y-109923298D01* -X110071753Y-109844910D01* -X110005090Y-109778247D01* -X109926702Y-109725870D01* -X109839603Y-109689792D01* -X109747138Y-109671400D01* -X109652862Y-109671400D01* -X109560397Y-109689792D01* -X109501192Y-109714316D01* -X109498371Y-109685678D01* -X109479609Y-109623827D01* -X109449141Y-109566825D01* -X109408137Y-109516863D01* -X109368843Y-109484614D01* -X109374130Y-109476702D01* -X109410208Y-109389603D01* -X109428600Y-109297138D01* -X109428600Y-109237500D01* -X110145294Y-109237500D01* -X110145294Y-109662500D01* -X110153791Y-109748770D01* -X110178955Y-109831725D01* -X110219819Y-109908176D01* -X110221400Y-109910103D01* -X110221401Y-110388083D01* -X110221400Y-110388088D01* -X110221400Y-110511912D01* -X110227457Y-110542365D01* -X110230497Y-110573227D01* -X110239498Y-110602899D01* -X110245556Y-110633356D01* -X110257440Y-110662047D01* -X110266441Y-110691719D01* -X110281057Y-110719064D01* -X110292941Y-110747754D01* -X110310194Y-110773575D01* -X110324811Y-110800921D01* -X110344484Y-110824893D01* -X110361734Y-110850709D01* -X110383685Y-110872660D01* -X110403363Y-110896638D01* -X110427341Y-110916316D01* -X110449291Y-110938266D01* -X110475106Y-110955515D01* -X110499080Y-110975190D01* -X110526429Y-110989808D01* -X110552246Y-111007059D01* -X110580932Y-111018941D01* -X110608282Y-111033560D01* -X110637959Y-111042563D01* -X110666644Y-111054444D01* -X110697094Y-111060501D01* -X110726774Y-111069504D01* -X110757644Y-111072545D01* -X110788088Y-111078600D01* -X110819125Y-111078600D01* -X110850000Y-111081641D01* -X110880875Y-111078600D01* -X110911912Y-111078600D01* -X110942355Y-111072545D01* -X110973227Y-111069504D01* -X111002909Y-111060500D01* -X111033356Y-111054444D01* -X111062038Y-111042564D01* -X111091719Y-111033560D01* -X111119072Y-111018939D01* -X111147754Y-111007059D01* -X111173567Y-110989811D01* -X111200921Y-110975190D01* -X111224900Y-110955511D01* -X111250709Y-110938266D01* -X111272654Y-110916321D01* -X111296638Y-110896638D01* -X111316321Y-110872654D01* -X111338266Y-110850709D01* -X111355511Y-110824900D01* -X111375190Y-110800921D01* -X111389811Y-110773567D01* -X111407059Y-110747754D01* -X111418939Y-110719072D01* -X111433560Y-110691719D01* -X111442564Y-110662038D01* -X111454444Y-110633356D01* -X111460500Y-110602909D01* -X111469504Y-110573227D01* -X111472545Y-110542355D01* -X111478600Y-110511912D01* -X111478600Y-109932600D01* -X111773707Y-109932600D01* -X111844606Y-109925617D01* -X111917188Y-109903600D01* -X112006680Y-109903600D01* -X111973303Y-109944269D01* -X111941495Y-110003778D01* -X111921908Y-110068349D01* -X111915294Y-110135500D01* -X111915294Y-110364500D01* -X111921908Y-110431651D01* -X111941495Y-110496222D01* -X111973303Y-110555731D01* -X112016110Y-110607890D01* -X112067420Y-110650000D01* -X112016110Y-110692110D01* -X111973303Y-110744269D01* -X111941495Y-110803778D01* -X111921908Y-110868349D01* -X111915294Y-110935500D01* -X111915294Y-111164500D01* -X111921908Y-111231651D01* -X111941495Y-111296222D01* -X111973303Y-111355731D01* -X112016110Y-111407890D01* -X112032931Y-111421695D01* -X112017382Y-111430006D01* -X111982573Y-111458573D01* -X111954006Y-111493382D01* -X111932779Y-111533095D01* -X111919708Y-111576187D01* -X111915294Y-111621000D01* -X111916400Y-111719050D01* -X111973550Y-111776200D01* -X112826200Y-111776200D01* -X112826200Y-111756200D01* -X112973800Y-111756200D01* -X112973800Y-111776200D01* -X113826450Y-111776200D01* -X113883600Y-111719050D01* -X113884706Y-111621000D01* -X113880292Y-111576187D01* -X113867221Y-111533095D01* -X113845994Y-111493382D01* -X113817427Y-111458573D01* -X113782618Y-111430006D01* -X113767069Y-111421695D01* -X113783890Y-111407890D01* -X113826697Y-111355731D01* -X113827836Y-111353600D01* -X114311972Y-111353600D01* -X114322326Y-111378597D01* -X114375140Y-111457640D01* -X114442360Y-111524860D01* -X114521403Y-111577674D01* -X114609231Y-111614054D01* -X114702468Y-111632600D01* -X114797532Y-111632600D01* -X114890769Y-111614054D01* -X114978597Y-111577674D01* -X115057640Y-111524860D01* -X115124860Y-111457640D01* -X115177674Y-111378597D01* -X115214054Y-111290769D01* -X115232600Y-111197532D01* -X115232600Y-111102468D01* -X122067400Y-111102468D01* -X122067400Y-111197532D01* -X122085946Y-111290769D01* -X122122326Y-111378597D01* -X122175140Y-111457640D01* -X122242360Y-111524860D01* -X122321403Y-111577674D01* -X122409231Y-111614054D01* -X122502468Y-111632600D01* -X122597532Y-111632600D01* -X122690769Y-111614054D01* -X122778597Y-111577674D01* -X122857640Y-111524860D01* -X122924860Y-111457640D01* -X122977674Y-111378597D01* -X122988028Y-111353600D01* -X123472164Y-111353600D01* -X123473303Y-111355731D01* -X123506680Y-111396400D01* -X123416007Y-111396400D01* -X123390769Y-111385946D01* -X123297532Y-111367400D01* -X123202468Y-111367400D01* -X123109231Y-111385946D01* -X123021403Y-111422326D01* -X122942360Y-111475140D01* -X122875140Y-111542360D01* -X122822326Y-111621403D01* -X122785946Y-111709231D01* -X122767400Y-111802468D01* -X122767400Y-111897532D01* -X122785946Y-111990769D01* -X122822326Y-112078597D01* -X122875140Y-112157640D01* -X122942360Y-112224860D01* -X123021403Y-112277674D01* -X123109231Y-112314054D01* -X123202468Y-112332600D01* -X123297532Y-112332600D01* -X123390769Y-112314054D01* -X123416007Y-112303600D01* -X123506680Y-112303600D01* -X123473303Y-112344269D01* -X123472164Y-112346400D01* -X122927559Y-112346400D01* -X122924860Y-112342360D01* -X122857640Y-112275140D01* -X122778597Y-112222326D01* -X122690769Y-112185946D01* -X122597532Y-112167400D01* -X122502468Y-112167400D01* -X122409231Y-112185946D01* -X122321403Y-112222326D01* -X122242360Y-112275140D01* -X122175140Y-112342360D01* -X122122326Y-112421403D01* -X122085946Y-112509231D01* -X122067400Y-112602468D01* -X122067400Y-112697532D01* -X122085946Y-112790769D01* -X122122326Y-112878597D01* -X122175140Y-112957640D01* -X122242360Y-113024860D01* -X122321403Y-113077674D01* -X122409231Y-113114054D01* -X122502468Y-113132600D01* -X122597532Y-113132600D01* -X122690769Y-113114054D01* -X122778597Y-113077674D01* -X122857640Y-113024860D01* -X122924860Y-112957640D01* -X122927559Y-112953600D01* -X123472164Y-112953600D01* -X123473303Y-112955731D01* -X123506680Y-112996400D01* -X123416007Y-112996400D01* -X123390769Y-112985946D01* -X123297532Y-112967400D01* -X123202468Y-112967400D01* -X123109231Y-112985946D01* -X123021403Y-113022326D01* -X122942360Y-113075140D01* -X122875140Y-113142360D01* -X122822326Y-113221403D01* -X122785946Y-113309231D01* -X122767400Y-113402468D01* -X122767400Y-113497532D01* -X122785946Y-113590769D01* -X122822326Y-113678597D01* -X122875140Y-113757640D01* -X122942360Y-113824860D01* -X123021403Y-113877674D01* -X123109231Y-113914054D01* -X123202468Y-113932600D01* -X123297532Y-113932600D01* -X123390769Y-113914054D01* -X123416007Y-113903600D01* -X123707660Y-113903600D01* -X123759500Y-113908706D01* -X123940492Y-113908706D01* -X123939792Y-113910397D01* -X123935179Y-113933591D01* -X123928327Y-113956178D01* -X123926013Y-113979669D01* -X123921400Y-114002862D01* -X123921400Y-114097138D01* -X123921401Y-114097143D01* -X123921400Y-114736580D01* -X123874813Y-114774813D01* -X123819819Y-114841824D01* -X123778955Y-114918275D01* -X123753791Y-115001230D01* -X123745294Y-115087500D01* -X123745294Y-115612500D01* -X123753791Y-115698770D01* -X123778955Y-115781725D01* -X123819819Y-115858176D01* -X123853378Y-115899068D01* -X123839409Y-115913037D01* -X123824901Y-115934749D01* -X123808359Y-115954906D01* -X123796067Y-115977903D01* -X123781560Y-115999614D01* -X123771567Y-116023739D01* -X123759275Y-116046736D01* -X123751706Y-116071689D01* -X123741713Y-116095813D01* -X123736620Y-116121420D01* -X123729049Y-116146377D01* -X123726492Y-116172335D01* -X123721400Y-116197937D01* -X123721400Y-116224038D01* -X123718843Y-116250000D01* -X123721400Y-116275962D01* -X123721400Y-116302063D01* -X123726492Y-116327665D01* -X123729049Y-116353623D01* -X123736620Y-116378580D01* -X123741713Y-116404187D01* -X123751706Y-116428311D01* -X123759275Y-116453264D01* -X123771567Y-116476261D01* -X123781560Y-116500386D01* -X123796067Y-116522097D01* -X123808359Y-116545094D01* -X123824901Y-116565250D01* -X123839409Y-116586963D01* -X123857875Y-116605429D01* -X123874416Y-116625584D01* -X123894571Y-116642125D01* -X123913037Y-116660591D01* -X123934750Y-116675099D01* -X123954906Y-116691641D01* -X123977903Y-116703933D01* -X123999614Y-116718440D01* -X124023739Y-116728433D01* -X124046736Y-116740725D01* -X124071689Y-116748294D01* -X124095813Y-116758287D01* -X124121420Y-116763380D01* -X124146377Y-116770951D01* -X124172335Y-116773508D01* -X124197937Y-116778600D01* -X124224038Y-116778600D01* -X124250000Y-116781157D01* -X124275962Y-116778600D01* -X124302063Y-116778600D01* -X124327665Y-116773508D01* -X124353623Y-116770951D01* -X124378580Y-116763380D01* -X124404187Y-116758287D01* -X124428311Y-116748294D01* -X124453264Y-116740725D01* -X124476261Y-116728433D01* -X124500386Y-116718440D01* -X124522097Y-116703933D01* -X124545094Y-116691641D01* -X124565251Y-116675099D01* -X124586963Y-116660591D01* -X124660591Y-116586963D01* -X124660595Y-116586957D01* -X124755410Y-116492142D01* -X124775585Y-116475585D01* -X124841641Y-116395095D01* -X124890725Y-116303265D01* -X124920951Y-116203624D01* -X124928600Y-116125962D01* -X124928600Y-116125960D01* -X124931157Y-116100001D01* -X124928600Y-116074042D01* -X124928600Y-115921028D01* -X124963420Y-115878600D01* -X125302063Y-115878600D01* -X125327664Y-115873508D01* -X125353624Y-115870951D01* -X125378582Y-115863380D01* -X125404187Y-115858287D01* -X125428309Y-115848296D01* -X125453265Y-115840725D01* -X125476265Y-115828431D01* -X125500386Y-115818440D01* -X125522093Y-115803936D01* -X125545095Y-115791641D01* -X125565257Y-115775094D01* -X125586963Y-115760591D01* -X125605421Y-115742133D01* -X125625585Y-115725585D01* -X125642133Y-115705421D01* -X125660591Y-115686963D01* -X125675094Y-115665257D01* -X125691641Y-115645095D01* -X125703936Y-115622093D01* -X125718440Y-115600386D01* -X125728431Y-115576265D01* -X125740725Y-115553265D01* -X125748296Y-115528309D01* -X125758287Y-115504187D01* -X125763380Y-115478582D01* -X125770951Y-115453624D01* -X125773508Y-115427664D01* -X125778600Y-115402063D01* -X125778600Y-115375961D01* -X125781157Y-115350000D01* -X125778600Y-115324038D01* -X125778600Y-115297937D01* -X125773508Y-115272336D01* -X125770951Y-115246376D01* -X125763380Y-115221418D01* -X125758287Y-115195813D01* -X125748296Y-115171691D01* -X125740725Y-115146735D01* -X125728431Y-115123735D01* -X125718440Y-115099614D01* -X125703936Y-115077907D01* -X125691641Y-115054905D01* -X125675094Y-115034743D01* -X125660591Y-115013037D01* -X125642133Y-114994579D01* -X125625585Y-114974415D01* -X125605421Y-114957867D01* -X125586963Y-114939409D01* -X125565257Y-114924906D01* -X125545095Y-114908359D01* -X125522093Y-114896064D01* -X125500386Y-114881560D01* -X125476265Y-114871569D01* -X125453265Y-114859275D01* -X125428309Y-114851704D01* -X125404187Y-114841713D01* -X125378582Y-114836620D01* -X125353624Y-114829049D01* -X125327664Y-114826492D01* -X125302063Y-114821400D01* -X124963420Y-114821400D01* -X124925187Y-114774813D01* -X124878600Y-114736580D01* -X124878600Y-114002862D01* -X124873989Y-113979679D01* -X124871674Y-113956178D01* -X124864820Y-113933581D01* -X124860208Y-113910397D01* -X124859508Y-113908706D01* -X125040500Y-113908706D01* -X125107651Y-113902092D01* -X125172222Y-113882505D01* -X125231731Y-113850697D01* -X125283890Y-113807890D01* -X125310882Y-113775000D01* -X125745294Y-113775000D01* -X125749708Y-113819813D01* -X125762779Y-113862905D01* -X125784006Y-113902618D01* -X125812573Y-113937427D01* -X125847382Y-113965994D01* -X125887095Y-113987221D01* -X125930187Y-114000292D01* -X125975000Y-114004706D01* -X126319050Y-114003600D01* -X126376200Y-113946450D01* -X126376200Y-113423800D01* -X126523800Y-113423800D01* -X126523800Y-113946450D01* -X126580950Y-114003600D01* -X126925000Y-114004706D01* -X126969813Y-114000292D01* -X127012905Y-113987221D01* -X127052618Y-113965994D01* -X127087427Y-113937427D01* -X127115994Y-113902618D01* -X127137221Y-113862905D01* -X127150292Y-113819813D01* -X127154706Y-113775000D01* -X127153600Y-113480950D01* -X127096450Y-113423800D01* -X126523800Y-113423800D01* -X126376200Y-113423800D01* -X125803550Y-113423800D01* -X125746400Y-113480950D01* -X125745294Y-113775000D01* -X125310882Y-113775000D01* -X125326697Y-113755731D01* -X125358505Y-113696222D01* -X125378092Y-113631651D01* -X125384706Y-113564500D01* -X125384706Y-113335500D01* -X125378092Y-113268349D01* -X125358505Y-113203778D01* -X125326697Y-113144269D01* -X125283890Y-113092110D01* -X125232580Y-113050000D01* -X125283890Y-113007890D01* -X125326697Y-112955731D01* -X125343122Y-112925000D01* -X125745294Y-112925000D01* -X125746400Y-113219050D01* -X125803550Y-113276200D01* -X126376200Y-113276200D01* -X126376200Y-112753550D01* -X126523800Y-112753550D01* -X126523800Y-113276200D01* -X127096450Y-113276200D01* -X127153600Y-113219050D01* -X127154706Y-112925000D01* -X127150292Y-112880187D01* -X127137221Y-112837095D01* -X127115994Y-112797382D01* -X127087427Y-112762573D01* -X127052618Y-112734006D01* -X127012905Y-112712779D01* -X126969813Y-112699708D01* -X126925000Y-112695294D01* -X126580950Y-112696400D01* -X126523800Y-112753550D01* -X126376200Y-112753550D01* -X126319050Y-112696400D01* -X125975000Y-112695294D01* -X125930187Y-112699708D01* -X125887095Y-112712779D01* -X125847382Y-112734006D01* -X125812573Y-112762573D01* -X125784006Y-112797382D01* -X125762779Y-112837095D01* -X125749708Y-112880187D01* -X125745294Y-112925000D01* -X125343122Y-112925000D01* -X125358505Y-112896222D01* -X125378092Y-112831651D01* -X125384706Y-112764500D01* -X125384706Y-112535500D01* -X125378092Y-112468349D01* -X125358505Y-112403778D01* -X125326697Y-112344269D01* -X125305856Y-112318875D01* -X125346735Y-112340725D01* -X125446376Y-112370951D01* -X125524038Y-112378600D01* -X125878972Y-112378600D01* -X125941824Y-112430181D01* -X126018275Y-112471045D01* -X126101230Y-112496209D01* -X126187500Y-112504706D01* -X126712500Y-112504706D01* -X126798770Y-112496209D01* -X126881725Y-112471045D01* -X126958176Y-112430181D01* -X126999068Y-112396622D01* -X127013037Y-112410591D01* -X127034749Y-112425099D01* -X127054906Y-112441641D01* -X127077903Y-112453933D01* -X127099614Y-112468440D01* -X127123739Y-112478433D01* -X127146736Y-112490725D01* -X127171687Y-112498294D01* -X127195813Y-112508287D01* -X127221425Y-112513381D01* -X127246376Y-112520950D01* -X127272329Y-112523506D01* -X127297937Y-112528600D01* -X127324040Y-112528600D01* -X127349999Y-112531157D01* -X127375958Y-112528600D01* -X127402063Y-112528600D01* -X127427673Y-112523506D01* -X127453623Y-112520950D01* -X127478572Y-112513382D01* -X127504187Y-112508287D01* -X127528313Y-112498293D01* -X127553264Y-112490725D01* -X127576261Y-112478433D01* -X127600386Y-112468440D01* -X127622097Y-112453933D01* -X127645094Y-112441641D01* -X127665250Y-112425099D01* -X127686963Y-112410591D01* -X127705429Y-112392125D01* -X127725584Y-112375584D01* -X127742125Y-112355429D01* -X127760591Y-112336963D01* -X127775099Y-112315250D01* -X127791641Y-112295094D01* -X127803933Y-112272097D01* -X127818440Y-112250386D01* -X127828433Y-112226261D01* -X127840725Y-112203264D01* -X127848293Y-112178313D01* -X127858287Y-112154187D01* -X127863382Y-112128572D01* -X127870950Y-112103623D01* -X127873506Y-112077673D01* -X127878600Y-112052063D01* -X127878600Y-112025958D01* -X127881157Y-111999999D01* -X127878600Y-111974040D01* -X127878600Y-111947937D01* -X127873506Y-111922329D01* -X127870950Y-111896376D01* -X127863381Y-111871425D01* -X127858287Y-111845813D01* -X127848294Y-111821687D01* -X127840725Y-111796736D01* -X127828433Y-111773739D01* -X127818440Y-111749614D01* -X127803933Y-111727903D01* -X127791641Y-111704906D01* -X127775099Y-111684749D01* -X127760591Y-111663037D01* -X127686963Y-111589409D01* -X127686957Y-111589405D01* -X127592142Y-111494590D01* -X127575585Y-111474415D01* -X127495095Y-111408359D01* -X127403265Y-111359275D01* -X127303624Y-111329049D01* -X127225962Y-111321400D01* -X127200000Y-111318843D01* -X127174038Y-111321400D01* -X127021028Y-111321400D01* -X126978600Y-111286580D01* -X126978600Y-110947937D01* -X126973508Y-110922336D01* -X126970951Y-110896376D01* -X126963380Y-110871418D01* -X126958287Y-110845813D01* -X126948296Y-110821691D01* -X126940725Y-110796735D01* -X126928431Y-110773735D01* -X126918440Y-110749614D01* -X126903936Y-110727907D01* -X126891641Y-110704905D01* -X126875094Y-110684743D01* -X126860591Y-110663037D01* -X126842133Y-110644579D01* -X126825585Y-110624415D01* -X126805421Y-110607867D01* -X126786963Y-110589409D01* -X126765257Y-110574906D01* -X126745095Y-110558359D01* -X126722093Y-110546064D01* -X126700386Y-110531560D01* -X126676265Y-110521569D01* -X126653265Y-110509275D01* -X126628309Y-110501704D01* -X126604187Y-110491713D01* -X126578582Y-110486620D01* -X126553624Y-110479049D01* -X126527664Y-110476492D01* -X126502063Y-110471400D01* -X126475962Y-110471400D01* -X126450000Y-110468843D01* -X126424038Y-110471400D01* -X126397937Y-110471400D01* -X126372335Y-110476492D01* -X126346377Y-110479049D01* -X126321420Y-110486620D01* -X126295813Y-110491713D01* -X126271689Y-110501706D01* -X126246736Y-110509275D01* -X126223739Y-110521567D01* -X126199614Y-110531560D01* -X126177903Y-110546067D01* -X126154906Y-110558359D01* -X126134748Y-110574902D01* -X126113037Y-110589409D01* -X126094575Y-110607871D01* -X126074416Y-110624415D01* -X126057872Y-110644574D01* -X126039409Y-110663037D01* -X126024901Y-110684749D01* -X126008360Y-110704905D01* -X125996069Y-110727899D01* -X125981560Y-110749614D01* -X125971565Y-110773743D01* -X125959276Y-110796735D01* -X125951708Y-110821682D01* -X125941713Y-110845813D01* -X125936618Y-110871427D01* -X125929050Y-110896376D01* -X125926493Y-110922329D01* -X125921400Y-110947937D01* -X125921400Y-111286580D01* -X125878972Y-111321400D01* -X125524038Y-111321400D01* -X125446376Y-111329049D01* -X125346735Y-111359275D01* -X125305856Y-111381125D01* -X125326697Y-111355731D01* -X125358505Y-111296222D01* -X125378092Y-111231651D01* -X125384706Y-111164500D01* -X125384706Y-110935500D01* -X125378092Y-110868349D01* -X125358505Y-110803778D01* -X125326697Y-110744269D01* -X125283890Y-110692110D01* -X125232580Y-110650000D01* -X125283890Y-110607890D01* -X125326697Y-110555731D01* -X125358505Y-110496222D01* -X125378092Y-110431651D01* -X125384706Y-110364500D01* -X125384706Y-110135500D01* -X125378092Y-110068349D01* -X125358505Y-110003778D01* -X125326697Y-109944269D01* -X125283890Y-109892110D01* -X125267069Y-109878305D01* -X125282618Y-109869994D01* -X125317427Y-109841427D01* -X125345994Y-109806618D01* -X125367221Y-109766905D01* -X125380292Y-109723813D01* -X125384706Y-109679000D01* -X125383600Y-109580950D01* -X125326450Y-109523800D01* -X124473800Y-109523800D01* -X124473800Y-109543800D01* -X124326200Y-109543800D01* -X124326200Y-109523800D01* -X123473550Y-109523800D01* -X123416400Y-109580950D01* -X123415294Y-109679000D01* -X123419708Y-109723813D01* -X123432779Y-109766905D01* -X123454006Y-109806618D01* -X123482573Y-109841427D01* -X123517382Y-109869994D01* -X123532931Y-109878305D01* -X123516110Y-109892110D01* -X123473303Y-109944269D01* -X123472164Y-109946400D01* -X122983699Y-109946400D01* -X122974130Y-109923298D01* -X122921753Y-109844910D01* -X122855090Y-109778247D01* -X122776702Y-109725870D01* -X122689603Y-109689792D01* -X122597138Y-109671400D01* -X122502862Y-109671400D01* -X122410397Y-109689792D01* -X122323298Y-109725870D01* -X122244910Y-109778247D01* -X122178247Y-109844910D01* -X122125870Y-109923298D01* -X122089792Y-110010397D01* -X122071400Y-110102862D01* -X122071400Y-110197138D01* -X122089792Y-110289603D01* -X122125870Y-110376702D01* -X122178247Y-110455090D01* -X122244910Y-110521753D01* -X122323298Y-110574130D01* -X122410397Y-110610208D01* -X122502862Y-110628600D01* -X122597138Y-110628600D01* -X122689603Y-110610208D01* -X122776702Y-110574130D01* -X122807427Y-110553600D01* -X123472164Y-110553600D01* -X123473303Y-110555731D01* -X123516110Y-110607890D01* -X123567420Y-110650000D01* -X123516110Y-110692110D01* -X123473303Y-110744269D01* -X123472164Y-110746400D01* -X122814627Y-110746400D01* -X122778597Y-110722326D01* -X122690769Y-110685946D01* -X122597532Y-110667400D01* -X122502468Y-110667400D01* -X122409231Y-110685946D01* -X122321403Y-110722326D01* -X122242360Y-110775140D01* -X122175140Y-110842360D01* -X122122326Y-110921403D01* -X122085946Y-111009231D01* -X122067400Y-111102468D01* -X115232600Y-111102468D01* -X115214054Y-111009231D01* -X115177674Y-110921403D01* -X115124860Y-110842360D01* -X115057640Y-110775140D01* -X114978597Y-110722326D01* -X114890769Y-110685946D01* -X114797532Y-110667400D01* -X114702468Y-110667400D01* -X114609231Y-110685946D01* -X114521403Y-110722326D01* -X114485373Y-110746400D01* -X113827836Y-110746400D01* -X113826697Y-110744269D01* -X113783890Y-110692110D01* -X113732580Y-110650000D01* -X113783890Y-110607890D01* -X113826697Y-110555731D01* -X113827836Y-110553600D01* -X114492573Y-110553600D01* -X114523298Y-110574130D01* -X114610397Y-110610208D01* -X114702862Y-110628600D01* -X114797138Y-110628600D01* -X114889603Y-110610208D01* -X114976702Y-110574130D01* -X115055090Y-110521753D01* -X115121753Y-110455090D01* -X115174130Y-110376702D01* -X115210208Y-110289603D01* -X115228600Y-110197138D01* -X115228600Y-110102862D01* -X115210208Y-110010397D01* -X115174130Y-109923298D01* -X115121753Y-109844910D01* -X115055090Y-109778247D01* -X114976702Y-109725870D01* -X114889603Y-109689792D01* -X114797138Y-109671400D01* -X114702862Y-109671400D01* -X114610397Y-109689792D01* -X114523298Y-109725870D01* -X114444910Y-109778247D01* -X114378247Y-109844910D01* -X114325870Y-109923298D01* -X114316301Y-109946400D01* -X113827836Y-109946400D01* -X113826697Y-109944269D01* -X113793320Y-109903600D01* -X113894444Y-109903600D01* -X113910397Y-109910208D01* -X114002862Y-109928600D01* -X114097138Y-109928600D01* -X114189603Y-109910208D01* -X114276702Y-109874130D01* -X114355090Y-109821753D01* -X114421753Y-109755090D01* -X114474130Y-109676702D01* -X114510208Y-109589603D01* -X114528600Y-109497138D01* -X114528600Y-109402862D01* -X114510208Y-109310397D01* -X114474130Y-109223298D01* -X114421753Y-109144910D01* -X114355090Y-109078247D01* -X114276702Y-109025870D01* -X114189603Y-108989792D01* -X114097138Y-108971400D01* -X114002862Y-108971400D01* -X113910397Y-108989792D01* -X113894444Y-108996400D01* -X113793320Y-108996400D01* -X113826697Y-108955731D01* -X113827836Y-108953600D01* -X114316301Y-108953600D01* -X114325870Y-108976702D01* -X114378247Y-109055090D01* -X114444910Y-109121753D01* -X114523298Y-109174130D01* -X114610397Y-109210208D01* -X114702862Y-109228600D01* -X114797138Y-109228600D01* -X114889603Y-109210208D01* -X114976702Y-109174130D01* -X115055090Y-109121753D01* -X115121753Y-109055090D01* -X115174130Y-108976702D01* -X115210208Y-108889603D01* -X115228600Y-108797138D01* -X115228600Y-108702862D01* -X115210208Y-108610397D01* -X115174130Y-108523298D01* -X115121753Y-108444910D01* -X115055090Y-108378247D01* -X114976702Y-108325870D01* -X114889603Y-108289792D01* -X114797138Y-108271400D01* -X114702862Y-108271400D01* -X114610397Y-108289792D01* -X114523298Y-108325870D01* -X114492573Y-108346400D01* -X113827836Y-108346400D01* -X113826697Y-108344269D01* -X113783890Y-108292110D01* -X113732580Y-108250000D01* -X113783890Y-108207890D01* -X113826697Y-108155731D01* -X113827836Y-108153600D01* -X114492573Y-108153600D01* -X114523298Y-108174130D01* -X114610397Y-108210208D01* -X114702862Y-108228600D01* -X114797138Y-108228600D01* -X114889603Y-108210208D01* -X114976702Y-108174130D01* -X115055090Y-108121753D01* -X115121753Y-108055090D01* -X115174130Y-107976702D01* -X115210208Y-107889603D01* -X115228600Y-107797138D01* -X115228600Y-107702862D01* -X115210208Y-107610397D01* -X115174130Y-107523298D01* -X115121753Y-107444910D01* -X115055090Y-107378247D01* -X114976702Y-107325870D01* -X114889603Y-107289792D01* -X114797138Y-107271400D01* -X114702862Y-107271400D01* -X114610397Y-107289792D01* -X114523298Y-107325870D01* -X114444910Y-107378247D01* -X114378247Y-107444910D01* -X114325870Y-107523298D01* -X114316301Y-107546400D01* -X113827836Y-107546400D01* -X113826697Y-107544269D01* -X113783890Y-107492110D01* -X113767069Y-107478305D01* -X113782618Y-107469994D01* -X113817427Y-107441427D01* -X113845994Y-107406618D01* -X113867221Y-107366905D01* -X113880292Y-107323813D01* -X113884706Y-107279000D01* -X113883600Y-107180950D01* -X113826450Y-107123800D01* -X112973800Y-107123800D01* -X112973800Y-107143800D01* -X112826200Y-107143800D01* -X112826200Y-107123800D01* -X111973550Y-107123800D01* -X111916400Y-107180950D01* -X111915294Y-107279000D01* -X111919708Y-107323813D01* -X111932779Y-107366905D01* -X111954006Y-107406618D01* -X111982573Y-107441427D01* -X112017382Y-107469994D01* -X112032931Y-107478305D01* -X112016110Y-107492110D01* -X111973303Y-107544269D01* -X111941495Y-107603778D01* -X111921908Y-107668349D01* -X111915294Y-107735500D01* -X111915294Y-107964500D01* -X111921908Y-108031651D01* -X111941495Y-108096222D01* -X111973303Y-108155731D01* -X112016110Y-108207890D01* -X112067420Y-108250000D01* -X112016110Y-108292110D01* -X111973303Y-108344269D01* -X111941495Y-108403778D01* -X111921908Y-108468349D01* -X111915294Y-108535500D01* -X111915294Y-108764500D01* -X111921908Y-108831651D01* -X111941495Y-108896222D01* -X111973303Y-108955731D01* -X112006680Y-108996400D01* -X111917188Y-108996400D01* -X111844606Y-108974383D01* -X111773707Y-108967400D01* -X111460137Y-108967400D01* -X111425187Y-108924813D01* -X111358176Y-108869819D01* -X111281725Y-108828955D01* -X111198770Y-108803791D01* -X111112500Y-108795294D01* -X110587500Y-108795294D01* -X110501230Y-108803791D01* -X110418275Y-108828955D01* -X110341824Y-108869819D01* -X110274813Y-108924813D01* -X110219819Y-108991824D01* -X110178955Y-109068275D01* -X110153791Y-109151230D01* -X110145294Y-109237500D01* -X109428600Y-109237500D01* -X109428600Y-109202862D01* -X109410208Y-109110397D01* -X109374130Y-109023298D01* -X109321753Y-108944910D01* -X109255090Y-108878247D01* -X109176702Y-108825870D01* -X109089603Y-108789792D01* -X108997138Y-108771400D01* -X108902862Y-108771400D01* -X108810397Y-108789792D01* -X108723298Y-108825870D01* -X108644910Y-108878247D01* -X108578247Y-108944910D01* -X108525870Y-109023298D01* -X108489792Y-109110397D01* -X108471400Y-109202862D01* -X108471400Y-109297138D01* -X108489792Y-109389603D01* -X108504125Y-109424206D01* -X108500000Y-109423800D01* -X108210598Y-109423800D01* -X108175000Y-109420294D01* -X107725000Y-109420294D01* -X107689402Y-109423800D01* -X107631564Y-109423800D01* -X106582764Y-108375000D01* -X110145294Y-108375000D01* -X110149708Y-108419813D01* -X110162779Y-108462905D01* -X110184006Y-108502618D01* -X110212573Y-108537427D01* -X110247382Y-108565994D01* -X110287095Y-108587221D01* -X110330187Y-108600292D01* -X110375000Y-108604706D01* -X110719050Y-108603600D01* -X110776200Y-108546450D01* -X110776200Y-108023800D01* -X110923800Y-108023800D01* -X110923800Y-108546450D01* -X110980950Y-108603600D01* -X111325000Y-108604706D01* -X111369813Y-108600292D01* -X111412905Y-108587221D01* -X111452618Y-108565994D01* -X111487427Y-108537427D01* -X111515994Y-108502618D01* -X111537221Y-108462905D01* -X111550292Y-108419813D01* -X111554706Y-108375000D01* -X111553600Y-108080950D01* -X111496450Y-108023800D01* -X110923800Y-108023800D01* -X110776200Y-108023800D01* -X110203550Y-108023800D01* -X110146400Y-108080950D01* -X110145294Y-108375000D01* -X106582764Y-108375000D01* -X106403882Y-108196118D01* -X106392334Y-108186642D01* -X106379160Y-108179600D01* -X106364866Y-108175264D01* -X106350000Y-108173800D01* -X104076200Y-108173800D01* -X104076200Y-107976200D01* -X104650000Y-107976200D01* -X104664866Y-107974736D01* -X104679160Y-107970400D01* -X104692334Y-107963358D01* -X104703882Y-107953882D01* -X105181564Y-107476200D01* -X107689402Y-107476200D01* -X107725000Y-107479706D01* -X108175000Y-107479706D01* -X108210598Y-107476200D01* -X108503957Y-107476200D01* -X108489792Y-107510397D01* -X108471400Y-107602862D01* -X108471400Y-107697138D01* -X108489792Y-107789603D01* -X108525870Y-107876702D01* -X108578247Y-107955090D01* -X108644910Y-108021753D01* -X108723298Y-108074130D01* -X108810397Y-108110208D01* -X108902862Y-108128600D01* -X108997138Y-108128600D01* -X109089603Y-108110208D01* -X109176702Y-108074130D01* -X109255090Y-108021753D01* -X109321753Y-107955090D01* -X109374130Y-107876702D01* -X109410208Y-107789603D01* -X109428600Y-107697138D01* -X109428600Y-107602862D01* -X109413113Y-107525000D01* -X110145294Y-107525000D01* -X110146400Y-107819050D01* -X110203550Y-107876200D01* -X110776200Y-107876200D01* -X110776200Y-107353550D01* -X110923800Y-107353550D01* -X110923800Y-107876200D01* -X111496450Y-107876200D01* -X111553600Y-107819050D01* -X111554706Y-107525000D01* -X111550292Y-107480187D01* -X111537221Y-107437095D01* -X111515994Y-107397382D01* -X111487427Y-107362573D01* -X111452618Y-107334006D01* -X111412905Y-107312779D01* -X111369813Y-107299708D01* -X111325000Y-107295294D01* -X110980950Y-107296400D01* -X110923800Y-107353550D01* -X110776200Y-107353550D01* -X110719050Y-107296400D01* -X110375000Y-107295294D01* -X110330187Y-107299708D01* -X110287095Y-107312779D01* -X110247382Y-107334006D01* -X110212573Y-107362573D01* -X110184006Y-107397382D01* -X110162779Y-107437095D01* -X110149708Y-107480187D01* -X110145294Y-107525000D01* -X109413113Y-107525000D01* -X109410208Y-107510397D01* -X109374130Y-107423298D01* -X109368843Y-107415386D01* -X109408137Y-107383137D01* -X109449141Y-107333175D01* -X109479609Y-107276173D01* -X109498371Y-107214322D01* -X109501192Y-107185684D01* -X109560397Y-107210208D01* -X109652862Y-107228600D01* -X109747138Y-107228600D01* -X109839603Y-107210208D01* -X109926702Y-107174130D01* -X110005090Y-107121753D01* -X110071753Y-107055090D01* -X110124130Y-106976702D01* -X110160208Y-106889603D01* -X110178600Y-106797138D01* -X110178600Y-106702862D01* -X110160208Y-106610397D01* -X110124130Y-106523298D01* -X110071753Y-106444910D01* -X110005090Y-106378247D01* -X109926702Y-106325870D01* -X109839603Y-106289792D01* -X109747138Y-106271400D01* -X109652862Y-106271400D01* -X109650572Y-106271856D01* -X109623941Y-106250000D01* -X109650572Y-106228144D01* -X109652862Y-106228600D01* -X109747138Y-106228600D01* -X109839603Y-106210208D01* -X109926702Y-106174130D01* -X110005090Y-106121753D01* -X110071753Y-106055090D01* -X110124130Y-105976702D01* -X110160208Y-105889603D01* -X110178600Y-105797138D01* -X110178600Y-105702862D01* -X110160208Y-105610397D01* -X110124130Y-105523298D01* -X110071753Y-105444910D01* -X110005090Y-105378247D01* -X109926702Y-105325870D01* -X109839603Y-105289792D01* -X109747138Y-105271400D01* -X109652862Y-105271400D01* -X109560397Y-105289792D01* -X109501192Y-105314316D01* -X109498371Y-105285678D01* -X109479609Y-105223827D01* -X109449141Y-105166825D01* -X109408137Y-105116863D01* -X109368843Y-105084614D01* -X109374130Y-105076702D01* -X109410208Y-104989603D01* -X109428600Y-104897138D01* -X109428600Y-104802862D01* -X109410208Y-104710397D01* -X109385191Y-104650000D01* -X109465065Y-104650000D01* -X109474383Y-104744606D01* -X109501978Y-104835577D01* -X109546791Y-104919415D01* -X109607099Y-104992901D01* -X109680585Y-105053209D01* -X109764423Y-105098022D01* -X109855394Y-105125617D01* -X109926293Y-105132600D01* -X110114053Y-105132600D01* -X110128955Y-105181725D01* -X110169819Y-105258176D01* -X110221400Y-105321029D01* -X110221400Y-105661912D01* -X110227456Y-105692356D01* -X110230496Y-105723226D01* -X110239499Y-105752906D01* -X110245556Y-105783356D01* -X110257437Y-105812041D01* -X110266440Y-105841718D01* -X110281059Y-105869068D01* -X110292941Y-105897754D01* -X110310192Y-105923571D01* -X110324810Y-105950920D01* -X110344486Y-105974896D01* -X110361734Y-106000709D01* -X110383681Y-106022656D01* -X110403362Y-106046638D01* -X110427346Y-106066321D01* -X110449291Y-106088266D01* -X110475100Y-106105511D01* -X110499079Y-106125190D01* -X110526433Y-106139811D01* -X110552246Y-106157059D01* -X110580928Y-106168939D01* -X110608281Y-106183560D01* -X110637962Y-106192564D01* -X110666644Y-106204444D01* -X110697091Y-106210500D01* -X110726773Y-106219504D01* -X110757645Y-106222545D01* -X110788088Y-106228600D01* -X110819125Y-106228600D01* -X110850000Y-106231641D01* -X110880875Y-106228600D01* -X110911912Y-106228600D01* -X110942356Y-106222545D01* -X110973226Y-106219504D01* -X111002906Y-106210501D01* -X111033356Y-106204444D01* -X111062041Y-106192563D01* -X111091718Y-106183560D01* -X111119068Y-106168941D01* -X111147754Y-106157059D01* -X111173571Y-106139808D01* -X111200920Y-106125190D01* -X111224896Y-106105514D01* -X111250709Y-106088266D01* -X111272656Y-106066319D01* -X111296638Y-106046638D01* -X111316321Y-106022654D01* -X111338266Y-106000709D01* -X111355511Y-105974900D01* -X111375190Y-105950921D01* -X111389811Y-105923567D01* -X111407059Y-105897754D01* -X111418939Y-105869072D01* -X111433560Y-105841719D01* -X111442564Y-105812038D01* -X111454444Y-105783356D01* -X111460500Y-105752909D01* -X111469504Y-105723227D01* -X111472545Y-105692355D01* -X111478600Y-105661912D01* -X111478600Y-105156819D01* -X111485947Y-105132600D01* -X111773707Y-105132600D01* -X111844606Y-105125617D01* -X111917188Y-105103600D01* -X112006680Y-105103600D01* -X111973303Y-105144269D01* -X111941495Y-105203778D01* -X111921908Y-105268349D01* -X111915294Y-105335500D01* -X111915294Y-105564500D01* -X111921908Y-105631651D01* -X111941495Y-105696222D01* -X111973303Y-105755731D01* -X112016110Y-105807890D01* -X112067420Y-105850000D01* -X112016110Y-105892110D01* -X111973303Y-105944269D01* -X111941495Y-106003778D01* -X111921908Y-106068349D01* -X111915294Y-106135500D01* -X111915294Y-106364500D01* -X111921908Y-106431651D01* -X111941495Y-106496222D01* -X111973303Y-106555731D01* -X112016110Y-106607890D01* -X112032931Y-106621695D01* -X112017382Y-106630006D01* -X111982573Y-106658573D01* -X111954006Y-106693382D01* -X111932779Y-106733095D01* -X111919708Y-106776187D01* -X111915294Y-106821000D01* -X111916400Y-106919050D01* -X111973550Y-106976200D01* -X112826200Y-106976200D01* -X112826200Y-106956200D01* -X112973800Y-106956200D01* -X112973800Y-106976200D01* -X113826450Y-106976200D01* -X113883600Y-106919050D01* -X113884706Y-106821000D01* -X113880292Y-106776187D01* -X113867221Y-106733095D01* -X113845994Y-106693382D01* -X113817427Y-106658573D01* -X113782618Y-106630006D01* -X113767069Y-106621695D01* -X113783890Y-106607890D01* -X113826697Y-106555731D01* -X113827836Y-106553600D01* -X114316301Y-106553600D01* -X114325870Y-106576702D01* -X114378247Y-106655090D01* -X114444910Y-106721753D01* -X114523298Y-106774130D01* -X114610397Y-106810208D01* -X114702862Y-106828600D01* -X114797138Y-106828600D01* -X114889603Y-106810208D01* -X114976702Y-106774130D01* -X115055090Y-106721753D01* -X115121753Y-106655090D01* -X115174130Y-106576702D01* -X115210208Y-106489603D01* -X115228600Y-106397138D01* -X115228600Y-106302862D01* -X115228522Y-106302468D01* -X122067400Y-106302468D01* -X122067400Y-106397532D01* -X122085946Y-106490769D01* -X122122326Y-106578597D01* -X122175140Y-106657640D01* -X122242360Y-106724860D01* -X122321403Y-106777674D01* -X122409231Y-106814054D01* -X122502468Y-106832600D01* -X122597532Y-106832600D01* -X122690769Y-106814054D01* -X122778597Y-106777674D01* -X122857640Y-106724860D01* -X122924860Y-106657640D01* -X122977674Y-106578597D01* -X122988028Y-106553600D01* -X123472164Y-106553600D01* -X123473303Y-106555731D01* -X123506680Y-106596400D01* -X123405556Y-106596400D01* -X123389603Y-106589792D01* -X123297138Y-106571400D01* -X123202862Y-106571400D01* -X123110397Y-106589792D01* -X123023298Y-106625870D01* -X122944910Y-106678247D01* -X122878247Y-106744910D01* -X122825870Y-106823298D01* -X122789792Y-106910397D01* -X122771400Y-107002862D01* -X122771400Y-107097138D01* -X122789792Y-107189603D01* -X122825870Y-107276702D01* -X122878247Y-107355090D01* -X122944910Y-107421753D01* -X123023298Y-107474130D01* -X123110397Y-107510208D01* -X123202862Y-107528600D01* -X123297138Y-107528600D01* -X123389603Y-107510208D01* -X123405556Y-107503600D01* -X123506680Y-107503600D01* -X123473303Y-107544269D01* -X123472164Y-107546400D01* -X122983699Y-107546400D01* -X122974130Y-107523298D01* -X122921753Y-107444910D01* -X122855090Y-107378247D01* -X122776702Y-107325870D01* -X122689603Y-107289792D01* -X122597138Y-107271400D01* -X122502862Y-107271400D01* -X122410397Y-107289792D01* -X122323298Y-107325870D01* -X122244910Y-107378247D01* -X122178247Y-107444910D01* -X122125870Y-107523298D01* -X122089792Y-107610397D01* -X122071400Y-107702862D01* -X122071400Y-107797138D01* -X122089792Y-107889603D01* -X122125870Y-107976702D01* -X122178247Y-108055090D01* -X122244910Y-108121753D01* -X122323298Y-108174130D01* -X122410397Y-108210208D01* -X122502862Y-108228600D01* -X122597138Y-108228600D01* -X122689603Y-108210208D01* -X122776702Y-108174130D01* -X122807427Y-108153600D01* -X123472164Y-108153600D01* -X123473303Y-108155731D01* -X123516110Y-108207890D01* -X123567420Y-108250000D01* -X123516110Y-108292110D01* -X123473303Y-108344269D01* -X123472164Y-108346400D01* -X122814627Y-108346400D01* -X122778597Y-108322326D01* -X122690769Y-108285946D01* -X122597532Y-108267400D01* -X122502468Y-108267400D01* -X122409231Y-108285946D01* -X122321403Y-108322326D01* -X122242360Y-108375140D01* -X122175140Y-108442360D01* -X122122326Y-108521403D01* -X122085946Y-108609231D01* -X122067400Y-108702468D01* -X122067400Y-108797532D01* -X122085946Y-108890769D01* -X122122326Y-108978597D01* -X122175140Y-109057640D01* -X122242360Y-109124860D01* -X122321403Y-109177674D01* -X122409231Y-109214054D01* -X122502468Y-109232600D01* -X122597532Y-109232600D01* -X122690769Y-109214054D01* -X122778597Y-109177674D01* -X122857640Y-109124860D01* -X122924860Y-109057640D01* -X122977674Y-108978597D01* -X122988028Y-108953600D01* -X123472164Y-108953600D01* -X123473303Y-108955731D01* -X123516110Y-109007890D01* -X123532931Y-109021695D01* -X123517382Y-109030006D01* -X123482573Y-109058573D01* -X123454006Y-109093382D01* -X123432779Y-109133095D01* -X123419708Y-109176187D01* -X123415294Y-109221000D01* -X123416400Y-109319050D01* -X123473550Y-109376200D01* -X124326200Y-109376200D01* -X124326200Y-109356200D01* -X124473800Y-109356200D01* -X124473800Y-109376200D01* -X125326450Y-109376200D01* -X125383600Y-109319050D01* -X125384706Y-109221000D01* -X125380292Y-109176187D01* -X125367221Y-109133095D01* -X125345994Y-109093382D01* -X125317427Y-109058573D01* -X125282618Y-109030006D01* -X125267069Y-109021695D01* -X125283890Y-109007890D01* -X125310882Y-108975000D01* -X125745294Y-108975000D01* -X125749708Y-109019813D01* -X125762779Y-109062905D01* -X125784006Y-109102618D01* -X125812573Y-109137427D01* -X125847382Y-109165994D01* -X125887095Y-109187221D01* -X125930187Y-109200292D01* -X125975000Y-109204706D01* -X126319050Y-109203600D01* -X126376200Y-109146450D01* -X126376200Y-108623800D01* -X126523800Y-108623800D01* -X126523800Y-109146450D01* -X126580950Y-109203600D01* -X126925000Y-109204706D01* -X126969813Y-109200292D01* -X127012905Y-109187221D01* -X127052618Y-109165994D01* -X127087427Y-109137427D01* -X127115994Y-109102618D01* -X127137221Y-109062905D01* -X127150292Y-109019813D01* -X127154706Y-108975000D01* -X127153600Y-108680950D01* -X127096450Y-108623800D01* -X126523800Y-108623800D01* -X126376200Y-108623800D01* -X125803550Y-108623800D01* -X125746400Y-108680950D01* -X125745294Y-108975000D01* -X125310882Y-108975000D01* -X125326697Y-108955731D01* -X125358505Y-108896222D01* -X125378092Y-108831651D01* -X125384706Y-108764500D01* -X125384706Y-108535500D01* -X125378092Y-108468349D01* -X125358505Y-108403778D01* -X125326697Y-108344269D01* -X125283890Y-108292110D01* -X125232580Y-108250000D01* -X125283890Y-108207890D01* -X125326697Y-108155731D01* -X125343122Y-108125000D01* -X125745294Y-108125000D01* -X125746400Y-108419050D01* -X125803550Y-108476200D01* -X126376200Y-108476200D01* -X126376200Y-107953550D01* -X126523800Y-107953550D01* -X126523800Y-108476200D01* -X127096450Y-108476200D01* -X127153600Y-108419050D01* -X127154706Y-108125000D01* -X127150292Y-108080187D01* -X127137221Y-108037095D01* -X127115994Y-107997382D01* -X127087427Y-107962573D01* -X127052618Y-107934006D01* -X127012905Y-107912779D01* -X126969813Y-107899708D01* -X126925000Y-107895294D01* -X126580950Y-107896400D01* -X126523800Y-107953550D01* -X126376200Y-107953550D01* -X126319050Y-107896400D01* -X125975000Y-107895294D01* -X125930187Y-107899708D01* -X125887095Y-107912779D01* -X125847382Y-107934006D01* -X125812573Y-107962573D01* -X125784006Y-107997382D01* -X125762779Y-108037095D01* -X125749708Y-108080187D01* -X125745294Y-108125000D01* -X125343122Y-108125000D01* -X125358505Y-108096222D01* -X125378092Y-108031651D01* -X125384706Y-107964500D01* -X125384706Y-107735500D01* -X125378092Y-107668349D01* -X125358505Y-107603778D01* -X125326697Y-107544269D01* -X125293320Y-107503600D01* -X125382812Y-107503600D01* -X125455394Y-107525617D01* -X125526293Y-107532600D01* -X125839863Y-107532600D01* -X125874813Y-107575187D01* -X125941824Y-107630181D01* -X126018275Y-107671045D01* -X126101230Y-107696209D01* -X126187500Y-107704706D01* -X126712500Y-107704706D01* -X126798770Y-107696209D01* -X126856819Y-107678600D01* -X126939627Y-107678600D01* -X126961730Y-107700704D01* -X126961734Y-107700709D01* -X127049291Y-107788266D01* -X127075109Y-107805517D01* -X127099079Y-107825189D01* -X127126427Y-107839807D01* -X127152246Y-107857059D01* -X127180930Y-107868940D01* -X127208281Y-107883560D01* -X127237961Y-107892563D01* -X127266644Y-107904444D01* -X127297096Y-107910501D01* -X127326772Y-107919503D01* -X127357638Y-107922543D01* -X127388088Y-107928600D01* -X127419126Y-107928600D01* -X127449999Y-107931641D01* -X127480872Y-107928600D01* -X127511912Y-107928600D01* -X127542364Y-107922543D01* -X127573226Y-107919503D01* -X127602898Y-107910502D01* -X127633356Y-107904444D01* -X127662045Y-107892561D01* -X127691717Y-107883560D01* -X127719062Y-107868943D01* -X127747754Y-107857059D01* -X127773578Y-107839804D01* -X127800920Y-107825189D01* -X127824888Y-107805519D01* -X127850709Y-107788266D01* -X127872665Y-107766310D01* -X127896637Y-107746637D01* -X127916310Y-107722665D01* -X127938266Y-107700709D01* -X127955519Y-107674888D01* -X127975189Y-107650920D01* -X127989804Y-107623578D01* -X128007059Y-107597754D01* -X128018943Y-107569062D01* -X128033560Y-107541717D01* -X128042561Y-107512045D01* -X128054444Y-107483356D01* -X128060502Y-107452898D01* -X128069503Y-107423226D01* -X128072543Y-107392364D01* -X128078600Y-107361912D01* -X128078600Y-107330872D01* -X128081641Y-107299999D01* -X128078600Y-107269126D01* -X128078600Y-107238088D01* -X128072543Y-107207638D01* -X128069503Y-107176772D01* -X128060501Y-107147096D01* -X128054444Y-107116644D01* -X128042563Y-107087961D01* -X128033560Y-107058281D01* -X128018940Y-107030930D01* -X128007059Y-107002246D01* -X127989807Y-106976427D01* -X127975189Y-106949079D01* -X127955517Y-106925109D01* -X127938266Y-106899291D01* -X127850709Y-106811734D01* -X127850704Y-106811730D01* -X127666326Y-106627352D01* -X127646638Y-106603362D01* -X127550921Y-106524810D01* -X127441719Y-106466440D01* -X127323227Y-106430496D01* -X127230875Y-106421400D01* -X127200000Y-106418359D01* -X127169125Y-106421400D01* -X126978600Y-106421400D01* -X126978600Y-106147937D01* -X126973508Y-106122336D01* -X126970951Y-106096376D01* -X126963380Y-106071418D01* -X126958287Y-106045813D01* -X126948296Y-106021691D01* -X126940725Y-105996735D01* -X126928431Y-105973735D01* -X126918440Y-105949614D01* -X126903936Y-105927907D01* -X126891641Y-105904905D01* -X126875094Y-105884743D01* -X126860591Y-105863037D01* -X126842133Y-105844579D01* -X126825585Y-105824415D01* -X126805421Y-105807867D01* -X126786963Y-105789409D01* -X126765257Y-105774906D01* -X126745095Y-105758359D01* -X126722093Y-105746064D01* -X126700386Y-105731560D01* -X126676265Y-105721569D01* -X126653265Y-105709275D01* -X126628309Y-105701704D01* -X126604187Y-105691713D01* -X126578582Y-105686620D01* -X126553624Y-105679049D01* -X126527664Y-105676492D01* -X126502063Y-105671400D01* -X126475962Y-105671400D01* -X126450000Y-105668843D01* -X126424038Y-105671400D01* -X126397937Y-105671400D01* -X126372335Y-105676492D01* -X126346377Y-105679049D01* -X126321420Y-105686620D01* -X126295813Y-105691713D01* -X126271689Y-105701706D01* -X126246736Y-105709275D01* -X126223739Y-105721567D01* -X126199614Y-105731560D01* -X126177903Y-105746067D01* -X126154906Y-105758359D01* -X126134748Y-105774902D01* -X126113037Y-105789409D01* -X126094575Y-105807871D01* -X126074416Y-105824415D01* -X126057872Y-105844574D01* -X126039409Y-105863037D01* -X126024901Y-105884749D01* -X126008360Y-105904905D01* -X125996069Y-105927899D01* -X125981560Y-105949614D01* -X125971565Y-105973743D01* -X125959276Y-105996735D01* -X125951708Y-106021682D01* -X125941713Y-106045813D01* -X125936618Y-106071427D01* -X125929050Y-106096376D01* -X125926493Y-106122329D01* -X125921400Y-106147937D01* -X125921400Y-106486580D01* -X125874813Y-106524813D01* -X125839863Y-106567400D01* -X125526293Y-106567400D01* -X125455394Y-106574383D01* -X125382812Y-106596400D01* -X125293320Y-106596400D01* -X125326697Y-106555731D01* -X125358505Y-106496222D01* -X125378092Y-106431651D01* -X125384706Y-106364500D01* -X125384706Y-106135500D01* -X125378092Y-106068349D01* -X125358505Y-106003778D01* -X125326697Y-105944269D01* -X125283890Y-105892110D01* -X125232580Y-105850000D01* -X125283890Y-105807890D01* -X125326697Y-105755731D01* -X125358505Y-105696222D01* -X125378092Y-105631651D01* -X125384706Y-105564500D01* -X125384706Y-105335500D01* -X125378092Y-105268349D01* -X125358505Y-105203778D01* -X125326697Y-105144269D01* -X125283890Y-105092110D01* -X125267069Y-105078305D01* -X125282618Y-105069994D01* -X125317427Y-105041427D01* -X125345994Y-105006618D01* -X125362894Y-104975000D01* -X125745294Y-104975000D01* -X125749708Y-105019813D01* -X125762779Y-105062905D01* -X125784006Y-105102618D01* -X125812573Y-105137427D01* -X125847382Y-105165994D01* -X125887095Y-105187221D01* -X125930187Y-105200292D01* -X125975000Y-105204706D01* -X126319050Y-105203600D01* -X126376200Y-105146450D01* -X126376200Y-104623800D01* -X126523800Y-104623800D01* -X126523800Y-105146450D01* -X126580950Y-105203600D01* -X126925000Y-105204706D01* -X126969813Y-105200292D01* -X127012905Y-105187221D01* -X127052618Y-105165994D01* -X127087427Y-105137427D01* -X127115994Y-105102618D01* -X127137221Y-105062905D01* -X127150292Y-105019813D01* -X127154706Y-104975000D01* -X127153600Y-104680950D01* -X127096450Y-104623800D01* -X126523800Y-104623800D01* -X126376200Y-104623800D01* -X125803550Y-104623800D01* -X125746400Y-104680950D01* -X125745294Y-104975000D01* -X125362894Y-104975000D01* -X125367221Y-104966905D01* -X125380292Y-104923813D01* -X125384706Y-104879000D01* -X125383600Y-104780950D01* -X125326450Y-104723800D01* -X124473800Y-104723800D01* -X124473800Y-104743800D01* -X124326200Y-104743800D01* -X124326200Y-104723800D01* -X123473550Y-104723800D01* -X123416400Y-104780950D01* -X123415294Y-104879000D01* -X123419708Y-104923813D01* -X123432779Y-104966905D01* -X123454006Y-105006618D01* -X123482573Y-105041427D01* -X123517382Y-105069994D01* -X123532931Y-105078305D01* -X123516110Y-105092110D01* -X123473303Y-105144269D01* -X123472164Y-105146400D01* -X122983699Y-105146400D01* -X122974130Y-105123298D01* -X122921753Y-105044910D01* -X122855090Y-104978247D01* -X122776702Y-104925870D01* -X122689603Y-104889792D01* -X122597138Y-104871400D01* -X122502862Y-104871400D01* -X122410397Y-104889792D01* -X122323298Y-104925870D01* -X122244910Y-104978247D01* -X122178247Y-105044910D01* -X122125870Y-105123298D01* -X122089792Y-105210397D01* -X122071400Y-105302862D01* -X122071400Y-105397138D01* -X122089792Y-105489603D01* -X122125870Y-105576702D01* -X122178247Y-105655090D01* -X122244910Y-105721753D01* -X122323298Y-105774130D01* -X122410397Y-105810208D01* -X122502862Y-105828600D01* -X122597138Y-105828600D01* -X122689603Y-105810208D01* -X122776702Y-105774130D01* -X122807427Y-105753600D01* -X123472164Y-105753600D01* -X123473303Y-105755731D01* -X123516110Y-105807890D01* -X123567420Y-105850000D01* -X123516110Y-105892110D01* -X123473303Y-105944269D01* -X123472164Y-105946400D01* -X122814627Y-105946400D01* -X122778597Y-105922326D01* -X122690769Y-105885946D01* -X122597532Y-105867400D01* -X122502468Y-105867400D01* -X122409231Y-105885946D01* -X122321403Y-105922326D01* -X122242360Y-105975140D01* -X122175140Y-106042360D01* -X122122326Y-106121403D01* -X122085946Y-106209231D01* -X122067400Y-106302468D01* -X115228522Y-106302468D01* -X115210208Y-106210397D01* -X115174130Y-106123298D01* -X115121753Y-106044910D01* -X115055090Y-105978247D01* -X114976702Y-105925870D01* -X114889603Y-105889792D01* -X114797138Y-105871400D01* -X114702862Y-105871400D01* -X114610397Y-105889792D01* -X114523298Y-105925870D01* -X114492573Y-105946400D01* -X113827836Y-105946400D01* -X113826697Y-105944269D01* -X113783890Y-105892110D01* -X113732580Y-105850000D01* -X113783890Y-105807890D01* -X113826697Y-105755731D01* -X113827836Y-105753600D01* -X114492573Y-105753600D01* -X114523298Y-105774130D01* -X114610397Y-105810208D01* -X114702862Y-105828600D01* -X114797138Y-105828600D01* -X114889603Y-105810208D01* -X114976702Y-105774130D01* -X115055090Y-105721753D01* -X115121753Y-105655090D01* -X115174130Y-105576702D01* -X115210208Y-105489603D01* -X115228600Y-105397138D01* -X115228600Y-105302862D01* -X115210208Y-105210397D01* -X115174130Y-105123298D01* -X115121753Y-105044910D01* -X115055090Y-104978247D01* -X114976702Y-104925870D01* -X114889603Y-104889792D01* -X114797138Y-104871400D01* -X114702862Y-104871400D01* -X114610397Y-104889792D01* -X114523298Y-104925870D01* -X114444910Y-104978247D01* -X114378247Y-105044910D01* -X114325870Y-105123298D01* -X114316301Y-105146400D01* -X113827836Y-105146400D01* -X113826697Y-105144269D01* -X113793320Y-105103600D01* -X113894444Y-105103600D01* -X113910397Y-105110208D01* -X114002862Y-105128600D01* -X114097138Y-105128600D01* -X114189603Y-105110208D01* -X114276702Y-105074130D01* -X114355090Y-105021753D01* -X114421753Y-104955090D01* -X114474130Y-104876702D01* -X114510208Y-104789603D01* -X114528600Y-104697138D01* -X114528600Y-104602862D01* -X114510208Y-104510397D01* -X114474130Y-104423298D01* -X114421753Y-104344910D01* -X114355090Y-104278247D01* -X114276702Y-104225870D01* -X114189603Y-104189792D01* -X114097138Y-104171400D01* -X114002862Y-104171400D01* -X113910397Y-104189792D01* -X113894444Y-104196400D01* -X113793320Y-104196400D01* -X113826697Y-104155731D01* -X113827836Y-104153600D01* -X114377251Y-104153600D01* -X114378247Y-104155090D01* -X114444910Y-104221753D01* -X114523298Y-104274130D01* -X114610397Y-104310208D01* -X114702862Y-104328600D01* -X114797138Y-104328600D01* -X114889603Y-104310208D01* -X114976702Y-104274130D01* -X115055090Y-104221753D01* -X115121753Y-104155090D01* -X115174130Y-104076702D01* -X115210208Y-103989603D01* -X115228600Y-103897138D01* -X115228600Y-103802862D01* -X115210208Y-103710397D01* -X115174130Y-103623298D01* -X115121753Y-103544910D01* -X115055090Y-103478247D01* -X114976702Y-103425870D01* -X114889603Y-103389792D01* -X114797138Y-103371400D01* -X114702862Y-103371400D01* -X114610397Y-103389792D01* -X114523298Y-103425870D01* -X114444910Y-103478247D01* -X114378247Y-103544910D01* -X114377251Y-103546400D01* -X113827836Y-103546400D01* -X113826697Y-103544269D01* -X113783890Y-103492110D01* -X113767069Y-103478305D01* -X113782618Y-103469994D01* -X113817427Y-103441427D01* -X113845994Y-103406618D01* -X113867221Y-103366905D01* -X113880292Y-103323813D01* -X113884706Y-103279000D01* -X113883600Y-103180950D01* -X113826450Y-103123800D01* -X112973800Y-103123800D01* -X112973800Y-103143800D01* -X112826200Y-103143800D01* -X112826200Y-103123800D01* -X111973550Y-103123800D01* -X111916400Y-103180950D01* -X111915294Y-103279000D01* -X111919708Y-103323813D01* -X111932779Y-103366905D01* -X111954006Y-103406618D01* -X111982573Y-103441427D01* -X112017382Y-103469994D01* -X112032931Y-103478305D01* -X112016110Y-103492110D01* -X111973303Y-103544269D01* -X111941495Y-103603778D01* -X111921908Y-103668349D01* -X111915294Y-103735500D01* -X111915294Y-103964500D01* -X111921908Y-104031651D01* -X111941495Y-104096222D01* -X111973303Y-104155731D01* -X112006680Y-104196400D01* -X111917188Y-104196400D01* -X111844606Y-104174383D01* -X111773707Y-104167400D01* -X111252818Y-104167400D01* -X111200921Y-104124810D01* -X111091719Y-104066440D01* -X110973227Y-104030496D01* -X110850000Y-104018359D01* -X110726774Y-104030496D01* -X110608282Y-104066440D01* -X110499080Y-104124810D01* -X110465476Y-104152388D01* -X110451230Y-104153791D01* -X110406367Y-104167400D01* -X109926293Y-104167400D01* -X109855394Y-104174383D01* -X109764423Y-104201978D01* -X109680585Y-104246791D01* -X109607099Y-104307099D01* -X109546791Y-104380585D01* -X109501978Y-104464423D01* -X109474383Y-104555394D01* -X109465065Y-104650000D01* -X109385191Y-104650000D01* -X109374130Y-104623298D01* -X109321753Y-104544910D01* -X109255090Y-104478247D01* -X109176702Y-104425870D01* -X109089603Y-104389792D01* -X108997138Y-104371400D01* -X108902862Y-104371400D01* -X108810397Y-104389792D01* -X108723298Y-104425870D01* -X108644910Y-104478247D01* -X108578247Y-104544910D01* -X108525870Y-104623298D01* -X108489792Y-104710397D01* -X108471400Y-104802862D01* -X108471400Y-104897138D01* -X108489792Y-104989603D01* -X108503957Y-105023800D01* -X108210598Y-105023800D01* -X108175000Y-105020294D01* -X107725000Y-105020294D01* -X107689402Y-105023800D01* -X107300000Y-105023800D01* -X107285134Y-105025264D01* -X107270840Y-105029600D01* -X107257666Y-105036642D01* -X107246118Y-105046118D01* -X106568436Y-105723800D01* -X104450000Y-105723800D01* -X104435134Y-105725264D01* -X104420840Y-105729600D01* -X104407666Y-105736642D01* -X104396118Y-105746118D01* -X103968436Y-106173800D01* -X103631564Y-106173800D01* -X103053882Y-105596118D01* -X103042334Y-105586642D01* -X103029160Y-105579600D01* -X103014866Y-105575264D01* -X103000000Y-105573800D01* -X102661913Y-105573800D01* -X102673851Y-105534445D01* -X102679706Y-105475000D01* -X103045294Y-105475000D01* -X103049708Y-105519813D01* -X103062779Y-105562905D01* -X103084006Y-105602618D01* -X103112573Y-105637427D01* -X103147382Y-105665994D01* -X103187095Y-105687221D01* -X103230187Y-105700292D01* -X103275000Y-105704706D01* -X103619050Y-105703600D01* -X103676200Y-105646450D01* -X103676200Y-105123800D01* -X103823800Y-105123800D01* -X103823800Y-105646450D01* -X103880950Y-105703600D01* -X104225000Y-105704706D01* -X104269813Y-105700292D01* -X104312905Y-105687221D01* -X104352618Y-105665994D01* -X104387427Y-105637427D01* -X104415994Y-105602618D01* -X104437221Y-105562905D01* -X104450292Y-105519813D01* -X104454706Y-105475000D01* -X104453600Y-105180950D01* -X104396450Y-105123800D01* -X103823800Y-105123800D01* -X103676200Y-105123800D01* -X103103550Y-105123800D01* -X103046400Y-105180950D01* -X103045294Y-105475000D01* -X102679706Y-105475000D01* -X102679706Y-105325000D01* -X102673851Y-105265555D01* -X102656512Y-105208394D01* -X102628354Y-105155714D01* -X102623665Y-105150000D01* -X102628354Y-105144286D01* -X102656512Y-105091606D01* -X102673851Y-105034445D01* -X102679706Y-104975000D01* -X102679706Y-104825000D01* -X102673851Y-104765555D01* -X102656512Y-104708394D01* -X102628354Y-104655714D01* -X102623665Y-104650000D01* -X102628354Y-104644286D01* -X102638662Y-104625000D01* -X103045294Y-104625000D01* -X103046400Y-104919050D01* -X103103550Y-104976200D01* -X103676200Y-104976200D01* -X103676200Y-104453550D01* -X103823800Y-104453550D01* -X103823800Y-104976200D01* -X104396450Y-104976200D01* -X104453600Y-104919050D01* -X104454706Y-104625000D01* -X104450292Y-104580187D01* -X104437221Y-104537095D01* -X104415994Y-104497382D01* -X104387427Y-104462573D01* -X104352618Y-104434006D01* -X104312905Y-104412779D01* -X104269813Y-104399708D01* -X104225000Y-104395294D01* -X103880950Y-104396400D01* -X103823800Y-104453550D01* -X103676200Y-104453550D01* -X103619050Y-104396400D01* -X103275000Y-104395294D01* -X103230187Y-104399708D01* -X103187095Y-104412779D01* -X103147382Y-104434006D01* -X103112573Y-104462573D01* -X103084006Y-104497382D01* -X103062779Y-104537095D01* -X103049708Y-104580187D01* -X103045294Y-104625000D01* -X102638662Y-104625000D01* -X102656512Y-104591606D01* -X102673851Y-104534445D01* -X102679706Y-104475000D01* -X102679706Y-104325000D01* -X102673851Y-104265555D01* -X102656512Y-104208394D01* -X102628354Y-104155714D01* -X102623665Y-104150000D01* -X102628354Y-104144286D01* -X102656512Y-104091606D01* -X102673851Y-104034445D01* -X102677461Y-103997796D01* -X102688561Y-104001163D01* -X102710397Y-104010208D01* -X102733581Y-104014820D01* -X102756178Y-104021674D01* -X102779679Y-104023989D01* -X102802862Y-104028600D01* -X103136580Y-104028600D01* -X103174813Y-104075187D01* -X103241824Y-104130181D01* -X103318275Y-104171045D01* -X103401230Y-104196209D01* -X103487500Y-104204706D01* -X104012500Y-104204706D01* -X104098770Y-104196209D01* -X104156819Y-104178600D01* -X104811912Y-104178600D01* -X104842355Y-104172545D01* -X104873227Y-104169504D01* -X104902909Y-104160500D01* -X104933356Y-104154444D01* -X104962038Y-104142564D01* -X104991719Y-104133560D01* -X105019072Y-104118939D01* -X105047754Y-104107059D01* -X105073567Y-104089811D01* -X105100921Y-104075190D01* -X105124900Y-104055511D01* -X105150709Y-104038266D01* -X105172654Y-104016321D01* -X105196638Y-103996638D01* -X105199583Y-103993050D01* -X105225441Y-104024559D01* -X105305711Y-104090434D01* -X105397290Y-104139385D01* -X105496659Y-104169528D01* -X105600000Y-104179706D01* -X106400000Y-104179706D01* -X106503341Y-104169528D01* -X106602710Y-104139385D01* -X106694289Y-104090434D01* -X106774559Y-104024559D01* -X106835747Y-103950000D01* -X107270294Y-103950000D01* -X107274708Y-103994813D01* -X107287779Y-104037905D01* -X107309006Y-104077618D01* -X107337573Y-104112427D01* -X107372382Y-104140994D01* -X107412095Y-104162221D01* -X107455187Y-104175292D01* -X107500000Y-104179706D01* -X108069050Y-104178600D01* -X108126200Y-104121450D01* -X108126200Y-103423800D01* -X108273800Y-103423800D01* -X108273800Y-104121450D01* -X108330950Y-104178600D01* -X108900000Y-104179706D01* -X108944813Y-104175292D01* -X108987905Y-104162221D01* -X109027618Y-104140994D01* -X109062427Y-104112427D01* -X109090994Y-104077618D01* -X109112221Y-104037905D01* -X109125292Y-103994813D01* -X109129706Y-103950000D01* -X109129176Y-103725000D01* -X110095294Y-103725000D01* -X110099708Y-103769813D01* -X110112779Y-103812905D01* -X110134006Y-103852618D01* -X110162573Y-103887427D01* -X110197382Y-103915994D01* -X110237095Y-103937221D01* -X110280187Y-103950292D01* -X110325000Y-103954706D01* -X110669050Y-103953600D01* -X110726200Y-103896450D01* -X110726200Y-103373800D01* -X110873800Y-103373800D01* -X110873800Y-103896450D01* -X110930950Y-103953600D01* -X111275000Y-103954706D01* -X111319813Y-103950292D01* -X111362905Y-103937221D01* -X111402618Y-103915994D01* -X111437427Y-103887427D01* -X111465994Y-103852618D01* -X111487221Y-103812905D01* -X111500292Y-103769813D01* -X111504706Y-103725000D01* -X111503600Y-103430950D01* -X111446450Y-103373800D01* -X110873800Y-103373800D01* -X110726200Y-103373800D01* -X110153550Y-103373800D01* -X110096400Y-103430950D01* -X110095294Y-103725000D01* -X109129176Y-103725000D01* -X109128600Y-103480950D01* -X109071450Y-103423800D01* -X108273800Y-103423800D01* -X108126200Y-103423800D01* -X107328550Y-103423800D01* -X107271400Y-103480950D01* -X107270294Y-103950000D01* -X106835747Y-103950000D01* -X106840434Y-103944289D01* -X106889385Y-103852710D01* -X106919528Y-103753341D01* -X106929706Y-103650000D01* -X106929706Y-103050000D01* -X106919528Y-102946659D01* -X106889385Y-102847290D01* -X106840434Y-102755711D01* -X106835748Y-102750000D01* -X107270294Y-102750000D01* -X107271400Y-103219050D01* -X107328550Y-103276200D01* -X108126200Y-103276200D01* -X108126200Y-102578550D01* -X108273800Y-102578550D01* -X108273800Y-103276200D01* -X109071450Y-103276200D01* -X109128600Y-103219050D01* -X109129411Y-102875000D01* -X110095294Y-102875000D01* -X110096400Y-103169050D01* -X110153550Y-103226200D01* -X110726200Y-103226200D01* -X110726200Y-102703550D01* -X110873800Y-102703550D01* -X110873800Y-103226200D01* -X111446450Y-103226200D01* -X111503600Y-103169050D01* -X111504706Y-102875000D01* -X111500292Y-102830187D01* -X111487221Y-102787095D01* -X111465994Y-102747382D01* -X111437427Y-102712573D01* -X111402618Y-102684006D01* -X111362905Y-102662779D01* -X111319813Y-102649708D01* -X111275000Y-102645294D01* -X110930950Y-102646400D01* -X110873800Y-102703550D01* -X110726200Y-102703550D01* -X110669050Y-102646400D01* -X110325000Y-102645294D01* -X110280187Y-102649708D01* -X110237095Y-102662779D01* -X110197382Y-102684006D01* -X110162573Y-102712573D01* -X110134006Y-102747382D01* -X110112779Y-102787095D01* -X110099708Y-102830187D01* -X110095294Y-102875000D01* -X109129411Y-102875000D01* -X109129706Y-102750000D01* -X109125292Y-102705187D01* -X109112221Y-102662095D01* -X109090994Y-102622382D01* -X109062427Y-102587573D01* -X109027618Y-102559006D01* -X108987905Y-102537779D01* -X108944813Y-102524708D01* -X108900000Y-102520294D01* -X108330950Y-102521400D01* -X108273800Y-102578550D01* -X108126200Y-102578550D01* -X108069050Y-102521400D01* -X107500000Y-102520294D01* -X107455187Y-102524708D01* -X107412095Y-102537779D01* -X107372382Y-102559006D01* -X107337573Y-102587573D01* -X107309006Y-102622382D01* -X107287779Y-102662095D01* -X107274708Y-102705187D01* -X107270294Y-102750000D01* -X106835748Y-102750000D01* -X106774559Y-102675441D01* -X106694289Y-102609566D01* -X106602710Y-102560615D01* -X106503341Y-102530472D01* -X106400000Y-102520294D01* -X105600000Y-102520294D01* -X105496659Y-102530472D01* -X105397290Y-102560615D01* -X105305711Y-102609566D01* -X105225441Y-102675441D01* -X105159566Y-102755711D01* -X105124454Y-102821400D01* -X104975962Y-102821400D01* -X104950000Y-102818843D01* -X104924038Y-102821400D01* -X104846376Y-102829049D01* -X104746735Y-102859275D01* -X104654905Y-102908359D01* -X104639014Y-102921400D01* -X104378600Y-102921400D01* -X104378600Y-102278600D01* -X105187724Y-102278600D01* -X105225441Y-102324559D01* -X105305711Y-102390434D01* -X105397290Y-102439385D01* -X105496659Y-102469528D01* -X105600000Y-102479706D01* -X106400000Y-102479706D01* -X106503341Y-102469528D01* -X106602710Y-102439385D01* -X106694289Y-102390434D01* -X106774559Y-102324559D01* -X106840434Y-102244289D01* -X106889385Y-102152710D01* -X106919528Y-102053341D01* -X106929706Y-101950000D01* -X106929706Y-101350000D01* -X107270294Y-101350000D01* -X107270294Y-101950000D01* -X107280472Y-102053341D01* -X107310615Y-102152710D01* -X107359566Y-102244289D01* -X107425441Y-102324559D01* -X107505711Y-102390434D01* -X107597290Y-102439385D01* -X107696659Y-102469528D01* -X107800000Y-102479706D01* -X108600000Y-102479706D01* -X108703341Y-102469528D01* -X108802710Y-102439385D01* -X108894289Y-102390434D01* -X108974559Y-102324559D01* -X109040434Y-102244289D01* -X109089385Y-102152710D01* -X109119528Y-102053341D01* -X109129706Y-101950000D01* -X109129706Y-101350000D01* -X109119528Y-101246659D01* -X109089385Y-101147290D01* -X109040434Y-101055711D01* -X108974559Y-100975441D01* -X108894289Y-100909566D01* -X108802710Y-100860615D01* -X108703341Y-100830472D01* -X108600000Y-100820294D01* -X108503600Y-100820294D01* -X108503600Y-100375580D01* -X108522382Y-100390994D01* -X108562095Y-100412221D01* -X108605187Y-100425292D01* -X108650000Y-100429706D01* -X108719050Y-100428600D01* -X108776200Y-100371450D01* -X108776200Y-99773800D01* -X108923800Y-99773800D01* -X108923800Y-100371450D01* -X108980950Y-100428600D01* -X109050000Y-100429706D01* -X109094813Y-100425292D01* -X109137905Y-100412221D01* -X109177618Y-100390994D01* -X109212427Y-100362427D01* -X109240994Y-100327618D01* -X109262221Y-100287905D01* -X109275292Y-100244813D01* -X109279706Y-100200000D01* -X109278600Y-99830950D01* -X109221450Y-99773800D01* -X108923800Y-99773800D01* -X108776200Y-99773800D01* -X108756200Y-99773800D01* -X108756200Y-99626200D01* -X108776200Y-99626200D01* -X108776200Y-99028550D01* -X108923800Y-99028550D01* -X108923800Y-99626200D01* -X109221450Y-99626200D01* -X109278600Y-99569050D01* -X109279706Y-99200000D01* -X109275292Y-99155187D01* -X109262221Y-99112095D01* -X109240994Y-99072382D01* -X109212427Y-99037573D01* -X109177618Y-99009006D01* -X109137905Y-98987779D01* -X109094813Y-98974708D01* -X109050000Y-98970294D01* -X108980950Y-98971400D01* -X108923800Y-99028550D01* -X108776200Y-99028550D01* -X108719050Y-98971400D01* -X108650000Y-98970294D01* -X108605187Y-98974708D01* -X108562095Y-98987779D01* -X108522382Y-99009006D01* -X108492511Y-99033521D01* -X108483175Y-99025859D01* -X108426173Y-98995391D01* -X108364322Y-98976629D01* -X108300000Y-98970294D01* -X108100000Y-98970294D01* -X108035678Y-98976629D01* -X107973827Y-98995391D01* -X107916825Y-99025859D01* -X107907489Y-99033521D01* -X107877618Y-99009006D01* -X107837905Y-98987779D01* -X107794813Y-98974708D01* -X107750000Y-98970294D01* -X107680950Y-98971400D01* -X107623800Y-99028550D01* -X107623800Y-99626200D01* -X107643800Y-99626200D01* -X107643800Y-99773800D01* -X107623800Y-99773800D01* -X107623800Y-100371450D01* -X107680950Y-100428600D01* -X107750000Y-100429706D01* -X107794813Y-100425292D01* -X107837905Y-100412221D01* -X107877618Y-100390994D01* -X107896400Y-100375580D01* -X107896401Y-100820294D01* -X107800000Y-100820294D01* -X107696659Y-100830472D01* -X107597290Y-100860615D01* -X107505711Y-100909566D01* -X107425441Y-100975441D01* -X107359566Y-101055711D01* -X107310615Y-101147290D01* -X107280472Y-101246659D01* -X107270294Y-101350000D01* -X106929706Y-101350000D01* -X106919528Y-101246659D01* -X106889385Y-101147290D01* -X106840434Y-101055711D01* -X106774559Y-100975441D01* -X106694289Y-100909566D01* -X106602710Y-100860615D01* -X106503341Y-100830472D01* -X106400000Y-100820294D01* -X105600000Y-100820294D01* -X105496659Y-100830472D01* -X105397290Y-100860615D01* -X105305711Y-100909566D01* -X105225441Y-100975441D01* -X105187724Y-101021400D01* -X105007954Y-101021400D01* -X105404135Y-100625220D01* -X105415716Y-100615716D01* -X105453655Y-100569487D01* -X105481846Y-100516744D01* -X105499206Y-100459516D01* -X105503600Y-100414904D01* -X105503600Y-100414903D01* -X105505068Y-100400000D01* -X105503600Y-100385096D01* -X105503600Y-100200000D01* -X107120294Y-100200000D01* -X107124708Y-100244813D01* -X107137779Y-100287905D01* -X107159006Y-100327618D01* -X107187573Y-100362427D01* -X107222382Y-100390994D01* -X107262095Y-100412221D01* -X107305187Y-100425292D01* -X107350000Y-100429706D01* -X107419050Y-100428600D01* -X107476200Y-100371450D01* -X107476200Y-99773800D01* -X107178550Y-99773800D01* -X107121400Y-99830950D01* -X107120294Y-100200000D01* -X105503600Y-100200000D01* -X105503600Y-100154614D01* -X105819050Y-100153600D01* -X105876200Y-100096450D01* -X105876200Y-99573800D01* -X106023800Y-99573800D01* -X106023800Y-100096450D01* -X106080950Y-100153600D01* -X106425000Y-100154706D01* -X106469813Y-100150292D01* -X106512905Y-100137221D01* -X106552618Y-100115994D01* -X106587427Y-100087427D01* -X106615994Y-100052618D01* -X106637221Y-100012905D01* -X106650292Y-99969813D01* -X106654706Y-99925000D01* -X106653600Y-99630950D01* -X106596450Y-99573800D01* -X106023800Y-99573800D01* -X105876200Y-99573800D01* -X105303550Y-99573800D01* -X105253353Y-99623997D01* -X105103600Y-99474246D01* -X105103600Y-99414903D01* -X105105068Y-99399999D01* -X105099206Y-99340483D01* -X105081845Y-99283254D01* -X105067551Y-99256512D01* -X105053655Y-99230513D01* -X105015716Y-99184284D01* -X105004138Y-99174783D01* -X104904355Y-99075000D01* -X105245294Y-99075000D01* -X105246400Y-99369050D01* -X105303550Y-99426200D01* -X105876200Y-99426200D01* -X105876200Y-98903550D01* -X106023800Y-98903550D01* -X106023800Y-99426200D01* -X106596450Y-99426200D01* -X106653600Y-99369050D01* -X106654235Y-99200000D01* -X107120294Y-99200000D01* -X107121400Y-99569050D01* -X107178550Y-99626200D01* -X107476200Y-99626200D01* -X107476200Y-99028550D01* -X107419050Y-98971400D01* -X107350000Y-98970294D01* -X107305187Y-98974708D01* -X107262095Y-98987779D01* -X107222382Y-99009006D01* -X107187573Y-99037573D01* -X107159006Y-99072382D01* -X107137779Y-99112095D01* -X107124708Y-99155187D01* -X107120294Y-99200000D01* -X106654235Y-99200000D01* -X106654706Y-99075000D01* -X106650292Y-99030187D01* -X106637221Y-98987095D01* -X106615994Y-98947382D01* -X106587427Y-98912573D01* -X106552618Y-98884006D01* -X106512905Y-98862779D01* -X106469813Y-98849708D01* -X106425000Y-98845294D01* -X106080950Y-98846400D01* -X106023800Y-98903550D01* -X105876200Y-98903550D01* -X105819050Y-98846400D01* -X105475000Y-98845294D01* -X105430187Y-98849708D01* -X105387095Y-98862779D01* -X105347382Y-98884006D01* -X105312573Y-98912573D01* -X105284006Y-98947382D01* -X105262779Y-98987095D01* -X105249708Y-99030187D01* -X105245294Y-99075000D01* -X104904355Y-99075000D01* -X104853600Y-99024245D01* -X104853600Y-97787500D01* -X105245294Y-97787500D01* -X105245294Y-98212500D01* -X105253791Y-98298770D01* -X105278955Y-98381725D01* -X105319819Y-98458176D01* -X105374813Y-98525187D01* -X105441824Y-98580181D01* -X105518275Y-98621045D01* -X105601230Y-98646209D01* -X105687500Y-98654706D01* -X106212500Y-98654706D01* -X106298770Y-98646209D01* -X106381725Y-98621045D01* -X106458176Y-98580181D01* -X106525187Y-98525187D01* -X106563420Y-98478600D01* -X106997138Y-98478600D01* -X107020321Y-98473989D01* -X107043822Y-98471674D01* -X107066419Y-98464820D01* -X107089603Y-98460208D01* -X107111439Y-98451163D01* -X107124930Y-98447071D01* -X107126629Y-98464322D01* -X107145391Y-98526173D01* -X107175859Y-98583175D01* -X107216863Y-98633137D01* -X107266825Y-98674141D01* -X107323827Y-98704609D01* -X107385678Y-98723371D01* -X107450000Y-98729706D01* -X107650000Y-98729706D01* -X107714322Y-98723371D01* -X107776173Y-98704609D01* -X107833175Y-98674141D01* -X107883137Y-98633137D01* -X107924141Y-98583175D01* -X107954609Y-98526173D01* -X107973371Y-98464322D01* -X107979706Y-98400000D01* -X107979706Y-98009818D01* -X107980673Y-98000000D01* -X107979706Y-97990182D01* -X107979706Y-97600000D01* -X107978600Y-97588770D01* -X107978600Y-97315911D01* -X108010208Y-97239603D01* -X108028600Y-97147138D01* -X108028600Y-97052862D01* -X108010208Y-96960397D01* -X107974130Y-96873298D01* -X107921753Y-96794910D01* -X107855090Y-96728247D01* -X107776702Y-96675870D01* -X107689603Y-96639792D01* -X107597138Y-96621400D01* -X107502862Y-96621400D01* -X107410397Y-96639792D01* -X107323298Y-96675870D01* -X107244910Y-96728247D01* -X107178247Y-96794910D01* -X107125870Y-96873298D01* -X107089792Y-96960397D01* -X107071400Y-97052862D01* -X107071400Y-97147138D01* -X107089792Y-97239603D01* -X107121401Y-97315912D01* -X107121400Y-97551859D01* -X107111439Y-97548837D01* -X107089603Y-97539792D01* -X107066419Y-97535180D01* -X107043822Y-97528326D01* -X107020321Y-97526011D01* -X106997138Y-97521400D01* -X106563420Y-97521400D01* -X106525187Y-97474813D01* -X106458176Y-97419819D01* -X106428600Y-97404010D01* -X106428600Y-96952862D01* -X106423989Y-96929679D01* -X106421674Y-96906178D01* -X106414820Y-96883581D01* -X106410208Y-96860397D01* -X106401163Y-96838561D01* -X106394308Y-96815962D01* -X106383174Y-96795133D01* -X106374130Y-96773298D01* -X106360999Y-96753646D01* -X106349866Y-96732818D01* -X106334886Y-96714564D01* -X106321753Y-96694910D01* -X106305037Y-96678194D01* -X106290058Y-96659942D01* -X106271806Y-96644963D01* -X106255090Y-96628247D01* -X106235436Y-96615114D01* -X106217182Y-96600134D01* -X106196354Y-96589001D01* -X106176702Y-96575870D01* -X106154867Y-96566826D01* -X106134038Y-96555692D01* -X106111439Y-96548837D01* -X106089603Y-96539792D01* -X106066419Y-96535180D01* -X106043822Y-96528326D01* -X106020321Y-96526011D01* -X105997138Y-96521400D01* -X105973504Y-96521400D01* -X105950000Y-96519085D01* -X105926497Y-96521400D01* -X105902862Y-96521400D01* -X105879678Y-96526011D01* -X105856179Y-96528326D01* -X105833584Y-96535180D01* -X105810397Y-96539792D01* -X105788558Y-96548838D01* -X105765963Y-96555692D01* -X105745137Y-96566824D01* -X105723298Y-96575870D01* -X105703642Y-96589004D01* -X105682819Y-96600134D01* -X105664570Y-96615111D01* -X105644910Y-96628247D01* -X105628190Y-96644967D01* -X105609943Y-96659942D01* -X105594968Y-96678189D01* -X105578247Y-96694910D01* -X105565110Y-96714571D01* -X105550135Y-96732818D01* -X105539006Y-96753638D01* -X105525870Y-96773298D01* -X105516822Y-96795141D01* -X105505693Y-96815962D01* -X105498841Y-96838552D01* -X105489792Y-96860397D01* -X105485179Y-96883591D01* -X105478327Y-96906178D01* -X105476013Y-96929669D01* -X105471400Y-96952862D01* -X105471400Y-97047138D01* -X105471401Y-97047143D01* -X105471401Y-97404010D01* -X105441824Y-97419819D01* -X105374813Y-97474813D01* -X105319819Y-97541824D01* -X105278955Y-97618275D01* -X105253791Y-97701230D01* -X105245294Y-97787500D01* -X104853600Y-97787500D01* -X104853600Y-97025754D01* -X106925755Y-94953600D01* -X106980960Y-94953600D01* -X106995391Y-95001173D01* -X107025859Y-95058175D01* -X107066863Y-95108137D01* -X107116825Y-95149141D01* -X107118432Y-95150000D01* -X107116825Y-95150859D01* -X107066863Y-95191863D01* -X107025859Y-95241825D01* -X106995391Y-95298827D01* -X106976629Y-95360678D01* -X106970294Y-95425000D01* -X106970294Y-95875000D01* -X106976629Y-95939322D01* -X106995391Y-96001173D01* -X107025859Y-96058175D01* -X107066863Y-96108137D01* -X107096401Y-96132379D01* -X107096401Y-96135086D01* -X107094932Y-96150000D01* -X107100794Y-96209515D01* -X107109011Y-96236600D01* -X107118155Y-96266744D01* -X107146346Y-96319487D01* -X107184285Y-96365716D01* -X107195867Y-96375221D01* -X107324774Y-96504128D01* -X107334284Y-96515716D01* -X107380513Y-96553655D01* -X107433256Y-96581846D01* -X107481234Y-96596400D01* -X107490484Y-96599206D01* -X107549999Y-96605068D01* -X107564903Y-96603600D01* -X108546401Y-96603600D01* -X108546400Y-97342622D01* -X108516863Y-97366863D01* -X108475859Y-97416825D01* -X108445391Y-97473827D01* -X108426629Y-97535678D01* -X108420294Y-97600000D01* -X108420294Y-98400000D01* -X108426629Y-98464322D01* -X108445391Y-98526173D01* -X108475859Y-98583175D01* -X108516863Y-98633137D01* -X108566825Y-98674141D01* -X108623827Y-98704609D01* -X108685678Y-98723371D01* -X108750000Y-98729706D01* -X108950000Y-98729706D01* -X109014322Y-98723371D01* -X109076173Y-98704609D01* -X109133175Y-98674141D01* -X109183137Y-98633137D01* -X109224141Y-98583175D01* -X109254609Y-98526173D01* -X109273371Y-98464322D01* -X109279706Y-98400000D01* -X109279706Y-97600000D01* -X109273371Y-97535678D01* -X109254609Y-97473827D01* -X109224141Y-97416825D01* -X109183137Y-97366863D01* -X109153600Y-97342622D01* -X109153600Y-96425754D01* -X109204129Y-96375225D01* -X109215716Y-96365716D01* -X109253655Y-96319487D01* -X109281846Y-96266744D01* -X109299206Y-96209516D01* -X109301792Y-96183257D01* -X109305068Y-96150001D01* -X109303600Y-96135096D01* -X109303600Y-96132378D01* -X109333137Y-96108137D01* -X109374141Y-96058175D01* -X109404609Y-96001173D01* -X109423371Y-95939322D01* -X109429706Y-95875000D01* -X109429706Y-95425000D01* -X109423371Y-95360678D01* -X109404609Y-95298827D01* -X109374141Y-95241825D01* -X109333137Y-95191863D01* -X109283175Y-95150859D01* -X109281568Y-95150000D01* -X109283175Y-95149141D01* -X109333137Y-95108137D01* -X109374141Y-95058175D01* -X109404609Y-95001173D01* -X109419040Y-94953600D01* -X109524246Y-94953600D01* -X109996400Y-95425755D01* -X109996400Y-95574245D01* -X109745872Y-95824774D01* -X109734284Y-95834284D01* -X109696345Y-95880514D01* -X109668154Y-95933257D01* -X109653819Y-95980513D01* -X109650794Y-95990485D01* -X109644932Y-96050000D01* -X109646400Y-96064904D01* -X109646401Y-100335086D01* -X109644932Y-100350000D01* -X109650794Y-100409515D01* -X109658308Y-100434284D01* -X109668155Y-100466744D01* -X109696346Y-100519487D01* -X109734285Y-100565716D01* -X109745867Y-100575221D01* -X110024778Y-100854133D01* -X110034284Y-100865716D01* -X110080513Y-100903655D01* -X110133256Y-100931846D01* -X110176395Y-100944932D01* -X110190484Y-100949206D01* -X110249999Y-100955068D01* -X110264903Y-100953600D01* -X111972164Y-100953600D01* -X111973303Y-100955731D01* -X112016110Y-101007890D01* -X112067420Y-101050000D01* -X112016110Y-101092110D01* -X111973303Y-101144269D01* -X111941495Y-101203778D01* -X111921908Y-101268349D01* -X111915294Y-101335500D01* -X111915294Y-101564500D01* -X111921908Y-101631651D01* -X111941495Y-101696222D01* -X111973303Y-101755731D01* -X112016110Y-101807890D01* -X112067420Y-101850000D01* -X112016110Y-101892110D01* -X111973303Y-101944269D01* -X111941495Y-102003778D01* -X111921908Y-102068349D01* -X111915294Y-102135500D01* -X111915294Y-102364500D01* -X111921908Y-102431651D01* -X111941495Y-102496222D01* -X111973303Y-102555731D01* -X112016110Y-102607890D01* -X112032931Y-102621695D01* -X112017382Y-102630006D01* -X111982573Y-102658573D01* -X111954006Y-102693382D01* -X111932779Y-102733095D01* -X111919708Y-102776187D01* -X111915294Y-102821000D01* -X111916400Y-102919050D01* -X111973550Y-102976200D01* -X112826200Y-102976200D01* -X112826200Y-102956200D01* -X112973800Y-102956200D01* -X112973800Y-102976200D01* -X113826450Y-102976200D01* -X113883600Y-102919050D01* -X113884706Y-102821000D01* -X113880292Y-102776187D01* -X113867221Y-102733095D01* -X113845994Y-102693382D01* -X113817427Y-102658573D01* -X113782618Y-102630006D01* -X113767069Y-102621695D01* -X113783890Y-102607890D01* -X113826697Y-102555731D01* -X113858505Y-102496222D01* -X113878092Y-102431651D01* -X113884706Y-102364500D01* -X113884706Y-102135500D01* -X113878092Y-102068349D01* -X113858505Y-102003778D01* -X113826697Y-101944269D01* -X113783890Y-101892110D01* -X113732580Y-101850000D01* -X113757086Y-101829888D01* -X113823298Y-101874130D01* -X113910397Y-101910208D01* -X114002862Y-101928600D01* -X114097138Y-101928600D01* -X114189603Y-101910208D01* -X114276702Y-101874130D01* -X114355090Y-101821753D01* -X114421753Y-101755090D01* -X114474130Y-101676702D01* -X114510208Y-101589603D01* -X114528600Y-101497138D01* -X114528600Y-101402862D01* -X114510208Y-101310397D01* -X114474130Y-101223298D01* -X114421753Y-101144910D01* -X114355090Y-101078247D01* -X114276702Y-101025870D01* -X114189603Y-100989792D01* -X114097138Y-100971400D01* -X114002862Y-100971400D01* -X113910397Y-100989792D01* -X113823298Y-101025870D01* -X113757086Y-101070112D01* -X113732580Y-101050000D01* -X113783890Y-101007890D01* -X113826697Y-100955731D01* -X113858505Y-100896222D01* -X113878092Y-100831651D01* -X113884706Y-100764500D01* -X113884706Y-100535500D01* -X113878092Y-100468349D01* -X113858505Y-100403778D01* -X113826697Y-100344269D01* -X113783890Y-100292110D01* -X113732580Y-100250000D01* -X113783890Y-100207890D01* -X113826697Y-100155731D01* -X113827836Y-100153600D01* -X114327251Y-100153600D01* -X114328247Y-100155090D01* -X114394910Y-100221753D01* -X114473298Y-100274130D01* -X114560397Y-100310208D01* -X114652862Y-100328600D01* -X114747138Y-100328600D01* -X114839603Y-100310208D01* -X114926702Y-100274130D01* -X115005090Y-100221753D01* -X115071753Y-100155090D01* -X115124130Y-100076702D01* -X115160208Y-99989603D01* -X115178600Y-99897138D01* -X115178600Y-99802862D01* -X115160208Y-99710397D01* -X115124130Y-99623298D01* -X115071753Y-99544910D01* -X115005090Y-99478247D01* -X114926702Y-99425870D01* -X114839603Y-99389792D01* -X114747138Y-99371400D01* -X114652862Y-99371400D01* -X114560397Y-99389792D01* -X114473298Y-99425870D01* -X114394910Y-99478247D01* -X114328247Y-99544910D01* -X114327251Y-99546400D01* -X113827836Y-99546400D01* -X113826697Y-99544269D01* -X113783890Y-99492110D01* -X113732580Y-99450000D01* -X113757086Y-99429888D01* -X113823298Y-99474130D01* -X113910397Y-99510208D01* -X114002862Y-99528600D01* -X114097138Y-99528600D01* -X114189603Y-99510208D01* -X114276702Y-99474130D01* -X114355090Y-99421753D01* -X114421753Y-99355090D01* -X114474130Y-99276702D01* -X114510208Y-99189603D01* -X114528600Y-99097138D01* -X114528600Y-99002862D01* -X114510208Y-98910397D01* -X114474130Y-98823298D01* -X114421753Y-98744910D01* -X114355090Y-98678247D01* -X114276702Y-98625870D01* -X114189603Y-98589792D01* -X114097138Y-98571400D01* -X114002862Y-98571400D01* -X113910397Y-98589792D01* -X113823298Y-98625870D01* -X113757086Y-98670112D01* -X113732580Y-98650000D01* -X113783890Y-98607890D01* -X113826697Y-98555731D01* -X113827836Y-98553600D01* -X114327251Y-98553600D01* -X114328247Y-98555090D01* -X114394910Y-98621753D01* -X114473298Y-98674130D01* -X114560397Y-98710208D01* -X114652862Y-98728600D01* -X114747138Y-98728600D01* -X114839603Y-98710208D01* -X114926702Y-98674130D01* -X115005090Y-98621753D01* -X115071753Y-98555090D01* -X115124130Y-98476702D01* -X115160208Y-98389603D01* -X115178600Y-98297138D01* -X115178600Y-98202862D01* -X115160208Y-98110397D01* -X115124130Y-98023298D01* -X115071753Y-97944910D01* -X115005090Y-97878247D01* -X114926702Y-97825870D01* -X114839603Y-97789792D01* -X114747138Y-97771400D01* -X114652862Y-97771400D01* -X114560397Y-97789792D01* -X114473298Y-97825870D01* -X114394910Y-97878247D01* -X114328247Y-97944910D01* -X114327251Y-97946400D01* -X113827836Y-97946400D01* -X113826697Y-97944269D01* -X113783890Y-97892110D01* -X113732580Y-97850000D01* -X113757086Y-97829888D01* -X113823298Y-97874130D01* -X113910397Y-97910208D01* -X114002862Y-97928600D01* -X114097138Y-97928600D01* -X114189603Y-97910208D01* -X114276702Y-97874130D01* -X114355090Y-97821753D01* -X114421753Y-97755090D01* -X114474130Y-97676702D01* -X114510208Y-97589603D01* -X114528600Y-97497138D01* -X114528600Y-97402862D01* -X114510208Y-97310397D01* -X114474130Y-97223298D01* -X114421753Y-97144910D01* -X114355090Y-97078247D01* -X114276702Y-97025870D01* -X114189603Y-96989792D01* -X114097138Y-96971400D01* -X114002862Y-96971400D01* -X113910397Y-96989792D01* -X113823298Y-97025870D01* -X113757086Y-97070112D01* -X113732580Y-97050000D01* -X113783890Y-97007890D01* -X113826697Y-96955731D01* -X113827836Y-96953600D01* -X114327251Y-96953600D01* -X114328247Y-96955090D01* -X114394910Y-97021753D01* -X114473298Y-97074130D01* -X114560397Y-97110208D01* -X114652862Y-97128600D01* -X114747138Y-97128600D01* -X114839603Y-97110208D01* -X114926702Y-97074130D01* -X115005090Y-97021753D01* -X115071753Y-96955090D01* -X115124130Y-96876702D01* -X115160208Y-96789603D01* -X115178600Y-96697138D01* -X115178600Y-96602862D01* -X115160208Y-96510397D01* -X115124130Y-96423298D01* -X115071753Y-96344910D01* -X115005090Y-96278247D01* -X114926702Y-96225870D01* -X114839603Y-96189792D01* -X114747138Y-96171400D01* -X114652862Y-96171400D01* -X114560397Y-96189792D01* -X114473298Y-96225870D01* -X114394910Y-96278247D01* -X114328247Y-96344910D01* -X114327251Y-96346400D01* -X113827836Y-96346400D01* -X113826697Y-96344269D01* -X113783890Y-96292110D01* -X113732580Y-96250000D01* -X113757086Y-96229888D01* -X113823298Y-96274130D01* -X113910397Y-96310208D01* -X114002862Y-96328600D01* -X114097138Y-96328600D01* -X114189603Y-96310208D01* -X114276702Y-96274130D01* -X114355090Y-96221753D01* -X114421753Y-96155090D01* -X114474130Y-96076702D01* -X114510208Y-95989603D01* -X114528600Y-95897138D01* -X114528600Y-95802862D01* -X114510208Y-95710397D01* -X114474130Y-95623298D01* -X114421753Y-95544910D01* -X114355090Y-95478247D01* -X114276702Y-95425870D01* -X114189603Y-95389792D01* -X114097138Y-95371400D01* -X114002862Y-95371400D01* -X113910397Y-95389792D01* -X113823298Y-95425870D01* -X113757086Y-95470112D01* -X113732580Y-95450000D01* -X113783890Y-95407890D01* -X113826697Y-95355731D01* -X113827836Y-95353600D01* -X114327251Y-95353600D01* -X114328247Y-95355090D01* -X114394910Y-95421753D01* -X114473298Y-95474130D01* -X114560397Y-95510208D01* -X114652862Y-95528600D01* -X114747138Y-95528600D01* -X114839603Y-95510208D01* -X114926702Y-95474130D01* -X115005090Y-95421753D01* -X115071753Y-95355090D01* -X115124130Y-95276702D01* -X115160208Y-95189603D01* -X115178600Y-95097138D01* -X115178600Y-95002862D01* -X115160208Y-94910397D01* -X115124130Y-94823298D01* -X115071753Y-94744910D01* -X115005090Y-94678247D01* -X114926702Y-94625870D01* -X114839603Y-94589792D01* -X114747138Y-94571400D01* -X114652862Y-94571400D01* -X114560397Y-94589792D01* -X114473298Y-94625870D01* -X114394910Y-94678247D01* -X114328247Y-94744910D01* -X114327251Y-94746400D01* -X113827836Y-94746400D01* -X113826697Y-94744269D01* -X113783890Y-94692110D01* -X113732580Y-94650000D01* -X113757086Y-94629888D01* -X113823298Y-94674130D01* -X113910397Y-94710208D01* -X114002862Y-94728600D01* -X114097138Y-94728600D01* -X114189603Y-94710208D01* -X114276702Y-94674130D01* -X114355090Y-94621753D01* -X114421753Y-94555090D01* -X114474130Y-94476702D01* -X114510208Y-94389603D01* -X114528600Y-94297138D01* -X114528600Y-94202862D01* -X114510208Y-94110397D01* -X114474130Y-94023298D01* -X114421753Y-93944910D01* -X114355090Y-93878247D01* -X114276702Y-93825870D01* -X114189603Y-93789792D01* -X114097138Y-93771400D01* -X114002862Y-93771400D01* -X113910397Y-93789792D01* -X113823298Y-93825870D01* -X113757086Y-93870112D01* -X113732580Y-93850000D01* -X113783890Y-93807890D01* -X113826697Y-93755731D01* -X113827836Y-93753600D01* -X114327251Y-93753600D01* -X114328247Y-93755090D01* -X114394910Y-93821753D01* -X114473298Y-93874130D01* -X114560397Y-93910208D01* -X114652862Y-93928600D01* -X114747138Y-93928600D01* -X114839603Y-93910208D01* -X114926702Y-93874130D01* -X115005090Y-93821753D01* -X115071753Y-93755090D01* -X115124130Y-93676702D01* -X115160208Y-93589603D01* -X115178600Y-93497138D01* -X115178600Y-93402862D01* -X121471400Y-93402862D01* -X121471400Y-93497138D01* -X121489792Y-93589603D01* -X121525870Y-93676702D01* -X121578247Y-93755090D01* -X121644910Y-93821753D01* -X121723298Y-93874130D01* -X121810397Y-93910208D01* -X121902862Y-93928600D01* -X121997138Y-93928600D01* -X122089603Y-93910208D01* -X122176702Y-93874130D01* -X122255090Y-93821753D01* -X122321753Y-93755090D01* -X122322749Y-93753600D01* -X123472164Y-93753600D01* -X123473303Y-93755731D01* -X123516110Y-93807890D01* -X123567420Y-93850000D01* -X123516110Y-93892110D01* -X123473303Y-93944269D01* -X123472164Y-93946400D01* -X122972749Y-93946400D01* -X122971753Y-93944910D01* -X122905090Y-93878247D01* -X122826702Y-93825870D01* -X122739603Y-93789792D01* -X122647138Y-93771400D01* -X122552862Y-93771400D01* -X122460397Y-93789792D01* -X122373298Y-93825870D01* -X122294910Y-93878247D01* -X122228247Y-93944910D01* -X122175870Y-94023298D01* -X122139792Y-94110397D01* -X122121400Y-94202862D01* -X122121400Y-94297138D01* -X122139792Y-94389603D01* -X122175870Y-94476702D01* -X122228247Y-94555090D01* -X122294910Y-94621753D01* -X122373298Y-94674130D01* -X122460397Y-94710208D01* -X122552862Y-94728600D01* -X122647138Y-94728600D01* -X122739603Y-94710208D01* -X122826702Y-94674130D01* -X122905090Y-94621753D01* -X122971753Y-94555090D01* -X122972749Y-94553600D01* -X123472164Y-94553600D01* -X123473303Y-94555731D01* -X123516110Y-94607890D01* -X123567420Y-94650000D01* -X123542914Y-94670112D01* -X123476702Y-94625870D01* -X123389603Y-94589792D01* -X123297138Y-94571400D01* -X123202862Y-94571400D01* -X123110397Y-94589792D01* -X123023298Y-94625870D01* -X122944910Y-94678247D01* -X122878247Y-94744910D01* -X122825870Y-94823298D01* -X122789792Y-94910397D01* -X122771400Y-95002862D01* -X122771400Y-95097138D01* -X122789792Y-95189603D01* -X122825870Y-95276702D01* -X122878247Y-95355090D01* -X122944910Y-95421753D01* -X123023298Y-95474130D01* -X123110397Y-95510208D01* -X123202862Y-95528600D01* -X123297138Y-95528600D01* -X123389603Y-95510208D01* -X123476702Y-95474130D01* -X123542914Y-95429888D01* -X123567420Y-95450000D01* -X123516110Y-95492110D01* -X123473303Y-95544269D01* -X123472164Y-95546400D01* -X122972749Y-95546400D01* -X122971753Y-95544910D01* -X122905090Y-95478247D01* -X122826702Y-95425870D01* -X122739603Y-95389792D01* -X122647138Y-95371400D01* -X122552862Y-95371400D01* -X122460397Y-95389792D01* -X122373298Y-95425870D01* -X122294910Y-95478247D01* -X122228247Y-95544910D01* -X122175870Y-95623298D01* -X122139792Y-95710397D01* -X122121400Y-95802862D01* -X122121400Y-95897138D01* -X122139792Y-95989603D01* -X122175870Y-96076702D01* -X122228247Y-96155090D01* -X122294910Y-96221753D01* -X122373298Y-96274130D01* -X122460397Y-96310208D01* -X122552862Y-96328600D01* -X122647138Y-96328600D01* -X122739603Y-96310208D01* -X122826702Y-96274130D01* -X122905090Y-96221753D01* -X122971753Y-96155090D01* -X122972749Y-96153600D01* -X123472164Y-96153600D01* -X123473303Y-96155731D01* -X123516110Y-96207890D01* -X123567420Y-96250000D01* -X123542914Y-96270112D01* -X123476702Y-96225870D01* -X123389603Y-96189792D01* -X123297138Y-96171400D01* -X123202862Y-96171400D01* -X123110397Y-96189792D01* -X123023298Y-96225870D01* -X122944910Y-96278247D01* -X122878247Y-96344910D01* -X122825870Y-96423298D01* -X122789792Y-96510397D01* -X122771400Y-96602862D01* -X122771400Y-96697138D01* -X122789792Y-96789603D01* -X122825870Y-96876702D01* -X122878247Y-96955090D01* -X122944910Y-97021753D01* -X123023298Y-97074130D01* -X123110397Y-97110208D01* -X123202862Y-97128600D01* -X123297138Y-97128600D01* -X123389603Y-97110208D01* -X123476702Y-97074130D01* -X123542914Y-97029888D01* -X123567420Y-97050000D01* -X123516110Y-97092110D01* -X123473303Y-97144269D01* -X123472164Y-97146400D01* -X122972749Y-97146400D01* -X122971753Y-97144910D01* -X122905090Y-97078247D01* -X122826702Y-97025870D01* -X122739603Y-96989792D01* -X122647138Y-96971400D01* -X122552862Y-96971400D01* -X122460397Y-96989792D01* -X122373298Y-97025870D01* -X122294910Y-97078247D01* -X122228247Y-97144910D01* -X122175870Y-97223298D01* -X122139792Y-97310397D01* -X122121400Y-97402862D01* -X122121400Y-97497138D01* -X122139792Y-97589603D01* -X122175870Y-97676702D01* -X122228247Y-97755090D01* -X122294910Y-97821753D01* -X122373298Y-97874130D01* -X122460397Y-97910208D01* -X122552862Y-97928600D01* -X122647138Y-97928600D01* -X122739603Y-97910208D01* -X122826702Y-97874130D01* -X122905090Y-97821753D01* -X122971753Y-97755090D01* -X122972749Y-97753600D01* -X123472164Y-97753600D01* -X123473303Y-97755731D01* -X123516110Y-97807890D01* -X123567420Y-97850000D01* -X123542914Y-97870112D01* -X123476702Y-97825870D01* -X123389603Y-97789792D01* -X123297138Y-97771400D01* -X123202862Y-97771400D01* -X123110397Y-97789792D01* -X123023298Y-97825870D01* -X122944910Y-97878247D01* -X122878247Y-97944910D01* -X122825870Y-98023298D01* -X122789792Y-98110397D01* -X122771400Y-98202862D01* -X122771400Y-98297138D01* -X122789792Y-98389603D01* -X122825870Y-98476702D01* -X122878247Y-98555090D01* -X122944910Y-98621753D01* -X123023298Y-98674130D01* -X123110397Y-98710208D01* -X123202862Y-98728600D01* -X123297138Y-98728600D01* -X123389603Y-98710208D01* -X123476702Y-98674130D01* -X123542914Y-98629888D01* -X123567420Y-98650000D01* -X123516110Y-98692110D01* -X123473303Y-98744269D01* -X123472164Y-98746400D01* -X122972749Y-98746400D01* -X122971753Y-98744910D01* -X122905090Y-98678247D01* -X122826702Y-98625870D01* -X122739603Y-98589792D01* -X122647138Y-98571400D01* -X122552862Y-98571400D01* -X122460397Y-98589792D01* -X122373298Y-98625870D01* -X122294910Y-98678247D01* -X122228247Y-98744910D01* -X122175870Y-98823298D01* -X122139792Y-98910397D01* -X122121400Y-99002862D01* -X122121400Y-99097138D01* -X122139792Y-99189603D01* -X122175870Y-99276702D01* -X122228247Y-99355090D01* -X122294910Y-99421753D01* -X122373298Y-99474130D01* -X122460397Y-99510208D01* -X122552862Y-99528600D01* -X122647138Y-99528600D01* -X122739603Y-99510208D01* -X122826702Y-99474130D01* -X122905090Y-99421753D01* -X122971753Y-99355090D01* -X122972749Y-99353600D01* -X123472164Y-99353600D01* -X123473303Y-99355731D01* -X123516110Y-99407890D01* -X123567420Y-99450000D01* -X123542914Y-99470112D01* -X123476702Y-99425870D01* -X123389603Y-99389792D01* -X123297138Y-99371400D01* -X123202862Y-99371400D01* -X123110397Y-99389792D01* -X123023298Y-99425870D01* -X122944910Y-99478247D01* -X122878247Y-99544910D01* -X122825870Y-99623298D01* -X122789792Y-99710397D01* -X122771400Y-99802862D01* -X122771400Y-99897138D01* -X122789792Y-99989603D01* -X122825870Y-100076702D01* -X122878247Y-100155090D01* -X122944910Y-100221753D01* -X123023298Y-100274130D01* -X123110397Y-100310208D01* -X123202862Y-100328600D01* -X123297138Y-100328600D01* -X123389603Y-100310208D01* -X123476702Y-100274130D01* -X123542914Y-100229888D01* -X123567420Y-100250000D01* -X123516110Y-100292110D01* -X123473303Y-100344269D01* -X123472164Y-100346400D01* -X122972749Y-100346400D01* -X122971753Y-100344910D01* -X122905090Y-100278247D01* -X122826702Y-100225870D01* -X122739603Y-100189792D01* -X122647138Y-100171400D01* -X122552862Y-100171400D01* -X122460397Y-100189792D01* -X122373298Y-100225870D01* -X122294910Y-100278247D01* -X122228247Y-100344910D01* -X122175870Y-100423298D01* -X122139792Y-100510397D01* -X122121400Y-100602862D01* -X122121400Y-100697138D01* -X122139792Y-100789603D01* -X122175870Y-100876702D01* -X122228247Y-100955090D01* -X122294910Y-101021753D01* -X122373298Y-101074130D01* -X122460397Y-101110208D01* -X122552862Y-101128600D01* -X122647138Y-101128600D01* -X122739603Y-101110208D01* -X122826702Y-101074130D01* -X122905090Y-101021753D01* -X122971753Y-100955090D01* -X122972749Y-100953600D01* -X123472164Y-100953600D01* -X123473303Y-100955731D01* -X123516110Y-101007890D01* -X123567420Y-101050000D01* -X123542914Y-101070112D01* -X123476702Y-101025870D01* -X123389603Y-100989792D01* -X123297138Y-100971400D01* -X123202862Y-100971400D01* -X123110397Y-100989792D01* -X123023298Y-101025870D01* -X122944910Y-101078247D01* -X122878247Y-101144910D01* -X122825870Y-101223298D01* -X122789792Y-101310397D01* -X122771400Y-101402862D01* -X122771400Y-101497138D01* -X122789792Y-101589603D01* -X122825870Y-101676702D01* -X122878247Y-101755090D01* -X122944910Y-101821753D01* -X123023298Y-101874130D01* -X123110397Y-101910208D01* -X123202862Y-101928600D01* -X123297138Y-101928600D01* -X123389603Y-101910208D01* -X123476702Y-101874130D01* -X123542914Y-101829888D01* -X123567420Y-101850000D01* -X123516110Y-101892110D01* -X123473303Y-101944269D01* -X123472164Y-101946400D01* -X122972749Y-101946400D01* -X122971753Y-101944910D01* -X122905090Y-101878247D01* -X122826702Y-101825870D01* -X122739603Y-101789792D01* -X122647138Y-101771400D01* -X122552862Y-101771400D01* -X122460397Y-101789792D01* -X122373298Y-101825870D01* -X122294910Y-101878247D01* -X122228247Y-101944910D01* -X122175870Y-102023298D01* -X122139792Y-102110397D01* -X122121400Y-102202862D01* -X122121400Y-102297138D01* -X122139792Y-102389603D01* -X122175870Y-102476702D01* -X122228247Y-102555090D01* -X122294910Y-102621753D01* -X122373298Y-102674130D01* -X122460397Y-102710208D01* -X122552862Y-102728600D01* -X122647138Y-102728600D01* -X122739603Y-102710208D01* -X122826702Y-102674130D01* -X122905090Y-102621753D01* -X122971753Y-102555090D01* -X122972749Y-102553600D01* -X123472164Y-102553600D01* -X123473303Y-102555731D01* -X123506680Y-102596400D01* -X123416007Y-102596400D01* -X123390769Y-102585946D01* -X123297532Y-102567400D01* -X123202468Y-102567400D01* -X123109231Y-102585946D01* -X123021403Y-102622326D01* -X122942360Y-102675140D01* -X122875140Y-102742360D01* -X122822326Y-102821403D01* -X122785946Y-102909231D01* -X122767400Y-103002468D01* -X122767400Y-103097532D01* -X122785946Y-103190769D01* -X122822326Y-103278597D01* -X122875140Y-103357640D01* -X122942360Y-103424860D01* -X123021403Y-103477674D01* -X123109231Y-103514054D01* -X123202468Y-103532600D01* -X123297532Y-103532600D01* -X123390769Y-103514054D01* -X123416007Y-103503600D01* -X123506680Y-103503600D01* -X123473303Y-103544269D01* -X123472164Y-103546400D01* -X122927559Y-103546400D01* -X122924860Y-103542360D01* -X122857640Y-103475140D01* -X122778597Y-103422326D01* -X122690769Y-103385946D01* -X122597532Y-103367400D01* -X122502468Y-103367400D01* -X122409231Y-103385946D01* -X122321403Y-103422326D01* -X122242360Y-103475140D01* -X122175140Y-103542360D01* -X122122326Y-103621403D01* -X122085946Y-103709231D01* -X122067400Y-103802468D01* -X122067400Y-103897532D01* -X122085946Y-103990769D01* -X122122326Y-104078597D01* -X122175140Y-104157640D01* -X122242360Y-104224860D01* -X122321403Y-104277674D01* -X122409231Y-104314054D01* -X122502468Y-104332600D01* -X122597532Y-104332600D01* -X122690769Y-104314054D01* -X122778597Y-104277674D01* -X122857640Y-104224860D01* -X122924860Y-104157640D01* -X122927559Y-104153600D01* -X123472164Y-104153600D01* -X123473303Y-104155731D01* -X123516110Y-104207890D01* -X123532931Y-104221695D01* -X123517382Y-104230006D01* -X123482573Y-104258573D01* -X123454006Y-104293382D01* -X123432779Y-104333095D01* -X123419708Y-104376187D01* -X123415294Y-104421000D01* -X123416400Y-104519050D01* -X123473550Y-104576200D01* -X124326200Y-104576200D01* -X124326200Y-104556200D01* -X124473800Y-104556200D01* -X124473800Y-104576200D01* -X125326450Y-104576200D01* -X125383600Y-104519050D01* -X125384706Y-104421000D01* -X125380292Y-104376187D01* -X125367221Y-104333095D01* -X125345994Y-104293382D01* -X125317427Y-104258573D01* -X125282618Y-104230006D01* -X125267069Y-104221695D01* -X125283890Y-104207890D01* -X125326697Y-104155731D01* -X125343122Y-104125000D01* -X125745294Y-104125000D01* -X125746400Y-104419050D01* -X125803550Y-104476200D01* -X126376200Y-104476200D01* -X126376200Y-103953550D01* -X126523800Y-103953550D01* -X126523800Y-104476200D01* -X127096450Y-104476200D01* -X127153600Y-104419050D01* -X127154706Y-104125000D01* -X127150292Y-104080187D01* -X127137221Y-104037095D01* -X127115994Y-103997382D01* -X127087427Y-103962573D01* -X127052618Y-103934006D01* -X127012905Y-103912779D01* -X126969813Y-103899708D01* -X126925000Y-103895294D01* -X126580950Y-103896400D01* -X126523800Y-103953550D01* -X126376200Y-103953550D01* -X126319050Y-103896400D01* -X125975000Y-103895294D01* -X125930187Y-103899708D01* -X125887095Y-103912779D01* -X125847382Y-103934006D01* -X125812573Y-103962573D01* -X125784006Y-103997382D01* -X125762779Y-104037095D01* -X125749708Y-104080187D01* -X125745294Y-104125000D01* -X125343122Y-104125000D01* -X125358505Y-104096222D01* -X125378092Y-104031651D01* -X125384706Y-103964500D01* -X125384706Y-103735500D01* -X125378092Y-103668349D01* -X125358505Y-103603778D01* -X125326697Y-103544269D01* -X125293320Y-103503600D01* -X125382812Y-103503600D01* -X125455394Y-103525617D01* -X125526293Y-103532600D01* -X125839863Y-103532600D01* -X125874813Y-103575187D01* -X125941824Y-103630181D01* -X126018275Y-103671045D01* -X126101230Y-103696209D01* -X126187500Y-103704706D01* -X126712500Y-103704706D01* -X126798770Y-103696209D01* -X126881725Y-103671045D01* -X126903137Y-103659600D01* -X126947496Y-103659600D01* -X126976488Y-103688591D01* -X126976492Y-103688598D01* -X127061402Y-103773508D01* -X127086441Y-103790238D01* -X127109686Y-103809315D01* -X127136208Y-103823491D01* -X127161246Y-103840221D01* -X127189067Y-103851745D01* -X127215587Y-103865920D01* -X127244362Y-103874649D01* -X127272186Y-103886174D01* -X127301720Y-103892049D01* -X127330497Y-103900778D01* -X127360431Y-103903727D01* -X127389960Y-103909600D01* -X127420060Y-103909600D01* -X127449999Y-103912549D01* -X127479938Y-103909600D01* -X127510040Y-103909600D01* -X127539570Y-103903726D01* -X127569502Y-103900778D01* -X127598276Y-103892049D01* -X127627814Y-103886174D01* -X127655643Y-103874647D01* -X127684411Y-103865920D01* -X127710925Y-103851748D01* -X127738754Y-103840221D01* -X127763797Y-103823488D01* -X127790313Y-103809315D01* -X127813554Y-103790241D01* -X127838598Y-103773508D01* -X127859895Y-103752211D01* -X127883137Y-103733137D01* -X127902211Y-103709895D01* -X127923508Y-103688598D01* -X127940241Y-103663554D01* -X127959315Y-103640313D01* -X127973488Y-103613797D01* -X127990221Y-103588754D01* -X128001748Y-103560925D01* -X128015920Y-103534411D01* -X128024647Y-103505643D01* -X128036174Y-103477814D01* -X128042049Y-103448276D01* -X128050778Y-103419502D01* -X128053726Y-103389570D01* -X128059600Y-103360040D01* -X128059600Y-103329938D01* -X128062549Y-103299999D01* -X128059600Y-103270060D01* -X128059600Y-103239960D01* -X128053727Y-103210431D01* -X128050778Y-103180497D01* -X128042049Y-103151720D01* -X128036174Y-103122186D01* -X128024649Y-103094362D01* -X128015920Y-103065587D01* -X128001745Y-103039067D01* -X127990221Y-103011246D01* -X127973491Y-102986208D01* -X127959315Y-102959686D01* -X127940238Y-102936441D01* -X127923508Y-102911402D01* -X127838598Y-102826492D01* -X127838591Y-102826488D01* -X127652234Y-102640131D01* -X127633138Y-102616862D01* -X127540314Y-102540684D01* -X127434412Y-102484079D01* -X127319502Y-102449221D01* -X127200000Y-102437451D01* -X127170059Y-102440400D01* -X127078600Y-102440400D01* -X127078600Y-102038088D01* -X127072545Y-102007645D01* -X127069504Y-101976773D01* -X127060500Y-101947091D01* -X127054444Y-101916644D01* -X127042564Y-101887962D01* -X127033560Y-101858281D01* -X127018939Y-101830928D01* -X127007059Y-101802246D01* -X126989811Y-101776433D01* -X126975190Y-101749079D01* -X126955511Y-101725100D01* -X126938266Y-101699291D01* -X126916321Y-101677346D01* -X126896638Y-101653362D01* -X126872654Y-101633679D01* -X126850709Y-101611734D01* -X126824900Y-101594489D01* -X126800921Y-101574810D01* -X126773567Y-101560189D01* -X126747754Y-101542941D01* -X126719072Y-101531061D01* -X126691719Y-101516440D01* -X126662038Y-101507436D01* -X126633356Y-101495556D01* -X126602909Y-101489500D01* -X126573227Y-101480496D01* -X126542355Y-101477455D01* -X126511912Y-101471400D01* -X126480875Y-101471400D01* -X126450000Y-101468359D01* -X126419125Y-101471400D01* -X126388088Y-101471400D01* -X126357644Y-101477455D01* -X126326774Y-101480496D01* -X126297094Y-101489499D01* -X126266644Y-101495556D01* -X126237959Y-101507437D01* -X126208282Y-101516440D01* -X126180932Y-101531059D01* -X126152246Y-101542941D01* -X126126429Y-101560192D01* -X126099080Y-101574810D01* -X126075106Y-101594485D01* -X126049291Y-101611734D01* -X126027341Y-101633684D01* -X126003363Y-101653362D01* -X125983685Y-101677340D01* -X125961734Y-101699291D01* -X125944484Y-101725107D01* -X125924811Y-101749079D01* -X125910194Y-101776425D01* -X125892941Y-101802246D01* -X125881057Y-101830936D01* -X125866441Y-101858281D01* -X125857440Y-101887953D01* -X125845556Y-101916644D01* -X125839498Y-101947101D01* -X125830497Y-101976773D01* -X125827457Y-102007635D01* -X125821400Y-102038088D01* -X125821400Y-102161912D01* -X125821401Y-102161917D01* -X125821401Y-102567400D01* -X125526293Y-102567400D01* -X125455394Y-102574383D01* -X125382812Y-102596400D01* -X125293320Y-102596400D01* -X125326697Y-102555731D01* -X125358505Y-102496222D01* -X125378092Y-102431651D01* -X125384706Y-102364500D01* -X125384706Y-102135500D01* -X125378092Y-102068349D01* -X125358505Y-102003778D01* -X125326697Y-101944269D01* -X125283890Y-101892110D01* -X125232580Y-101850000D01* -X125283890Y-101807890D01* -X125326697Y-101755731D01* -X125358505Y-101696222D01* -X125378092Y-101631651D01* -X125384706Y-101564500D01* -X125384706Y-101335500D01* -X125378092Y-101268349D01* -X125358505Y-101203778D01* -X125326697Y-101144269D01* -X125283890Y-101092110D01* -X125232580Y-101050000D01* -X125283890Y-101007890D01* -X125326697Y-100955731D01* -X125358505Y-100896222D01* -X125378092Y-100831651D01* -X125384706Y-100764500D01* -X125384706Y-100535500D01* -X125378092Y-100468349D01* -X125358505Y-100403778D01* -X125326697Y-100344269D01* -X125314987Y-100330000D01* -X134518294Y-100330000D01* -X134522708Y-100374813D01* -X134535779Y-100417905D01* -X134557006Y-100457618D01* -X134585573Y-100492427D01* -X134620382Y-100520994D01* -X134660095Y-100542221D01* -X134703187Y-100555292D01* -X134748000Y-100559706D01* -X134997050Y-100558600D01* -X135054200Y-100501450D01* -X135054200Y-99768800D01* -X135201800Y-99768800D01* -X135201800Y-100501450D01* -X135258950Y-100558600D01* -X135508000Y-100559706D01* -X135552813Y-100555292D01* -X135595905Y-100542221D01* -X135635618Y-100520994D01* -X135670427Y-100492427D01* -X135698994Y-100457618D01* -X135720221Y-100417905D01* -X135733292Y-100374813D01* -X135737706Y-100330000D01* -X135788294Y-100330000D01* -X135792708Y-100374813D01* -X135805779Y-100417905D01* -X135827006Y-100457618D01* -X135855573Y-100492427D01* -X135890382Y-100520994D01* -X135930095Y-100542221D01* -X135973187Y-100555292D01* -X136018000Y-100559706D01* -X136267050Y-100558600D01* -X136324200Y-100501450D01* -X136324200Y-99768800D01* -X136471800Y-99768800D01* -X136471800Y-100501450D01* -X136528950Y-100558600D01* -X136778000Y-100559706D01* -X136822813Y-100555292D01* -X136865905Y-100542221D01* -X136905618Y-100520994D01* -X136940427Y-100492427D01* -X136968994Y-100457618D01* -X136990221Y-100417905D01* -X137003292Y-100374813D01* -X137007706Y-100330000D01* -X137006600Y-99825950D01* -X136949450Y-99768800D01* -X136471800Y-99768800D01* -X136324200Y-99768800D01* -X135846550Y-99768800D01* -X135789400Y-99825950D01* -X135788294Y-100330000D01* -X135737706Y-100330000D01* -X135736600Y-99825950D01* -X135679450Y-99768800D01* -X135201800Y-99768800D01* -X135054200Y-99768800D01* -X134576550Y-99768800D01* -X134519400Y-99825950D01* -X134518294Y-100330000D01* -X125314987Y-100330000D01* -X125283890Y-100292110D01* -X125232580Y-100250000D01* -X125283890Y-100207890D01* -X125326697Y-100155731D01* -X125358505Y-100096222D01* -X125378092Y-100031651D01* -X125384706Y-99964500D01* -X125384706Y-99735500D01* -X125378092Y-99668349D01* -X125358505Y-99603778D01* -X125326697Y-99544269D01* -X125283890Y-99492110D01* -X125232580Y-99450000D01* -X125283890Y-99407890D01* -X125326697Y-99355731D01* -X125358505Y-99296222D01* -X125378092Y-99231651D01* -X125384706Y-99164500D01* -X125384706Y-99060000D01* -X134518294Y-99060000D01* -X134519400Y-99564050D01* -X134576550Y-99621200D01* -X135054200Y-99621200D01* -X135054200Y-98888550D01* -X135201800Y-98888550D01* -X135201800Y-99621200D01* -X135679450Y-99621200D01* -X135736600Y-99564050D01* -X135737706Y-99060000D01* -X135788294Y-99060000D01* -X135789400Y-99564050D01* -X135846550Y-99621200D01* -X136324200Y-99621200D01* -X136324200Y-98888550D01* -X136471800Y-98888550D01* -X136471800Y-99621200D01* -X136949450Y-99621200D01* -X137006600Y-99564050D01* -X137007706Y-99060000D01* -X137003292Y-99015187D01* -X136990221Y-98972095D01* -X136968994Y-98932382D01* -X136940427Y-98897573D01* -X136905618Y-98869006D01* -X136865905Y-98847779D01* -X136822813Y-98834708D01* -X136778000Y-98830294D01* -X136528950Y-98831400D01* -X136471800Y-98888550D01* -X136324200Y-98888550D01* -X136267050Y-98831400D01* -X136018000Y-98830294D01* -X135973187Y-98834708D01* -X135930095Y-98847779D01* -X135890382Y-98869006D01* -X135855573Y-98897573D01* -X135827006Y-98932382D01* -X135805779Y-98972095D01* -X135792708Y-99015187D01* -X135788294Y-99060000D01* -X135737706Y-99060000D01* -X135733292Y-99015187D01* -X135720221Y-98972095D01* -X135698994Y-98932382D01* -X135670427Y-98897573D01* -X135635618Y-98869006D01* -X135595905Y-98847779D01* -X135552813Y-98834708D01* -X135508000Y-98830294D01* -X135258950Y-98831400D01* -X135201800Y-98888550D01* -X135054200Y-98888550D01* -X134997050Y-98831400D01* -X134748000Y-98830294D01* -X134703187Y-98834708D01* -X134660095Y-98847779D01* -X134620382Y-98869006D01* -X134585573Y-98897573D01* -X134557006Y-98932382D01* -X134535779Y-98972095D01* -X134522708Y-99015187D01* -X134518294Y-99060000D01* -X125384706Y-99060000D01* -X125384706Y-98935500D01* -X125378092Y-98868349D01* -X125358505Y-98803778D01* -X125326697Y-98744269D01* -X125283890Y-98692110D01* -X125232580Y-98650000D01* -X125283890Y-98607890D01* -X125326697Y-98555731D01* -X125358505Y-98496222D01* -X125378092Y-98431651D01* -X125384706Y-98364500D01* -X125384706Y-98135500D01* -X125378092Y-98068349D01* -X125358505Y-98003778D01* -X125326697Y-97944269D01* -X125283890Y-97892110D01* -X125232580Y-97850000D01* -X125283890Y-97807890D01* -X125326697Y-97755731D01* -X125358505Y-97696222D01* -X125378092Y-97631651D01* -X125384706Y-97564500D01* -X125384706Y-97335500D01* -X125378092Y-97268349D01* -X125358505Y-97203778D01* -X125326697Y-97144269D01* -X125283890Y-97092110D01* -X125232580Y-97050000D01* -X125283890Y-97007890D01* -X125326697Y-96955731D01* -X125358505Y-96896222D01* -X125378092Y-96831651D01* -X125384706Y-96764500D01* -X125384706Y-96535500D01* -X125378092Y-96468349D01* -X125358505Y-96403778D01* -X125326697Y-96344269D01* -X125283890Y-96292110D01* -X125232580Y-96250000D01* -X125283890Y-96207890D01* -X125326697Y-96155731D01* -X125358505Y-96096222D01* -X125378092Y-96031651D01* -X125384706Y-95964500D01* -X125384706Y-95735500D01* -X125378092Y-95668349D01* -X125358505Y-95603778D01* -X125326697Y-95544269D01* -X125283890Y-95492110D01* -X125232580Y-95450000D01* -X125283890Y-95407890D01* -X125326697Y-95355731D01* -X125358505Y-95296222D01* -X125378092Y-95231651D01* -X125384706Y-95164500D01* -X125384706Y-94935500D01* -X125378092Y-94868349D01* -X125358505Y-94803778D01* -X125326697Y-94744269D01* -X125283890Y-94692110D01* -X125232580Y-94650000D01* -X125283890Y-94607890D01* -X125310882Y-94575000D01* -X125745294Y-94575000D01* -X125749708Y-94619813D01* -X125762779Y-94662905D01* -X125784006Y-94702618D01* -X125812573Y-94737427D01* -X125847382Y-94765994D01* -X125887095Y-94787221D01* -X125930187Y-94800292D01* -X125975000Y-94804706D01* -X126319050Y-94803600D01* -X126376200Y-94746450D01* -X126376200Y-94223800D01* -X126523800Y-94223800D01* -X126523800Y-94746450D01* -X126580950Y-94803600D01* -X126925000Y-94804706D01* -X126969813Y-94800292D01* -X127012905Y-94787221D01* -X127052618Y-94765994D01* -X127087427Y-94737427D01* -X127115994Y-94702618D01* -X127137221Y-94662905D01* -X127150292Y-94619813D01* -X127154706Y-94575000D01* -X127153600Y-94280950D01* -X127096450Y-94223800D01* -X126523800Y-94223800D01* -X126376200Y-94223800D01* -X125803550Y-94223800D01* -X125746400Y-94280950D01* -X125745294Y-94575000D01* -X125310882Y-94575000D01* -X125326697Y-94555731D01* -X125358505Y-94496222D01* -X125378092Y-94431651D01* -X125384706Y-94364500D01* -X125384706Y-94135500D01* -X125378092Y-94068349D01* -X125358505Y-94003778D01* -X125326697Y-93944269D01* -X125283890Y-93892110D01* -X125232580Y-93850000D01* -X125283890Y-93807890D01* -X125326697Y-93755731D01* -X125343122Y-93725000D01* -X125745294Y-93725000D01* -X125746400Y-94019050D01* -X125803550Y-94076200D01* -X126376200Y-94076200D01* -X126376200Y-93553550D01* -X126523800Y-93553550D01* -X126523800Y-94076200D01* -X127096450Y-94076200D01* -X127153600Y-94019050D01* -X127154706Y-93725000D01* -X127150292Y-93680187D01* -X127137221Y-93637095D01* -X127115994Y-93597382D01* -X127087427Y-93562573D01* -X127052618Y-93534006D01* -X127012905Y-93512779D01* -X126969813Y-93499708D01* -X126925000Y-93495294D01* -X126580950Y-93496400D01* -X126523800Y-93553550D01* -X126376200Y-93553550D01* -X126319050Y-93496400D01* -X125975000Y-93495294D01* -X125930187Y-93499708D01* -X125887095Y-93512779D01* -X125847382Y-93534006D01* -X125812573Y-93562573D01* -X125784006Y-93597382D01* -X125762779Y-93637095D01* -X125749708Y-93680187D01* -X125745294Y-93725000D01* -X125343122Y-93725000D01* -X125358505Y-93696222D01* -X125378092Y-93631651D01* -X125384706Y-93564500D01* -X125384706Y-93335500D01* -X125378092Y-93268349D01* -X125358505Y-93203778D01* -X125326697Y-93144269D01* -X125293320Y-93103600D01* -X125394444Y-93103600D01* -X125410397Y-93110208D01* -X125433581Y-93114820D01* -X125456178Y-93121674D01* -X125479679Y-93123989D01* -X125502862Y-93128600D01* -X125836580Y-93128600D01* -X125874813Y-93175187D01* -X125941824Y-93230181D01* -X126018275Y-93271045D01* -X126101230Y-93296209D01* -X126187500Y-93304706D01* -X126712500Y-93304706D01* -X126798770Y-93296209D01* -X126856819Y-93278600D01* -X126939627Y-93278600D01* -X126961730Y-93300704D01* -X126961734Y-93300709D01* -X127049291Y-93388266D01* -X127075109Y-93405517D01* -X127099079Y-93425189D01* -X127126427Y-93439807D01* -X127152246Y-93457059D01* -X127180930Y-93468940D01* -X127208281Y-93483560D01* -X127237961Y-93492563D01* -X127266644Y-93504444D01* -X127297096Y-93510501D01* -X127326772Y-93519503D01* -X127357638Y-93522543D01* -X127388088Y-93528600D01* -X127419126Y-93528600D01* -X127449999Y-93531641D01* -X127480872Y-93528600D01* -X127511912Y-93528600D01* -X127542364Y-93522543D01* -X127573226Y-93519503D01* -X127602898Y-93510502D01* -X127633356Y-93504444D01* -X127662045Y-93492561D01* -X127691717Y-93483560D01* -X127719062Y-93468943D01* -X127747754Y-93457059D01* -X127773578Y-93439804D01* -X127800920Y-93425189D01* -X127824888Y-93405519D01* -X127850709Y-93388266D01* -X127872665Y-93366310D01* -X127896637Y-93346637D01* -X127916310Y-93322665D01* -X127938266Y-93300709D01* -X127955519Y-93274888D01* -X127975189Y-93250920D01* -X127989804Y-93223578D01* -X128007059Y-93197754D01* -X128018943Y-93169062D01* -X128033560Y-93141717D01* -X128042561Y-93112045D01* -X128054444Y-93083356D01* -X128060502Y-93052898D01* -X128069503Y-93023226D01* -X128072543Y-92992364D01* -X128078600Y-92961912D01* -X128078600Y-92930872D01* -X128081641Y-92899999D01* -X128078600Y-92869126D01* -X128078600Y-92838088D01* -X128072543Y-92807638D01* -X128069503Y-92776772D01* -X128060501Y-92747096D01* -X128054444Y-92716644D01* -X128042563Y-92687961D01* -X128033560Y-92658281D01* -X128018940Y-92630930D01* -X128007059Y-92602246D01* -X127989807Y-92576427D01* -X127975189Y-92549079D01* -X127955517Y-92525109D01* -X127938266Y-92499291D01* -X127850709Y-92411734D01* -X127850704Y-92411730D01* -X127666326Y-92227352D01* -X127646638Y-92203362D01* -X127550921Y-92124810D01* -X127441719Y-92066440D01* -X127323227Y-92030496D01* -X127230875Y-92021400D01* -X127200000Y-92018359D01* -X127169125Y-92021400D01* -X126978600Y-92021400D01* -X126978600Y-91747937D01* -X126973508Y-91722336D01* -X126970951Y-91696376D01* -X126963380Y-91671418D01* -X126958287Y-91645813D01* -X126951738Y-91630000D01* -X134518294Y-91630000D01* -X134518294Y-92520000D01* -X134526359Y-92601881D01* -X134550242Y-92680615D01* -X134589027Y-92753176D01* -X134641223Y-92816777D01* -X134704824Y-92868973D01* -X134777385Y-92907758D01* -X134856119Y-92931641D01* -X134938000Y-92939706D01* -X135318000Y-92939706D01* -X135399881Y-92931641D01* -X135478615Y-92907758D01* -X135551176Y-92868973D01* -X135614777Y-92816777D01* -X135666973Y-92753176D01* -X135705758Y-92680615D01* -X135729641Y-92601881D01* -X135737706Y-92520000D01* -X135737706Y-91630000D01* -X135788294Y-91630000D01* -X135788294Y-92520000D01* -X135796359Y-92601881D01* -X135820242Y-92680615D01* -X135859027Y-92753176D01* -X135911223Y-92816777D01* -X135974824Y-92868973D01* -X136047385Y-92907758D01* -X136126119Y-92931641D01* -X136208000Y-92939706D01* -X136588000Y-92939706D01* -X136669881Y-92931641D01* -X136748615Y-92907758D01* -X136821176Y-92868973D01* -X136884777Y-92816777D01* -X136936973Y-92753176D01* -X136975758Y-92680615D01* -X136999641Y-92601881D01* -X137007706Y-92520000D01* -X137007706Y-91630000D01* -X136999641Y-91548119D01* -X136975758Y-91469385D01* -X136936973Y-91396824D01* -X136884777Y-91333223D01* -X136821176Y-91281027D01* -X136759033Y-91247810D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X135973870Y-90705298D01* -X135937792Y-90792397D01* -X135919400Y-90884862D01* -X135919400Y-90979138D01* -X135937792Y-91071603D01* -X135973870Y-91158702D01* -X136026247Y-91237090D01* -X136036967Y-91247810D01* -X135974824Y-91281027D01* -X135911223Y-91333223D01* -X135859027Y-91396824D01* -X135820242Y-91469385D01* -X135796359Y-91548119D01* -X135788294Y-91630000D01* -X135737706Y-91630000D01* -X135729641Y-91548119D01* -X135705758Y-91469385D01* -X135666973Y-91396824D01* -X135614777Y-91333223D01* -X135551176Y-91281027D01* -X135489033Y-91247810D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135588208Y-91071603D01* -X135606600Y-90979138D01* -X135606600Y-90884862D01* -X135588208Y-90792397D01* -X135552130Y-90705298D01* -X135499753Y-90626910D01* -X135433090Y-90560247D01* -X135354702Y-90507870D01* -X135267603Y-90471792D01* -X135175138Y-90453400D01* -X135080862Y-90453400D01* -X134988397Y-90471792D01* -X134901298Y-90507870D01* -X134822910Y-90560247D01* -X134756247Y-90626910D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134766967Y-91247810D01* -X134704824Y-91281027D01* -X134641223Y-91333223D01* -X134589027Y-91396824D01* -X134550242Y-91469385D01* -X134526359Y-91548119D01* -X134518294Y-91630000D01* -X126951738Y-91630000D01* -X126948296Y-91621691D01* -X126940725Y-91596735D01* -X126928431Y-91573735D01* -X126918440Y-91549614D01* -X126903936Y-91527907D01* -X126891641Y-91504905D01* -X126875094Y-91484743D01* -X126860591Y-91463037D01* -X126842133Y-91444579D01* -X126825585Y-91424415D01* -X126805421Y-91407867D01* -X126786963Y-91389409D01* -X126765257Y-91374906D01* -X126745095Y-91358359D01* -X126722093Y-91346064D01* -X126700386Y-91331560D01* -X126676265Y-91321569D01* -X126653265Y-91309275D01* -X126628309Y-91301704D01* -X126604187Y-91291713D01* -X126578582Y-91286620D01* -X126553624Y-91279049D01* -X126527664Y-91276492D01* -X126502063Y-91271400D01* -X126475962Y-91271400D01* -X126450000Y-91268843D01* -X126424038Y-91271400D01* -X126397937Y-91271400D01* -X126372335Y-91276492D01* -X126346377Y-91279049D01* -X126321420Y-91286620D01* -X126295813Y-91291713D01* -X126271689Y-91301706D01* -X126246736Y-91309275D01* -X126223739Y-91321567D01* -X126199614Y-91331560D01* -X126177903Y-91346067D01* -X126154906Y-91358359D01* -X126134748Y-91374902D01* -X126113037Y-91389409D01* -X126094575Y-91407871D01* -X126074416Y-91424415D01* -X126057872Y-91444574D01* -X126039409Y-91463037D01* -X126024901Y-91484749D01* -X126008360Y-91504905D01* -X125996069Y-91527899D01* -X125981560Y-91549614D01* -X125971565Y-91573743D01* -X125959276Y-91596735D01* -X125951708Y-91621682D01* -X125941713Y-91645813D01* -X125936618Y-91671427D01* -X125929050Y-91696376D01* -X125926493Y-91722329D01* -X125921400Y-91747937D01* -X125921400Y-92086580D01* -X125874813Y-92124813D01* -X125836580Y-92171400D01* -X125502862Y-92171400D01* -X125479679Y-92176011D01* -X125456178Y-92178326D01* -X125433581Y-92185180D01* -X125410397Y-92189792D01* -X125394444Y-92196400D01* -X125092340Y-92196400D01* -X125040500Y-92191294D01* -X124870398Y-92191294D01* -X124890725Y-92153265D01* -X124920951Y-92053624D01* -X124928600Y-91975962D01* -X124928600Y-91321028D01* -X124963420Y-91278600D01* -X125302063Y-91278600D01* -X125327664Y-91273508D01* -X125353624Y-91270951D01* -X125378582Y-91263380D01* -X125404187Y-91258287D01* -X125428309Y-91248296D01* -X125453265Y-91240725D01* -X125476265Y-91228431D01* -X125500386Y-91218440D01* -X125522093Y-91203936D01* -X125545095Y-91191641D01* -X125565257Y-91175094D01* -X125586963Y-91160591D01* -X125605421Y-91142133D01* -X125625585Y-91125585D01* -X125642133Y-91105421D01* -X125660591Y-91086963D01* -X125675094Y-91065257D01* -X125691641Y-91045095D01* -X125703936Y-91022093D01* -X125718440Y-91000386D01* -X125728431Y-90976265D01* -X125740725Y-90953265D01* -X125748296Y-90928309D01* -X125758287Y-90904187D01* -X125763380Y-90878582D01* -X125770951Y-90853624D01* -X125773508Y-90827664D01* -X125778600Y-90802063D01* -X125778600Y-90775961D01* -X125781157Y-90750000D01* -X125778600Y-90724038D01* -X125778600Y-90697937D01* -X125773508Y-90672336D01* -X125770951Y-90646376D01* -X125763380Y-90621418D01* -X125758287Y-90595813D01* -X125748296Y-90571691D01* -X125740725Y-90546735D01* -X125728431Y-90523735D01* -X125718440Y-90499614D01* -X125703936Y-90477907D01* -X125691641Y-90454905D01* -X125675094Y-90434743D01* -X125660591Y-90413037D01* -X125642133Y-90394579D01* -X125625585Y-90374415D01* -X125605421Y-90357867D01* -X125586963Y-90339409D01* -X125565257Y-90324906D01* -X125545095Y-90308359D01* -X125522093Y-90296064D01* -X125500386Y-90281560D01* -X125476265Y-90271569D01* -X125453265Y-90259275D01* -X125428309Y-90251704D01* -X125404187Y-90241713D01* -X125378582Y-90236620D01* -X125353624Y-90229049D01* -X125327664Y-90226492D01* -X125302063Y-90221400D01* -X124963420Y-90221400D01* -X124928600Y-90178972D01* -X124928600Y-90025958D01* -X124931157Y-89999999D01* -X124928600Y-89974038D01* -X124920951Y-89896376D01* -X124890725Y-89796735D01* -X124841641Y-89704905D01* -X124775585Y-89624415D01* -X124755410Y-89607858D01* -X124660595Y-89513043D01* -X124660591Y-89513037D01* -X124586963Y-89439409D01* -X124565251Y-89424901D01* -X124545094Y-89408359D01* -X124522097Y-89396067D01* -X124500386Y-89381560D01* -X124476261Y-89371567D01* -X124453264Y-89359275D01* -X124428311Y-89351706D01* -X124404187Y-89341713D01* -X124378580Y-89336620D01* -X124353623Y-89329049D01* -X124327665Y-89326492D01* -X124302063Y-89321400D01* -X124275962Y-89321400D01* -X124250000Y-89318843D01* -X124224038Y-89321400D01* -X124197937Y-89321400D01* -X124172335Y-89326492D01* -X124146377Y-89329049D01* -X124121420Y-89336620D01* -X124095813Y-89341713D01* -X124071689Y-89351706D01* -X124046736Y-89359275D01* -X124023739Y-89371567D01* -X123999614Y-89381560D01* -X123977903Y-89396067D01* -X123954906Y-89408359D01* -X123934750Y-89424901D01* -X123913037Y-89439409D01* -X123894571Y-89457875D01* -X123874416Y-89474416D01* -X123857875Y-89494571D01* -X123839409Y-89513037D01* -X123824901Y-89534750D01* -X123808359Y-89554906D01* -X123796067Y-89577903D01* -X123781560Y-89599614D01* -X123771567Y-89623739D01* -X123759275Y-89646736D01* -X123751706Y-89671689D01* -X123741713Y-89695813D01* -X123736620Y-89721420D01* -X123729049Y-89746377D01* -X123726492Y-89772335D01* -X123721400Y-89797937D01* -X123721400Y-89824038D01* -X123718843Y-89850000D01* -X123721400Y-89875962D01* -X123721400Y-89902063D01* -X123726492Y-89927665D01* -X123729049Y-89953623D01* -X123736620Y-89978580D01* -X123741713Y-90004187D01* -X123751706Y-90028311D01* -X123759275Y-90053264D01* -X123771567Y-90076261D01* -X123781560Y-90100386D01* -X123796067Y-90122097D01* -X123808359Y-90145094D01* -X123824901Y-90165251D01* -X123839409Y-90186963D01* -X123853378Y-90200932D01* -X123819819Y-90241824D01* -X123778955Y-90318275D01* -X123753791Y-90401230D01* -X123745294Y-90487500D01* -X123745294Y-91012500D01* -X123753791Y-91098770D01* -X123778955Y-91181725D01* -X123819819Y-91258176D01* -X123871400Y-91321029D01* -X123871401Y-91975962D01* -X123879050Y-92053624D01* -X123909276Y-92153265D01* -X123929603Y-92191294D01* -X123759500Y-92191294D01* -X123707660Y-92196400D01* -X123405556Y-92196400D01* -X123389603Y-92189792D01* -X123297138Y-92171400D01* -X123202862Y-92171400D01* -X123110397Y-92189792D01* -X123023298Y-92225870D01* -X122944910Y-92278247D01* -X122878247Y-92344910D01* -X122825870Y-92423298D01* -X122789792Y-92510397D01* -X122771400Y-92602862D01* -X122771400Y-92697138D01* -X122789792Y-92789603D01* -X122825870Y-92876702D01* -X122878247Y-92955090D01* -X122944910Y-93021753D01* -X123023298Y-93074130D01* -X123110397Y-93110208D01* -X123202862Y-93128600D01* -X123297138Y-93128600D01* -X123389603Y-93110208D01* -X123405556Y-93103600D01* -X123506680Y-93103600D01* -X123473303Y-93144269D01* -X123472164Y-93146400D01* -X122322749Y-93146400D01* -X122321753Y-93144910D01* -X122255090Y-93078247D01* -X122176702Y-93025870D01* -X122089603Y-92989792D01* -X121997138Y-92971400D01* -X121902862Y-92971400D01* -X121810397Y-92989792D01* -X121723298Y-93025870D01* -X121644910Y-93078247D01* -X121578247Y-93144910D01* -X121525870Y-93223298D01* -X121489792Y-93310397D01* -X121471400Y-93402862D01* -X115178600Y-93402862D01* -X115160208Y-93310397D01* -X115124130Y-93223298D01* -X115071753Y-93144910D01* -X115005090Y-93078247D01* -X114926702Y-93025870D01* -X114839603Y-92989792D01* -X114747138Y-92971400D01* -X114652862Y-92971400D01* -X114560397Y-92989792D01* -X114473298Y-93025870D01* -X114394910Y-93078247D01* -X114328247Y-93144910D01* -X114327251Y-93146400D01* -X113827836Y-93146400D01* -X113826697Y-93144269D01* -X113783890Y-93092110D01* -X113767069Y-93078305D01* -X113782618Y-93069994D01* -X113817427Y-93041427D01* -X113845994Y-93006618D01* -X113867221Y-92966905D01* -X113880292Y-92923813D01* -X113884706Y-92879000D01* -X113883600Y-92780950D01* -X113826450Y-92723800D01* -X112973800Y-92723800D01* -X112973800Y-92743800D01* -X112826200Y-92743800D01* -X112826200Y-92723800D01* -X111973550Y-92723800D01* -X111916400Y-92780950D01* -X111915294Y-92879000D01* -X111919708Y-92923813D01* -X111932779Y-92966905D01* -X111954006Y-93006618D01* -X111982573Y-93041427D01* -X112017382Y-93069994D01* -X112032931Y-93078305D01* -X112016110Y-93092110D01* -X111973303Y-93144269D01* -X111941495Y-93203778D01* -X111921908Y-93268349D01* -X111915294Y-93335500D01* -X111915294Y-93564500D01* -X111921908Y-93631651D01* -X111941495Y-93696222D01* -X111973303Y-93755731D01* -X112016110Y-93807890D01* -X112067420Y-93850000D01* -X112016110Y-93892110D01* -X111973303Y-93944269D01* -X111941495Y-94003778D01* -X111921908Y-94068349D01* -X111915294Y-94135500D01* -X111915294Y-94364500D01* -X111921908Y-94431651D01* -X111941495Y-94496222D01* -X111973303Y-94555731D01* -X112016110Y-94607890D01* -X112067420Y-94650000D01* -X112016110Y-94692110D01* -X111973303Y-94744269D01* -X111941495Y-94803778D01* -X111921908Y-94868349D01* -X111915294Y-94935500D01* -X111915294Y-95164500D01* -X111921908Y-95231651D01* -X111941495Y-95296222D01* -X111973303Y-95355731D01* -X112016110Y-95407890D01* -X112067420Y-95450000D01* -X112016110Y-95492110D01* -X111973303Y-95544269D01* -X111941495Y-95603778D01* -X111921908Y-95668349D01* -X111915294Y-95735500D01* -X111915294Y-95964500D01* -X111921908Y-96031651D01* -X111941495Y-96096222D01* -X111973303Y-96155731D01* -X112016110Y-96207890D01* -X112067420Y-96250000D01* -X112016110Y-96292110D01* -X111973303Y-96344269D01* -X111941495Y-96403778D01* -X111921908Y-96468349D01* -X111915294Y-96535500D01* -X111915294Y-96764500D01* -X111921908Y-96831651D01* -X111941495Y-96896222D01* -X111973303Y-96955731D01* -X112016110Y-97007890D01* -X112067420Y-97050000D01* -X112016110Y-97092110D01* -X111973303Y-97144269D01* -X111941495Y-97203778D01* -X111921908Y-97268349D01* -X111915294Y-97335500D01* -X111915294Y-97564500D01* -X111921908Y-97631651D01* -X111941495Y-97696222D01* -X111973303Y-97755731D01* -X112016110Y-97807890D01* -X112067420Y-97850000D01* -X112016110Y-97892110D01* -X111973303Y-97944269D01* -X111941495Y-98003778D01* -X111921908Y-98068349D01* -X111915294Y-98135500D01* -X111915294Y-98364500D01* -X111921908Y-98431651D01* -X111941495Y-98496222D01* -X111973303Y-98555731D01* -X112016110Y-98607890D01* -X112067420Y-98650000D01* -X112016110Y-98692110D01* -X111973303Y-98744269D01* -X111941495Y-98803778D01* -X111921908Y-98868349D01* -X111915294Y-98935500D01* -X111915294Y-99164500D01* -X111921908Y-99231651D01* -X111941495Y-99296222D01* -X111973303Y-99355731D01* -X112016110Y-99407890D01* -X112067420Y-99450000D01* -X112016110Y-99492110D01* -X111973303Y-99544269D01* -X111941495Y-99603778D01* -X111921908Y-99668349D01* -X111915294Y-99735500D01* -X111915294Y-99964500D01* -X111921908Y-100031651D01* -X111941495Y-100096222D01* -X111973303Y-100155731D01* -X112016110Y-100207890D01* -X112067420Y-100250000D01* -X112016110Y-100292110D01* -X111973303Y-100344269D01* -X111972164Y-100346400D01* -X110375755Y-100346400D01* -X110253600Y-100224246D01* -X110253600Y-96175754D01* -X110504133Y-95925222D01* -X110515716Y-95915716D01* -X110553655Y-95869487D01* -X110581846Y-95816744D01* -X110599206Y-95759516D01* -X110603600Y-95714904D01* -X110603600Y-95714903D01* -X110605068Y-95700000D01* -X110603600Y-95685096D01* -X110603600Y-95314904D01* -X110605068Y-95300000D01* -X110599206Y-95240484D01* -X110597572Y-95235097D01* -X110581846Y-95183256D01* -X110553655Y-95130513D01* -X110515716Y-95084284D01* -X110504135Y-95074780D01* -X109875226Y-94445872D01* -X109865716Y-94434284D01* -X109819487Y-94396345D01* -X109766744Y-94368154D01* -X109709516Y-94350794D01* -X109664904Y-94346400D01* -X109650000Y-94344932D01* -X109635096Y-94346400D01* -X109419040Y-94346400D01* -X109404609Y-94298827D01* -X109374141Y-94241825D01* -X109333137Y-94191863D01* -X109283175Y-94150859D01* -X109226173Y-94120391D01* -X109164322Y-94101629D01* -X109100000Y-94095294D01* -X108900000Y-94095294D01* -X108835678Y-94101629D01* -X108773827Y-94120391D01* -X108716825Y-94150859D01* -X108708122Y-94158001D01* -X108694286Y-94146646D01* -X108641606Y-94118488D01* -X108584445Y-94101149D01* -X108525000Y-94095294D01* -X108375000Y-94095294D01* -X108315555Y-94101149D01* -X108258394Y-94118488D01* -X108205714Y-94146646D01* -X108200000Y-94151335D01* -X108194286Y-94146646D01* -X108141606Y-94118488D01* -X108084445Y-94101149D01* -X108025000Y-94095294D01* -X107875000Y-94095294D01* -X107815555Y-94101149D01* -X107758394Y-94118488D01* -X107705714Y-94146646D01* -X107691878Y-94158001D01* -X107683175Y-94150859D01* -X107626173Y-94120391D01* -X107564322Y-94101629D01* -X107500000Y-94095294D01* -X107300000Y-94095294D01* -X107235678Y-94101629D01* -X107173827Y-94120391D01* -X107116825Y-94150859D01* -X107066863Y-94191863D01* -X107025859Y-94241825D01* -X106995391Y-94298827D01* -X106980960Y-94346400D01* -X106814904Y-94346400D01* -X106800000Y-94344932D01* -X106740484Y-94350794D01* -X106683255Y-94368154D01* -X106642773Y-94389792D01* -X106630513Y-94396345D01* -X106584284Y-94434284D01* -X106574779Y-94445866D01* -X104345867Y-96674779D01* -X104334285Y-96684284D01* -X104296346Y-96730513D01* -X104269621Y-96780513D01* -X104268155Y-96783256D01* -X104250794Y-96840485D01* -X104244932Y-96900000D01* -X104246401Y-96914914D01* -X104246400Y-99135096D01* -X104244932Y-99150000D01* -X104246400Y-99164903D01* -X104250794Y-99209515D01* -X104268154Y-99266743D01* -X104296345Y-99319486D01* -X104334284Y-99365716D01* -X104345871Y-99375225D01* -X104496400Y-99525755D01* -X104496400Y-99585096D01* -X104494932Y-99600000D01* -X104498165Y-99632819D01* -X104500794Y-99659515D01* -X104518154Y-99716743D01* -X104546345Y-99769486D01* -X104584284Y-99815716D01* -X104595871Y-99825225D01* -X104896400Y-100125756D01* -X104896400Y-100274245D01* -X104574246Y-100596400D01* -X104452598Y-100596400D01* -X104454706Y-100575000D01* -X104453600Y-100280950D01* -X104396450Y-100223800D01* -X103823800Y-100223800D01* -X103823800Y-100243800D01* -X103676200Y-100243800D01* -X103676200Y-100223800D01* -X103103550Y-100223800D01* -X103046400Y-100280950D01* -X103045294Y-100575000D01* -X103047402Y-100596400D01* -X102674811Y-100596400D01* -X102675292Y-100594813D01* -X102679706Y-100550000D01* -X102678600Y-100530950D01* -X102621450Y-100473800D01* -X101786300Y-100473800D01* -X101786300Y-100493800D01* -X101638700Y-100493800D01* -X101638700Y-100473800D01* -X100803550Y-100473800D01* -X100746400Y-100530950D01* -X100745294Y-100550000D01* -X100749708Y-100594813D01* -X100762779Y-100637905D01* -X100784006Y-100677618D01* -X100784570Y-100678306D01* -X100768488Y-100708394D01* -X100751149Y-100765555D01* -X100745294Y-100825000D01* -X100745294Y-100962860D01* -X100689603Y-100939792D01* -X100597138Y-100921400D01* -X100502862Y-100921400D01* -X100410397Y-100939792D01* -X100323298Y-100975870D01* -X100244910Y-101028247D01* -X100178247Y-101094910D01* -X100125870Y-101173298D01* -X100089792Y-101260397D01* -X100089785Y-101260431D01* -X100026836Y-101197482D01* -X100074130Y-101126702D01* -X100110208Y-101039603D01* -X100128600Y-100947138D01* -X100128600Y-100852862D01* -X100110208Y-100760397D01* -X100074130Y-100673298D01* -X100021753Y-100594910D01* -X99955090Y-100528247D01* -X99876702Y-100475870D01* -X99789603Y-100439792D01* -X99697138Y-100421400D01* -X99602862Y-100421400D01* -X99510397Y-100439792D01* -X99423298Y-100475870D01* -X99344910Y-100528247D01* -X99278247Y-100594910D01* -X99225870Y-100673298D01* -X99189792Y-100760397D01* -X99171400Y-100852862D01* -X99171400Y-100947138D01* -X99189792Y-101039603D01* -X99225870Y-101126702D01* -X99278247Y-101205090D01* -X99344910Y-101271753D01* -X99347227Y-101273301D01* -X99350794Y-101309516D01* -X99368154Y-101366744D01* -X99396346Y-101419487D01* -X99434285Y-101465716D01* -X99445867Y-101475221D01* -X99967045Y-101996400D01* -X99764903Y-101996400D01* -X99752640Y-101995192D01* -X99739603Y-101989792D01* -X99647138Y-101971400D01* -X99552862Y-101971400D01* -X99460397Y-101989792D01* -X99373298Y-102025870D01* -X99294910Y-102078247D01* -X99228247Y-102144910D01* -X99175870Y-102223298D01* -X99139792Y-102310397D01* -X99121400Y-102402862D01* -X99121400Y-102497138D01* -X99139792Y-102589603D01* -X99175870Y-102676702D01* -X99228247Y-102755090D01* -X99294910Y-102821753D01* -X99373298Y-102874130D01* -X99460397Y-102910208D01* -X99552862Y-102928600D01* -X99647138Y-102928600D01* -X99739603Y-102910208D01* -X99826702Y-102874130D01* -X99905090Y-102821753D01* -X99971753Y-102755090D01* -X100024130Y-102676702D01* -X100054410Y-102603600D01* -X100624245Y-102603600D01* -X100624778Y-102604133D01* -X100634284Y-102615716D01* -X100680513Y-102653655D01* -X100733256Y-102681846D01* -X100754805Y-102688383D01* -X100749708Y-102705187D01* -X100745294Y-102750000D01* -X100746400Y-102769050D01* -X100803550Y-102826200D01* -X101638700Y-102826200D01* -X101638700Y-102806200D01* -X101786300Y-102806200D01* -X101786300Y-102826200D01* -X102621450Y-102826200D01* -X102678600Y-102769050D01* -X102679706Y-102750000D01* -X102675292Y-102705187D01* -X102662221Y-102662095D01* -X102640994Y-102622382D01* -X102640430Y-102621694D01* -X102656512Y-102591606D01* -X102673851Y-102534445D01* -X102679706Y-102475000D01* -X102679706Y-102325000D01* -X102673851Y-102265555D01* -X102656512Y-102208394D01* -X102628354Y-102155714D01* -X102623665Y-102150000D01* -X102628354Y-102144286D01* -X102656512Y-102091606D01* -X102673851Y-102034445D01* -X102676856Y-102003939D01* -X102732818Y-102049866D01* -X102815962Y-102094308D01* -X102906178Y-102121674D01* -X102976496Y-102128600D01* -X102976503Y-102128600D01* -X102999999Y-102130914D01* -X103023495Y-102128600D01* -X103121401Y-102128600D01* -X103121401Y-102538083D01* -X103121400Y-102538088D01* -X103121400Y-102661912D01* -X103121401Y-102661917D01* -X103121401Y-103071400D01* -X102888376Y-103071400D01* -X102845585Y-103048528D01* -X102774218Y-103026879D01* -X102718590Y-103021400D01* -X102718582Y-103021400D01* -X102700000Y-103019570D01* -X102681418Y-103021400D01* -X102669050Y-103021400D01* -X102621450Y-102973800D01* -X101786300Y-102973800D01* -X101786300Y-102993800D01* -X101638700Y-102993800D01* -X101638700Y-102973800D01* -X100803550Y-102973800D01* -X100755950Y-103021400D01* -X100668579Y-103021400D01* -X100649999Y-103019570D01* -X100631419Y-103021400D01* -X100631410Y-103021400D01* -X100608488Y-103023658D01* -X100597138Y-103021400D01* -X100502862Y-103021400D01* -X100410397Y-103039792D01* -X100323298Y-103075870D01* -X100244910Y-103128247D01* -X100178247Y-103194910D01* -X100125870Y-103273298D01* -X100089792Y-103360397D01* -X100071400Y-103452862D01* -X100071400Y-103547138D01* -X100089792Y-103639603D01* -X100113318Y-103696400D01* -X99972749Y-103696400D01* -X99971753Y-103694910D01* -X99905090Y-103628247D01* -X99826702Y-103575870D01* -X99739603Y-103539792D01* -X99647138Y-103521400D01* -X99552862Y-103521400D01* -X99460397Y-103539792D01* -X99373298Y-103575870D01* -X99294910Y-103628247D01* -X99228247Y-103694910D01* -X99175870Y-103773298D01* -X99139792Y-103860397D01* -X99121400Y-103952862D01* -X99121400Y-104047138D01* -X99139792Y-104139603D01* -X99175870Y-104226702D01* -X99228247Y-104305090D01* -X99294910Y-104371753D01* -X99373298Y-104424130D01* -X99460397Y-104460208D01* -X99552862Y-104478600D01* -X99647138Y-104478600D01* -X99739603Y-104460208D01* -X99826702Y-104424130D01* -X99905090Y-104371753D01* -X99971753Y-104305090D01* -X99972749Y-104303600D01* -X100063318Y-104303600D01* -X100039792Y-104360397D01* -X100021400Y-104452862D01* -X100021400Y-104547138D01* -X100039792Y-104639603D01* -X100075870Y-104726702D01* -X100128247Y-104805090D01* -X100194910Y-104871753D01* -X100210240Y-104881996D01* -X99946118Y-105146118D01* -X99936642Y-105157666D01* -X99929600Y-105170840D01* -X99925264Y-105185134D01* -X99923800Y-105200000D01* -X99923800Y-107318436D01* -X99718436Y-107523800D01* -X98650000Y-107523800D01* -X98635134Y-107525264D01* -X98620840Y-107529600D01* -X98607666Y-107536642D01* -X98596118Y-107546118D01* -X98296118Y-107846118D01* -X98286642Y-107857666D01* -X98279600Y-107870840D01* -X98275264Y-107885134D01* -X98273800Y-107900000D01* -X98273800Y-108072695D01* -X98273478Y-108073298D01* -X98268155Y-108083256D01* -X98261618Y-108104805D01* -X98244813Y-108099708D01* -X98200000Y-108095294D01* -X98180950Y-108096400D01* -X98123800Y-108153550D01* -X97976200Y-108153550D01* -X97928600Y-108105950D01* -X97928600Y-108018579D01* -X97930430Y-107999999D01* -X97928600Y-107981419D01* -X97928600Y-107981410D01* -X97926342Y-107958488D01* -X97928600Y-107947138D01* -X97928600Y-107852862D01* -X97910208Y-107760397D01* -X97874130Y-107673298D01* -X97821753Y-107594910D01* -X97755090Y-107528247D01* -X97676702Y-107475870D01* -X97624930Y-107454425D01* -X97667696Y-107411660D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97939603Y-107410208D01* -X98026702Y-107374130D01* -X98105090Y-107321753D01* -X98171753Y-107255090D01* -X98224130Y-107176702D01* -X98260208Y-107089603D01* -X98278600Y-106997138D01* -X98278600Y-106902862D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97322298Y-106898346D01* -X96745872Y-107474774D01* -X96734284Y-107484284D01* -X96696345Y-107530514D01* -X96668154Y-107583257D01* -X96650794Y-107640484D01* -X96650794Y-107640485D01* -X96644932Y-107700000D01* -X96646400Y-107714904D01* -X96646400Y-108085096D01* -X96645200Y-108097284D01* -X96625000Y-108095294D01* -X96475000Y-108095294D01* -X96415555Y-108101149D01* -X96358394Y-108118488D01* -X96305714Y-108146646D01* -X96300000Y-108151335D01* -X96294286Y-108146646D01* -X96241606Y-108118488D01* -X96184445Y-108101149D01* -X96125000Y-108095294D01* -X95975000Y-108095294D01* -X95915555Y-108101149D01* -X95858394Y-108118488D01* -X95805714Y-108146646D01* -X95800000Y-108151335D01* -X95794286Y-108146646D01* -X95741606Y-108118488D01* -X95684445Y-108101149D01* -X95625000Y-108095294D01* -X95475000Y-108095294D01* -X95415555Y-108101149D01* -X95358394Y-108118488D01* -X95305714Y-108146646D01* -X95300000Y-108151335D01* -X95294286Y-108146646D01* -X95241606Y-108118488D01* -X95184445Y-108101149D01* -X95125000Y-108095294D01* -X94975000Y-108095294D01* -X94915555Y-108101149D01* -X94858394Y-108118488D01* -X94805714Y-108146646D01* -X94800000Y-108151335D01* -X94794286Y-108146646D01* -X94741606Y-108118488D01* -X94684445Y-108101149D01* -X94625000Y-108095294D01* -X94475000Y-108095294D01* -X94415555Y-108101149D01* -X94358394Y-108118488D01* -X94305714Y-108146646D01* -X94300000Y-108151335D01* -X94294286Y-108146646D01* -X94241606Y-108118488D01* -X94184445Y-108101149D01* -X94125000Y-108095294D01* -X93975000Y-108095294D01* -X93915555Y-108101149D01* -X93858394Y-108118488D01* -X93805714Y-108146646D01* -X93800000Y-108151335D01* -X93794286Y-108146646D01* -X93741606Y-108118488D01* -X93684445Y-108101149D01* -X93625000Y-108095294D01* -X93475000Y-108095294D01* -X93415555Y-108101149D01* -X93358394Y-108118488D01* -X93305714Y-108146646D01* -X93300000Y-108151335D01* -X93294286Y-108146646D01* -X93241606Y-108118488D01* -X93184445Y-108101149D01* -X93125000Y-108095294D01* -X92975000Y-108095294D01* -X92915555Y-108101149D01* -X92858394Y-108118488D01* -X92805714Y-108146646D01* -X92800000Y-108151335D01* -X92794286Y-108146646D01* -X92741606Y-108118488D01* -X92684445Y-108101149D01* -X92625000Y-108095294D01* -X92475000Y-108095294D01* -X92415555Y-108101149D01* -X92358394Y-108118488D01* -X92305714Y-108146646D01* -X92300000Y-108151335D01* -X92294286Y-108146646D01* -X92241606Y-108118488D01* -X92184445Y-108101149D01* -X92125000Y-108095294D01* -X91975000Y-108095294D01* -X91915555Y-108101149D01* -X91858394Y-108118488D01* -X91805714Y-108146646D01* -X91800000Y-108151335D01* -X91794286Y-108146646D01* -X91741606Y-108118488D01* -X91684445Y-108101149D01* -X91625000Y-108095294D01* -X91475000Y-108095294D01* -X91415555Y-108101149D01* -X91358394Y-108118488D01* -X91328306Y-108134570D01* -X91327618Y-108134006D01* -X91287905Y-108112779D01* -X91244813Y-108099708D01* -X91200000Y-108095294D01* -X91180950Y-108096400D01* -X91123800Y-108153550D01* -X91123800Y-108988700D01* -X91143800Y-108988700D01* -X91143800Y-109136300D01* -X91123800Y-109136300D01* -X91123800Y-109971450D01* -X91180950Y-110028600D01* -X91200000Y-110029706D01* -X91244813Y-110025292D01* -X91287905Y-110012221D01* -X91327618Y-109990994D01* -X91328306Y-109990430D01* -X91358394Y-110006512D01* -X91415555Y-110023851D01* -X91475000Y-110029706D01* -X91625000Y-110029706D01* -X91684445Y-110023851D01* -X91741606Y-110006512D01* -X91755442Y-109999116D01* -X91760232Y-110014903D01* -X91768155Y-110041022D01* -X91796346Y-110093765D01* -X91834285Y-110139994D01* -X91845867Y-110149499D01* -X92646400Y-110950034D01* -X92646401Y-113367047D01* -X92425226Y-113145872D01* -X92415716Y-113134284D01* -X92369487Y-113096345D01* -X92316744Y-113068154D01* -X92259516Y-113050794D01* -X92214904Y-113046400D01* -X92200000Y-113044932D01* -X92185096Y-113046400D01* -X85800756Y-113046400D01* -X83303600Y-110549246D01* -X83303600Y-109667369D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83426702Y-108775870D01* -X83339603Y-108739792D01* -X83247138Y-108721400D01* -X83152862Y-108721400D01* -X83060397Y-108739792D01* -X83003600Y-108763318D01* -X83003600Y-108672749D01* -X83005090Y-108671753D01* -X83071753Y-108605090D01* -X83124130Y-108526702D01* -X83160208Y-108439603D01* -X83178600Y-108347138D01* -X83178600Y-108252862D01* -X83160208Y-108160397D01* -X83124130Y-108073298D01* -X83071753Y-107994910D01* -X83005090Y-107928247D01* -X82926702Y-107875870D01* -X82839603Y-107839792D01* -X82747138Y-107821400D01* -X82652862Y-107821400D01* -X82560397Y-107839792D01* -X82473298Y-107875870D01* -X82394910Y-107928247D01* -X82328247Y-107994910D01* -X82275870Y-108073298D01* -X82239792Y-108160397D01* -X82221400Y-108252862D01* -X82221400Y-108347138D01* -X82239792Y-108439603D01* -X82275870Y-108526702D01* -X82328247Y-108605090D01* -X82394910Y-108671753D01* -X82396400Y-108672749D01* -X82396400Y-108763318D01* -X82339603Y-108739792D01* -X82247138Y-108721400D01* -X82152862Y-108721400D01* -X82060397Y-108739792D01* -X81973298Y-108775870D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X81654706Y-109152862D01* -X81654706Y-109125000D01* -X81646930Y-109046046D01* -X81623900Y-108970126D01* -X81586501Y-108900157D01* -X81536170Y-108838830D01* -X81474843Y-108788499D01* -X81404874Y-108751100D01* -X81328954Y-108728070D01* -X81250000Y-108720294D01* -X80650000Y-108720294D01* -X80571046Y-108728070D01* -X80495126Y-108751100D01* -X80425157Y-108788499D01* -X80363830Y-108838830D01* -X80313499Y-108900157D01* -X80284244Y-108954889D01* -X80216891Y-108887536D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79375870Y-108423298D01* -X79339792Y-108510397D01* -X79321400Y-108602862D01* -X78932217Y-108602862D01* -X77932217Y-107602862D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80293689Y-108062780D01* -X80313499Y-108099843D01* -X80363830Y-108161170D01* -X80425157Y-108211501D01* -X80495126Y-108248900D01* -X80571046Y-108271930D01* -X80650000Y-108279706D01* -X81250000Y-108279706D01* -X81328954Y-108271930D01* -X81404874Y-108248900D01* -X81474843Y-108211501D01* -X81536170Y-108161170D01* -X81586501Y-108099843D01* -X81623900Y-108029874D01* -X81646930Y-107953954D01* -X81654706Y-107875000D01* -X81654706Y-107525000D01* -X81646930Y-107446046D01* -X81623900Y-107370126D01* -X81586501Y-107300157D01* -X81536170Y-107238830D01* -X81474843Y-107188499D01* -X81404874Y-107151100D01* -X81328954Y-107128070D01* -X81250000Y-107120294D01* -X80650000Y-107120294D01* -X80571046Y-107128070D01* -X80495126Y-107151100D01* -X80425157Y-107188499D01* -X80363830Y-107238830D01* -X80339842Y-107268059D01* -X80276702Y-107225870D01* -X80189603Y-107189792D01* -X80097138Y-107171400D01* -X80002862Y-107171400D01* -X79910397Y-107189792D01* -X79823298Y-107225870D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X77932217Y-107602862D01* -X75503600Y-105174246D01* -X75503600Y-97911000D01* -X76636294Y-97911000D01* -X76640708Y-97955813D01* -X76653779Y-97998905D01* -X76675006Y-98038618D01* -X76703573Y-98073427D01* -X76738382Y-98101994D01* -X76778095Y-98123221D01* -X76821187Y-98136292D01* -X76866000Y-98140706D01* -X77085050Y-98139600D01* -X77142200Y-98082450D01* -X77142200Y-97134800D01* -X77289800Y-97134800D01* -X77289800Y-98082450D01* -X77346950Y-98139600D01* -X77566000Y-98140706D01* -X77610813Y-98136292D01* -X77653905Y-98123221D01* -X77693618Y-98101994D01* -X77728427Y-98073427D01* -X77756994Y-98038618D01* -X77778221Y-97998905D01* -X77791292Y-97955813D01* -X77795706Y-97911000D01* -X77794600Y-97191950D01* -X77737450Y-97134800D01* -X77289800Y-97134800D01* -X77142200Y-97134800D01* -X76694550Y-97134800D01* -X76637400Y-97191950D01* -X76636294Y-97911000D01* -X75503600Y-97911000D01* -X75503600Y-97225754D01* -X76518354Y-96211000D01* -X76636294Y-96211000D01* -X76637400Y-96930050D01* -X76694550Y-96987200D01* -X77142200Y-96987200D01* -X77142200Y-96039550D01* -X77289800Y-96039550D01* -X77289800Y-96987200D01* -X77737450Y-96987200D01* -X77794600Y-96930050D01* -X77795706Y-96211000D01* -X77791292Y-96166187D01* -X77778221Y-96123095D01* -X77756994Y-96083382D01* -X77728427Y-96048573D01* -X77693618Y-96020006D01* -X77653905Y-95998779D01* -X77610813Y-95985708D01* -X77566000Y-95981294D01* -X77346950Y-95982400D01* -X77289800Y-96039550D01* -X77142200Y-96039550D01* -X77085050Y-95982400D01* -X76866000Y-95981294D01* -X76821187Y-95985708D01* -X76778095Y-95998779D01* -X76738382Y-96020006D01* -X76703573Y-96048573D01* -X76675006Y-96083382D01* -X76653779Y-96123095D01* -X76640708Y-96166187D01* -X76636294Y-96211000D01* -X76518354Y-96211000D01* -X77018492Y-95710862D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77835292Y-95897603D01* -X77871370Y-95984702D01* -X77923747Y-96063090D01* -X77990410Y-96129753D01* -X77996779Y-96134009D01* -X77974499Y-96161157D01* -X77937100Y-96231126D01* -X77914070Y-96307046D01* -X77906294Y-96386000D01* -X77906294Y-97736000D01* -X77914070Y-97814954D01* -X77937100Y-97890874D01* -X77974499Y-97960843D01* -X78024830Y-98022170D01* -X78086157Y-98072501D01* -X78156126Y-98109900D01* -X78232046Y-98132930D01* -X78311000Y-98140706D01* -X78661000Y-98140706D01* -X78739954Y-98132930D01* -X78815874Y-98109900D01* -X78885843Y-98072501D01* -X78947170Y-98022170D01* -X78997501Y-97960843D01* -X79034900Y-97890874D01* -X79057930Y-97814954D01* -X79065706Y-97736000D01* -X79065706Y-96386000D01* -X79057930Y-96307046D01* -X79034900Y-96231126D01* -X78997501Y-96161157D01* -X78947170Y-96099830D01* -X78885843Y-96049499D01* -X78815874Y-96012100D01* -X78789600Y-96004130D01* -X78789600Y-95963404D01* -X78791068Y-95948500D01* -X78785206Y-95888984D01* -X78780964Y-95875000D01* -X78768425Y-95833666D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X77018492Y-95710862D01* -X77625756Y-95103600D01* -X81524246Y-95103600D01* -X82696401Y-96275757D01* -X82696400Y-97585096D01* -X82694932Y-97600000D01* -X82696400Y-97614903D01* -X82700794Y-97659515D01* -X82718154Y-97716743D01* -X82746345Y-97769486D01* -X82784284Y-97815716D01* -X82795871Y-97825225D01* -X83174783Y-98204139D01* -X83184284Y-98215716D01* -X83197469Y-98226537D01* -X83194910Y-98228247D01* -X83178193Y-98244964D01* -X83159942Y-98259942D01* -X83144964Y-98278193D01* -X83128247Y-98294910D01* -X83115112Y-98314568D01* -X83100134Y-98332819D01* -X83089005Y-98353641D01* -X83075870Y-98373298D01* -X83066823Y-98395140D01* -X83055693Y-98415962D01* -X83048840Y-98438554D01* -X83039792Y-98460397D01* -X83035180Y-98483586D01* -X83028326Y-98506179D01* -X83026012Y-98529676D01* -X83021400Y-98552862D01* -X83021400Y-98576504D01* -X83019086Y-98600000D01* -X83021400Y-98623496D01* -X83021400Y-98647138D01* -X83026012Y-98670324D01* -X83028326Y-98693821D01* -X83035180Y-98716414D01* -X83039792Y-98739603D01* -X83048840Y-98761446D01* -X83055693Y-98784038D01* -X83066823Y-98804860D01* -X83075870Y-98826702D01* -X83089005Y-98846359D01* -X83100134Y-98867181D01* -X83115112Y-98885432D01* -X83128247Y-98905090D01* -X83194910Y-98971753D01* -X83194913Y-98971755D01* -X83294958Y-99071800D01* -X83309942Y-99090058D01* -X83382818Y-99149866D01* -X83465962Y-99194308D01* -X83556178Y-99221674D01* -X83626496Y-99228600D01* -X83626503Y-99228600D01* -X83649999Y-99230914D01* -X83673495Y-99228600D01* -X83736580Y-99228600D01* -X83774813Y-99275187D01* -X83841824Y-99330181D01* -X83918275Y-99371045D01* -X84001230Y-99396209D01* -X84087500Y-99404706D01* -X84612500Y-99404706D01* -X84698770Y-99396209D01* -X84771400Y-99374177D01* -X84771400Y-99397138D01* -X84789792Y-99489603D01* -X84792193Y-99495399D01* -X84480950Y-99496400D01* -X84423800Y-99553550D01* -X84423800Y-100076200D01* -X84996450Y-100076200D01* -X85053600Y-100019050D01* -X85054473Y-99787043D01* -X85110397Y-99810208D01* -X85202862Y-99828600D01* -X85297138Y-99828600D01* -X85389603Y-99810208D01* -X85441190Y-99788840D01* -X85478550Y-99826200D01* -X86123800Y-99826200D01* -X86123800Y-99973800D01* -X85478550Y-99973800D01* -X85421400Y-100030950D01* -X85420294Y-100050000D01* -X85424708Y-100094813D01* -X85437779Y-100137905D01* -X85444244Y-100150000D01* -X85437779Y-100162095D01* -X85424708Y-100205187D01* -X85420294Y-100250000D01* -X85421400Y-100269050D01* -X85478550Y-100326200D01* -X86123800Y-100326200D01* -X86123800Y-100473800D01* -X85478550Y-100473800D01* -X85421400Y-100530950D01* -X85420294Y-100550000D01* -X85424708Y-100594813D01* -X85425189Y-100596400D01* -X85052598Y-100596400D01* -X85054706Y-100575000D01* -X85053600Y-100280950D01* -X84996450Y-100223800D01* -X84423800Y-100223800D01* -X84423800Y-100243800D01* -X84276200Y-100243800D01* -X84276200Y-100223800D01* -X83703550Y-100223800D01* -X83646400Y-100280950D01* -X83645294Y-100575000D01* -X83647402Y-100596400D01* -X80724904Y-100596400D01* -X80710000Y-100594932D01* -X80695096Y-100596400D01* -X80650484Y-100600794D01* -X80593256Y-100618154D01* -X80540513Y-100646345D01* -X80494284Y-100684284D01* -X80484779Y-100695866D01* -X78534896Y-102645750D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78346397Y-102663792D01* -X78259298Y-102699870D01* -X78180910Y-102752247D01* -X78114247Y-102818910D01* -X78061870Y-102897298D01* -X78025792Y-102984397D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78069952Y-103362798D01* -X78024830Y-103399830D01* -X77974499Y-103461157D01* -X77937100Y-103531126D01* -X77914070Y-103607046D01* -X77906294Y-103686000D01* -X77906294Y-105036000D01* -X77914070Y-105114954D01* -X77937100Y-105190874D01* -X77974499Y-105260843D01* -X78024830Y-105322170D01* -X78086157Y-105372501D01* -X78156126Y-105409900D01* -X78232046Y-105432930D01* -X78311000Y-105440706D01* -X78661000Y-105440706D01* -X78739954Y-105432930D01* -X78815874Y-105409900D01* -X78885843Y-105372501D01* -X78947170Y-105322170D01* -X78997501Y-105260843D01* -X79034900Y-105190874D01* -X79057930Y-105114954D01* -X79065706Y-105036000D01* -X79065706Y-103686000D01* -X79176294Y-103686000D01* -X79176294Y-105036000D01* -X79184070Y-105114954D01* -X79207100Y-105190874D01* -X79244499Y-105260843D01* -X79294830Y-105322170D01* -X79356157Y-105372501D01* -X79426126Y-105409900D01* -X79502046Y-105432930D01* -X79581000Y-105440706D01* -X79931000Y-105440706D01* -X80009954Y-105432930D01* -X80085874Y-105409900D01* -X80155843Y-105372501D01* -X80217170Y-105322170D01* -X80267501Y-105260843D01* -X80304900Y-105190874D01* -X80327930Y-105114954D01* -X80335706Y-105036000D01* -X80335706Y-103686000D01* -X80446294Y-103686000D01* -X80446294Y-105036000D01* -X80454070Y-105114954D01* -X80477100Y-105190874D01* -X80514499Y-105260843D01* -X80547401Y-105300933D01* -X80547401Y-105553357D01* -X80547400Y-105553362D01* -X80547400Y-105647638D01* -X80552013Y-105670831D01* -X80554327Y-105694322D01* -X80561179Y-105716909D01* -X80565792Y-105740103D01* -X80574841Y-105761948D01* -X80581693Y-105784538D01* -X80592822Y-105805359D01* -X80601870Y-105827202D01* -X80615006Y-105846862D01* -X80626135Y-105867682D01* -X80641110Y-105885929D01* -X80654247Y-105905590D01* -X80670968Y-105922311D01* -X80685943Y-105940558D01* -X80704190Y-105955533D01* -X80720910Y-105972253D01* -X80740570Y-105985389D01* -X80758819Y-106000366D01* -X80779642Y-106011496D01* -X80799298Y-106024630D01* -X80821137Y-106033676D01* -X80841963Y-106044808D01* -X80864558Y-106051662D01* -X80886397Y-106060708D01* -X80909584Y-106065320D01* -X80932179Y-106072174D01* -X80955678Y-106074489D01* -X80978862Y-106079100D01* -X81002497Y-106079100D01* -X81026000Y-106081415D01* -X81049504Y-106079100D01* -X81073138Y-106079100D01* -X81096321Y-106074489D01* -X81119822Y-106072174D01* -X81142419Y-106065320D01* -X81165603Y-106060708D01* -X81187439Y-106051663D01* -X81210038Y-106044808D01* -X81230867Y-106033674D01* -X81252702Y-106024630D01* -X81272354Y-106011499D01* -X81293182Y-106000366D01* -X81311436Y-105985386D01* -X81331090Y-105972253D01* -X81347806Y-105955537D01* -X81366058Y-105940558D01* -X81381037Y-105922306D01* -X81397753Y-105905590D01* -X81410886Y-105885936D01* -X81425866Y-105867682D01* -X81436999Y-105846854D01* -X81450130Y-105827202D01* -X81459174Y-105805367D01* -X81470308Y-105784538D01* -X81477163Y-105761939D01* -X81486208Y-105740103D01* -X81490820Y-105716919D01* -X81497674Y-105694322D01* -X81499989Y-105670821D01* -X81504600Y-105647638D01* -X81504600Y-105300932D01* -X81537501Y-105260843D01* -X81574900Y-105190874D01* -X81597930Y-105114954D01* -X81605706Y-105036000D01* -X81605706Y-104839600D01* -X81865504Y-104839600D01* -X81889000Y-104841914D01* -X81912496Y-104839600D01* -X81912504Y-104839600D01* -X81982822Y-104832674D01* -X82073038Y-104805308D01* -X82121134Y-104779600D01* -X82186580Y-104779600D01* -X82224813Y-104826187D01* -X82291824Y-104881181D01* -X82321401Y-104896990D01* -X82321401Y-105052857D01* -X82321400Y-105052862D01* -X82321400Y-105147138D01* -X82326013Y-105170331D01* -X82328327Y-105193822D01* -X82335179Y-105216409D01* -X82339792Y-105239603D01* -X82348841Y-105261448D01* -X82355693Y-105284038D01* -X82366822Y-105304859D01* -X82375870Y-105326702D01* -X82389006Y-105346362D01* -X82400135Y-105367182D01* -X82415110Y-105385429D01* -X82428247Y-105405090D01* -X82444968Y-105421811D01* -X82459943Y-105440058D01* -X82478190Y-105455033D01* -X82494910Y-105471753D01* -X82514570Y-105484889D01* -X82532819Y-105499866D01* -X82553642Y-105510996D01* -X82573298Y-105524130D01* -X82595137Y-105533176D01* -X82615963Y-105544308D01* -X82638558Y-105551162D01* -X82660397Y-105560208D01* -X82683584Y-105564820D01* -X82706179Y-105571674D01* -X82729678Y-105573989D01* -X82752862Y-105578600D01* -X82776497Y-105578600D01* -X82800000Y-105580915D01* -X82823504Y-105578600D01* -X82847138Y-105578600D01* -X82870321Y-105573989D01* -X82893822Y-105571674D01* -X82916419Y-105564820D01* -X82939603Y-105560208D01* -X82961439Y-105551163D01* -X82984038Y-105544308D01* -X83004867Y-105533174D01* -X83026702Y-105524130D01* -X83046354Y-105510999D01* -X83067182Y-105499866D01* -X83085436Y-105484886D01* -X83105090Y-105471753D01* -X83121806Y-105455037D01* -X83140058Y-105440058D01* -X83155037Y-105421806D01* -X83171753Y-105405090D01* -X83184886Y-105385436D01* -X83199866Y-105367182D01* -X83210999Y-105346354D01* -X83224130Y-105326702D01* -X83233174Y-105304867D01* -X83244308Y-105284038D01* -X83251163Y-105261439D01* -X83260208Y-105239603D01* -X83264820Y-105216419D01* -X83271674Y-105193822D01* -X83273989Y-105170321D01* -X83278600Y-105147138D01* -X83278600Y-104896990D01* -X83308176Y-104881181D01* -X83375187Y-104826187D01* -X83430181Y-104759176D01* -X83471045Y-104682725D01* -X83496209Y-104599770D01* -X83504706Y-104513500D01* -X83504706Y-104088500D01* -X83496209Y-104002230D01* -X83471045Y-103919275D01* -X83430181Y-103842824D01* -X83375187Y-103775813D01* -X83308176Y-103720819D01* -X83231725Y-103679955D01* -X83148770Y-103654791D01* -X83062500Y-103646294D01* -X82537500Y-103646294D01* -X82451230Y-103654791D01* -X82368275Y-103679955D01* -X82291824Y-103720819D01* -X82224813Y-103775813D01* -X82186580Y-103822400D01* -X82002165Y-103822400D01* -X81997138Y-103821400D01* -X81973496Y-103821400D01* -X81950000Y-103819086D01* -X81949999Y-103819086D01* -X81926503Y-103821400D01* -X81902862Y-103821400D01* -X81879676Y-103826012D01* -X81856179Y-103828326D01* -X81833586Y-103835180D01* -X81810397Y-103839792D01* -X81788554Y-103848840D01* -X81765962Y-103855693D01* -X81745140Y-103866823D01* -X81723298Y-103875870D01* -X81713525Y-103882400D01* -X81605706Y-103882400D01* -X81605706Y-103686000D01* -X81597930Y-103607046D01* -X81574900Y-103531126D01* -X81537501Y-103461157D01* -X81487170Y-103399830D01* -X81425843Y-103349499D01* -X81355874Y-103312100D01* -X81279954Y-103289070D01* -X81201000Y-103281294D01* -X80851000Y-103281294D01* -X80772046Y-103289070D01* -X80696126Y-103312100D01* -X80626157Y-103349499D01* -X80564830Y-103399830D01* -X80514499Y-103461157D01* -X80477100Y-103531126D01* -X80454070Y-103607046D01* -X80446294Y-103686000D01* -X80335706Y-103686000D01* -X80327930Y-103607046D01* -X80304900Y-103531126D01* -X80267501Y-103461157D01* -X80217170Y-103399830D01* -X80172048Y-103362798D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80223687Y-103226000D01* -X82095294Y-103226000D01* -X82099708Y-103270813D01* -X82112779Y-103313905D01* -X82134006Y-103353618D01* -X82162573Y-103388427D01* -X82197382Y-103416994D01* -X82237095Y-103438221D01* -X82280187Y-103451292D01* -X82325000Y-103455706D01* -X82669050Y-103454600D01* -X82726200Y-103397450D01* -X82726200Y-102874800D01* -X82873800Y-102874800D01* -X82873800Y-103397450D01* -X82930950Y-103454600D01* -X83275000Y-103455706D01* -X83319813Y-103451292D01* -X83362905Y-103438221D01* -X83402618Y-103416994D01* -X83437427Y-103388427D01* -X83465994Y-103353618D01* -X83487221Y-103313905D01* -X83500292Y-103270813D01* -X83504706Y-103226000D01* -X83503600Y-102931950D01* -X83446450Y-102874800D01* -X82873800Y-102874800D01* -X82726200Y-102874800D01* -X82153550Y-102874800D01* -X82096400Y-102931950D01* -X82095294Y-103226000D01* -X80223687Y-103226000D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79616397Y-102663792D01* -X79529298Y-102699870D01* -X79450910Y-102752247D01* -X79384247Y-102818910D01* -X79331870Y-102897298D01* -X79295792Y-102984397D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79339952Y-103362798D01* -X79294830Y-103399830D01* -X79244499Y-103461157D01* -X79207100Y-103531126D01* -X79184070Y-103607046D01* -X79176294Y-103686000D01* -X79065706Y-103686000D01* -X79057930Y-103607046D01* -X79034900Y-103531126D01* -X78997501Y-103461157D01* -X78947170Y-103399830D01* -X78902048Y-103362798D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X78964250Y-103075104D01* -X79663354Y-102376000D01* -X82095294Y-102376000D01* -X82096400Y-102670050D01* -X82153550Y-102727200D01* -X82726200Y-102727200D01* -X82726200Y-102204550D01* -X82873800Y-102204550D01* -X82873800Y-102727200D01* -X83446450Y-102727200D01* -X83503600Y-102670050D01* -X83504706Y-102376000D01* -X83500292Y-102331187D01* -X83487221Y-102288095D01* -X83465994Y-102248382D01* -X83437427Y-102213573D01* -X83402618Y-102185006D01* -X83362905Y-102163779D01* -X83319813Y-102150708D01* -X83275000Y-102146294D01* -X82930950Y-102147400D01* -X82873800Y-102204550D01* -X82726200Y-102204550D01* -X82669050Y-102147400D01* -X82325000Y-102146294D01* -X82280187Y-102150708D01* -X82237095Y-102163779D01* -X82197382Y-102185006D01* -X82162573Y-102213573D01* -X82134006Y-102248382D01* -X82112779Y-102288095D01* -X82099708Y-102331187D01* -X82095294Y-102376000D01* -X79663354Y-102376000D01* -X80835755Y-101203600D01* -X83122441Y-101203600D01* -X83115112Y-101214568D01* -X83100134Y-101232819D01* -X83089005Y-101253641D01* -X83075870Y-101273298D01* -X83066823Y-101295140D01* -X83055693Y-101315962D01* -X83048840Y-101338554D01* -X83039792Y-101360397D01* -X83035180Y-101383586D01* -X83028326Y-101406179D01* -X83026012Y-101429676D01* -X83021400Y-101452862D01* -X83021400Y-101476504D01* -X83019086Y-101500000D01* -X83021400Y-101523496D01* -X83021400Y-101547138D01* -X83026012Y-101570324D01* -X83028326Y-101593821D01* -X83035180Y-101616414D01* -X83039792Y-101639603D01* -X83048840Y-101661446D01* -X83055693Y-101684038D01* -X83066823Y-101704860D01* -X83075870Y-101726702D01* -X83089005Y-101746359D01* -X83100134Y-101767181D01* -X83115112Y-101785432D01* -X83128247Y-101805090D01* -X83194910Y-101871753D01* -X83194913Y-101871755D01* -X83294958Y-101971800D01* -X83309942Y-101990058D01* -X83382818Y-102049866D01* -X83465962Y-102094308D01* -X83556178Y-102121674D01* -X83626496Y-102128600D01* -X83626503Y-102128600D01* -X83649999Y-102130914D01* -X83673495Y-102128600D01* -X83736580Y-102128600D01* -X83774813Y-102175187D01* -X83828550Y-102219287D01* -X83825870Y-102223298D01* -X83816823Y-102245140D01* -X83805693Y-102265962D01* -X83798840Y-102288554D01* -X83789792Y-102310397D01* -X83785180Y-102333586D01* -X83778326Y-102356179D01* -X83776012Y-102379676D01* -X83771400Y-102402862D01* -X83771400Y-102426504D01* -X83769086Y-102450000D01* -X83771400Y-102473496D01* -X83771400Y-102497138D01* -X83776012Y-102520324D01* -X83778326Y-102543821D01* -X83785180Y-102566414D01* -X83789792Y-102589603D01* -X83798840Y-102611446D01* -X83805693Y-102634038D01* -X83816823Y-102654860D01* -X83825870Y-102676702D01* -X83839005Y-102696359D01* -X83850134Y-102717181D01* -X83865112Y-102735432D01* -X83878247Y-102755090D01* -X83894964Y-102771807D01* -X83909942Y-102790058D01* -X83928193Y-102805036D01* -X83944910Y-102821753D01* -X83964568Y-102834888D01* -X83982819Y-102849866D01* -X84003641Y-102860995D01* -X84023298Y-102874130D01* -X84045140Y-102883177D01* -X84065962Y-102894307D01* -X84088554Y-102901160D01* -X84110397Y-102910208D01* -X84133586Y-102914820D01* -X84156179Y-102921674D01* -X84179676Y-102923988D01* -X84202862Y-102928600D01* -X84226504Y-102928600D01* -X84250000Y-102930914D01* -X84273496Y-102928600D01* -X84297138Y-102928600D01* -X84320324Y-102923988D01* -X84343821Y-102921674D01* -X84366414Y-102914820D01* -X84389603Y-102910208D01* -X84411446Y-102901160D01* -X84434038Y-102894307D01* -X84454860Y-102883177D01* -X84476702Y-102874130D01* -X84496359Y-102860995D01* -X84517181Y-102849866D01* -X84535432Y-102834888D01* -X84555090Y-102821753D01* -X84621753Y-102755090D01* -X84621756Y-102755086D01* -X84671796Y-102705045D01* -X84690058Y-102690058D01* -X84749866Y-102617182D01* -X84794308Y-102534038D01* -X84821674Y-102443822D01* -X84828600Y-102373504D01* -X84828600Y-102373495D01* -X84830914Y-102350001D01* -X84828600Y-102326507D01* -X84828600Y-102245990D01* -X84858176Y-102230181D01* -X84925187Y-102175187D01* -X84963420Y-102128600D01* -X85076504Y-102128600D01* -X85100000Y-102130914D01* -X85123496Y-102128600D01* -X85123504Y-102128600D01* -X85193822Y-102121674D01* -X85284038Y-102094308D01* -X85367182Y-102049866D01* -X85423144Y-102003939D01* -X85426149Y-102034445D01* -X85443488Y-102091606D01* -X85445503Y-102095375D01* -X85390484Y-102100794D01* -X85333256Y-102118154D01* -X85280513Y-102146345D01* -X85234284Y-102184284D01* -X85224779Y-102195866D01* -X84145867Y-103274779D01* -X84134285Y-103284284D01* -X84096346Y-103330513D01* -X84083570Y-103354416D01* -X84068155Y-103383256D01* -X84050794Y-103440485D01* -X84044932Y-103500000D01* -X84046401Y-103514914D01* -X84046400Y-104624245D01* -X82524246Y-106146400D01* -X77988255Y-106146400D01* -X77519600Y-105677746D01* -X77519600Y-105417870D01* -X77545874Y-105409900D01* -X77615843Y-105372501D01* -X77677170Y-105322170D01* -X77727501Y-105260843D01* -X77764900Y-105190874D01* -X77787930Y-105114954D01* -X77795706Y-105036000D01* -X77795706Y-103686000D01* -X77787930Y-103607046D01* -X77764900Y-103531126D01* -X77727501Y-103461157D01* -X77677170Y-103399830D01* -X77632048Y-103362798D01* -X77640130Y-103350702D01* -X77676208Y-103263603D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76799952Y-103362798D01* -X76754830Y-103399830D01* -X76704499Y-103461157D01* -X76667100Y-103531126D01* -X76644070Y-103607046D01* -X76636294Y-103686000D01* -X76636294Y-105036000D01* -X76644070Y-105114954D01* -X76667100Y-105190874D01* -X76704499Y-105260843D01* -X76754830Y-105322170D01* -X76816157Y-105372501D01* -X76886126Y-105409900D01* -X76912401Y-105417870D01* -X76912401Y-105533046D01* -X76153600Y-104774246D01* -X76153600Y-102329754D01* -X79960139Y-98523217D01* -X79971716Y-98513716D01* -X80009655Y-98467487D01* -X80037846Y-98414744D01* -X80055206Y-98357516D01* -X80056926Y-98340058D01* -X80061068Y-98298001D01* -X80059600Y-98283097D01* -X80059600Y-98117870D01* -X80085874Y-98109900D01* -X80155843Y-98072501D01* -X80217170Y-98022170D01* -X80267501Y-97960843D01* -X80304900Y-97890874D01* -X80327930Y-97814954D01* -X80335706Y-97736000D01* -X80335706Y-96386000D01* -X80446294Y-96386000D01* -X80446294Y-97736000D01* -X80454070Y-97814954D01* -X80477100Y-97890874D01* -X80514499Y-97960843D01* -X80564830Y-98022170D01* -X80626157Y-98072501D01* -X80696126Y-98109900D01* -X80722401Y-98117870D01* -X80722401Y-98283086D01* -X80720932Y-98298000D01* -X80726794Y-98357515D01* -X80738145Y-98394932D01* -X80744155Y-98414744D01* -X80772346Y-98467487D01* -X80810285Y-98513716D01* -X80821867Y-98523221D01* -X81952779Y-99654134D01* -X81962284Y-99665716D01* -X82008513Y-99703655D01* -X82061256Y-99731846D01* -X82109053Y-99746345D01* -X82118484Y-99749206D01* -X82177999Y-99755068D01* -X82192903Y-99753600D01* -X82577251Y-99753600D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83341858Y-99725000D01* -X83645294Y-99725000D01* -X83646400Y-100019050D01* -X83703550Y-100076200D01* -X84276200Y-100076200D01* -X84276200Y-99553550D01* -X84219050Y-99496400D01* -X83875000Y-99495294D01* -X83830187Y-99499708D01* -X83787095Y-99512779D01* -X83747382Y-99534006D01* -X83712573Y-99562573D01* -X83684006Y-99597382D01* -X83662779Y-99637095D01* -X83649708Y-99680187D01* -X83645294Y-99725000D01* -X83341858Y-99725000D01* -X83374130Y-99676702D01* -X83410208Y-99589603D01* -X83428600Y-99497138D01* -X83428600Y-99402862D01* -X83410208Y-99310397D01* -X83374130Y-99223298D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82577251Y-99146400D01* -X82303755Y-99146400D01* -X81329600Y-98172246D01* -X81329600Y-98117870D01* -X81355874Y-98109900D01* -X81425843Y-98072501D01* -X81487170Y-98022170D01* -X81537501Y-97960843D01* -X81574900Y-97890874D01* -X81597930Y-97814954D01* -X81605706Y-97736000D01* -X81605706Y-96386000D01* -X81597930Y-96307046D01* -X81574900Y-96231126D01* -X81537501Y-96161157D01* -X81487170Y-96099830D01* -X81425843Y-96049499D01* -X81411837Y-96042012D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81252702Y-95333870D01* -X81165603Y-95297792D01* -X81073138Y-95279400D01* -X80978862Y-95279400D01* -X80886397Y-95297792D01* -X80799298Y-95333870D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80640163Y-96042012D01* -X80626157Y-96049499D01* -X80564830Y-96099830D01* -X80514499Y-96161157D01* -X80477100Y-96231126D01* -X80454070Y-96307046D01* -X80446294Y-96386000D01* -X80335706Y-96386000D01* -X80327930Y-96307046D01* -X80304900Y-96231126D01* -X80267501Y-96161157D01* -X80245221Y-96134009D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80173202Y-95333870D01* -X80086103Y-95297792D01* -X79993638Y-95279400D01* -X79899362Y-95279400D01* -X79806897Y-95297792D01* -X79719798Y-95333870D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79473575Y-95833667D01* -X79459944Y-95878600D01* -X79456794Y-95888985D01* -X79450932Y-95948500D01* -X79452400Y-95963404D01* -X79452400Y-96004130D01* -X79426126Y-96012100D01* -X79356157Y-96049499D01* -X79294830Y-96099830D01* -X79244499Y-96161157D01* -X79207100Y-96231126D01* -X79184070Y-96307046D01* -X79176294Y-96386000D01* -X79176294Y-97736000D01* -X79184070Y-97814954D01* -X79207100Y-97890874D01* -X79244499Y-97960843D01* -X79294830Y-98022170D01* -X79356157Y-98072501D01* -X79426126Y-98109900D01* -X79452401Y-98117870D01* -X79452401Y-98172243D01* -X75645872Y-101978774D01* -X75634284Y-101988284D01* -X75596345Y-102034514D01* -X75568154Y-102087257D01* -X75555032Y-102130514D01* -X75550794Y-102144485D01* -X75544932Y-102204000D01* -X75546400Y-102218904D01* -X75546401Y-104885086D01* -X75544932Y-104900000D01* -X75550794Y-104959515D01* -X75564184Y-105003655D01* -X75568155Y-105016744D01* -X75596346Y-105069487D01* -X75634285Y-105115716D01* -X75645867Y-105125221D01* -X77474779Y-106954134D01* -X77484284Y-106965716D01* -X77530513Y-107003655D01* -X77583256Y-107031846D01* -X77640484Y-107049206D01* -X77699999Y-107055068D01* -X77714903Y-107053600D01* -X82785096Y-107053600D01* -X82800000Y-107055068D01* -X82814904Y-107053600D01* -X82859516Y-107049206D01* -X82916744Y-107031846D01* -X82969487Y-107003655D01* -X83015716Y-106965716D01* -X83025226Y-106954128D01* -X84854135Y-105125220D01* -X84865716Y-105115716D01* -X84903655Y-105069487D01* -X84931846Y-105016744D01* -X84949206Y-104959516D01* -X84953600Y-104914904D01* -X84953600Y-104914903D01* -X84955068Y-104900001D01* -X84953600Y-104885097D01* -X84953600Y-103825754D01* -X85420294Y-103359061D01* -X85420294Y-103475000D01* -X85426149Y-103534445D01* -X85443488Y-103591606D01* -X85471646Y-103644286D01* -X85476335Y-103650000D01* -X85471646Y-103655714D01* -X85443488Y-103708394D01* -X85426149Y-103765555D01* -X85420294Y-103825000D01* -X85420294Y-103975000D01* -X85426149Y-104034445D01* -X85443488Y-104091606D01* -X85471646Y-104144286D01* -X85476335Y-104150000D01* -X85471646Y-104155714D01* -X85443488Y-104208394D01* -X85426149Y-104265555D01* -X85420294Y-104325000D01* -X85420294Y-104475000D01* -X85426149Y-104534445D01* -X85443488Y-104591606D01* -X85471646Y-104644286D01* -X85476335Y-104650000D01* -X85471646Y-104655714D01* -X85443488Y-104708394D01* -X85426149Y-104765555D01* -X85420294Y-104825000D01* -X85420294Y-104975000D01* -X85426149Y-105034445D01* -X85443488Y-105091606D01* -X85471646Y-105144286D01* -X85476335Y-105150000D01* -X85471646Y-105155714D01* -X85443488Y-105208394D01* -X85426149Y-105265555D01* -X85420294Y-105325000D01* -X85420294Y-105452505D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84853699Y-106168351D01* -X84834284Y-106184284D01* -X84824778Y-106195867D01* -X84424246Y-106596400D01* -X84164903Y-106596400D01* -X84149999Y-106594932D01* -X84119785Y-106597908D01* -X84090484Y-106600794D01* -X84033256Y-106618154D01* -X83980513Y-106646345D01* -X83934284Y-106684284D01* -X83924778Y-106695867D01* -X83645867Y-106974779D01* -X83634285Y-106984284D01* -X83596346Y-107030513D01* -X83568155Y-107083256D01* -X83550794Y-107140484D01* -X83548429Y-107164500D01* -X83544932Y-107200000D01* -X83546400Y-107214904D01* -X83546400Y-107585096D01* -X83544932Y-107600000D01* -X83547905Y-107630181D01* -X83550794Y-107659515D01* -X83568154Y-107716743D01* -X83596345Y-107769486D01* -X83634284Y-107815716D01* -X83645872Y-107825226D01* -X83846401Y-108025755D01* -X83846401Y-108027251D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84102862Y-108878600D01* -X84197138Y-108878600D01* -X84289603Y-108860208D01* -X84376702Y-108824130D01* -X84455090Y-108771753D01* -X84521753Y-108705090D01* -X84574130Y-108626702D01* -X84610208Y-108539603D01* -X84628600Y-108447138D01* -X84628600Y-108400000D01* -X87670294Y-108400000D01* -X87670294Y-109725000D01* -X87676149Y-109784445D01* -X87693488Y-109841606D01* -X87721646Y-109894286D01* -X87759540Y-109940460D01* -X87805714Y-109978354D01* -X87858394Y-110006512D01* -X87915555Y-110023851D01* -X87975000Y-110029706D01* -X88125000Y-110029706D01* -X88184445Y-110023851D01* -X88241606Y-110006512D01* -X88294286Y-109978354D01* -X88300000Y-109973665D01* -X88305714Y-109978354D01* -X88358394Y-110006512D01* -X88415555Y-110023851D01* -X88475000Y-110029706D01* -X88625000Y-110029706D01* -X88684445Y-110023851D01* -X88741606Y-110006512D01* -X88794286Y-109978354D01* -X88800000Y-109973665D01* -X88805714Y-109978354D01* -X88858394Y-110006512D01* -X88915555Y-110023851D01* -X88975000Y-110029706D01* -X89125000Y-110029706D01* -X89184445Y-110023851D01* -X89241606Y-110006512D01* -X89294286Y-109978354D01* -X89300000Y-109973665D01* -X89305714Y-109978354D01* -X89358394Y-110006512D01* -X89415555Y-110023851D01* -X89475000Y-110029706D01* -X89625000Y-110029706D01* -X89684445Y-110023851D01* -X89703196Y-110018163D01* -X89694964Y-110028194D01* -X89694959Y-110028199D01* -X89650134Y-110082819D01* -X89605693Y-110165962D01* -X89578326Y-110256179D01* -X89569086Y-110350000D01* -X89571401Y-110373505D01* -X89571401Y-110486580D01* -X89524813Y-110524813D01* -X89486580Y-110571400D01* -X89147937Y-110571400D01* -X89122336Y-110576492D01* -X89096376Y-110579049D01* -X89071418Y-110586620D01* -X89045813Y-110591713D01* -X89021691Y-110601704D01* -X88996735Y-110609275D01* -X88973735Y-110621569D01* -X88949614Y-110631560D01* -X88927907Y-110646064D01* -X88904905Y-110658359D01* -X88884743Y-110674906D01* -X88863037Y-110689409D01* -X88844579Y-110707867D01* -X88824415Y-110724415D01* -X88807867Y-110744579D01* -X88789409Y-110763037D01* -X88774906Y-110784743D01* -X88758359Y-110804905D01* -X88746064Y-110827907D01* -X88731560Y-110849614D01* -X88721569Y-110873735D01* -X88709275Y-110896735D01* -X88701704Y-110921691D01* -X88691713Y-110945813D01* -X88686620Y-110971418D01* -X88679049Y-110996376D01* -X88676492Y-111022336D01* -X88671400Y-111047937D01* -X88671400Y-111074038D01* -X88668843Y-111100000D01* -X88671400Y-111125962D01* -X88671400Y-111152063D01* -X88676492Y-111177664D01* -X88679049Y-111203624D01* -X88686620Y-111228582D01* -X88691713Y-111254187D01* -X88701704Y-111278309D01* -X88709275Y-111303265D01* -X88721569Y-111326265D01* -X88731560Y-111350386D01* -X88746064Y-111372093D01* -X88758359Y-111395095D01* -X88774906Y-111415257D01* -X88789409Y-111436963D01* -X88807867Y-111455421D01* -X88824415Y-111475585D01* -X88844579Y-111492133D01* -X88863037Y-111510591D01* -X88884743Y-111525094D01* -X88904905Y-111541641D01* -X88927907Y-111553936D01* -X88949614Y-111568440D01* -X88973735Y-111578431D01* -X88996735Y-111590725D01* -X89021691Y-111598296D01* -X89045813Y-111608287D01* -X89071418Y-111613380D01* -X89096376Y-111620951D01* -X89122336Y-111623508D01* -X89147937Y-111628600D01* -X89486580Y-111628600D01* -X89521400Y-111671028D01* -X89521400Y-111824038D01* -X89518843Y-111850000D01* -X89529049Y-111953623D01* -X89559275Y-112053264D01* -X89608359Y-112145094D01* -X89617456Y-112156179D01* -X89674416Y-112225585D01* -X89694586Y-112242138D01* -X89789405Y-112336957D01* -X89789409Y-112336963D01* -X89863037Y-112410591D01* -X89884749Y-112425099D01* -X89904906Y-112441641D01* -X89927903Y-112453933D01* -X89949614Y-112468440D01* -X89973739Y-112478433D01* -X89996736Y-112490725D01* -X90021687Y-112498294D01* -X90045813Y-112508287D01* -X90071425Y-112513381D01* -X90096376Y-112520950D01* -X90122329Y-112523506D01* -X90147937Y-112528600D01* -X90174040Y-112528600D01* -X90199999Y-112531157D01* -X90225958Y-112528600D01* -X90252063Y-112528600D01* -X90277673Y-112523506D01* -X90303623Y-112520950D01* -X90328572Y-112513382D01* -X90354187Y-112508287D01* -X90378313Y-112498293D01* -X90403264Y-112490725D01* -X90426261Y-112478433D01* -X90450386Y-112468440D01* -X90472097Y-112453933D01* -X90495094Y-112441641D01* -X90515250Y-112425099D01* -X90536963Y-112410591D01* -X90555429Y-112392125D01* -X90575584Y-112375584D01* -X90592125Y-112355429D01* -X90610591Y-112336963D01* -X90625099Y-112315250D01* -X90641641Y-112295094D01* -X90653933Y-112272097D01* -X90668440Y-112250386D01* -X90678433Y-112226261D01* -X90690725Y-112203264D01* -X90698293Y-112178313D01* -X90708287Y-112154187D01* -X90713382Y-112128572D01* -X90720950Y-112103623D01* -X90723506Y-112077673D01* -X90728600Y-112052063D01* -X90728600Y-112025958D01* -X90731157Y-111999999D01* -X90728600Y-111974040D01* -X90728600Y-111947937D01* -X90723506Y-111922329D01* -X90720950Y-111896376D01* -X90713381Y-111871425D01* -X90708287Y-111845813D01* -X90698294Y-111821687D01* -X90690725Y-111796736D01* -X90678433Y-111773739D01* -X90668440Y-111749614D01* -X90653933Y-111727903D01* -X90641641Y-111704906D01* -X90625099Y-111684749D01* -X90610591Y-111663037D01* -X90596622Y-111649068D01* -X90630181Y-111608176D01* -X90647913Y-111575000D01* -X90895294Y-111575000D01* -X90899708Y-111619813D01* -X90912779Y-111662905D01* -X90934006Y-111702618D01* -X90962573Y-111737427D01* -X90997382Y-111765994D01* -X91037095Y-111787221D01* -X91080187Y-111800292D01* -X91125000Y-111804706D01* -X91419050Y-111803600D01* -X91476200Y-111746450D01* -X91476200Y-111173800D01* -X91623800Y-111173800D01* -X91623800Y-111746450D01* -X91680950Y-111803600D01* -X91975000Y-111804706D01* -X92019813Y-111800292D01* -X92062905Y-111787221D01* -X92102618Y-111765994D01* -X92137427Y-111737427D01* -X92165994Y-111702618D01* -X92187221Y-111662905D01* -X92200292Y-111619813D01* -X92204706Y-111575000D01* -X92203600Y-111230950D01* -X92146450Y-111173800D01* -X91623800Y-111173800D01* -X91476200Y-111173800D01* -X90953550Y-111173800D01* -X90896400Y-111230950D01* -X90895294Y-111575000D01* -X90647913Y-111575000D01* -X90671045Y-111531725D01* -X90696209Y-111448770D01* -X90704706Y-111362500D01* -X90704706Y-110837500D01* -X90696209Y-110751230D01* -X90671045Y-110668275D01* -X90647915Y-110625000D01* -X90895294Y-110625000D01* -X90896400Y-110969050D01* -X90953550Y-111026200D01* -X91476200Y-111026200D01* -X91476200Y-110453550D01* -X91623800Y-110453550D01* -X91623800Y-111026200D01* -X92146450Y-111026200D01* -X92203600Y-110969050D01* -X92204706Y-110625000D01* -X92200292Y-110580187D01* -X92187221Y-110537095D01* -X92165994Y-110497382D01* -X92137427Y-110462573D01* -X92102618Y-110434006D01* -X92062905Y-110412779D01* -X92019813Y-110399708D01* -X91975000Y-110395294D01* -X91680950Y-110396400D01* -X91623800Y-110453550D01* -X91476200Y-110453550D01* -X91419050Y-110396400D01* -X91125000Y-110395294D01* -X91080187Y-110399708D01* -X91037095Y-110412779D01* -X90997382Y-110434006D01* -X90962573Y-110462573D01* -X90934006Y-110497382D01* -X90912779Y-110537095D01* -X90899708Y-110580187D01* -X90895294Y-110625000D01* -X90647915Y-110625000D01* -X90641989Y-110613915D01* -X90646354Y-110610999D01* -X90667182Y-110599866D01* -X90685436Y-110584886D01* -X90705090Y-110571753D01* -X90721806Y-110555037D01* -X90740058Y-110540058D01* -X90755037Y-110521806D01* -X90771753Y-110505090D01* -X90784886Y-110485436D01* -X90799866Y-110467182D01* -X90810999Y-110446354D01* -X90824130Y-110426702D01* -X90833174Y-110404867D01* -X90844308Y-110384038D01* -X90851163Y-110361439D01* -X90860208Y-110339603D01* -X90864820Y-110316419D01* -X90871674Y-110293822D01* -X90873989Y-110270321D01* -X90878600Y-110247138D01* -X90878600Y-110238376D01* -X90901472Y-110195585D01* -X90908701Y-110171753D01* -X90923121Y-110124219D01* -X90925861Y-110096400D01* -X90928600Y-110068590D01* -X90928600Y-110068583D01* -X90930430Y-110050001D01* -X90928600Y-110031418D01* -X90928600Y-110019050D01* -X90976200Y-109971450D01* -X90976200Y-109136300D01* -X90956200Y-109136300D01* -X90956200Y-108988700D01* -X90976200Y-108988700D01* -X90976200Y-108153550D01* -X90928600Y-108105950D01* -X90928600Y-108018579D01* -X90930430Y-107999999D01* -X90928600Y-107981419D01* -X90928600Y-107981410D01* -X90926342Y-107958488D01* -X90928600Y-107947138D01* -X90928600Y-107852862D01* -X90910208Y-107760397D01* -X90874130Y-107673298D01* -X90821753Y-107594910D01* -X90755090Y-107528247D01* -X90676702Y-107475870D01* -X90589603Y-107439792D01* -X90497138Y-107421400D01* -X90402862Y-107421400D01* -X90353600Y-107431199D01* -X90353600Y-107272749D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90474130Y-107126702D01* -X90510208Y-107039603D01* -X90528600Y-106947138D01* -X90528600Y-106852862D01* -X90510208Y-106760397D01* -X90474130Y-106673298D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89746401Y-107272749D01* -X89746401Y-107424245D01* -X89745871Y-107424775D01* -X89734284Y-107434284D01* -X89696345Y-107480514D01* -X89668154Y-107533257D01* -X89661538Y-107555068D01* -X89650794Y-107590485D01* -X89644932Y-107650000D01* -X89646400Y-107664904D01* -X89646400Y-108085096D01* -X89645200Y-108097284D01* -X89625000Y-108095294D01* -X89475000Y-108095294D01* -X89415555Y-108101149D01* -X89358394Y-108118488D01* -X89305714Y-108146646D01* -X89300000Y-108151335D01* -X89294286Y-108146646D01* -X89241606Y-108118488D01* -X89184445Y-108101149D01* -X89125000Y-108095294D01* -X88975000Y-108095294D01* -X88915555Y-108101149D01* -X88858394Y-108118488D01* -X88805714Y-108146646D01* -X88800000Y-108151335D01* -X88794286Y-108146646D01* -X88741606Y-108118488D01* -X88684445Y-108101149D01* -X88625000Y-108095294D01* -X88475000Y-108095294D01* -X88415555Y-108101149D01* -X88358394Y-108118488D01* -X88305714Y-108146646D01* -X88300000Y-108151335D01* -X88294286Y-108146646D01* -X88241606Y-108118488D01* -X88184445Y-108101149D01* -X88125000Y-108095294D01* -X87975000Y-108095294D01* -X87915555Y-108101149D01* -X87858394Y-108118488D01* -X87805714Y-108146646D01* -X87759540Y-108184540D01* -X87721646Y-108230714D01* -X87693488Y-108283394D01* -X87676149Y-108340555D01* -X87670294Y-108400000D01* -X84628600Y-108400000D01* -X84628600Y-108352862D01* -X84610208Y-108260397D01* -X84574130Y-108173298D01* -X84521753Y-108094910D01* -X84455090Y-108028247D01* -X84453600Y-108027251D01* -X84453600Y-107914903D01* -X84455068Y-107899999D01* -X84451888Y-107867710D01* -X84489603Y-107860208D01* -X84576702Y-107824130D01* -X84655090Y-107771753D01* -X84721753Y-107705090D01* -X84722749Y-107703600D01* -X85525551Y-107703600D01* -X85555714Y-107728354D01* -X85608394Y-107756512D01* -X85665555Y-107773851D01* -X85725000Y-107779706D01* -X87050000Y-107779706D01* -X87109445Y-107773851D01* -X87166606Y-107756512D01* -X87219286Y-107728354D01* -X87265460Y-107690460D01* -X87303354Y-107644286D01* -X87331512Y-107591606D01* -X87348851Y-107534445D01* -X87354706Y-107475000D01* -X87354706Y-107325000D01* -X87348851Y-107265555D01* -X87331512Y-107208394D01* -X87303354Y-107155714D01* -X87298665Y-107150000D01* -X87303354Y-107144286D01* -X87331512Y-107091606D01* -X87348851Y-107034445D01* -X87354706Y-106975000D01* -X87354706Y-106825000D01* -X87348851Y-106765555D01* -X87331512Y-106708394D01* -X87303354Y-106655714D01* -X87298665Y-106650000D01* -X87303354Y-106644286D01* -X87331512Y-106591606D01* -X87348851Y-106534445D01* -X87354706Y-106475000D01* -X87354706Y-106325000D01* -X87348851Y-106265555D01* -X87331512Y-106208394D01* -X87303354Y-106155714D01* -X87298665Y-106150000D01* -X87303354Y-106144286D01* -X87331512Y-106091606D01* -X87348851Y-106034445D01* -X87354706Y-105975000D01* -X87354706Y-105825000D01* -X87348851Y-105765555D01* -X87331512Y-105708394D01* -X87303354Y-105655714D01* -X87298665Y-105650000D01* -X87303354Y-105644286D01* -X87331512Y-105591606D01* -X87348851Y-105534445D01* -X87354706Y-105475000D01* -X87354706Y-105325000D01* -X87348851Y-105265555D01* -X87331512Y-105208394D01* -X87303354Y-105155714D01* -X87298665Y-105150000D01* -X87303354Y-105144286D01* -X87331512Y-105091606D01* -X87348851Y-105034445D01* -X87354706Y-104975000D01* -X87354706Y-104825000D01* -X87348851Y-104765555D01* -X87331512Y-104708394D01* -X87303354Y-104655714D01* -X87298665Y-104650000D01* -X87303354Y-104644286D01* -X87331512Y-104591606D01* -X87348851Y-104534445D01* -X87354706Y-104475000D01* -X87354706Y-104325000D01* -X87348851Y-104265555D01* -X87331512Y-104208394D01* -X87303354Y-104155714D01* -X87298665Y-104150000D01* -X87303354Y-104144286D01* -X87331512Y-104091606D01* -X87348851Y-104034445D01* -X87354706Y-103975000D01* -X87354706Y-103837140D01* -X87410397Y-103860208D01* -X87502862Y-103878600D01* -X87597138Y-103878600D01* -X87689603Y-103860208D01* -X87776702Y-103824130D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87354706Y-102962860D01* -X87354706Y-102825000D01* -X87348851Y-102765555D01* -X87331512Y-102708394D01* -X87303354Y-102655714D01* -X87298665Y-102650000D01* -X87303354Y-102644286D01* -X87331512Y-102591606D01* -X87348851Y-102534445D01* -X87354706Y-102475000D01* -X87354706Y-102325000D01* -X87348851Y-102265555D01* -X87331512Y-102208394D01* -X87328950Y-102203600D01* -X88077251Y-102203600D01* -X88078247Y-102205090D01* -X88144910Y-102271753D01* -X88223298Y-102324130D01* -X88310397Y-102360208D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88077251Y-101596400D01* -X87936682Y-101596400D01* -X87960208Y-101539603D01* -X87978600Y-101447138D01* -X87978600Y-101352862D01* -X87960208Y-101260397D01* -X87924130Y-101173298D01* -X87871753Y-101094910D01* -X87805090Y-101028247D01* -X87726702Y-100975870D01* -X87639603Y-100939792D01* -X87547138Y-100921400D01* -X87452862Y-100921400D01* -X87360397Y-100939792D01* -X87354706Y-100942149D01* -X87354706Y-100825000D01* -X87348851Y-100765555D01* -X87331512Y-100708394D01* -X87315430Y-100678306D01* -X87315994Y-100677618D01* -X87337221Y-100637905D01* -X87350292Y-100594813D01* -X87354706Y-100550000D01* -X87353600Y-100530950D01* -X87296450Y-100473800D01* -X86626200Y-100473800D01* -X86626200Y-100326200D01* -X87296450Y-100326200D01* -X87353600Y-100269050D01* -X87354706Y-100250000D01* -X87350292Y-100205187D01* -X87337221Y-100162095D01* -X87330756Y-100150000D01* -X87337221Y-100137905D01* -X87350292Y-100094813D01* -X87354706Y-100050000D01* -X87353600Y-100030950D01* -X87296450Y-99973800D01* -X86626200Y-99973800D01* -X86626200Y-99826200D01* -X87296450Y-99826200D01* -X87326488Y-99796162D01* -X87360397Y-99810208D01* -X87452862Y-99828600D01* -X87547138Y-99828600D01* -X87639603Y-99810208D01* -X87726702Y-99774130D01* -X87805090Y-99721753D01* -X87871753Y-99655090D01* -X87924130Y-99576702D01* -X87960208Y-99489603D01* -X87978600Y-99397138D01* -X87978600Y-99302862D01* -X87960208Y-99210397D01* -X87924130Y-99123298D01* -X87871753Y-99044910D01* -X87830443Y-99003600D01* -X87924246Y-99003600D01* -X88196401Y-99275756D01* -X88196401Y-99277251D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88934004Y-99852862D01* -X99171400Y-99852862D01* -X99171400Y-99947138D01* -X99189792Y-100039603D01* -X99225870Y-100126702D01* -X99278247Y-100205090D01* -X99344910Y-100271753D01* -X99423298Y-100324130D01* -X99510397Y-100360208D01* -X99602862Y-100378600D01* -X99697138Y-100378600D01* -X99789603Y-100360208D01* -X99876702Y-100324130D01* -X99955090Y-100271753D01* -X100021753Y-100205090D01* -X100022749Y-100203600D01* -X100750189Y-100203600D01* -X100749708Y-100205187D01* -X100745294Y-100250000D01* -X100746400Y-100269050D01* -X100803550Y-100326200D01* -X101638700Y-100326200D01* -X101638700Y-100306200D01* -X101786300Y-100306200D01* -X101786300Y-100326200D01* -X102621450Y-100326200D01* -X102678600Y-100269050D01* -X102679706Y-100250000D01* -X102675292Y-100205187D01* -X102662221Y-100162095D01* -X102640994Y-100122382D01* -X102640430Y-100121694D01* -X102656512Y-100091606D01* -X102673851Y-100034445D01* -X102679706Y-99975000D01* -X102679706Y-99847495D01* -X102710397Y-99860208D01* -X102802862Y-99878600D01* -X102897138Y-99878600D01* -X102989603Y-99860208D01* -X103045715Y-99836965D01* -X103046400Y-100019050D01* -X103103550Y-100076200D01* -X103676200Y-100076200D01* -X103676200Y-99553550D01* -X103823800Y-99553550D01* -X103823800Y-100076200D01* -X104396450Y-100076200D01* -X104453600Y-100019050D01* -X104454706Y-99725000D01* -X104450292Y-99680187D01* -X104437221Y-99637095D01* -X104415994Y-99597382D01* -X104387427Y-99562573D01* -X104352618Y-99534006D01* -X104312905Y-99512779D01* -X104269813Y-99499708D01* -X104225000Y-99495294D01* -X103880950Y-99496400D01* -X103823800Y-99553550D01* -X103676200Y-99553550D01* -X103619050Y-99496400D01* -X103318993Y-99495435D01* -X103328600Y-99447138D01* -X103328600Y-99352862D01* -X103310208Y-99260397D01* -X103274130Y-99173298D01* -X103221753Y-99094910D01* -X103155090Y-99028247D01* -X103076702Y-98975870D01* -X102989603Y-98939792D01* -X102897138Y-98921400D01* -X102802862Y-98921400D01* -X102710397Y-98939792D01* -X102679706Y-98952505D01* -X102679706Y-98847495D01* -X102710397Y-98860208D01* -X102802862Y-98878600D01* -X102897138Y-98878600D01* -X102989603Y-98860208D01* -X103076702Y-98824130D01* -X103155090Y-98771753D01* -X103221753Y-98705090D01* -X103274130Y-98626702D01* -X103310208Y-98539603D01* -X103328600Y-98447138D01* -X103328600Y-98352862D01* -X103310208Y-98260397D01* -X103274130Y-98173298D01* -X103221753Y-98094910D01* -X103155090Y-98028247D01* -X103076702Y-97975870D01* -X102989603Y-97939792D01* -X102897138Y-97921400D01* -X102802862Y-97921400D01* -X102710397Y-97939792D01* -X102679706Y-97952505D01* -X102679706Y-97847495D01* -X102710397Y-97860208D01* -X102802862Y-97878600D01* -X102897138Y-97878600D01* -X102989603Y-97860208D01* -X103076702Y-97824130D01* -X103155090Y-97771753D01* -X103221753Y-97705090D01* -X103274130Y-97626702D01* -X103310208Y-97539603D01* -X103328600Y-97447138D01* -X103328600Y-97352862D01* -X103310208Y-97260397D01* -X103274130Y-97173298D01* -X103221753Y-97094910D01* -X103155090Y-97028247D01* -X103076702Y-96975870D01* -X102989603Y-96939792D01* -X102897138Y-96921400D01* -X102802862Y-96921400D01* -X102710397Y-96939792D01* -X102679706Y-96952505D01* -X102679706Y-96847495D01* -X102710397Y-96860208D01* -X102802862Y-96878600D01* -X102897138Y-96878600D01* -X102989603Y-96860208D01* -X103076702Y-96824130D01* -X103155090Y-96771753D01* -X103221753Y-96705090D01* -X103274130Y-96626702D01* -X103310208Y-96539603D01* -X103328600Y-96447138D01* -X103328600Y-96352862D01* -X103310208Y-96260397D01* -X103274130Y-96173298D01* -X103221753Y-96094910D01* -X103155090Y-96028247D01* -X103076702Y-95975870D01* -X102989603Y-95939792D01* -X102897138Y-95921400D01* -X102802862Y-95921400D01* -X102710397Y-95939792D01* -X102679706Y-95952505D01* -X102679706Y-95847495D01* -X102710397Y-95860208D01* -X102802862Y-95878600D01* -X102897138Y-95878600D01* -X102989603Y-95860208D01* -X103076702Y-95824130D01* -X103155090Y-95771753D01* -X103221753Y-95705090D01* -X103274130Y-95626702D01* -X103310208Y-95539603D01* -X103328600Y-95447138D01* -X103328600Y-95352862D01* -X103310208Y-95260397D01* -X103274130Y-95173298D01* -X103221753Y-95094910D01* -X103155090Y-95028247D01* -X103076702Y-94975870D01* -X102989603Y-94939792D01* -X102897138Y-94921400D01* -X102802862Y-94921400D01* -X102710397Y-94939792D01* -X102623298Y-94975870D01* -X102544910Y-95028247D01* -X102516411Y-95056746D01* -X102491606Y-95043488D01* -X102434445Y-95026149D01* -X102375000Y-95020294D01* -X101050000Y-95020294D01* -X100990555Y-95026149D01* -X100933394Y-95043488D01* -X100880714Y-95071646D01* -X100834540Y-95109540D01* -X100796646Y-95155714D01* -X100768488Y-95208394D01* -X100751149Y-95265555D01* -X100745294Y-95325000D01* -X100745294Y-95462860D01* -X100689603Y-95439792D01* -X100597138Y-95421400D01* -X100502862Y-95421400D01* -X100410397Y-95439792D01* -X100323298Y-95475870D01* -X100244910Y-95528247D01* -X100178247Y-95594910D01* -X100125870Y-95673298D01* -X100089792Y-95760397D01* -X100071400Y-95852862D01* -X100071400Y-95947138D01* -X100089792Y-96039603D01* -X100125870Y-96126702D01* -X100178247Y-96205090D01* -X100244910Y-96271753D01* -X100323298Y-96324130D01* -X100410397Y-96360208D01* -X100502862Y-96378600D01* -X100597138Y-96378600D01* -X100689603Y-96360208D01* -X100745294Y-96337140D01* -X100745294Y-96462860D01* -X100689603Y-96439792D01* -X100597138Y-96421400D01* -X100502862Y-96421400D01* -X100410397Y-96439792D01* -X100323298Y-96475870D01* -X100244910Y-96528247D01* -X100178247Y-96594910D01* -X100125870Y-96673298D01* -X100089792Y-96760397D01* -X100071400Y-96852862D01* -X100071400Y-96947138D01* -X100089792Y-97039603D01* -X100125870Y-97126702D01* -X100178247Y-97205090D01* -X100244910Y-97271753D01* -X100323298Y-97324130D01* -X100410397Y-97360208D01* -X100502862Y-97378600D01* -X100597138Y-97378600D01* -X100689603Y-97360208D01* -X100745294Y-97337140D01* -X100745294Y-97462860D01* -X100689603Y-97439792D01* -X100597138Y-97421400D01* -X100502862Y-97421400D01* -X100410397Y-97439792D01* -X100323298Y-97475870D01* -X100244910Y-97528247D01* -X100178247Y-97594910D01* -X100125870Y-97673298D01* -X100089792Y-97760397D01* -X100071400Y-97852862D01* -X100071400Y-97947138D01* -X100089792Y-98039603D01* -X100125870Y-98126702D01* -X100178247Y-98205090D01* -X100244910Y-98271753D01* -X100323298Y-98324130D01* -X100410397Y-98360208D01* -X100502862Y-98378600D01* -X100597138Y-98378600D01* -X100689603Y-98360208D01* -X100745294Y-98337140D01* -X100745294Y-98462860D01* -X100689603Y-98439792D01* -X100597138Y-98421400D01* -X100502862Y-98421400D01* -X100410397Y-98439792D01* -X100323298Y-98475870D01* -X100244910Y-98528247D01* -X100178247Y-98594910D01* -X100125870Y-98673298D01* -X100089792Y-98760397D01* -X100071400Y-98852862D01* -X100071400Y-98947138D01* -X100089792Y-99039603D01* -X100125870Y-99126702D01* -X100178247Y-99205090D01* -X100244910Y-99271753D01* -X100323298Y-99324130D01* -X100410397Y-99360208D01* -X100502862Y-99378600D01* -X100597138Y-99378600D01* -X100689603Y-99360208D01* -X100745294Y-99337140D01* -X100745294Y-99475000D01* -X100751149Y-99534445D01* -X100768488Y-99591606D01* -X100771050Y-99596400D01* -X100022749Y-99596400D01* -X100021753Y-99594910D01* -X99955090Y-99528247D01* -X99876702Y-99475870D01* -X99789603Y-99439792D01* -X99697138Y-99421400D01* -X99602862Y-99421400D01* -X99510397Y-99439792D01* -X99423298Y-99475870D01* -X99344910Y-99528247D01* -X99278247Y-99594910D01* -X99225870Y-99673298D01* -X99189792Y-99760397D01* -X99171400Y-99852862D01* -X88934004Y-99852862D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88803600Y-99277251D01* -X88803600Y-99164903D01* -X88805068Y-99149999D01* -X88799206Y-99090484D01* -X88797143Y-99083683D01* -X88781846Y-99033256D01* -X88753655Y-98980513D01* -X88715716Y-98934284D01* -X88704133Y-98924778D01* -X88624930Y-98845575D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88223298Y-97975870D01* -X88144910Y-98028247D01* -X88078247Y-98094910D01* -X88077251Y-98096400D01* -X87328950Y-98096400D01* -X87331512Y-98091606D01* -X87348851Y-98034445D01* -X87354706Y-97975000D01* -X87354706Y-97825000D01* -X87348851Y-97765555D01* -X87331512Y-97708394D01* -X87328950Y-97703600D01* -X88077251Y-97703600D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88077251Y-97096400D01* -X87532954Y-97096400D01* -X87654128Y-96975226D01* -X87665716Y-96965716D01* -X87703655Y-96919487D01* -X87731846Y-96866744D01* -X87749206Y-96809516D01* -X87751611Y-96785096D01* -X87755068Y-96750001D01* -X87753600Y-96735097D01* -X87753600Y-95404713D01* -X87764903Y-95403600D01* -X88563318Y-95403600D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89477702Y-95651652D01* -X89604425Y-95524929D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90483481Y-95145873D01* -X90569070Y-95060285D01* -X90575870Y-95076702D01* -X90628247Y-95155090D01* -X90694910Y-95221753D01* -X90773298Y-95274130D01* -X90860397Y-95310208D01* -X90952862Y-95328600D01* -X91047138Y-95328600D01* -X91139603Y-95310208D01* -X91226702Y-95274130D01* -X91305090Y-95221753D01* -X91371753Y-95155090D01* -X91424130Y-95076702D01* -X91460208Y-94989603D01* -X91478600Y-94897138D01* -X91478600Y-94802862D01* -X91460208Y-94710397D01* -X91446162Y-94676488D01* -X91476200Y-94646450D01* -X91476200Y-93811300D01* -X91456200Y-93811300D01* -X91456200Y-93663700D01* -X91476200Y-93663700D01* -X91476200Y-92828550D01* -X91428600Y-92780950D01* -X91428600Y-92768582D01* -X91430430Y-92749999D01* -X91428600Y-92731417D01* -X91428600Y-92731410D01* -X91423121Y-92675782D01* -X91422058Y-92672276D01* -X91401472Y-92604415D01* -X91399112Y-92600000D01* -X91378600Y-92561624D01* -X91378600Y-92313420D01* -X91425187Y-92275187D01* -X91480181Y-92208176D01* -X91521045Y-92131725D01* -X91546209Y-92048770D01* -X91554706Y-91962500D01* -X91554706Y-91437500D01* -X91546209Y-91351230D01* -X91521045Y-91268275D01* -X91480181Y-91191824D01* -X91428600Y-91128972D01* -X91428600Y-90975958D01* -X91431157Y-90949999D01* -X91428600Y-90924038D01* -X91420951Y-90846376D01* -X91390725Y-90746735D01* -X91341641Y-90654905D01* -X91275585Y-90574415D01* -X91255410Y-90557858D01* -X91160595Y-90463043D01* -X91160591Y-90463037D01* -X91086963Y-90389409D01* -X91065251Y-90374901D01* -X91045094Y-90358359D01* -X91022097Y-90346067D01* -X91000386Y-90331560D01* -X90976261Y-90321567D01* -X90953264Y-90309275D01* -X90928311Y-90301706D01* -X90904187Y-90291713D01* -X90878580Y-90286620D01* -X90853623Y-90279049D01* -X90827665Y-90276492D01* -X90802063Y-90271400D01* -X90775962Y-90271400D01* -X90750000Y-90268843D01* -X90724038Y-90271400D01* -X90697937Y-90271400D01* -X90672335Y-90276492D01* -X90646377Y-90279049D01* -X90621420Y-90286620D01* -X90595813Y-90291713D01* -X90571689Y-90301706D01* -X90546736Y-90309275D01* -X90523739Y-90321567D01* -X90499614Y-90331560D01* -X90477903Y-90346067D01* -X90454906Y-90358359D01* -X90434750Y-90374901D01* -X90413037Y-90389409D01* -X90394571Y-90407875D01* -X90374416Y-90424416D01* -X90357875Y-90444571D01* -X90339409Y-90463037D01* -X90324901Y-90484750D01* -X90308359Y-90504906D01* -X90296067Y-90527903D01* -X90281560Y-90549614D01* -X90271567Y-90573739D01* -X90259275Y-90596736D01* -X90251706Y-90621689D01* -X90241713Y-90645813D01* -X90236620Y-90671420D01* -X90229049Y-90696377D01* -X90226492Y-90722335D01* -X90221400Y-90747937D01* -X90221400Y-90774038D01* -X90218843Y-90800000D01* -X90221400Y-90825962D01* -X90221400Y-90852063D01* -X90226492Y-90877665D01* -X90229049Y-90903623D01* -X90236620Y-90928580D01* -X90241713Y-90954187D01* -X90251706Y-90978311D01* -X90259275Y-91003264D01* -X90271567Y-91026261D01* -X90281560Y-91050386D01* -X90296067Y-91072097D01* -X90308359Y-91095094D01* -X90324901Y-91115251D01* -X90339409Y-91136963D01* -X90353378Y-91150932D01* -X90319819Y-91191824D01* -X90278955Y-91268275D01* -X90253791Y-91351230D01* -X90245294Y-91437500D01* -X90245294Y-91962500D01* -X90253791Y-92048770D01* -X90278955Y-92131725D01* -X90319819Y-92208176D01* -X90374813Y-92275187D01* -X90421401Y-92313420D01* -X90421401Y-92552857D01* -X90421400Y-92552862D01* -X90421400Y-92647138D01* -X90426013Y-92670331D01* -X90428327Y-92693822D01* -X90435179Y-92716409D01* -X90439792Y-92739603D01* -X90448841Y-92761448D01* -X90452205Y-92772539D01* -X90415555Y-92776149D01* -X90358394Y-92793488D01* -X90305714Y-92821646D01* -X90300000Y-92826335D01* -X90294286Y-92821646D01* -X90241606Y-92793488D01* -X90184445Y-92776149D01* -X90125000Y-92770294D01* -X89975000Y-92770294D01* -X89915555Y-92776149D01* -X89858394Y-92793488D01* -X89805714Y-92821646D01* -X89800000Y-92826335D01* -X89794286Y-92821646D01* -X89741606Y-92793488D01* -X89684445Y-92776149D01* -X89625000Y-92770294D01* -X89475000Y-92770294D01* -X89415555Y-92776149D01* -X89358394Y-92793488D01* -X89305714Y-92821646D01* -X89300000Y-92826335D01* -X89294286Y-92821646D01* -X89241606Y-92793488D01* -X89184445Y-92776149D01* -X89125000Y-92770294D01* -X88975000Y-92770294D01* -X88915555Y-92776149D01* -X88858394Y-92793488D01* -X88849947Y-92798003D01* -X88849206Y-92790484D01* -X88848385Y-92787779D01* -X88831846Y-92733256D01* -X88803655Y-92680513D01* -X88765716Y-92634284D01* -X88754133Y-92624778D01* -X88325226Y-92195872D01* -X88315716Y-92184284D01* -X88304404Y-92175000D01* -X88745294Y-92175000D01* -X88749708Y-92219813D01* -X88762779Y-92262905D01* -X88784006Y-92302618D01* -X88812573Y-92337427D01* -X88847382Y-92365994D01* -X88887095Y-92387221D01* -X88930187Y-92400292D01* -X88975000Y-92404706D01* -X89269050Y-92403600D01* -X89326200Y-92346450D01* -X89326200Y-91773800D01* -X89473800Y-91773800D01* -X89473800Y-92346450D01* -X89530950Y-92403600D01* -X89825000Y-92404706D01* -X89869813Y-92400292D01* -X89912905Y-92387221D01* -X89952618Y-92365994D01* -X89987427Y-92337427D01* -X90015994Y-92302618D01* -X90037221Y-92262905D01* -X90050292Y-92219813D01* -X90054706Y-92175000D01* -X90053600Y-91830950D01* -X89996450Y-91773800D01* -X89473800Y-91773800D01* -X89326200Y-91773800D01* -X88803550Y-91773800D01* -X88746400Y-91830950D01* -X88745294Y-92175000D01* -X88304404Y-92175000D01* -X88269487Y-92146345D01* -X88216744Y-92118154D01* -X88159516Y-92100794D01* -X88114904Y-92096400D01* -X88100000Y-92094932D01* -X88085096Y-92096400D01* -X87682954Y-92096400D01* -X88554354Y-91225000D01* -X88745294Y-91225000D01* -X88746400Y-91569050D01* -X88803550Y-91626200D01* -X89326200Y-91626200D01* -X89326200Y-91053550D01* -X89473800Y-91053550D01* -X89473800Y-91626200D01* -X89996450Y-91626200D01* -X90053600Y-91569050D01* -X90054706Y-91225000D01* -X90050292Y-91180187D01* -X90037221Y-91137095D01* -X90015994Y-91097382D01* -X89987427Y-91062573D01* -X89952618Y-91034006D01* -X89912905Y-91012779D01* -X89869813Y-90999708D01* -X89825000Y-90995294D01* -X89530950Y-90996400D01* -X89473800Y-91053550D01* -X89326200Y-91053550D01* -X89269050Y-90996400D01* -X88975000Y-90995294D01* -X88930187Y-90999708D01* -X88887095Y-91012779D01* -X88847382Y-91034006D01* -X88812573Y-91062573D01* -X88784006Y-91097382D01* -X88762779Y-91137095D01* -X88749708Y-91180187D01* -X88745294Y-91225000D01* -X88554354Y-91225000D01* -X89575755Y-90203600D01* -X91474246Y-90203600D01* -X91946401Y-90675756D01* -X91946400Y-92474246D01* -X91845867Y-92574779D01* -X91834285Y-92584284D01* -X91796346Y-92630513D01* -X91777965Y-92664903D01* -X91768155Y-92683256D01* -X91750794Y-92740485D01* -X91747347Y-92775477D01* -X91744813Y-92774708D01* -X91700000Y-92770294D01* -X91680950Y-92771400D01* -X91623800Y-92828550D01* -X91623800Y-93663700D01* -X91643800Y-93663700D01* -X91643800Y-93811300D01* -X91623800Y-93811300D01* -X91623800Y-94646450D01* -X91680950Y-94703600D01* -X91700000Y-94704706D01* -X91744813Y-94700292D01* -X91787905Y-94687221D01* -X91827618Y-94665994D01* -X91828306Y-94665430D01* -X91858394Y-94681512D01* -X91915555Y-94698851D01* -X91975000Y-94704706D01* -X92125000Y-94704706D01* -X92184445Y-94698851D01* -X92241606Y-94681512D01* -X92294286Y-94653354D01* -X92300000Y-94648665D01* -X92305714Y-94653354D01* -X92358394Y-94681512D01* -X92415555Y-94698851D01* -X92475000Y-94704706D01* -X92625000Y-94704706D01* -X92684445Y-94698851D01* -X92741606Y-94681512D01* -X92794286Y-94653354D01* -X92800000Y-94648665D01* -X92805714Y-94653354D01* -X92858394Y-94681512D01* -X92915555Y-94698851D01* -X92975000Y-94704706D01* -X93125000Y-94704706D01* -X93184445Y-94698851D01* -X93241606Y-94681512D01* -X93294286Y-94653354D01* -X93300000Y-94648665D01* -X93305714Y-94653354D01* -X93358394Y-94681512D01* -X93415555Y-94698851D01* -X93475000Y-94704706D01* -X93625000Y-94704706D01* -X93684445Y-94698851D01* -X93741606Y-94681512D01* -X93794286Y-94653354D01* -X93800000Y-94648665D01* -X93805714Y-94653354D01* -X93858394Y-94681512D01* -X93915555Y-94698851D01* -X93975000Y-94704706D01* -X94125000Y-94704706D01* -X94184445Y-94698851D01* -X94241606Y-94681512D01* -X94294286Y-94653354D01* -X94300000Y-94648665D01* -X94305714Y-94653354D01* -X94358394Y-94681512D01* -X94415555Y-94698851D01* -X94475000Y-94704706D01* -X94625000Y-94704706D01* -X94684445Y-94698851D01* -X94741606Y-94681512D01* -X94794286Y-94653354D01* -X94800000Y-94648665D01* -X94805714Y-94653354D01* -X94858394Y-94681512D01* -X94915555Y-94698851D01* -X94975000Y-94704706D01* -X95125000Y-94704706D01* -X95184445Y-94698851D01* -X95241606Y-94681512D01* -X95246400Y-94678949D01* -X95246400Y-94824246D01* -X95245866Y-94824779D01* -X95234285Y-94834284D01* -X95196346Y-94880513D01* -X95168155Y-94933256D01* -X95150794Y-94990484D01* -X95147858Y-95020294D01* -X95144932Y-95050000D01* -X95146400Y-95064904D01* -X95146400Y-95435096D01* -X95144932Y-95450000D01* -X95146400Y-95464903D01* -X95150794Y-95509515D01* -X95168154Y-95566743D01* -X95196345Y-95619486D01* -X95234284Y-95665716D01* -X95245872Y-95675226D01* -X96224779Y-96654134D01* -X96234284Y-96665716D01* -X96278630Y-96702110D01* -X96280513Y-96703655D01* -X96333255Y-96731846D01* -X96390484Y-96749206D01* -X96450000Y-96755068D01* -X96464904Y-96753600D01* -X96624246Y-96753600D01* -X96721750Y-96851104D01* -X96721400Y-96852862D01* -X96721400Y-96947138D01* -X96739792Y-97039603D01* -X96775870Y-97126702D01* -X96828247Y-97205090D01* -X96894910Y-97271753D01* -X96973298Y-97324130D01* -X97060397Y-97360208D01* -X97152862Y-97378600D01* -X97247138Y-97378600D01* -X97339603Y-97360208D01* -X97426702Y-97324130D01* -X97505090Y-97271753D01* -X97571753Y-97205090D01* -X97624130Y-97126702D01* -X97660208Y-97039603D01* -X97678600Y-96947138D01* -X97678600Y-96852862D01* -X97660208Y-96760397D01* -X97624130Y-96673298D01* -X97571753Y-96594910D01* -X97505090Y-96528247D01* -X97426702Y-96475870D01* -X97339603Y-96439792D01* -X97247138Y-96421400D01* -X97152862Y-96421400D01* -X97151104Y-96421750D01* -X97028099Y-96298744D01* -X97071753Y-96255090D01* -X97124130Y-96176702D01* -X97160208Y-96089603D01* -X97178600Y-95997138D01* -X97178600Y-95902862D01* -X97173349Y-95876462D01* -X97196345Y-95919486D01* -X97234284Y-95965716D01* -X97245872Y-95975226D01* -X97621750Y-96351104D01* -X97621400Y-96352862D01* -X97621400Y-96447138D01* -X97639792Y-96539603D01* -X97675870Y-96626702D01* -X97728247Y-96705090D01* -X97794910Y-96771753D01* -X97873298Y-96824130D01* -X97960397Y-96860208D01* -X98052862Y-96878600D01* -X98147138Y-96878600D01* -X98239603Y-96860208D01* -X98326702Y-96824130D01* -X98405090Y-96771753D01* -X98471753Y-96705090D01* -X98524130Y-96626702D01* -X98560208Y-96539603D01* -X98578600Y-96447138D01* -X98578600Y-96352862D01* -X98560208Y-96260397D01* -X98524130Y-96173298D01* -X98471753Y-96094910D01* -X98405090Y-96028247D01* -X98326702Y-95975870D01* -X98239603Y-95939792D01* -X98147138Y-95921400D01* -X98052862Y-95921400D01* -X98051104Y-95921750D01* -X97753600Y-95624246D01* -X97753600Y-95336682D01* -X97810397Y-95360208D01* -X97902862Y-95378600D01* -X97997138Y-95378600D01* -X98089603Y-95360208D01* -X98176702Y-95324130D01* -X98255090Y-95271753D01* -X98321753Y-95205090D01* -X98374130Y-95126702D01* -X98410208Y-95039603D01* -X98428600Y-94947138D01* -X98428600Y-94852862D01* -X98426342Y-94841512D01* -X98428600Y-94818590D01* -X98428600Y-94818581D01* -X98430430Y-94800001D01* -X98428600Y-94781421D01* -X98428600Y-94694050D01* -X98476200Y-94646450D01* -X98476200Y-93811300D01* -X98456200Y-93811300D01* -X98456200Y-93663700D01* -X98476200Y-93663700D01* -X98476200Y-92828550D01* -X98623800Y-92828550D01* -X98623800Y-93663700D01* -X98643800Y-93663700D01* -X98643800Y-93811300D01* -X98623800Y-93811300D01* -X98623800Y-94646450D01* -X98680950Y-94703600D01* -X98700000Y-94704706D01* -X98744813Y-94700292D01* -X98754673Y-94697301D01* -X98768154Y-94741743D01* -X98796345Y-94794486D01* -X98834284Y-94840716D01* -X98845871Y-94850225D01* -X98846401Y-94850755D01* -X98846400Y-95927251D01* -X98844910Y-95928247D01* -X98778247Y-95994910D01* -X98725870Y-96073298D01* -X98689792Y-96160397D01* -X98671400Y-96252862D01* -X98671400Y-96347138D01* -X98689792Y-96439603D01* -X98725870Y-96526702D01* -X98778247Y-96605090D01* -X98844910Y-96671753D01* -X98923298Y-96724130D01* -X99010397Y-96760208D01* -X99102862Y-96778600D01* -X99197138Y-96778600D01* -X99289603Y-96760208D01* -X99376702Y-96724130D01* -X99455090Y-96671753D01* -X99521753Y-96605090D01* -X99574130Y-96526702D01* -X99610208Y-96439603D01* -X99628600Y-96347138D01* -X99628600Y-96252862D01* -X99610208Y-96160397D01* -X99574130Y-96073298D01* -X99521753Y-95994910D01* -X99455090Y-95928247D01* -X99453600Y-95927251D01* -X99453600Y-95336682D01* -X99510397Y-95360208D01* -X99602862Y-95378600D01* -X99697138Y-95378600D01* -X99789603Y-95360208D01* -X99876702Y-95324130D01* -X99955090Y-95271753D01* -X100021753Y-95205090D01* -X100074130Y-95126702D01* -X100110208Y-95039603D01* -X100128600Y-94947138D01* -X100128600Y-94852862D01* -X100110208Y-94760397D01* -X100087140Y-94704706D01* -X100125000Y-94704706D01* -X100184445Y-94698851D01* -X100241606Y-94681512D01* -X100294286Y-94653354D01* -X100340460Y-94615460D01* -X100378354Y-94569286D01* -X100406512Y-94516606D01* -X100423851Y-94459445D01* -X100429706Y-94400000D01* -X100429706Y-93075000D01* -X100423851Y-93015555D01* -X100406512Y-92958394D01* -X100393254Y-92933589D01* -X100421753Y-92905090D01* -X100474130Y-92826702D01* -X100510208Y-92739603D01* -X100528600Y-92647138D01* -X100528600Y-92552862D01* -X100510208Y-92460397D01* -X100493890Y-92421000D01* -X111915294Y-92421000D01* -X111916400Y-92519050D01* -X111973550Y-92576200D01* -X112826200Y-92576200D01* -X112826200Y-92249550D01* -X112973800Y-92249550D01* -X112973800Y-92576200D01* -X113826450Y-92576200D01* -X113883600Y-92519050D01* -X113884706Y-92421000D01* -X113880292Y-92376187D01* -X113867221Y-92333095D01* -X113845994Y-92293382D01* -X113817427Y-92258573D01* -X113782618Y-92230006D01* -X113742905Y-92208779D01* -X113699813Y-92195708D01* -X113655000Y-92191294D01* -X113030950Y-92192400D01* -X112973800Y-92249550D01* -X112826200Y-92249550D01* -X112769050Y-92192400D01* -X112145000Y-92191294D01* -X112100187Y-92195708D01* -X112057095Y-92208779D01* -X112017382Y-92230006D01* -X111982573Y-92258573D01* -X111954006Y-92293382D01* -X111932779Y-92333095D01* -X111919708Y-92376187D01* -X111915294Y-92421000D01* -X100493890Y-92421000D01* -X100474130Y-92373298D01* -X100421753Y-92294910D01* -X100355090Y-92228247D01* -X100276702Y-92175870D01* -X100189603Y-92139792D01* -X100097138Y-92121400D01* -X100002862Y-92121400D01* -X99910397Y-92139792D01* -X99823298Y-92175870D01* -X99744910Y-92228247D01* -X99678247Y-92294910D01* -X99625870Y-92373298D01* -X99589792Y-92460397D01* -X99571400Y-92552862D01* -X99571400Y-92647138D01* -X99589792Y-92739603D01* -X99602505Y-92770294D01* -X99475000Y-92770294D01* -X99415555Y-92776149D01* -X99358394Y-92793488D01* -X99305714Y-92821646D01* -X99300000Y-92826335D01* -X99294286Y-92821646D01* -X99241606Y-92793488D01* -X99184445Y-92776149D01* -X99125000Y-92770294D01* -X98975000Y-92770294D01* -X98915555Y-92776149D01* -X98858394Y-92793488D01* -X98828306Y-92809570D01* -X98827618Y-92809006D01* -X98787905Y-92787779D01* -X98744813Y-92774708D01* -X98700000Y-92770294D01* -X98680950Y-92771400D01* -X98623800Y-92828550D01* -X98476200Y-92828550D01* -X98428600Y-92780950D01* -X98428600Y-92768582D01* -X98430430Y-92749999D01* -X98428600Y-92731417D01* -X98428600Y-92731410D01* -X98423121Y-92675782D01* -X98422058Y-92672276D01* -X98401472Y-92604415D01* -X98399112Y-92600000D01* -X98378600Y-92561624D01* -X98378600Y-92313420D01* -X98425187Y-92275187D01* -X98463420Y-92228600D01* -X98802063Y-92228600D01* -X98827664Y-92223508D01* -X98853624Y-92220951D01* -X98878582Y-92213380D01* -X98904187Y-92208287D01* -X98928309Y-92198296D01* -X98953265Y-92190725D01* -X98976265Y-92178431D01* -X99000386Y-92168440D01* -X99022093Y-92153936D01* -X99045095Y-92141641D01* -X99065257Y-92125094D01* -X99086963Y-92110591D01* -X99105421Y-92092133D01* -X99125585Y-92075585D01* -X99142133Y-92055421D01* -X99160591Y-92036963D01* -X99175094Y-92015257D01* -X99191641Y-91995095D01* -X99203936Y-91972093D01* -X99218440Y-91950386D01* -X99228431Y-91926265D01* -X99240725Y-91903265D01* -X99248296Y-91878309D01* -X99258287Y-91854187D01* -X99263380Y-91828582D01* -X99270951Y-91803624D01* -X99273508Y-91777664D01* -X99278600Y-91752063D01* -X99278600Y-91725961D01* -X99281157Y-91700000D01* -X99278600Y-91674038D01* -X99278600Y-91647937D01* -X99273508Y-91622336D01* -X99270951Y-91596376D01* -X99263380Y-91571418D01* -X99258287Y-91545813D01* -X99248296Y-91521691D01* -X99240725Y-91496735D01* -X99228431Y-91473735D01* -X99218440Y-91449614D01* -X99203936Y-91427907D01* -X99191641Y-91404905D01* -X99175094Y-91384743D01* -X99160591Y-91363037D01* -X99142133Y-91344579D01* -X99125585Y-91324415D01* -X99105421Y-91307867D01* -X99086963Y-91289409D01* -X99065257Y-91274906D01* -X99045095Y-91258359D01* -X99022093Y-91246064D01* -X99000386Y-91231560D01* -X98984549Y-91225000D01* -X112245294Y-91225000D01* -X112249708Y-91269813D01* -X112262779Y-91312905D01* -X112284006Y-91352618D01* -X112312573Y-91387427D01* -X112347382Y-91415994D01* -X112387095Y-91437221D01* -X112430187Y-91450292D01* -X112475000Y-91454706D01* -X112769050Y-91453600D01* -X112826200Y-91396450D01* -X112826200Y-90823800D01* -X112973800Y-90823800D01* -X112973800Y-91396450D01* -X113030950Y-91453600D01* -X113325000Y-91454706D01* -X113369813Y-91450292D01* -X113412905Y-91437221D01* -X113452618Y-91415994D01* -X113487427Y-91387427D01* -X113515994Y-91352618D01* -X113537221Y-91312905D01* -X113550292Y-91269813D01* -X113554706Y-91225000D01* -X113553600Y-90880950D01* -X113496450Y-90823800D01* -X112973800Y-90823800D01* -X112826200Y-90823800D01* -X112303550Y-90823800D01* -X112246400Y-90880950D01* -X112245294Y-91225000D01* -X98984549Y-91225000D01* -X98976265Y-91221569D01* -X98953265Y-91209275D01* -X98928309Y-91201704D01* -X98904187Y-91191713D01* -X98878582Y-91186620D01* -X98853624Y-91179049D01* -X98827664Y-91176492D01* -X98802063Y-91171400D01* -X98463420Y-91171400D01* -X98428600Y-91128972D01* -X98428600Y-90975958D01* -X98431157Y-90949999D01* -X98428600Y-90924038D01* -X98420951Y-90846376D01* -X98390725Y-90746735D01* -X98341641Y-90654905D01* -X98275585Y-90574415D01* -X98255410Y-90557858D01* -X98160595Y-90463043D01* -X98160591Y-90463037D01* -X98086963Y-90389409D01* -X98065251Y-90374901D01* -X98045094Y-90358359D01* -X98022097Y-90346067D01* -X98000386Y-90331560D01* -X97976261Y-90321567D01* -X97953264Y-90309275D01* -X97928311Y-90301706D01* -X97904187Y-90291713D01* -X97878580Y-90286620D01* -X97853623Y-90279049D01* -X97827665Y-90276492D01* -X97820164Y-90275000D01* -X112245294Y-90275000D01* -X112246400Y-90619050D01* -X112303550Y-90676200D01* -X112826200Y-90676200D01* -X112826200Y-90103550D01* -X112973800Y-90103550D01* -X112973800Y-90676200D01* -X113496450Y-90676200D01* -X113553600Y-90619050D01* -X113554706Y-90275000D01* -X113550292Y-90230187D01* -X113537221Y-90187095D01* -X113515994Y-90147382D01* -X113487427Y-90112573D01* -X113452618Y-90084006D01* -X113412905Y-90062779D01* -X113369813Y-90049708D01* -X113325000Y-90045294D01* -X113030950Y-90046400D01* -X112973800Y-90103550D01* -X112826200Y-90103550D01* -X112769050Y-90046400D01* -X112475000Y-90045294D01* -X112430187Y-90049708D01* -X112387095Y-90062779D01* -X112347382Y-90084006D01* -X112312573Y-90112573D01* -X112284006Y-90147382D01* -X112262779Y-90187095D01* -X112249708Y-90230187D01* -X112245294Y-90275000D01* -X97820164Y-90275000D01* -X97802063Y-90271400D01* -X97775962Y-90271400D01* -X97750000Y-90268843D01* -X97724038Y-90271400D01* -X97697937Y-90271400D01* -X97672335Y-90276492D01* -X97646377Y-90279049D01* -X97621420Y-90286620D01* -X97595813Y-90291713D01* -X97571689Y-90301706D01* -X97546736Y-90309275D01* -X97523739Y-90321567D01* -X97499614Y-90331560D01* -X97477903Y-90346067D01* -X97454906Y-90358359D01* -X97434750Y-90374901D01* -X97413037Y-90389409D01* -X97394571Y-90407875D01* -X97374416Y-90424416D01* -X97357875Y-90444571D01* -X97339409Y-90463037D01* -X97324901Y-90484750D01* -X97308359Y-90504906D01* -X97296067Y-90527903D01* -X97281560Y-90549614D01* -X97271567Y-90573739D01* -X97259275Y-90596736D01* -X97251706Y-90621689D01* -X97241713Y-90645813D01* -X97236620Y-90671420D01* -X97229049Y-90696377D01* -X97226492Y-90722335D01* -X97221400Y-90747937D01* -X97221400Y-90774038D01* -X97218843Y-90800000D01* -X97221400Y-90825962D01* -X97221400Y-90852063D01* -X97226492Y-90877665D01* -X97229049Y-90903623D01* -X97236620Y-90928580D01* -X97241713Y-90954187D01* -X97251706Y-90978311D01* -X97259275Y-91003264D01* -X97271567Y-91026261D01* -X97281560Y-91050386D01* -X97296067Y-91072097D01* -X97308359Y-91095094D01* -X97324901Y-91115251D01* -X97339409Y-91136963D01* -X97353378Y-91150932D01* -X97319819Y-91191824D01* -X97278955Y-91268275D01* -X97253791Y-91351230D01* -X97245294Y-91437500D01* -X97245294Y-91962500D01* -X97253791Y-92048770D01* -X97278955Y-92131725D01* -X97319819Y-92208176D01* -X97374813Y-92275187D01* -X97421401Y-92313420D01* -X97421401Y-92552857D01* -X97421400Y-92552862D01* -X97421400Y-92647138D01* -X97426013Y-92670331D01* -X97428327Y-92693822D01* -X97435179Y-92716409D01* -X97439792Y-92739603D01* -X97448841Y-92761448D01* -X97452205Y-92772539D01* -X97415555Y-92776149D01* -X97358394Y-92793488D01* -X97305714Y-92821646D01* -X97300000Y-92826335D01* -X97294286Y-92821646D01* -X97241606Y-92793488D01* -X97184445Y-92776149D01* -X97125000Y-92770294D01* -X96975000Y-92770294D01* -X96915555Y-92776149D01* -X96858394Y-92793488D01* -X96805714Y-92821646D01* -X96800000Y-92826335D01* -X96794286Y-92821646D01* -X96741606Y-92793488D01* -X96684445Y-92776149D01* -X96625000Y-92770294D01* -X96475000Y-92770294D01* -X96415555Y-92776149D01* -X96358394Y-92793488D01* -X96305714Y-92821646D01* -X96300000Y-92826335D01* -X96294286Y-92821646D01* -X96241606Y-92793488D01* -X96184445Y-92776149D01* -X96125000Y-92770294D01* -X95975000Y-92770294D01* -X95915555Y-92776149D01* -X95858394Y-92793488D01* -X95805714Y-92821646D01* -X95800000Y-92826335D01* -X95794286Y-92821646D01* -X95741606Y-92793488D01* -X95684445Y-92776149D01* -X95625000Y-92770294D01* -X95475000Y-92770294D01* -X95415555Y-92776149D01* -X95358394Y-92793488D01* -X95349947Y-92798003D01* -X95349206Y-92790484D01* -X95331846Y-92733255D01* -X95303655Y-92680513D01* -X95299772Y-92675782D01* -X95265716Y-92634284D01* -X95254134Y-92624779D01* -X94804355Y-92175000D01* -X95745294Y-92175000D01* -X95749708Y-92219813D01* -X95762779Y-92262905D01* -X95784006Y-92302618D01* -X95812573Y-92337427D01* -X95847382Y-92365994D01* -X95887095Y-92387221D01* -X95930187Y-92400292D01* -X95975000Y-92404706D01* -X96269050Y-92403600D01* -X96326200Y-92346450D01* -X96326200Y-91773800D01* -X96473800Y-91773800D01* -X96473800Y-92346450D01* -X96530950Y-92403600D01* -X96825000Y-92404706D01* -X96869813Y-92400292D01* -X96912905Y-92387221D01* -X96952618Y-92365994D01* -X96987427Y-92337427D01* -X97015994Y-92302618D01* -X97037221Y-92262905D01* -X97050292Y-92219813D01* -X97054706Y-92175000D01* -X97053600Y-91830950D01* -X96996450Y-91773800D01* -X96473800Y-91773800D01* -X96326200Y-91773800D01* -X95803550Y-91773800D01* -X95746400Y-91830950D01* -X95745294Y-92175000D01* -X94804355Y-92175000D01* -X94353600Y-91724246D01* -X94353600Y-91225000D01* -X95745294Y-91225000D01* -X95746400Y-91569050D01* -X95803550Y-91626200D01* -X96326200Y-91626200D01* -X96326200Y-91053550D01* -X96473800Y-91053550D01* -X96473800Y-91626200D01* -X96996450Y-91626200D01* -X97053600Y-91569050D01* -X97054706Y-91225000D01* -X97050292Y-91180187D01* -X97037221Y-91137095D01* -X97015994Y-91097382D01* -X96987427Y-91062573D01* -X96952618Y-91034006D01* -X96912905Y-91012779D01* -X96869813Y-90999708D01* -X96825000Y-90995294D01* -X96530950Y-90996400D01* -X96473800Y-91053550D01* -X96326200Y-91053550D01* -X96269050Y-90996400D01* -X95975000Y-90995294D01* -X95930187Y-90999708D01* -X95887095Y-91012779D01* -X95847382Y-91034006D01* -X95812573Y-91062573D01* -X95784006Y-91097382D01* -X95762779Y-91137095D01* -X95749708Y-91180187D01* -X95745294Y-91225000D01* -X94353600Y-91225000D01* -X94353600Y-89850000D01* -X113718843Y-89850000D01* -X113721400Y-89875962D01* -X113721400Y-89902063D01* -X113726492Y-89927665D01* -X113729049Y-89953623D01* -X113736620Y-89978580D01* -X113741713Y-90004187D01* -X113751706Y-90028311D01* -X113759275Y-90053264D01* -X113771567Y-90076261D01* -X113781560Y-90100386D01* -X113796067Y-90122097D01* -X113808359Y-90145094D01* -X113824901Y-90165251D01* -X113839409Y-90186963D01* -X113853378Y-90200932D01* -X113819819Y-90241824D01* -X113778955Y-90318275D01* -X113753791Y-90401230D01* -X113745294Y-90487500D01* -X113745294Y-91012500D01* -X113753791Y-91098770D01* -X113778955Y-91181725D01* -X113819819Y-91258176D01* -X113853378Y-91299068D01* -X113839409Y-91313037D01* -X113824901Y-91334749D01* -X113808359Y-91354906D01* -X113796067Y-91377903D01* -X113781560Y-91399614D01* -X113771567Y-91423739D01* -X113759275Y-91446736D01* -X113751706Y-91471689D01* -X113741713Y-91495813D01* -X113736620Y-91521420D01* -X113729049Y-91546377D01* -X113726492Y-91572335D01* -X113721400Y-91597937D01* -X113721400Y-91624038D01* -X113718843Y-91650000D01* -X113721400Y-91675962D01* -X113721400Y-91702063D01* -X113726492Y-91727665D01* -X113729049Y-91753623D01* -X113736620Y-91778580D01* -X113741713Y-91804187D01* -X113751706Y-91828311D01* -X113759275Y-91853264D01* -X113771567Y-91876261D01* -X113781560Y-91900386D01* -X113796067Y-91922097D01* -X113808359Y-91945094D01* -X113824901Y-91965250D01* -X113839409Y-91986963D01* -X113857875Y-92005429D01* -X113874416Y-92025584D01* -X113894571Y-92042125D01* -X113913037Y-92060591D01* -X113934750Y-92075099D01* -X113954906Y-92091641D01* -X113977903Y-92103933D01* -X113999614Y-92118440D01* -X114023739Y-92128433D01* -X114046736Y-92140725D01* -X114071689Y-92148294D01* -X114095813Y-92158287D01* -X114121420Y-92163380D01* -X114146377Y-92170951D01* -X114172335Y-92173508D01* -X114197937Y-92178600D01* -X114224038Y-92178600D01* -X114250000Y-92181157D01* -X114275962Y-92178600D01* -X114302063Y-92178600D01* -X114327665Y-92173508D01* -X114353623Y-92170951D01* -X114378580Y-92163380D01* -X114404187Y-92158287D01* -X114428311Y-92148294D01* -X114453264Y-92140725D01* -X114476261Y-92128433D01* -X114500386Y-92118440D01* -X114522097Y-92103933D01* -X114545094Y-92091641D01* -X114565251Y-92075099D01* -X114586963Y-92060591D01* -X114660591Y-91986963D01* -X114660595Y-91986957D01* -X114755410Y-91892142D01* -X114775585Y-91875585D01* -X114841641Y-91795095D01* -X114890725Y-91703265D01* -X114920951Y-91603624D01* -X114928600Y-91525962D01* -X114928600Y-91525960D01* -X114931157Y-91500001D01* -X114928600Y-91474042D01* -X114928600Y-91321028D01* -X114963420Y-91278600D01* -X115302063Y-91278600D01* -X115327664Y-91273508D01* -X115353624Y-91270951D01* -X115378582Y-91263380D01* -X115404187Y-91258287D01* -X115428309Y-91248296D01* -X115453265Y-91240725D01* -X115476265Y-91228431D01* -X115484548Y-91225000D01* -X122245294Y-91225000D01* -X122249708Y-91269813D01* -X122262779Y-91312905D01* -X122284006Y-91352618D01* -X122312573Y-91387427D01* -X122347382Y-91415994D01* -X122387095Y-91437221D01* -X122430187Y-91450292D01* -X122475000Y-91454706D01* -X122769050Y-91453600D01* -X122826200Y-91396450D01* -X122826200Y-90823800D01* -X122973800Y-90823800D01* -X122973800Y-91396450D01* -X123030950Y-91453600D01* -X123325000Y-91454706D01* -X123369813Y-91450292D01* -X123412905Y-91437221D01* -X123452618Y-91415994D01* -X123487427Y-91387427D01* -X123515994Y-91352618D01* -X123537221Y-91312905D01* -X123550292Y-91269813D01* -X123554706Y-91225000D01* -X123553600Y-90880950D01* -X123496450Y-90823800D01* -X122973800Y-90823800D01* -X122826200Y-90823800D01* -X122303550Y-90823800D01* -X122246400Y-90880950D01* -X122245294Y-91225000D01* -X115484548Y-91225000D01* -X115500386Y-91218440D01* -X115522093Y-91203936D01* -X115545095Y-91191641D01* -X115565257Y-91175094D01* -X115586963Y-91160591D01* -X115605421Y-91142133D01* -X115625585Y-91125585D01* -X115642133Y-91105421D01* -X115660591Y-91086963D01* -X115675094Y-91065257D01* -X115691641Y-91045095D01* -X115703936Y-91022093D01* -X115718440Y-91000386D01* -X115728431Y-90976265D01* -X115740725Y-90953265D01* -X115748296Y-90928309D01* -X115758287Y-90904187D01* -X115763380Y-90878582D01* -X115770951Y-90853624D01* -X115773508Y-90827664D01* -X115778600Y-90802063D01* -X115778600Y-90775961D01* -X115781157Y-90750000D01* -X115778600Y-90724038D01* -X115778600Y-90697937D01* -X115773508Y-90672336D01* -X115770951Y-90646376D01* -X115763380Y-90621418D01* -X115758287Y-90595813D01* -X115748296Y-90571691D01* -X115740725Y-90546735D01* -X115728431Y-90523735D01* -X115718440Y-90499614D01* -X115703936Y-90477907D01* -X115691641Y-90454905D01* -X115675094Y-90434743D01* -X115660591Y-90413037D01* -X115642133Y-90394579D01* -X115625585Y-90374415D01* -X115605421Y-90357867D01* -X115586963Y-90339409D01* -X115565257Y-90324906D01* -X115545095Y-90308359D01* -X115522093Y-90296064D01* -X115500386Y-90281560D01* -X115484549Y-90275000D01* -X122245294Y-90275000D01* -X122246400Y-90619050D01* -X122303550Y-90676200D01* -X122826200Y-90676200D01* -X122826200Y-90103550D01* -X122973800Y-90103550D01* -X122973800Y-90676200D01* -X123496450Y-90676200D01* -X123553600Y-90619050D01* -X123554706Y-90275000D01* -X123550292Y-90230187D01* -X123537221Y-90187095D01* -X123515994Y-90147382D01* -X123487427Y-90112573D01* -X123452618Y-90084006D01* -X123412905Y-90062779D01* -X123369813Y-90049708D01* -X123325000Y-90045294D01* -X123030950Y-90046400D01* -X122973800Y-90103550D01* -X122826200Y-90103550D01* -X122769050Y-90046400D01* -X122475000Y-90045294D01* -X122430187Y-90049708D01* -X122387095Y-90062779D01* -X122347382Y-90084006D01* -X122312573Y-90112573D01* -X122284006Y-90147382D01* -X122262779Y-90187095D01* -X122249708Y-90230187D01* -X122245294Y-90275000D01* -X115484549Y-90275000D01* -X115476265Y-90271569D01* -X115453265Y-90259275D01* -X115428309Y-90251704D01* -X115404187Y-90241713D01* -X115378582Y-90236620D01* -X115353624Y-90229049D01* -X115327664Y-90226492D01* -X115302063Y-90221400D01* -X114963420Y-90221400D01* -X114928600Y-90178972D01* -X114928600Y-90025958D01* -X114931157Y-89999999D01* -X114928600Y-89974038D01* -X114920951Y-89896376D01* -X114890725Y-89796735D01* -X114841641Y-89704905D01* -X114775585Y-89624415D01* -X114755410Y-89607858D01* -X114660595Y-89513043D01* -X114660591Y-89513037D01* -X114586963Y-89439409D01* -X114565251Y-89424901D01* -X114545094Y-89408359D01* -X114522097Y-89396067D01* -X114500386Y-89381560D01* -X114476261Y-89371567D01* -X114453264Y-89359275D01* -X114428311Y-89351706D01* -X114404187Y-89341713D01* -X114378580Y-89336620D01* -X114353623Y-89329049D01* -X114327665Y-89326492D01* -X114302063Y-89321400D01* -X114275962Y-89321400D01* -X114250000Y-89318843D01* -X114224038Y-89321400D01* -X114197937Y-89321400D01* -X114172335Y-89326492D01* -X114146377Y-89329049D01* -X114121420Y-89336620D01* -X114095813Y-89341713D01* -X114071689Y-89351706D01* -X114046736Y-89359275D01* -X114023739Y-89371567D01* -X113999614Y-89381560D01* -X113977903Y-89396067D01* -X113954906Y-89408359D01* -X113934750Y-89424901D01* -X113913037Y-89439409D01* -X113894571Y-89457875D01* -X113874416Y-89474416D01* -X113857875Y-89494571D01* -X113839409Y-89513037D01* -X113824901Y-89534750D01* -X113808359Y-89554906D01* -X113796067Y-89577903D01* -X113781560Y-89599614D01* -X113771567Y-89623739D01* -X113759275Y-89646736D01* -X113751706Y-89671689D01* -X113741713Y-89695813D01* -X113736620Y-89721420D01* -X113729049Y-89746377D01* -X113726492Y-89772335D01* -X113721400Y-89797937D01* -X113721400Y-89824038D01* -X113718843Y-89850000D01* -X94353600Y-89850000D01* -X94353600Y-89664903D01* -X94355068Y-89649999D01* -X94349206Y-89590484D01* -X94338034Y-89553655D01* -X94331846Y-89533256D01* -X94303655Y-89480513D01* -X94265716Y-89434284D01* -X94254134Y-89424779D01* -X92725226Y-87895872D01* -X92715716Y-87884284D01* -X92669487Y-87846345D01* -X92616744Y-87818154D01* -X92559516Y-87800794D01* -X92514904Y-87796400D01* -X92500000Y-87794932D01* -X92485096Y-87796400D01* -X88589904Y-87796400D01* -X88575000Y-87794932D01* -X88560096Y-87796400D01* -X88515484Y-87800794D01* -X88458256Y-87818154D01* -X88405513Y-87846345D01* -X88359284Y-87884284D01* -X88349779Y-87895866D01* -X86249246Y-89996400D01* -X75264904Y-89996400D01* -X75250000Y-89994932D01* -X75235096Y-89996400D01* -X75190484Y-90000794D01* -X75133256Y-90018154D01* -X75080513Y-90046345D01* -X75034284Y-90084284D01* -X75024779Y-90095866D01* -X70495872Y-94624774D01* -X70484284Y-94634284D01* -X70446345Y-94680514D01* -X70418154Y-94733257D01* -X70406008Y-94773298D01* -X70400794Y-94790485D01* -X70394932Y-94850000D01* -X70035191Y-94850000D01* -X70024130Y-94823298D01* -X69971753Y-94744910D01* -X69905090Y-94678247D01* -X69826702Y-94625870D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69175870Y-94823298D01* -X69139792Y-94910397D01* -X69121400Y-95002862D01* -X69121400Y-95097138D01* -X69139792Y-95189603D01* -X69175870Y-95276702D01* -X69181157Y-95284614D01* -X69141863Y-95316863D01* -X69100859Y-95366825D01* -X69100000Y-95368432D01* -X69099141Y-95366825D01* -X69058137Y-95316863D01* -X69008175Y-95275859D01* -X68951173Y-95245391D01* -X68889322Y-95226629D01* -X68825000Y-95220294D01* -X68375000Y-95220294D01* -X68310678Y-95226629D01* -X68248827Y-95245391D01* -X68191825Y-95275859D01* -X68141863Y-95316863D01* -X68100859Y-95366825D01* -X68070391Y-95423827D01* -X68051629Y-95485678D01* -X68045294Y-95550000D01* -X68045294Y-95750000D01* -X68051629Y-95814322D01* -X68054578Y-95824043D01* -X68033194Y-95826149D01* -X68025781Y-95826879D01* -X68009701Y-95831757D01* -X67954415Y-95848528D01* -X67888644Y-95883683D01* -X67830995Y-95930995D01* -X67819141Y-95945439D01* -X67778361Y-95986219D01* -X67760397Y-95989792D01* -X67673298Y-96025870D01* -X67594910Y-96078247D01* -X67528247Y-96144910D01* -X67475870Y-96223298D01* -X67439792Y-96310397D01* -X67421400Y-96402862D01* -X48894597Y-96402862D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48846027Y-95757879D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-93606544D01* -X46201385Y-93485617D01* -X46981800Y-93485617D01* -X46981800Y-93712383D01* -X47026040Y-93934793D01* -X47112820Y-94144298D01* -X47238805Y-94332847D01* -X47399153Y-94493195D01* -X47587702Y-94619180D01* -X47797207Y-94705960D01* -X48019617Y-94750200D01* -X48246383Y-94750200D01* -X48468793Y-94705960D01* -X48678298Y-94619180D01* -X48866847Y-94493195D01* -X49027195Y-94332847D01* -X49153180Y-94144298D01* -X49239960Y-93934793D01* -X49284200Y-93712383D01* -X49284200Y-93485617D01* -X49239960Y-93263207D01* -X49153180Y-93053702D01* -X49027195Y-92865153D01* -X48866847Y-92704805D01* -X48678298Y-92578820D01* -X48468793Y-92492040D01* -X48246383Y-92447800D01* -X48019617Y-92447800D01* -X47797207Y-92492040D01* -X47587702Y-92578820D01* -X47399153Y-92704805D01* -X47238805Y-92865153D01* -X47112820Y-93053702D01* -X47026040Y-93263207D01* -X46981800Y-93485617D01* -X46201385Y-93485617D01* -X46300719Y-92988951D01* -X46544624Y-92501140D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56228147Y-82817617D01* -X57649800Y-82817617D01* -X57649800Y-83044383D01* -X57694040Y-83266793D01* -X57780820Y-83476298D01* -X57906805Y-83664847D01* -X58067153Y-83825195D01* -X58255702Y-83951180D01* -X58465207Y-84037960D01* -X58687617Y-84082200D01* -X58914383Y-84082200D01* -X59136793Y-84037960D01* -X59346298Y-83951180D01* -X59534847Y-83825195D01* -X59695195Y-83664847D01* -X59821180Y-83476298D01* -X59907960Y-83266793D01* -X59952200Y-83044383D01* -X59952200Y-82817617D01* -X59907960Y-82595207D01* -X59821180Y-82385702D01* -X59793152Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141259810Y-82309617D01* -X141850800Y-82309617D01* -X141850800Y-82536383D01* -X141895040Y-82758793D01* -X141981820Y-82968298D01* -X142107805Y-83156847D01* -X142268153Y-83317195D01* -X142456702Y-83443180D01* -X142666207Y-83529960D01* -X142888617Y-83574200D01* -X143115383Y-83574200D01* -X143337793Y-83529960D01* -X143547298Y-83443180D01* -X143735847Y-83317195D01* -X143896195Y-83156847D01* -X144022180Y-82968298D01* -X144108960Y-82758793D01* -X144153200Y-82536383D01* -X144153200Y-82309617D01* -X144108960Y-82087207D01* -X144022180Y-81877702D01* -X143896195Y-81689153D01* -X143735847Y-81528805D01* -X143547298Y-81402820D01* -X143337793Y-81316040D01* -X143115383Y-81271800D01* -X142888617Y-81271800D01* -X142666207Y-81316040D01* -X142456702Y-81402820D01* -X142268153Y-81528805D01* -X142107805Y-81689153D01* -X141981820Y-81877702D01* -X141895040Y-82087207D01* -X141850800Y-82309617D01* -X141259810Y-82309617D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X59793152Y-82343754D01* -X59695195Y-82197153D01* -X59534847Y-82036805D01* -X59346298Y-81910820D01* -X59136793Y-81824040D01* -X58914383Y-81779800D01* -X58687617Y-81779800D01* -X58465207Y-81824040D01* -X58255702Y-81910820D01* -X58067153Y-82036805D01* -X57906805Y-82197153D01* -X57780820Y-82385702D01* -X57694040Y-82595207D01* -X57649800Y-82817617D01* -X56228147Y-82817617D01* -X58211140Y-80834624D01* -X58698951Y-80590719D01* -X59316544Y-80467200D01* -X142994456Y-80467200D01* -X143609112Y-80590131D01* -G37* -X143609112Y-80590131D02* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144957800Y-82430544D01* -X144957800Y-129532456D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X142994456Y-131495800D01* -X138150600Y-131495800D01* -X138150600Y-130272690D01* -X138996673Y-129426617D01* -X141850800Y-129426617D01* -X141850800Y-129653383D01* -X141895040Y-129875793D01* -X141981820Y-130085298D01* -X142107805Y-130273847D01* -X142268153Y-130434195D01* -X142456702Y-130560180D01* -X142666207Y-130646960D01* -X142888617Y-130691200D01* -X143115383Y-130691200D01* -X143337793Y-130646960D01* -X143547298Y-130560180D01* -X143735847Y-130434195D01* -X143896195Y-130273847D01* -X144022180Y-130085298D01* -X144108960Y-129875793D01* -X144153200Y-129653383D01* -X144153200Y-129426617D01* -X144108960Y-129204207D01* -X144022180Y-128994702D01* -X143896195Y-128806153D01* -X143735847Y-128645805D01* -X143547298Y-128519820D01* -X143337793Y-128433040D01* -X143115383Y-128388800D01* -X142888617Y-128388800D01* -X142666207Y-128433040D01* -X142456702Y-128519820D01* -X142268153Y-128645805D01* -X142107805Y-128806153D01* -X141981820Y-128994702D01* -X141895040Y-129204207D01* -X141850800Y-129426617D01* -X138996673Y-129426617D01* -X141502536Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X141502536Y-126920754D01* -X141961645Y-126461645D01* -X141990074Y-126427003D01* -X142011199Y-126387481D01* -X142024208Y-126344598D01* -X142028600Y-126300000D01* -X142028600Y-125200000D01* -X142024208Y-125155402D01* -X142011199Y-125112519D01* -X141990074Y-125072997D01* -X141961645Y-125038355D01* -X141511645Y-124588355D01* -X141477003Y-124559926D01* -X141437481Y-124538801D01* -X141394598Y-124525792D01* -X141350000Y-124521400D01* -X140950685Y-124521400D01* -X140946754Y-124514045D01* -X140885542Y-124439458D01* -X140810955Y-124378246D01* -X140725859Y-124332761D01* -X140633525Y-124304752D01* -X140537500Y-124295294D01* -X139662500Y-124295294D01* -X139566475Y-124304752D01* -X139474141Y-124332761D01* -X139389045Y-124378246D01* -X139349596Y-124410621D01* -X138813975Y-123875000D01* -X139170294Y-123875000D01* -X139174708Y-123919813D01* -X139187779Y-123962905D01* -X139209006Y-124002618D01* -X139237573Y-124037427D01* -X139272382Y-124065994D01* -X139312095Y-124087221D01* -X139355187Y-124100292D01* -X139400000Y-124104706D01* -X139969050Y-124103600D01* -X140026200Y-124046450D01* -X140026200Y-123423800D01* -X140173800Y-123423800D01* -X140173800Y-124046450D01* -X140230950Y-124103600D01* -X140800000Y-124104706D01* -X140844813Y-124100292D01* -X140887905Y-124087221D01* -X140927618Y-124065994D01* -X140962427Y-124037427D01* -X140990994Y-124002618D01* -X141012221Y-123962905D01* -X141025292Y-123919813D01* -X141029706Y-123875000D01* -X141028600Y-123480950D01* -X140971450Y-123423800D01* -X140173800Y-123423800D01* -X140026200Y-123423800D01* -X139228550Y-123423800D01* -X139171400Y-123480950D01* -X139170294Y-123875000D01* -X138813975Y-123875000D01* -X138716325Y-123777351D01* -X138696638Y-123753362D01* -X138600921Y-123674810D01* -X138491719Y-123616440D01* -X138373227Y-123580496D01* -X138280875Y-123571400D01* -X138250000Y-123568359D01* -X138219125Y-123571400D01* -X137786229Y-123571400D01* -X137775000Y-123570294D01* -X137319646Y-123570294D01* -X137300000Y-123568359D01* -X137280354Y-123570294D01* -X136825000Y-123570294D01* -X136741169Y-123578551D01* -X136660559Y-123603003D01* -X136586268Y-123642713D01* -X136521152Y-123696152D01* -X136467713Y-123761268D01* -X136428003Y-123835559D01* -X136403551Y-123916169D01* -X136395294Y-124000000D01* -X136395294Y-124400000D01* -X136403551Y-124483831D01* -X136428003Y-124564441D01* -X136467713Y-124638732D01* -X136521152Y-124703848D01* -X136586268Y-124757287D01* -X136660559Y-124796997D01* -X136671400Y-124800285D01* -X136671400Y-126347125D01* -X136668359Y-126378000D01* -X136671400Y-126408875D01* -X136671400Y-126599791D01* -X136654489Y-126625100D01* -X136634810Y-126649079D01* -X136620189Y-126676433D01* -X136602941Y-126702246D01* -X136591061Y-126730928D01* -X136576440Y-126758281D01* -X136567436Y-126787962D01* -X136555556Y-126816644D01* -X136549500Y-126847091D01* -X136540496Y-126876773D01* -X136537455Y-126907645D01* -X136531400Y-126938088D01* -X136531400Y-126969125D01* -X136528359Y-127000000D01* -X136531400Y-127030875D01* -X136531400Y-127061912D01* -X136537455Y-127092355D01* -X136540496Y-127123227D01* -X136549500Y-127152909D01* -X136550401Y-127157439D01* -X136550401Y-127483684D01* -X136549458Y-127484458D01* -X136488246Y-127559045D01* -X136442761Y-127644141D01* -X136414752Y-127736475D01* -X136405294Y-127832500D01* -X136405294Y-128707500D01* -X136414752Y-128803525D01* -X136442761Y-128895859D01* -X136488246Y-128980955D01* -X136526055Y-129027025D01* -X136493945Y-129059135D01* -X136456152Y-129090151D01* -X136425136Y-129127944D01* -X136390551Y-129162529D01* -X136363379Y-129203194D01* -X136332362Y-129240989D01* -X136309314Y-129284109D01* -X136282142Y-129324775D01* -X136263425Y-129369963D01* -X136240378Y-129413080D01* -X136226186Y-129459863D01* -X136207468Y-129505053D01* -X136197926Y-129553024D01* -X136183734Y-129599808D01* -X136178942Y-129648464D01* -X136169400Y-129696434D01* -X136169400Y-129891566D01* -X136169401Y-129891571D01* -X136169401Y-131495800D01* -X135353185Y-131495800D01* -X135340290Y-131485217D01* -X135234707Y-131428781D01* -X135120143Y-131394029D01* -X135056531Y-131387764D01* -X135080208Y-131330603D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134183469Y-131387764D01* -X134119857Y-131394029D01* -X134005293Y-131428781D01* -X133899710Y-131485217D01* -X133886815Y-131495800D01* -X132813185Y-131495800D01* -X132800290Y-131485217D01* -X132694707Y-131428781D01* -X132580143Y-131394029D01* -X132516531Y-131387764D01* -X132540208Y-131330603D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131643469Y-131387764D01* -X131579857Y-131394029D01* -X131465293Y-131428781D01* -X131359710Y-131485217D01* -X131346815Y-131495800D01* -X130273185Y-131495800D01* -X130260290Y-131485217D01* -X130154707Y-131428781D01* -X130040143Y-131394029D01* -X129921000Y-131382294D01* -X129159000Y-131382294D01* -X129039857Y-131394029D01* -X128925293Y-131428781D01* -X128819710Y-131485217D01* -X128806815Y-131495800D01* -X127733185Y-131495800D01* -X127720290Y-131485217D01* -X127614707Y-131428781D01* -X127500143Y-131394029D01* -X127381000Y-131382294D01* -X126619000Y-131382294D01* -X126499857Y-131394029D01* -X126385293Y-131428781D01* -X126279710Y-131485217D01* -X126266815Y-131495800D01* -X125193185Y-131495800D01* -X125180290Y-131485217D01* -X125074707Y-131428781D01* -X124960143Y-131394029D01* -X124841000Y-131382294D01* -X124763600Y-131382294D01* -X124763600Y-129224903D01* -X124765068Y-129209999D01* -X124759206Y-129150484D01* -X124741846Y-129093256D01* -X124713655Y-129040513D01* -X124675716Y-128994284D01* -X124664140Y-128984784D01* -X124649356Y-128970000D01* -X134705294Y-128970000D01* -X134709708Y-129014813D01* -X134722779Y-129057905D01* -X134744006Y-129097618D01* -X134772573Y-129132427D01* -X134807382Y-129160994D01* -X134847095Y-129182221D01* -X134890187Y-129195292D01* -X134935000Y-129199706D01* -X135329050Y-129198600D01* -X135386200Y-129141450D01* -X135386200Y-128343800D01* -X135533800Y-128343800D01* -X135533800Y-129141450D01* -X135590950Y-129198600D01* -X135985000Y-129199706D01* -X136029813Y-129195292D01* -X136072905Y-129182221D01* -X136112618Y-129160994D01* -X136147427Y-129132427D01* -X136175994Y-129097618D01* -X136197221Y-129057905D01* -X136210292Y-129014813D01* -X136214706Y-128970000D01* -X136213600Y-128400950D01* -X136156450Y-128343800D01* -X135533800Y-128343800D01* -X135386200Y-128343800D01* -X134763550Y-128343800D01* -X134706400Y-128400950D01* -X134705294Y-128970000D01* -X124649356Y-128970000D01* -X123249355Y-127570000D01* -X134705294Y-127570000D01* -X134706400Y-128139050D01* -X134763550Y-128196200D01* -X135386200Y-128196200D01* -X135386200Y-127398550D01* -X135533800Y-127398550D01* -X135533800Y-128196200D01* -X136156450Y-128196200D01* -X136213600Y-128139050D01* -X136214706Y-127570000D01* -X136210292Y-127525187D01* -X136197221Y-127482095D01* -X136175994Y-127442382D01* -X136147427Y-127407573D01* -X136112618Y-127379006D01* -X136072905Y-127357779D01* -X136029813Y-127344708D01* -X135985000Y-127340294D01* -X135590950Y-127341400D01* -X135533800Y-127398550D01* -X135386200Y-127398550D01* -X135329050Y-127341400D01* -X134935000Y-127340294D01* -X134890187Y-127344708D01* -X134847095Y-127357779D01* -X134807382Y-127379006D01* -X134772573Y-127407573D01* -X134744006Y-127442382D01* -X134722779Y-127482095D01* -X134709708Y-127525187D01* -X134705294Y-127570000D01* -X123249355Y-127570000D01* -X122375226Y-126695872D01* -X122365716Y-126684284D01* -X122319487Y-126646345D01* -X122266744Y-126618154D01* -X122209516Y-126600794D01* -X122164904Y-126596400D01* -X122150000Y-126594932D01* -X122135096Y-126596400D01* -X114214903Y-126596400D01* -X114199999Y-126594932D01* -X114140484Y-126600794D01* -X114083256Y-126618154D01* -X114030513Y-126646345D01* -X113984284Y-126684284D01* -X113974783Y-126695861D01* -X113198896Y-127471750D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112725870Y-127723298D01* -X112689792Y-127810397D01* -X112671400Y-127902862D01* -X112671400Y-127997138D01* -X112689792Y-128089603D01* -X112725870Y-128176702D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113628250Y-127901104D01* -X113959354Y-127570000D01* -X114639294Y-127570000D01* -X114640400Y-128139050D01* -X114697550Y-128196200D01* -X115320200Y-128196200D01* -X115320200Y-127398550D01* -X115467800Y-127398550D01* -X115467800Y-128196200D01* -X116090450Y-128196200D01* -X116147600Y-128139050D01* -X116148195Y-127832500D01* -X116339294Y-127832500D01* -X116339294Y-128707500D01* -X116348752Y-128803525D01* -X116376761Y-128895859D01* -X116422246Y-128980955D01* -X116465401Y-129033539D01* -X116465401Y-129478083D01* -X116465400Y-129478088D01* -X116465400Y-129601912D01* -X116471457Y-129632365D01* -X116474497Y-129663227D01* -X116483498Y-129692899D01* -X116489556Y-129723356D01* -X116501440Y-129752047D01* -X116510441Y-129781719D01* -X116525057Y-129809064D01* -X116536941Y-129837754D01* -X116554194Y-129863575D01* -X116568811Y-129890921D01* -X116588484Y-129914893D01* -X116605734Y-129940709D01* -X116627685Y-129962660D01* -X116647363Y-129986638D01* -X116671341Y-130006316D01* -X116693291Y-130028266D01* -X116719106Y-130045515D01* -X116743080Y-130065190D01* -X116770429Y-130079808D01* -X116796246Y-130097059D01* -X116824932Y-130108941D01* -X116852282Y-130123560D01* -X116881959Y-130132563D01* -X116910644Y-130144444D01* -X116941094Y-130150501D01* -X116970774Y-130159504D01* -X117001644Y-130162545D01* -X117032088Y-130168600D01* -X117063125Y-130168600D01* -X117094000Y-130171641D01* -X117124875Y-130168600D01* -X117155912Y-130168600D01* -X117186355Y-130162545D01* -X117217227Y-130159504D01* -X117246909Y-130150500D01* -X117277356Y-130144444D01* -X117306038Y-130132564D01* -X117335719Y-130123560D01* -X117363072Y-130108939D01* -X117391754Y-130097059D01* -X117417567Y-130079811D01* -X117444921Y-130065190D01* -X117468900Y-130045511D01* -X117494709Y-130028266D01* -X117516654Y-130006321D01* -X117540638Y-129986638D01* -X117560321Y-129962654D01* -X117582266Y-129940709D01* -X117599511Y-129914900D01* -X117619190Y-129890921D01* -X117633811Y-129863567D01* -X117651059Y-129837754D01* -X117662939Y-129809072D01* -X117677560Y-129781719D01* -X117686564Y-129752038D01* -X117698444Y-129723356D01* -X117704500Y-129692909D01* -X117713504Y-129663227D01* -X117716545Y-129632355D01* -X117722600Y-129601912D01* -X117722600Y-129033538D01* -X117765754Y-128980955D01* -X117811239Y-128895859D01* -X117839248Y-128803525D01* -X117848706Y-128707500D01* -X117848706Y-127832500D01* -X118371294Y-127832500D01* -X118371294Y-128707500D01* -X118380752Y-128803525D01* -X118408761Y-128895859D01* -X118454246Y-128980955D01* -X118497401Y-129033539D01* -X118497401Y-129478083D01* -X118497400Y-129478088D01* -X118497400Y-129601912D01* -X118503457Y-129632365D01* -X118506497Y-129663227D01* -X118515498Y-129692899D01* -X118521556Y-129723356D01* -X118533440Y-129752047D01* -X118542441Y-129781719D01* -X118557057Y-129809064D01* -X118568941Y-129837754D01* -X118586194Y-129863575D01* -X118600811Y-129890921D01* -X118620484Y-129914893D01* -X118637734Y-129940709D01* -X118659685Y-129962660D01* -X118679363Y-129986638D01* -X118703341Y-130006316D01* -X118725291Y-130028266D01* -X118751106Y-130045515D01* -X118775080Y-130065190D01* -X118802429Y-130079808D01* -X118828246Y-130097059D01* -X118856932Y-130108941D01* -X118884282Y-130123560D01* -X118913959Y-130132563D01* -X118942644Y-130144444D01* -X118973094Y-130150501D01* -X119002774Y-130159504D01* -X119033644Y-130162545D01* -X119064088Y-130168600D01* -X119095125Y-130168600D01* -X119126000Y-130171641D01* -X119156875Y-130168600D01* -X119187912Y-130168600D01* -X119218355Y-130162545D01* -X119249227Y-130159504D01* -X119278909Y-130150500D01* -X119309356Y-130144444D01* -X119338038Y-130132564D01* -X119367719Y-130123560D01* -X119395072Y-130108939D01* -X119423754Y-130097059D01* -X119449567Y-130079811D01* -X119476921Y-130065190D01* -X119500900Y-130045511D01* -X119526709Y-130028266D01* -X119548654Y-130006321D01* -X119572638Y-129986638D01* -X119592321Y-129962654D01* -X119614266Y-129940709D01* -X119631511Y-129914900D01* -X119651190Y-129890921D01* -X119665811Y-129863567D01* -X119683059Y-129837754D01* -X119694939Y-129809072D01* -X119709560Y-129781719D01* -X119718564Y-129752038D01* -X119730444Y-129723356D01* -X119736500Y-129692909D01* -X119745504Y-129663227D01* -X119748545Y-129632355D01* -X119754600Y-129601912D01* -X119754600Y-129033538D01* -X119797754Y-128980955D01* -X119803609Y-128970000D01* -X120071294Y-128970000D01* -X120075708Y-129014813D01* -X120088779Y-129057905D01* -X120110006Y-129097618D01* -X120138573Y-129132427D01* -X120173382Y-129160994D01* -X120213095Y-129182221D01* -X120256187Y-129195292D01* -X120301000Y-129199706D01* -X120695050Y-129198600D01* -X120752200Y-129141450D01* -X120752200Y-128343800D01* -X120899800Y-128343800D01* -X120899800Y-129141450D01* -X120956950Y-129198600D01* -X121351000Y-129199706D01* -X121395813Y-129195292D01* -X121438905Y-129182221D01* -X121478618Y-129160994D01* -X121513427Y-129132427D01* -X121541994Y-129097618D01* -X121563221Y-129057905D01* -X121576292Y-129014813D01* -X121580706Y-128970000D01* -X121579600Y-128400950D01* -X121522450Y-128343800D01* -X120899800Y-128343800D01* -X120752200Y-128343800D01* -X120129550Y-128343800D01* -X120072400Y-128400950D01* -X120071294Y-128970000D01* -X119803609Y-128970000D01* -X119843239Y-128895859D01* -X119871248Y-128803525D01* -X119880706Y-128707500D01* -X119880706Y-127832500D01* -X119871248Y-127736475D01* -X119843239Y-127644141D01* -X119803610Y-127570000D01* -X120071294Y-127570000D01* -X120072400Y-128139050D01* -X120129550Y-128196200D01* -X120752200Y-128196200D01* -X120752200Y-127398550D01* -X120899800Y-127398550D01* -X120899800Y-128196200D01* -X121522450Y-128196200D01* -X121579600Y-128139050D01* -X121580706Y-127570000D01* -X121576292Y-127525187D01* -X121563221Y-127482095D01* -X121541994Y-127442382D01* -X121513427Y-127407573D01* -X121478618Y-127379006D01* -X121438905Y-127357779D01* -X121395813Y-127344708D01* -X121351000Y-127340294D01* -X120956950Y-127341400D01* -X120899800Y-127398550D01* -X120752200Y-127398550D01* -X120695050Y-127341400D01* -X120301000Y-127340294D01* -X120256187Y-127344708D01* -X120213095Y-127357779D01* -X120173382Y-127379006D01* -X120138573Y-127407573D01* -X120110006Y-127442382D01* -X120088779Y-127482095D01* -X120075708Y-127525187D01* -X120071294Y-127570000D01* -X119803610Y-127570000D01* -X119797754Y-127559045D01* -X119736542Y-127484458D01* -X119661955Y-127423246D01* -X119576859Y-127377761D01* -X119484525Y-127349752D01* -X119388500Y-127340294D01* -X118863500Y-127340294D01* -X118767475Y-127349752D01* -X118675141Y-127377761D01* -X118590045Y-127423246D01* -X118515458Y-127484458D01* -X118454246Y-127559045D01* -X118408761Y-127644141D01* -X118380752Y-127736475D01* -X118371294Y-127832500D01* -X117848706Y-127832500D01* -X117839248Y-127736475D01* -X117811239Y-127644141D01* -X117765754Y-127559045D01* -X117704542Y-127484458D01* -X117629955Y-127423246D01* -X117544859Y-127377761D01* -X117452525Y-127349752D01* -X117356500Y-127340294D01* -X116831500Y-127340294D01* -X116735475Y-127349752D01* -X116643141Y-127377761D01* -X116558045Y-127423246D01* -X116483458Y-127484458D01* -X116422246Y-127559045D01* -X116376761Y-127644141D01* -X116348752Y-127736475D01* -X116339294Y-127832500D01* -X116148195Y-127832500D01* -X116148706Y-127570000D01* -X116144292Y-127525187D01* -X116131221Y-127482095D01* -X116109994Y-127442382D01* -X116081427Y-127407573D01* -X116046618Y-127379006D01* -X116006905Y-127357779D01* -X115963813Y-127344708D01* -X115919000Y-127340294D01* -X115524950Y-127341400D01* -X115467800Y-127398550D01* -X115320200Y-127398550D01* -X115263050Y-127341400D01* -X114869000Y-127340294D01* -X114824187Y-127344708D01* -X114781095Y-127357779D01* -X114741382Y-127379006D01* -X114706573Y-127407573D01* -X114678006Y-127442382D01* -X114656779Y-127482095D01* -X114643708Y-127525187D01* -X114639294Y-127570000D01* -X113959354Y-127570000D01* -X114325756Y-127203600D01* -X122024246Y-127203600D01* -X124156400Y-129335756D01* -X124156401Y-131176087D01* -X124156400Y-131176097D01* -X124156400Y-131382294D01* -X124079000Y-131382294D01* -X123959857Y-131394029D01* -X123845293Y-131428781D01* -X123739710Y-131485217D01* -X123726815Y-131495800D01* -X122653185Y-131495800D01* -X122640290Y-131485217D01* -X122534707Y-131428781D01* -X122420143Y-131394029D01* -X122301000Y-131382294D01* -X121539000Y-131382294D01* -X121419857Y-131394029D01* -X121305293Y-131428781D01* -X121199710Y-131485217D01* -X121186815Y-131495800D01* -X120113185Y-131495800D01* -X120100290Y-131485217D01* -X119994707Y-131428781D01* -X119880143Y-131394029D01* -X119761000Y-131382294D01* -X119683600Y-131382294D01* -X119683600Y-131194904D01* -X119685068Y-131180000D01* -X119679206Y-131120484D01* -X119676173Y-131110485D01* -X119661846Y-131063256D01* -X119633655Y-131010513D01* -X119595716Y-130964284D01* -X119584133Y-130954779D01* -X118965226Y-130335872D01* -X118955716Y-130324284D01* -X118909487Y-130286345D01* -X118856744Y-130258154D01* -X118799516Y-130240794D01* -X118754904Y-130236400D01* -X118740000Y-130234932D01* -X118725096Y-130236400D01* -X114665755Y-130236400D01* -X113399355Y-128970000D01* -X114639294Y-128970000D01* -X114643708Y-129014813D01* -X114656779Y-129057905D01* -X114678006Y-129097618D01* -X114706573Y-129132427D01* -X114741382Y-129160994D01* -X114781095Y-129182221D01* -X114824187Y-129195292D01* -X114869000Y-129199706D01* -X115263050Y-129198600D01* -X115320200Y-129141450D01* -X115320200Y-128343800D01* -X115467800Y-128343800D01* -X115467800Y-129141450D01* -X115524950Y-129198600D01* -X115919000Y-129199706D01* -X115963813Y-129195292D01* -X116006905Y-129182221D01* -X116046618Y-129160994D01* -X116081427Y-129132427D01* -X116109994Y-129097618D01* -X116131221Y-129057905D01* -X116144292Y-129014813D01* -X116148706Y-128970000D01* -X116147600Y-128400950D01* -X116090450Y-128343800D01* -X115467800Y-128343800D01* -X115320200Y-128343800D01* -X114697550Y-128343800D01* -X114640400Y-128400950D01* -X114639294Y-128970000D01* -X113399355Y-128970000D01* -X111225226Y-126795872D01* -X111215716Y-126784284D01* -X111169487Y-126746345D01* -X111116744Y-126718154D01* -X111059516Y-126700794D01* -X111014904Y-126696400D01* -X111000000Y-126694932D01* -X110985096Y-126696400D01* -X110075755Y-126696400D01* -X109903600Y-126524246D01* -X109903600Y-125869318D01* -X109937095Y-125887221D01* -X109980187Y-125900292D01* -X110025000Y-125904706D01* -X110119050Y-125903600D01* -X110176200Y-125846450D01* -X110176200Y-125023800D01* -X110323800Y-125023800D01* -X110323800Y-125846450D01* -X110380950Y-125903600D01* -X110475000Y-125904706D01* -X110519813Y-125900292D01* -X110562905Y-125887221D01* -X110575000Y-125880756D01* -X110587095Y-125887221D01* -X110630187Y-125900292D01* -X110675000Y-125904706D01* -X110769050Y-125903600D01* -X110826200Y-125846450D01* -X110826200Y-125023800D01* -X110973800Y-125023800D01* -X110973800Y-125846450D01* -X111030950Y-125903600D01* -X111125000Y-125904706D01* -X111169813Y-125900292D01* -X111212905Y-125887221D01* -X111225000Y-125880756D01* -X111237095Y-125887221D01* -X111280187Y-125900292D01* -X111325000Y-125904706D01* -X111419050Y-125903600D01* -X111476200Y-125846450D01* -X111476200Y-125023800D01* -X111623800Y-125023800D01* -X111623800Y-125846450D01* -X111680950Y-125903600D01* -X111775000Y-125904706D01* -X111819813Y-125900292D01* -X111862905Y-125887221D01* -X111902618Y-125865994D01* -X111937427Y-125837427D01* -X111965994Y-125802618D01* -X111987221Y-125762905D01* -X112000292Y-125719813D01* -X112004706Y-125675000D01* -X112003600Y-125080950D01* -X111946450Y-125023800D01* -X111623800Y-125023800D01* -X111476200Y-125023800D01* -X110973800Y-125023800D01* -X110826200Y-125023800D01* -X110323800Y-125023800D01* -X110176200Y-125023800D01* -X110156200Y-125023800D01* -X110156200Y-124876200D01* -X110176200Y-124876200D01* -X110176200Y-124053550D01* -X110323800Y-124053550D01* -X110323800Y-124876200D01* -X110826200Y-124876200D01* -X110826200Y-124053550D01* -X110973800Y-124053550D01* -X110973800Y-124876200D01* -X111476200Y-124876200D01* -X111476200Y-124053550D01* -X111623800Y-124053550D01* -X111623800Y-124876200D01* -X111946450Y-124876200D01* -X112003600Y-124819050D01* -X112004706Y-124225000D01* -X112000292Y-124180187D01* -X111987221Y-124137095D01* -X111965994Y-124097382D01* -X111937427Y-124062573D01* -X111902618Y-124034006D01* -X111862905Y-124012779D01* -X111819813Y-123999708D01* -X111775000Y-123995294D01* -X111680950Y-123996400D01* -X111623800Y-124053550D01* -X111476200Y-124053550D01* -X111419050Y-123996400D01* -X111325000Y-123995294D01* -X111280187Y-123999708D01* -X111237095Y-124012779D01* -X111225000Y-124019244D01* -X111212905Y-124012779D01* -X111169813Y-123999708D01* -X111125000Y-123995294D01* -X111030950Y-123996400D01* -X110973800Y-124053550D01* -X110826200Y-124053550D01* -X110769050Y-123996400D01* -X110675000Y-123995294D01* -X110630187Y-123999708D01* -X110587095Y-124012779D01* -X110575000Y-124019244D01* -X110562905Y-124012779D01* -X110519813Y-123999708D01* -X110475000Y-123995294D01* -X110380950Y-123996400D01* -X110323800Y-124053550D01* -X110176200Y-124053550D01* -X110119050Y-123996400D01* -X110025000Y-123995294D01* -X109980187Y-123999708D01* -X109937095Y-124012779D01* -X109897382Y-124034006D01* -X109885457Y-124043793D01* -X109843457Y-124021343D01* -X109779261Y-124001869D01* -X109712500Y-123995294D01* -X109487500Y-123995294D01* -X109420739Y-124001869D01* -X109356543Y-124021343D01* -X109297381Y-124052966D01* -X109275000Y-124071334D01* -X109253600Y-124053771D01* -X109253600Y-123900000D01* -X114420294Y-123900000D01* -X114420294Y-124500000D01* -X114428070Y-124578954D01* -X114451100Y-124654874D01* -X114488499Y-124724843D01* -X114538830Y-124786170D01* -X114600157Y-124836501D01* -X114670126Y-124873900D01* -X114746046Y-124896930D01* -X114825000Y-124904706D01* -X115175000Y-124904706D01* -X115253954Y-124896930D01* -X115329874Y-124873900D01* -X115399843Y-124836501D01* -X115461170Y-124786170D01* -X115511501Y-124724843D01* -X115548900Y-124654874D01* -X115571930Y-124578954D01* -X115579706Y-124500000D01* -X115579706Y-123900000D01* -X116020294Y-123900000D01* -X116020294Y-124500000D01* -X116028070Y-124578954D01* -X116051100Y-124654874D01* -X116088499Y-124724843D01* -X116138830Y-124786170D01* -X116200157Y-124836501D01* -X116270126Y-124873900D01* -X116296401Y-124881870D01* -X116296401Y-125027251D01* -X116294910Y-125028247D01* -X116228247Y-125094910D01* -X116175870Y-125173298D01* -X116139792Y-125260397D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X117024130Y-125626702D01* -X117060208Y-125539603D01* -X117078600Y-125447138D01* -X117078600Y-125352862D01* -X117060208Y-125260397D01* -X117024130Y-125173298D01* -X116971753Y-125094910D01* -X116926843Y-125050000D01* -X128318359Y-125050000D01* -X128330496Y-125173226D01* -X128366440Y-125291718D01* -X128424810Y-125400920D01* -X128503363Y-125496637D01* -X128599080Y-125575190D01* -X128708282Y-125633560D01* -X128826774Y-125669504D01* -X128950000Y-125681641D01* -X129073226Y-125669504D01* -X129150000Y-125646215D01* -X129222326Y-125668155D01* -X129226773Y-125669504D01* -X129350000Y-125681641D01* -X129380875Y-125678600D01* -X129586462Y-125678600D01* -X129639045Y-125721754D01* -X129721401Y-125765774D01* -X129721401Y-126038083D01* -X129721400Y-126038088D01* -X129721400Y-126161912D01* -X129727457Y-126192365D01* -X129730497Y-126223227D01* -X129739498Y-126252899D01* -X129745556Y-126283356D01* -X129757440Y-126312047D01* -X129766441Y-126341719D01* -X129781057Y-126369064D01* -X129792941Y-126397754D01* -X129810194Y-126423575D01* -X129824811Y-126450921D01* -X129844484Y-126474893D01* -X129861734Y-126500709D01* -X129883685Y-126522660D01* -X129903363Y-126546638D01* -X129927341Y-126566316D01* -X129949291Y-126588266D01* -X129975106Y-126605515D01* -X129999080Y-126625190D01* -X130026429Y-126639808D01* -X130052246Y-126657059D01* -X130080932Y-126668941D01* -X130108282Y-126683560D01* -X130137959Y-126692563D01* -X130166644Y-126704444D01* -X130197094Y-126710501D01* -X130226774Y-126719504D01* -X130257644Y-126722545D01* -X130288088Y-126728600D01* -X130319125Y-126728600D01* -X130350000Y-126731641D01* -X130380875Y-126728600D01* -X130411912Y-126728600D01* -X130442355Y-126722545D01* -X130473227Y-126719504D01* -X130502909Y-126710500D01* -X130533356Y-126704444D01* -X130562038Y-126692564D01* -X130591719Y-126683560D01* -X130619072Y-126668939D01* -X130647754Y-126657059D01* -X130673567Y-126639811D01* -X130700921Y-126625190D01* -X130724900Y-126605511D01* -X130750709Y-126588266D01* -X130772654Y-126566321D01* -X130796638Y-126546638D01* -X130816321Y-126522654D01* -X130838266Y-126500709D01* -X130855511Y-126474900D01* -X130875190Y-126450921D01* -X130889811Y-126423567D01* -X130907059Y-126397754D01* -X130918939Y-126369072D01* -X130933560Y-126341719D01* -X130942564Y-126312038D01* -X130954444Y-126283356D01* -X130960500Y-126252909D01* -X130969504Y-126223227D01* -X130972545Y-126192355D01* -X130978600Y-126161912D01* -X130978600Y-125765774D01* -X131060955Y-125721754D01* -X131113538Y-125678600D01* -X131319125Y-125678600D01* -X131350000Y-125681641D01* -X131380875Y-125678600D01* -X131719125Y-125678600D01* -X131750000Y-125681641D01* -X131780875Y-125678600D01* -X131986462Y-125678600D01* -X132039045Y-125721754D01* -X132121401Y-125765774D01* -X132121401Y-126038083D01* -X132121400Y-126038088D01* -X132121400Y-126161912D01* -X132127457Y-126192365D01* -X132130497Y-126223227D01* -X132139498Y-126252899D01* -X132145556Y-126283356D01* -X132157440Y-126312047D01* -X132166441Y-126341719D01* -X132181057Y-126369064D01* -X132192941Y-126397754D01* -X132210194Y-126423575D01* -X132224811Y-126450921D01* -X132244484Y-126474893D01* -X132261734Y-126500709D01* -X132283685Y-126522660D01* -X132303363Y-126546638D01* -X132327341Y-126566316D01* -X132349291Y-126588266D01* -X132375106Y-126605515D01* -X132399080Y-126625190D01* -X132426429Y-126639808D01* -X132452246Y-126657059D01* -X132480932Y-126668941D01* -X132508282Y-126683560D01* -X132537959Y-126692563D01* -X132566644Y-126704444D01* -X132597094Y-126710501D01* -X132626774Y-126719504D01* -X132657644Y-126722545D01* -X132688088Y-126728600D01* -X132719125Y-126728600D01* -X132750000Y-126731641D01* -X132780875Y-126728600D01* -X132811912Y-126728600D01* -X132842355Y-126722545D01* -X132873227Y-126719504D01* -X132902909Y-126710500D01* -X132933356Y-126704444D01* -X132962038Y-126692564D01* -X132991719Y-126683560D01* -X133019072Y-126668939D01* -X133047754Y-126657059D01* -X133073567Y-126639811D01* -X133100921Y-126625190D01* -X133124900Y-126605511D01* -X133150709Y-126588266D01* -X133172654Y-126566321D01* -X133196638Y-126546638D01* -X133216321Y-126522654D01* -X133238266Y-126500709D01* -X133255511Y-126474900D01* -X133275190Y-126450921D01* -X133289811Y-126423567D01* -X133307059Y-126397754D01* -X133318939Y-126369072D01* -X133333560Y-126341719D01* -X133342564Y-126312038D01* -X133354444Y-126283356D01* -X133360500Y-126252909D01* -X133369504Y-126223227D01* -X133372545Y-126192355D01* -X133378600Y-126161912D01* -X133378600Y-125765774D01* -X133460955Y-125721754D01* -X133513538Y-125678600D01* -X133819125Y-125678600D01* -X133850000Y-125681641D01* -X133880875Y-125678600D01* -X133901634Y-125676555D01* -X134008281Y-125733560D01* -X134126773Y-125769504D01* -X134219125Y-125778600D01* -X134219126Y-125778600D01* -X134249999Y-125781641D01* -X134280872Y-125778600D01* -X134571400Y-125778600D01* -X134571400Y-126111912D01* -X134577457Y-126142362D01* -X134580497Y-126173227D01* -X134589498Y-126202899D01* -X134595556Y-126233356D01* -X134607440Y-126262047D01* -X134616441Y-126291719D01* -X134631057Y-126319064D01* -X134642941Y-126347754D01* -X134660194Y-126373575D01* -X134674811Y-126400921D01* -X134694484Y-126424893D01* -X134711734Y-126450709D01* -X134733685Y-126472660D01* -X134753363Y-126496638D01* -X134777341Y-126516316D01* -X134799291Y-126538266D01* -X134825106Y-126555515D01* -X134849080Y-126575190D01* -X134876429Y-126589808D01* -X134902246Y-126607059D01* -X134930932Y-126618941D01* -X134958282Y-126633560D01* -X134987959Y-126642563D01* -X135016644Y-126654444D01* -X135047094Y-126660501D01* -X135076774Y-126669504D01* -X135107644Y-126672545D01* -X135138088Y-126678600D01* -X135169125Y-126678600D01* -X135200000Y-126681641D01* -X135230875Y-126678600D01* -X135261912Y-126678600D01* -X135292355Y-126672545D01* -X135323227Y-126669504D01* -X135352909Y-126660500D01* -X135383356Y-126654444D01* -X135412038Y-126642564D01* -X135441719Y-126633560D01* -X135469072Y-126618939D01* -X135497754Y-126607059D01* -X135523567Y-126589811D01* -X135550921Y-126575190D01* -X135574900Y-126555511D01* -X135600709Y-126538266D01* -X135622654Y-126516321D01* -X135646638Y-126496638D01* -X135666321Y-126472654D01* -X135688266Y-126450709D01* -X135705511Y-126424900D01* -X135725190Y-126400921D01* -X135739811Y-126373567D01* -X135757059Y-126347754D01* -X135768939Y-126319072D01* -X135783560Y-126291719D01* -X135792564Y-126262038D01* -X135804444Y-126233356D01* -X135810500Y-126202909D01* -X135819504Y-126173227D01* -X135822545Y-126142355D01* -X135828600Y-126111912D01* -X135828600Y-125750285D01* -X135839441Y-125746997D01* -X135913732Y-125707287D01* -X135978848Y-125653848D01* -X136032287Y-125588732D01* -X136071997Y-125514441D01* -X136096449Y-125433831D01* -X136104706Y-125350000D01* -X136104706Y-124950000D01* -X136096449Y-124866169D01* -X136071997Y-124785559D01* -X136032287Y-124711268D01* -X135978848Y-124646152D01* -X135913732Y-124592713D01* -X135839441Y-124553003D01* -X135758831Y-124528551D01* -X135675000Y-124520294D01* -X135219646Y-124520294D01* -X135200000Y-124518359D01* -X135180354Y-124520294D01* -X134725000Y-124520294D01* -X134713771Y-124521400D01* -X134510374Y-124521400D01* -X134488268Y-124499295D01* -X134488266Y-124499291D01* -X134466312Y-124477337D01* -X134446637Y-124453363D01* -X134422663Y-124433688D01* -X134400709Y-124411734D01* -X134374894Y-124394485D01* -X134350920Y-124374810D01* -X134323571Y-124360192D01* -X134297754Y-124342941D01* -X134269068Y-124331059D01* -X134241718Y-124316440D01* -X134212041Y-124307437D01* -X134183356Y-124295556D01* -X134152906Y-124289499D01* -X134123226Y-124280496D01* -X134092356Y-124277455D01* -X134061912Y-124271400D01* -X134030875Y-124271400D01* -X134000000Y-124268359D01* -X133999999Y-124268359D01* -X133969124Y-124271400D01* -X133938088Y-124271400D01* -X133907645Y-124277455D01* -X133876773Y-124280496D01* -X133847092Y-124289500D01* -X133816644Y-124295556D01* -X133787960Y-124307437D01* -X133758282Y-124316440D01* -X133730932Y-124331059D01* -X133702246Y-124342941D01* -X133676429Y-124360192D01* -X133649080Y-124374810D01* -X133625106Y-124394485D01* -X133599291Y-124411734D01* -X133589625Y-124421400D01* -X133513538Y-124421400D01* -X133460955Y-124378246D01* -X133375859Y-124332761D01* -X133283525Y-124304752D01* -X133187500Y-124295294D01* -X132312500Y-124295294D01* -X132216475Y-124304752D01* -X132124141Y-124332761D01* -X132039045Y-124378246D01* -X132001387Y-124409151D01* -X131996637Y-124403363D01* -X131972662Y-124383687D01* -X131950709Y-124361734D01* -X131924897Y-124344487D01* -X131900921Y-124324810D01* -X131873568Y-124310190D01* -X131847754Y-124292941D01* -X131819069Y-124281059D01* -X131791718Y-124266440D01* -X131762041Y-124257437D01* -X131733356Y-124245556D01* -X131702906Y-124239499D01* -X131673226Y-124230496D01* -X131642356Y-124227455D01* -X131611912Y-124221400D01* -X131580875Y-124221400D01* -X131550000Y-124218359D01* -X131519125Y-124221400D01* -X131488088Y-124221400D01* -X131457645Y-124227455D01* -X131426773Y-124230496D01* -X131397092Y-124239500D01* -X131366644Y-124245556D01* -X131337959Y-124257437D01* -X131308282Y-124266440D01* -X131280932Y-124281059D01* -X131252246Y-124292941D01* -X131226428Y-124310192D01* -X131199080Y-124324810D01* -X131175106Y-124344485D01* -X131149291Y-124361734D01* -X131127337Y-124383688D01* -X131103363Y-124403363D01* -X131098613Y-124409151D01* -X131060955Y-124378246D01* -X130975859Y-124332761D01* -X130883525Y-124304752D01* -X130787500Y-124295294D01* -X129912500Y-124295294D01* -X129816475Y-124304752D01* -X129724141Y-124332761D01* -X129639045Y-124378246D01* -X129601387Y-124409151D01* -X129596637Y-124403363D01* -X129572662Y-124383687D01* -X129550709Y-124361734D01* -X129524897Y-124344487D01* -X129500921Y-124324810D01* -X129473568Y-124310190D01* -X129447754Y-124292941D01* -X129419069Y-124281059D01* -X129391718Y-124266440D01* -X129362041Y-124257437D01* -X129333356Y-124245556D01* -X129302906Y-124239499D01* -X129273226Y-124230496D01* -X129242356Y-124227455D01* -X129211912Y-124221400D01* -X129180875Y-124221400D01* -X129150000Y-124218359D01* -X129119125Y-124221400D01* -X129088088Y-124221400D01* -X129057645Y-124227455D01* -X129026773Y-124230496D01* -X128997092Y-124239500D01* -X128966644Y-124245556D01* -X128937959Y-124257437D01* -X128908282Y-124266440D01* -X128880932Y-124281059D01* -X128852246Y-124292941D01* -X128826428Y-124310192D01* -X128799080Y-124324810D01* -X128775106Y-124344485D01* -X128749291Y-124361734D01* -X128727337Y-124383688D01* -X128703363Y-124403363D01* -X128683688Y-124427337D01* -X128661734Y-124449291D01* -X128661732Y-124449294D01* -X128483681Y-124627345D01* -X128424810Y-124699080D01* -X128366440Y-124808282D01* -X128330496Y-124926774D01* -X128318359Y-125050000D01* -X116926843Y-125050000D01* -X116905090Y-125028247D01* -X116903600Y-125027251D01* -X116903600Y-124881870D01* -X116929874Y-124873900D01* -X116999843Y-124836501D01* -X117061170Y-124786170D01* -X117111501Y-124724843D01* -X117148900Y-124654874D01* -X117171930Y-124578954D01* -X117179706Y-124500000D01* -X117179706Y-123900000D01* -X117171930Y-123821046D01* -X117148900Y-123745126D01* -X117111501Y-123675157D01* -X117061170Y-123613830D01* -X116999843Y-123563499D01* -X116929874Y-123526100D01* -X116853954Y-123503070D01* -X116775000Y-123495294D01* -X116425000Y-123495294D01* -X116346046Y-123503070D01* -X116270126Y-123526100D01* -X116200157Y-123563499D01* -X116138830Y-123613830D01* -X116088499Y-123675157D01* -X116051100Y-123745126D01* -X116028070Y-123821046D01* -X116020294Y-123900000D01* -X115579706Y-123900000D01* -X115571930Y-123821046D01* -X115548900Y-123745126D01* -X115511501Y-123675157D01* -X115461170Y-123613830D01* -X115399843Y-123563499D01* -X115329874Y-123526100D01* -X115303600Y-123518130D01* -X115303600Y-123357378D01* -X115333137Y-123333137D01* -X115374141Y-123283175D01* -X115404609Y-123226173D01* -X115423371Y-123164322D01* -X115429706Y-123100000D01* -X115429706Y-122700000D01* -X115869327Y-122700000D01* -X115870294Y-122709818D01* -X115870294Y-123100000D01* -X115876629Y-123164322D01* -X115895391Y-123226173D01* -X115925859Y-123283175D01* -X115966863Y-123333137D01* -X116016825Y-123374141D01* -X116073827Y-123404609D01* -X116135678Y-123423371D01* -X116200000Y-123429706D01* -X116400000Y-123429706D01* -X116464322Y-123423371D01* -X116526173Y-123404609D01* -X116583175Y-123374141D01* -X116633137Y-123333137D01* -X116674141Y-123283175D01* -X116704407Y-123226550D01* -X116732818Y-123249866D01* -X116815962Y-123294308D01* -X116906178Y-123321674D01* -X116976496Y-123328600D01* -X116976503Y-123328600D01* -X116999999Y-123330914D01* -X117023495Y-123328600D01* -X117186580Y-123328600D01* -X117224813Y-123375187D01* -X117291824Y-123430181D01* -X117321401Y-123445990D01* -X117321401Y-123602857D01* -X117321400Y-123602862D01* -X117321400Y-123697138D01* -X117326013Y-123720331D01* -X117328327Y-123743822D01* -X117335179Y-123766409D01* -X117339792Y-123789603D01* -X117348841Y-123811448D01* -X117355693Y-123834038D01* -X117366822Y-123854859D01* -X117375870Y-123876702D01* -X117389006Y-123896362D01* -X117400135Y-123917182D01* -X117415110Y-123935429D01* -X117428247Y-123955090D01* -X117444968Y-123971811D01* -X117459943Y-123990058D01* -X117478190Y-124005033D01* -X117494910Y-124021753D01* -X117514570Y-124034889D01* -X117532819Y-124049866D01* -X117553642Y-124060996D01* -X117573298Y-124074130D01* -X117595137Y-124083176D01* -X117615963Y-124094308D01* -X117638558Y-124101162D01* -X117660397Y-124110208D01* -X117683584Y-124114820D01* -X117706179Y-124121674D01* -X117729678Y-124123989D01* -X117752862Y-124128600D01* -X117776497Y-124128600D01* -X117800000Y-124130915D01* -X117823504Y-124128600D01* -X117847138Y-124128600D01* -X117870321Y-124123989D01* -X117893822Y-124121674D01* -X117916419Y-124114820D01* -X117939603Y-124110208D01* -X117961439Y-124101163D01* -X117984038Y-124094308D01* -X118004867Y-124083174D01* -X118026702Y-124074130D01* -X118046354Y-124060999D01* -X118067182Y-124049866D01* -X118085436Y-124034886D01* -X118105090Y-124021753D01* -X118121806Y-124005037D01* -X118140058Y-123990058D01* -X118155037Y-123971806D01* -X118171753Y-123955090D01* -X118184886Y-123935436D01* -X118199866Y-123917182D01* -X118210999Y-123896354D01* -X118224130Y-123876702D01* -X118224834Y-123875000D01* -X129420294Y-123875000D01* -X129424708Y-123919813D01* -X129437779Y-123962905D01* -X129459006Y-124002618D01* -X129487573Y-124037427D01* -X129522382Y-124065994D01* -X129562095Y-124087221D01* -X129605187Y-124100292D01* -X129650000Y-124104706D01* -X130219050Y-124103600D01* -X130276200Y-124046450D01* -X130276200Y-123423800D01* -X130423800Y-123423800D01* -X130423800Y-124046450D01* -X130480950Y-124103600D01* -X131050000Y-124104706D01* -X131094813Y-124100292D01* -X131137905Y-124087221D01* -X131177618Y-124065994D01* -X131212427Y-124037427D01* -X131240994Y-124002618D01* -X131262221Y-123962905D01* -X131275292Y-123919813D01* -X131279706Y-123875000D01* -X131820294Y-123875000D01* -X131824708Y-123919813D01* -X131837779Y-123962905D01* -X131859006Y-124002618D01* -X131887573Y-124037427D01* -X131922382Y-124065994D01* -X131962095Y-124087221D01* -X132005187Y-124100292D01* -X132050000Y-124104706D01* -X132619050Y-124103600D01* -X132676200Y-124046450D01* -X132676200Y-123423800D01* -X132823800Y-123423800D01* -X132823800Y-124046450D01* -X132880950Y-124103600D01* -X133450000Y-124104706D01* -X133494813Y-124100292D01* -X133537905Y-124087221D01* -X133577618Y-124065994D01* -X133612427Y-124037427D01* -X133640994Y-124002618D01* -X133662221Y-123962905D01* -X133675292Y-123919813D01* -X133679706Y-123875000D01* -X133679075Y-123650000D01* -X134295294Y-123650000D01* -X134299708Y-123694813D01* -X134312779Y-123737905D01* -X134334006Y-123777618D01* -X134362573Y-123812427D01* -X134397382Y-123840994D01* -X134437095Y-123862221D01* -X134480187Y-123875292D01* -X134525000Y-123879706D01* -X135069050Y-123878600D01* -X135126200Y-123821450D01* -X135126200Y-123323800D01* -X135273800Y-123323800D01* -X135273800Y-123821450D01* -X135330950Y-123878600D01* -X135875000Y-123879706D01* -X135919813Y-123875292D01* -X135962905Y-123862221D01* -X136002618Y-123840994D01* -X136037427Y-123812427D01* -X136065994Y-123777618D01* -X136087221Y-123737905D01* -X136100292Y-123694813D01* -X136104706Y-123650000D01* -X136103600Y-123380950D01* -X136046450Y-123323800D01* -X135273800Y-123323800D01* -X135126200Y-123323800D01* -X134353550Y-123323800D01* -X134296400Y-123380950D01* -X134295294Y-123650000D01* -X133679075Y-123650000D01* -X133678600Y-123480950D01* -X133621450Y-123423800D01* -X132823800Y-123423800D01* -X132676200Y-123423800D01* -X131878550Y-123423800D01* -X131821400Y-123480950D01* -X131820294Y-123875000D01* -X131279706Y-123875000D01* -X131278600Y-123480950D01* -X131221450Y-123423800D01* -X130423800Y-123423800D01* -X130276200Y-123423800D01* -X129478550Y-123423800D01* -X129421400Y-123480950D01* -X129420294Y-123875000D01* -X118224834Y-123875000D01* -X118233174Y-123854867D01* -X118244308Y-123834038D01* -X118251163Y-123811439D01* -X118260208Y-123789603D01* -X118264820Y-123766419D01* -X118271674Y-123743822D01* -X118273989Y-123720321D01* -X118278600Y-123697138D01* -X118278600Y-123445990D01* -X118308176Y-123430181D01* -X118375187Y-123375187D01* -X118413420Y-123328600D01* -X118476504Y-123328600D01* -X118500000Y-123330914D01* -X118523496Y-123328600D01* -X118523504Y-123328600D01* -X118593822Y-123321674D01* -X118684038Y-123294308D01* -X118767182Y-123249866D01* -X118840058Y-123190058D01* -X118855042Y-123171800D01* -X118955087Y-123071755D01* -X118955090Y-123071753D01* -X119021753Y-123005090D01* -X119034888Y-122985432D01* -X119049866Y-122967181D01* -X119060995Y-122946359D01* -X119074130Y-122926702D01* -X119083177Y-122904860D01* -X119094307Y-122884038D01* -X119101160Y-122861446D01* -X119110208Y-122839603D01* -X119113112Y-122825000D01* -X129420294Y-122825000D01* -X129421400Y-123219050D01* -X129478550Y-123276200D01* -X130276200Y-123276200D01* -X130276200Y-122653550D01* -X130423800Y-122653550D01* -X130423800Y-123276200D01* -X131221450Y-123276200D01* -X131278600Y-123219050D01* -X131279706Y-122825000D01* -X131820294Y-122825000D01* -X131821400Y-123219050D01* -X131878550Y-123276200D01* -X132676200Y-123276200D01* -X132676200Y-122653550D01* -X132823800Y-122653550D01* -X132823800Y-123276200D01* -X133621450Y-123276200D01* -X133678600Y-123219050D01* -X133679635Y-122850000D01* -X134295294Y-122850000D01* -X134296400Y-123119050D01* -X134353550Y-123176200D01* -X135126200Y-123176200D01* -X135126200Y-122678550D01* -X135273800Y-122678550D01* -X135273800Y-123176200D01* -X136046450Y-123176200D01* -X136103600Y-123119050D01* -X136104706Y-122850000D01* -X136102244Y-122825000D01* -X139170294Y-122825000D01* -X139171400Y-123219050D01* -X139228550Y-123276200D01* -X140026200Y-123276200D01* -X140026200Y-122653550D01* -X140173800Y-122653550D01* -X140173800Y-123276200D01* -X140971450Y-123276200D01* -X141028600Y-123219050D01* -X141029706Y-122825000D01* -X141025292Y-122780187D01* -X141012221Y-122737095D01* -X140990994Y-122697382D01* -X140962427Y-122662573D01* -X140927618Y-122634006D01* -X140887905Y-122612779D01* -X140844813Y-122599708D01* -X140800000Y-122595294D01* -X140230950Y-122596400D01* -X140173800Y-122653550D01* -X140026200Y-122653550D01* -X139969050Y-122596400D01* -X139400000Y-122595294D01* -X139355187Y-122599708D01* -X139312095Y-122612779D01* -X139272382Y-122634006D01* -X139237573Y-122662573D01* -X139209006Y-122697382D01* -X139187779Y-122737095D01* -X139174708Y-122780187D01* -X139170294Y-122825000D01* -X136102244Y-122825000D01* -X136100292Y-122805187D01* -X136087221Y-122762095D01* -X136065994Y-122722382D01* -X136037427Y-122687573D01* -X136002618Y-122659006D01* -X135962905Y-122637779D01* -X135919813Y-122624708D01* -X135875000Y-122620294D01* -X135330950Y-122621400D01* -X135273800Y-122678550D01* -X135126200Y-122678550D01* -X135069050Y-122621400D01* -X134525000Y-122620294D01* -X134480187Y-122624708D01* -X134437095Y-122637779D01* -X134397382Y-122659006D01* -X134362573Y-122687573D01* -X134334006Y-122722382D01* -X134312779Y-122762095D01* -X134299708Y-122805187D01* -X134295294Y-122850000D01* -X133679635Y-122850000D01* -X133679706Y-122825000D01* -X133675292Y-122780187D01* -X133662221Y-122737095D01* -X133640994Y-122697382D01* -X133612427Y-122662573D01* -X133577618Y-122634006D01* -X133537905Y-122612779D01* -X133494813Y-122599708D01* -X133450000Y-122595294D01* -X132880950Y-122596400D01* -X132823800Y-122653550D01* -X132676200Y-122653550D01* -X132619050Y-122596400D01* -X132050000Y-122595294D01* -X132005187Y-122599708D01* -X131962095Y-122612779D01* -X131922382Y-122634006D01* -X131887573Y-122662573D01* -X131859006Y-122697382D01* -X131837779Y-122737095D01* -X131824708Y-122780187D01* -X131820294Y-122825000D01* -X131279706Y-122825000D01* -X131275292Y-122780187D01* -X131262221Y-122737095D01* -X131240994Y-122697382D01* -X131212427Y-122662573D01* -X131177618Y-122634006D01* -X131137905Y-122612779D01* -X131094813Y-122599708D01* -X131050000Y-122595294D01* -X130480950Y-122596400D01* -X130423800Y-122653550D01* -X130276200Y-122653550D01* -X130219050Y-122596400D01* -X129650000Y-122595294D01* -X129605187Y-122599708D01* -X129562095Y-122612779D01* -X129522382Y-122634006D01* -X129487573Y-122662573D01* -X129459006Y-122697382D01* -X129437779Y-122737095D01* -X129424708Y-122780187D01* -X129420294Y-122825000D01* -X119113112Y-122825000D01* -X119114820Y-122816416D01* -X119121674Y-122793822D01* -X119123988Y-122770323D01* -X119128600Y-122747138D01* -X119128600Y-122723497D01* -X119130914Y-122700001D01* -X119128600Y-122676505D01* -X119128600Y-122652862D01* -X119123988Y-122629676D01* -X119121674Y-122606179D01* -X119114820Y-122583586D01* -X119110208Y-122560397D01* -X119101160Y-122538554D01* -X119094307Y-122515962D01* -X119083177Y-122495140D01* -X119074130Y-122473298D01* -X119060995Y-122453641D01* -X119049866Y-122432819D01* -X119034888Y-122414568D01* -X119021753Y-122394910D01* -X119005036Y-122378193D01* -X118990058Y-122359942D01* -X118971807Y-122344964D01* -X118955090Y-122328247D01* -X118935432Y-122315112D01* -X118917181Y-122300134D01* -X118896359Y-122289005D01* -X118876702Y-122275870D01* -X118854860Y-122266823D01* -X118834038Y-122255693D01* -X118811446Y-122248840D01* -X118789603Y-122239792D01* -X118766414Y-122235180D01* -X118743821Y-122228326D01* -X118720324Y-122226012D01* -X118697138Y-122221400D01* -X118673495Y-122221400D01* -X118649999Y-122219086D01* -X118626503Y-122221400D01* -X118602862Y-122221400D01* -X118579677Y-122226012D01* -X118556178Y-122228326D01* -X118533584Y-122235180D01* -X118510397Y-122239792D01* -X118488554Y-122248840D01* -X118465962Y-122255693D01* -X118445140Y-122266823D01* -X118423298Y-122275870D01* -X118403641Y-122289005D01* -X118382819Y-122300134D01* -X118364568Y-122315112D01* -X118363906Y-122315555D01* -X118308176Y-122269819D01* -X118231725Y-122228955D01* -X118148770Y-122203791D01* -X118062500Y-122195294D01* -X117537500Y-122195294D01* -X117451230Y-122203791D01* -X117368275Y-122228955D01* -X117291824Y-122269819D01* -X117224813Y-122324813D01* -X117191837Y-122364994D01* -X117155090Y-122328247D01* -X117135432Y-122315112D01* -X117117181Y-122300134D01* -X117096359Y-122289005D01* -X117076702Y-122275870D01* -X117054860Y-122266823D01* -X117034038Y-122255693D01* -X117011446Y-122248840D01* -X116989603Y-122239792D01* -X116966414Y-122235180D01* -X116943821Y-122228326D01* -X116920324Y-122226012D01* -X116897138Y-122221400D01* -X116873496Y-122221400D01* -X116850000Y-122219086D01* -X116826504Y-122221400D01* -X116802862Y-122221400D01* -X116779676Y-122226012D01* -X116756179Y-122228326D01* -X116733586Y-122235180D01* -X116723519Y-122237182D01* -X116723371Y-122235678D01* -X116704609Y-122173827D01* -X116674141Y-122116825D01* -X116633137Y-122066863D01* -X116583175Y-122025859D01* -X116526173Y-121995391D01* -X116464322Y-121976629D01* -X116400000Y-121970294D01* -X116200000Y-121970294D01* -X116135678Y-121976629D01* -X116073827Y-121995391D01* -X116016825Y-122025859D01* -X115966863Y-122066863D01* -X115925859Y-122116825D01* -X115895391Y-122173827D01* -X115876629Y-122235678D01* -X115870294Y-122300000D01* -X115870294Y-122690182D01* -X115869327Y-122700000D01* -X115429706Y-122700000D01* -X115429706Y-122300000D01* -X115423371Y-122235678D01* -X115404609Y-122173827D01* -X115374141Y-122116825D01* -X115333137Y-122066863D01* -X115283175Y-122025859D01* -X115226173Y-121995391D01* -X115164322Y-121976629D01* -X115100000Y-121970294D01* -X114900000Y-121970294D01* -X114835678Y-121976629D01* -X114773827Y-121995391D01* -X114716825Y-122025859D01* -X114666863Y-122066863D01* -X114625859Y-122116825D01* -X114595391Y-122173827D01* -X114576629Y-122235678D01* -X114570294Y-122300000D01* -X114570294Y-123100000D01* -X114576629Y-123164322D01* -X114595391Y-123226173D01* -X114625859Y-123283175D01* -X114666863Y-123333137D01* -X114696400Y-123357378D01* -X114696401Y-123518130D01* -X114670126Y-123526100D01* -X114600157Y-123563499D01* -X114538830Y-123613830D01* -X114488499Y-123675157D01* -X114451100Y-123745126D01* -X114428070Y-123821046D01* -X114420294Y-123900000D01* -X109253600Y-123900000D01* -X109253600Y-123422749D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109374130Y-123276702D01* -X109410208Y-123189603D01* -X109428600Y-123097138D01* -X109428600Y-123002862D01* -X109410208Y-122910397D01* -X109374130Y-122823298D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108646400Y-123422749D01* -X108646400Y-123469557D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108073298Y-123375870D01* -X107994910Y-123428247D01* -X107953600Y-123469557D01* -X107953600Y-123422749D01* -X107955090Y-123421753D01* -X108021753Y-123355090D01* -X108074130Y-123276702D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107346401Y-123422749D01* -X107346401Y-123469558D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106773298Y-123375870D01* -X106694910Y-123428247D01* -X106653600Y-123469557D01* -X106653600Y-123422749D01* -X106655090Y-123421753D01* -X106721753Y-123355090D01* -X106774130Y-123276702D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106046401Y-123422749D01* -X106046401Y-123469558D01* -X106005090Y-123428247D01* -X105926702Y-123375870D01* -X105839603Y-123339792D01* -X105747138Y-123321400D01* -X105652862Y-123321400D01* -X105560397Y-123339792D01* -X105473298Y-123375870D01* -X105394910Y-123428247D01* -X105328247Y-123494910D01* -X105275870Y-123573298D01* -X105239792Y-123660397D01* -X105221400Y-123752862D01* -X105221400Y-123847138D01* -X105239792Y-123939603D01* -X105246401Y-123955558D01* -X105246401Y-124326264D01* -X105245294Y-124337500D01* -X105245294Y-125562500D01* -X105251869Y-125629261D01* -X105271343Y-125693457D01* -X105302966Y-125752619D01* -X105345524Y-125804476D01* -X105397381Y-125847034D01* -X105456543Y-125878657D01* -X105520739Y-125898131D01* -X105587500Y-125904706D01* -X105812500Y-125904706D01* -X105879261Y-125898131D01* -X105943457Y-125878657D01* -X106002619Y-125847034D01* -X106025000Y-125828666D01* -X106047381Y-125847034D01* -X106106543Y-125878657D01* -X106170739Y-125898131D01* -X106237500Y-125904706D01* -X106462500Y-125904706D01* -X106529261Y-125898131D01* -X106593457Y-125878657D01* -X106652619Y-125847034D01* -X106675000Y-125828666D01* -X106697381Y-125847034D01* -X106756543Y-125878657D01* -X106820739Y-125898131D01* -X106887500Y-125904706D01* -X107112500Y-125904706D01* -X107179261Y-125898131D01* -X107243457Y-125878657D01* -X107302619Y-125847034D01* -X107325000Y-125828666D01* -X107347381Y-125847034D01* -X107406543Y-125878657D01* -X107470739Y-125898131D01* -X107537500Y-125904706D01* -X107762500Y-125904706D01* -X107829261Y-125898131D01* -X107893457Y-125878657D01* -X107952619Y-125847034D01* -X107975000Y-125828666D01* -X107997381Y-125847034D01* -X108056543Y-125878657D01* -X108120739Y-125898131D01* -X108187500Y-125904706D01* -X108412500Y-125904706D01* -X108479261Y-125898131D01* -X108543457Y-125878657D01* -X108602619Y-125847034D01* -X108625000Y-125828666D01* -X108647381Y-125847034D01* -X108706543Y-125878657D01* -X108770739Y-125898131D01* -X108837500Y-125904706D01* -X109062500Y-125904706D01* -X109129261Y-125898131D01* -X109193457Y-125878657D01* -X109252619Y-125847034D01* -X109275000Y-125828666D01* -X109296401Y-125846229D01* -X109296401Y-126635086D01* -X109294932Y-126650000D01* -X109300794Y-126709515D01* -X109311967Y-126746345D01* -X109318155Y-126766744D01* -X109346346Y-126819487D01* -X109384285Y-126865716D01* -X109395867Y-126875221D01* -X109517046Y-126996400D01* -X102975755Y-126996400D01* -X102003600Y-126024246D01* -X102003600Y-125869318D01* -X102037095Y-125887221D01* -X102080187Y-125900292D01* -X102125000Y-125904706D01* -X102219050Y-125903600D01* -X102276200Y-125846450D01* -X102276200Y-125023800D01* -X102423800Y-125023800D01* -X102423800Y-125846450D01* -X102480950Y-125903600D01* -X102575000Y-125904706D01* -X102619813Y-125900292D01* -X102662905Y-125887221D01* -X102702618Y-125865994D01* -X102737427Y-125837427D01* -X102765994Y-125802618D01* -X102787221Y-125762905D01* -X102800292Y-125719813D01* -X102804706Y-125675000D01* -X102803600Y-125080950D01* -X102746450Y-125023800D01* -X102423800Y-125023800D01* -X102276200Y-125023800D01* -X102256200Y-125023800D01* -X102256200Y-124876200D01* -X102276200Y-124876200D01* -X102276200Y-124053550D01* -X102423800Y-124053550D01* -X102423800Y-124876200D01* -X102746450Y-124876200D01* -X102803600Y-124819050D01* -X102804706Y-124225000D01* -X102800292Y-124180187D01* -X102787221Y-124137095D01* -X102765994Y-124097382D01* -X102737427Y-124062573D01* -X102702618Y-124034006D01* -X102662905Y-124012779D01* -X102619813Y-123999708D01* -X102575000Y-123995294D01* -X102480950Y-123996400D01* -X102423800Y-124053550D01* -X102276200Y-124053550D01* -X102219050Y-123996400D01* -X102125000Y-123995294D01* -X102080187Y-123999708D01* -X102037095Y-124012779D01* -X101997382Y-124034006D01* -X101985457Y-124043793D01* -X101943457Y-124021343D01* -X101879261Y-124001869D01* -X101812500Y-123995294D01* -X101587500Y-123995294D01* -X101520739Y-124001869D01* -X101456543Y-124021343D01* -X101397381Y-124052966D01* -X101375000Y-124071334D01* -X101352619Y-124052966D01* -X101293457Y-124021343D01* -X101229261Y-124001869D01* -X101162500Y-123995294D01* -X100937500Y-123995294D01* -X100870739Y-124001869D01* -X100806543Y-124021343D01* -X100747381Y-124052966D01* -X100725000Y-124071334D01* -X100702619Y-124052966D01* -X100643457Y-124021343D01* -X100579261Y-124001869D01* -X100512500Y-123995294D01* -X100287500Y-123995294D01* -X100220739Y-124001869D01* -X100156543Y-124021343D01* -X100097381Y-124052966D01* -X100075000Y-124071334D01* -X100052619Y-124052966D01* -X99993457Y-124021343D01* -X99929261Y-124001869D01* -X99862500Y-123995294D01* -X99637500Y-123995294D01* -X99570739Y-124001869D01* -X99506543Y-124021343D01* -X99447381Y-124052966D01* -X99425000Y-124071334D01* -X99402619Y-124052966D01* -X99343457Y-124021343D01* -X99279261Y-124001869D01* -X99212500Y-123995294D01* -X98987500Y-123995294D01* -X98920739Y-124001869D01* -X98856543Y-124021343D01* -X98797381Y-124052966D01* -X98775000Y-124071334D01* -X98752619Y-124052966D01* -X98693457Y-124021343D01* -X98629261Y-124001869D01* -X98562500Y-123995294D01* -X98337500Y-123995294D01* -X98270739Y-124001869D01* -X98206543Y-124021343D01* -X98147381Y-124052966D01* -X98125000Y-124071334D01* -X98102619Y-124052966D01* -X98043457Y-124021343D01* -X97979261Y-124001869D01* -X97912500Y-123995294D01* -X97687500Y-123995294D01* -X97620739Y-124001869D01* -X97556543Y-124021343D01* -X97497381Y-124052966D01* -X97475000Y-124071334D01* -X97452619Y-124052966D01* -X97393457Y-124021343D01* -X97329261Y-124001869D01* -X97262500Y-123995294D01* -X97037500Y-123995294D01* -X96970739Y-124001869D01* -X96953600Y-124007068D01* -X96953600Y-123955556D01* -X96960208Y-123939603D01* -X96978600Y-123847138D01* -X96978600Y-123752862D01* -X96960208Y-123660397D01* -X96924130Y-123573298D01* -X96871753Y-123494910D01* -X96805090Y-123428247D01* -X96726702Y-123375870D01* -X96639603Y-123339792D01* -X96547138Y-123321400D01* -X96452862Y-123321400D01* -X96360397Y-123339792D01* -X96273298Y-123375870D01* -X96194910Y-123428247D01* -X96128247Y-123494910D01* -X96075870Y-123573298D01* -X96039792Y-123660397D01* -X96021400Y-123752862D01* -X96021400Y-123847138D01* -X96039792Y-123939603D01* -X96046401Y-123955558D01* -X96046401Y-124326264D01* -X96045294Y-124337500D01* -X96045294Y-125562500D01* -X96051869Y-125629261D01* -X96071343Y-125693457D01* -X96102966Y-125752619D01* -X96145524Y-125804476D01* -X96197381Y-125847034D01* -X96256543Y-125878657D01* -X96320739Y-125898131D01* -X96387500Y-125904706D01* -X96612500Y-125904706D01* -X96679261Y-125898131D01* -X96743457Y-125878657D01* -X96802619Y-125847034D01* -X96825000Y-125828666D01* -X96846400Y-125846229D01* -X96846400Y-125885096D01* -X96844932Y-125900000D01* -X96846953Y-125920514D01* -X96850794Y-125959515D01* -X96868154Y-126016743D01* -X96896345Y-126069486D01* -X96934284Y-126115716D01* -X96945872Y-126125226D01* -X98756401Y-127935756D01* -X98756401Y-131382294D01* -X98679000Y-131382294D01* -X98559857Y-131394029D01* -X98445293Y-131428781D01* -X98339710Y-131485217D01* -X98326815Y-131495800D01* -X97253185Y-131495800D01* -X97240290Y-131485217D01* -X97134707Y-131428781D01* -X97020143Y-131394029D01* -X96901000Y-131382294D01* -X96823600Y-131382294D01* -X96823600Y-130184904D01* -X96825068Y-130170000D01* -X96819206Y-130110484D01* -X96801846Y-130053255D01* -X96773655Y-130000513D01* -X96758335Y-129981846D01* -X96735716Y-129954284D01* -X96724134Y-129944779D01* -X92803600Y-126024246D01* -X92803600Y-125869318D01* -X92837095Y-125887221D01* -X92880187Y-125900292D01* -X92925000Y-125904706D01* -X93019050Y-125903600D01* -X93076200Y-125846450D01* -X93076200Y-125023800D01* -X93223800Y-125023800D01* -X93223800Y-125846450D01* -X93280950Y-125903600D01* -X93375000Y-125904706D01* -X93419813Y-125900292D01* -X93462905Y-125887221D01* -X93502618Y-125865994D01* -X93537427Y-125837427D01* -X93565994Y-125802618D01* -X93587221Y-125762905D01* -X93600292Y-125719813D01* -X93604706Y-125675000D01* -X93603600Y-125080950D01* -X93546450Y-125023800D01* -X93223800Y-125023800D01* -X93076200Y-125023800D01* -X93056200Y-125023800D01* -X93056200Y-124876200D01* -X93076200Y-124876200D01* -X93076200Y-124053550D01* -X93223800Y-124053550D01* -X93223800Y-124876200D01* -X93546450Y-124876200D01* -X93603600Y-124819050D01* -X93604706Y-124225000D01* -X93600292Y-124180187D01* -X93587221Y-124137095D01* -X93565994Y-124097382D01* -X93537427Y-124062573D01* -X93502618Y-124034006D01* -X93462905Y-124012779D01* -X93419813Y-123999708D01* -X93375000Y-123995294D01* -X93280950Y-123996400D01* -X93223800Y-124053550D01* -X93076200Y-124053550D01* -X93019050Y-123996400D01* -X92925000Y-123995294D01* -X92880187Y-123999708D01* -X92837095Y-124012779D01* -X92797382Y-124034006D01* -X92785457Y-124043793D01* -X92743457Y-124021343D01* -X92679261Y-124001869D01* -X92612500Y-123995294D01* -X92387500Y-123995294D01* -X92320739Y-124001869D01* -X92256543Y-124021343D01* -X92197381Y-124052966D01* -X92175000Y-124071334D01* -X92152619Y-124052966D01* -X92093457Y-124021343D01* -X92029261Y-124001869D01* -X91962500Y-123995294D01* -X91737500Y-123995294D01* -X91670739Y-124001869D01* -X91606543Y-124021343D01* -X91547381Y-124052966D01* -X91525000Y-124071334D01* -X91502619Y-124052966D01* -X91443457Y-124021343D01* -X91379261Y-124001869D01* -X91312500Y-123995294D01* -X91087500Y-123995294D01* -X91020739Y-124001869D01* -X90956543Y-124021343D01* -X90897381Y-124052966D01* -X90875000Y-124071334D01* -X90852619Y-124052966D01* -X90793457Y-124021343D01* -X90729261Y-124001869D01* -X90662500Y-123995294D01* -X90437500Y-123995294D01* -X90370739Y-124001869D01* -X90306543Y-124021343D01* -X90247381Y-124052966D01* -X90225000Y-124071334D01* -X90202619Y-124052966D01* -X90143457Y-124021343D01* -X90079261Y-124001869D01* -X90012500Y-123995294D01* -X89787500Y-123995294D01* -X89720739Y-124001869D01* -X89656543Y-124021343D01* -X89597381Y-124052966D01* -X89575000Y-124071334D01* -X89552619Y-124052966D01* -X89493457Y-124021343D01* -X89429261Y-124001869D01* -X89362500Y-123995294D01* -X89137500Y-123995294D01* -X89070739Y-124001869D01* -X89006543Y-124021343D01* -X88947381Y-124052966D01* -X88925000Y-124071334D01* -X88902619Y-124052966D01* -X88843457Y-124021343D01* -X88779261Y-124001869D01* -X88712500Y-123995294D01* -X88487500Y-123995294D01* -X88420739Y-124001869D01* -X88356543Y-124021343D01* -X88297381Y-124052966D01* -X88275000Y-124071334D01* -X88252619Y-124052966D01* -X88193457Y-124021343D01* -X88129261Y-124001869D01* -X88062500Y-123995294D01* -X87837500Y-123995294D01* -X87770739Y-124001869D01* -X87753600Y-124007068D01* -X87753600Y-123955556D01* -X87760208Y-123939603D01* -X87778600Y-123847138D01* -X87778600Y-123752862D01* -X87760208Y-123660397D01* -X87724130Y-123573298D01* -X87671753Y-123494910D01* -X87605090Y-123428247D01* -X87526702Y-123375870D01* -X87439603Y-123339792D01* -X87347138Y-123321400D01* -X87252862Y-123321400D01* -X87160397Y-123339792D01* -X87073298Y-123375870D01* -X86994910Y-123428247D01* -X86928247Y-123494910D01* -X86875870Y-123573298D01* -X86839792Y-123660397D01* -X86821400Y-123752862D01* -X86821400Y-123847138D01* -X86839792Y-123939603D01* -X86846401Y-123955558D01* -X86846401Y-124326264D01* -X86845294Y-124337500D01* -X86845294Y-125562500D01* -X86851869Y-125629261D01* -X86871343Y-125693457D01* -X86902966Y-125752619D01* -X86945524Y-125804476D01* -X86997381Y-125847034D01* -X87056543Y-125878657D01* -X87120739Y-125898131D01* -X87187500Y-125904706D01* -X87412500Y-125904706D01* -X87471777Y-125898868D01* -X84974246Y-128396400D01* -X81245904Y-128396400D01* -X81231000Y-128394932D01* -X81216096Y-128396400D01* -X81171484Y-128400794D01* -X81114256Y-128418154D01* -X81061513Y-128446345D01* -X81015284Y-128484284D01* -X81005779Y-128495866D01* -X78535867Y-130965779D01* -X78524285Y-130975284D01* -X78486346Y-131021513D01* -X78458689Y-131073257D01* -X78458155Y-131074256D01* -X78440794Y-131131485D01* -X78434932Y-131191000D01* -X78436401Y-131205914D01* -X78436401Y-131382294D01* -X78359000Y-131382294D01* -X78239857Y-131394029D01* -X78125293Y-131428781D01* -X78019710Y-131485217D01* -X78006815Y-131495800D01* -X76933185Y-131495800D01* -X76920290Y-131485217D01* -X76814707Y-131428781D01* -X76700143Y-131394029D01* -X76581000Y-131382294D01* -X76503600Y-131382294D01* -X76503600Y-131175754D01* -X79525756Y-128153600D01* -X84716096Y-128153600D01* -X84731000Y-128155068D01* -X84745904Y-128153600D01* -X84790516Y-128149206D01* -X84847744Y-128131846D01* -X84900487Y-128103655D01* -X84946716Y-128065716D01* -X84956226Y-128054129D01* -X85151104Y-127859250D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85624130Y-127607702D01* -X85660208Y-127520603D01* -X85678600Y-127428138D01* -X85678600Y-127333862D01* -X85660208Y-127241397D01* -X85624130Y-127154298D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84721750Y-127429896D01* -X84605246Y-127546400D01* -X79414903Y-127546400D01* -X79399999Y-127544932D01* -X79340483Y-127550794D01* -X79322185Y-127556345D01* -X79283256Y-127568154D01* -X79230513Y-127596345D01* -X79184284Y-127634284D01* -X79174783Y-127645861D01* -X75995872Y-130824774D01* -X75984284Y-130834284D01* -X75946345Y-130880514D01* -X75918154Y-130933257D01* -X75902197Y-130985861D01* -X75900794Y-130990485D01* -X75894932Y-131050000D01* -X75896400Y-131064904D01* -X75896400Y-131382294D01* -X75819000Y-131382294D01* -X75699857Y-131394029D01* -X75585293Y-131428781D01* -X75479710Y-131485217D01* -X75466815Y-131495800D01* -X48140544Y-131495800D01* -X47522951Y-131372281D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46177200Y-129532456D01* -X46177200Y-129426617D01* -X46981800Y-129426617D01* -X46981800Y-129653383D01* -X47026040Y-129875793D01* -X47112820Y-130085298D01* -X47238805Y-130273847D01* -X47399153Y-130434195D01* -X47587702Y-130560180D01* -X47797207Y-130646960D01* -X48019617Y-130691200D01* -X48246383Y-130691200D01* -X48468793Y-130646960D01* -X48678298Y-130560180D01* -X48866847Y-130434195D01* -X49027195Y-130273847D01* -X49153180Y-130085298D01* -X49239960Y-129875793D01* -X49284200Y-129653383D01* -X49284200Y-129426617D01* -X49239960Y-129204207D01* -X49153180Y-128994702D01* -X49136675Y-128970000D01* -X73745294Y-128970000D01* -X73749708Y-129014813D01* -X73762779Y-129057905D01* -X73784006Y-129097618D01* -X73812573Y-129132427D01* -X73847382Y-129160994D01* -X73887095Y-129182221D01* -X73930187Y-129195292D01* -X73975000Y-129199706D01* -X74369050Y-129198600D01* -X74426200Y-129141450D01* -X74426200Y-128343800D01* -X74573800Y-128343800D01* -X74573800Y-129141450D01* -X74630950Y-129198600D01* -X75025000Y-129199706D01* -X75069813Y-129195292D01* -X75112905Y-129182221D01* -X75152618Y-129160994D01* -X75187427Y-129132427D01* -X75215994Y-129097618D01* -X75237221Y-129057905D01* -X75250292Y-129014813D01* -X75254706Y-128970000D01* -X75253600Y-128400950D01* -X75196450Y-128343800D01* -X74573800Y-128343800D01* -X74426200Y-128343800D01* -X73803550Y-128343800D01* -X73746400Y-128400950D01* -X73745294Y-128970000D01* -X49136675Y-128970000D01* -X49027195Y-128806153D01* -X48866847Y-128645805D01* -X48678298Y-128519820D01* -X48468793Y-128433040D01* -X48246383Y-128388800D01* -X48019617Y-128388800D01* -X47797207Y-128433040D01* -X47587702Y-128519820D01* -X47399153Y-128645805D01* -X47238805Y-128806153D01* -X47112820Y-128994702D01* -X47026040Y-129204207D01* -X46981800Y-129426617D01* -X46177200Y-129426617D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48700877Y-127570000D01* -X73745294Y-127570000D01* -X73746400Y-128139050D01* -X73803550Y-128196200D01* -X74426200Y-128196200D01* -X74426200Y-127398550D01* -X74573800Y-127398550D01* -X74573800Y-128196200D01* -X75196450Y-128196200D01* -X75253600Y-128139050D01* -X75254195Y-127832500D01* -X75445294Y-127832500D01* -X75445294Y-128707500D01* -X75454752Y-128803525D01* -X75482761Y-128895859D01* -X75528246Y-128980955D01* -X75571401Y-129033539D01* -X75571401Y-129478083D01* -X75571400Y-129478088D01* -X75571400Y-129601912D01* -X75577457Y-129632365D01* -X75580497Y-129663227D01* -X75589498Y-129692899D01* -X75595556Y-129723356D01* -X75607440Y-129752047D01* -X75616441Y-129781719D01* -X75631057Y-129809064D01* -X75642941Y-129837754D01* -X75660194Y-129863575D01* -X75674811Y-129890921D01* -X75694484Y-129914893D01* -X75711734Y-129940709D01* -X75733685Y-129962660D01* -X75753363Y-129986638D01* -X75777341Y-130006316D01* -X75799291Y-130028266D01* -X75825106Y-130045515D01* -X75849080Y-130065190D01* -X75876429Y-130079808D01* -X75902246Y-130097059D01* -X75930932Y-130108941D01* -X75958282Y-130123560D01* -X75987959Y-130132563D01* -X76016644Y-130144444D01* -X76047094Y-130150501D01* -X76076774Y-130159504D01* -X76107644Y-130162545D01* -X76138088Y-130168600D01* -X76169125Y-130168600D01* -X76200000Y-130171641D01* -X76230875Y-130168600D01* -X76261912Y-130168600D01* -X76292355Y-130162545D01* -X76323227Y-130159504D01* -X76352909Y-130150500D01* -X76383356Y-130144444D01* -X76412038Y-130132564D01* -X76441719Y-130123560D01* -X76469072Y-130108939D01* -X76497754Y-130097059D01* -X76523567Y-130079811D01* -X76550921Y-130065190D01* -X76574900Y-130045511D01* -X76600709Y-130028266D01* -X76622654Y-130006321D01* -X76646638Y-129986638D01* -X76666321Y-129962654D01* -X76688266Y-129940709D01* -X76705511Y-129914900D01* -X76725190Y-129890921D01* -X76739811Y-129863567D01* -X76757059Y-129837754D01* -X76768939Y-129809072D01* -X76783560Y-129781719D01* -X76792564Y-129752038D01* -X76804444Y-129723356D01* -X76810500Y-129692909D01* -X76819504Y-129663227D01* -X76822545Y-129632355D01* -X76828600Y-129601912D01* -X76828600Y-129033538D01* -X76871754Y-128980955D01* -X76915774Y-128898600D01* -X77404912Y-128898600D01* -X77435355Y-128892545D01* -X77466227Y-128889504D01* -X77495909Y-128880500D01* -X77526356Y-128874444D01* -X77555038Y-128862564D01* -X77584719Y-128853560D01* -X77612072Y-128838939D01* -X77640754Y-128827059D01* -X77666567Y-128809811D01* -X77693921Y-128795190D01* -X77717900Y-128775511D01* -X77743709Y-128758266D01* -X77765654Y-128736321D01* -X77789638Y-128716638D01* -X77809321Y-128692654D01* -X77831266Y-128670709D01* -X77848511Y-128644900D01* -X77868190Y-128620921D01* -X77882811Y-128593567D01* -X77900059Y-128567754D01* -X77911939Y-128539072D01* -X77926560Y-128511719D01* -X77935564Y-128482038D01* -X77947444Y-128453356D01* -X77953500Y-128422909D01* -X77962504Y-128393227D01* -X77965545Y-128362355D01* -X77971600Y-128331912D01* -X77971600Y-128300875D01* -X77974641Y-128270000D01* -X77971600Y-128239125D01* -X77971600Y-128208088D01* -X77965545Y-128177645D01* -X77962504Y-128146773D01* -X77953500Y-128117091D01* -X77947444Y-128086644D01* -X77935564Y-128057962D01* -X77926560Y-128028281D01* -X77911939Y-128000928D01* -X77900059Y-127972246D01* -X77882811Y-127946433D01* -X77868190Y-127919079D01* -X77848511Y-127895100D01* -X77831266Y-127869291D01* -X77809321Y-127847346D01* -X77789638Y-127823362D01* -X77765654Y-127803679D01* -X77743709Y-127781734D01* -X77717900Y-127764489D01* -X77693921Y-127744810D01* -X77666567Y-127730189D01* -X77640754Y-127712941D01* -X77612072Y-127701061D01* -X77584719Y-127686440D01* -X77555038Y-127677436D01* -X77526356Y-127665556D01* -X77495909Y-127659500D01* -X77466227Y-127650496D01* -X77435355Y-127647455D01* -X77404912Y-127641400D01* -X76915774Y-127641400D01* -X76871754Y-127559045D01* -X76810542Y-127484458D01* -X76735955Y-127423246D01* -X76650859Y-127377761D01* -X76558525Y-127349752D01* -X76462500Y-127340294D01* -X75937500Y-127340294D01* -X75841475Y-127349752D01* -X75749141Y-127377761D01* -X75664045Y-127423246D01* -X75589458Y-127484458D01* -X75528246Y-127559045D01* -X75482761Y-127644141D01* -X75454752Y-127736475D01* -X75445294Y-127832500D01* -X75254195Y-127832500D01* -X75254706Y-127570000D01* -X75250292Y-127525187D01* -X75237221Y-127482095D01* -X75215994Y-127442382D01* -X75187427Y-127407573D01* -X75152618Y-127379006D01* -X75112905Y-127357779D01* -X75069813Y-127344708D01* -X75025000Y-127340294D01* -X74630950Y-127341400D01* -X74573800Y-127398550D01* -X74426200Y-127398550D01* -X74369050Y-127341400D01* -X73975000Y-127340294D01* -X73930187Y-127344708D01* -X73887095Y-127357779D01* -X73847382Y-127379006D01* -X73812573Y-127407573D01* -X73784006Y-127442382D01* -X73762779Y-127482095D01* -X73749708Y-127525187D01* -X73745294Y-127570000D01* -X48700877Y-127570000D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48906680Y-127234693D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-108712000D01* -X56590932Y-108712000D01* -X56592400Y-108726904D01* -X56592401Y-124081086D01* -X56590932Y-124096000D01* -X56596794Y-124155515D01* -X56612214Y-124206345D01* -X56614155Y-124212744D01* -X56642346Y-124265487D01* -X56680285Y-124311716D01* -X56691867Y-124321221D01* -X57796783Y-125426139D01* -X57806284Y-125437716D01* -X57852513Y-125475655D01* -X57905256Y-125503846D01* -X57937563Y-125513646D01* -X57962483Y-125521206D01* -X58021999Y-125527068D01* -X58036903Y-125525600D01* -X66279096Y-125525600D01* -X66294000Y-125527068D01* -X66308904Y-125525600D01* -X66353516Y-125521206D01* -X66410744Y-125503846D01* -X66463487Y-125475655D01* -X66509716Y-125437716D01* -X66519226Y-125426128D01* -X67768140Y-124177216D01* -X67779716Y-124167716D01* -X67817655Y-124121487D01* -X67845846Y-124068744D01* -X67863206Y-124011516D01* -X67864804Y-123995294D01* -X67869068Y-123952001D01* -X67867600Y-123937097D01* -X67867600Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77733424Y-124110267D01* -X77702966Y-124147381D01* -X77671343Y-124206543D01* -X77651869Y-124270739D01* -X77645294Y-124337500D01* -X77645294Y-125562500D01* -X77651869Y-125629261D01* -X77671343Y-125693457D01* -X77702966Y-125752619D01* -X77745524Y-125804476D01* -X77797381Y-125847034D01* -X77856543Y-125878657D01* -X77920739Y-125898131D01* -X77987500Y-125904706D01* -X78212500Y-125904706D01* -X78279261Y-125898131D01* -X78320804Y-125885529D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78289792Y-126239603D01* -X78325870Y-126326702D01* -X78378247Y-126405090D01* -X78444910Y-126471753D01* -X78523298Y-126524130D01* -X78610397Y-126560208D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79096401Y-126430442D01* -X79096401Y-126477251D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79539603Y-127310208D01* -X79626702Y-127274130D01* -X79705090Y-127221753D01* -X79771753Y-127155090D01* -X79824130Y-127076702D01* -X79860208Y-126989603D01* -X79878600Y-126897138D01* -X79878600Y-126802862D01* -X79860208Y-126710397D01* -X79824130Y-126623298D01* -X79771753Y-126544910D01* -X79705090Y-126478247D01* -X79703600Y-126477251D01* -X79703600Y-126430443D01* -X79744910Y-126471753D01* -X79823298Y-126524130D01* -X79910397Y-126560208D01* -X80002862Y-126578600D01* -X80097138Y-126578600D01* -X80189603Y-126560208D01* -X80276702Y-126524130D01* -X80355090Y-126471753D01* -X80396401Y-126430442D01* -X80396401Y-126477251D01* -X80394910Y-126478247D01* -X80328247Y-126544910D01* -X80275870Y-126623298D01* -X80239792Y-126710397D01* -X80221400Y-126802862D01* -X80221400Y-126897138D01* -X80239792Y-126989603D01* -X80275870Y-127076702D01* -X80328247Y-127155090D01* -X80394910Y-127221753D01* -X80473298Y-127274130D01* -X80560397Y-127310208D01* -X80652862Y-127328600D01* -X80747138Y-127328600D01* -X80839603Y-127310208D01* -X80926702Y-127274130D01* -X81005090Y-127221753D01* -X81071753Y-127155090D01* -X81124130Y-127076702D01* -X81160208Y-126989603D01* -X81178600Y-126897138D01* -X81178600Y-126802862D01* -X81160208Y-126710397D01* -X81124130Y-126623298D01* -X81071753Y-126544910D01* -X81005090Y-126478247D01* -X81003600Y-126477251D01* -X81003600Y-126430443D01* -X81044910Y-126471753D01* -X81123298Y-126524130D01* -X81210397Y-126560208D01* -X81302862Y-126578600D01* -X81397138Y-126578600D01* -X81489603Y-126560208D01* -X81576702Y-126524130D01* -X81655090Y-126471753D01* -X81696401Y-126430442D01* -X81696401Y-126477251D01* -X81694910Y-126478247D01* -X81628247Y-126544910D01* -X81575870Y-126623298D01* -X81539792Y-126710397D01* -X81521400Y-126802862D01* -X81521400Y-126897138D01* -X81539792Y-126989603D01* -X81575870Y-127076702D01* -X81628247Y-127155090D01* -X81694910Y-127221753D01* -X81773298Y-127274130D01* -X81860397Y-127310208D01* -X81952862Y-127328600D01* -X82047138Y-127328600D01* -X82139603Y-127310208D01* -X82226702Y-127274130D01* -X82305090Y-127221753D01* -X82371753Y-127155090D01* -X82424130Y-127076702D01* -X82460208Y-126989603D01* -X82478600Y-126897138D01* -X82478600Y-126802862D01* -X82460208Y-126710397D01* -X82424130Y-126623298D01* -X82371753Y-126544910D01* -X82305090Y-126478247D01* -X82303600Y-126477251D01* -X82303600Y-126430443D01* -X82344910Y-126471753D01* -X82423298Y-126524130D01* -X82510397Y-126560208D01* -X82602862Y-126578600D01* -X82697138Y-126578600D01* -X82789603Y-126560208D01* -X82876702Y-126524130D01* -X82955090Y-126471753D01* -X82996401Y-126430442D01* -X82996401Y-126477251D01* -X82994910Y-126478247D01* -X82928247Y-126544910D01* -X82875870Y-126623298D01* -X82839792Y-126710397D01* -X82821400Y-126802862D01* -X82821400Y-126897138D01* -X82839792Y-126989603D01* -X82875870Y-127076702D01* -X82928247Y-127155090D01* -X82994910Y-127221753D01* -X83073298Y-127274130D01* -X83160397Y-127310208D01* -X83252862Y-127328600D01* -X83347138Y-127328600D01* -X83439603Y-127310208D01* -X83526702Y-127274130D01* -X83605090Y-127221753D01* -X83671753Y-127155090D01* -X83724130Y-127076702D01* -X83760208Y-126989603D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83603600Y-126477251D01* -X83603600Y-125869318D01* -X83637095Y-125887221D01* -X83680187Y-125900292D01* -X83725000Y-125904706D01* -X83819050Y-125903600D01* -X83876200Y-125846450D01* -X83876200Y-125023800D01* -X84023800Y-125023800D01* -X84023800Y-125846450D01* -X84080950Y-125903600D01* -X84175000Y-125904706D01* -X84219813Y-125900292D01* -X84262905Y-125887221D01* -X84302618Y-125865994D01* -X84337427Y-125837427D01* -X84365994Y-125802618D01* -X84387221Y-125762905D01* -X84400292Y-125719813D01* -X84404706Y-125675000D01* -X84403600Y-125080950D01* -X84346450Y-125023800D01* -X84023800Y-125023800D01* -X83876200Y-125023800D01* -X83856200Y-125023800D01* -X83856200Y-124876200D01* -X83876200Y-124876200D01* -X83876200Y-124053550D01* -X84023800Y-124053550D01* -X84023800Y-124876200D01* -X84346450Y-124876200D01* -X84403600Y-124819050D01* -X84404706Y-124225000D01* -X84400292Y-124180187D01* -X84387221Y-124137095D01* -X84365994Y-124097382D01* -X84337427Y-124062573D01* -X84302618Y-124034006D01* -X84262905Y-124012779D01* -X84219813Y-123999708D01* -X84175000Y-123995294D01* -X84080950Y-123996400D01* -X84023800Y-124053550D01* -X83876200Y-124053550D01* -X83819050Y-123996400D01* -X83725000Y-123995294D01* -X83680187Y-123999708D01* -X83637095Y-124012779D01* -X83597382Y-124034006D01* -X83585457Y-124043793D01* -X83543457Y-124021343D01* -X83479261Y-124001869D01* -X83412500Y-123995294D01* -X83187500Y-123995294D01* -X83120739Y-124001869D01* -X83056543Y-124021343D01* -X82997381Y-124052966D01* -X82975000Y-124071334D01* -X82952619Y-124052966D01* -X82893457Y-124021343D01* -X82829261Y-124001869D01* -X82762500Y-123995294D01* -X82537500Y-123995294D01* -X82470739Y-124001869D01* -X82406543Y-124021343D01* -X82347381Y-124052966D01* -X82325000Y-124071334D01* -X82302619Y-124052966D01* -X82243457Y-124021343D01* -X82179261Y-124001869D01* -X82112500Y-123995294D01* -X81887500Y-123995294D01* -X81820739Y-124001869D01* -X81756543Y-124021343D01* -X81697381Y-124052966D01* -X81675000Y-124071334D01* -X81652619Y-124052966D01* -X81593457Y-124021343D01* -X81529261Y-124001869D01* -X81462500Y-123995294D01* -X81237500Y-123995294D01* -X81170739Y-124001869D01* -X81106543Y-124021343D01* -X81047381Y-124052966D01* -X81025000Y-124071334D01* -X81002619Y-124052966D01* -X80943457Y-124021343D01* -X80879261Y-124001869D01* -X80812500Y-123995294D01* -X80587500Y-123995294D01* -X80520739Y-124001869D01* -X80456543Y-124021343D01* -X80397381Y-124052966D01* -X80375000Y-124071334D01* -X80352619Y-124052966D01* -X80293457Y-124021343D01* -X80229261Y-124001869D01* -X80162500Y-123995294D01* -X79937500Y-123995294D01* -X79870739Y-124001869D01* -X79806543Y-124021343D01* -X79747381Y-124052966D01* -X79725000Y-124071334D01* -X79702619Y-124052966D01* -X79643457Y-124021343D01* -X79579261Y-124001869D01* -X79512500Y-123995294D01* -X79287500Y-123995294D01* -X79220739Y-124001869D01* -X79156543Y-124021343D01* -X79097381Y-124052966D01* -X79075000Y-124071334D01* -X79052619Y-124052966D01* -X78993457Y-124021343D01* -X78929261Y-124001869D01* -X78862500Y-123995294D01* -X78637500Y-123995294D01* -X78570739Y-124001869D01* -X78529196Y-124014471D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X78560208Y-123660397D01* -X78524130Y-123573298D01* -X78471753Y-123494910D01* -X78405090Y-123428247D01* -X78326702Y-123375870D01* -X78239603Y-123339792D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X67867600Y-123752862D01* -X67867600Y-121775000D01* -X117095294Y-121775000D01* -X117099708Y-121819813D01* -X117112779Y-121862905D01* -X117134006Y-121902618D01* -X117162573Y-121937427D01* -X117197382Y-121965994D01* -X117237095Y-121987221D01* -X117280187Y-122000292D01* -X117325000Y-122004706D01* -X117669050Y-122003600D01* -X117726200Y-121946450D01* -X117726200Y-121423800D01* -X117873800Y-121423800D01* -X117873800Y-121946450D01* -X117930950Y-122003600D01* -X118275000Y-122004706D01* -X118319813Y-122000292D01* -X118362905Y-121987221D01* -X118402618Y-121965994D01* -X118437427Y-121937427D01* -X118465994Y-121902618D01* -X118487221Y-121862905D01* -X118500292Y-121819813D01* -X118504706Y-121775000D01* -X118503600Y-121480950D01* -X118446450Y-121423800D01* -X117873800Y-121423800D01* -X117726200Y-121423800D01* -X117153550Y-121423800D01* -X117096400Y-121480950D01* -X117095294Y-121775000D01* -X67867600Y-121775000D01* -X67867600Y-121500000D01* -X114570294Y-121500000D01* -X114574708Y-121544813D01* -X114587779Y-121587905D01* -X114609006Y-121627618D01* -X114637573Y-121662427D01* -X114672382Y-121690994D01* -X114712095Y-121712221D01* -X114755187Y-121725292D01* -X114800000Y-121729706D01* -X114869050Y-121728600D01* -X114926200Y-121671450D01* -X114926200Y-121073800D01* -X115073800Y-121073800D01* -X115073800Y-121671450D01* -X115130950Y-121728600D01* -X115200000Y-121729706D01* -X115244813Y-121725292D01* -X115287905Y-121712221D01* -X115325000Y-121692393D01* -X115362095Y-121712221D01* -X115405187Y-121725292D01* -X115450000Y-121729706D01* -X115519050Y-121728600D01* -X115576200Y-121671450D01* -X115576200Y-121073800D01* -X115073800Y-121073800D01* -X114926200Y-121073800D01* -X114628550Y-121073800D01* -X114571400Y-121130950D01* -X114570294Y-121500000D01* -X67867600Y-121500000D01* -X67867600Y-121363403D01* -X67869068Y-121348499D01* -X67863206Y-121288984D01* -X67852489Y-121253655D01* -X67845846Y-121231756D01* -X67817655Y-121179013D01* -X67779716Y-121132784D01* -X67768134Y-121123279D01* -X67637755Y-120992900D01* -X67762298Y-120992900D01* -X67852287Y-120975000D01* -X75895294Y-120975000D01* -X75899708Y-121019813D01* -X75912779Y-121062905D01* -X75934006Y-121102618D01* -X75962573Y-121137427D01* -X75997382Y-121165994D01* -X76037095Y-121187221D01* -X76080187Y-121200292D01* -X76125000Y-121204706D01* -X76469050Y-121203600D01* -X76526200Y-121146450D01* -X76526200Y-120623800D01* -X76673800Y-120623800D01* -X76673800Y-121146450D01* -X76730950Y-121203600D01* -X77075000Y-121204706D01* -X77119813Y-121200292D01* -X77162905Y-121187221D01* -X77202618Y-121165994D01* -X77237427Y-121137427D01* -X77265994Y-121102618D01* -X77287221Y-121062905D01* -X77300292Y-121019813D01* -X77304706Y-120975000D01* -X85095294Y-120975000D01* -X85099708Y-121019813D01* -X85112779Y-121062905D01* -X85134006Y-121102618D01* -X85162573Y-121137427D01* -X85197382Y-121165994D01* -X85237095Y-121187221D01* -X85280187Y-121200292D01* -X85325000Y-121204706D01* -X85669050Y-121203600D01* -X85726200Y-121146450D01* -X85726200Y-120623800D01* -X85873800Y-120623800D01* -X85873800Y-121146450D01* -X85930950Y-121203600D01* -X86275000Y-121204706D01* -X86319813Y-121200292D01* -X86362905Y-121187221D01* -X86402618Y-121165994D01* -X86437427Y-121137427D01* -X86465994Y-121102618D01* -X86487221Y-121062905D01* -X86500292Y-121019813D01* -X86504706Y-120975000D01* -X94295294Y-120975000D01* -X94299708Y-121019813D01* -X94312779Y-121062905D01* -X94334006Y-121102618D01* -X94362573Y-121137427D01* -X94397382Y-121165994D01* -X94437095Y-121187221D01* -X94480187Y-121200292D01* -X94525000Y-121204706D01* -X94869050Y-121203600D01* -X94926200Y-121146450D01* -X94926200Y-120623800D01* -X95073800Y-120623800D01* -X95073800Y-121146450D01* -X95130950Y-121203600D01* -X95475000Y-121204706D01* -X95519813Y-121200292D01* -X95562905Y-121187221D01* -X95602618Y-121165994D01* -X95637427Y-121137427D01* -X95665994Y-121102618D01* -X95687221Y-121062905D01* -X95700292Y-121019813D01* -X95704706Y-120975000D01* -X103495294Y-120975000D01* -X103499708Y-121019813D01* -X103512779Y-121062905D01* -X103534006Y-121102618D01* -X103562573Y-121137427D01* -X103597382Y-121165994D01* -X103637095Y-121187221D01* -X103680187Y-121200292D01* -X103725000Y-121204706D01* -X104069050Y-121203600D01* -X104126200Y-121146450D01* -X104126200Y-120623800D01* -X104273800Y-120623800D01* -X104273800Y-121146450D01* -X104330950Y-121203600D01* -X104675000Y-121204706D01* -X104719813Y-121200292D01* -X104762905Y-121187221D01* -X104802618Y-121165994D01* -X104837427Y-121137427D01* -X104865994Y-121102618D01* -X104887221Y-121062905D01* -X104900292Y-121019813D01* -X104904706Y-120975000D01* -X104903600Y-120680950D01* -X104846450Y-120623800D01* -X104273800Y-120623800D01* -X104126200Y-120623800D01* -X103553550Y-120623800D01* -X103496400Y-120680950D01* -X103495294Y-120975000D01* -X95704706Y-120975000D01* -X95703600Y-120680950D01* -X95646450Y-120623800D01* -X95073800Y-120623800D01* -X94926200Y-120623800D01* -X94353550Y-120623800D01* -X94296400Y-120680950D01* -X94295294Y-120975000D01* -X86504706Y-120975000D01* -X86503600Y-120680950D01* -X86446450Y-120623800D01* -X85873800Y-120623800D01* -X85726200Y-120623800D01* -X85153550Y-120623800D01* -X85096400Y-120680950D01* -X85095294Y-120975000D01* -X77304706Y-120975000D01* -X77303600Y-120680950D01* -X77246450Y-120623800D01* -X76673800Y-120623800D01* -X76526200Y-120623800D01* -X75953550Y-120623800D01* -X75896400Y-120680950D01* -X75895294Y-120975000D01* -X67852287Y-120975000D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68400439Y-120125000D01* -X75895294Y-120125000D01* -X75896400Y-120419050D01* -X75953550Y-120476200D01* -X76526200Y-120476200D01* -X76526200Y-119953550D01* -X76673800Y-119953550D01* -X76673800Y-120476200D01* -X77246450Y-120476200D01* -X77303600Y-120419050D01* -X77304706Y-120125000D01* -X77300292Y-120080187D01* -X77287221Y-120037095D01* -X77265994Y-119997382D01* -X77237427Y-119962573D01* -X77202618Y-119934006D01* -X77162905Y-119912779D01* -X77119813Y-119899708D01* -X77075000Y-119895294D01* -X76730950Y-119896400D01* -X76673800Y-119953550D01* -X76526200Y-119953550D01* -X76469050Y-119896400D01* -X76125000Y-119895294D01* -X76080187Y-119899708D01* -X76037095Y-119912779D01* -X75997382Y-119934006D01* -X75962573Y-119962573D01* -X75934006Y-119997382D01* -X75912779Y-120037095D01* -X75899708Y-120080187D01* -X75895294Y-120125000D01* -X68400439Y-120125000D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X67043300Y-119941044D01* -X67043300Y-119826709D01* -X67019385Y-119706482D01* -X66972475Y-119593230D01* -X66904372Y-119491307D01* -X66817693Y-119404628D01* -X66715770Y-119336525D01* -X66624200Y-119298596D01* -X66624200Y-119207404D01* -X66642075Y-119200000D01* -X75168843Y-119200000D01* -X75171400Y-119225962D01* -X75171400Y-119252063D01* -X75176492Y-119277665D01* -X75179049Y-119303623D01* -X75186620Y-119328580D01* -X75191713Y-119354187D01* -X75201706Y-119378311D01* -X75209275Y-119403264D01* -X75221567Y-119426261D01* -X75231560Y-119450386D01* -X75246067Y-119472097D01* -X75258359Y-119495094D01* -X75274901Y-119515250D01* -X75289409Y-119536963D01* -X75307875Y-119555429D01* -X75324416Y-119575584D01* -X75344571Y-119592125D01* -X75363037Y-119610591D01* -X75384750Y-119625099D01* -X75404906Y-119641641D01* -X75427903Y-119653933D01* -X75449614Y-119668440D01* -X75473739Y-119678433D01* -X75496736Y-119690725D01* -X75521689Y-119698294D01* -X75545813Y-119708287D01* -X75571420Y-119713380D01* -X75596377Y-119720951D01* -X75622335Y-119723508D01* -X75647937Y-119728600D01* -X75674038Y-119728600D01* -X75700000Y-119731157D01* -X75725962Y-119728600D01* -X75752063Y-119728600D01* -X75777665Y-119723508D01* -X75803623Y-119720951D01* -X75828580Y-119713380D01* -X75854187Y-119708287D01* -X75878311Y-119698294D01* -X75903264Y-119690725D01* -X75926261Y-119678433D01* -X75950386Y-119668440D01* -X75972097Y-119653933D01* -X75995094Y-119641641D01* -X76015251Y-119625099D01* -X76036963Y-119610591D01* -X76050932Y-119596622D01* -X76091824Y-119630181D01* -X76168275Y-119671045D01* -X76251230Y-119696209D01* -X76337500Y-119704706D01* -X76862500Y-119704706D01* -X76948770Y-119696209D01* -X77031725Y-119671045D01* -X77108176Y-119630181D01* -X77171028Y-119578600D01* -X77500962Y-119578600D01* -X77578624Y-119570951D01* -X77645294Y-119550727D01* -X77645294Y-119662500D01* -X77646401Y-119673736D01* -X77646401Y-119994442D01* -X77639792Y-120010397D01* -X77621400Y-120102862D01* -X77621400Y-120197138D01* -X77639792Y-120289603D01* -X77675870Y-120376702D01* -X77728247Y-120455090D01* -X77794910Y-120521753D01* -X77873298Y-120574130D01* -X77960397Y-120610208D01* -X78052862Y-120628600D01* -X78147138Y-120628600D01* -X78239603Y-120610208D01* -X78326702Y-120574130D01* -X78405090Y-120521753D01* -X78471753Y-120455090D01* -X78524130Y-120376702D01* -X78560208Y-120289603D01* -X78578600Y-120197138D01* -X78578600Y-120125000D01* -X85095294Y-120125000D01* -X85096400Y-120419050D01* -X85153550Y-120476200D01* -X85726200Y-120476200D01* -X85726200Y-119953550D01* -X85873800Y-119953550D01* -X85873800Y-120476200D01* -X86446450Y-120476200D01* -X86503600Y-120419050D01* -X86504706Y-120125000D01* -X86500292Y-120080187D01* -X86487221Y-120037095D01* -X86465994Y-119997382D01* -X86437427Y-119962573D01* -X86402618Y-119934006D01* -X86362905Y-119912779D01* -X86319813Y-119899708D01* -X86275000Y-119895294D01* -X85930950Y-119896400D01* -X85873800Y-119953550D01* -X85726200Y-119953550D01* -X85669050Y-119896400D01* -X85325000Y-119895294D01* -X85280187Y-119899708D01* -X85237095Y-119912779D01* -X85197382Y-119934006D01* -X85162573Y-119962573D01* -X85134006Y-119997382D01* -X85112779Y-120037095D01* -X85099708Y-120080187D01* -X85095294Y-120125000D01* -X78578600Y-120125000D01* -X78578600Y-120102862D01* -X78560208Y-120010397D01* -X78557691Y-120004322D01* -X78619050Y-120003600D01* -X78676200Y-119946450D01* -X78676200Y-119123800D01* -X78656200Y-119123800D01* -X78656200Y-118976200D01* -X78676200Y-118976200D01* -X78676200Y-118153550D01* -X78619050Y-118096400D01* -X78557691Y-118095678D01* -X78560208Y-118089603D01* -X78578600Y-117997138D01* -X78578600Y-117902862D01* -X78560208Y-117810397D01* -X78524130Y-117723298D01* -X78471753Y-117644910D01* -X78405090Y-117578247D01* -X78326702Y-117525870D01* -X78239603Y-117489792D01* -X78147138Y-117471400D01* -X78052862Y-117471400D01* -X77960397Y-117489792D01* -X77873298Y-117525870D01* -X77794910Y-117578247D01* -X77728247Y-117644910D01* -X77675870Y-117723298D01* -X77639792Y-117810397D01* -X77621400Y-117902862D01* -X77621400Y-117997138D01* -X77639792Y-118089603D01* -X77646401Y-118105558D01* -X77646401Y-118426264D01* -X77645294Y-118437500D01* -X77645294Y-118549273D01* -X77578624Y-118529049D01* -X77500962Y-118521400D01* -X77171028Y-118521400D01* -X77128600Y-118486580D01* -X77128600Y-118147937D01* -X77123508Y-118122336D01* -X77120951Y-118096376D01* -X77113380Y-118071418D01* -X77108287Y-118045813D01* -X77098296Y-118021691D01* -X77090725Y-117996735D01* -X77078431Y-117973735D01* -X77068440Y-117949614D01* -X77053936Y-117927907D01* -X77041641Y-117904905D01* -X77025094Y-117884743D01* -X77010591Y-117863037D01* -X76992133Y-117844579D01* -X76975585Y-117824415D01* -X76955421Y-117807867D01* -X76936963Y-117789409D01* -X76915257Y-117774906D01* -X76895095Y-117758359D01* -X76872093Y-117746064D01* -X76850386Y-117731560D01* -X76826265Y-117721569D01* -X76803265Y-117709275D01* -X76778309Y-117701704D01* -X76754187Y-117691713D01* -X76728582Y-117686620D01* -X76703624Y-117679049D01* -X76677664Y-117676492D01* -X76652063Y-117671400D01* -X76625962Y-117671400D01* -X76600000Y-117668843D01* -X76574038Y-117671400D01* -X76547937Y-117671400D01* -X76522335Y-117676492D01* -X76496377Y-117679049D01* -X76471420Y-117686620D01* -X76445813Y-117691713D01* -X76421689Y-117701706D01* -X76396736Y-117709275D01* -X76373739Y-117721567D01* -X76349614Y-117731560D01* -X76327903Y-117746067D01* -X76304906Y-117758359D01* -X76284748Y-117774902D01* -X76263037Y-117789409D01* -X76244575Y-117807871D01* -X76224416Y-117824415D01* -X76207872Y-117844574D01* -X76189409Y-117863037D01* -X76174901Y-117884749D01* -X76158360Y-117904905D01* -X76146069Y-117927899D01* -X76131560Y-117949614D01* -X76121565Y-117973743D01* -X76109276Y-117996735D01* -X76101708Y-118021682D01* -X76091713Y-118045813D01* -X76086618Y-118071427D01* -X76079050Y-118096376D01* -X76076493Y-118122329D01* -X76071400Y-118147937D01* -X76071400Y-118486580D01* -X76028972Y-118521400D01* -X75875958Y-118521400D01* -X75849999Y-118518843D01* -X75824040Y-118521400D01* -X75824038Y-118521400D01* -X75746376Y-118529049D01* -X75646735Y-118559275D01* -X75554905Y-118608359D01* -X75474415Y-118674415D01* -X75457858Y-118694590D01* -X75363043Y-118789405D01* -X75363037Y-118789409D01* -X75289409Y-118863037D01* -X75274901Y-118884749D01* -X75258359Y-118904906D01* -X75246067Y-118927903D01* -X75231560Y-118949614D01* -X75221567Y-118973739D01* -X75209275Y-118996736D01* -X75201706Y-119021689D01* -X75191713Y-119045813D01* -X75186620Y-119071420D01* -X75179049Y-119096377D01* -X75176492Y-119122335D01* -X75171400Y-119147937D01* -X75171400Y-119174038D01* -X75168843Y-119200000D01* -X66642075Y-119200000D01* -X66715770Y-119169475D01* -X66817693Y-119101372D01* -X66904372Y-119014693D01* -X66972475Y-118912770D01* -X67019385Y-118799518D01* -X67043300Y-118679291D01* -X67043300Y-118564956D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68387081Y-118025846D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67479846Y-117540919D01* -X67348105Y-117595488D01* -X67229540Y-117674710D01* -X67128710Y-117775540D01* -X67049488Y-117894105D01* -X66994919Y-118025846D01* -X66967100Y-118165702D01* -X66967100Y-118308298D01* -X66969051Y-118318105D01* -X66904372Y-118221307D01* -X66817693Y-118134628D01* -X66715770Y-118066525D01* -X66602518Y-118019615D01* -X66482291Y-117995700D01* -X66389607Y-117995700D01* -X66456751Y-117967888D01* -X66688679Y-117812918D01* -X66885918Y-117615679D01* -X67040888Y-117383751D01* -X67147632Y-117126047D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64424368Y-116299953D01* -X64369950Y-116573531D01* -X64369950Y-116852469D01* -X64424368Y-117126047D01* -X64531112Y-117383751D01* -X64686082Y-117615679D01* -X64883321Y-117812918D01* -X65115249Y-117967888D01* -X65182393Y-117995700D01* -X65089709Y-117995700D01* -X64969482Y-118019615D01* -X64856230Y-118066525D01* -X64754307Y-118134628D01* -X64667628Y-118221307D01* -X64599525Y-118323230D01* -X64561596Y-118414800D01* -X64470404Y-118414800D01* -X64432475Y-118323230D01* -X64364372Y-118221307D01* -X64277693Y-118134628D01* -X64175770Y-118066525D01* -X64062518Y-118019615D01* -X63942291Y-117995700D01* -X63819709Y-117995700D01* -X63699482Y-118019615D01* -X63586230Y-118066525D01* -X63484307Y-118134628D01* -X63397628Y-118221307D01* -X63329525Y-118323230D01* -X63291596Y-118414800D01* -X63200404Y-118414800D01* -X63162475Y-118323230D01* -X63094372Y-118221307D01* -X63007693Y-118134628D01* -X62914600Y-118072425D01* -X62914600Y-117997903D01* -X62916068Y-117982999D01* -X62910206Y-117923483D01* -X62898003Y-117883256D01* -X62892846Y-117866256D01* -X62864655Y-117813513D01* -X62826716Y-117767284D01* -X62815133Y-117757778D01* -X62406600Y-117349246D01* -X62406600Y-116983362D01* -X62449900Y-116983362D01* -X62449900Y-117077638D01* -X62468292Y-117170103D01* -X62504370Y-117257202D01* -X62556747Y-117335590D01* -X62623410Y-117402253D01* -X62701798Y-117454630D01* -X62788897Y-117490708D01* -X62881362Y-117509100D01* -X62975638Y-117509100D01* -X63068103Y-117490708D01* -X63155202Y-117454630D01* -X63233590Y-117402253D01* -X63300253Y-117335590D01* -X63352630Y-117257202D01* -X63388708Y-117170103D01* -X63407100Y-117077638D01* -X63407100Y-116983362D01* -X63388708Y-116890897D01* -X63352630Y-116803798D01* -X63300253Y-116725410D01* -X63233590Y-116658747D01* -X63155202Y-116606370D01* -X63068103Y-116570292D01* -X62975638Y-116551900D01* -X62881362Y-116551900D01* -X62788897Y-116570292D01* -X62701798Y-116606370D01* -X62623410Y-116658747D01* -X62556747Y-116725410D01* -X62504370Y-116803798D01* -X62468292Y-116890897D01* -X62449900Y-116983362D01* -X62406600Y-116983362D01* -X62406600Y-116076754D01* -X65908355Y-112575000D01* -X69170294Y-112575000D01* -X69174708Y-112619813D01* -X69187779Y-112662905D01* -X69209006Y-112702618D01* -X69237573Y-112737427D01* -X69272382Y-112765994D01* -X69312095Y-112787221D01* -X69355187Y-112800292D01* -X69400000Y-112804706D01* -X69619050Y-112803600D01* -X69676200Y-112746450D01* -X69676200Y-112173800D01* -X69823800Y-112173800D01* -X69823800Y-112746450D01* -X69880950Y-112803600D01* -X70100000Y-112804706D01* -X70144813Y-112800292D01* -X70187905Y-112787221D01* -X70227618Y-112765994D01* -X70262427Y-112737427D01* -X70290994Y-112702618D01* -X70312221Y-112662905D01* -X70325292Y-112619813D01* -X70329706Y-112575000D01* -X70328600Y-112230950D01* -X70271450Y-112173800D01* -X69823800Y-112173800D01* -X69676200Y-112173800D01* -X69228550Y-112173800D01* -X69171400Y-112230950D01* -X69170294Y-112575000D01* -X65908355Y-112575000D01* -X66504140Y-111979216D01* -X66515716Y-111969716D01* -X66553655Y-111923487D01* -X66581846Y-111870744D01* -X66599206Y-111813516D01* -X66600459Y-111800794D01* -X66605068Y-111754001D01* -X66603600Y-111739097D01* -X66603600Y-111625000D01* -X69170294Y-111625000D01* -X69171400Y-111969050D01* -X69228550Y-112026200D01* -X69676200Y-112026200D01* -X69676200Y-111453550D01* -X69823800Y-111453550D01* -X69823800Y-112026200D01* -X70271450Y-112026200D01* -X70328600Y-111969050D01* -X70329143Y-111800000D01* -X70770294Y-111800000D01* -X70770294Y-112400000D01* -X70778070Y-112478954D01* -X70801100Y-112554874D01* -X70838499Y-112624843D01* -X70888830Y-112686170D01* -X70950157Y-112736501D01* -X71020126Y-112773900D01* -X71096046Y-112796930D01* -X71175000Y-112804706D01* -X71525000Y-112804706D01* -X71603954Y-112796930D01* -X71679874Y-112773900D01* -X71749843Y-112736501D01* -X71811170Y-112686170D01* -X71861501Y-112624843D01* -X71898900Y-112554874D01* -X71904327Y-112536983D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72524130Y-112326702D01* -X72560208Y-112239603D01* -X72578600Y-112147138D01* -X72578600Y-112052862D01* -X72560208Y-111960397D01* -X72524130Y-111873298D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71904327Y-111663017D01* -X71898900Y-111645126D01* -X71861501Y-111575157D01* -X71811170Y-111513830D01* -X71749843Y-111463499D01* -X71679874Y-111426100D01* -X71603954Y-111403070D01* -X71525000Y-111395294D01* -X71175000Y-111395294D01* -X71096046Y-111403070D01* -X71020126Y-111426100D01* -X70950157Y-111463499D01* -X70888830Y-111513830D01* -X70838499Y-111575157D01* -X70801100Y-111645126D01* -X70778070Y-111721046D01* -X70770294Y-111800000D01* -X70329143Y-111800000D01* -X70329706Y-111625000D01* -X70325292Y-111580187D01* -X70312221Y-111537095D01* -X70290994Y-111497382D01* -X70262427Y-111462573D01* -X70227618Y-111434006D01* -X70187905Y-111412779D01* -X70144813Y-111399708D01* -X70100000Y-111395294D01* -X69880950Y-111396400D01* -X69823800Y-111453550D01* -X69676200Y-111453550D01* -X69619050Y-111396400D01* -X69400000Y-111395294D01* -X69355187Y-111399708D01* -X69312095Y-111412779D01* -X69272382Y-111434006D01* -X69237573Y-111462573D01* -X69209006Y-111497382D01* -X69187779Y-111537095D01* -X69174708Y-111580187D01* -X69170294Y-111625000D01* -X66603600Y-111625000D01* -X66603600Y-110350000D01* -X69170294Y-110350000D01* -X69170294Y-110950000D01* -X69178070Y-111028954D01* -X69201100Y-111104874D01* -X69238499Y-111174843D01* -X69288830Y-111236170D01* -X69350157Y-111286501D01* -X69420126Y-111323900D01* -X69496046Y-111346930D01* -X69575000Y-111354706D01* -X69925000Y-111354706D01* -X70003954Y-111346930D01* -X70079874Y-111323900D01* -X70149843Y-111286501D01* -X70211170Y-111236170D01* -X70261501Y-111174843D01* -X70298900Y-111104874D01* -X70321930Y-111028954D01* -X70329706Y-110950000D01* -X70329706Y-110350000D01* -X70770294Y-110350000D01* -X70770294Y-110950000D01* -X70778070Y-111028954D01* -X70801100Y-111104874D01* -X70838499Y-111174843D01* -X70888830Y-111236170D01* -X70950157Y-111286501D01* -X71020126Y-111323900D01* -X71096046Y-111346930D01* -X71175000Y-111354706D01* -X71525000Y-111354706D01* -X71603954Y-111346930D01* -X71679874Y-111323900D01* -X71749843Y-111286501D01* -X71811170Y-111236170D01* -X71861501Y-111174843D01* -X71898650Y-111105342D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72474130Y-110876702D01* -X72510208Y-110789603D01* -X72528600Y-110697138D01* -X72528600Y-110602862D01* -X72510208Y-110510397D01* -X72474130Y-110423298D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X71910397Y-110189792D01* -X71898650Y-110194658D01* -X71861501Y-110125157D01* -X71811170Y-110063830D01* -X71749843Y-110013499D01* -X71679874Y-109976100D01* -X71603954Y-109953070D01* -X71525000Y-109945294D01* -X71175000Y-109945294D01* -X71096046Y-109953070D01* -X71020126Y-109976100D01* -X70950157Y-110013499D01* -X70888830Y-110063830D01* -X70838499Y-110125157D01* -X70801100Y-110195126D01* -X70778070Y-110271046D01* -X70770294Y-110350000D01* -X70329706Y-110350000D01* -X70321930Y-110271046D01* -X70298900Y-110195126D01* -X70261501Y-110125157D01* -X70228600Y-110085068D01* -X70228600Y-109752862D01* -X70223989Y-109729679D01* -X70221674Y-109706178D01* -X70214820Y-109683581D01* -X70210208Y-109660397D01* -X70201163Y-109638561D01* -X70194308Y-109615962D01* -X70183174Y-109595133D01* -X70174130Y-109573298D01* -X70160999Y-109553646D01* -X70149866Y-109532818D01* -X70134886Y-109514564D01* -X70121753Y-109494910D01* -X70105037Y-109478194D01* -X70090058Y-109459942D01* -X70071806Y-109444963D01* -X70055090Y-109428247D01* -X70035436Y-109415114D01* -X70017182Y-109400134D01* -X69996355Y-109389002D01* -X69976702Y-109375870D01* -X69954864Y-109366824D01* -X69934037Y-109355692D01* -X69911442Y-109348838D01* -X69889603Y-109339792D01* -X69866416Y-109335180D01* -X69843821Y-109328326D01* -X69820322Y-109326011D01* -X69797138Y-109321400D01* -X69773503Y-109321400D01* -X69750000Y-109319085D01* -X69726496Y-109321400D01* -X69702862Y-109321400D01* -X69679679Y-109326011D01* -X69656178Y-109328326D01* -X69633581Y-109335180D01* -X69610397Y-109339792D01* -X69588561Y-109348837D01* -X69565962Y-109355692D01* -X69545133Y-109366826D01* -X69523298Y-109375870D01* -X69503646Y-109389001D01* -X69482818Y-109400134D01* -X69464564Y-109415114D01* -X69444910Y-109428247D01* -X69428194Y-109444963D01* -X69409942Y-109459942D01* -X69394963Y-109478194D01* -X69378247Y-109494910D01* -X69365114Y-109514564D01* -X69350134Y-109532818D01* -X69339002Y-109553645D01* -X69325870Y-109573298D01* -X69316824Y-109595136D01* -X69305692Y-109615963D01* -X69298838Y-109638558D01* -X69289792Y-109660397D01* -X69285180Y-109683584D01* -X69278326Y-109706179D01* -X69276011Y-109729678D01* -X69271400Y-109752862D01* -X69271400Y-110085068D01* -X69238499Y-110125157D01* -X69201100Y-110195126D01* -X69178070Y-110271046D01* -X69170294Y-110350000D01* -X66603600Y-110350000D01* -X66603600Y-101825000D01* -X68295294Y-101825000D01* -X68299708Y-101869813D01* -X68312779Y-101912905D01* -X68334006Y-101952618D01* -X68362573Y-101987427D01* -X68397382Y-102015994D01* -X68437095Y-102037221D01* -X68480187Y-102050292D01* -X68525000Y-102054706D01* -X68869050Y-102053600D01* -X68926200Y-101996450D01* -X68926200Y-101473800D01* -X69073800Y-101473800D01* -X69073800Y-101996450D01* -X69130950Y-102053600D01* -X69475000Y-102054706D01* -X69519813Y-102050292D01* -X69562905Y-102037221D01* -X69602618Y-102015994D01* -X69637427Y-101987427D01* -X69665994Y-101952618D01* -X69687221Y-101912905D01* -X69700292Y-101869813D01* -X69704706Y-101825000D01* -X69703600Y-101530950D01* -X69646450Y-101473800D01* -X69073800Y-101473800D01* -X68926200Y-101473800D01* -X68353550Y-101473800D01* -X68296400Y-101530950D01* -X68295294Y-101825000D01* -X66603600Y-101825000D01* -X66603600Y-100975000D01* -X68295294Y-100975000D01* -X68296400Y-101269050D01* -X68353550Y-101326200D01* -X68926200Y-101326200D01* -X68926200Y-100803550D01* -X69073800Y-100803550D01* -X69073800Y-101326200D01* -X69646450Y-101326200D01* -X69703600Y-101269050D01* -X69704706Y-100975000D01* -X69700292Y-100930187D01* -X69687221Y-100887095D01* -X69665994Y-100847382D01* -X69637427Y-100812573D01* -X69602618Y-100784006D01* -X69562905Y-100762779D01* -X69519813Y-100749708D01* -X69475000Y-100745294D01* -X69130950Y-100746400D01* -X69073800Y-100803550D01* -X68926200Y-100803550D01* -X68869050Y-100746400D01* -X68525000Y-100745294D01* -X68480187Y-100749708D01* -X68437095Y-100762779D01* -X68397382Y-100784006D01* -X68362573Y-100812573D01* -X68334006Y-100847382D01* -X68312779Y-100887095D01* -X68299708Y-100930187D01* -X68295294Y-100975000D01* -X66603600Y-100975000D01* -X66603600Y-100223903D01* -X66605068Y-100208999D01* -X66599206Y-100149483D01* -X66589047Y-100115994D01* -X66581846Y-100092256D01* -X66559261Y-100050000D01* -X67669086Y-100050000D01* -X67671400Y-100073496D01* -X67671400Y-100097138D01* -X67676012Y-100120324D01* -X67678326Y-100143821D01* -X67685180Y-100166414D01* -X67689792Y-100189603D01* -X67698840Y-100211446D01* -X67705693Y-100234038D01* -X67716823Y-100254860D01* -X67725870Y-100276702D01* -X67739005Y-100296359D01* -X67750134Y-100317181D01* -X67765112Y-100335432D01* -X67778247Y-100355090D01* -X67794964Y-100371807D01* -X67809942Y-100390058D01* -X67828193Y-100405036D01* -X67844910Y-100421753D01* -X67864568Y-100434888D01* -X67882819Y-100449866D01* -X67903641Y-100460995D01* -X67923298Y-100474130D01* -X67945140Y-100483177D01* -X67965962Y-100494307D01* -X67988554Y-100501160D01* -X68010397Y-100510208D01* -X68033586Y-100514820D01* -X68056179Y-100521674D01* -X68079676Y-100523988D01* -X68102862Y-100528600D01* -X68126504Y-100528600D01* -X68150000Y-100530914D01* -X68173496Y-100528600D01* -X68197138Y-100528600D01* -X68220324Y-100523988D01* -X68243821Y-100521674D01* -X68266414Y-100514820D01* -X68289603Y-100510208D01* -X68311446Y-100501160D01* -X68334038Y-100494307D01* -X68354860Y-100483177D01* -X68376702Y-100474130D01* -X68396359Y-100460995D01* -X68417181Y-100449866D01* -X68435432Y-100434888D01* -X68436094Y-100434445D01* -X68491824Y-100480181D01* -X68568275Y-100521045D01* -X68651230Y-100546209D01* -X68737500Y-100554706D01* -X69262500Y-100554706D01* -X69348770Y-100546209D01* -X69431725Y-100521045D01* -X69508176Y-100480181D01* -X69563906Y-100434445D01* -X69564568Y-100434888D01* -X69582819Y-100449866D01* -X69603641Y-100460995D01* -X69623298Y-100474130D01* -X69645140Y-100483177D01* -X69665962Y-100494307D01* -X69688554Y-100501160D01* -X69710397Y-100510208D01* -X69733584Y-100514820D01* -X69756178Y-100521674D01* -X69779677Y-100523988D01* -X69802862Y-100528600D01* -X69826503Y-100528600D01* -X69849999Y-100530914D01* -X69873495Y-100528600D01* -X69897138Y-100528600D01* -X69920324Y-100523988D01* -X69943821Y-100521674D01* -X69966414Y-100514820D01* -X69989603Y-100510208D01* -X70011446Y-100501160D01* -X70034038Y-100494307D01* -X70054860Y-100483177D01* -X70076702Y-100474130D01* -X70096359Y-100460995D01* -X70117181Y-100449866D01* -X70135432Y-100434888D01* -X70155090Y-100421753D01* -X70171807Y-100405036D01* -X70190058Y-100390058D01* -X70205036Y-100371807D01* -X70221753Y-100355090D01* -X70234888Y-100335432D01* -X70249866Y-100317181D01* -X70260995Y-100296359D01* -X70274130Y-100276702D01* -X70283177Y-100254860D01* -X70294307Y-100234038D01* -X70301160Y-100211446D01* -X70310208Y-100189603D01* -X70314820Y-100166414D01* -X70321674Y-100143821D01* -X70323988Y-100120324D01* -X70328600Y-100097138D01* -X70328600Y-100073495D01* -X70330914Y-100049999D01* -X70328600Y-100026503D01* -X70328600Y-100002862D01* -X70323988Y-99979677D01* -X70321674Y-99956178D01* -X70314820Y-99933584D01* -X70310208Y-99910397D01* -X70301160Y-99888554D01* -X70294307Y-99865962D01* -X70283177Y-99845140D01* -X70274130Y-99823298D01* -X70260995Y-99803641D01* -X70249866Y-99782819D01* -X70234888Y-99764568D01* -X70221753Y-99744910D01* -X70155090Y-99678247D01* -X70155087Y-99678245D01* -X70055042Y-99578200D01* -X70040058Y-99559942D01* -X69967182Y-99500134D01* -X69884038Y-99455692D01* -X69793822Y-99428326D01* -X69723504Y-99421400D01* -X69723496Y-99421400D01* -X69700000Y-99419086D01* -X69676504Y-99421400D01* -X69613420Y-99421400D01* -X69575187Y-99374813D01* -X69508176Y-99319819D01* -X69478600Y-99304010D01* -X69478600Y-99052862D01* -X69473989Y-99029679D01* -X69471674Y-99006178D01* -X69464820Y-98983581D01* -X69460208Y-98960397D01* -X69451163Y-98938561D01* -X69444308Y-98915962D01* -X69433174Y-98895133D01* -X69424130Y-98873298D01* -X69410999Y-98853646D01* -X69399866Y-98832818D01* -X69384886Y-98814564D01* -X69371753Y-98794910D01* -X69355037Y-98778194D01* -X69340058Y-98759942D01* -X69321806Y-98744963D01* -X69305090Y-98728247D01* -X69285436Y-98715114D01* -X69267182Y-98700134D01* -X69246354Y-98689001D01* -X69226702Y-98675870D01* -X69204867Y-98666826D01* -X69184038Y-98655692D01* -X69161439Y-98648837D01* -X69139603Y-98639792D01* -X69116419Y-98635180D01* -X69093822Y-98628326D01* -X69070321Y-98626011D01* -X69047138Y-98621400D01* -X69023504Y-98621400D01* -X69000000Y-98619085D01* -X68976497Y-98621400D01* -X68952862Y-98621400D01* -X68929678Y-98626011D01* -X68906179Y-98628326D01* -X68883584Y-98635180D01* -X68860397Y-98639792D01* -X68838558Y-98648838D01* -X68815963Y-98655692D01* -X68795137Y-98666824D01* -X68773298Y-98675870D01* -X68753642Y-98689004D01* -X68732819Y-98700134D01* -X68714570Y-98715111D01* -X68694910Y-98728247D01* -X68678190Y-98744967D01* -X68659943Y-98759942D01* -X68644968Y-98778189D01* -X68628247Y-98794910D01* -X68615110Y-98814571D01* -X68600135Y-98832818D01* -X68589006Y-98853638D01* -X68575870Y-98873298D01* -X68566822Y-98895141D01* -X68555693Y-98915962D01* -X68548841Y-98938552D01* -X68539792Y-98960397D01* -X68535179Y-98983591D01* -X68528327Y-99006178D01* -X68526013Y-99029669D01* -X68521400Y-99052862D01* -X68521400Y-99147138D01* -X68521401Y-99147143D01* -X68521401Y-99304010D01* -X68491824Y-99319819D01* -X68424813Y-99374813D01* -X68386580Y-99421400D01* -X68323495Y-99421400D01* -X68299999Y-99419086D01* -X68276503Y-99421400D01* -X68276496Y-99421400D01* -X68206178Y-99428326D01* -X68115962Y-99455692D01* -X68032818Y-99500134D01* -X67959942Y-99559942D01* -X67944958Y-99578200D01* -X67844913Y-99678245D01* -X67844910Y-99678247D01* -X67778247Y-99744910D01* -X67765112Y-99764568D01* -X67750134Y-99782819D01* -X67739005Y-99803641D01* -X67725870Y-99823298D01* -X67716823Y-99845140D01* -X67705693Y-99865962D01* -X67698840Y-99888554D01* -X67689792Y-99910397D01* -X67685180Y-99933586D01* -X67678326Y-99956179D01* -X67676012Y-99979676D01* -X67671400Y-100002862D01* -X67671400Y-100026504D01* -X67669086Y-100050000D01* -X66559261Y-100050000D01* -X66553655Y-100039513D01* -X66515716Y-99993284D01* -X66504139Y-99983783D01* -X65094408Y-98574053D01* -X65102895Y-98561351D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64652351Y-99011895D01* -X64665053Y-99003408D01* -X65996401Y-100334757D01* -X65996400Y-111628244D01* -X61898867Y-115725779D01* -X61887285Y-115735284D01* -X61849346Y-115781513D01* -X61829761Y-115818155D01* -X61821155Y-115834256D01* -X61803794Y-115891485D01* -X61797932Y-115951000D01* -X61799401Y-115965914D01* -X61799400Y-117460096D01* -X61797932Y-117475000D01* -X61799400Y-117489903D01* -X61803794Y-117534515D01* -X61821154Y-117591743D01* -X61849345Y-117644486D01* -X61887284Y-117690716D01* -X61898872Y-117700226D01* -X62285622Y-118086977D01* -X62214307Y-118134628D01* -X62127628Y-118221307D01* -X62059525Y-118323230D01* -X62021596Y-118414800D01* -X61929750Y-118414800D01* -X61898018Y-118333843D01* -X61886730Y-118312726D01* -X61791532Y-118271837D01* -X61648569Y-118414800D01* -X61439831Y-118414800D01* -X61687163Y-118167468D01* -X61646274Y-118072270D01* -X61533942Y-118023199D01* -X61414195Y-117996987D01* -X61291635Y-117994639D01* -X61170972Y-118016248D01* -X61056843Y-118060982D01* -X61035726Y-118072270D01* -X60994837Y-118167468D01* -X61242169Y-118414800D01* -X61214000Y-118414800D01* -X61199134Y-118416264D01* -X61184840Y-118420600D01* -X61171666Y-118427642D01* -X61160118Y-118437118D01* -X61150642Y-118448666D01* -X61143600Y-118461840D01* -X61139264Y-118476134D01* -X61137800Y-118491000D01* -X61137800Y-118519169D01* -X60890468Y-118271837D01* -X60795270Y-118312726D01* -X60746199Y-118425058D01* -X60719987Y-118544805D01* -X60717639Y-118667365D01* -X60739248Y-118788028D01* -X60783982Y-118902157D01* -X60795270Y-118923274D01* -X60890468Y-118964163D01* -X61137800Y-118716831D01* -X61137800Y-118925569D01* -X60994837Y-119068532D01* -X61035726Y-119163730D01* -X61137800Y-119208320D01* -X61137800Y-119298596D01* -X61046230Y-119336525D01* -X60944307Y-119404628D01* -X60857628Y-119491307D01* -X60789525Y-119593230D01* -X60742615Y-119706482D01* -X60718700Y-119826709D01* -X60718700Y-119949291D01* -X60742615Y-120069518D01* -X60789525Y-120182770D01* -X60857628Y-120284693D01* -X60944307Y-120371372D01* -X61046230Y-120439475D01* -X61159482Y-120486385D01* -X61279709Y-120510300D01* -X61402291Y-120510300D01* -X61512102Y-120488457D01* -X61672400Y-120648755D01* -X61672401Y-122429244D01* -X60707246Y-123394400D01* -X59434755Y-123394400D01* -X58469600Y-122429246D01* -X58469600Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X58469600Y-121653531D01* -X58469600Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X58469600Y-119181702D01* -X58469600Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60973679Y-117812918D01* -X61170918Y-117615679D01* -X61325888Y-117383751D01* -X61432632Y-117126047D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X58469600Y-116573531D01* -X58469600Y-115949754D01* -X64339135Y-110080220D01* -X64350716Y-110070716D01* -X64388655Y-110024487D01* -X64416846Y-109971744D01* -X64434206Y-109914516D01* -X64437635Y-109879706D01* -X64440068Y-109855000D01* -X64438600Y-109840096D01* -X64438600Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65189594Y-107212782D01* -X65161027Y-107177973D01* -X65126218Y-107149406D01* -X65086505Y-107128179D01* -X65043413Y-107115108D01* -X64998600Y-107110694D01* -X63271400Y-107110694D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X63831400Y-109297306D01* -X63831400Y-109729245D01* -X57961867Y-115598779D01* -X57950285Y-115608284D01* -X57912346Y-115654513D01* -X57888691Y-115698770D01* -X57884155Y-115707256D01* -X57866794Y-115764485D01* -X57860932Y-115824000D01* -X57862401Y-115838914D01* -X57862400Y-122540096D01* -X57860932Y-122555000D01* -X57862400Y-122569903D01* -X57866794Y-122614515D01* -X57884154Y-122671743D01* -X57912345Y-122724486D01* -X57950284Y-122770716D01* -X57961872Y-122780226D01* -X59083779Y-123902134D01* -X59093284Y-123913716D01* -X59139513Y-123951655D01* -X59192256Y-123979846D01* -X59243181Y-123995294D01* -X59249484Y-123997206D01* -X59308999Y-124003068D01* -X59323903Y-124001600D01* -X60818096Y-124001600D01* -X60833000Y-124003068D01* -X60847904Y-124001600D01* -X60892516Y-123997206D01* -X60949744Y-123979846D01* -X61002487Y-123951655D01* -X61048716Y-123913716D01* -X61058226Y-123902128D01* -X62180134Y-122780221D01* -X62191716Y-122770716D01* -X62229655Y-122724487D01* -X62257846Y-122671744D01* -X62275206Y-122614516D01* -X62276615Y-122600206D01* -X62281068Y-122555001D01* -X62279600Y-122540097D01* -X62279600Y-120537904D01* -X62281068Y-120523000D01* -X62275206Y-120463484D01* -X62266180Y-120433730D01* -X62257846Y-120406256D01* -X62236692Y-120366680D01* -X62264838Y-120338534D01* -X62305726Y-120433730D01* -X62418058Y-120482801D01* -X62537805Y-120509013D01* -X62660365Y-120511361D01* -X62781028Y-120489752D01* -X62895157Y-120445018D01* -X62916274Y-120433730D01* -X62957162Y-120338534D01* -X62985308Y-120366680D01* -X62964154Y-120406257D01* -X62948061Y-120459308D01* -X62946794Y-120463485D01* -X62940932Y-120523000D01* -X62942400Y-120537904D01* -X62942401Y-122175244D01* -X60961246Y-124156400D01* -X58779255Y-124156400D01* -X57834600Y-123211746D01* -X57834600Y-110742754D01* -X60129995Y-108447359D01* -X60530257Y-108447359D01* -X60574492Y-108593195D01* -X60670029Y-108784808D01* -X60801112Y-108954101D01* -X60962704Y-109094568D01* -X61148595Y-109200811D01* -X61351641Y-109268747D01* -X61521200Y-109236558D01* -X61521200Y-108277800D01* -X61668800Y-108277800D01* -X61668800Y-109236558D01* -X61838359Y-109268747D01* -X62041405Y-109200811D01* -X62227296Y-109094568D01* -X62388888Y-108954101D01* -X62519971Y-108784808D01* -X62615508Y-108593195D01* -X62659743Y-108447359D01* -X62627416Y-108277800D01* -X61668800Y-108277800D01* -X61521200Y-108277800D01* -X60562584Y-108277800D01* -X60530257Y-108447359D01* -X60129995Y-108447359D01* -X60544101Y-108033254D01* -X60562584Y-108130200D01* -X61521200Y-108130200D01* -X61521200Y-108110200D01* -X61668800Y-108110200D01* -X61668800Y-108130200D01* -X62627416Y-108130200D01* -X62659743Y-107960641D01* -X62615508Y-107814805D01* -X62519971Y-107623192D01* -X62388888Y-107453899D01* -X62227296Y-107313432D01* -X62094614Y-107237600D01* -X62850096Y-107237600D01* -X62865000Y-107239068D01* -X62879904Y-107237600D01* -X62924516Y-107233206D01* -X62981744Y-107215846D01* -X63034487Y-107187655D01* -X63080716Y-107149716D01* -X63090226Y-107138128D01* -X63604947Y-106623408D01* -X63617649Y-106631895D01* -X63816417Y-106714228D01* -X64027428Y-106756200D01* -X64242572Y-106756200D01* -X64453583Y-106714228D01* -X64652351Y-106631895D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65227200Y-105771572D01* -X65227200Y-105556428D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63167105Y-105146649D01* -X63084772Y-105345417D01* -X63042800Y-105556428D01* -X63042800Y-105771572D01* -X63084772Y-105982583D01* -X63167105Y-106181351D01* -X63175592Y-106194053D01* -X62739246Y-106630400D01* -X62114588Y-106630400D01* -X62291237Y-106512367D01* -X62443367Y-106360237D01* -X62562895Y-106181351D01* -X62645228Y-105982583D01* -X62687200Y-105771572D01* -X62687200Y-105556428D01* -X62645228Y-105345417D01* -X62562895Y-105146649D01* -X62443367Y-104967763D01* -X62291237Y-104815633D01* -X62114588Y-104697600D01* -X62850096Y-104697600D01* -X62865000Y-104699068D01* -X62879904Y-104697600D01* -X62924516Y-104693206D01* -X62981744Y-104675846D01* -X63034487Y-104647655D01* -X63080716Y-104609716D01* -X63090226Y-104598128D01* -X63604947Y-104083408D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63175592Y-103654053D01* -X62739246Y-104090400D01* -X62114588Y-104090400D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61114171Y-104107023D01* -X61097256Y-104112154D01* -X61044513Y-104140345D01* -X60998284Y-104178284D01* -X60988783Y-104189861D01* -X56691872Y-108486774D01* -X56680284Y-108496284D01* -X56642345Y-108542514D01* -X56614154Y-108595257D01* -X56603459Y-108630513D01* -X56596794Y-108652485D01* -X56590932Y-108712000D01* -X46177200Y-108712000D01* -X46177200Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64831237Y-101432367D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65102895Y-100066649D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X46177200Y-100476428D01* -X46177200Y-98287359D01* -X60530257Y-98287359D01* -X60574492Y-98433195D01* -X60670029Y-98624808D01* -X60801112Y-98794101D01* -X60962704Y-98934568D01* -X61148595Y-99040811D01* -X61351641Y-99108747D01* -X61521200Y-99076558D01* -X61521200Y-98117800D01* -X61668800Y-98117800D01* -X61668800Y-99076558D01* -X61838359Y-99108747D01* -X62041405Y-99040811D01* -X62227296Y-98934568D01* -X62388888Y-98794101D01* -X62519971Y-98624808D01* -X62615508Y-98433195D01* -X62659743Y-98287359D01* -X62627416Y-98117800D01* -X61668800Y-98117800D01* -X61521200Y-98117800D01* -X60562584Y-98117800D01* -X60530257Y-98287359D01* -X46177200Y-98287359D01* -X46177200Y-97800641D01* -X60530257Y-97800641D01* -X60562584Y-97970200D01* -X61521200Y-97970200D01* -X61521200Y-97011442D01* -X61668800Y-97011442D01* -X61668800Y-97970200D01* -X62627416Y-97970200D01* -X62659743Y-97800641D01* -X62615508Y-97654805D01* -X62519971Y-97463192D01* -X62388888Y-97293899D01* -X62227296Y-97153432D01* -X62041405Y-97047189D01* -X61838359Y-96979253D01* -X61668800Y-97011442D01* -X61521200Y-97011442D01* -X61351641Y-96979253D01* -X61148595Y-97047189D01* -X60962704Y-97153432D01* -X60801112Y-97293899D01* -X60670029Y-97463192D01* -X60574492Y-97654805D01* -X60530257Y-97800641D01* -X46177200Y-97800641D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48894597Y-96402862D01* -X67421400Y-96402862D01* -X67421400Y-96497138D01* -X67439792Y-96589603D01* -X67475870Y-96676702D01* -X67528247Y-96755090D01* -X67594910Y-96821753D01* -X67673298Y-96874130D01* -X67699608Y-96885028D01* -X67769141Y-96954561D01* -X67780995Y-96969005D01* -X67838644Y-97016317D01* -X67883858Y-97040484D01* -X67904415Y-97051472D01* -X67975781Y-97073121D01* -X67983125Y-97073844D01* -X68031410Y-97078600D01* -X68031417Y-97078600D01* -X68049999Y-97080430D01* -X68053320Y-97080103D01* -X68051629Y-97085678D01* -X68045294Y-97150000D01* -X68045294Y-97350000D01* -X68051629Y-97414322D01* -X68070391Y-97476173D01* -X68100859Y-97533175D01* -X68141863Y-97583137D01* -X68191825Y-97624141D01* -X68248827Y-97654609D01* -X68310678Y-97673371D01* -X68375000Y-97679706D01* -X68825000Y-97679706D01* -X68889322Y-97673371D01* -X68951173Y-97654609D01* -X69008175Y-97624141D01* -X69058137Y-97583137D01* -X69099141Y-97533175D01* -X69100000Y-97531568D01* -X69100859Y-97533175D01* -X69141863Y-97583137D01* -X69181157Y-97615386D01* -X69175870Y-97623298D01* -X69139792Y-97710397D01* -X69121400Y-97802862D01* -X69121400Y-97897138D01* -X69139792Y-97989603D01* -X69175870Y-98076702D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70060208Y-97710397D01* -X70024130Y-97623298D01* -X70018843Y-97615386D01* -X70058137Y-97583137D01* -X70099141Y-97533175D01* -X70129609Y-97476173D01* -X70148371Y-97414322D01* -X70154706Y-97350000D01* -X70154706Y-97150000D01* -X70148371Y-97085678D01* -X70129609Y-97023827D01* -X70099141Y-96966825D01* -X70091999Y-96958122D01* -X70103354Y-96944286D01* -X70131512Y-96891606D01* -X70148851Y-96834445D01* -X70154706Y-96775000D01* -X70154706Y-96625000D01* -X70148851Y-96565555D01* -X70131512Y-96508394D01* -X70103354Y-96455714D01* -X70098665Y-96450000D01* -X70103354Y-96444286D01* -X70131512Y-96391606D01* -X70148851Y-96334445D01* -X70154706Y-96275000D01* -X70154706Y-96125000D01* -X70148851Y-96065555D01* -X70131512Y-96008394D01* -X70103354Y-95955714D01* -X70091999Y-95941878D01* -X70099141Y-95933175D01* -X70129609Y-95876173D01* -X70148371Y-95814322D01* -X70154706Y-95750000D01* -X70154706Y-95550000D01* -X70148371Y-95485678D01* -X70129609Y-95423827D01* -X70099141Y-95366825D01* -X70058137Y-95316863D01* -X70018843Y-95284614D01* -X70024130Y-95276702D01* -X70060208Y-95189603D01* -X70078600Y-95097138D01* -X70078600Y-95002862D01* -X70060208Y-94910397D01* -X70035191Y-94850000D01* -X70394932Y-94850000D01* -X70396400Y-94864904D01* -X70396401Y-107535086D01* -X70394932Y-107550000D01* -X70400794Y-107609515D01* -X70413355Y-107650920D01* -X70418155Y-107666744D01* -X70446346Y-107719487D01* -X70484285Y-107765716D01* -X70495867Y-107775221D01* -X79096400Y-116375755D01* -X79096401Y-118130683D01* -X79062905Y-118112779D01* -X79019813Y-118099708D01* -X78975000Y-118095294D01* -X78880950Y-118096400D01* -X78823800Y-118153550D01* -X78823800Y-118976200D01* -X78843800Y-118976200D01* -X78843800Y-119123800D01* -X78823800Y-119123800D01* -X78823800Y-119946450D01* -X78880950Y-120003600D01* -X78975000Y-120004706D01* -X79019813Y-120000292D01* -X79062905Y-119987221D01* -X79102618Y-119965994D01* -X79114543Y-119956207D01* -X79156543Y-119978657D01* -X79220739Y-119998131D01* -X79287500Y-120004706D01* -X79512500Y-120004706D01* -X79579261Y-119998131D01* -X79643457Y-119978657D01* -X79702619Y-119947034D01* -X79725000Y-119928666D01* -X79747381Y-119947034D01* -X79806543Y-119978657D01* -X79870739Y-119998131D01* -X79937500Y-120004706D01* -X80162500Y-120004706D01* -X80229261Y-119998131D01* -X80293457Y-119978657D01* -X80352619Y-119947034D01* -X80375000Y-119928666D01* -X80397381Y-119947034D01* -X80456543Y-119978657D01* -X80520739Y-119998131D01* -X80587500Y-120004706D01* -X80812500Y-120004706D01* -X80879261Y-119998131D01* -X80943457Y-119978657D01* -X81002619Y-119947034D01* -X81025000Y-119928666D01* -X81047381Y-119947034D01* -X81106543Y-119978657D01* -X81170739Y-119998131D01* -X81237500Y-120004706D01* -X81462500Y-120004706D01* -X81529261Y-119998131D01* -X81593457Y-119978657D01* -X81652619Y-119947034D01* -X81675000Y-119928666D01* -X81697381Y-119947034D01* -X81756543Y-119978657D01* -X81820739Y-119998131D01* -X81887500Y-120004706D01* -X82112500Y-120004706D01* -X82179261Y-119998131D01* -X82243457Y-119978657D01* -X82302619Y-119947034D01* -X82325000Y-119928666D01* -X82347381Y-119947034D01* -X82406543Y-119978657D01* -X82470739Y-119998131D01* -X82537500Y-120004706D01* -X82762500Y-120004706D01* -X82829261Y-119998131D01* -X82893457Y-119978657D01* -X82952619Y-119947034D01* -X82975000Y-119928666D01* -X82997381Y-119947034D01* -X83056543Y-119978657D01* -X83120739Y-119998131D01* -X83187500Y-120004706D01* -X83412500Y-120004706D01* -X83479261Y-119998131D01* -X83543457Y-119978657D01* -X83602619Y-119947034D01* -X83625000Y-119928666D01* -X83647381Y-119947034D01* -X83706543Y-119978657D01* -X83770739Y-119998131D01* -X83837500Y-120004706D01* -X84062500Y-120004706D01* -X84129261Y-119998131D01* -X84193457Y-119978657D01* -X84252619Y-119947034D01* -X84304476Y-119904476D01* -X84347034Y-119852619D01* -X84378657Y-119793457D01* -X84398131Y-119729261D01* -X84404706Y-119662500D01* -X84404706Y-119150000D01* -X84465065Y-119150000D01* -X84474384Y-119244606D01* -X84501978Y-119335576D01* -X84546792Y-119419415D01* -X84607100Y-119492900D01* -X84680585Y-119553208D01* -X84764424Y-119598022D01* -X84855394Y-119625616D01* -X84950000Y-119634935D01* -X85044606Y-119625616D01* -X85135576Y-119598022D01* -X85210629Y-119557904D01* -X85224813Y-119575187D01* -X85291824Y-119630181D01* -X85368275Y-119671045D01* -X85451230Y-119696209D01* -X85537500Y-119704706D01* -X86062500Y-119704706D01* -X86148770Y-119696209D01* -X86231725Y-119671045D01* -X86308176Y-119630181D01* -X86371028Y-119578600D01* -X86700962Y-119578600D01* -X86778624Y-119570951D01* -X86845294Y-119550727D01* -X86845294Y-119662500D01* -X86846400Y-119673734D01* -X86846400Y-119994444D01* -X86839792Y-120010397D01* -X86821400Y-120102862D01* -X86821400Y-120197138D01* -X86839792Y-120289603D01* -X86875870Y-120376702D01* -X86928247Y-120455090D01* -X86994910Y-120521753D01* -X87073298Y-120574130D01* -X87160397Y-120610208D01* -X87252862Y-120628600D01* -X87347138Y-120628600D01* -X87439603Y-120610208D01* -X87526702Y-120574130D01* -X87605090Y-120521753D01* -X87671753Y-120455090D01* -X87724130Y-120376702D01* -X87760208Y-120289603D01* -X87778600Y-120197138D01* -X87778600Y-120125000D01* -X94295294Y-120125000D01* -X94296400Y-120419050D01* -X94353550Y-120476200D01* -X94926200Y-120476200D01* -X94926200Y-119953550D01* -X95073800Y-119953550D01* -X95073800Y-120476200D01* -X95646450Y-120476200D01* -X95703600Y-120419050D01* -X95704706Y-120125000D01* -X95700292Y-120080187D01* -X95687221Y-120037095D01* -X95665994Y-119997382D01* -X95637427Y-119962573D01* -X95602618Y-119934006D01* -X95562905Y-119912779D01* -X95519813Y-119899708D01* -X95475000Y-119895294D01* -X95130950Y-119896400D01* -X95073800Y-119953550D01* -X94926200Y-119953550D01* -X94869050Y-119896400D01* -X94525000Y-119895294D01* -X94480187Y-119899708D01* -X94437095Y-119912779D01* -X94397382Y-119934006D01* -X94362573Y-119962573D01* -X94334006Y-119997382D01* -X94312779Y-120037095D01* -X94299708Y-120080187D01* -X94295294Y-120125000D01* -X87778600Y-120125000D01* -X87778600Y-120102862D01* -X87760208Y-120010397D01* -X87757691Y-120004322D01* -X87819050Y-120003600D01* -X87876200Y-119946450D01* -X87876200Y-119123800D01* -X87856200Y-119123800D01* -X87856200Y-118976200D01* -X87876200Y-118976200D01* -X87876200Y-118153550D01* -X87819050Y-118096400D01* -X87757691Y-118095678D01* -X87760208Y-118089603D01* -X87778600Y-117997138D01* -X87778600Y-117902862D01* -X87760208Y-117810397D01* -X87724130Y-117723298D01* -X87671753Y-117644910D01* -X87605090Y-117578247D01* -X87526702Y-117525870D01* -X87439603Y-117489792D01* -X87347138Y-117471400D01* -X87252862Y-117471400D01* -X87160397Y-117489792D01* -X87073298Y-117525870D01* -X86994910Y-117578247D01* -X86928247Y-117644910D01* -X86875870Y-117723298D01* -X86839792Y-117810397D01* -X86821400Y-117902862D01* -X86821400Y-117997138D01* -X86839792Y-118089603D01* -X86846401Y-118105558D01* -X86846401Y-118426264D01* -X86845294Y-118437500D01* -X86845294Y-118549273D01* -X86778624Y-118529049D01* -X86700962Y-118521400D01* -X86428600Y-118521400D01* -X86428600Y-118038088D01* -X86422545Y-118007645D01* -X86419504Y-117976773D01* -X86410500Y-117947091D01* -X86404444Y-117916644D01* -X86392564Y-117887962D01* -X86383560Y-117858281D01* -X86368939Y-117830928D01* -X86357059Y-117802246D01* -X86339811Y-117776433D01* -X86325190Y-117749079D01* -X86305511Y-117725100D01* -X86288266Y-117699291D01* -X86266321Y-117677346D01* -X86246638Y-117653362D01* -X86222654Y-117633679D01* -X86200709Y-117611734D01* -X86174900Y-117594489D01* -X86150921Y-117574810D01* -X86123567Y-117560189D01* -X86097754Y-117542941D01* -X86069072Y-117531061D01* -X86041719Y-117516440D01* -X86012038Y-117507436D01* -X85983356Y-117495556D01* -X85952909Y-117489500D01* -X85923227Y-117480496D01* -X85892355Y-117477455D01* -X85861912Y-117471400D01* -X85830875Y-117471400D01* -X85800000Y-117468359D01* -X85769125Y-117471400D01* -X85738088Y-117471400D01* -X85707644Y-117477455D01* -X85676774Y-117480496D01* -X85647094Y-117489499D01* -X85616644Y-117495556D01* -X85587959Y-117507437D01* -X85558282Y-117516440D01* -X85530932Y-117531059D01* -X85502246Y-117542941D01* -X85476429Y-117560192D01* -X85449080Y-117574810D01* -X85425106Y-117594485D01* -X85399291Y-117611734D01* -X85377341Y-117633684D01* -X85353363Y-117653362D01* -X85333685Y-117677340D01* -X85311734Y-117699291D01* -X85294484Y-117725107D01* -X85274811Y-117749079D01* -X85260194Y-117776425D01* -X85242941Y-117802246D01* -X85231057Y-117830936D01* -X85216441Y-117858281D01* -X85207440Y-117887953D01* -X85195556Y-117916644D01* -X85189498Y-117947101D01* -X85180497Y-117976773D01* -X85177457Y-118007635D01* -X85171400Y-118038088D01* -X85171400Y-118161912D01* -X85171401Y-118161917D01* -X85171401Y-118567400D01* -X85073696Y-118567400D01* -X85049999Y-118565066D01* -X85026302Y-118567400D01* -X85026293Y-118567400D01* -X84955394Y-118574383D01* -X84864423Y-118601978D01* -X84780585Y-118646791D01* -X84707099Y-118707099D01* -X84691980Y-118725522D01* -X84591987Y-118825514D01* -X84546792Y-118880585D01* -X84501978Y-118964424D01* -X84474384Y-119055394D01* -X84465065Y-119150000D01* -X84404706Y-119150000D01* -X84404706Y-118437500D01* -X84398131Y-118370739D01* -X84378657Y-118306543D01* -X84347034Y-118247381D01* -X84304476Y-118195524D01* -X84253600Y-118153771D01* -X84253600Y-117664903D01* -X84255068Y-117649999D01* -X84249206Y-117590484D01* -X84242432Y-117568154D01* -X84231846Y-117533256D01* -X84203655Y-117480513D01* -X84165716Y-117434284D01* -X84154140Y-117424784D01* -X84082956Y-117353600D01* -X87674246Y-117353600D01* -X88296401Y-117975756D01* -X88296401Y-118130683D01* -X88262905Y-118112779D01* -X88219813Y-118099708D01* -X88175000Y-118095294D01* -X88080950Y-118096400D01* -X88023800Y-118153550D01* -X88023800Y-118976200D01* -X88043800Y-118976200D01* -X88043800Y-119123800D01* -X88023800Y-119123800D01* -X88023800Y-119946450D01* -X88080950Y-120003600D01* -X88175000Y-120004706D01* -X88219813Y-120000292D01* -X88262905Y-119987221D01* -X88302618Y-119965994D01* -X88314543Y-119956207D01* -X88356543Y-119978657D01* -X88420739Y-119998131D01* -X88487500Y-120004706D01* -X88712500Y-120004706D01* -X88779261Y-119998131D01* -X88843457Y-119978657D01* -X88902619Y-119947034D01* -X88925000Y-119928666D01* -X88947381Y-119947034D01* -X89006543Y-119978657D01* -X89070739Y-119998131D01* -X89137500Y-120004706D01* -X89362500Y-120004706D01* -X89429261Y-119998131D01* -X89493457Y-119978657D01* -X89552619Y-119947034D01* -X89575000Y-119928666D01* -X89597381Y-119947034D01* -X89656543Y-119978657D01* -X89720739Y-119998131D01* -X89787500Y-120004706D01* -X90012500Y-120004706D01* -X90079261Y-119998131D01* -X90143457Y-119978657D01* -X90202619Y-119947034D01* -X90225000Y-119928666D01* -X90247381Y-119947034D01* -X90306543Y-119978657D01* -X90370739Y-119998131D01* -X90437500Y-120004706D01* -X90662500Y-120004706D01* -X90729261Y-119998131D01* -X90793457Y-119978657D01* -X90852619Y-119947034D01* -X90875000Y-119928666D01* -X90897381Y-119947034D01* -X90956543Y-119978657D01* -X91020739Y-119998131D01* -X91087500Y-120004706D01* -X91312500Y-120004706D01* -X91379261Y-119998131D01* -X91443457Y-119978657D01* -X91502619Y-119947034D01* -X91525000Y-119928666D01* -X91547381Y-119947034D01* -X91606543Y-119978657D01* -X91670739Y-119998131D01* -X91737500Y-120004706D01* -X91962500Y-120004706D01* -X92029261Y-119998131D01* -X92093457Y-119978657D01* -X92152619Y-119947034D01* -X92175000Y-119928666D01* -X92197381Y-119947034D01* -X92256543Y-119978657D01* -X92320739Y-119998131D01* -X92387500Y-120004706D01* -X92612500Y-120004706D01* -X92679261Y-119998131D01* -X92743457Y-119978657D01* -X92802619Y-119947034D01* -X92825000Y-119928666D01* -X92847381Y-119947034D01* -X92906543Y-119978657D01* -X92970739Y-119998131D01* -X93037500Y-120004706D01* -X93262500Y-120004706D01* -X93329261Y-119998131D01* -X93393457Y-119978657D01* -X93452619Y-119947034D01* -X93504476Y-119904476D01* -X93547034Y-119852619D01* -X93578657Y-119793457D01* -X93598131Y-119729261D01* -X93604706Y-119662500D01* -X93604706Y-119150000D01* -X93665065Y-119150000D01* -X93674384Y-119244606D01* -X93701978Y-119335576D01* -X93746792Y-119419415D01* -X93807100Y-119492900D01* -X93880585Y-119553208D01* -X93964424Y-119598022D01* -X94055394Y-119625616D01* -X94150000Y-119634935D01* -X94244606Y-119625616D01* -X94335576Y-119598022D01* -X94410629Y-119557904D01* -X94424813Y-119575187D01* -X94491824Y-119630181D01* -X94568275Y-119671045D01* -X94651230Y-119696209D01* -X94737500Y-119704706D01* -X95262500Y-119704706D01* -X95348770Y-119696209D01* -X95431725Y-119671045D01* -X95508176Y-119630181D01* -X95571028Y-119578600D01* -X95900962Y-119578600D01* -X95978624Y-119570951D01* -X96045294Y-119550727D01* -X96045294Y-119662500D01* -X96046401Y-119673736D01* -X96046401Y-119994442D01* -X96039792Y-120010397D01* -X96021400Y-120102862D01* -X96021400Y-120197138D01* -X96039792Y-120289603D01* -X96075870Y-120376702D01* -X96128247Y-120455090D01* -X96194910Y-120521753D01* -X96273298Y-120574130D01* -X96360397Y-120610208D01* -X96452862Y-120628600D01* -X96547138Y-120628600D01* -X96639603Y-120610208D01* -X96726702Y-120574130D01* -X96805090Y-120521753D01* -X96871753Y-120455090D01* -X96924130Y-120376702D01* -X96960208Y-120289603D01* -X96978600Y-120197138D01* -X96978600Y-120125000D01* -X103495294Y-120125000D01* -X103496400Y-120419050D01* -X103553550Y-120476200D01* -X104126200Y-120476200D01* -X104126200Y-119953550D01* -X104273800Y-119953550D01* -X104273800Y-120476200D01* -X104846450Y-120476200D01* -X104903600Y-120419050D01* -X104904706Y-120125000D01* -X104900292Y-120080187D01* -X104887221Y-120037095D01* -X104865994Y-119997382D01* -X104837427Y-119962573D01* -X104802618Y-119934006D01* -X104762905Y-119912779D01* -X104719813Y-119899708D01* -X104675000Y-119895294D01* -X104330950Y-119896400D01* -X104273800Y-119953550D01* -X104126200Y-119953550D01* -X104069050Y-119896400D01* -X103725000Y-119895294D01* -X103680187Y-119899708D01* -X103637095Y-119912779D01* -X103597382Y-119934006D01* -X103562573Y-119962573D01* -X103534006Y-119997382D01* -X103512779Y-120037095D01* -X103499708Y-120080187D01* -X103495294Y-120125000D01* -X96978600Y-120125000D01* -X96978600Y-120102862D01* -X96960208Y-120010397D01* -X96957691Y-120004322D01* -X97019050Y-120003600D01* -X97076200Y-119946450D01* -X97076200Y-119123800D01* -X97056200Y-119123800D01* -X97056200Y-118976200D01* -X97076200Y-118976200D01* -X97076200Y-118153550D01* -X97019050Y-118096400D01* -X96957691Y-118095678D01* -X96960208Y-118089603D01* -X96978600Y-117997138D01* -X96978600Y-117902862D01* -X96960208Y-117810397D01* -X96924130Y-117723298D01* -X96871753Y-117644910D01* -X96805090Y-117578247D01* -X96726702Y-117525870D01* -X96639603Y-117489792D01* -X96547138Y-117471400D01* -X96452862Y-117471400D01* -X96360397Y-117489792D01* -X96273298Y-117525870D01* -X96194910Y-117578247D01* -X96128247Y-117644910D01* -X96075870Y-117723298D01* -X96039792Y-117810397D01* -X96021400Y-117902862D01* -X96021400Y-117997138D01* -X96039792Y-118089603D01* -X96046401Y-118105558D01* -X96046401Y-118426264D01* -X96045294Y-118437500D01* -X96045294Y-118549273D01* -X95978624Y-118529049D01* -X95900962Y-118521400D01* -X95628600Y-118521400D01* -X95628600Y-118038088D01* -X95622545Y-118007645D01* -X95619504Y-117976773D01* -X95610500Y-117947091D01* -X95604444Y-117916644D01* -X95592564Y-117887962D01* -X95583560Y-117858281D01* -X95568939Y-117830928D01* -X95557059Y-117802246D01* -X95539811Y-117776433D01* -X95525190Y-117749079D01* -X95505511Y-117725100D01* -X95488266Y-117699291D01* -X95466321Y-117677346D01* -X95446638Y-117653362D01* -X95422654Y-117633679D01* -X95400709Y-117611734D01* -X95374900Y-117594489D01* -X95350921Y-117574810D01* -X95323567Y-117560189D01* -X95297754Y-117542941D01* -X95269072Y-117531061D01* -X95241719Y-117516440D01* -X95212038Y-117507436D01* -X95183356Y-117495556D01* -X95152909Y-117489500D01* -X95123227Y-117480496D01* -X95092355Y-117477455D01* -X95061912Y-117471400D01* -X95030875Y-117471400D01* -X95000000Y-117468359D01* -X94969125Y-117471400D01* -X94938088Y-117471400D01* -X94907644Y-117477455D01* -X94876774Y-117480496D01* -X94847094Y-117489499D01* -X94816644Y-117495556D01* -X94787959Y-117507437D01* -X94758282Y-117516440D01* -X94730932Y-117531059D01* -X94702246Y-117542941D01* -X94676429Y-117560192D01* -X94649080Y-117574810D01* -X94625106Y-117594485D01* -X94599291Y-117611734D01* -X94577341Y-117633684D01* -X94553363Y-117653362D01* -X94533685Y-117677340D01* -X94511734Y-117699291D01* -X94494484Y-117725107D01* -X94474811Y-117749079D01* -X94460194Y-117776425D01* -X94442941Y-117802246D01* -X94431057Y-117830936D01* -X94416441Y-117858281D01* -X94407440Y-117887953D01* -X94395556Y-117916644D01* -X94389498Y-117947101D01* -X94380497Y-117976773D01* -X94377457Y-118007635D01* -X94371400Y-118038088D01* -X94371400Y-118161912D01* -X94371401Y-118161917D01* -X94371401Y-118567400D01* -X94273696Y-118567400D01* -X94249999Y-118565066D01* -X94226302Y-118567400D01* -X94226293Y-118567400D01* -X94155394Y-118574383D01* -X94064423Y-118601978D01* -X93980585Y-118646791D01* -X93907099Y-118707099D01* -X93891980Y-118725522D01* -X93791987Y-118825514D01* -X93746792Y-118880585D01* -X93701978Y-118964424D01* -X93674384Y-119055394D01* -X93665065Y-119150000D01* -X93604706Y-119150000D01* -X93604706Y-118437500D01* -X93598131Y-118370739D01* -X93578657Y-118306543D01* -X93547034Y-118247381D01* -X93504476Y-118195524D01* -X93454614Y-118154604D01* -X93455068Y-118149999D01* -X93449206Y-118090483D01* -X93435655Y-118045813D01* -X93431846Y-118033256D01* -X93403655Y-117980513D01* -X93365716Y-117934284D01* -X93354140Y-117924784D01* -X90175226Y-114745872D01* -X90165716Y-114734284D01* -X90119487Y-114696345D01* -X90066744Y-114668154D01* -X90009516Y-114650794D01* -X89964904Y-114646400D01* -X89950000Y-114644932D01* -X89935096Y-114646400D01* -X84975755Y-114646400D01* -X78932217Y-108602862D01* -X79321400Y-108602862D01* -X79321400Y-108697138D01* -X79339792Y-108789603D01* -X79375870Y-108876702D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79535233Y-109048696D01* -X79546346Y-109069487D01* -X79584285Y-109115716D01* -X79595867Y-109125221D01* -X79974783Y-109504139D01* -X79984284Y-109515716D01* -X80030513Y-109553655D01* -X80083256Y-109581846D01* -X80115563Y-109591646D01* -X80140483Y-109599206D01* -X80199999Y-109605068D01* -X80214903Y-109603600D01* -X80268130Y-109603600D01* -X80276100Y-109629874D01* -X80313499Y-109699843D01* -X80363830Y-109761170D01* -X80425157Y-109811501D01* -X80495126Y-109848900D01* -X80571046Y-109871930D01* -X80650000Y-109879706D01* -X81250000Y-109879706D01* -X81328954Y-109871930D01* -X81404874Y-109848900D01* -X81474843Y-109811501D01* -X81536170Y-109761170D01* -X81586501Y-109699843D01* -X81623900Y-109629874D01* -X81646930Y-109553954D01* -X81654706Y-109475000D01* -X81654706Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82096400Y-109667369D01* -X82096401Y-110935086D01* -X82094932Y-110950000D01* -X82100794Y-111009515D01* -X82114184Y-111053655D01* -X82118155Y-111066744D01* -X82146346Y-111119487D01* -X82184285Y-111165716D01* -X82195867Y-111175221D01* -X85174779Y-114154134D01* -X85184284Y-114165716D01* -X85230513Y-114203655D01* -X85283256Y-114231846D01* -X85325241Y-114244582D01* -X85340484Y-114249206D01* -X85400000Y-114255068D01* -X85414904Y-114253600D01* -X91774246Y-114253600D01* -X94424782Y-116904138D01* -X94434284Y-116915716D01* -X94480513Y-116953655D01* -X94533256Y-116981846D01* -X94581053Y-116996345D01* -X94590484Y-116999206D01* -X94649999Y-117005068D01* -X94664903Y-117003600D01* -X96574246Y-117003600D01* -X97496400Y-117925755D01* -X97496400Y-118130683D01* -X97462905Y-118112779D01* -X97419813Y-118099708D01* -X97375000Y-118095294D01* -X97280950Y-118096400D01* -X97223800Y-118153550D01* -X97223800Y-118976200D01* -X97243800Y-118976200D01* -X97243800Y-119123800D01* -X97223800Y-119123800D01* -X97223800Y-119946450D01* -X97280950Y-120003600D01* -X97375000Y-120004706D01* -X97419813Y-120000292D01* -X97462905Y-119987221D01* -X97502618Y-119965994D01* -X97514543Y-119956207D01* -X97556543Y-119978657D01* -X97620739Y-119998131D01* -X97687500Y-120004706D01* -X97912500Y-120004706D01* -X97979261Y-119998131D01* -X98043457Y-119978657D01* -X98102619Y-119947034D01* -X98125000Y-119928666D01* -X98147381Y-119947034D01* -X98206543Y-119978657D01* -X98270739Y-119998131D01* -X98337500Y-120004706D01* -X98562500Y-120004706D01* -X98629261Y-119998131D01* -X98693457Y-119978657D01* -X98752619Y-119947034D01* -X98775000Y-119928666D01* -X98797381Y-119947034D01* -X98856543Y-119978657D01* -X98920739Y-119998131D01* -X98987500Y-120004706D01* -X99212500Y-120004706D01* -X99279261Y-119998131D01* -X99343457Y-119978657D01* -X99402619Y-119947034D01* -X99425000Y-119928666D01* -X99447381Y-119947034D01* -X99506543Y-119978657D01* -X99570739Y-119998131D01* -X99637500Y-120004706D01* -X99862500Y-120004706D01* -X99929261Y-119998131D01* -X99993457Y-119978657D01* -X100052619Y-119947034D01* -X100075000Y-119928666D01* -X100097381Y-119947034D01* -X100156543Y-119978657D01* -X100220739Y-119998131D01* -X100287500Y-120004706D01* -X100512500Y-120004706D01* -X100579261Y-119998131D01* -X100643457Y-119978657D01* -X100702619Y-119947034D01* -X100725000Y-119928666D01* -X100747381Y-119947034D01* -X100806543Y-119978657D01* -X100870739Y-119998131D01* -X100937500Y-120004706D01* -X101162500Y-120004706D01* -X101229261Y-119998131D01* -X101293457Y-119978657D01* -X101352619Y-119947034D01* -X101375000Y-119928666D01* -X101397381Y-119947034D01* -X101456543Y-119978657D01* -X101520739Y-119998131D01* -X101587500Y-120004706D01* -X101812500Y-120004706D01* -X101879261Y-119998131D01* -X101943457Y-119978657D01* -X102002619Y-119947034D01* -X102025000Y-119928666D01* -X102047381Y-119947034D01* -X102106543Y-119978657D01* -X102170739Y-119998131D01* -X102237500Y-120004706D01* -X102462500Y-120004706D01* -X102529261Y-119998131D01* -X102593457Y-119978657D01* -X102652619Y-119947034D01* -X102704476Y-119904476D01* -X102747034Y-119852619D01* -X102778657Y-119793457D01* -X102798131Y-119729261D01* -X102804706Y-119662500D01* -X102804706Y-119150000D01* -X102865065Y-119150000D01* -X102874384Y-119244606D01* -X102901978Y-119335576D01* -X102946792Y-119419415D01* -X103007100Y-119492900D01* -X103080585Y-119553208D01* -X103164424Y-119598022D01* -X103255394Y-119625616D01* -X103350000Y-119634935D01* -X103444606Y-119625616D01* -X103535576Y-119598022D01* -X103610629Y-119557904D01* -X103624813Y-119575187D01* -X103691824Y-119630181D01* -X103768275Y-119671045D01* -X103851230Y-119696209D01* -X103937500Y-119704706D01* -X104462500Y-119704706D01* -X104548770Y-119696209D01* -X104631725Y-119671045D01* -X104708176Y-119630181D01* -X104771028Y-119578600D01* -X105100962Y-119578600D01* -X105178624Y-119570951D01* -X105245294Y-119550727D01* -X105245294Y-119662500D01* -X105246401Y-119673736D01* -X105246401Y-119994442D01* -X105239792Y-120010397D01* -X105221400Y-120102862D01* -X105221400Y-120197138D01* -X105239792Y-120289603D01* -X105275870Y-120376702D01* -X105328247Y-120455090D01* -X105394910Y-120521753D01* -X105473298Y-120574130D01* -X105560397Y-120610208D01* -X105652862Y-120628600D01* -X105747138Y-120628600D01* -X105839603Y-120610208D01* -X105926702Y-120574130D01* -X106005090Y-120521753D01* -X106026843Y-120500000D01* -X114570294Y-120500000D01* -X114571400Y-120869050D01* -X114628550Y-120926200D01* -X114926200Y-120926200D01* -X114926200Y-120328550D01* -X115073800Y-120328550D01* -X115073800Y-120926200D01* -X115576200Y-120926200D01* -X115576200Y-120328550D01* -X115723800Y-120328550D01* -X115723800Y-120926200D01* -X115743800Y-120926200D01* -X115743800Y-121073800D01* -X115723800Y-121073800D01* -X115723800Y-121671450D01* -X115780950Y-121728600D01* -X115850000Y-121729706D01* -X115894813Y-121725292D01* -X115937905Y-121712221D01* -X115977618Y-121690994D01* -X116007489Y-121666479D01* -X116016825Y-121674141D01* -X116073827Y-121704609D01* -X116135678Y-121723371D01* -X116200000Y-121729706D01* -X116400000Y-121729706D01* -X116464322Y-121723371D01* -X116526173Y-121704609D01* -X116583175Y-121674141D01* -X116633137Y-121633137D01* -X116674141Y-121583175D01* -X116704609Y-121526173D01* -X116723371Y-121464322D01* -X116729706Y-121400000D01* -X116729706Y-120925000D01* -X117095294Y-120925000D01* -X117096400Y-121219050D01* -X117153550Y-121276200D01* -X117726200Y-121276200D01* -X117726200Y-120753550D01* -X117873800Y-120753550D01* -X117873800Y-121276200D01* -X118446450Y-121276200D01* -X118503600Y-121219050D01* -X118504706Y-120925000D01* -X118500292Y-120880187D01* -X118487221Y-120837095D01* -X118465994Y-120797382D01* -X118437427Y-120762573D01* -X118402618Y-120734006D01* -X118362905Y-120712779D01* -X118319813Y-120699708D01* -X118275000Y-120695294D01* -X117930950Y-120696400D01* -X117873800Y-120753550D01* -X117726200Y-120753550D01* -X117669050Y-120696400D01* -X117325000Y-120695294D01* -X117280187Y-120699708D01* -X117237095Y-120712779D01* -X117197382Y-120734006D01* -X117162573Y-120762573D01* -X117134006Y-120797382D01* -X117112779Y-120837095D01* -X117099708Y-120880187D01* -X117095294Y-120925000D01* -X116729706Y-120925000D01* -X116729706Y-120600000D01* -X116723371Y-120535678D01* -X116704609Y-120473827D01* -X116674141Y-120416825D01* -X116667775Y-120409068D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116160397Y-119639792D01* -X116073298Y-119675870D01* -X115994910Y-119728247D01* -X115928247Y-119794910D01* -X115875870Y-119873298D01* -X115839792Y-119960397D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115852611Y-120270551D01* -X115850000Y-120270294D01* -X115780950Y-120271400D01* -X115723800Y-120328550D01* -X115576200Y-120328550D01* -X115519050Y-120271400D01* -X115450000Y-120270294D01* -X115405187Y-120274708D01* -X115362095Y-120287779D01* -X115325000Y-120307607D01* -X115287905Y-120287779D01* -X115244813Y-120274708D01* -X115200000Y-120270294D01* -X115130950Y-120271400D01* -X115073800Y-120328550D01* -X114926200Y-120328550D01* -X114869050Y-120271400D01* -X114800000Y-120270294D01* -X114755187Y-120274708D01* -X114712095Y-120287779D01* -X114672382Y-120309006D01* -X114637573Y-120337573D01* -X114609006Y-120372382D01* -X114587779Y-120412095D01* -X114574708Y-120455187D01* -X114570294Y-120500000D01* -X106026843Y-120500000D01* -X106071753Y-120455090D01* -X106124130Y-120376702D01* -X106160208Y-120289603D01* -X106178600Y-120197138D01* -X106178600Y-120102862D01* -X106160208Y-120010397D01* -X106157691Y-120004322D01* -X106219050Y-120003600D01* -X106276200Y-119946450D01* -X106276200Y-119123800D01* -X106256200Y-119123800D01* -X106256200Y-118976200D01* -X106276200Y-118976200D01* -X106276200Y-118153550D01* -X106219050Y-118096400D01* -X106157691Y-118095678D01* -X106160208Y-118089603D01* -X106178600Y-117997138D01* -X106178600Y-117902862D01* -X106160208Y-117810397D01* -X106124130Y-117723298D01* -X106071753Y-117644910D01* -X106005090Y-117578247D01* -X105926702Y-117525870D01* -X105839603Y-117489792D01* -X105747138Y-117471400D01* -X105652862Y-117471400D01* -X105560397Y-117489792D01* -X105473298Y-117525870D01* -X105394910Y-117578247D01* -X105328247Y-117644910D01* -X105275870Y-117723298D01* -X105239792Y-117810397D01* -X105221400Y-117902862D01* -X105221400Y-117997138D01* -X105239792Y-118089603D01* -X105246401Y-118105558D01* -X105246401Y-118426264D01* -X105245294Y-118437500D01* -X105245294Y-118549273D01* -X105178624Y-118529049D01* -X105100962Y-118521400D01* -X104828600Y-118521400D01* -X104828600Y-118038088D01* -X104822545Y-118007645D01* -X104819504Y-117976773D01* -X104810500Y-117947091D01* -X104804444Y-117916644D01* -X104792564Y-117887962D01* -X104783560Y-117858281D01* -X104768939Y-117830928D01* -X104757059Y-117802246D01* -X104739811Y-117776433D01* -X104725190Y-117749079D01* -X104705511Y-117725100D01* -X104688266Y-117699291D01* -X104666321Y-117677346D01* -X104646638Y-117653362D01* -X104622654Y-117633679D01* -X104600709Y-117611734D01* -X104574900Y-117594489D01* -X104550921Y-117574810D01* -X104523567Y-117560189D01* -X104497754Y-117542941D01* -X104469072Y-117531061D01* -X104441719Y-117516440D01* -X104412038Y-117507436D01* -X104383356Y-117495556D01* -X104352909Y-117489500D01* -X104323227Y-117480496D01* -X104292355Y-117477455D01* -X104261912Y-117471400D01* -X104230875Y-117471400D01* -X104200000Y-117468359D01* -X104169125Y-117471400D01* -X104138088Y-117471400D01* -X104107644Y-117477455D01* -X104076774Y-117480496D01* -X104047094Y-117489499D01* -X104016644Y-117495556D01* -X103987959Y-117507437D01* -X103958282Y-117516440D01* -X103930932Y-117531059D01* -X103902246Y-117542941D01* -X103876429Y-117560192D01* -X103849080Y-117574810D01* -X103825106Y-117594485D01* -X103799291Y-117611734D01* -X103777341Y-117633684D01* -X103753363Y-117653362D01* -X103733685Y-117677340D01* -X103711734Y-117699291D01* -X103694484Y-117725107D01* -X103674811Y-117749079D01* -X103660194Y-117776425D01* -X103642941Y-117802246D01* -X103631057Y-117830936D01* -X103616441Y-117858281D01* -X103607440Y-117887953D01* -X103595556Y-117916644D01* -X103589498Y-117947101D01* -X103580497Y-117976773D01* -X103577457Y-118007635D01* -X103571400Y-118038088D01* -X103571400Y-118161912D01* -X103571401Y-118161917D01* -X103571401Y-118567400D01* -X103473696Y-118567400D01* -X103449999Y-118565066D01* -X103426302Y-118567400D01* -X103426293Y-118567400D01* -X103355394Y-118574383D01* -X103264423Y-118601978D01* -X103180585Y-118646791D01* -X103107099Y-118707099D01* -X103091980Y-118725522D01* -X102991987Y-118825514D01* -X102946792Y-118880585D01* -X102901978Y-118964424D01* -X102874384Y-119055394D01* -X102865065Y-119150000D01* -X102804706Y-119150000D01* -X102804706Y-118437500D01* -X102798131Y-118370739D01* -X102778657Y-118306543D01* -X102747034Y-118247381D01* -X102704476Y-118195524D01* -X102654614Y-118154604D01* -X102655068Y-118149999D01* -X102649206Y-118090484D01* -X102641692Y-118065715D01* -X102631846Y-118033256D01* -X102603655Y-117980513D01* -X102565716Y-117934284D01* -X102554140Y-117924784D01* -X99232954Y-114603600D01* -X99774246Y-114603600D01* -X102474779Y-117304134D01* -X102484284Y-117315716D01* -X102530513Y-117353655D01* -X102583256Y-117381846D01* -X102631053Y-117396345D01* -X102640484Y-117399206D01* -X102700000Y-117405068D01* -X102714904Y-117403600D01* -X106124246Y-117403600D01* -X106696401Y-117975757D01* -X106696401Y-118130683D01* -X106662905Y-118112779D01* -X106619813Y-118099708D01* -X106575000Y-118095294D01* -X106480950Y-118096400D01* -X106423800Y-118153550D01* -X106423800Y-118976200D01* -X106443800Y-118976200D01* -X106443800Y-119123800D01* -X106423800Y-119123800D01* -X106423800Y-119946450D01* -X106480950Y-120003600D01* -X106575000Y-120004706D01* -X106619813Y-120000292D01* -X106662905Y-119987221D01* -X106702618Y-119965994D01* -X106714543Y-119956207D01* -X106756543Y-119978657D01* -X106820739Y-119998131D01* -X106887500Y-120004706D01* -X107112500Y-120004706D01* -X107179261Y-119998131D01* -X107243457Y-119978657D01* -X107302619Y-119947034D01* -X107325000Y-119928666D01* -X107347381Y-119947034D01* -X107406543Y-119978657D01* -X107470739Y-119998131D01* -X107537500Y-120004706D01* -X107762500Y-120004706D01* -X107829261Y-119998131D01* -X107893457Y-119978657D01* -X107952619Y-119947034D01* -X107975000Y-119928666D01* -X107997381Y-119947034D01* -X108056543Y-119978657D01* -X108120739Y-119998131D01* -X108187500Y-120004706D01* -X108412500Y-120004706D01* -X108479261Y-119998131D01* -X108543457Y-119978657D01* -X108602619Y-119947034D01* -X108625000Y-119928666D01* -X108647381Y-119947034D01* -X108706543Y-119978657D01* -X108770739Y-119998131D01* -X108837500Y-120004706D01* -X109062500Y-120004706D01* -X109129261Y-119998131D01* -X109193457Y-119978657D01* -X109252619Y-119947034D01* -X109275000Y-119928666D01* -X109297381Y-119947034D01* -X109356543Y-119978657D01* -X109420739Y-119998131D01* -X109487500Y-120004706D01* -X109712500Y-120004706D01* -X109779261Y-119998131D01* -X109843457Y-119978657D01* -X109902619Y-119947034D01* -X109925000Y-119928666D01* -X109947381Y-119947034D01* -X110006543Y-119978657D01* -X110070739Y-119998131D01* -X110137500Y-120004706D01* -X110362500Y-120004706D01* -X110429261Y-119998131D01* -X110493457Y-119978657D01* -X110552619Y-119947034D01* -X110575000Y-119928666D01* -X110597381Y-119947034D01* -X110656543Y-119978657D01* -X110720739Y-119998131D01* -X110787500Y-120004706D01* -X111012500Y-120004706D01* -X111079261Y-119998131D01* -X111143457Y-119978657D01* -X111202619Y-119947034D01* -X111225000Y-119928666D01* -X111247381Y-119947034D01* -X111306543Y-119978657D01* -X111370739Y-119998131D01* -X111437500Y-120004706D01* -X111662500Y-120004706D01* -X111729261Y-119998131D01* -X111793457Y-119978657D01* -X111852619Y-119947034D01* -X111904476Y-119904476D01* -X111947034Y-119852619D01* -X111978657Y-119793457D01* -X111998131Y-119729261D01* -X112004706Y-119662500D01* -X112004706Y-118437500D01* -X111998131Y-118370739D01* -X111978657Y-118306543D01* -X111947034Y-118247381D01* -X111904476Y-118195524D01* -X111852619Y-118152966D01* -X111793457Y-118121343D01* -X111729261Y-118101869D01* -X111662500Y-118095294D01* -X111437500Y-118095294D01* -X111370739Y-118101869D01* -X111306543Y-118121343D01* -X111247381Y-118152966D01* -X111225000Y-118171334D01* -X111202619Y-118152966D01* -X111143457Y-118121343D01* -X111079261Y-118101869D01* -X111012500Y-118095294D01* -X110787500Y-118095294D01* -X110720739Y-118101869D01* -X110656543Y-118121343D01* -X110597381Y-118152966D01* -X110575000Y-118171334D01* -X110554615Y-118154604D01* -X110555068Y-118150000D01* -X110549206Y-118090484D01* -X110541692Y-118065715D01* -X110531846Y-118033256D01* -X110503655Y-117980513D01* -X110465716Y-117934284D01* -X110454134Y-117924779D01* -X108354355Y-115825000D01* -X112245294Y-115825000D01* -X112249708Y-115869813D01* -X112262779Y-115912905D01* -X112284006Y-115952618D01* -X112312573Y-115987427D01* -X112347382Y-116015994D01* -X112387095Y-116037221D01* -X112430187Y-116050292D01* -X112475000Y-116054706D01* -X112769050Y-116053600D01* -X112826200Y-115996450D01* -X112826200Y-115423800D01* -X112973800Y-115423800D01* -X112973800Y-115996450D01* -X113030950Y-116053600D01* -X113325000Y-116054706D01* -X113369813Y-116050292D01* -X113412905Y-116037221D01* -X113452618Y-116015994D01* -X113487427Y-115987427D01* -X113515994Y-115952618D01* -X113537221Y-115912905D01* -X113550292Y-115869813D01* -X113554706Y-115825000D01* -X113553600Y-115480950D01* -X113496450Y-115423800D01* -X112973800Y-115423800D01* -X112826200Y-115423800D01* -X112303550Y-115423800D01* -X112246400Y-115480950D01* -X112245294Y-115825000D01* -X108354355Y-115825000D01* -X107925226Y-115395872D01* -X107915716Y-115384284D01* -X107869487Y-115346345D01* -X107816744Y-115318154D01* -X107759516Y-115300794D01* -X107714904Y-115296400D01* -X107700000Y-115294932D01* -X107685096Y-115296400D01* -X103575756Y-115296400D01* -X103154356Y-114875000D01* -X112245294Y-114875000D01* -X112246400Y-115219050D01* -X112303550Y-115276200D01* -X112826200Y-115276200D01* -X112826200Y-114703550D01* -X112973800Y-114703550D01* -X112973800Y-115276200D01* -X113496450Y-115276200D01* -X113553600Y-115219050D01* -X113554706Y-114875000D01* -X113550292Y-114830187D01* -X113537221Y-114787095D01* -X113515994Y-114747382D01* -X113487427Y-114712573D01* -X113452618Y-114684006D01* -X113412905Y-114662779D01* -X113369813Y-114649708D01* -X113325000Y-114645294D01* -X113030950Y-114646400D01* -X112973800Y-114703550D01* -X112826200Y-114703550D01* -X112769050Y-114646400D01* -X112475000Y-114645294D01* -X112430187Y-114649708D01* -X112387095Y-114662779D01* -X112347382Y-114684006D01* -X112312573Y-114712573D01* -X112284006Y-114747382D01* -X112262779Y-114787095D01* -X112249708Y-114830187D01* -X112245294Y-114875000D01* -X103154356Y-114875000D01* -X102729356Y-114450000D01* -X113718843Y-114450000D01* -X113721400Y-114475962D01* -X113721400Y-114502063D01* -X113726492Y-114527665D01* -X113729049Y-114553623D01* -X113736620Y-114578580D01* -X113741713Y-114604187D01* -X113751706Y-114628311D01* -X113759275Y-114653264D01* -X113771567Y-114676261D01* -X113781560Y-114700386D01* -X113796067Y-114722097D01* -X113808359Y-114745094D01* -X113824901Y-114765251D01* -X113839409Y-114786963D01* -X113853378Y-114800932D01* -X113819819Y-114841824D01* -X113778955Y-114918275D01* -X113753791Y-115001230D01* -X113745294Y-115087500D01* -X113745294Y-115612500D01* -X113753791Y-115698770D01* -X113778955Y-115781725D01* -X113819819Y-115858176D01* -X113853378Y-115899068D01* -X113839409Y-115913037D01* -X113824901Y-115934749D01* -X113808359Y-115954906D01* -X113796067Y-115977903D01* -X113781560Y-115999614D01* -X113771567Y-116023739D01* -X113759275Y-116046736D01* -X113751706Y-116071689D01* -X113741713Y-116095813D01* -X113736620Y-116121420D01* -X113729049Y-116146377D01* -X113726492Y-116172335D01* -X113721400Y-116197937D01* -X113721400Y-116224038D01* -X113718843Y-116250000D01* -X113721400Y-116275962D01* -X113721400Y-116302063D01* -X113726492Y-116327665D01* -X113729049Y-116353623D01* -X113736620Y-116378580D01* -X113741713Y-116404187D01* -X113751706Y-116428311D01* -X113759275Y-116453264D01* -X113771567Y-116476261D01* -X113781560Y-116500386D01* -X113796067Y-116522097D01* -X113808359Y-116545094D01* -X113824901Y-116565250D01* -X113839409Y-116586963D01* -X113857875Y-116605429D01* -X113874416Y-116625584D01* -X113894571Y-116642125D01* -X113913037Y-116660591D01* -X113934750Y-116675099D01* -X113954906Y-116691641D01* -X113977903Y-116703933D01* -X113999614Y-116718440D01* -X114023739Y-116728433D01* -X114046736Y-116740725D01* -X114071689Y-116748294D01* -X114095813Y-116758287D01* -X114121420Y-116763380D01* -X114146377Y-116770951D01* -X114172335Y-116773508D01* -X114197937Y-116778600D01* -X114224038Y-116778600D01* -X114250000Y-116781157D01* -X114275962Y-116778600D01* -X114302063Y-116778600D01* -X114327665Y-116773508D01* -X114353623Y-116770951D01* -X114378580Y-116763380D01* -X114404187Y-116758287D01* -X114428311Y-116748294D01* -X114453264Y-116740725D01* -X114476261Y-116728433D01* -X114500386Y-116718440D01* -X114522097Y-116703933D01* -X114545094Y-116691641D01* -X114565251Y-116675099D01* -X114586963Y-116660591D01* -X114660591Y-116586963D01* -X114660595Y-116586957D01* -X114755410Y-116492142D01* -X114775585Y-116475585D01* -X114841641Y-116395095D01* -X114890725Y-116303265D01* -X114920951Y-116203624D01* -X114928600Y-116125962D01* -X114928600Y-116125960D01* -X114931157Y-116100001D01* -X114928600Y-116074042D01* -X114928600Y-115921028D01* -X114963420Y-115878600D01* -X115302063Y-115878600D01* -X115327664Y-115873508D01* -X115353624Y-115870951D01* -X115378582Y-115863380D01* -X115404187Y-115858287D01* -X115428309Y-115848296D01* -X115453265Y-115840725D01* -X115476265Y-115828431D01* -X115484548Y-115825000D01* -X122245294Y-115825000D01* -X122249708Y-115869813D01* -X122262779Y-115912905D01* -X122284006Y-115952618D01* -X122312573Y-115987427D01* -X122347382Y-116015994D01* -X122387095Y-116037221D01* -X122430187Y-116050292D01* -X122475000Y-116054706D01* -X122769050Y-116053600D01* -X122826200Y-115996450D01* -X122826200Y-115423800D01* -X122973800Y-115423800D01* -X122973800Y-115996450D01* -X123030950Y-116053600D01* -X123325000Y-116054706D01* -X123369813Y-116050292D01* -X123412905Y-116037221D01* -X123452618Y-116015994D01* -X123487427Y-115987427D01* -X123515994Y-115952618D01* -X123537221Y-115912905D01* -X123550292Y-115869813D01* -X123554706Y-115825000D01* -X123553600Y-115480950D01* -X123496450Y-115423800D01* -X122973800Y-115423800D01* -X122826200Y-115423800D01* -X122303550Y-115423800D01* -X122246400Y-115480950D01* -X122245294Y-115825000D01* -X115484548Y-115825000D01* -X115500386Y-115818440D01* -X115522093Y-115803936D01* -X115545095Y-115791641D01* -X115565257Y-115775094D01* -X115586963Y-115760591D01* -X115605421Y-115742133D01* -X115625585Y-115725585D01* -X115642133Y-115705421D01* -X115660591Y-115686963D01* -X115675094Y-115665257D01* -X115691641Y-115645095D01* -X115703936Y-115622093D01* -X115718440Y-115600386D01* -X115728431Y-115576265D01* -X115740725Y-115553265D01* -X115748296Y-115528309D01* -X115758287Y-115504187D01* -X115763380Y-115478582D01* -X115770951Y-115453624D01* -X115773508Y-115427664D01* -X115778600Y-115402063D01* -X115778600Y-115375961D01* -X115781157Y-115350000D01* -X115778600Y-115324038D01* -X115778600Y-115297937D01* -X115773508Y-115272336D01* -X115770951Y-115246376D01* -X115763380Y-115221418D01* -X115758287Y-115195813D01* -X115748296Y-115171691D01* -X115740725Y-115146735D01* -X115728431Y-115123735D01* -X115718440Y-115099614D01* -X115703936Y-115077907D01* -X115691641Y-115054905D01* -X115675094Y-115034743D01* -X115660591Y-115013037D01* -X115642133Y-114994579D01* -X115625585Y-114974415D01* -X115605421Y-114957867D01* -X115586963Y-114939409D01* -X115565257Y-114924906D01* -X115545095Y-114908359D01* -X115522093Y-114896064D01* -X115500386Y-114881560D01* -X115484549Y-114875000D01* -X122245294Y-114875000D01* -X122246400Y-115219050D01* -X122303550Y-115276200D01* -X122826200Y-115276200D01* -X122826200Y-114703550D01* -X122973800Y-114703550D01* -X122973800Y-115276200D01* -X123496450Y-115276200D01* -X123553600Y-115219050D01* -X123554706Y-114875000D01* -X123550292Y-114830187D01* -X123537221Y-114787095D01* -X123515994Y-114747382D01* -X123487427Y-114712573D01* -X123452618Y-114684006D01* -X123412905Y-114662779D01* -X123369813Y-114649708D01* -X123325000Y-114645294D01* -X123030950Y-114646400D01* -X122973800Y-114703550D01* -X122826200Y-114703550D01* -X122769050Y-114646400D01* -X122475000Y-114645294D01* -X122430187Y-114649708D01* -X122387095Y-114662779D01* -X122347382Y-114684006D01* -X122312573Y-114712573D01* -X122284006Y-114747382D01* -X122262779Y-114787095D01* -X122249708Y-114830187D01* -X122245294Y-114875000D01* -X115484549Y-114875000D01* -X115476265Y-114871569D01* -X115453265Y-114859275D01* -X115428309Y-114851704D01* -X115404187Y-114841713D01* -X115378582Y-114836620D01* -X115353624Y-114829049D01* -X115327664Y-114826492D01* -X115302063Y-114821400D01* -X114963420Y-114821400D01* -X114928600Y-114778972D01* -X114928600Y-114625958D01* -X114931157Y-114599999D01* -X114925295Y-114540483D01* -X114920951Y-114496376D01* -X114890725Y-114396735D01* -X114841641Y-114304905D01* -X114775585Y-114224415D01* -X114755410Y-114207858D01* -X114660595Y-114113043D01* -X114660591Y-114113037D01* -X114586963Y-114039409D01* -X114565251Y-114024901D01* -X114545094Y-114008359D01* -X114522097Y-113996067D01* -X114500386Y-113981560D01* -X114476261Y-113971567D01* -X114453264Y-113959275D01* -X114428311Y-113951706D01* -X114404187Y-113941713D01* -X114378580Y-113936620D01* -X114353623Y-113929049D01* -X114327665Y-113926492D01* -X114302063Y-113921400D01* -X114275962Y-113921400D01* -X114250000Y-113918843D01* -X114224038Y-113921400D01* -X114197937Y-113921400D01* -X114172335Y-113926492D01* -X114146377Y-113929049D01* -X114121420Y-113936620D01* -X114095813Y-113941713D01* -X114071689Y-113951706D01* -X114046736Y-113959275D01* -X114023739Y-113971567D01* -X113999614Y-113981560D01* -X113977903Y-113996067D01* -X113954906Y-114008359D01* -X113934750Y-114024901D01* -X113913037Y-114039409D01* -X113894571Y-114057875D01* -X113874416Y-114074416D01* -X113857875Y-114094571D01* -X113839409Y-114113037D01* -X113824901Y-114134750D01* -X113808359Y-114154906D01* -X113796067Y-114177903D01* -X113781560Y-114199614D01* -X113771567Y-114223739D01* -X113759275Y-114246736D01* -X113751706Y-114271689D01* -X113741713Y-114295813D01* -X113736620Y-114321420D01* -X113729049Y-114346377D01* -X113726492Y-114372335D01* -X113721400Y-114397937D01* -X113721400Y-114424038D01* -X113718843Y-114450000D01* -X102729356Y-114450000D01* -X101958356Y-113679000D01* -X111915294Y-113679000D01* -X111919708Y-113723813D01* -X111932779Y-113766905D01* -X111954006Y-113806618D01* -X111982573Y-113841427D01* -X112017382Y-113869994D01* -X112057095Y-113891221D01* -X112100187Y-113904292D01* -X112145000Y-113908706D01* -X112769050Y-113907600D01* -X112826200Y-113850450D01* -X112826200Y-113523800D01* -X112973800Y-113523800D01* -X112973800Y-113850450D01* -X113030950Y-113907600D01* -X113655000Y-113908706D01* -X113699813Y-113904292D01* -X113742905Y-113891221D01* -X113782618Y-113869994D01* -X113817427Y-113841427D01* -X113845994Y-113806618D01* -X113867221Y-113766905D01* -X113880292Y-113723813D01* -X113884706Y-113679000D01* -X113883600Y-113580950D01* -X113826450Y-113523800D01* -X112973800Y-113523800D01* -X112826200Y-113523800D01* -X111973550Y-113523800D01* -X111916400Y-113580950D01* -X111915294Y-113679000D01* -X101958356Y-113679000D01* -X100529355Y-112250000D01* -X102769085Y-112250000D01* -X102771400Y-112273503D01* -X102771400Y-112297138D01* -X102776011Y-112320321D01* -X102778326Y-112343822D01* -X102785180Y-112366419D01* -X102789792Y-112389603D01* -X102798837Y-112411439D01* -X102805692Y-112434038D01* -X102816826Y-112454867D01* -X102825870Y-112476702D01* -X102839001Y-112496354D01* -X102850134Y-112517182D01* -X102865114Y-112535436D01* -X102878247Y-112555090D01* -X102894963Y-112571806D01* -X102909942Y-112590058D01* -X102928194Y-112605037D01* -X102944910Y-112621753D01* -X102964564Y-112634886D01* -X102982818Y-112649866D01* -X103003646Y-112660999D01* -X103023298Y-112674130D01* -X103045133Y-112683174D01* -X103065962Y-112694308D01* -X103088561Y-112701163D01* -X103110397Y-112710208D01* -X103133581Y-112714820D01* -X103156178Y-112721674D01* -X103179679Y-112723989D01* -X103202862Y-112728600D01* -X103454010Y-112728600D01* -X103469819Y-112758176D01* -X103524813Y-112825187D01* -X103571401Y-112863420D01* -X103571401Y-112926495D01* -X103569086Y-112950000D01* -X103578326Y-113043821D01* -X103605693Y-113134038D01* -X103650134Y-113217181D01* -X103694959Y-113271801D01* -X103694964Y-113271806D01* -X103709943Y-113290058D01* -X103728195Y-113305037D01* -X103828245Y-113405087D01* -X103828247Y-113405090D01* -X103894910Y-113471753D01* -X103914568Y-113484888D01* -X103932819Y-113499866D01* -X103953641Y-113510995D01* -X103973298Y-113524130D01* -X103995140Y-113533177D01* -X104015962Y-113544307D01* -X104038554Y-113551160D01* -X104060397Y-113560208D01* -X104083584Y-113564820D01* -X104106178Y-113571674D01* -X104129677Y-113573988D01* -X104152862Y-113578600D01* -X104176503Y-113578600D01* -X104199999Y-113580914D01* -X104223495Y-113578600D01* -X104247138Y-113578600D01* -X104270324Y-113573988D01* -X104293821Y-113571674D01* -X104316414Y-113564820D01* -X104339603Y-113560208D01* -X104361446Y-113551160D01* -X104384038Y-113544307D01* -X104404860Y-113533177D01* -X104426702Y-113524130D01* -X104446359Y-113510995D01* -X104467181Y-113499866D01* -X104485432Y-113484888D01* -X104505090Y-113471753D01* -X104521807Y-113455036D01* -X104540058Y-113440058D01* -X104555036Y-113421807D01* -X104571753Y-113405090D01* -X104584888Y-113385432D01* -X104599866Y-113367181D01* -X104610995Y-113346359D01* -X104624130Y-113326702D01* -X104633177Y-113304860D01* -X104644307Y-113284038D01* -X104651160Y-113261446D01* -X104660208Y-113239603D01* -X104664820Y-113216414D01* -X104671674Y-113193821D01* -X104673988Y-113170324D01* -X104678600Y-113147138D01* -X104678600Y-113123495D01* -X104680914Y-113099999D01* -X104678600Y-113076503D01* -X104678600Y-113052862D01* -X104673988Y-113029677D01* -X104671674Y-113006178D01* -X104664820Y-112983584D01* -X104660208Y-112960397D01* -X104651160Y-112938554D01* -X104644307Y-112915962D01* -X104633177Y-112895140D01* -X104624130Y-112873298D01* -X104610995Y-112853641D01* -X104599866Y-112832819D01* -X104584888Y-112814568D01* -X104584445Y-112813906D01* -X104630181Y-112758176D01* -X104647913Y-112725000D01* -X104895294Y-112725000D01* -X104899708Y-112769813D01* -X104912779Y-112812905D01* -X104934006Y-112852618D01* -X104962573Y-112887427D01* -X104997382Y-112915994D01* -X105037095Y-112937221D01* -X105080187Y-112950292D01* -X105125000Y-112954706D01* -X105419050Y-112953600D01* -X105476200Y-112896450D01* -X105476200Y-112323800D01* -X105623800Y-112323800D01* -X105623800Y-112896450D01* -X105680950Y-112953600D01* -X105975000Y-112954706D01* -X106019813Y-112950292D01* -X106062905Y-112937221D01* -X106102618Y-112915994D01* -X106137427Y-112887427D01* -X106165994Y-112852618D01* -X106187221Y-112812905D01* -X106200292Y-112769813D01* -X106204706Y-112725000D01* -X106203600Y-112380950D01* -X106146450Y-112323800D01* -X105623800Y-112323800D01* -X105476200Y-112323800D01* -X104953550Y-112323800D01* -X104896400Y-112380950D01* -X104895294Y-112725000D01* -X104647913Y-112725000D01* -X104671045Y-112681725D01* -X104696209Y-112598770D01* -X104704706Y-112512500D01* -X104704706Y-111987500D01* -X104696209Y-111901230D01* -X104671045Y-111818275D01* -X104647914Y-111775000D01* -X104895294Y-111775000D01* -X104896400Y-112119050D01* -X104953550Y-112176200D01* -X105476200Y-112176200D01* -X105476200Y-111603550D01* -X105623800Y-111603550D01* -X105623800Y-112176200D01* -X106146450Y-112176200D01* -X106203600Y-112119050D01* -X106204706Y-111775000D01* -X106200292Y-111730187D01* -X106187221Y-111687095D01* -X106165994Y-111647382D01* -X106137427Y-111612573D01* -X106102618Y-111584006D01* -X106062905Y-111562779D01* -X106019813Y-111549708D01* -X105975000Y-111545294D01* -X105680950Y-111546400D01* -X105623800Y-111603550D01* -X105476200Y-111603550D01* -X105419050Y-111546400D01* -X105125000Y-111545294D01* -X105080187Y-111549708D01* -X105037095Y-111562779D01* -X104997382Y-111584006D01* -X104962573Y-111612573D01* -X104934006Y-111647382D01* -X104912779Y-111687095D01* -X104899708Y-111730187D01* -X104895294Y-111775000D01* -X104647914Y-111775000D01* -X104630181Y-111741824D01* -X104584445Y-111686094D01* -X104584888Y-111685432D01* -X104599866Y-111667181D01* -X104610995Y-111646359D01* -X104624130Y-111626702D01* -X104633177Y-111604860D01* -X104644307Y-111584038D01* -X104651160Y-111561446D01* -X104660208Y-111539603D01* -X104664820Y-111516416D01* -X104671674Y-111493822D01* -X104673988Y-111470323D01* -X104678600Y-111447138D01* -X104678600Y-111423497D01* -X104680914Y-111400001D01* -X104678600Y-111376505D01* -X104678600Y-111352862D01* -X104673988Y-111329676D01* -X104671674Y-111306179D01* -X104664820Y-111283586D01* -X104660208Y-111260397D01* -X104651160Y-111238554D01* -X104644307Y-111215962D01* -X104633177Y-111195140D01* -X104624130Y-111173298D01* -X104610995Y-111153641D01* -X104599866Y-111132819D01* -X104584888Y-111114568D01* -X104571753Y-111094910D01* -X104555036Y-111078193D01* -X104540058Y-111059942D01* -X104521807Y-111044964D01* -X104505090Y-111028247D01* -X104485432Y-111015112D01* -X104467181Y-111000134D01* -X104446359Y-110989005D01* -X104426702Y-110975870D01* -X104404860Y-110966823D01* -X104384038Y-110955693D01* -X104361446Y-110948840D01* -X104339603Y-110939792D01* -X104316414Y-110935180D01* -X104293821Y-110928326D01* -X104270324Y-110926012D01* -X104247138Y-110921400D01* -X104223495Y-110921400D01* -X104199999Y-110919086D01* -X104176503Y-110921400D01* -X104152862Y-110921400D01* -X104129677Y-110926012D01* -X104106178Y-110928326D01* -X104083584Y-110935180D01* -X104060397Y-110939792D01* -X104038554Y-110948840D01* -X104015962Y-110955693D01* -X103995140Y-110966823D01* -X103973298Y-110975870D01* -X103953641Y-110989005D01* -X103932819Y-111000134D01* -X103914568Y-111015112D01* -X103894910Y-111028247D01* -X103828247Y-111094910D01* -X103828245Y-111094913D01* -X103728195Y-111194963D01* -X103709943Y-111209942D01* -X103694964Y-111228194D01* -X103694959Y-111228199D01* -X103650134Y-111282819D01* -X103605693Y-111365962D01* -X103578326Y-111456179D01* -X103569086Y-111550000D01* -X103571401Y-111573505D01* -X103571401Y-111636580D01* -X103524813Y-111674813D01* -X103469819Y-111741824D01* -X103454010Y-111771400D01* -X103202862Y-111771400D01* -X103179679Y-111776011D01* -X103156178Y-111778326D01* -X103133581Y-111785180D01* -X103110397Y-111789792D01* -X103088561Y-111798837D01* -X103065962Y-111805692D01* -X103045133Y-111816826D01* -X103023298Y-111825870D01* -X103003646Y-111839001D01* -X102982818Y-111850134D01* -X102964564Y-111865114D01* -X102944910Y-111878247D01* -X102928194Y-111894963D01* -X102909942Y-111909942D01* -X102894963Y-111928194D01* -X102878247Y-111944910D01* -X102865114Y-111964564D01* -X102850134Y-111982818D01* -X102839001Y-112003646D01* -X102825870Y-112023298D01* -X102816826Y-112045133D01* -X102805692Y-112065962D01* -X102798837Y-112088561D01* -X102789792Y-112110397D01* -X102785180Y-112133581D01* -X102778326Y-112156178D01* -X102776011Y-112179679D01* -X102771400Y-112202862D01* -X102771400Y-112226496D01* -X102769085Y-112250000D01* -X100529355Y-112250000D01* -X100475226Y-112195872D01* -X100465716Y-112184284D01* -X100419487Y-112146345D01* -X100366744Y-112118154D01* -X100309516Y-112100794D01* -X100264904Y-112096400D01* -X100250000Y-112094932D01* -X100235096Y-112096400D01* -X99864903Y-112096400D01* -X99849999Y-112094932D01* -X99790483Y-112100794D01* -X99765563Y-112108354D01* -X99733256Y-112118154D01* -X99680513Y-112146345D01* -X99634284Y-112184284D01* -X99624779Y-112195867D01* -X99024246Y-112796400D01* -X96525756Y-112796400D01* -X95953600Y-112224246D01* -X95953600Y-111570091D01* -X95973735Y-111578431D01* -X95996735Y-111590725D01* -X96021691Y-111598296D01* -X96045813Y-111608287D01* -X96071418Y-111613380D01* -X96096376Y-111620951D01* -X96122336Y-111623508D01* -X96147937Y-111628600D01* -X96486580Y-111628600D01* -X96521400Y-111671028D01* -X96521400Y-111824038D01* -X96518843Y-111850000D01* -X96529049Y-111953623D01* -X96559275Y-112053264D01* -X96608359Y-112145094D01* -X96617456Y-112156179D01* -X96674416Y-112225585D01* -X96694586Y-112242138D01* -X96789405Y-112336957D01* -X96789409Y-112336963D01* -X96863037Y-112410591D01* -X96884749Y-112425099D01* -X96904906Y-112441641D01* -X96927903Y-112453933D01* -X96949614Y-112468440D01* -X96973739Y-112478433D01* -X96996736Y-112490725D01* -X97021687Y-112498294D01* -X97045813Y-112508287D01* -X97071425Y-112513381D01* -X97096376Y-112520950D01* -X97122329Y-112523506D01* -X97147937Y-112528600D01* -X97174040Y-112528600D01* -X97199999Y-112531157D01* -X97225958Y-112528600D01* -X97252063Y-112528600D01* -X97277673Y-112523506D01* -X97303623Y-112520950D01* -X97328572Y-112513382D01* -X97354187Y-112508287D01* -X97378313Y-112498293D01* -X97403264Y-112490725D01* -X97426261Y-112478433D01* -X97450386Y-112468440D01* -X97472097Y-112453933D01* -X97495094Y-112441641D01* -X97515250Y-112425099D01* -X97536963Y-112410591D01* -X97555429Y-112392125D01* -X97575584Y-112375584D01* -X97592125Y-112355429D01* -X97610591Y-112336963D01* -X97625099Y-112315250D01* -X97641641Y-112295094D01* -X97653933Y-112272097D01* -X97668440Y-112250386D01* -X97678433Y-112226261D01* -X97690725Y-112203264D01* -X97698293Y-112178313D01* -X97708287Y-112154187D01* -X97713382Y-112128572D01* -X97720950Y-112103623D01* -X97723506Y-112077673D01* -X97728600Y-112052063D01* -X97728600Y-112025958D01* -X97731157Y-111999999D01* -X97728600Y-111974040D01* -X97728600Y-111947937D01* -X97723506Y-111922329D01* -X97720950Y-111896376D01* -X97713381Y-111871425D01* -X97708287Y-111845813D01* -X97698294Y-111821687D01* -X97690725Y-111796736D01* -X97678433Y-111773739D01* -X97668440Y-111749614D01* -X97653933Y-111727903D01* -X97641641Y-111704906D01* -X97625099Y-111684749D01* -X97610591Y-111663037D01* -X97596622Y-111649068D01* -X97630181Y-111608176D01* -X97647913Y-111575000D01* -X97895294Y-111575000D01* -X97899708Y-111619813D01* -X97912779Y-111662905D01* -X97934006Y-111702618D01* -X97962573Y-111737427D01* -X97997382Y-111765994D01* -X98037095Y-111787221D01* -X98080187Y-111800292D01* -X98125000Y-111804706D01* -X98419050Y-111803600D01* -X98476200Y-111746450D01* -X98476200Y-111173800D01* -X98623800Y-111173800D01* -X98623800Y-111746450D01* -X98680950Y-111803600D01* -X98975000Y-111804706D01* -X99019813Y-111800292D01* -X99062905Y-111787221D01* -X99102618Y-111765994D01* -X99137427Y-111737427D01* -X99165994Y-111702618D01* -X99187221Y-111662905D01* -X99200292Y-111619813D01* -X99204706Y-111575000D01* -X99203600Y-111230950D01* -X99146450Y-111173800D01* -X98623800Y-111173800D01* -X98476200Y-111173800D01* -X97953550Y-111173800D01* -X97896400Y-111230950D01* -X97895294Y-111575000D01* -X97647913Y-111575000D01* -X97671045Y-111531725D01* -X97696209Y-111448770D01* -X97704706Y-111362500D01* -X97704706Y-110837500D01* -X97696209Y-110751230D01* -X97671045Y-110668275D01* -X97647915Y-110625000D01* -X97895294Y-110625000D01* -X97896400Y-110969050D01* -X97953550Y-111026200D01* -X98476200Y-111026200D01* -X98476200Y-110453550D01* -X98623800Y-110453550D01* -X98623800Y-111026200D01* -X99146450Y-111026200D01* -X99203600Y-110969050D01* -X99204706Y-110625000D01* -X99200292Y-110580187D01* -X99187221Y-110537095D01* -X99165994Y-110497382D01* -X99137427Y-110462573D01* -X99102618Y-110434006D01* -X99062905Y-110412779D01* -X99019813Y-110399708D01* -X98975000Y-110395294D01* -X98680950Y-110396400D01* -X98623800Y-110453550D01* -X98476200Y-110453550D01* -X98419050Y-110396400D01* -X98125000Y-110395294D01* -X98080187Y-110399708D01* -X98037095Y-110412779D01* -X97997382Y-110434006D01* -X97962573Y-110462573D01* -X97934006Y-110497382D01* -X97912779Y-110537095D01* -X97899708Y-110580187D01* -X97895294Y-110625000D01* -X97647915Y-110625000D01* -X97641989Y-110613915D01* -X97646354Y-110610999D01* -X97667182Y-110599866D01* -X97685436Y-110584886D01* -X97705090Y-110571753D01* -X97721806Y-110555037D01* -X97740058Y-110540058D01* -X97755037Y-110521806D01* -X97771753Y-110505090D01* -X97784886Y-110485436D01* -X97799866Y-110467182D01* -X97810999Y-110446354D01* -X97824130Y-110426702D01* -X97833174Y-110404867D01* -X97844308Y-110384038D01* -X97851163Y-110361439D01* -X97860208Y-110339603D01* -X97864820Y-110316419D01* -X97871674Y-110293822D01* -X97873989Y-110270321D01* -X97878600Y-110247138D01* -X97878600Y-110238376D01* -X97901472Y-110195585D01* -X97908701Y-110171753D01* -X97923121Y-110124219D01* -X97925861Y-110096400D01* -X97928600Y-110068590D01* -X97928600Y-110068583D01* -X97930430Y-110050001D01* -X97928600Y-110031418D01* -X97928600Y-110019050D01* -X97976200Y-109971450D01* -X97976200Y-109136300D01* -X97956200Y-109136300D01* -X97956200Y-108988700D01* -X97976200Y-108988700D01* -X97976200Y-108153550D01* -X98123800Y-108153550D01* -X98123800Y-108988700D01* -X98143800Y-108988700D01* -X98143800Y-109136300D01* -X98123800Y-109136300D01* -X98123800Y-109971450D01* -X98180950Y-110028600D01* -X98200000Y-110029706D01* -X98244813Y-110025292D01* -X98287905Y-110012221D01* -X98327618Y-109990994D01* -X98328306Y-109990430D01* -X98358394Y-110006512D01* -X98415555Y-110023851D01* -X98475000Y-110029706D01* -X98625000Y-110029706D01* -X98684445Y-110023851D01* -X98741606Y-110006512D01* -X98794286Y-109978354D01* -X98800000Y-109973665D01* -X98805714Y-109978354D01* -X98858394Y-110006512D01* -X98915555Y-110023851D01* -X98975000Y-110029706D01* -X99125000Y-110029706D01* -X99184445Y-110023851D01* -X99241606Y-110006512D01* -X99294286Y-109978354D01* -X99300000Y-109973665D01* -X99305714Y-109978354D01* -X99358394Y-110006512D01* -X99415555Y-110023851D01* -X99475000Y-110029706D01* -X99625000Y-110029706D01* -X99684445Y-110023851D01* -X99741606Y-110006512D01* -X99794286Y-109978354D01* -X99800000Y-109973665D01* -X99805714Y-109978354D01* -X99858394Y-110006512D01* -X99915555Y-110023851D01* -X99975000Y-110029706D01* -X100125000Y-110029706D01* -X100184445Y-110023851D01* -X100241606Y-110006512D01* -X100294286Y-109978354D01* -X100340460Y-109940460D01* -X100378354Y-109894286D01* -X100406512Y-109841606D01* -X100423851Y-109784445D01* -X100429706Y-109725000D01* -X100429706Y-109476200D01* -X100550000Y-109476200D01* -X100564866Y-109474736D01* -X100579160Y-109470400D01* -X100592334Y-109463358D01* -X100603882Y-109453882D01* -X100831564Y-109226200D01* -X102318436Y-109226200D01* -X102996118Y-109903882D01* -X103007666Y-109913358D01* -X103020840Y-109920400D01* -X103035134Y-109924736D01* -X103050000Y-109926200D01* -X105318436Y-109926200D01* -X107246118Y-111853882D01* -X107257666Y-111863358D01* -X107270840Y-111870400D01* -X107285134Y-111874736D01* -X107300000Y-111876200D01* -X107689402Y-111876200D01* -X107725000Y-111879706D01* -X108175000Y-111879706D01* -X108210598Y-111876200D01* -X108500000Y-111876200D01* -X108504125Y-111875794D01* -X108489792Y-111910397D01* -X108471400Y-112002862D01* -X108471400Y-112097138D01* -X108489792Y-112189603D01* -X108525870Y-112276702D01* -X108578247Y-112355090D01* -X108644910Y-112421753D01* -X108723298Y-112474130D01* -X108810397Y-112510208D01* -X108902862Y-112528600D01* -X108997138Y-112528600D01* -X109089603Y-112510208D01* -X109176702Y-112474130D01* -X109255090Y-112421753D01* -X109321753Y-112355090D01* -X109374130Y-112276702D01* -X109410208Y-112189603D01* -X109428600Y-112097138D01* -X109428600Y-112079000D01* -X111915294Y-112079000D01* -X111919708Y-112123813D01* -X111932779Y-112166905D01* -X111954006Y-112206618D01* -X111982573Y-112241427D01* -X112017382Y-112269994D01* -X112032931Y-112278305D01* -X112016110Y-112292110D01* -X111973303Y-112344269D01* -X111941495Y-112403778D01* -X111921908Y-112468349D01* -X111915294Y-112535500D01* -X111915294Y-112764500D01* -X111921908Y-112831651D01* -X111941495Y-112896222D01* -X111973303Y-112955731D01* -X112016110Y-113007890D01* -X112032931Y-113021695D01* -X112017382Y-113030006D01* -X111982573Y-113058573D01* -X111954006Y-113093382D01* -X111932779Y-113133095D01* -X111919708Y-113176187D01* -X111915294Y-113221000D01* -X111916400Y-113319050D01* -X111973550Y-113376200D01* -X112826200Y-113376200D01* -X112826200Y-113356200D01* -X112973800Y-113356200D01* -X112973800Y-113376200D01* -X113826450Y-113376200D01* -X113883600Y-113319050D01* -X113884706Y-113221000D01* -X113880292Y-113176187D01* -X113867221Y-113133095D01* -X113845994Y-113093382D01* -X113817427Y-113058573D01* -X113782618Y-113030006D01* -X113767069Y-113021695D01* -X113783890Y-113007890D01* -X113826697Y-112955731D01* -X113827836Y-112953600D01* -X114372441Y-112953600D01* -X114375140Y-112957640D01* -X114442360Y-113024860D01* -X114521403Y-113077674D01* -X114609231Y-113114054D01* -X114702468Y-113132600D01* -X114797532Y-113132600D01* -X114890769Y-113114054D01* -X114978597Y-113077674D01* -X115057640Y-113024860D01* -X115124860Y-112957640D01* -X115177674Y-112878597D01* -X115214054Y-112790769D01* -X115232600Y-112697532D01* -X115232600Y-112602468D01* -X115214054Y-112509231D01* -X115177674Y-112421403D01* -X115124860Y-112342360D01* -X115057640Y-112275140D01* -X114978597Y-112222326D01* -X114890769Y-112185946D01* -X114797532Y-112167400D01* -X114702468Y-112167400D01* -X114609231Y-112185946D01* -X114521403Y-112222326D01* -X114442360Y-112275140D01* -X114375140Y-112342360D01* -X114372441Y-112346400D01* -X113827836Y-112346400D01* -X113826697Y-112344269D01* -X113783890Y-112292110D01* -X113767069Y-112278305D01* -X113782618Y-112269994D01* -X113817427Y-112241427D01* -X113845994Y-112206618D01* -X113867221Y-112166905D01* -X113880292Y-112123813D01* -X113884706Y-112079000D01* -X113883600Y-111980950D01* -X113826450Y-111923800D01* -X112973800Y-111923800D01* -X112973800Y-111943800D01* -X112826200Y-111943800D01* -X112826200Y-111923800D01* -X111973550Y-111923800D01* -X111916400Y-111980950D01* -X111915294Y-112079000D01* -X109428600Y-112079000D01* -X109428600Y-112002862D01* -X109410208Y-111910397D01* -X109374130Y-111823298D01* -X109368843Y-111815386D01* -X109408137Y-111783137D01* -X109449141Y-111733175D01* -X109479609Y-111676173D01* -X109498371Y-111614322D01* -X109501192Y-111585684D01* -X109560397Y-111610208D01* -X109652862Y-111628600D01* -X109747138Y-111628600D01* -X109839603Y-111610208D01* -X109926702Y-111574130D01* -X110005090Y-111521753D01* -X110071753Y-111455090D01* -X110124130Y-111376702D01* -X110160208Y-111289603D01* -X110178600Y-111197138D01* -X110178600Y-111102862D01* -X110160208Y-111010397D01* -X110124130Y-110923298D01* -X110071753Y-110844910D01* -X110005090Y-110778247D01* -X109926702Y-110725870D01* -X109839603Y-110689792D01* -X109747138Y-110671400D01* -X109652862Y-110671400D01* -X109650572Y-110671856D01* -X109623941Y-110650000D01* -X109650572Y-110628144D01* -X109652862Y-110628600D01* -X109747138Y-110628600D01* -X109839603Y-110610208D01* -X109926702Y-110574130D01* -X110005090Y-110521753D01* -X110071753Y-110455090D01* -X110124130Y-110376702D01* -X110160208Y-110289603D01* -X110178600Y-110197138D01* -X110178600Y-110102862D01* -X110160208Y-110010397D01* -X110124130Y-109923298D01* -X110071753Y-109844910D01* -X110005090Y-109778247D01* -X109926702Y-109725870D01* -X109839603Y-109689792D01* -X109747138Y-109671400D01* -X109652862Y-109671400D01* -X109560397Y-109689792D01* -X109501192Y-109714316D01* -X109498371Y-109685678D01* -X109479609Y-109623827D01* -X109449141Y-109566825D01* -X109408137Y-109516863D01* -X109368843Y-109484614D01* -X109374130Y-109476702D01* -X109410208Y-109389603D01* -X109428600Y-109297138D01* -X109428600Y-109237500D01* -X110145294Y-109237500D01* -X110145294Y-109662500D01* -X110153791Y-109748770D01* -X110178955Y-109831725D01* -X110219819Y-109908176D01* -X110221400Y-109910103D01* -X110221401Y-110388083D01* -X110221400Y-110388088D01* -X110221400Y-110511912D01* -X110227457Y-110542365D01* -X110230497Y-110573227D01* -X110239498Y-110602899D01* -X110245556Y-110633356D01* -X110257440Y-110662047D01* -X110266441Y-110691719D01* -X110281057Y-110719064D01* -X110292941Y-110747754D01* -X110310194Y-110773575D01* -X110324811Y-110800921D01* -X110344484Y-110824893D01* -X110361734Y-110850709D01* -X110383685Y-110872660D01* -X110403363Y-110896638D01* -X110427341Y-110916316D01* -X110449291Y-110938266D01* -X110475106Y-110955515D01* -X110499080Y-110975190D01* -X110526429Y-110989808D01* -X110552246Y-111007059D01* -X110580932Y-111018941D01* -X110608282Y-111033560D01* -X110637959Y-111042563D01* -X110666644Y-111054444D01* -X110697094Y-111060501D01* -X110726774Y-111069504D01* -X110757644Y-111072545D01* -X110788088Y-111078600D01* -X110819125Y-111078600D01* -X110850000Y-111081641D01* -X110880875Y-111078600D01* -X110911912Y-111078600D01* -X110942355Y-111072545D01* -X110973227Y-111069504D01* -X111002909Y-111060500D01* -X111033356Y-111054444D01* -X111062038Y-111042564D01* -X111091719Y-111033560D01* -X111119072Y-111018939D01* -X111147754Y-111007059D01* -X111173567Y-110989811D01* -X111200921Y-110975190D01* -X111224900Y-110955511D01* -X111250709Y-110938266D01* -X111272654Y-110916321D01* -X111296638Y-110896638D01* -X111316321Y-110872654D01* -X111338266Y-110850709D01* -X111355511Y-110824900D01* -X111375190Y-110800921D01* -X111389811Y-110773567D01* -X111407059Y-110747754D01* -X111418939Y-110719072D01* -X111433560Y-110691719D01* -X111442564Y-110662038D01* -X111454444Y-110633356D01* -X111460500Y-110602909D01* -X111469504Y-110573227D01* -X111472545Y-110542355D01* -X111478600Y-110511912D01* -X111478600Y-109932600D01* -X111773707Y-109932600D01* -X111844606Y-109925617D01* -X111917188Y-109903600D01* -X112006680Y-109903600D01* -X111973303Y-109944269D01* -X111941495Y-110003778D01* -X111921908Y-110068349D01* -X111915294Y-110135500D01* -X111915294Y-110364500D01* -X111921908Y-110431651D01* -X111941495Y-110496222D01* -X111973303Y-110555731D01* -X112016110Y-110607890D01* -X112067420Y-110650000D01* -X112016110Y-110692110D01* -X111973303Y-110744269D01* -X111941495Y-110803778D01* -X111921908Y-110868349D01* -X111915294Y-110935500D01* -X111915294Y-111164500D01* -X111921908Y-111231651D01* -X111941495Y-111296222D01* -X111973303Y-111355731D01* -X112016110Y-111407890D01* -X112032931Y-111421695D01* -X112017382Y-111430006D01* -X111982573Y-111458573D01* -X111954006Y-111493382D01* -X111932779Y-111533095D01* -X111919708Y-111576187D01* -X111915294Y-111621000D01* -X111916400Y-111719050D01* -X111973550Y-111776200D01* -X112826200Y-111776200D01* -X112826200Y-111756200D01* -X112973800Y-111756200D01* -X112973800Y-111776200D01* -X113826450Y-111776200D01* -X113883600Y-111719050D01* -X113884706Y-111621000D01* -X113880292Y-111576187D01* -X113867221Y-111533095D01* -X113845994Y-111493382D01* -X113817427Y-111458573D01* -X113782618Y-111430006D01* -X113767069Y-111421695D01* -X113783890Y-111407890D01* -X113826697Y-111355731D01* -X113827836Y-111353600D01* -X114311972Y-111353600D01* -X114322326Y-111378597D01* -X114375140Y-111457640D01* -X114442360Y-111524860D01* -X114521403Y-111577674D01* -X114609231Y-111614054D01* -X114702468Y-111632600D01* -X114797532Y-111632600D01* -X114890769Y-111614054D01* -X114978597Y-111577674D01* -X115057640Y-111524860D01* -X115124860Y-111457640D01* -X115177674Y-111378597D01* -X115214054Y-111290769D01* -X115232600Y-111197532D01* -X115232600Y-111102468D01* -X122067400Y-111102468D01* -X122067400Y-111197532D01* -X122085946Y-111290769D01* -X122122326Y-111378597D01* -X122175140Y-111457640D01* -X122242360Y-111524860D01* -X122321403Y-111577674D01* -X122409231Y-111614054D01* -X122502468Y-111632600D01* -X122597532Y-111632600D01* -X122690769Y-111614054D01* -X122778597Y-111577674D01* -X122857640Y-111524860D01* -X122924860Y-111457640D01* -X122977674Y-111378597D01* -X122988028Y-111353600D01* -X123472164Y-111353600D01* -X123473303Y-111355731D01* -X123506680Y-111396400D01* -X123416007Y-111396400D01* -X123390769Y-111385946D01* -X123297532Y-111367400D01* -X123202468Y-111367400D01* -X123109231Y-111385946D01* -X123021403Y-111422326D01* -X122942360Y-111475140D01* -X122875140Y-111542360D01* -X122822326Y-111621403D01* -X122785946Y-111709231D01* -X122767400Y-111802468D01* -X122767400Y-111897532D01* -X122785946Y-111990769D01* -X122822326Y-112078597D01* -X122875140Y-112157640D01* -X122942360Y-112224860D01* -X123021403Y-112277674D01* -X123109231Y-112314054D01* -X123202468Y-112332600D01* -X123297532Y-112332600D01* -X123390769Y-112314054D01* -X123416007Y-112303600D01* -X123506680Y-112303600D01* -X123473303Y-112344269D01* -X123472164Y-112346400D01* -X122927559Y-112346400D01* -X122924860Y-112342360D01* -X122857640Y-112275140D01* -X122778597Y-112222326D01* -X122690769Y-112185946D01* -X122597532Y-112167400D01* -X122502468Y-112167400D01* -X122409231Y-112185946D01* -X122321403Y-112222326D01* -X122242360Y-112275140D01* -X122175140Y-112342360D01* -X122122326Y-112421403D01* -X122085946Y-112509231D01* -X122067400Y-112602468D01* -X122067400Y-112697532D01* -X122085946Y-112790769D01* -X122122326Y-112878597D01* -X122175140Y-112957640D01* -X122242360Y-113024860D01* -X122321403Y-113077674D01* -X122409231Y-113114054D01* -X122502468Y-113132600D01* -X122597532Y-113132600D01* -X122690769Y-113114054D01* -X122778597Y-113077674D01* -X122857640Y-113024860D01* -X122924860Y-112957640D01* -X122927559Y-112953600D01* -X123472164Y-112953600D01* -X123473303Y-112955731D01* -X123506680Y-112996400D01* -X123416007Y-112996400D01* -X123390769Y-112985946D01* -X123297532Y-112967400D01* -X123202468Y-112967400D01* -X123109231Y-112985946D01* -X123021403Y-113022326D01* -X122942360Y-113075140D01* -X122875140Y-113142360D01* -X122822326Y-113221403D01* -X122785946Y-113309231D01* -X122767400Y-113402468D01* -X122767400Y-113497532D01* -X122785946Y-113590769D01* -X122822326Y-113678597D01* -X122875140Y-113757640D01* -X122942360Y-113824860D01* -X123021403Y-113877674D01* -X123109231Y-113914054D01* -X123202468Y-113932600D01* -X123297532Y-113932600D01* -X123390769Y-113914054D01* -X123416007Y-113903600D01* -X123707660Y-113903600D01* -X123759500Y-113908706D01* -X123940492Y-113908706D01* -X123939792Y-113910397D01* -X123935179Y-113933591D01* -X123928327Y-113956178D01* -X123926013Y-113979669D01* -X123921400Y-114002862D01* -X123921400Y-114097138D01* -X123921401Y-114097143D01* -X123921400Y-114736580D01* -X123874813Y-114774813D01* -X123819819Y-114841824D01* -X123778955Y-114918275D01* -X123753791Y-115001230D01* -X123745294Y-115087500D01* -X123745294Y-115612500D01* -X123753791Y-115698770D01* -X123778955Y-115781725D01* -X123819819Y-115858176D01* -X123853378Y-115899068D01* -X123839409Y-115913037D01* -X123824901Y-115934749D01* -X123808359Y-115954906D01* -X123796067Y-115977903D01* -X123781560Y-115999614D01* -X123771567Y-116023739D01* -X123759275Y-116046736D01* -X123751706Y-116071689D01* -X123741713Y-116095813D01* -X123736620Y-116121420D01* -X123729049Y-116146377D01* -X123726492Y-116172335D01* -X123721400Y-116197937D01* -X123721400Y-116224038D01* -X123718843Y-116250000D01* -X123721400Y-116275962D01* -X123721400Y-116302063D01* -X123726492Y-116327665D01* -X123729049Y-116353623D01* -X123736620Y-116378580D01* -X123741713Y-116404187D01* -X123751706Y-116428311D01* -X123759275Y-116453264D01* -X123771567Y-116476261D01* -X123781560Y-116500386D01* -X123796067Y-116522097D01* -X123808359Y-116545094D01* -X123824901Y-116565250D01* -X123839409Y-116586963D01* -X123857875Y-116605429D01* -X123874416Y-116625584D01* -X123894571Y-116642125D01* -X123913037Y-116660591D01* -X123934750Y-116675099D01* -X123954906Y-116691641D01* -X123977903Y-116703933D01* -X123999614Y-116718440D01* -X124023739Y-116728433D01* -X124046736Y-116740725D01* -X124071689Y-116748294D01* -X124095813Y-116758287D01* -X124121420Y-116763380D01* -X124146377Y-116770951D01* -X124172335Y-116773508D01* -X124197937Y-116778600D01* -X124224038Y-116778600D01* -X124250000Y-116781157D01* -X124275962Y-116778600D01* -X124302063Y-116778600D01* -X124327665Y-116773508D01* -X124353623Y-116770951D01* -X124378580Y-116763380D01* -X124404187Y-116758287D01* -X124428311Y-116748294D01* -X124453264Y-116740725D01* -X124476261Y-116728433D01* -X124500386Y-116718440D01* -X124522097Y-116703933D01* -X124545094Y-116691641D01* -X124565251Y-116675099D01* -X124586963Y-116660591D01* -X124660591Y-116586963D01* -X124660595Y-116586957D01* -X124755410Y-116492142D01* -X124775585Y-116475585D01* -X124841641Y-116395095D01* -X124890725Y-116303265D01* -X124920951Y-116203624D01* -X124928600Y-116125962D01* -X124928600Y-116125960D01* -X124931157Y-116100001D01* -X124928600Y-116074042D01* -X124928600Y-115921028D01* -X124963420Y-115878600D01* -X125302063Y-115878600D01* -X125327664Y-115873508D01* -X125353624Y-115870951D01* -X125378582Y-115863380D01* -X125404187Y-115858287D01* -X125428309Y-115848296D01* -X125453265Y-115840725D01* -X125476265Y-115828431D01* -X125500386Y-115818440D01* -X125522093Y-115803936D01* -X125545095Y-115791641D01* -X125565257Y-115775094D01* -X125586963Y-115760591D01* -X125605421Y-115742133D01* -X125625585Y-115725585D01* -X125642133Y-115705421D01* -X125660591Y-115686963D01* -X125675094Y-115665257D01* -X125691641Y-115645095D01* -X125703936Y-115622093D01* -X125718440Y-115600386D01* -X125728431Y-115576265D01* -X125740725Y-115553265D01* -X125748296Y-115528309D01* -X125758287Y-115504187D01* -X125763380Y-115478582D01* -X125770951Y-115453624D01* -X125773508Y-115427664D01* -X125778600Y-115402063D01* -X125778600Y-115375961D01* -X125781157Y-115350000D01* -X125778600Y-115324038D01* -X125778600Y-115297937D01* -X125773508Y-115272336D01* -X125770951Y-115246376D01* -X125763380Y-115221418D01* -X125758287Y-115195813D01* -X125748296Y-115171691D01* -X125740725Y-115146735D01* -X125728431Y-115123735D01* -X125718440Y-115099614D01* -X125703936Y-115077907D01* -X125691641Y-115054905D01* -X125675094Y-115034743D01* -X125660591Y-115013037D01* -X125642133Y-114994579D01* -X125625585Y-114974415D01* -X125605421Y-114957867D01* -X125586963Y-114939409D01* -X125565257Y-114924906D01* -X125545095Y-114908359D01* -X125522093Y-114896064D01* -X125500386Y-114881560D01* -X125476265Y-114871569D01* -X125453265Y-114859275D01* -X125428309Y-114851704D01* -X125404187Y-114841713D01* -X125378582Y-114836620D01* -X125353624Y-114829049D01* -X125327664Y-114826492D01* -X125302063Y-114821400D01* -X124963420Y-114821400D01* -X124925187Y-114774813D01* -X124878600Y-114736580D01* -X124878600Y-114002862D01* -X124873989Y-113979679D01* -X124871674Y-113956178D01* -X124864820Y-113933581D01* -X124860208Y-113910397D01* -X124859508Y-113908706D01* -X125040500Y-113908706D01* -X125107651Y-113902092D01* -X125172222Y-113882505D01* -X125231731Y-113850697D01* -X125283890Y-113807890D01* -X125310882Y-113775000D01* -X125745294Y-113775000D01* -X125749708Y-113819813D01* -X125762779Y-113862905D01* -X125784006Y-113902618D01* -X125812573Y-113937427D01* -X125847382Y-113965994D01* -X125887095Y-113987221D01* -X125930187Y-114000292D01* -X125975000Y-114004706D01* -X126319050Y-114003600D01* -X126376200Y-113946450D01* -X126376200Y-113423800D01* -X126523800Y-113423800D01* -X126523800Y-113946450D01* -X126580950Y-114003600D01* -X126925000Y-114004706D01* -X126969813Y-114000292D01* -X127012905Y-113987221D01* -X127052618Y-113965994D01* -X127087427Y-113937427D01* -X127115994Y-113902618D01* -X127137221Y-113862905D01* -X127150292Y-113819813D01* -X127154706Y-113775000D01* -X127153600Y-113480950D01* -X127096450Y-113423800D01* -X126523800Y-113423800D01* -X126376200Y-113423800D01* -X125803550Y-113423800D01* -X125746400Y-113480950D01* -X125745294Y-113775000D01* -X125310882Y-113775000D01* -X125326697Y-113755731D01* -X125358505Y-113696222D01* -X125378092Y-113631651D01* -X125384706Y-113564500D01* -X125384706Y-113335500D01* -X125378092Y-113268349D01* -X125358505Y-113203778D01* -X125326697Y-113144269D01* -X125283890Y-113092110D01* -X125232580Y-113050000D01* -X125283890Y-113007890D01* -X125326697Y-112955731D01* -X125343122Y-112925000D01* -X125745294Y-112925000D01* -X125746400Y-113219050D01* -X125803550Y-113276200D01* -X126376200Y-113276200D01* -X126376200Y-112753550D01* -X126523800Y-112753550D01* -X126523800Y-113276200D01* -X127096450Y-113276200D01* -X127153600Y-113219050D01* -X127154706Y-112925000D01* -X127150292Y-112880187D01* -X127137221Y-112837095D01* -X127115994Y-112797382D01* -X127087427Y-112762573D01* -X127052618Y-112734006D01* -X127012905Y-112712779D01* -X126969813Y-112699708D01* -X126925000Y-112695294D01* -X126580950Y-112696400D01* -X126523800Y-112753550D01* -X126376200Y-112753550D01* -X126319050Y-112696400D01* -X125975000Y-112695294D01* -X125930187Y-112699708D01* -X125887095Y-112712779D01* -X125847382Y-112734006D01* -X125812573Y-112762573D01* -X125784006Y-112797382D01* -X125762779Y-112837095D01* -X125749708Y-112880187D01* -X125745294Y-112925000D01* -X125343122Y-112925000D01* -X125358505Y-112896222D01* -X125378092Y-112831651D01* -X125384706Y-112764500D01* -X125384706Y-112535500D01* -X125378092Y-112468349D01* -X125358505Y-112403778D01* -X125326697Y-112344269D01* -X125305856Y-112318875D01* -X125346735Y-112340725D01* -X125446376Y-112370951D01* -X125524038Y-112378600D01* -X125878972Y-112378600D01* -X125941824Y-112430181D01* -X126018275Y-112471045D01* -X126101230Y-112496209D01* -X126187500Y-112504706D01* -X126712500Y-112504706D01* -X126798770Y-112496209D01* -X126881725Y-112471045D01* -X126958176Y-112430181D01* -X126999068Y-112396622D01* -X127013037Y-112410591D01* -X127034749Y-112425099D01* -X127054906Y-112441641D01* -X127077903Y-112453933D01* -X127099614Y-112468440D01* -X127123739Y-112478433D01* -X127146736Y-112490725D01* -X127171687Y-112498294D01* -X127195813Y-112508287D01* -X127221425Y-112513381D01* -X127246376Y-112520950D01* -X127272329Y-112523506D01* -X127297937Y-112528600D01* -X127324040Y-112528600D01* -X127349999Y-112531157D01* -X127375958Y-112528600D01* -X127402063Y-112528600D01* -X127427673Y-112523506D01* -X127453623Y-112520950D01* -X127478572Y-112513382D01* -X127504187Y-112508287D01* -X127528313Y-112498293D01* -X127553264Y-112490725D01* -X127576261Y-112478433D01* -X127600386Y-112468440D01* -X127622097Y-112453933D01* -X127645094Y-112441641D01* -X127665250Y-112425099D01* -X127686963Y-112410591D01* -X127705429Y-112392125D01* -X127725584Y-112375584D01* -X127742125Y-112355429D01* -X127760591Y-112336963D01* -X127775099Y-112315250D01* -X127791641Y-112295094D01* -X127803933Y-112272097D01* -X127818440Y-112250386D01* -X127828433Y-112226261D01* -X127840725Y-112203264D01* -X127848293Y-112178313D01* -X127858287Y-112154187D01* -X127863382Y-112128572D01* -X127870950Y-112103623D01* -X127873506Y-112077673D01* -X127878600Y-112052063D01* -X127878600Y-112025958D01* -X127881157Y-111999999D01* -X127878600Y-111974040D01* -X127878600Y-111947937D01* -X127873506Y-111922329D01* -X127870950Y-111896376D01* -X127863381Y-111871425D01* -X127858287Y-111845813D01* -X127848294Y-111821687D01* -X127840725Y-111796736D01* -X127828433Y-111773739D01* -X127818440Y-111749614D01* -X127803933Y-111727903D01* -X127791641Y-111704906D01* -X127775099Y-111684749D01* -X127760591Y-111663037D01* -X127686963Y-111589409D01* -X127686957Y-111589405D01* -X127592142Y-111494590D01* -X127575585Y-111474415D01* -X127495095Y-111408359D01* -X127403265Y-111359275D01* -X127303624Y-111329049D01* -X127225962Y-111321400D01* -X127200000Y-111318843D01* -X127174038Y-111321400D01* -X127021028Y-111321400D01* -X126978600Y-111286580D01* -X126978600Y-110947937D01* -X126973508Y-110922336D01* -X126970951Y-110896376D01* -X126963380Y-110871418D01* -X126958287Y-110845813D01* -X126948296Y-110821691D01* -X126940725Y-110796735D01* -X126928431Y-110773735D01* -X126918440Y-110749614D01* -X126903936Y-110727907D01* -X126891641Y-110704905D01* -X126875094Y-110684743D01* -X126860591Y-110663037D01* -X126842133Y-110644579D01* -X126825585Y-110624415D01* -X126805421Y-110607867D01* -X126786963Y-110589409D01* -X126765257Y-110574906D01* -X126745095Y-110558359D01* -X126722093Y-110546064D01* -X126700386Y-110531560D01* -X126676265Y-110521569D01* -X126653265Y-110509275D01* -X126628309Y-110501704D01* -X126604187Y-110491713D01* -X126578582Y-110486620D01* -X126553624Y-110479049D01* -X126527664Y-110476492D01* -X126502063Y-110471400D01* -X126475962Y-110471400D01* -X126450000Y-110468843D01* -X126424038Y-110471400D01* -X126397937Y-110471400D01* -X126372335Y-110476492D01* -X126346377Y-110479049D01* -X126321420Y-110486620D01* -X126295813Y-110491713D01* -X126271689Y-110501706D01* -X126246736Y-110509275D01* -X126223739Y-110521567D01* -X126199614Y-110531560D01* -X126177903Y-110546067D01* -X126154906Y-110558359D01* -X126134748Y-110574902D01* -X126113037Y-110589409D01* -X126094575Y-110607871D01* -X126074416Y-110624415D01* -X126057872Y-110644574D01* -X126039409Y-110663037D01* -X126024901Y-110684749D01* -X126008360Y-110704905D01* -X125996069Y-110727899D01* -X125981560Y-110749614D01* -X125971565Y-110773743D01* -X125959276Y-110796735D01* -X125951708Y-110821682D01* -X125941713Y-110845813D01* -X125936618Y-110871427D01* -X125929050Y-110896376D01* -X125926493Y-110922329D01* -X125921400Y-110947937D01* -X125921400Y-111286580D01* -X125878972Y-111321400D01* -X125524038Y-111321400D01* -X125446376Y-111329049D01* -X125346735Y-111359275D01* -X125305856Y-111381125D01* -X125326697Y-111355731D01* -X125358505Y-111296222D01* -X125378092Y-111231651D01* -X125384706Y-111164500D01* -X125384706Y-110935500D01* -X125378092Y-110868349D01* -X125358505Y-110803778D01* -X125326697Y-110744269D01* -X125283890Y-110692110D01* -X125232580Y-110650000D01* -X125283890Y-110607890D01* -X125326697Y-110555731D01* -X125358505Y-110496222D01* -X125378092Y-110431651D01* -X125384706Y-110364500D01* -X125384706Y-110135500D01* -X125378092Y-110068349D01* -X125358505Y-110003778D01* -X125326697Y-109944269D01* -X125283890Y-109892110D01* -X125267069Y-109878305D01* -X125282618Y-109869994D01* -X125317427Y-109841427D01* -X125345994Y-109806618D01* -X125367221Y-109766905D01* -X125380292Y-109723813D01* -X125384706Y-109679000D01* -X125383600Y-109580950D01* -X125326450Y-109523800D01* -X124473800Y-109523800D01* -X124473800Y-109543800D01* -X124326200Y-109543800D01* -X124326200Y-109523800D01* -X123473550Y-109523800D01* -X123416400Y-109580950D01* -X123415294Y-109679000D01* -X123419708Y-109723813D01* -X123432779Y-109766905D01* -X123454006Y-109806618D01* -X123482573Y-109841427D01* -X123517382Y-109869994D01* -X123532931Y-109878305D01* -X123516110Y-109892110D01* -X123473303Y-109944269D01* -X123472164Y-109946400D01* -X122983699Y-109946400D01* -X122974130Y-109923298D01* -X122921753Y-109844910D01* -X122855090Y-109778247D01* -X122776702Y-109725870D01* -X122689603Y-109689792D01* -X122597138Y-109671400D01* -X122502862Y-109671400D01* -X122410397Y-109689792D01* -X122323298Y-109725870D01* -X122244910Y-109778247D01* -X122178247Y-109844910D01* -X122125870Y-109923298D01* -X122089792Y-110010397D01* -X122071400Y-110102862D01* -X122071400Y-110197138D01* -X122089792Y-110289603D01* -X122125870Y-110376702D01* -X122178247Y-110455090D01* -X122244910Y-110521753D01* -X122323298Y-110574130D01* -X122410397Y-110610208D01* -X122502862Y-110628600D01* -X122597138Y-110628600D01* -X122689603Y-110610208D01* -X122776702Y-110574130D01* -X122807427Y-110553600D01* -X123472164Y-110553600D01* -X123473303Y-110555731D01* -X123516110Y-110607890D01* -X123567420Y-110650000D01* -X123516110Y-110692110D01* -X123473303Y-110744269D01* -X123472164Y-110746400D01* -X122814627Y-110746400D01* -X122778597Y-110722326D01* -X122690769Y-110685946D01* -X122597532Y-110667400D01* -X122502468Y-110667400D01* -X122409231Y-110685946D01* -X122321403Y-110722326D01* -X122242360Y-110775140D01* -X122175140Y-110842360D01* -X122122326Y-110921403D01* -X122085946Y-111009231D01* -X122067400Y-111102468D01* -X115232600Y-111102468D01* -X115214054Y-111009231D01* -X115177674Y-110921403D01* -X115124860Y-110842360D01* -X115057640Y-110775140D01* -X114978597Y-110722326D01* -X114890769Y-110685946D01* -X114797532Y-110667400D01* -X114702468Y-110667400D01* -X114609231Y-110685946D01* -X114521403Y-110722326D01* -X114485373Y-110746400D01* -X113827836Y-110746400D01* -X113826697Y-110744269D01* -X113783890Y-110692110D01* -X113732580Y-110650000D01* -X113783890Y-110607890D01* -X113826697Y-110555731D01* -X113827836Y-110553600D01* -X114492573Y-110553600D01* -X114523298Y-110574130D01* -X114610397Y-110610208D01* -X114702862Y-110628600D01* -X114797138Y-110628600D01* -X114889603Y-110610208D01* -X114976702Y-110574130D01* -X115055090Y-110521753D01* -X115121753Y-110455090D01* -X115174130Y-110376702D01* -X115210208Y-110289603D01* -X115228600Y-110197138D01* -X115228600Y-110102862D01* -X115210208Y-110010397D01* -X115174130Y-109923298D01* -X115121753Y-109844910D01* -X115055090Y-109778247D01* -X114976702Y-109725870D01* -X114889603Y-109689792D01* -X114797138Y-109671400D01* -X114702862Y-109671400D01* -X114610397Y-109689792D01* -X114523298Y-109725870D01* -X114444910Y-109778247D01* -X114378247Y-109844910D01* -X114325870Y-109923298D01* -X114316301Y-109946400D01* -X113827836Y-109946400D01* -X113826697Y-109944269D01* -X113793320Y-109903600D01* -X113894444Y-109903600D01* -X113910397Y-109910208D01* -X114002862Y-109928600D01* -X114097138Y-109928600D01* -X114189603Y-109910208D01* -X114276702Y-109874130D01* -X114355090Y-109821753D01* -X114421753Y-109755090D01* -X114474130Y-109676702D01* -X114510208Y-109589603D01* -X114528600Y-109497138D01* -X114528600Y-109402862D01* -X114510208Y-109310397D01* -X114474130Y-109223298D01* -X114421753Y-109144910D01* -X114355090Y-109078247D01* -X114276702Y-109025870D01* -X114189603Y-108989792D01* -X114097138Y-108971400D01* -X114002862Y-108971400D01* -X113910397Y-108989792D01* -X113894444Y-108996400D01* -X113793320Y-108996400D01* -X113826697Y-108955731D01* -X113827836Y-108953600D01* -X114316301Y-108953600D01* -X114325870Y-108976702D01* -X114378247Y-109055090D01* -X114444910Y-109121753D01* -X114523298Y-109174130D01* -X114610397Y-109210208D01* -X114702862Y-109228600D01* -X114797138Y-109228600D01* -X114889603Y-109210208D01* -X114976702Y-109174130D01* -X115055090Y-109121753D01* -X115121753Y-109055090D01* -X115174130Y-108976702D01* -X115210208Y-108889603D01* -X115228600Y-108797138D01* -X115228600Y-108702862D01* -X115210208Y-108610397D01* -X115174130Y-108523298D01* -X115121753Y-108444910D01* -X115055090Y-108378247D01* -X114976702Y-108325870D01* -X114889603Y-108289792D01* -X114797138Y-108271400D01* -X114702862Y-108271400D01* -X114610397Y-108289792D01* -X114523298Y-108325870D01* -X114492573Y-108346400D01* -X113827836Y-108346400D01* -X113826697Y-108344269D01* -X113783890Y-108292110D01* -X113732580Y-108250000D01* -X113783890Y-108207890D01* -X113826697Y-108155731D01* -X113827836Y-108153600D01* -X114492573Y-108153600D01* -X114523298Y-108174130D01* -X114610397Y-108210208D01* -X114702862Y-108228600D01* -X114797138Y-108228600D01* -X114889603Y-108210208D01* -X114976702Y-108174130D01* -X115055090Y-108121753D01* -X115121753Y-108055090D01* -X115174130Y-107976702D01* -X115210208Y-107889603D01* -X115228600Y-107797138D01* -X115228600Y-107702862D01* -X115210208Y-107610397D01* -X115174130Y-107523298D01* -X115121753Y-107444910D01* -X115055090Y-107378247D01* -X114976702Y-107325870D01* -X114889603Y-107289792D01* -X114797138Y-107271400D01* -X114702862Y-107271400D01* -X114610397Y-107289792D01* -X114523298Y-107325870D01* -X114444910Y-107378247D01* -X114378247Y-107444910D01* -X114325870Y-107523298D01* -X114316301Y-107546400D01* -X113827836Y-107546400D01* -X113826697Y-107544269D01* -X113783890Y-107492110D01* -X113767069Y-107478305D01* -X113782618Y-107469994D01* -X113817427Y-107441427D01* -X113845994Y-107406618D01* -X113867221Y-107366905D01* -X113880292Y-107323813D01* -X113884706Y-107279000D01* -X113883600Y-107180950D01* -X113826450Y-107123800D01* -X112973800Y-107123800D01* -X112973800Y-107143800D01* -X112826200Y-107143800D01* -X112826200Y-107123800D01* -X111973550Y-107123800D01* -X111916400Y-107180950D01* -X111915294Y-107279000D01* -X111919708Y-107323813D01* -X111932779Y-107366905D01* -X111954006Y-107406618D01* -X111982573Y-107441427D01* -X112017382Y-107469994D01* -X112032931Y-107478305D01* -X112016110Y-107492110D01* -X111973303Y-107544269D01* -X111941495Y-107603778D01* -X111921908Y-107668349D01* -X111915294Y-107735500D01* -X111915294Y-107964500D01* -X111921908Y-108031651D01* -X111941495Y-108096222D01* -X111973303Y-108155731D01* -X112016110Y-108207890D01* -X112067420Y-108250000D01* -X112016110Y-108292110D01* -X111973303Y-108344269D01* -X111941495Y-108403778D01* -X111921908Y-108468349D01* -X111915294Y-108535500D01* -X111915294Y-108764500D01* -X111921908Y-108831651D01* -X111941495Y-108896222D01* -X111973303Y-108955731D01* -X112006680Y-108996400D01* -X111917188Y-108996400D01* -X111844606Y-108974383D01* -X111773707Y-108967400D01* -X111460137Y-108967400D01* -X111425187Y-108924813D01* -X111358176Y-108869819D01* -X111281725Y-108828955D01* -X111198770Y-108803791D01* -X111112500Y-108795294D01* -X110587500Y-108795294D01* -X110501230Y-108803791D01* -X110418275Y-108828955D01* -X110341824Y-108869819D01* -X110274813Y-108924813D01* -X110219819Y-108991824D01* -X110178955Y-109068275D01* -X110153791Y-109151230D01* -X110145294Y-109237500D01* -X109428600Y-109237500D01* -X109428600Y-109202862D01* -X109410208Y-109110397D01* -X109374130Y-109023298D01* -X109321753Y-108944910D01* -X109255090Y-108878247D01* -X109176702Y-108825870D01* -X109089603Y-108789792D01* -X108997138Y-108771400D01* -X108902862Y-108771400D01* -X108810397Y-108789792D01* -X108723298Y-108825870D01* -X108644910Y-108878247D01* -X108578247Y-108944910D01* -X108525870Y-109023298D01* -X108489792Y-109110397D01* -X108471400Y-109202862D01* -X108471400Y-109297138D01* -X108489792Y-109389603D01* -X108504125Y-109424206D01* -X108500000Y-109423800D01* -X108210598Y-109423800D01* -X108175000Y-109420294D01* -X107725000Y-109420294D01* -X107689402Y-109423800D01* -X107631564Y-109423800D01* -X106582764Y-108375000D01* -X110145294Y-108375000D01* -X110149708Y-108419813D01* -X110162779Y-108462905D01* -X110184006Y-108502618D01* -X110212573Y-108537427D01* -X110247382Y-108565994D01* -X110287095Y-108587221D01* -X110330187Y-108600292D01* -X110375000Y-108604706D01* -X110719050Y-108603600D01* -X110776200Y-108546450D01* -X110776200Y-108023800D01* -X110923800Y-108023800D01* -X110923800Y-108546450D01* -X110980950Y-108603600D01* -X111325000Y-108604706D01* -X111369813Y-108600292D01* -X111412905Y-108587221D01* -X111452618Y-108565994D01* -X111487427Y-108537427D01* -X111515994Y-108502618D01* -X111537221Y-108462905D01* -X111550292Y-108419813D01* -X111554706Y-108375000D01* -X111553600Y-108080950D01* -X111496450Y-108023800D01* -X110923800Y-108023800D01* -X110776200Y-108023800D01* -X110203550Y-108023800D01* -X110146400Y-108080950D01* -X110145294Y-108375000D01* -X106582764Y-108375000D01* -X106403882Y-108196118D01* -X106392334Y-108186642D01* -X106379160Y-108179600D01* -X106364866Y-108175264D01* -X106350000Y-108173800D01* -X104076200Y-108173800D01* -X104076200Y-107976200D01* -X104650000Y-107976200D01* -X104664866Y-107974736D01* -X104679160Y-107970400D01* -X104692334Y-107963358D01* -X104703882Y-107953882D01* -X105181564Y-107476200D01* -X107689402Y-107476200D01* -X107725000Y-107479706D01* -X108175000Y-107479706D01* -X108210598Y-107476200D01* -X108503957Y-107476200D01* -X108489792Y-107510397D01* -X108471400Y-107602862D01* -X108471400Y-107697138D01* -X108489792Y-107789603D01* -X108525870Y-107876702D01* -X108578247Y-107955090D01* -X108644910Y-108021753D01* -X108723298Y-108074130D01* -X108810397Y-108110208D01* -X108902862Y-108128600D01* -X108997138Y-108128600D01* -X109089603Y-108110208D01* -X109176702Y-108074130D01* -X109255090Y-108021753D01* -X109321753Y-107955090D01* -X109374130Y-107876702D01* -X109410208Y-107789603D01* -X109428600Y-107697138D01* -X109428600Y-107602862D01* -X109413113Y-107525000D01* -X110145294Y-107525000D01* -X110146400Y-107819050D01* -X110203550Y-107876200D01* -X110776200Y-107876200D01* -X110776200Y-107353550D01* -X110923800Y-107353550D01* -X110923800Y-107876200D01* -X111496450Y-107876200D01* -X111553600Y-107819050D01* -X111554706Y-107525000D01* -X111550292Y-107480187D01* -X111537221Y-107437095D01* -X111515994Y-107397382D01* -X111487427Y-107362573D01* -X111452618Y-107334006D01* -X111412905Y-107312779D01* -X111369813Y-107299708D01* -X111325000Y-107295294D01* -X110980950Y-107296400D01* -X110923800Y-107353550D01* -X110776200Y-107353550D01* -X110719050Y-107296400D01* -X110375000Y-107295294D01* -X110330187Y-107299708D01* -X110287095Y-107312779D01* -X110247382Y-107334006D01* -X110212573Y-107362573D01* -X110184006Y-107397382D01* -X110162779Y-107437095D01* -X110149708Y-107480187D01* -X110145294Y-107525000D01* -X109413113Y-107525000D01* -X109410208Y-107510397D01* -X109374130Y-107423298D01* -X109368843Y-107415386D01* -X109408137Y-107383137D01* -X109449141Y-107333175D01* -X109479609Y-107276173D01* -X109498371Y-107214322D01* -X109501192Y-107185684D01* -X109560397Y-107210208D01* -X109652862Y-107228600D01* -X109747138Y-107228600D01* -X109839603Y-107210208D01* -X109926702Y-107174130D01* -X110005090Y-107121753D01* -X110071753Y-107055090D01* -X110124130Y-106976702D01* -X110160208Y-106889603D01* -X110178600Y-106797138D01* -X110178600Y-106702862D01* -X110160208Y-106610397D01* -X110124130Y-106523298D01* -X110071753Y-106444910D01* -X110005090Y-106378247D01* -X109926702Y-106325870D01* -X109839603Y-106289792D01* -X109747138Y-106271400D01* -X109652862Y-106271400D01* -X109650572Y-106271856D01* -X109623941Y-106250000D01* -X109650572Y-106228144D01* -X109652862Y-106228600D01* -X109747138Y-106228600D01* -X109839603Y-106210208D01* -X109926702Y-106174130D01* -X110005090Y-106121753D01* -X110071753Y-106055090D01* -X110124130Y-105976702D01* -X110160208Y-105889603D01* -X110178600Y-105797138D01* -X110178600Y-105702862D01* -X110160208Y-105610397D01* -X110124130Y-105523298D01* -X110071753Y-105444910D01* -X110005090Y-105378247D01* -X109926702Y-105325870D01* -X109839603Y-105289792D01* -X109747138Y-105271400D01* -X109652862Y-105271400D01* -X109560397Y-105289792D01* -X109501192Y-105314316D01* -X109498371Y-105285678D01* -X109479609Y-105223827D01* -X109449141Y-105166825D01* -X109408137Y-105116863D01* -X109368843Y-105084614D01* -X109374130Y-105076702D01* -X109410208Y-104989603D01* -X109428600Y-104897138D01* -X109428600Y-104802862D01* -X109410208Y-104710397D01* -X109385191Y-104650000D01* -X109465065Y-104650000D01* -X109474383Y-104744606D01* -X109501978Y-104835577D01* -X109546791Y-104919415D01* -X109607099Y-104992901D01* -X109680585Y-105053209D01* -X109764423Y-105098022D01* -X109855394Y-105125617D01* -X109926293Y-105132600D01* -X110114053Y-105132600D01* -X110128955Y-105181725D01* -X110169819Y-105258176D01* -X110221400Y-105321029D01* -X110221400Y-105661912D01* -X110227456Y-105692356D01* -X110230496Y-105723226D01* -X110239499Y-105752906D01* -X110245556Y-105783356D01* -X110257437Y-105812041D01* -X110266440Y-105841718D01* -X110281059Y-105869068D01* -X110292941Y-105897754D01* -X110310192Y-105923571D01* -X110324810Y-105950920D01* -X110344486Y-105974896D01* -X110361734Y-106000709D01* -X110383681Y-106022656D01* -X110403362Y-106046638D01* -X110427346Y-106066321D01* -X110449291Y-106088266D01* -X110475100Y-106105511D01* -X110499079Y-106125190D01* -X110526433Y-106139811D01* -X110552246Y-106157059D01* -X110580928Y-106168939D01* -X110608281Y-106183560D01* -X110637962Y-106192564D01* -X110666644Y-106204444D01* -X110697091Y-106210500D01* -X110726773Y-106219504D01* -X110757645Y-106222545D01* -X110788088Y-106228600D01* -X110819125Y-106228600D01* -X110850000Y-106231641D01* -X110880875Y-106228600D01* -X110911912Y-106228600D01* -X110942356Y-106222545D01* -X110973226Y-106219504D01* -X111002906Y-106210501D01* -X111033356Y-106204444D01* -X111062041Y-106192563D01* -X111091718Y-106183560D01* -X111119068Y-106168941D01* -X111147754Y-106157059D01* -X111173571Y-106139808D01* -X111200920Y-106125190D01* -X111224896Y-106105514D01* -X111250709Y-106088266D01* -X111272656Y-106066319D01* -X111296638Y-106046638D01* -X111316321Y-106022654D01* -X111338266Y-106000709D01* -X111355511Y-105974900D01* -X111375190Y-105950921D01* -X111389811Y-105923567D01* -X111407059Y-105897754D01* -X111418939Y-105869072D01* -X111433560Y-105841719D01* -X111442564Y-105812038D01* -X111454444Y-105783356D01* -X111460500Y-105752909D01* -X111469504Y-105723227D01* -X111472545Y-105692355D01* -X111478600Y-105661912D01* -X111478600Y-105156819D01* -X111485947Y-105132600D01* -X111773707Y-105132600D01* -X111844606Y-105125617D01* -X111917188Y-105103600D01* -X112006680Y-105103600D01* -X111973303Y-105144269D01* -X111941495Y-105203778D01* -X111921908Y-105268349D01* -X111915294Y-105335500D01* -X111915294Y-105564500D01* -X111921908Y-105631651D01* -X111941495Y-105696222D01* -X111973303Y-105755731D01* -X112016110Y-105807890D01* -X112067420Y-105850000D01* -X112016110Y-105892110D01* -X111973303Y-105944269D01* -X111941495Y-106003778D01* -X111921908Y-106068349D01* -X111915294Y-106135500D01* -X111915294Y-106364500D01* -X111921908Y-106431651D01* -X111941495Y-106496222D01* -X111973303Y-106555731D01* -X112016110Y-106607890D01* -X112032931Y-106621695D01* -X112017382Y-106630006D01* -X111982573Y-106658573D01* -X111954006Y-106693382D01* -X111932779Y-106733095D01* -X111919708Y-106776187D01* -X111915294Y-106821000D01* -X111916400Y-106919050D01* -X111973550Y-106976200D01* -X112826200Y-106976200D01* -X112826200Y-106956200D01* -X112973800Y-106956200D01* -X112973800Y-106976200D01* -X113826450Y-106976200D01* -X113883600Y-106919050D01* -X113884706Y-106821000D01* -X113880292Y-106776187D01* -X113867221Y-106733095D01* -X113845994Y-106693382D01* -X113817427Y-106658573D01* -X113782618Y-106630006D01* -X113767069Y-106621695D01* -X113783890Y-106607890D01* -X113826697Y-106555731D01* -X113827836Y-106553600D01* -X114316301Y-106553600D01* -X114325870Y-106576702D01* -X114378247Y-106655090D01* -X114444910Y-106721753D01* -X114523298Y-106774130D01* -X114610397Y-106810208D01* -X114702862Y-106828600D01* -X114797138Y-106828600D01* -X114889603Y-106810208D01* -X114976702Y-106774130D01* -X115055090Y-106721753D01* -X115121753Y-106655090D01* -X115174130Y-106576702D01* -X115210208Y-106489603D01* -X115228600Y-106397138D01* -X115228600Y-106302862D01* -X115228522Y-106302468D01* -X122067400Y-106302468D01* -X122067400Y-106397532D01* -X122085946Y-106490769D01* -X122122326Y-106578597D01* -X122175140Y-106657640D01* -X122242360Y-106724860D01* -X122321403Y-106777674D01* -X122409231Y-106814054D01* -X122502468Y-106832600D01* -X122597532Y-106832600D01* -X122690769Y-106814054D01* -X122778597Y-106777674D01* -X122857640Y-106724860D01* -X122924860Y-106657640D01* -X122977674Y-106578597D01* -X122988028Y-106553600D01* -X123472164Y-106553600D01* -X123473303Y-106555731D01* -X123506680Y-106596400D01* -X123405556Y-106596400D01* -X123389603Y-106589792D01* -X123297138Y-106571400D01* -X123202862Y-106571400D01* -X123110397Y-106589792D01* -X123023298Y-106625870D01* -X122944910Y-106678247D01* -X122878247Y-106744910D01* -X122825870Y-106823298D01* -X122789792Y-106910397D01* -X122771400Y-107002862D01* -X122771400Y-107097138D01* -X122789792Y-107189603D01* -X122825870Y-107276702D01* -X122878247Y-107355090D01* -X122944910Y-107421753D01* -X123023298Y-107474130D01* -X123110397Y-107510208D01* -X123202862Y-107528600D01* -X123297138Y-107528600D01* -X123389603Y-107510208D01* -X123405556Y-107503600D01* -X123506680Y-107503600D01* -X123473303Y-107544269D01* -X123472164Y-107546400D01* -X122983699Y-107546400D01* -X122974130Y-107523298D01* -X122921753Y-107444910D01* -X122855090Y-107378247D01* -X122776702Y-107325870D01* -X122689603Y-107289792D01* -X122597138Y-107271400D01* -X122502862Y-107271400D01* -X122410397Y-107289792D01* -X122323298Y-107325870D01* -X122244910Y-107378247D01* -X122178247Y-107444910D01* -X122125870Y-107523298D01* -X122089792Y-107610397D01* -X122071400Y-107702862D01* -X122071400Y-107797138D01* -X122089792Y-107889603D01* -X122125870Y-107976702D01* -X122178247Y-108055090D01* -X122244910Y-108121753D01* -X122323298Y-108174130D01* -X122410397Y-108210208D01* -X122502862Y-108228600D01* -X122597138Y-108228600D01* -X122689603Y-108210208D01* -X122776702Y-108174130D01* -X122807427Y-108153600D01* -X123472164Y-108153600D01* -X123473303Y-108155731D01* -X123516110Y-108207890D01* -X123567420Y-108250000D01* -X123516110Y-108292110D01* -X123473303Y-108344269D01* -X123472164Y-108346400D01* -X122814627Y-108346400D01* -X122778597Y-108322326D01* -X122690769Y-108285946D01* -X122597532Y-108267400D01* -X122502468Y-108267400D01* -X122409231Y-108285946D01* -X122321403Y-108322326D01* -X122242360Y-108375140D01* -X122175140Y-108442360D01* -X122122326Y-108521403D01* -X122085946Y-108609231D01* -X122067400Y-108702468D01* -X122067400Y-108797532D01* -X122085946Y-108890769D01* -X122122326Y-108978597D01* -X122175140Y-109057640D01* -X122242360Y-109124860D01* -X122321403Y-109177674D01* -X122409231Y-109214054D01* -X122502468Y-109232600D01* -X122597532Y-109232600D01* -X122690769Y-109214054D01* -X122778597Y-109177674D01* -X122857640Y-109124860D01* -X122924860Y-109057640D01* -X122977674Y-108978597D01* -X122988028Y-108953600D01* -X123472164Y-108953600D01* -X123473303Y-108955731D01* -X123516110Y-109007890D01* -X123532931Y-109021695D01* -X123517382Y-109030006D01* -X123482573Y-109058573D01* -X123454006Y-109093382D01* -X123432779Y-109133095D01* -X123419708Y-109176187D01* -X123415294Y-109221000D01* -X123416400Y-109319050D01* -X123473550Y-109376200D01* -X124326200Y-109376200D01* -X124326200Y-109356200D01* -X124473800Y-109356200D01* -X124473800Y-109376200D01* -X125326450Y-109376200D01* -X125383600Y-109319050D01* -X125384706Y-109221000D01* -X125380292Y-109176187D01* -X125367221Y-109133095D01* -X125345994Y-109093382D01* -X125317427Y-109058573D01* -X125282618Y-109030006D01* -X125267069Y-109021695D01* -X125283890Y-109007890D01* -X125310882Y-108975000D01* -X125745294Y-108975000D01* -X125749708Y-109019813D01* -X125762779Y-109062905D01* -X125784006Y-109102618D01* -X125812573Y-109137427D01* -X125847382Y-109165994D01* -X125887095Y-109187221D01* -X125930187Y-109200292D01* -X125975000Y-109204706D01* -X126319050Y-109203600D01* -X126376200Y-109146450D01* -X126376200Y-108623800D01* -X126523800Y-108623800D01* -X126523800Y-109146450D01* -X126580950Y-109203600D01* -X126925000Y-109204706D01* -X126969813Y-109200292D01* -X127012905Y-109187221D01* -X127052618Y-109165994D01* -X127087427Y-109137427D01* -X127115994Y-109102618D01* -X127137221Y-109062905D01* -X127150292Y-109019813D01* -X127154706Y-108975000D01* -X127153600Y-108680950D01* -X127096450Y-108623800D01* -X126523800Y-108623800D01* -X126376200Y-108623800D01* -X125803550Y-108623800D01* -X125746400Y-108680950D01* -X125745294Y-108975000D01* -X125310882Y-108975000D01* -X125326697Y-108955731D01* -X125358505Y-108896222D01* -X125378092Y-108831651D01* -X125384706Y-108764500D01* -X125384706Y-108535500D01* -X125378092Y-108468349D01* -X125358505Y-108403778D01* -X125326697Y-108344269D01* -X125283890Y-108292110D01* -X125232580Y-108250000D01* -X125283890Y-108207890D01* -X125326697Y-108155731D01* -X125343122Y-108125000D01* -X125745294Y-108125000D01* -X125746400Y-108419050D01* -X125803550Y-108476200D01* -X126376200Y-108476200D01* -X126376200Y-107953550D01* -X126523800Y-107953550D01* -X126523800Y-108476200D01* -X127096450Y-108476200D01* -X127153600Y-108419050D01* -X127154706Y-108125000D01* -X127150292Y-108080187D01* -X127137221Y-108037095D01* -X127115994Y-107997382D01* -X127087427Y-107962573D01* -X127052618Y-107934006D01* -X127012905Y-107912779D01* -X126969813Y-107899708D01* -X126925000Y-107895294D01* -X126580950Y-107896400D01* -X126523800Y-107953550D01* -X126376200Y-107953550D01* -X126319050Y-107896400D01* -X125975000Y-107895294D01* -X125930187Y-107899708D01* -X125887095Y-107912779D01* -X125847382Y-107934006D01* -X125812573Y-107962573D01* -X125784006Y-107997382D01* -X125762779Y-108037095D01* -X125749708Y-108080187D01* -X125745294Y-108125000D01* -X125343122Y-108125000D01* -X125358505Y-108096222D01* -X125378092Y-108031651D01* -X125384706Y-107964500D01* -X125384706Y-107735500D01* -X125378092Y-107668349D01* -X125358505Y-107603778D01* -X125326697Y-107544269D01* -X125293320Y-107503600D01* -X125382812Y-107503600D01* -X125455394Y-107525617D01* -X125526293Y-107532600D01* -X125839863Y-107532600D01* -X125874813Y-107575187D01* -X125941824Y-107630181D01* -X126018275Y-107671045D01* -X126101230Y-107696209D01* -X126187500Y-107704706D01* -X126712500Y-107704706D01* -X126798770Y-107696209D01* -X126856819Y-107678600D01* -X126939627Y-107678600D01* -X126961730Y-107700704D01* -X126961734Y-107700709D01* -X127049291Y-107788266D01* -X127075109Y-107805517D01* -X127099079Y-107825189D01* -X127126427Y-107839807D01* -X127152246Y-107857059D01* -X127180930Y-107868940D01* -X127208281Y-107883560D01* -X127237961Y-107892563D01* -X127266644Y-107904444D01* -X127297096Y-107910501D01* -X127326772Y-107919503D01* -X127357638Y-107922543D01* -X127388088Y-107928600D01* -X127419126Y-107928600D01* -X127449999Y-107931641D01* -X127480872Y-107928600D01* -X127511912Y-107928600D01* -X127542364Y-107922543D01* -X127573226Y-107919503D01* -X127602898Y-107910502D01* -X127633356Y-107904444D01* -X127662045Y-107892561D01* -X127691717Y-107883560D01* -X127719062Y-107868943D01* -X127747754Y-107857059D01* -X127773578Y-107839804D01* -X127800920Y-107825189D01* -X127824888Y-107805519D01* -X127850709Y-107788266D01* -X127872665Y-107766310D01* -X127896637Y-107746637D01* -X127916310Y-107722665D01* -X127938266Y-107700709D01* -X127955519Y-107674888D01* -X127975189Y-107650920D01* -X127989804Y-107623578D01* -X128007059Y-107597754D01* -X128018943Y-107569062D01* -X128033560Y-107541717D01* -X128042561Y-107512045D01* -X128054444Y-107483356D01* -X128060502Y-107452898D01* -X128069503Y-107423226D01* -X128072543Y-107392364D01* -X128078600Y-107361912D01* -X128078600Y-107330872D01* -X128081641Y-107299999D01* -X128078600Y-107269126D01* -X128078600Y-107238088D01* -X128072543Y-107207638D01* -X128069503Y-107176772D01* -X128060501Y-107147096D01* -X128054444Y-107116644D01* -X128042563Y-107087961D01* -X128033560Y-107058281D01* -X128018940Y-107030930D01* -X128007059Y-107002246D01* -X127989807Y-106976427D01* -X127975189Y-106949079D01* -X127955517Y-106925109D01* -X127938266Y-106899291D01* -X127850709Y-106811734D01* -X127850704Y-106811730D01* -X127666326Y-106627352D01* -X127646638Y-106603362D01* -X127550921Y-106524810D01* -X127441719Y-106466440D01* -X127323227Y-106430496D01* -X127230875Y-106421400D01* -X127200000Y-106418359D01* -X127169125Y-106421400D01* -X126978600Y-106421400D01* -X126978600Y-106147937D01* -X126973508Y-106122336D01* -X126970951Y-106096376D01* -X126963380Y-106071418D01* -X126958287Y-106045813D01* -X126948296Y-106021691D01* -X126940725Y-105996735D01* -X126928431Y-105973735D01* -X126918440Y-105949614D01* -X126903936Y-105927907D01* -X126891641Y-105904905D01* -X126875094Y-105884743D01* -X126860591Y-105863037D01* -X126842133Y-105844579D01* -X126825585Y-105824415D01* -X126805421Y-105807867D01* -X126786963Y-105789409D01* -X126765257Y-105774906D01* -X126745095Y-105758359D01* -X126722093Y-105746064D01* -X126700386Y-105731560D01* -X126676265Y-105721569D01* -X126653265Y-105709275D01* -X126628309Y-105701704D01* -X126604187Y-105691713D01* -X126578582Y-105686620D01* -X126553624Y-105679049D01* -X126527664Y-105676492D01* -X126502063Y-105671400D01* -X126475962Y-105671400D01* -X126450000Y-105668843D01* -X126424038Y-105671400D01* -X126397937Y-105671400D01* -X126372335Y-105676492D01* -X126346377Y-105679049D01* -X126321420Y-105686620D01* -X126295813Y-105691713D01* -X126271689Y-105701706D01* -X126246736Y-105709275D01* -X126223739Y-105721567D01* -X126199614Y-105731560D01* -X126177903Y-105746067D01* -X126154906Y-105758359D01* -X126134748Y-105774902D01* -X126113037Y-105789409D01* -X126094575Y-105807871D01* -X126074416Y-105824415D01* -X126057872Y-105844574D01* -X126039409Y-105863037D01* -X126024901Y-105884749D01* -X126008360Y-105904905D01* -X125996069Y-105927899D01* -X125981560Y-105949614D01* -X125971565Y-105973743D01* -X125959276Y-105996735D01* -X125951708Y-106021682D01* -X125941713Y-106045813D01* -X125936618Y-106071427D01* -X125929050Y-106096376D01* -X125926493Y-106122329D01* -X125921400Y-106147937D01* -X125921400Y-106486580D01* -X125874813Y-106524813D01* -X125839863Y-106567400D01* -X125526293Y-106567400D01* -X125455394Y-106574383D01* -X125382812Y-106596400D01* -X125293320Y-106596400D01* -X125326697Y-106555731D01* -X125358505Y-106496222D01* -X125378092Y-106431651D01* -X125384706Y-106364500D01* -X125384706Y-106135500D01* -X125378092Y-106068349D01* -X125358505Y-106003778D01* -X125326697Y-105944269D01* -X125283890Y-105892110D01* -X125232580Y-105850000D01* -X125283890Y-105807890D01* -X125326697Y-105755731D01* -X125358505Y-105696222D01* -X125378092Y-105631651D01* -X125384706Y-105564500D01* -X125384706Y-105335500D01* -X125378092Y-105268349D01* -X125358505Y-105203778D01* -X125326697Y-105144269D01* -X125283890Y-105092110D01* -X125267069Y-105078305D01* -X125282618Y-105069994D01* -X125317427Y-105041427D01* -X125345994Y-105006618D01* -X125362894Y-104975000D01* -X125745294Y-104975000D01* -X125749708Y-105019813D01* -X125762779Y-105062905D01* -X125784006Y-105102618D01* -X125812573Y-105137427D01* -X125847382Y-105165994D01* -X125887095Y-105187221D01* -X125930187Y-105200292D01* -X125975000Y-105204706D01* -X126319050Y-105203600D01* -X126376200Y-105146450D01* -X126376200Y-104623800D01* -X126523800Y-104623800D01* -X126523800Y-105146450D01* -X126580950Y-105203600D01* -X126925000Y-105204706D01* -X126969813Y-105200292D01* -X127012905Y-105187221D01* -X127052618Y-105165994D01* -X127087427Y-105137427D01* -X127115994Y-105102618D01* -X127137221Y-105062905D01* -X127150292Y-105019813D01* -X127154706Y-104975000D01* -X127153600Y-104680950D01* -X127096450Y-104623800D01* -X126523800Y-104623800D01* -X126376200Y-104623800D01* -X125803550Y-104623800D01* -X125746400Y-104680950D01* -X125745294Y-104975000D01* -X125362894Y-104975000D01* -X125367221Y-104966905D01* -X125380292Y-104923813D01* -X125384706Y-104879000D01* -X125383600Y-104780950D01* -X125326450Y-104723800D01* -X124473800Y-104723800D01* -X124473800Y-104743800D01* -X124326200Y-104743800D01* -X124326200Y-104723800D01* -X123473550Y-104723800D01* -X123416400Y-104780950D01* -X123415294Y-104879000D01* -X123419708Y-104923813D01* -X123432779Y-104966905D01* -X123454006Y-105006618D01* -X123482573Y-105041427D01* -X123517382Y-105069994D01* -X123532931Y-105078305D01* -X123516110Y-105092110D01* -X123473303Y-105144269D01* -X123472164Y-105146400D01* -X122983699Y-105146400D01* -X122974130Y-105123298D01* -X122921753Y-105044910D01* -X122855090Y-104978247D01* -X122776702Y-104925870D01* -X122689603Y-104889792D01* -X122597138Y-104871400D01* -X122502862Y-104871400D01* -X122410397Y-104889792D01* -X122323298Y-104925870D01* -X122244910Y-104978247D01* -X122178247Y-105044910D01* -X122125870Y-105123298D01* -X122089792Y-105210397D01* -X122071400Y-105302862D01* -X122071400Y-105397138D01* -X122089792Y-105489603D01* -X122125870Y-105576702D01* -X122178247Y-105655090D01* -X122244910Y-105721753D01* -X122323298Y-105774130D01* -X122410397Y-105810208D01* -X122502862Y-105828600D01* -X122597138Y-105828600D01* -X122689603Y-105810208D01* -X122776702Y-105774130D01* -X122807427Y-105753600D01* -X123472164Y-105753600D01* -X123473303Y-105755731D01* -X123516110Y-105807890D01* -X123567420Y-105850000D01* -X123516110Y-105892110D01* -X123473303Y-105944269D01* -X123472164Y-105946400D01* -X122814627Y-105946400D01* -X122778597Y-105922326D01* -X122690769Y-105885946D01* -X122597532Y-105867400D01* -X122502468Y-105867400D01* -X122409231Y-105885946D01* -X122321403Y-105922326D01* -X122242360Y-105975140D01* -X122175140Y-106042360D01* -X122122326Y-106121403D01* -X122085946Y-106209231D01* -X122067400Y-106302468D01* -X115228522Y-106302468D01* -X115210208Y-106210397D01* -X115174130Y-106123298D01* -X115121753Y-106044910D01* -X115055090Y-105978247D01* -X114976702Y-105925870D01* -X114889603Y-105889792D01* -X114797138Y-105871400D01* -X114702862Y-105871400D01* -X114610397Y-105889792D01* -X114523298Y-105925870D01* -X114492573Y-105946400D01* -X113827836Y-105946400D01* -X113826697Y-105944269D01* -X113783890Y-105892110D01* -X113732580Y-105850000D01* -X113783890Y-105807890D01* -X113826697Y-105755731D01* -X113827836Y-105753600D01* -X114492573Y-105753600D01* -X114523298Y-105774130D01* -X114610397Y-105810208D01* -X114702862Y-105828600D01* -X114797138Y-105828600D01* -X114889603Y-105810208D01* -X114976702Y-105774130D01* -X115055090Y-105721753D01* -X115121753Y-105655090D01* -X115174130Y-105576702D01* -X115210208Y-105489603D01* -X115228600Y-105397138D01* -X115228600Y-105302862D01* -X115210208Y-105210397D01* -X115174130Y-105123298D01* -X115121753Y-105044910D01* -X115055090Y-104978247D01* -X114976702Y-104925870D01* -X114889603Y-104889792D01* -X114797138Y-104871400D01* -X114702862Y-104871400D01* -X114610397Y-104889792D01* -X114523298Y-104925870D01* -X114444910Y-104978247D01* -X114378247Y-105044910D01* -X114325870Y-105123298D01* -X114316301Y-105146400D01* -X113827836Y-105146400D01* -X113826697Y-105144269D01* -X113793320Y-105103600D01* -X113894444Y-105103600D01* -X113910397Y-105110208D01* -X114002862Y-105128600D01* -X114097138Y-105128600D01* -X114189603Y-105110208D01* -X114276702Y-105074130D01* -X114355090Y-105021753D01* -X114421753Y-104955090D01* -X114474130Y-104876702D01* -X114510208Y-104789603D01* -X114528600Y-104697138D01* -X114528600Y-104602862D01* -X114510208Y-104510397D01* -X114474130Y-104423298D01* -X114421753Y-104344910D01* -X114355090Y-104278247D01* -X114276702Y-104225870D01* -X114189603Y-104189792D01* -X114097138Y-104171400D01* -X114002862Y-104171400D01* -X113910397Y-104189792D01* -X113894444Y-104196400D01* -X113793320Y-104196400D01* -X113826697Y-104155731D01* -X113827836Y-104153600D01* -X114377251Y-104153600D01* -X114378247Y-104155090D01* -X114444910Y-104221753D01* -X114523298Y-104274130D01* -X114610397Y-104310208D01* -X114702862Y-104328600D01* -X114797138Y-104328600D01* -X114889603Y-104310208D01* -X114976702Y-104274130D01* -X115055090Y-104221753D01* -X115121753Y-104155090D01* -X115174130Y-104076702D01* -X115210208Y-103989603D01* -X115228600Y-103897138D01* -X115228600Y-103802862D01* -X115210208Y-103710397D01* -X115174130Y-103623298D01* -X115121753Y-103544910D01* -X115055090Y-103478247D01* -X114976702Y-103425870D01* -X114889603Y-103389792D01* -X114797138Y-103371400D01* -X114702862Y-103371400D01* -X114610397Y-103389792D01* -X114523298Y-103425870D01* -X114444910Y-103478247D01* -X114378247Y-103544910D01* -X114377251Y-103546400D01* -X113827836Y-103546400D01* -X113826697Y-103544269D01* -X113783890Y-103492110D01* -X113767069Y-103478305D01* -X113782618Y-103469994D01* -X113817427Y-103441427D01* -X113845994Y-103406618D01* -X113867221Y-103366905D01* -X113880292Y-103323813D01* -X113884706Y-103279000D01* -X113883600Y-103180950D01* -X113826450Y-103123800D01* -X112973800Y-103123800D01* -X112973800Y-103143800D01* -X112826200Y-103143800D01* -X112826200Y-103123800D01* -X111973550Y-103123800D01* -X111916400Y-103180950D01* -X111915294Y-103279000D01* -X111919708Y-103323813D01* -X111932779Y-103366905D01* -X111954006Y-103406618D01* -X111982573Y-103441427D01* -X112017382Y-103469994D01* -X112032931Y-103478305D01* -X112016110Y-103492110D01* -X111973303Y-103544269D01* -X111941495Y-103603778D01* -X111921908Y-103668349D01* -X111915294Y-103735500D01* -X111915294Y-103964500D01* -X111921908Y-104031651D01* -X111941495Y-104096222D01* -X111973303Y-104155731D01* -X112006680Y-104196400D01* -X111917188Y-104196400D01* -X111844606Y-104174383D01* -X111773707Y-104167400D01* -X111252818Y-104167400D01* -X111200921Y-104124810D01* -X111091719Y-104066440D01* -X110973227Y-104030496D01* -X110850000Y-104018359D01* -X110726774Y-104030496D01* -X110608282Y-104066440D01* -X110499080Y-104124810D01* -X110465476Y-104152388D01* -X110451230Y-104153791D01* -X110406367Y-104167400D01* -X109926293Y-104167400D01* -X109855394Y-104174383D01* -X109764423Y-104201978D01* -X109680585Y-104246791D01* -X109607099Y-104307099D01* -X109546791Y-104380585D01* -X109501978Y-104464423D01* -X109474383Y-104555394D01* -X109465065Y-104650000D01* -X109385191Y-104650000D01* -X109374130Y-104623298D01* -X109321753Y-104544910D01* -X109255090Y-104478247D01* -X109176702Y-104425870D01* -X109089603Y-104389792D01* -X108997138Y-104371400D01* -X108902862Y-104371400D01* -X108810397Y-104389792D01* -X108723298Y-104425870D01* -X108644910Y-104478247D01* -X108578247Y-104544910D01* -X108525870Y-104623298D01* -X108489792Y-104710397D01* -X108471400Y-104802862D01* -X108471400Y-104897138D01* -X108489792Y-104989603D01* -X108503957Y-105023800D01* -X108210598Y-105023800D01* -X108175000Y-105020294D01* -X107725000Y-105020294D01* -X107689402Y-105023800D01* -X107300000Y-105023800D01* -X107285134Y-105025264D01* -X107270840Y-105029600D01* -X107257666Y-105036642D01* -X107246118Y-105046118D01* -X106568436Y-105723800D01* -X104450000Y-105723800D01* -X104435134Y-105725264D01* -X104420840Y-105729600D01* -X104407666Y-105736642D01* -X104396118Y-105746118D01* -X103968436Y-106173800D01* -X103631564Y-106173800D01* -X103053882Y-105596118D01* -X103042334Y-105586642D01* -X103029160Y-105579600D01* -X103014866Y-105575264D01* -X103000000Y-105573800D01* -X102661913Y-105573800D01* -X102673851Y-105534445D01* -X102679706Y-105475000D01* -X103045294Y-105475000D01* -X103049708Y-105519813D01* -X103062779Y-105562905D01* -X103084006Y-105602618D01* -X103112573Y-105637427D01* -X103147382Y-105665994D01* -X103187095Y-105687221D01* -X103230187Y-105700292D01* -X103275000Y-105704706D01* -X103619050Y-105703600D01* -X103676200Y-105646450D01* -X103676200Y-105123800D01* -X103823800Y-105123800D01* -X103823800Y-105646450D01* -X103880950Y-105703600D01* -X104225000Y-105704706D01* -X104269813Y-105700292D01* -X104312905Y-105687221D01* -X104352618Y-105665994D01* -X104387427Y-105637427D01* -X104415994Y-105602618D01* -X104437221Y-105562905D01* -X104450292Y-105519813D01* -X104454706Y-105475000D01* -X104453600Y-105180950D01* -X104396450Y-105123800D01* -X103823800Y-105123800D01* -X103676200Y-105123800D01* -X103103550Y-105123800D01* -X103046400Y-105180950D01* -X103045294Y-105475000D01* -X102679706Y-105475000D01* -X102679706Y-105325000D01* -X102673851Y-105265555D01* -X102656512Y-105208394D01* -X102628354Y-105155714D01* -X102623665Y-105150000D01* -X102628354Y-105144286D01* -X102656512Y-105091606D01* -X102673851Y-105034445D01* -X102679706Y-104975000D01* -X102679706Y-104825000D01* -X102673851Y-104765555D01* -X102656512Y-104708394D01* -X102628354Y-104655714D01* -X102623665Y-104650000D01* -X102628354Y-104644286D01* -X102638662Y-104625000D01* -X103045294Y-104625000D01* -X103046400Y-104919050D01* -X103103550Y-104976200D01* -X103676200Y-104976200D01* -X103676200Y-104453550D01* -X103823800Y-104453550D01* -X103823800Y-104976200D01* -X104396450Y-104976200D01* -X104453600Y-104919050D01* -X104454706Y-104625000D01* -X104450292Y-104580187D01* -X104437221Y-104537095D01* -X104415994Y-104497382D01* -X104387427Y-104462573D01* -X104352618Y-104434006D01* -X104312905Y-104412779D01* -X104269813Y-104399708D01* -X104225000Y-104395294D01* -X103880950Y-104396400D01* -X103823800Y-104453550D01* -X103676200Y-104453550D01* -X103619050Y-104396400D01* -X103275000Y-104395294D01* -X103230187Y-104399708D01* -X103187095Y-104412779D01* -X103147382Y-104434006D01* -X103112573Y-104462573D01* -X103084006Y-104497382D01* -X103062779Y-104537095D01* -X103049708Y-104580187D01* -X103045294Y-104625000D01* -X102638662Y-104625000D01* -X102656512Y-104591606D01* -X102673851Y-104534445D01* -X102679706Y-104475000D01* -X102679706Y-104325000D01* -X102673851Y-104265555D01* -X102656512Y-104208394D01* -X102628354Y-104155714D01* -X102623665Y-104150000D01* -X102628354Y-104144286D01* -X102656512Y-104091606D01* -X102673851Y-104034445D01* -X102677461Y-103997796D01* -X102688561Y-104001163D01* -X102710397Y-104010208D01* -X102733581Y-104014820D01* -X102756178Y-104021674D01* -X102779679Y-104023989D01* -X102802862Y-104028600D01* -X103136580Y-104028600D01* -X103174813Y-104075187D01* -X103241824Y-104130181D01* -X103318275Y-104171045D01* -X103401230Y-104196209D01* -X103487500Y-104204706D01* -X104012500Y-104204706D01* -X104098770Y-104196209D01* -X104156819Y-104178600D01* -X104811912Y-104178600D01* -X104842355Y-104172545D01* -X104873227Y-104169504D01* -X104902909Y-104160500D01* -X104933356Y-104154444D01* -X104962038Y-104142564D01* -X104991719Y-104133560D01* -X105019072Y-104118939D01* -X105047754Y-104107059D01* -X105073567Y-104089811D01* -X105100921Y-104075190D01* -X105124900Y-104055511D01* -X105150709Y-104038266D01* -X105172654Y-104016321D01* -X105196638Y-103996638D01* -X105199583Y-103993050D01* -X105225441Y-104024559D01* -X105305711Y-104090434D01* -X105397290Y-104139385D01* -X105496659Y-104169528D01* -X105600000Y-104179706D01* -X106400000Y-104179706D01* -X106503341Y-104169528D01* -X106602710Y-104139385D01* -X106694289Y-104090434D01* -X106774559Y-104024559D01* -X106835747Y-103950000D01* -X107270294Y-103950000D01* -X107274708Y-103994813D01* -X107287779Y-104037905D01* -X107309006Y-104077618D01* -X107337573Y-104112427D01* -X107372382Y-104140994D01* -X107412095Y-104162221D01* -X107455187Y-104175292D01* -X107500000Y-104179706D01* -X108069050Y-104178600D01* -X108126200Y-104121450D01* -X108126200Y-103423800D01* -X108273800Y-103423800D01* -X108273800Y-104121450D01* -X108330950Y-104178600D01* -X108900000Y-104179706D01* -X108944813Y-104175292D01* -X108987905Y-104162221D01* -X109027618Y-104140994D01* -X109062427Y-104112427D01* -X109090994Y-104077618D01* -X109112221Y-104037905D01* -X109125292Y-103994813D01* -X109129706Y-103950000D01* -X109129176Y-103725000D01* -X110095294Y-103725000D01* -X110099708Y-103769813D01* -X110112779Y-103812905D01* -X110134006Y-103852618D01* -X110162573Y-103887427D01* -X110197382Y-103915994D01* -X110237095Y-103937221D01* -X110280187Y-103950292D01* -X110325000Y-103954706D01* -X110669050Y-103953600D01* -X110726200Y-103896450D01* -X110726200Y-103373800D01* -X110873800Y-103373800D01* -X110873800Y-103896450D01* -X110930950Y-103953600D01* -X111275000Y-103954706D01* -X111319813Y-103950292D01* -X111362905Y-103937221D01* -X111402618Y-103915994D01* -X111437427Y-103887427D01* -X111465994Y-103852618D01* -X111487221Y-103812905D01* -X111500292Y-103769813D01* -X111504706Y-103725000D01* -X111503600Y-103430950D01* -X111446450Y-103373800D01* -X110873800Y-103373800D01* -X110726200Y-103373800D01* -X110153550Y-103373800D01* -X110096400Y-103430950D01* -X110095294Y-103725000D01* -X109129176Y-103725000D01* -X109128600Y-103480950D01* -X109071450Y-103423800D01* -X108273800Y-103423800D01* -X108126200Y-103423800D01* -X107328550Y-103423800D01* -X107271400Y-103480950D01* -X107270294Y-103950000D01* -X106835747Y-103950000D01* -X106840434Y-103944289D01* -X106889385Y-103852710D01* -X106919528Y-103753341D01* -X106929706Y-103650000D01* -X106929706Y-103050000D01* -X106919528Y-102946659D01* -X106889385Y-102847290D01* -X106840434Y-102755711D01* -X106835748Y-102750000D01* -X107270294Y-102750000D01* -X107271400Y-103219050D01* -X107328550Y-103276200D01* -X108126200Y-103276200D01* -X108126200Y-102578550D01* -X108273800Y-102578550D01* -X108273800Y-103276200D01* -X109071450Y-103276200D01* -X109128600Y-103219050D01* -X109129411Y-102875000D01* -X110095294Y-102875000D01* -X110096400Y-103169050D01* -X110153550Y-103226200D01* -X110726200Y-103226200D01* -X110726200Y-102703550D01* -X110873800Y-102703550D01* -X110873800Y-103226200D01* -X111446450Y-103226200D01* -X111503600Y-103169050D01* -X111504706Y-102875000D01* -X111500292Y-102830187D01* -X111487221Y-102787095D01* -X111465994Y-102747382D01* -X111437427Y-102712573D01* -X111402618Y-102684006D01* -X111362905Y-102662779D01* -X111319813Y-102649708D01* -X111275000Y-102645294D01* -X110930950Y-102646400D01* -X110873800Y-102703550D01* -X110726200Y-102703550D01* -X110669050Y-102646400D01* -X110325000Y-102645294D01* -X110280187Y-102649708D01* -X110237095Y-102662779D01* -X110197382Y-102684006D01* -X110162573Y-102712573D01* -X110134006Y-102747382D01* -X110112779Y-102787095D01* -X110099708Y-102830187D01* -X110095294Y-102875000D01* -X109129411Y-102875000D01* -X109129706Y-102750000D01* -X109125292Y-102705187D01* -X109112221Y-102662095D01* -X109090994Y-102622382D01* -X109062427Y-102587573D01* -X109027618Y-102559006D01* -X108987905Y-102537779D01* -X108944813Y-102524708D01* -X108900000Y-102520294D01* -X108330950Y-102521400D01* -X108273800Y-102578550D01* -X108126200Y-102578550D01* -X108069050Y-102521400D01* -X107500000Y-102520294D01* -X107455187Y-102524708D01* -X107412095Y-102537779D01* -X107372382Y-102559006D01* -X107337573Y-102587573D01* -X107309006Y-102622382D01* -X107287779Y-102662095D01* -X107274708Y-102705187D01* -X107270294Y-102750000D01* -X106835748Y-102750000D01* -X106774559Y-102675441D01* -X106694289Y-102609566D01* -X106602710Y-102560615D01* -X106503341Y-102530472D01* -X106400000Y-102520294D01* -X105600000Y-102520294D01* -X105496659Y-102530472D01* -X105397290Y-102560615D01* -X105305711Y-102609566D01* -X105225441Y-102675441D01* -X105159566Y-102755711D01* -X105124454Y-102821400D01* -X104975962Y-102821400D01* -X104950000Y-102818843D01* -X104924038Y-102821400D01* -X104846376Y-102829049D01* -X104746735Y-102859275D01* -X104654905Y-102908359D01* -X104639014Y-102921400D01* -X104378600Y-102921400D01* -X104378600Y-102278600D01* -X105187724Y-102278600D01* -X105225441Y-102324559D01* -X105305711Y-102390434D01* -X105397290Y-102439385D01* -X105496659Y-102469528D01* -X105600000Y-102479706D01* -X106400000Y-102479706D01* -X106503341Y-102469528D01* -X106602710Y-102439385D01* -X106694289Y-102390434D01* -X106774559Y-102324559D01* -X106840434Y-102244289D01* -X106889385Y-102152710D01* -X106919528Y-102053341D01* -X106929706Y-101950000D01* -X106929706Y-101350000D01* -X107270294Y-101350000D01* -X107270294Y-101950000D01* -X107280472Y-102053341D01* -X107310615Y-102152710D01* -X107359566Y-102244289D01* -X107425441Y-102324559D01* -X107505711Y-102390434D01* -X107597290Y-102439385D01* -X107696659Y-102469528D01* -X107800000Y-102479706D01* -X108600000Y-102479706D01* -X108703341Y-102469528D01* -X108802710Y-102439385D01* -X108894289Y-102390434D01* -X108974559Y-102324559D01* -X109040434Y-102244289D01* -X109089385Y-102152710D01* -X109119528Y-102053341D01* -X109129706Y-101950000D01* -X109129706Y-101350000D01* -X109119528Y-101246659D01* -X109089385Y-101147290D01* -X109040434Y-101055711D01* -X108974559Y-100975441D01* -X108894289Y-100909566D01* -X108802710Y-100860615D01* -X108703341Y-100830472D01* -X108600000Y-100820294D01* -X108503600Y-100820294D01* -X108503600Y-100375580D01* -X108522382Y-100390994D01* -X108562095Y-100412221D01* -X108605187Y-100425292D01* -X108650000Y-100429706D01* -X108719050Y-100428600D01* -X108776200Y-100371450D01* -X108776200Y-99773800D01* -X108923800Y-99773800D01* -X108923800Y-100371450D01* -X108980950Y-100428600D01* -X109050000Y-100429706D01* -X109094813Y-100425292D01* -X109137905Y-100412221D01* -X109177618Y-100390994D01* -X109212427Y-100362427D01* -X109240994Y-100327618D01* -X109262221Y-100287905D01* -X109275292Y-100244813D01* -X109279706Y-100200000D01* -X109278600Y-99830950D01* -X109221450Y-99773800D01* -X108923800Y-99773800D01* -X108776200Y-99773800D01* -X108756200Y-99773800D01* -X108756200Y-99626200D01* -X108776200Y-99626200D01* -X108776200Y-99028550D01* -X108923800Y-99028550D01* -X108923800Y-99626200D01* -X109221450Y-99626200D01* -X109278600Y-99569050D01* -X109279706Y-99200000D01* -X109275292Y-99155187D01* -X109262221Y-99112095D01* -X109240994Y-99072382D01* -X109212427Y-99037573D01* -X109177618Y-99009006D01* -X109137905Y-98987779D01* -X109094813Y-98974708D01* -X109050000Y-98970294D01* -X108980950Y-98971400D01* -X108923800Y-99028550D01* -X108776200Y-99028550D01* -X108719050Y-98971400D01* -X108650000Y-98970294D01* -X108605187Y-98974708D01* -X108562095Y-98987779D01* -X108522382Y-99009006D01* -X108492511Y-99033521D01* -X108483175Y-99025859D01* -X108426173Y-98995391D01* -X108364322Y-98976629D01* -X108300000Y-98970294D01* -X108100000Y-98970294D01* -X108035678Y-98976629D01* -X107973827Y-98995391D01* -X107916825Y-99025859D01* -X107907489Y-99033521D01* -X107877618Y-99009006D01* -X107837905Y-98987779D01* -X107794813Y-98974708D01* -X107750000Y-98970294D01* -X107680950Y-98971400D01* -X107623800Y-99028550D01* -X107623800Y-99626200D01* -X107643800Y-99626200D01* -X107643800Y-99773800D01* -X107623800Y-99773800D01* -X107623800Y-100371450D01* -X107680950Y-100428600D01* -X107750000Y-100429706D01* -X107794813Y-100425292D01* -X107837905Y-100412221D01* -X107877618Y-100390994D01* -X107896400Y-100375580D01* -X107896401Y-100820294D01* -X107800000Y-100820294D01* -X107696659Y-100830472D01* -X107597290Y-100860615D01* -X107505711Y-100909566D01* -X107425441Y-100975441D01* -X107359566Y-101055711D01* -X107310615Y-101147290D01* -X107280472Y-101246659D01* -X107270294Y-101350000D01* -X106929706Y-101350000D01* -X106919528Y-101246659D01* -X106889385Y-101147290D01* -X106840434Y-101055711D01* -X106774559Y-100975441D01* -X106694289Y-100909566D01* -X106602710Y-100860615D01* -X106503341Y-100830472D01* -X106400000Y-100820294D01* -X105600000Y-100820294D01* -X105496659Y-100830472D01* -X105397290Y-100860615D01* -X105305711Y-100909566D01* -X105225441Y-100975441D01* -X105187724Y-101021400D01* -X105007954Y-101021400D01* -X105404135Y-100625220D01* -X105415716Y-100615716D01* -X105453655Y-100569487D01* -X105481846Y-100516744D01* -X105499206Y-100459516D01* -X105503600Y-100414904D01* -X105503600Y-100414903D01* -X105505068Y-100400000D01* -X105503600Y-100385096D01* -X105503600Y-100200000D01* -X107120294Y-100200000D01* -X107124708Y-100244813D01* -X107137779Y-100287905D01* -X107159006Y-100327618D01* -X107187573Y-100362427D01* -X107222382Y-100390994D01* -X107262095Y-100412221D01* -X107305187Y-100425292D01* -X107350000Y-100429706D01* -X107419050Y-100428600D01* -X107476200Y-100371450D01* -X107476200Y-99773800D01* -X107178550Y-99773800D01* -X107121400Y-99830950D01* -X107120294Y-100200000D01* -X105503600Y-100200000D01* -X105503600Y-100154614D01* -X105819050Y-100153600D01* -X105876200Y-100096450D01* -X105876200Y-99573800D01* -X106023800Y-99573800D01* -X106023800Y-100096450D01* -X106080950Y-100153600D01* -X106425000Y-100154706D01* -X106469813Y-100150292D01* -X106512905Y-100137221D01* -X106552618Y-100115994D01* -X106587427Y-100087427D01* -X106615994Y-100052618D01* -X106637221Y-100012905D01* -X106650292Y-99969813D01* -X106654706Y-99925000D01* -X106653600Y-99630950D01* -X106596450Y-99573800D01* -X106023800Y-99573800D01* -X105876200Y-99573800D01* -X105303550Y-99573800D01* -X105253353Y-99623997D01* -X105103600Y-99474246D01* -X105103600Y-99414903D01* -X105105068Y-99399999D01* -X105099206Y-99340483D01* -X105081845Y-99283254D01* -X105067551Y-99256512D01* -X105053655Y-99230513D01* -X105015716Y-99184284D01* -X105004138Y-99174783D01* -X104904355Y-99075000D01* -X105245294Y-99075000D01* -X105246400Y-99369050D01* -X105303550Y-99426200D01* -X105876200Y-99426200D01* -X105876200Y-98903550D01* -X106023800Y-98903550D01* -X106023800Y-99426200D01* -X106596450Y-99426200D01* -X106653600Y-99369050D01* -X106654235Y-99200000D01* -X107120294Y-99200000D01* -X107121400Y-99569050D01* -X107178550Y-99626200D01* -X107476200Y-99626200D01* -X107476200Y-99028550D01* -X107419050Y-98971400D01* -X107350000Y-98970294D01* -X107305187Y-98974708D01* -X107262095Y-98987779D01* -X107222382Y-99009006D01* -X107187573Y-99037573D01* -X107159006Y-99072382D01* -X107137779Y-99112095D01* -X107124708Y-99155187D01* -X107120294Y-99200000D01* -X106654235Y-99200000D01* -X106654706Y-99075000D01* -X106650292Y-99030187D01* -X106637221Y-98987095D01* -X106615994Y-98947382D01* -X106587427Y-98912573D01* -X106552618Y-98884006D01* -X106512905Y-98862779D01* -X106469813Y-98849708D01* -X106425000Y-98845294D01* -X106080950Y-98846400D01* -X106023800Y-98903550D01* -X105876200Y-98903550D01* -X105819050Y-98846400D01* -X105475000Y-98845294D01* -X105430187Y-98849708D01* -X105387095Y-98862779D01* -X105347382Y-98884006D01* -X105312573Y-98912573D01* -X105284006Y-98947382D01* -X105262779Y-98987095D01* -X105249708Y-99030187D01* -X105245294Y-99075000D01* -X104904355Y-99075000D01* -X104853600Y-99024245D01* -X104853600Y-97787500D01* -X105245294Y-97787500D01* -X105245294Y-98212500D01* -X105253791Y-98298770D01* -X105278955Y-98381725D01* -X105319819Y-98458176D01* -X105374813Y-98525187D01* -X105441824Y-98580181D01* -X105518275Y-98621045D01* -X105601230Y-98646209D01* -X105687500Y-98654706D01* -X106212500Y-98654706D01* -X106298770Y-98646209D01* -X106381725Y-98621045D01* -X106458176Y-98580181D01* -X106525187Y-98525187D01* -X106563420Y-98478600D01* -X106997138Y-98478600D01* -X107020321Y-98473989D01* -X107043822Y-98471674D01* -X107066419Y-98464820D01* -X107089603Y-98460208D01* -X107111439Y-98451163D01* -X107124930Y-98447071D01* -X107126629Y-98464322D01* -X107145391Y-98526173D01* -X107175859Y-98583175D01* -X107216863Y-98633137D01* -X107266825Y-98674141D01* -X107323827Y-98704609D01* -X107385678Y-98723371D01* -X107450000Y-98729706D01* -X107650000Y-98729706D01* -X107714322Y-98723371D01* -X107776173Y-98704609D01* -X107833175Y-98674141D01* -X107883137Y-98633137D01* -X107924141Y-98583175D01* -X107954609Y-98526173D01* -X107973371Y-98464322D01* -X107979706Y-98400000D01* -X107979706Y-98009818D01* -X107980673Y-98000000D01* -X107979706Y-97990182D01* -X107979706Y-97600000D01* -X107978600Y-97588770D01* -X107978600Y-97315911D01* -X108010208Y-97239603D01* -X108028600Y-97147138D01* -X108028600Y-97052862D01* -X108010208Y-96960397D01* -X107974130Y-96873298D01* -X107921753Y-96794910D01* -X107855090Y-96728247D01* -X107776702Y-96675870D01* -X107689603Y-96639792D01* -X107597138Y-96621400D01* -X107502862Y-96621400D01* -X107410397Y-96639792D01* -X107323298Y-96675870D01* -X107244910Y-96728247D01* -X107178247Y-96794910D01* -X107125870Y-96873298D01* -X107089792Y-96960397D01* -X107071400Y-97052862D01* -X107071400Y-97147138D01* -X107089792Y-97239603D01* -X107121401Y-97315912D01* -X107121400Y-97551859D01* -X107111439Y-97548837D01* -X107089603Y-97539792D01* -X107066419Y-97535180D01* -X107043822Y-97528326D01* -X107020321Y-97526011D01* -X106997138Y-97521400D01* -X106563420Y-97521400D01* -X106525187Y-97474813D01* -X106458176Y-97419819D01* -X106428600Y-97404010D01* -X106428600Y-96952862D01* -X106423989Y-96929679D01* -X106421674Y-96906178D01* -X106414820Y-96883581D01* -X106410208Y-96860397D01* -X106401163Y-96838561D01* -X106394308Y-96815962D01* -X106383174Y-96795133D01* -X106374130Y-96773298D01* -X106360999Y-96753646D01* -X106349866Y-96732818D01* -X106334886Y-96714564D01* -X106321753Y-96694910D01* -X106305037Y-96678194D01* -X106290058Y-96659942D01* -X106271806Y-96644963D01* -X106255090Y-96628247D01* -X106235436Y-96615114D01* -X106217182Y-96600134D01* -X106196354Y-96589001D01* -X106176702Y-96575870D01* -X106154867Y-96566826D01* -X106134038Y-96555692D01* -X106111439Y-96548837D01* -X106089603Y-96539792D01* -X106066419Y-96535180D01* -X106043822Y-96528326D01* -X106020321Y-96526011D01* -X105997138Y-96521400D01* -X105973504Y-96521400D01* -X105950000Y-96519085D01* -X105926497Y-96521400D01* -X105902862Y-96521400D01* -X105879678Y-96526011D01* -X105856179Y-96528326D01* -X105833584Y-96535180D01* -X105810397Y-96539792D01* -X105788558Y-96548838D01* -X105765963Y-96555692D01* -X105745137Y-96566824D01* -X105723298Y-96575870D01* -X105703642Y-96589004D01* -X105682819Y-96600134D01* -X105664570Y-96615111D01* -X105644910Y-96628247D01* -X105628190Y-96644967D01* -X105609943Y-96659942D01* -X105594968Y-96678189D01* -X105578247Y-96694910D01* -X105565110Y-96714571D01* -X105550135Y-96732818D01* -X105539006Y-96753638D01* -X105525870Y-96773298D01* -X105516822Y-96795141D01* -X105505693Y-96815962D01* -X105498841Y-96838552D01* -X105489792Y-96860397D01* -X105485179Y-96883591D01* -X105478327Y-96906178D01* -X105476013Y-96929669D01* -X105471400Y-96952862D01* -X105471400Y-97047138D01* -X105471401Y-97047143D01* -X105471401Y-97404010D01* -X105441824Y-97419819D01* -X105374813Y-97474813D01* -X105319819Y-97541824D01* -X105278955Y-97618275D01* -X105253791Y-97701230D01* -X105245294Y-97787500D01* -X104853600Y-97787500D01* -X104853600Y-97025754D01* -X106925755Y-94953600D01* -X106980960Y-94953600D01* -X106995391Y-95001173D01* -X107025859Y-95058175D01* -X107066863Y-95108137D01* -X107116825Y-95149141D01* -X107118432Y-95150000D01* -X107116825Y-95150859D01* -X107066863Y-95191863D01* -X107025859Y-95241825D01* -X106995391Y-95298827D01* -X106976629Y-95360678D01* -X106970294Y-95425000D01* -X106970294Y-95875000D01* -X106976629Y-95939322D01* -X106995391Y-96001173D01* -X107025859Y-96058175D01* -X107066863Y-96108137D01* -X107096401Y-96132379D01* -X107096401Y-96135086D01* -X107094932Y-96150000D01* -X107100794Y-96209515D01* -X107109011Y-96236600D01* -X107118155Y-96266744D01* -X107146346Y-96319487D01* -X107184285Y-96365716D01* -X107195867Y-96375221D01* -X107324774Y-96504128D01* -X107334284Y-96515716D01* -X107380513Y-96553655D01* -X107433256Y-96581846D01* -X107481234Y-96596400D01* -X107490484Y-96599206D01* -X107549999Y-96605068D01* -X107564903Y-96603600D01* -X108546401Y-96603600D01* -X108546400Y-97342622D01* -X108516863Y-97366863D01* -X108475859Y-97416825D01* -X108445391Y-97473827D01* -X108426629Y-97535678D01* -X108420294Y-97600000D01* -X108420294Y-98400000D01* -X108426629Y-98464322D01* -X108445391Y-98526173D01* -X108475859Y-98583175D01* -X108516863Y-98633137D01* -X108566825Y-98674141D01* -X108623827Y-98704609D01* -X108685678Y-98723371D01* -X108750000Y-98729706D01* -X108950000Y-98729706D01* -X109014322Y-98723371D01* -X109076173Y-98704609D01* -X109133175Y-98674141D01* -X109183137Y-98633137D01* -X109224141Y-98583175D01* -X109254609Y-98526173D01* -X109273371Y-98464322D01* -X109279706Y-98400000D01* -X109279706Y-97600000D01* -X109273371Y-97535678D01* -X109254609Y-97473827D01* -X109224141Y-97416825D01* -X109183137Y-97366863D01* -X109153600Y-97342622D01* -X109153600Y-96425754D01* -X109204129Y-96375225D01* -X109215716Y-96365716D01* -X109253655Y-96319487D01* -X109281846Y-96266744D01* -X109299206Y-96209516D01* -X109301792Y-96183257D01* -X109305068Y-96150001D01* -X109303600Y-96135096D01* -X109303600Y-96132378D01* -X109333137Y-96108137D01* -X109374141Y-96058175D01* -X109404609Y-96001173D01* -X109423371Y-95939322D01* -X109429706Y-95875000D01* -X109429706Y-95425000D01* -X109423371Y-95360678D01* -X109404609Y-95298827D01* -X109374141Y-95241825D01* -X109333137Y-95191863D01* -X109283175Y-95150859D01* -X109281568Y-95150000D01* -X109283175Y-95149141D01* -X109333137Y-95108137D01* -X109374141Y-95058175D01* -X109404609Y-95001173D01* -X109419040Y-94953600D01* -X109524246Y-94953600D01* -X109996400Y-95425755D01* -X109996400Y-95574245D01* -X109745872Y-95824774D01* -X109734284Y-95834284D01* -X109696345Y-95880514D01* -X109668154Y-95933257D01* -X109653819Y-95980513D01* -X109650794Y-95990485D01* -X109644932Y-96050000D01* -X109646400Y-96064904D01* -X109646401Y-100335086D01* -X109644932Y-100350000D01* -X109650794Y-100409515D01* -X109658308Y-100434284D01* -X109668155Y-100466744D01* -X109696346Y-100519487D01* -X109734285Y-100565716D01* -X109745867Y-100575221D01* -X110024778Y-100854133D01* -X110034284Y-100865716D01* -X110080513Y-100903655D01* -X110133256Y-100931846D01* -X110176395Y-100944932D01* -X110190484Y-100949206D01* -X110249999Y-100955068D01* -X110264903Y-100953600D01* -X111972164Y-100953600D01* -X111973303Y-100955731D01* -X112016110Y-101007890D01* -X112067420Y-101050000D01* -X112016110Y-101092110D01* -X111973303Y-101144269D01* -X111941495Y-101203778D01* -X111921908Y-101268349D01* -X111915294Y-101335500D01* -X111915294Y-101564500D01* -X111921908Y-101631651D01* -X111941495Y-101696222D01* -X111973303Y-101755731D01* -X112016110Y-101807890D01* -X112067420Y-101850000D01* -X112016110Y-101892110D01* -X111973303Y-101944269D01* -X111941495Y-102003778D01* -X111921908Y-102068349D01* -X111915294Y-102135500D01* -X111915294Y-102364500D01* -X111921908Y-102431651D01* -X111941495Y-102496222D01* -X111973303Y-102555731D01* -X112016110Y-102607890D01* -X112032931Y-102621695D01* -X112017382Y-102630006D01* -X111982573Y-102658573D01* -X111954006Y-102693382D01* -X111932779Y-102733095D01* -X111919708Y-102776187D01* -X111915294Y-102821000D01* -X111916400Y-102919050D01* -X111973550Y-102976200D01* -X112826200Y-102976200D01* -X112826200Y-102956200D01* -X112973800Y-102956200D01* -X112973800Y-102976200D01* -X113826450Y-102976200D01* -X113883600Y-102919050D01* -X113884706Y-102821000D01* -X113880292Y-102776187D01* -X113867221Y-102733095D01* -X113845994Y-102693382D01* -X113817427Y-102658573D01* -X113782618Y-102630006D01* -X113767069Y-102621695D01* -X113783890Y-102607890D01* -X113826697Y-102555731D01* -X113858505Y-102496222D01* -X113878092Y-102431651D01* -X113884706Y-102364500D01* -X113884706Y-102135500D01* -X113878092Y-102068349D01* -X113858505Y-102003778D01* -X113826697Y-101944269D01* -X113783890Y-101892110D01* -X113732580Y-101850000D01* -X113757086Y-101829888D01* -X113823298Y-101874130D01* -X113910397Y-101910208D01* -X114002862Y-101928600D01* -X114097138Y-101928600D01* -X114189603Y-101910208D01* -X114276702Y-101874130D01* -X114355090Y-101821753D01* -X114421753Y-101755090D01* -X114474130Y-101676702D01* -X114510208Y-101589603D01* -X114528600Y-101497138D01* -X114528600Y-101402862D01* -X114510208Y-101310397D01* -X114474130Y-101223298D01* -X114421753Y-101144910D01* -X114355090Y-101078247D01* -X114276702Y-101025870D01* -X114189603Y-100989792D01* -X114097138Y-100971400D01* -X114002862Y-100971400D01* -X113910397Y-100989792D01* -X113823298Y-101025870D01* -X113757086Y-101070112D01* -X113732580Y-101050000D01* -X113783890Y-101007890D01* -X113826697Y-100955731D01* -X113858505Y-100896222D01* -X113878092Y-100831651D01* -X113884706Y-100764500D01* -X113884706Y-100535500D01* -X113878092Y-100468349D01* -X113858505Y-100403778D01* -X113826697Y-100344269D01* -X113783890Y-100292110D01* -X113732580Y-100250000D01* -X113783890Y-100207890D01* -X113826697Y-100155731D01* -X113827836Y-100153600D01* -X114327251Y-100153600D01* -X114328247Y-100155090D01* -X114394910Y-100221753D01* -X114473298Y-100274130D01* -X114560397Y-100310208D01* -X114652862Y-100328600D01* -X114747138Y-100328600D01* -X114839603Y-100310208D01* -X114926702Y-100274130D01* -X115005090Y-100221753D01* -X115071753Y-100155090D01* -X115124130Y-100076702D01* -X115160208Y-99989603D01* -X115178600Y-99897138D01* -X115178600Y-99802862D01* -X115160208Y-99710397D01* -X115124130Y-99623298D01* -X115071753Y-99544910D01* -X115005090Y-99478247D01* -X114926702Y-99425870D01* -X114839603Y-99389792D01* -X114747138Y-99371400D01* -X114652862Y-99371400D01* -X114560397Y-99389792D01* -X114473298Y-99425870D01* -X114394910Y-99478247D01* -X114328247Y-99544910D01* -X114327251Y-99546400D01* -X113827836Y-99546400D01* -X113826697Y-99544269D01* -X113783890Y-99492110D01* -X113732580Y-99450000D01* -X113757086Y-99429888D01* -X113823298Y-99474130D01* -X113910397Y-99510208D01* -X114002862Y-99528600D01* -X114097138Y-99528600D01* -X114189603Y-99510208D01* -X114276702Y-99474130D01* -X114355090Y-99421753D01* -X114421753Y-99355090D01* -X114474130Y-99276702D01* -X114510208Y-99189603D01* -X114528600Y-99097138D01* -X114528600Y-99002862D01* -X114510208Y-98910397D01* -X114474130Y-98823298D01* -X114421753Y-98744910D01* -X114355090Y-98678247D01* -X114276702Y-98625870D01* -X114189603Y-98589792D01* -X114097138Y-98571400D01* -X114002862Y-98571400D01* -X113910397Y-98589792D01* -X113823298Y-98625870D01* -X113757086Y-98670112D01* -X113732580Y-98650000D01* -X113783890Y-98607890D01* -X113826697Y-98555731D01* -X113827836Y-98553600D01* -X114327251Y-98553600D01* -X114328247Y-98555090D01* -X114394910Y-98621753D01* -X114473298Y-98674130D01* -X114560397Y-98710208D01* -X114652862Y-98728600D01* -X114747138Y-98728600D01* -X114839603Y-98710208D01* -X114926702Y-98674130D01* -X115005090Y-98621753D01* -X115071753Y-98555090D01* -X115124130Y-98476702D01* -X115160208Y-98389603D01* -X115178600Y-98297138D01* -X115178600Y-98202862D01* -X115160208Y-98110397D01* -X115124130Y-98023298D01* -X115071753Y-97944910D01* -X115005090Y-97878247D01* -X114926702Y-97825870D01* -X114839603Y-97789792D01* -X114747138Y-97771400D01* -X114652862Y-97771400D01* -X114560397Y-97789792D01* -X114473298Y-97825870D01* -X114394910Y-97878247D01* -X114328247Y-97944910D01* -X114327251Y-97946400D01* -X113827836Y-97946400D01* -X113826697Y-97944269D01* -X113783890Y-97892110D01* -X113732580Y-97850000D01* -X113757086Y-97829888D01* -X113823298Y-97874130D01* -X113910397Y-97910208D01* -X114002862Y-97928600D01* -X114097138Y-97928600D01* -X114189603Y-97910208D01* -X114276702Y-97874130D01* -X114355090Y-97821753D01* -X114421753Y-97755090D01* -X114474130Y-97676702D01* -X114510208Y-97589603D01* -X114528600Y-97497138D01* -X114528600Y-97402862D01* -X114510208Y-97310397D01* -X114474130Y-97223298D01* -X114421753Y-97144910D01* -X114355090Y-97078247D01* -X114276702Y-97025870D01* -X114189603Y-96989792D01* -X114097138Y-96971400D01* -X114002862Y-96971400D01* -X113910397Y-96989792D01* -X113823298Y-97025870D01* -X113757086Y-97070112D01* -X113732580Y-97050000D01* -X113783890Y-97007890D01* -X113826697Y-96955731D01* -X113827836Y-96953600D01* -X114327251Y-96953600D01* -X114328247Y-96955090D01* -X114394910Y-97021753D01* -X114473298Y-97074130D01* -X114560397Y-97110208D01* -X114652862Y-97128600D01* -X114747138Y-97128600D01* -X114839603Y-97110208D01* -X114926702Y-97074130D01* -X115005090Y-97021753D01* -X115071753Y-96955090D01* -X115124130Y-96876702D01* -X115160208Y-96789603D01* -X115178600Y-96697138D01* -X115178600Y-96602862D01* -X115160208Y-96510397D01* -X115124130Y-96423298D01* -X115071753Y-96344910D01* -X115005090Y-96278247D01* -X114926702Y-96225870D01* -X114839603Y-96189792D01* -X114747138Y-96171400D01* -X114652862Y-96171400D01* -X114560397Y-96189792D01* -X114473298Y-96225870D01* -X114394910Y-96278247D01* -X114328247Y-96344910D01* -X114327251Y-96346400D01* -X113827836Y-96346400D01* -X113826697Y-96344269D01* -X113783890Y-96292110D01* -X113732580Y-96250000D01* -X113757086Y-96229888D01* -X113823298Y-96274130D01* -X113910397Y-96310208D01* -X114002862Y-96328600D01* -X114097138Y-96328600D01* -X114189603Y-96310208D01* -X114276702Y-96274130D01* -X114355090Y-96221753D01* -X114421753Y-96155090D01* -X114474130Y-96076702D01* -X114510208Y-95989603D01* -X114528600Y-95897138D01* -X114528600Y-95802862D01* -X114510208Y-95710397D01* -X114474130Y-95623298D01* -X114421753Y-95544910D01* -X114355090Y-95478247D01* -X114276702Y-95425870D01* -X114189603Y-95389792D01* -X114097138Y-95371400D01* -X114002862Y-95371400D01* -X113910397Y-95389792D01* -X113823298Y-95425870D01* -X113757086Y-95470112D01* -X113732580Y-95450000D01* -X113783890Y-95407890D01* -X113826697Y-95355731D01* -X113827836Y-95353600D01* -X114327251Y-95353600D01* -X114328247Y-95355090D01* -X114394910Y-95421753D01* -X114473298Y-95474130D01* -X114560397Y-95510208D01* -X114652862Y-95528600D01* -X114747138Y-95528600D01* -X114839603Y-95510208D01* -X114926702Y-95474130D01* -X115005090Y-95421753D01* -X115071753Y-95355090D01* -X115124130Y-95276702D01* -X115160208Y-95189603D01* -X115178600Y-95097138D01* -X115178600Y-95002862D01* -X115160208Y-94910397D01* -X115124130Y-94823298D01* -X115071753Y-94744910D01* -X115005090Y-94678247D01* -X114926702Y-94625870D01* -X114839603Y-94589792D01* -X114747138Y-94571400D01* -X114652862Y-94571400D01* -X114560397Y-94589792D01* -X114473298Y-94625870D01* -X114394910Y-94678247D01* -X114328247Y-94744910D01* -X114327251Y-94746400D01* -X113827836Y-94746400D01* -X113826697Y-94744269D01* -X113783890Y-94692110D01* -X113732580Y-94650000D01* -X113757086Y-94629888D01* -X113823298Y-94674130D01* -X113910397Y-94710208D01* -X114002862Y-94728600D01* -X114097138Y-94728600D01* -X114189603Y-94710208D01* -X114276702Y-94674130D01* -X114355090Y-94621753D01* -X114421753Y-94555090D01* -X114474130Y-94476702D01* -X114510208Y-94389603D01* -X114528600Y-94297138D01* -X114528600Y-94202862D01* -X114510208Y-94110397D01* -X114474130Y-94023298D01* -X114421753Y-93944910D01* -X114355090Y-93878247D01* -X114276702Y-93825870D01* -X114189603Y-93789792D01* -X114097138Y-93771400D01* -X114002862Y-93771400D01* -X113910397Y-93789792D01* -X113823298Y-93825870D01* -X113757086Y-93870112D01* -X113732580Y-93850000D01* -X113783890Y-93807890D01* -X113826697Y-93755731D01* -X113827836Y-93753600D01* -X114327251Y-93753600D01* -X114328247Y-93755090D01* -X114394910Y-93821753D01* -X114473298Y-93874130D01* -X114560397Y-93910208D01* -X114652862Y-93928600D01* -X114747138Y-93928600D01* -X114839603Y-93910208D01* -X114926702Y-93874130D01* -X115005090Y-93821753D01* -X115071753Y-93755090D01* -X115124130Y-93676702D01* -X115160208Y-93589603D01* -X115178600Y-93497138D01* -X115178600Y-93402862D01* -X121471400Y-93402862D01* -X121471400Y-93497138D01* -X121489792Y-93589603D01* -X121525870Y-93676702D01* -X121578247Y-93755090D01* -X121644910Y-93821753D01* -X121723298Y-93874130D01* -X121810397Y-93910208D01* -X121902862Y-93928600D01* -X121997138Y-93928600D01* -X122089603Y-93910208D01* -X122176702Y-93874130D01* -X122255090Y-93821753D01* -X122321753Y-93755090D01* -X122322749Y-93753600D01* -X123472164Y-93753600D01* -X123473303Y-93755731D01* -X123516110Y-93807890D01* -X123567420Y-93850000D01* -X123516110Y-93892110D01* -X123473303Y-93944269D01* -X123472164Y-93946400D01* -X122972749Y-93946400D01* -X122971753Y-93944910D01* -X122905090Y-93878247D01* -X122826702Y-93825870D01* -X122739603Y-93789792D01* -X122647138Y-93771400D01* -X122552862Y-93771400D01* -X122460397Y-93789792D01* -X122373298Y-93825870D01* -X122294910Y-93878247D01* -X122228247Y-93944910D01* -X122175870Y-94023298D01* -X122139792Y-94110397D01* -X122121400Y-94202862D01* -X122121400Y-94297138D01* -X122139792Y-94389603D01* -X122175870Y-94476702D01* -X122228247Y-94555090D01* -X122294910Y-94621753D01* -X122373298Y-94674130D01* -X122460397Y-94710208D01* -X122552862Y-94728600D01* -X122647138Y-94728600D01* -X122739603Y-94710208D01* -X122826702Y-94674130D01* -X122905090Y-94621753D01* -X122971753Y-94555090D01* -X122972749Y-94553600D01* -X123472164Y-94553600D01* -X123473303Y-94555731D01* -X123516110Y-94607890D01* -X123567420Y-94650000D01* -X123542914Y-94670112D01* -X123476702Y-94625870D01* -X123389603Y-94589792D01* -X123297138Y-94571400D01* -X123202862Y-94571400D01* -X123110397Y-94589792D01* -X123023298Y-94625870D01* -X122944910Y-94678247D01* -X122878247Y-94744910D01* -X122825870Y-94823298D01* -X122789792Y-94910397D01* -X122771400Y-95002862D01* -X122771400Y-95097138D01* -X122789792Y-95189603D01* -X122825870Y-95276702D01* -X122878247Y-95355090D01* -X122944910Y-95421753D01* -X123023298Y-95474130D01* -X123110397Y-95510208D01* -X123202862Y-95528600D01* -X123297138Y-95528600D01* -X123389603Y-95510208D01* -X123476702Y-95474130D01* -X123542914Y-95429888D01* -X123567420Y-95450000D01* -X123516110Y-95492110D01* -X123473303Y-95544269D01* -X123472164Y-95546400D01* -X122972749Y-95546400D01* -X122971753Y-95544910D01* -X122905090Y-95478247D01* -X122826702Y-95425870D01* -X122739603Y-95389792D01* -X122647138Y-95371400D01* -X122552862Y-95371400D01* -X122460397Y-95389792D01* -X122373298Y-95425870D01* -X122294910Y-95478247D01* -X122228247Y-95544910D01* -X122175870Y-95623298D01* -X122139792Y-95710397D01* -X122121400Y-95802862D01* -X122121400Y-95897138D01* -X122139792Y-95989603D01* -X122175870Y-96076702D01* -X122228247Y-96155090D01* -X122294910Y-96221753D01* -X122373298Y-96274130D01* -X122460397Y-96310208D01* -X122552862Y-96328600D01* -X122647138Y-96328600D01* -X122739603Y-96310208D01* -X122826702Y-96274130D01* -X122905090Y-96221753D01* -X122971753Y-96155090D01* -X122972749Y-96153600D01* -X123472164Y-96153600D01* -X123473303Y-96155731D01* -X123516110Y-96207890D01* -X123567420Y-96250000D01* -X123542914Y-96270112D01* -X123476702Y-96225870D01* -X123389603Y-96189792D01* -X123297138Y-96171400D01* -X123202862Y-96171400D01* -X123110397Y-96189792D01* -X123023298Y-96225870D01* -X122944910Y-96278247D01* -X122878247Y-96344910D01* -X122825870Y-96423298D01* -X122789792Y-96510397D01* -X122771400Y-96602862D01* -X122771400Y-96697138D01* -X122789792Y-96789603D01* -X122825870Y-96876702D01* -X122878247Y-96955090D01* -X122944910Y-97021753D01* -X123023298Y-97074130D01* -X123110397Y-97110208D01* -X123202862Y-97128600D01* -X123297138Y-97128600D01* -X123389603Y-97110208D01* -X123476702Y-97074130D01* -X123542914Y-97029888D01* -X123567420Y-97050000D01* -X123516110Y-97092110D01* -X123473303Y-97144269D01* -X123472164Y-97146400D01* -X122972749Y-97146400D01* -X122971753Y-97144910D01* -X122905090Y-97078247D01* -X122826702Y-97025870D01* -X122739603Y-96989792D01* -X122647138Y-96971400D01* -X122552862Y-96971400D01* -X122460397Y-96989792D01* -X122373298Y-97025870D01* -X122294910Y-97078247D01* -X122228247Y-97144910D01* -X122175870Y-97223298D01* -X122139792Y-97310397D01* -X122121400Y-97402862D01* -X122121400Y-97497138D01* -X122139792Y-97589603D01* -X122175870Y-97676702D01* -X122228247Y-97755090D01* -X122294910Y-97821753D01* -X122373298Y-97874130D01* -X122460397Y-97910208D01* -X122552862Y-97928600D01* -X122647138Y-97928600D01* -X122739603Y-97910208D01* -X122826702Y-97874130D01* -X122905090Y-97821753D01* -X122971753Y-97755090D01* -X122972749Y-97753600D01* -X123472164Y-97753600D01* -X123473303Y-97755731D01* -X123516110Y-97807890D01* -X123567420Y-97850000D01* -X123542914Y-97870112D01* -X123476702Y-97825870D01* -X123389603Y-97789792D01* -X123297138Y-97771400D01* -X123202862Y-97771400D01* -X123110397Y-97789792D01* -X123023298Y-97825870D01* -X122944910Y-97878247D01* -X122878247Y-97944910D01* -X122825870Y-98023298D01* -X122789792Y-98110397D01* -X122771400Y-98202862D01* -X122771400Y-98297138D01* -X122789792Y-98389603D01* -X122825870Y-98476702D01* -X122878247Y-98555090D01* -X122944910Y-98621753D01* -X123023298Y-98674130D01* -X123110397Y-98710208D01* -X123202862Y-98728600D01* -X123297138Y-98728600D01* -X123389603Y-98710208D01* -X123476702Y-98674130D01* -X123542914Y-98629888D01* -X123567420Y-98650000D01* -X123516110Y-98692110D01* -X123473303Y-98744269D01* -X123472164Y-98746400D01* -X122972749Y-98746400D01* -X122971753Y-98744910D01* -X122905090Y-98678247D01* -X122826702Y-98625870D01* -X122739603Y-98589792D01* -X122647138Y-98571400D01* -X122552862Y-98571400D01* -X122460397Y-98589792D01* -X122373298Y-98625870D01* -X122294910Y-98678247D01* -X122228247Y-98744910D01* -X122175870Y-98823298D01* -X122139792Y-98910397D01* -X122121400Y-99002862D01* -X122121400Y-99097138D01* -X122139792Y-99189603D01* -X122175870Y-99276702D01* -X122228247Y-99355090D01* -X122294910Y-99421753D01* -X122373298Y-99474130D01* -X122460397Y-99510208D01* -X122552862Y-99528600D01* -X122647138Y-99528600D01* -X122739603Y-99510208D01* -X122826702Y-99474130D01* -X122905090Y-99421753D01* -X122971753Y-99355090D01* -X122972749Y-99353600D01* -X123472164Y-99353600D01* -X123473303Y-99355731D01* -X123516110Y-99407890D01* -X123567420Y-99450000D01* -X123542914Y-99470112D01* -X123476702Y-99425870D01* -X123389603Y-99389792D01* -X123297138Y-99371400D01* -X123202862Y-99371400D01* -X123110397Y-99389792D01* -X123023298Y-99425870D01* -X122944910Y-99478247D01* -X122878247Y-99544910D01* -X122825870Y-99623298D01* -X122789792Y-99710397D01* -X122771400Y-99802862D01* -X122771400Y-99897138D01* -X122789792Y-99989603D01* -X122825870Y-100076702D01* -X122878247Y-100155090D01* -X122944910Y-100221753D01* -X123023298Y-100274130D01* -X123110397Y-100310208D01* -X123202862Y-100328600D01* -X123297138Y-100328600D01* -X123389603Y-100310208D01* -X123476702Y-100274130D01* -X123542914Y-100229888D01* -X123567420Y-100250000D01* -X123516110Y-100292110D01* -X123473303Y-100344269D01* -X123472164Y-100346400D01* -X122972749Y-100346400D01* -X122971753Y-100344910D01* -X122905090Y-100278247D01* -X122826702Y-100225870D01* -X122739603Y-100189792D01* -X122647138Y-100171400D01* -X122552862Y-100171400D01* -X122460397Y-100189792D01* -X122373298Y-100225870D01* -X122294910Y-100278247D01* -X122228247Y-100344910D01* -X122175870Y-100423298D01* -X122139792Y-100510397D01* -X122121400Y-100602862D01* -X122121400Y-100697138D01* -X122139792Y-100789603D01* -X122175870Y-100876702D01* -X122228247Y-100955090D01* -X122294910Y-101021753D01* -X122373298Y-101074130D01* -X122460397Y-101110208D01* -X122552862Y-101128600D01* -X122647138Y-101128600D01* -X122739603Y-101110208D01* -X122826702Y-101074130D01* -X122905090Y-101021753D01* -X122971753Y-100955090D01* -X122972749Y-100953600D01* -X123472164Y-100953600D01* -X123473303Y-100955731D01* -X123516110Y-101007890D01* -X123567420Y-101050000D01* -X123542914Y-101070112D01* -X123476702Y-101025870D01* -X123389603Y-100989792D01* -X123297138Y-100971400D01* -X123202862Y-100971400D01* -X123110397Y-100989792D01* -X123023298Y-101025870D01* -X122944910Y-101078247D01* -X122878247Y-101144910D01* -X122825870Y-101223298D01* -X122789792Y-101310397D01* -X122771400Y-101402862D01* -X122771400Y-101497138D01* -X122789792Y-101589603D01* -X122825870Y-101676702D01* -X122878247Y-101755090D01* -X122944910Y-101821753D01* -X123023298Y-101874130D01* -X123110397Y-101910208D01* -X123202862Y-101928600D01* -X123297138Y-101928600D01* -X123389603Y-101910208D01* -X123476702Y-101874130D01* -X123542914Y-101829888D01* -X123567420Y-101850000D01* -X123516110Y-101892110D01* -X123473303Y-101944269D01* -X123472164Y-101946400D01* -X122972749Y-101946400D01* -X122971753Y-101944910D01* -X122905090Y-101878247D01* -X122826702Y-101825870D01* -X122739603Y-101789792D01* -X122647138Y-101771400D01* -X122552862Y-101771400D01* -X122460397Y-101789792D01* -X122373298Y-101825870D01* -X122294910Y-101878247D01* -X122228247Y-101944910D01* -X122175870Y-102023298D01* -X122139792Y-102110397D01* -X122121400Y-102202862D01* -X122121400Y-102297138D01* -X122139792Y-102389603D01* -X122175870Y-102476702D01* -X122228247Y-102555090D01* -X122294910Y-102621753D01* -X122373298Y-102674130D01* -X122460397Y-102710208D01* -X122552862Y-102728600D01* -X122647138Y-102728600D01* -X122739603Y-102710208D01* -X122826702Y-102674130D01* -X122905090Y-102621753D01* -X122971753Y-102555090D01* -X122972749Y-102553600D01* -X123472164Y-102553600D01* -X123473303Y-102555731D01* -X123506680Y-102596400D01* -X123416007Y-102596400D01* -X123390769Y-102585946D01* -X123297532Y-102567400D01* -X123202468Y-102567400D01* -X123109231Y-102585946D01* -X123021403Y-102622326D01* -X122942360Y-102675140D01* -X122875140Y-102742360D01* -X122822326Y-102821403D01* -X122785946Y-102909231D01* -X122767400Y-103002468D01* -X122767400Y-103097532D01* -X122785946Y-103190769D01* -X122822326Y-103278597D01* -X122875140Y-103357640D01* -X122942360Y-103424860D01* -X123021403Y-103477674D01* -X123109231Y-103514054D01* -X123202468Y-103532600D01* -X123297532Y-103532600D01* -X123390769Y-103514054D01* -X123416007Y-103503600D01* -X123506680Y-103503600D01* -X123473303Y-103544269D01* -X123472164Y-103546400D01* -X122927559Y-103546400D01* -X122924860Y-103542360D01* -X122857640Y-103475140D01* -X122778597Y-103422326D01* -X122690769Y-103385946D01* -X122597532Y-103367400D01* -X122502468Y-103367400D01* -X122409231Y-103385946D01* -X122321403Y-103422326D01* -X122242360Y-103475140D01* -X122175140Y-103542360D01* -X122122326Y-103621403D01* -X122085946Y-103709231D01* -X122067400Y-103802468D01* -X122067400Y-103897532D01* -X122085946Y-103990769D01* -X122122326Y-104078597D01* -X122175140Y-104157640D01* -X122242360Y-104224860D01* -X122321403Y-104277674D01* -X122409231Y-104314054D01* -X122502468Y-104332600D01* -X122597532Y-104332600D01* -X122690769Y-104314054D01* -X122778597Y-104277674D01* -X122857640Y-104224860D01* -X122924860Y-104157640D01* -X122927559Y-104153600D01* -X123472164Y-104153600D01* -X123473303Y-104155731D01* -X123516110Y-104207890D01* -X123532931Y-104221695D01* -X123517382Y-104230006D01* -X123482573Y-104258573D01* -X123454006Y-104293382D01* -X123432779Y-104333095D01* -X123419708Y-104376187D01* -X123415294Y-104421000D01* -X123416400Y-104519050D01* -X123473550Y-104576200D01* -X124326200Y-104576200D01* -X124326200Y-104556200D01* -X124473800Y-104556200D01* -X124473800Y-104576200D01* -X125326450Y-104576200D01* -X125383600Y-104519050D01* -X125384706Y-104421000D01* -X125380292Y-104376187D01* -X125367221Y-104333095D01* -X125345994Y-104293382D01* -X125317427Y-104258573D01* -X125282618Y-104230006D01* -X125267069Y-104221695D01* -X125283890Y-104207890D01* -X125326697Y-104155731D01* -X125343122Y-104125000D01* -X125745294Y-104125000D01* -X125746400Y-104419050D01* -X125803550Y-104476200D01* -X126376200Y-104476200D01* -X126376200Y-103953550D01* -X126523800Y-103953550D01* -X126523800Y-104476200D01* -X127096450Y-104476200D01* -X127153600Y-104419050D01* -X127154706Y-104125000D01* -X127150292Y-104080187D01* -X127137221Y-104037095D01* -X127115994Y-103997382D01* -X127087427Y-103962573D01* -X127052618Y-103934006D01* -X127012905Y-103912779D01* -X126969813Y-103899708D01* -X126925000Y-103895294D01* -X126580950Y-103896400D01* -X126523800Y-103953550D01* -X126376200Y-103953550D01* -X126319050Y-103896400D01* -X125975000Y-103895294D01* -X125930187Y-103899708D01* -X125887095Y-103912779D01* -X125847382Y-103934006D01* -X125812573Y-103962573D01* -X125784006Y-103997382D01* -X125762779Y-104037095D01* -X125749708Y-104080187D01* -X125745294Y-104125000D01* -X125343122Y-104125000D01* -X125358505Y-104096222D01* -X125378092Y-104031651D01* -X125384706Y-103964500D01* -X125384706Y-103735500D01* -X125378092Y-103668349D01* -X125358505Y-103603778D01* -X125326697Y-103544269D01* -X125293320Y-103503600D01* -X125382812Y-103503600D01* -X125455394Y-103525617D01* -X125526293Y-103532600D01* -X125839863Y-103532600D01* -X125874813Y-103575187D01* -X125941824Y-103630181D01* -X126018275Y-103671045D01* -X126101230Y-103696209D01* -X126187500Y-103704706D01* -X126712500Y-103704706D01* -X126798770Y-103696209D01* -X126881725Y-103671045D01* -X126903137Y-103659600D01* -X126947496Y-103659600D01* -X126976488Y-103688591D01* -X126976492Y-103688598D01* -X127061402Y-103773508D01* -X127086441Y-103790238D01* -X127109686Y-103809315D01* -X127136208Y-103823491D01* -X127161246Y-103840221D01* -X127189067Y-103851745D01* -X127215587Y-103865920D01* -X127244362Y-103874649D01* -X127272186Y-103886174D01* -X127301720Y-103892049D01* -X127330497Y-103900778D01* -X127360431Y-103903727D01* -X127389960Y-103909600D01* -X127420060Y-103909600D01* -X127449999Y-103912549D01* -X127479938Y-103909600D01* -X127510040Y-103909600D01* -X127539570Y-103903726D01* -X127569502Y-103900778D01* -X127598276Y-103892049D01* -X127627814Y-103886174D01* -X127655643Y-103874647D01* -X127684411Y-103865920D01* -X127710925Y-103851748D01* -X127738754Y-103840221D01* -X127763797Y-103823488D01* -X127790313Y-103809315D01* -X127813554Y-103790241D01* -X127838598Y-103773508D01* -X127859895Y-103752211D01* -X127883137Y-103733137D01* -X127902211Y-103709895D01* -X127923508Y-103688598D01* -X127940241Y-103663554D01* -X127959315Y-103640313D01* -X127973488Y-103613797D01* -X127990221Y-103588754D01* -X128001748Y-103560925D01* -X128015920Y-103534411D01* -X128024647Y-103505643D01* -X128036174Y-103477814D01* -X128042049Y-103448276D01* -X128050778Y-103419502D01* -X128053726Y-103389570D01* -X128059600Y-103360040D01* -X128059600Y-103329938D01* -X128062549Y-103299999D01* -X128059600Y-103270060D01* -X128059600Y-103239960D01* -X128053727Y-103210431D01* -X128050778Y-103180497D01* -X128042049Y-103151720D01* -X128036174Y-103122186D01* -X128024649Y-103094362D01* -X128015920Y-103065587D01* -X128001745Y-103039067D01* -X127990221Y-103011246D01* -X127973491Y-102986208D01* -X127959315Y-102959686D01* -X127940238Y-102936441D01* -X127923508Y-102911402D01* -X127838598Y-102826492D01* -X127838591Y-102826488D01* -X127652234Y-102640131D01* -X127633138Y-102616862D01* -X127540314Y-102540684D01* -X127434412Y-102484079D01* -X127319502Y-102449221D01* -X127200000Y-102437451D01* -X127170059Y-102440400D01* -X127078600Y-102440400D01* -X127078600Y-102038088D01* -X127072545Y-102007645D01* -X127069504Y-101976773D01* -X127060500Y-101947091D01* -X127054444Y-101916644D01* -X127042564Y-101887962D01* -X127033560Y-101858281D01* -X127018939Y-101830928D01* -X127007059Y-101802246D01* -X126989811Y-101776433D01* -X126975190Y-101749079D01* -X126955511Y-101725100D01* -X126938266Y-101699291D01* -X126916321Y-101677346D01* -X126896638Y-101653362D01* -X126872654Y-101633679D01* -X126850709Y-101611734D01* -X126824900Y-101594489D01* -X126800921Y-101574810D01* -X126773567Y-101560189D01* -X126747754Y-101542941D01* -X126719072Y-101531061D01* -X126691719Y-101516440D01* -X126662038Y-101507436D01* -X126633356Y-101495556D01* -X126602909Y-101489500D01* -X126573227Y-101480496D01* -X126542355Y-101477455D01* -X126511912Y-101471400D01* -X126480875Y-101471400D01* -X126450000Y-101468359D01* -X126419125Y-101471400D01* -X126388088Y-101471400D01* -X126357644Y-101477455D01* -X126326774Y-101480496D01* -X126297094Y-101489499D01* -X126266644Y-101495556D01* -X126237959Y-101507437D01* -X126208282Y-101516440D01* -X126180932Y-101531059D01* -X126152246Y-101542941D01* -X126126429Y-101560192D01* -X126099080Y-101574810D01* -X126075106Y-101594485D01* -X126049291Y-101611734D01* -X126027341Y-101633684D01* -X126003363Y-101653362D01* -X125983685Y-101677340D01* -X125961734Y-101699291D01* -X125944484Y-101725107D01* -X125924811Y-101749079D01* -X125910194Y-101776425D01* -X125892941Y-101802246D01* -X125881057Y-101830936D01* -X125866441Y-101858281D01* -X125857440Y-101887953D01* -X125845556Y-101916644D01* -X125839498Y-101947101D01* -X125830497Y-101976773D01* -X125827457Y-102007635D01* -X125821400Y-102038088D01* -X125821400Y-102161912D01* -X125821401Y-102161917D01* -X125821401Y-102567400D01* -X125526293Y-102567400D01* -X125455394Y-102574383D01* -X125382812Y-102596400D01* -X125293320Y-102596400D01* -X125326697Y-102555731D01* -X125358505Y-102496222D01* -X125378092Y-102431651D01* -X125384706Y-102364500D01* -X125384706Y-102135500D01* -X125378092Y-102068349D01* -X125358505Y-102003778D01* -X125326697Y-101944269D01* -X125283890Y-101892110D01* -X125232580Y-101850000D01* -X125283890Y-101807890D01* -X125326697Y-101755731D01* -X125358505Y-101696222D01* -X125378092Y-101631651D01* -X125384706Y-101564500D01* -X125384706Y-101335500D01* -X125378092Y-101268349D01* -X125358505Y-101203778D01* -X125326697Y-101144269D01* -X125283890Y-101092110D01* -X125232580Y-101050000D01* -X125283890Y-101007890D01* -X125326697Y-100955731D01* -X125358505Y-100896222D01* -X125378092Y-100831651D01* -X125384706Y-100764500D01* -X125384706Y-100535500D01* -X125378092Y-100468349D01* -X125358505Y-100403778D01* -X125326697Y-100344269D01* -X125314987Y-100330000D01* -X134518294Y-100330000D01* -X134522708Y-100374813D01* -X134535779Y-100417905D01* -X134557006Y-100457618D01* -X134585573Y-100492427D01* -X134620382Y-100520994D01* -X134660095Y-100542221D01* -X134703187Y-100555292D01* -X134748000Y-100559706D01* -X134997050Y-100558600D01* -X135054200Y-100501450D01* -X135054200Y-99768800D01* -X135201800Y-99768800D01* -X135201800Y-100501450D01* -X135258950Y-100558600D01* -X135508000Y-100559706D01* -X135552813Y-100555292D01* -X135595905Y-100542221D01* -X135635618Y-100520994D01* -X135670427Y-100492427D01* -X135698994Y-100457618D01* -X135720221Y-100417905D01* -X135733292Y-100374813D01* -X135737706Y-100330000D01* -X135788294Y-100330000D01* -X135792708Y-100374813D01* -X135805779Y-100417905D01* -X135827006Y-100457618D01* -X135855573Y-100492427D01* -X135890382Y-100520994D01* -X135930095Y-100542221D01* -X135973187Y-100555292D01* -X136018000Y-100559706D01* -X136267050Y-100558600D01* -X136324200Y-100501450D01* -X136324200Y-99768800D01* -X136471800Y-99768800D01* -X136471800Y-100501450D01* -X136528950Y-100558600D01* -X136778000Y-100559706D01* -X136822813Y-100555292D01* -X136865905Y-100542221D01* -X136905618Y-100520994D01* -X136940427Y-100492427D01* -X136968994Y-100457618D01* -X136990221Y-100417905D01* -X137003292Y-100374813D01* -X137007706Y-100330000D01* -X137006600Y-99825950D01* -X136949450Y-99768800D01* -X136471800Y-99768800D01* -X136324200Y-99768800D01* -X135846550Y-99768800D01* -X135789400Y-99825950D01* -X135788294Y-100330000D01* -X135737706Y-100330000D01* -X135736600Y-99825950D01* -X135679450Y-99768800D01* -X135201800Y-99768800D01* -X135054200Y-99768800D01* -X134576550Y-99768800D01* -X134519400Y-99825950D01* -X134518294Y-100330000D01* -X125314987Y-100330000D01* -X125283890Y-100292110D01* -X125232580Y-100250000D01* -X125283890Y-100207890D01* -X125326697Y-100155731D01* -X125358505Y-100096222D01* -X125378092Y-100031651D01* -X125384706Y-99964500D01* -X125384706Y-99735500D01* -X125378092Y-99668349D01* -X125358505Y-99603778D01* -X125326697Y-99544269D01* -X125283890Y-99492110D01* -X125232580Y-99450000D01* -X125283890Y-99407890D01* -X125326697Y-99355731D01* -X125358505Y-99296222D01* -X125378092Y-99231651D01* -X125384706Y-99164500D01* -X125384706Y-99060000D01* -X134518294Y-99060000D01* -X134519400Y-99564050D01* -X134576550Y-99621200D01* -X135054200Y-99621200D01* -X135054200Y-98888550D01* -X135201800Y-98888550D01* -X135201800Y-99621200D01* -X135679450Y-99621200D01* -X135736600Y-99564050D01* -X135737706Y-99060000D01* -X135788294Y-99060000D01* -X135789400Y-99564050D01* -X135846550Y-99621200D01* -X136324200Y-99621200D01* -X136324200Y-98888550D01* -X136471800Y-98888550D01* -X136471800Y-99621200D01* -X136949450Y-99621200D01* -X137006600Y-99564050D01* -X137007706Y-99060000D01* -X137003292Y-99015187D01* -X136990221Y-98972095D01* -X136968994Y-98932382D01* -X136940427Y-98897573D01* -X136905618Y-98869006D01* -X136865905Y-98847779D01* -X136822813Y-98834708D01* -X136778000Y-98830294D01* -X136528950Y-98831400D01* -X136471800Y-98888550D01* -X136324200Y-98888550D01* -X136267050Y-98831400D01* -X136018000Y-98830294D01* -X135973187Y-98834708D01* -X135930095Y-98847779D01* -X135890382Y-98869006D01* -X135855573Y-98897573D01* -X135827006Y-98932382D01* -X135805779Y-98972095D01* -X135792708Y-99015187D01* -X135788294Y-99060000D01* -X135737706Y-99060000D01* -X135733292Y-99015187D01* -X135720221Y-98972095D01* -X135698994Y-98932382D01* -X135670427Y-98897573D01* -X135635618Y-98869006D01* -X135595905Y-98847779D01* -X135552813Y-98834708D01* -X135508000Y-98830294D01* -X135258950Y-98831400D01* -X135201800Y-98888550D01* -X135054200Y-98888550D01* -X134997050Y-98831400D01* -X134748000Y-98830294D01* -X134703187Y-98834708D01* -X134660095Y-98847779D01* -X134620382Y-98869006D01* -X134585573Y-98897573D01* -X134557006Y-98932382D01* -X134535779Y-98972095D01* -X134522708Y-99015187D01* -X134518294Y-99060000D01* -X125384706Y-99060000D01* -X125384706Y-98935500D01* -X125378092Y-98868349D01* -X125358505Y-98803778D01* -X125326697Y-98744269D01* -X125283890Y-98692110D01* -X125232580Y-98650000D01* -X125283890Y-98607890D01* -X125326697Y-98555731D01* -X125358505Y-98496222D01* -X125378092Y-98431651D01* -X125384706Y-98364500D01* -X125384706Y-98135500D01* -X125378092Y-98068349D01* -X125358505Y-98003778D01* -X125326697Y-97944269D01* -X125283890Y-97892110D01* -X125232580Y-97850000D01* -X125283890Y-97807890D01* -X125326697Y-97755731D01* -X125358505Y-97696222D01* -X125378092Y-97631651D01* -X125384706Y-97564500D01* -X125384706Y-97335500D01* -X125378092Y-97268349D01* -X125358505Y-97203778D01* -X125326697Y-97144269D01* -X125283890Y-97092110D01* -X125232580Y-97050000D01* -X125283890Y-97007890D01* -X125326697Y-96955731D01* -X125358505Y-96896222D01* -X125378092Y-96831651D01* -X125384706Y-96764500D01* -X125384706Y-96535500D01* -X125378092Y-96468349D01* -X125358505Y-96403778D01* -X125326697Y-96344269D01* -X125283890Y-96292110D01* -X125232580Y-96250000D01* -X125283890Y-96207890D01* -X125326697Y-96155731D01* -X125358505Y-96096222D01* -X125378092Y-96031651D01* -X125384706Y-95964500D01* -X125384706Y-95735500D01* -X125378092Y-95668349D01* -X125358505Y-95603778D01* -X125326697Y-95544269D01* -X125283890Y-95492110D01* -X125232580Y-95450000D01* -X125283890Y-95407890D01* -X125326697Y-95355731D01* -X125358505Y-95296222D01* -X125378092Y-95231651D01* -X125384706Y-95164500D01* -X125384706Y-94935500D01* -X125378092Y-94868349D01* -X125358505Y-94803778D01* -X125326697Y-94744269D01* -X125283890Y-94692110D01* -X125232580Y-94650000D01* -X125283890Y-94607890D01* -X125310882Y-94575000D01* -X125745294Y-94575000D01* -X125749708Y-94619813D01* -X125762779Y-94662905D01* -X125784006Y-94702618D01* -X125812573Y-94737427D01* -X125847382Y-94765994D01* -X125887095Y-94787221D01* -X125930187Y-94800292D01* -X125975000Y-94804706D01* -X126319050Y-94803600D01* -X126376200Y-94746450D01* -X126376200Y-94223800D01* -X126523800Y-94223800D01* -X126523800Y-94746450D01* -X126580950Y-94803600D01* -X126925000Y-94804706D01* -X126969813Y-94800292D01* -X127012905Y-94787221D01* -X127052618Y-94765994D01* -X127087427Y-94737427D01* -X127115994Y-94702618D01* -X127137221Y-94662905D01* -X127150292Y-94619813D01* -X127154706Y-94575000D01* -X127153600Y-94280950D01* -X127096450Y-94223800D01* -X126523800Y-94223800D01* -X126376200Y-94223800D01* -X125803550Y-94223800D01* -X125746400Y-94280950D01* -X125745294Y-94575000D01* -X125310882Y-94575000D01* -X125326697Y-94555731D01* -X125358505Y-94496222D01* -X125378092Y-94431651D01* -X125384706Y-94364500D01* -X125384706Y-94135500D01* -X125378092Y-94068349D01* -X125358505Y-94003778D01* -X125326697Y-93944269D01* -X125283890Y-93892110D01* -X125232580Y-93850000D01* -X125283890Y-93807890D01* -X125326697Y-93755731D01* -X125343122Y-93725000D01* -X125745294Y-93725000D01* -X125746400Y-94019050D01* -X125803550Y-94076200D01* -X126376200Y-94076200D01* -X126376200Y-93553550D01* -X126523800Y-93553550D01* -X126523800Y-94076200D01* -X127096450Y-94076200D01* -X127153600Y-94019050D01* -X127154706Y-93725000D01* -X127150292Y-93680187D01* -X127137221Y-93637095D01* -X127115994Y-93597382D01* -X127087427Y-93562573D01* -X127052618Y-93534006D01* -X127012905Y-93512779D01* -X126969813Y-93499708D01* -X126925000Y-93495294D01* -X126580950Y-93496400D01* -X126523800Y-93553550D01* -X126376200Y-93553550D01* -X126319050Y-93496400D01* -X125975000Y-93495294D01* -X125930187Y-93499708D01* -X125887095Y-93512779D01* -X125847382Y-93534006D01* -X125812573Y-93562573D01* -X125784006Y-93597382D01* -X125762779Y-93637095D01* -X125749708Y-93680187D01* -X125745294Y-93725000D01* -X125343122Y-93725000D01* -X125358505Y-93696222D01* -X125378092Y-93631651D01* -X125384706Y-93564500D01* -X125384706Y-93335500D01* -X125378092Y-93268349D01* -X125358505Y-93203778D01* -X125326697Y-93144269D01* -X125293320Y-93103600D01* -X125394444Y-93103600D01* -X125410397Y-93110208D01* -X125433581Y-93114820D01* -X125456178Y-93121674D01* -X125479679Y-93123989D01* -X125502862Y-93128600D01* -X125836580Y-93128600D01* -X125874813Y-93175187D01* -X125941824Y-93230181D01* -X126018275Y-93271045D01* -X126101230Y-93296209D01* -X126187500Y-93304706D01* -X126712500Y-93304706D01* -X126798770Y-93296209D01* -X126856819Y-93278600D01* -X126939627Y-93278600D01* -X126961730Y-93300704D01* -X126961734Y-93300709D01* -X127049291Y-93388266D01* -X127075109Y-93405517D01* -X127099079Y-93425189D01* -X127126427Y-93439807D01* -X127152246Y-93457059D01* -X127180930Y-93468940D01* -X127208281Y-93483560D01* -X127237961Y-93492563D01* -X127266644Y-93504444D01* -X127297096Y-93510501D01* -X127326772Y-93519503D01* -X127357638Y-93522543D01* -X127388088Y-93528600D01* -X127419126Y-93528600D01* -X127449999Y-93531641D01* -X127480872Y-93528600D01* -X127511912Y-93528600D01* -X127542364Y-93522543D01* -X127573226Y-93519503D01* -X127602898Y-93510502D01* -X127633356Y-93504444D01* -X127662045Y-93492561D01* -X127691717Y-93483560D01* -X127719062Y-93468943D01* -X127747754Y-93457059D01* -X127773578Y-93439804D01* -X127800920Y-93425189D01* -X127824888Y-93405519D01* -X127850709Y-93388266D01* -X127872665Y-93366310D01* -X127896637Y-93346637D01* -X127916310Y-93322665D01* -X127938266Y-93300709D01* -X127955519Y-93274888D01* -X127975189Y-93250920D01* -X127989804Y-93223578D01* -X128007059Y-93197754D01* -X128018943Y-93169062D01* -X128033560Y-93141717D01* -X128042561Y-93112045D01* -X128054444Y-93083356D01* -X128060502Y-93052898D01* -X128069503Y-93023226D01* -X128072543Y-92992364D01* -X128078600Y-92961912D01* -X128078600Y-92930872D01* -X128081641Y-92899999D01* -X128078600Y-92869126D01* -X128078600Y-92838088D01* -X128072543Y-92807638D01* -X128069503Y-92776772D01* -X128060501Y-92747096D01* -X128054444Y-92716644D01* -X128042563Y-92687961D01* -X128033560Y-92658281D01* -X128018940Y-92630930D01* -X128007059Y-92602246D01* -X127989807Y-92576427D01* -X127975189Y-92549079D01* -X127955517Y-92525109D01* -X127938266Y-92499291D01* -X127850709Y-92411734D01* -X127850704Y-92411730D01* -X127666326Y-92227352D01* -X127646638Y-92203362D01* -X127550921Y-92124810D01* -X127441719Y-92066440D01* -X127323227Y-92030496D01* -X127230875Y-92021400D01* -X127200000Y-92018359D01* -X127169125Y-92021400D01* -X126978600Y-92021400D01* -X126978600Y-91747937D01* -X126973508Y-91722336D01* -X126970951Y-91696376D01* -X126963380Y-91671418D01* -X126958287Y-91645813D01* -X126951738Y-91630000D01* -X134518294Y-91630000D01* -X134518294Y-92520000D01* -X134526359Y-92601881D01* -X134550242Y-92680615D01* -X134589027Y-92753176D01* -X134641223Y-92816777D01* -X134704824Y-92868973D01* -X134777385Y-92907758D01* -X134856119Y-92931641D01* -X134938000Y-92939706D01* -X135318000Y-92939706D01* -X135399881Y-92931641D01* -X135478615Y-92907758D01* -X135551176Y-92868973D01* -X135614777Y-92816777D01* -X135666973Y-92753176D01* -X135705758Y-92680615D01* -X135729641Y-92601881D01* -X135737706Y-92520000D01* -X135737706Y-91630000D01* -X135788294Y-91630000D01* -X135788294Y-92520000D01* -X135796359Y-92601881D01* -X135820242Y-92680615D01* -X135859027Y-92753176D01* -X135911223Y-92816777D01* -X135974824Y-92868973D01* -X136047385Y-92907758D01* -X136126119Y-92931641D01* -X136208000Y-92939706D01* -X136588000Y-92939706D01* -X136669881Y-92931641D01* -X136748615Y-92907758D01* -X136821176Y-92868973D01* -X136884777Y-92816777D01* -X136936973Y-92753176D01* -X136975758Y-92680615D01* -X136999641Y-92601881D01* -X137007706Y-92520000D01* -X137007706Y-91630000D01* -X136999641Y-91548119D01* -X136975758Y-91469385D01* -X136936973Y-91396824D01* -X136884777Y-91333223D01* -X136821176Y-91281027D01* -X136759033Y-91247810D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X135973870Y-90705298D01* -X135937792Y-90792397D01* -X135919400Y-90884862D01* -X135919400Y-90979138D01* -X135937792Y-91071603D01* -X135973870Y-91158702D01* -X136026247Y-91237090D01* -X136036967Y-91247810D01* -X135974824Y-91281027D01* -X135911223Y-91333223D01* -X135859027Y-91396824D01* -X135820242Y-91469385D01* -X135796359Y-91548119D01* -X135788294Y-91630000D01* -X135737706Y-91630000D01* -X135729641Y-91548119D01* -X135705758Y-91469385D01* -X135666973Y-91396824D01* -X135614777Y-91333223D01* -X135551176Y-91281027D01* -X135489033Y-91247810D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135588208Y-91071603D01* -X135606600Y-90979138D01* -X135606600Y-90884862D01* -X135588208Y-90792397D01* -X135552130Y-90705298D01* -X135499753Y-90626910D01* -X135433090Y-90560247D01* -X135354702Y-90507870D01* -X135267603Y-90471792D01* -X135175138Y-90453400D01* -X135080862Y-90453400D01* -X134988397Y-90471792D01* -X134901298Y-90507870D01* -X134822910Y-90560247D01* -X134756247Y-90626910D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134766967Y-91247810D01* -X134704824Y-91281027D01* -X134641223Y-91333223D01* -X134589027Y-91396824D01* -X134550242Y-91469385D01* -X134526359Y-91548119D01* -X134518294Y-91630000D01* -X126951738Y-91630000D01* -X126948296Y-91621691D01* -X126940725Y-91596735D01* -X126928431Y-91573735D01* -X126918440Y-91549614D01* -X126903936Y-91527907D01* -X126891641Y-91504905D01* -X126875094Y-91484743D01* -X126860591Y-91463037D01* -X126842133Y-91444579D01* -X126825585Y-91424415D01* -X126805421Y-91407867D01* -X126786963Y-91389409D01* -X126765257Y-91374906D01* -X126745095Y-91358359D01* -X126722093Y-91346064D01* -X126700386Y-91331560D01* -X126676265Y-91321569D01* -X126653265Y-91309275D01* -X126628309Y-91301704D01* -X126604187Y-91291713D01* -X126578582Y-91286620D01* -X126553624Y-91279049D01* -X126527664Y-91276492D01* -X126502063Y-91271400D01* -X126475962Y-91271400D01* -X126450000Y-91268843D01* -X126424038Y-91271400D01* -X126397937Y-91271400D01* -X126372335Y-91276492D01* -X126346377Y-91279049D01* -X126321420Y-91286620D01* -X126295813Y-91291713D01* -X126271689Y-91301706D01* -X126246736Y-91309275D01* -X126223739Y-91321567D01* -X126199614Y-91331560D01* -X126177903Y-91346067D01* -X126154906Y-91358359D01* -X126134748Y-91374902D01* -X126113037Y-91389409D01* -X126094575Y-91407871D01* -X126074416Y-91424415D01* -X126057872Y-91444574D01* -X126039409Y-91463037D01* -X126024901Y-91484749D01* -X126008360Y-91504905D01* -X125996069Y-91527899D01* -X125981560Y-91549614D01* -X125971565Y-91573743D01* -X125959276Y-91596735D01* -X125951708Y-91621682D01* -X125941713Y-91645813D01* -X125936618Y-91671427D01* -X125929050Y-91696376D01* -X125926493Y-91722329D01* -X125921400Y-91747937D01* -X125921400Y-92086580D01* -X125874813Y-92124813D01* -X125836580Y-92171400D01* -X125502862Y-92171400D01* -X125479679Y-92176011D01* -X125456178Y-92178326D01* -X125433581Y-92185180D01* -X125410397Y-92189792D01* -X125394444Y-92196400D01* -X125092340Y-92196400D01* -X125040500Y-92191294D01* -X124870398Y-92191294D01* -X124890725Y-92153265D01* -X124920951Y-92053624D01* -X124928600Y-91975962D01* -X124928600Y-91321028D01* -X124963420Y-91278600D01* -X125302063Y-91278600D01* -X125327664Y-91273508D01* -X125353624Y-91270951D01* -X125378582Y-91263380D01* -X125404187Y-91258287D01* -X125428309Y-91248296D01* -X125453265Y-91240725D01* -X125476265Y-91228431D01* -X125500386Y-91218440D01* -X125522093Y-91203936D01* -X125545095Y-91191641D01* -X125565257Y-91175094D01* -X125586963Y-91160591D01* -X125605421Y-91142133D01* -X125625585Y-91125585D01* -X125642133Y-91105421D01* -X125660591Y-91086963D01* -X125675094Y-91065257D01* -X125691641Y-91045095D01* -X125703936Y-91022093D01* -X125718440Y-91000386D01* -X125728431Y-90976265D01* -X125740725Y-90953265D01* -X125748296Y-90928309D01* -X125758287Y-90904187D01* -X125763380Y-90878582D01* -X125770951Y-90853624D01* -X125773508Y-90827664D01* -X125778600Y-90802063D01* -X125778600Y-90775961D01* -X125781157Y-90750000D01* -X125778600Y-90724038D01* -X125778600Y-90697937D01* -X125773508Y-90672336D01* -X125770951Y-90646376D01* -X125763380Y-90621418D01* -X125758287Y-90595813D01* -X125748296Y-90571691D01* -X125740725Y-90546735D01* -X125728431Y-90523735D01* -X125718440Y-90499614D01* -X125703936Y-90477907D01* -X125691641Y-90454905D01* -X125675094Y-90434743D01* -X125660591Y-90413037D01* -X125642133Y-90394579D01* -X125625585Y-90374415D01* -X125605421Y-90357867D01* -X125586963Y-90339409D01* -X125565257Y-90324906D01* -X125545095Y-90308359D01* -X125522093Y-90296064D01* -X125500386Y-90281560D01* -X125476265Y-90271569D01* -X125453265Y-90259275D01* -X125428309Y-90251704D01* -X125404187Y-90241713D01* -X125378582Y-90236620D01* -X125353624Y-90229049D01* -X125327664Y-90226492D01* -X125302063Y-90221400D01* -X124963420Y-90221400D01* -X124928600Y-90178972D01* -X124928600Y-90025958D01* -X124931157Y-89999999D01* -X124928600Y-89974038D01* -X124920951Y-89896376D01* -X124890725Y-89796735D01* -X124841641Y-89704905D01* -X124775585Y-89624415D01* -X124755410Y-89607858D01* -X124660595Y-89513043D01* -X124660591Y-89513037D01* -X124586963Y-89439409D01* -X124565251Y-89424901D01* -X124545094Y-89408359D01* -X124522097Y-89396067D01* -X124500386Y-89381560D01* -X124476261Y-89371567D01* -X124453264Y-89359275D01* -X124428311Y-89351706D01* -X124404187Y-89341713D01* -X124378580Y-89336620D01* -X124353623Y-89329049D01* -X124327665Y-89326492D01* -X124302063Y-89321400D01* -X124275962Y-89321400D01* -X124250000Y-89318843D01* -X124224038Y-89321400D01* -X124197937Y-89321400D01* -X124172335Y-89326492D01* -X124146377Y-89329049D01* -X124121420Y-89336620D01* -X124095813Y-89341713D01* -X124071689Y-89351706D01* -X124046736Y-89359275D01* -X124023739Y-89371567D01* -X123999614Y-89381560D01* -X123977903Y-89396067D01* -X123954906Y-89408359D01* -X123934750Y-89424901D01* -X123913037Y-89439409D01* -X123894571Y-89457875D01* -X123874416Y-89474416D01* -X123857875Y-89494571D01* -X123839409Y-89513037D01* -X123824901Y-89534750D01* -X123808359Y-89554906D01* -X123796067Y-89577903D01* -X123781560Y-89599614D01* -X123771567Y-89623739D01* -X123759275Y-89646736D01* -X123751706Y-89671689D01* -X123741713Y-89695813D01* -X123736620Y-89721420D01* -X123729049Y-89746377D01* -X123726492Y-89772335D01* -X123721400Y-89797937D01* -X123721400Y-89824038D01* -X123718843Y-89850000D01* -X123721400Y-89875962D01* -X123721400Y-89902063D01* -X123726492Y-89927665D01* -X123729049Y-89953623D01* -X123736620Y-89978580D01* -X123741713Y-90004187D01* -X123751706Y-90028311D01* -X123759275Y-90053264D01* -X123771567Y-90076261D01* -X123781560Y-90100386D01* -X123796067Y-90122097D01* -X123808359Y-90145094D01* -X123824901Y-90165251D01* -X123839409Y-90186963D01* -X123853378Y-90200932D01* -X123819819Y-90241824D01* -X123778955Y-90318275D01* -X123753791Y-90401230D01* -X123745294Y-90487500D01* -X123745294Y-91012500D01* -X123753791Y-91098770D01* -X123778955Y-91181725D01* -X123819819Y-91258176D01* -X123871400Y-91321029D01* -X123871401Y-91975962D01* -X123879050Y-92053624D01* -X123909276Y-92153265D01* -X123929603Y-92191294D01* -X123759500Y-92191294D01* -X123707660Y-92196400D01* -X123405556Y-92196400D01* -X123389603Y-92189792D01* -X123297138Y-92171400D01* -X123202862Y-92171400D01* -X123110397Y-92189792D01* -X123023298Y-92225870D01* -X122944910Y-92278247D01* -X122878247Y-92344910D01* -X122825870Y-92423298D01* -X122789792Y-92510397D01* -X122771400Y-92602862D01* -X122771400Y-92697138D01* -X122789792Y-92789603D01* -X122825870Y-92876702D01* -X122878247Y-92955090D01* -X122944910Y-93021753D01* -X123023298Y-93074130D01* -X123110397Y-93110208D01* -X123202862Y-93128600D01* -X123297138Y-93128600D01* -X123389603Y-93110208D01* -X123405556Y-93103600D01* -X123506680Y-93103600D01* -X123473303Y-93144269D01* -X123472164Y-93146400D01* -X122322749Y-93146400D01* -X122321753Y-93144910D01* -X122255090Y-93078247D01* -X122176702Y-93025870D01* -X122089603Y-92989792D01* -X121997138Y-92971400D01* -X121902862Y-92971400D01* -X121810397Y-92989792D01* -X121723298Y-93025870D01* -X121644910Y-93078247D01* -X121578247Y-93144910D01* -X121525870Y-93223298D01* -X121489792Y-93310397D01* -X121471400Y-93402862D01* -X115178600Y-93402862D01* -X115160208Y-93310397D01* -X115124130Y-93223298D01* -X115071753Y-93144910D01* -X115005090Y-93078247D01* -X114926702Y-93025870D01* -X114839603Y-92989792D01* -X114747138Y-92971400D01* -X114652862Y-92971400D01* -X114560397Y-92989792D01* -X114473298Y-93025870D01* -X114394910Y-93078247D01* -X114328247Y-93144910D01* -X114327251Y-93146400D01* -X113827836Y-93146400D01* -X113826697Y-93144269D01* -X113783890Y-93092110D01* -X113767069Y-93078305D01* -X113782618Y-93069994D01* -X113817427Y-93041427D01* -X113845994Y-93006618D01* -X113867221Y-92966905D01* -X113880292Y-92923813D01* -X113884706Y-92879000D01* -X113883600Y-92780950D01* -X113826450Y-92723800D01* -X112973800Y-92723800D01* -X112973800Y-92743800D01* -X112826200Y-92743800D01* -X112826200Y-92723800D01* -X111973550Y-92723800D01* -X111916400Y-92780950D01* -X111915294Y-92879000D01* -X111919708Y-92923813D01* -X111932779Y-92966905D01* -X111954006Y-93006618D01* -X111982573Y-93041427D01* -X112017382Y-93069994D01* -X112032931Y-93078305D01* -X112016110Y-93092110D01* -X111973303Y-93144269D01* -X111941495Y-93203778D01* -X111921908Y-93268349D01* -X111915294Y-93335500D01* -X111915294Y-93564500D01* -X111921908Y-93631651D01* -X111941495Y-93696222D01* -X111973303Y-93755731D01* -X112016110Y-93807890D01* -X112067420Y-93850000D01* -X112016110Y-93892110D01* -X111973303Y-93944269D01* -X111941495Y-94003778D01* -X111921908Y-94068349D01* -X111915294Y-94135500D01* -X111915294Y-94364500D01* -X111921908Y-94431651D01* -X111941495Y-94496222D01* -X111973303Y-94555731D01* -X112016110Y-94607890D01* -X112067420Y-94650000D01* -X112016110Y-94692110D01* -X111973303Y-94744269D01* -X111941495Y-94803778D01* -X111921908Y-94868349D01* -X111915294Y-94935500D01* -X111915294Y-95164500D01* -X111921908Y-95231651D01* -X111941495Y-95296222D01* -X111973303Y-95355731D01* -X112016110Y-95407890D01* -X112067420Y-95450000D01* -X112016110Y-95492110D01* -X111973303Y-95544269D01* -X111941495Y-95603778D01* -X111921908Y-95668349D01* -X111915294Y-95735500D01* -X111915294Y-95964500D01* -X111921908Y-96031651D01* -X111941495Y-96096222D01* -X111973303Y-96155731D01* -X112016110Y-96207890D01* -X112067420Y-96250000D01* -X112016110Y-96292110D01* -X111973303Y-96344269D01* -X111941495Y-96403778D01* -X111921908Y-96468349D01* -X111915294Y-96535500D01* -X111915294Y-96764500D01* -X111921908Y-96831651D01* -X111941495Y-96896222D01* -X111973303Y-96955731D01* -X112016110Y-97007890D01* -X112067420Y-97050000D01* -X112016110Y-97092110D01* -X111973303Y-97144269D01* -X111941495Y-97203778D01* -X111921908Y-97268349D01* -X111915294Y-97335500D01* -X111915294Y-97564500D01* -X111921908Y-97631651D01* -X111941495Y-97696222D01* -X111973303Y-97755731D01* -X112016110Y-97807890D01* -X112067420Y-97850000D01* -X112016110Y-97892110D01* -X111973303Y-97944269D01* -X111941495Y-98003778D01* -X111921908Y-98068349D01* -X111915294Y-98135500D01* -X111915294Y-98364500D01* -X111921908Y-98431651D01* -X111941495Y-98496222D01* -X111973303Y-98555731D01* -X112016110Y-98607890D01* -X112067420Y-98650000D01* -X112016110Y-98692110D01* -X111973303Y-98744269D01* -X111941495Y-98803778D01* -X111921908Y-98868349D01* -X111915294Y-98935500D01* -X111915294Y-99164500D01* -X111921908Y-99231651D01* -X111941495Y-99296222D01* -X111973303Y-99355731D01* -X112016110Y-99407890D01* -X112067420Y-99450000D01* -X112016110Y-99492110D01* -X111973303Y-99544269D01* -X111941495Y-99603778D01* -X111921908Y-99668349D01* -X111915294Y-99735500D01* -X111915294Y-99964500D01* -X111921908Y-100031651D01* -X111941495Y-100096222D01* -X111973303Y-100155731D01* -X112016110Y-100207890D01* -X112067420Y-100250000D01* -X112016110Y-100292110D01* -X111973303Y-100344269D01* -X111972164Y-100346400D01* -X110375755Y-100346400D01* -X110253600Y-100224246D01* -X110253600Y-96175754D01* -X110504133Y-95925222D01* -X110515716Y-95915716D01* -X110553655Y-95869487D01* -X110581846Y-95816744D01* -X110599206Y-95759516D01* -X110603600Y-95714904D01* -X110603600Y-95714903D01* -X110605068Y-95700000D01* -X110603600Y-95685096D01* -X110603600Y-95314904D01* -X110605068Y-95300000D01* -X110599206Y-95240484D01* -X110597572Y-95235097D01* -X110581846Y-95183256D01* -X110553655Y-95130513D01* -X110515716Y-95084284D01* -X110504135Y-95074780D01* -X109875226Y-94445872D01* -X109865716Y-94434284D01* -X109819487Y-94396345D01* -X109766744Y-94368154D01* -X109709516Y-94350794D01* -X109664904Y-94346400D01* -X109650000Y-94344932D01* -X109635096Y-94346400D01* -X109419040Y-94346400D01* -X109404609Y-94298827D01* -X109374141Y-94241825D01* -X109333137Y-94191863D01* -X109283175Y-94150859D01* -X109226173Y-94120391D01* -X109164322Y-94101629D01* -X109100000Y-94095294D01* -X108900000Y-94095294D01* -X108835678Y-94101629D01* -X108773827Y-94120391D01* -X108716825Y-94150859D01* -X108708122Y-94158001D01* -X108694286Y-94146646D01* -X108641606Y-94118488D01* -X108584445Y-94101149D01* -X108525000Y-94095294D01* -X108375000Y-94095294D01* -X108315555Y-94101149D01* -X108258394Y-94118488D01* -X108205714Y-94146646D01* -X108200000Y-94151335D01* -X108194286Y-94146646D01* -X108141606Y-94118488D01* -X108084445Y-94101149D01* -X108025000Y-94095294D01* -X107875000Y-94095294D01* -X107815555Y-94101149D01* -X107758394Y-94118488D01* -X107705714Y-94146646D01* -X107691878Y-94158001D01* -X107683175Y-94150859D01* -X107626173Y-94120391D01* -X107564322Y-94101629D01* -X107500000Y-94095294D01* -X107300000Y-94095294D01* -X107235678Y-94101629D01* -X107173827Y-94120391D01* -X107116825Y-94150859D01* -X107066863Y-94191863D01* -X107025859Y-94241825D01* -X106995391Y-94298827D01* -X106980960Y-94346400D01* -X106814904Y-94346400D01* -X106800000Y-94344932D01* -X106740484Y-94350794D01* -X106683255Y-94368154D01* -X106642773Y-94389792D01* -X106630513Y-94396345D01* -X106584284Y-94434284D01* -X106574779Y-94445866D01* -X104345867Y-96674779D01* -X104334285Y-96684284D01* -X104296346Y-96730513D01* -X104269621Y-96780513D01* -X104268155Y-96783256D01* -X104250794Y-96840485D01* -X104244932Y-96900000D01* -X104246401Y-96914914D01* -X104246400Y-99135096D01* -X104244932Y-99150000D01* -X104246400Y-99164903D01* -X104250794Y-99209515D01* -X104268154Y-99266743D01* -X104296345Y-99319486D01* -X104334284Y-99365716D01* -X104345871Y-99375225D01* -X104496400Y-99525755D01* -X104496400Y-99585096D01* -X104494932Y-99600000D01* -X104498165Y-99632819D01* -X104500794Y-99659515D01* -X104518154Y-99716743D01* -X104546345Y-99769486D01* -X104584284Y-99815716D01* -X104595871Y-99825225D01* -X104896400Y-100125756D01* -X104896400Y-100274245D01* -X104574246Y-100596400D01* -X104452598Y-100596400D01* -X104454706Y-100575000D01* -X104453600Y-100280950D01* -X104396450Y-100223800D01* -X103823800Y-100223800D01* -X103823800Y-100243800D01* -X103676200Y-100243800D01* -X103676200Y-100223800D01* -X103103550Y-100223800D01* -X103046400Y-100280950D01* -X103045294Y-100575000D01* -X103047402Y-100596400D01* -X102674811Y-100596400D01* -X102675292Y-100594813D01* -X102679706Y-100550000D01* -X102678600Y-100530950D01* -X102621450Y-100473800D01* -X101786300Y-100473800D01* -X101786300Y-100493800D01* -X101638700Y-100493800D01* -X101638700Y-100473800D01* -X100803550Y-100473800D01* -X100746400Y-100530950D01* -X100745294Y-100550000D01* -X100749708Y-100594813D01* -X100762779Y-100637905D01* -X100784006Y-100677618D01* -X100784570Y-100678306D01* -X100768488Y-100708394D01* -X100751149Y-100765555D01* -X100745294Y-100825000D01* -X100745294Y-100962860D01* -X100689603Y-100939792D01* -X100597138Y-100921400D01* -X100502862Y-100921400D01* -X100410397Y-100939792D01* -X100323298Y-100975870D01* -X100244910Y-101028247D01* -X100178247Y-101094910D01* -X100125870Y-101173298D01* -X100089792Y-101260397D01* -X100089785Y-101260431D01* -X100026836Y-101197482D01* -X100074130Y-101126702D01* -X100110208Y-101039603D01* -X100128600Y-100947138D01* -X100128600Y-100852862D01* -X100110208Y-100760397D01* -X100074130Y-100673298D01* -X100021753Y-100594910D01* -X99955090Y-100528247D01* -X99876702Y-100475870D01* -X99789603Y-100439792D01* -X99697138Y-100421400D01* -X99602862Y-100421400D01* -X99510397Y-100439792D01* -X99423298Y-100475870D01* -X99344910Y-100528247D01* -X99278247Y-100594910D01* -X99225870Y-100673298D01* -X99189792Y-100760397D01* -X99171400Y-100852862D01* -X99171400Y-100947138D01* -X99189792Y-101039603D01* -X99225870Y-101126702D01* -X99278247Y-101205090D01* -X99344910Y-101271753D01* -X99347227Y-101273301D01* -X99350794Y-101309516D01* -X99368154Y-101366744D01* -X99396346Y-101419487D01* -X99434285Y-101465716D01* -X99445867Y-101475221D01* -X99967045Y-101996400D01* -X99764903Y-101996400D01* -X99752640Y-101995192D01* -X99739603Y-101989792D01* -X99647138Y-101971400D01* -X99552862Y-101971400D01* -X99460397Y-101989792D01* -X99373298Y-102025870D01* -X99294910Y-102078247D01* -X99228247Y-102144910D01* -X99175870Y-102223298D01* -X99139792Y-102310397D01* -X99121400Y-102402862D01* -X99121400Y-102497138D01* -X99139792Y-102589603D01* -X99175870Y-102676702D01* -X99228247Y-102755090D01* -X99294910Y-102821753D01* -X99373298Y-102874130D01* -X99460397Y-102910208D01* -X99552862Y-102928600D01* -X99647138Y-102928600D01* -X99739603Y-102910208D01* -X99826702Y-102874130D01* -X99905090Y-102821753D01* -X99971753Y-102755090D01* -X100024130Y-102676702D01* -X100054410Y-102603600D01* -X100624245Y-102603600D01* -X100624778Y-102604133D01* -X100634284Y-102615716D01* -X100680513Y-102653655D01* -X100733256Y-102681846D01* -X100754805Y-102688383D01* -X100749708Y-102705187D01* -X100745294Y-102750000D01* -X100746400Y-102769050D01* -X100803550Y-102826200D01* -X101638700Y-102826200D01* -X101638700Y-102806200D01* -X101786300Y-102806200D01* -X101786300Y-102826200D01* -X102621450Y-102826200D01* -X102678600Y-102769050D01* -X102679706Y-102750000D01* -X102675292Y-102705187D01* -X102662221Y-102662095D01* -X102640994Y-102622382D01* -X102640430Y-102621694D01* -X102656512Y-102591606D01* -X102673851Y-102534445D01* -X102679706Y-102475000D01* -X102679706Y-102325000D01* -X102673851Y-102265555D01* -X102656512Y-102208394D01* -X102628354Y-102155714D01* -X102623665Y-102150000D01* -X102628354Y-102144286D01* -X102656512Y-102091606D01* -X102673851Y-102034445D01* -X102676856Y-102003939D01* -X102732818Y-102049866D01* -X102815962Y-102094308D01* -X102906178Y-102121674D01* -X102976496Y-102128600D01* -X102976503Y-102128600D01* -X102999999Y-102130914D01* -X103023495Y-102128600D01* -X103121401Y-102128600D01* -X103121401Y-102538083D01* -X103121400Y-102538088D01* -X103121400Y-102661912D01* -X103121401Y-102661917D01* -X103121401Y-103071400D01* -X102888376Y-103071400D01* -X102845585Y-103048528D01* -X102774218Y-103026879D01* -X102718590Y-103021400D01* -X102718582Y-103021400D01* -X102700000Y-103019570D01* -X102681418Y-103021400D01* -X102669050Y-103021400D01* -X102621450Y-102973800D01* -X101786300Y-102973800D01* -X101786300Y-102993800D01* -X101638700Y-102993800D01* -X101638700Y-102973800D01* -X100803550Y-102973800D01* -X100755950Y-103021400D01* -X100668579Y-103021400D01* -X100649999Y-103019570D01* -X100631419Y-103021400D01* -X100631410Y-103021400D01* -X100608488Y-103023658D01* -X100597138Y-103021400D01* -X100502862Y-103021400D01* -X100410397Y-103039792D01* -X100323298Y-103075870D01* -X100244910Y-103128247D01* -X100178247Y-103194910D01* -X100125870Y-103273298D01* -X100089792Y-103360397D01* -X100071400Y-103452862D01* -X100071400Y-103547138D01* -X100089792Y-103639603D01* -X100113318Y-103696400D01* -X99972749Y-103696400D01* -X99971753Y-103694910D01* -X99905090Y-103628247D01* -X99826702Y-103575870D01* -X99739603Y-103539792D01* -X99647138Y-103521400D01* -X99552862Y-103521400D01* -X99460397Y-103539792D01* -X99373298Y-103575870D01* -X99294910Y-103628247D01* -X99228247Y-103694910D01* -X99175870Y-103773298D01* -X99139792Y-103860397D01* -X99121400Y-103952862D01* -X99121400Y-104047138D01* -X99139792Y-104139603D01* -X99175870Y-104226702D01* -X99228247Y-104305090D01* -X99294910Y-104371753D01* -X99373298Y-104424130D01* -X99460397Y-104460208D01* -X99552862Y-104478600D01* -X99647138Y-104478600D01* -X99739603Y-104460208D01* -X99826702Y-104424130D01* -X99905090Y-104371753D01* -X99971753Y-104305090D01* -X99972749Y-104303600D01* -X100063318Y-104303600D01* -X100039792Y-104360397D01* -X100021400Y-104452862D01* -X100021400Y-104547138D01* -X100039792Y-104639603D01* -X100075870Y-104726702D01* -X100128247Y-104805090D01* -X100194910Y-104871753D01* -X100210240Y-104881996D01* -X99946118Y-105146118D01* -X99936642Y-105157666D01* -X99929600Y-105170840D01* -X99925264Y-105185134D01* -X99923800Y-105200000D01* -X99923800Y-107318436D01* -X99718436Y-107523800D01* -X98650000Y-107523800D01* -X98635134Y-107525264D01* -X98620840Y-107529600D01* -X98607666Y-107536642D01* -X98596118Y-107546118D01* -X98296118Y-107846118D01* -X98286642Y-107857666D01* -X98279600Y-107870840D01* -X98275264Y-107885134D01* -X98273800Y-107900000D01* -X98273800Y-108072695D01* -X98273478Y-108073298D01* -X98268155Y-108083256D01* -X98261618Y-108104805D01* -X98244813Y-108099708D01* -X98200000Y-108095294D01* -X98180950Y-108096400D01* -X98123800Y-108153550D01* -X97976200Y-108153550D01* -X97928600Y-108105950D01* -X97928600Y-108018579D01* -X97930430Y-107999999D01* -X97928600Y-107981419D01* -X97928600Y-107981410D01* -X97926342Y-107958488D01* -X97928600Y-107947138D01* -X97928600Y-107852862D01* -X97910208Y-107760397D01* -X97874130Y-107673298D01* -X97821753Y-107594910D01* -X97755090Y-107528247D01* -X97676702Y-107475870D01* -X97624930Y-107454425D01* -X97667696Y-107411660D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97939603Y-107410208D01* -X98026702Y-107374130D01* -X98105090Y-107321753D01* -X98171753Y-107255090D01* -X98224130Y-107176702D01* -X98260208Y-107089603D01* -X98278600Y-106997138D01* -X98278600Y-106902862D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97322298Y-106898346D01* -X96745872Y-107474774D01* -X96734284Y-107484284D01* -X96696345Y-107530514D01* -X96668154Y-107583257D01* -X96650794Y-107640484D01* -X96650794Y-107640485D01* -X96644932Y-107700000D01* -X96646400Y-107714904D01* -X96646400Y-108085096D01* -X96645200Y-108097284D01* -X96625000Y-108095294D01* -X96475000Y-108095294D01* -X96415555Y-108101149D01* -X96358394Y-108118488D01* -X96305714Y-108146646D01* -X96300000Y-108151335D01* -X96294286Y-108146646D01* -X96241606Y-108118488D01* -X96184445Y-108101149D01* -X96125000Y-108095294D01* -X95975000Y-108095294D01* -X95915555Y-108101149D01* -X95858394Y-108118488D01* -X95805714Y-108146646D01* -X95800000Y-108151335D01* -X95794286Y-108146646D01* -X95741606Y-108118488D01* -X95684445Y-108101149D01* -X95625000Y-108095294D01* -X95475000Y-108095294D01* -X95415555Y-108101149D01* -X95358394Y-108118488D01* -X95305714Y-108146646D01* -X95300000Y-108151335D01* -X95294286Y-108146646D01* -X95241606Y-108118488D01* -X95184445Y-108101149D01* -X95125000Y-108095294D01* -X94975000Y-108095294D01* -X94915555Y-108101149D01* -X94858394Y-108118488D01* -X94805714Y-108146646D01* -X94800000Y-108151335D01* -X94794286Y-108146646D01* -X94741606Y-108118488D01* -X94684445Y-108101149D01* -X94625000Y-108095294D01* -X94475000Y-108095294D01* -X94415555Y-108101149D01* -X94358394Y-108118488D01* -X94305714Y-108146646D01* -X94300000Y-108151335D01* -X94294286Y-108146646D01* -X94241606Y-108118488D01* -X94184445Y-108101149D01* -X94125000Y-108095294D01* -X93975000Y-108095294D01* -X93915555Y-108101149D01* -X93858394Y-108118488D01* -X93805714Y-108146646D01* -X93800000Y-108151335D01* -X93794286Y-108146646D01* -X93741606Y-108118488D01* -X93684445Y-108101149D01* -X93625000Y-108095294D01* -X93475000Y-108095294D01* -X93415555Y-108101149D01* -X93358394Y-108118488D01* -X93305714Y-108146646D01* -X93300000Y-108151335D01* -X93294286Y-108146646D01* -X93241606Y-108118488D01* -X93184445Y-108101149D01* -X93125000Y-108095294D01* -X92975000Y-108095294D01* -X92915555Y-108101149D01* -X92858394Y-108118488D01* -X92805714Y-108146646D01* -X92800000Y-108151335D01* -X92794286Y-108146646D01* -X92741606Y-108118488D01* -X92684445Y-108101149D01* -X92625000Y-108095294D01* -X92475000Y-108095294D01* -X92415555Y-108101149D01* -X92358394Y-108118488D01* -X92305714Y-108146646D01* -X92300000Y-108151335D01* -X92294286Y-108146646D01* -X92241606Y-108118488D01* -X92184445Y-108101149D01* -X92125000Y-108095294D01* -X91975000Y-108095294D01* -X91915555Y-108101149D01* -X91858394Y-108118488D01* -X91805714Y-108146646D01* -X91800000Y-108151335D01* -X91794286Y-108146646D01* -X91741606Y-108118488D01* -X91684445Y-108101149D01* -X91625000Y-108095294D01* -X91475000Y-108095294D01* -X91415555Y-108101149D01* -X91358394Y-108118488D01* -X91328306Y-108134570D01* -X91327618Y-108134006D01* -X91287905Y-108112779D01* -X91244813Y-108099708D01* -X91200000Y-108095294D01* -X91180950Y-108096400D01* -X91123800Y-108153550D01* -X91123800Y-108988700D01* -X91143800Y-108988700D01* -X91143800Y-109136300D01* -X91123800Y-109136300D01* -X91123800Y-109971450D01* -X91180950Y-110028600D01* -X91200000Y-110029706D01* -X91244813Y-110025292D01* -X91287905Y-110012221D01* -X91327618Y-109990994D01* -X91328306Y-109990430D01* -X91358394Y-110006512D01* -X91415555Y-110023851D01* -X91475000Y-110029706D01* -X91625000Y-110029706D01* -X91684445Y-110023851D01* -X91741606Y-110006512D01* -X91755442Y-109999116D01* -X91760232Y-110014903D01* -X91768155Y-110041022D01* -X91796346Y-110093765D01* -X91834285Y-110139994D01* -X91845867Y-110149499D01* -X92646400Y-110950034D01* -X92646401Y-113367047D01* -X92425226Y-113145872D01* -X92415716Y-113134284D01* -X92369487Y-113096345D01* -X92316744Y-113068154D01* -X92259516Y-113050794D01* -X92214904Y-113046400D01* -X92200000Y-113044932D01* -X92185096Y-113046400D01* -X85800756Y-113046400D01* -X83303600Y-110549246D01* -X83303600Y-109667369D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83426702Y-108775870D01* -X83339603Y-108739792D01* -X83247138Y-108721400D01* -X83152862Y-108721400D01* -X83060397Y-108739792D01* -X83003600Y-108763318D01* -X83003600Y-108672749D01* -X83005090Y-108671753D01* -X83071753Y-108605090D01* -X83124130Y-108526702D01* -X83160208Y-108439603D01* -X83178600Y-108347138D01* -X83178600Y-108252862D01* -X83160208Y-108160397D01* -X83124130Y-108073298D01* -X83071753Y-107994910D01* -X83005090Y-107928247D01* -X82926702Y-107875870D01* -X82839603Y-107839792D01* -X82747138Y-107821400D01* -X82652862Y-107821400D01* -X82560397Y-107839792D01* -X82473298Y-107875870D01* -X82394910Y-107928247D01* -X82328247Y-107994910D01* -X82275870Y-108073298D01* -X82239792Y-108160397D01* -X82221400Y-108252862D01* -X82221400Y-108347138D01* -X82239792Y-108439603D01* -X82275870Y-108526702D01* -X82328247Y-108605090D01* -X82394910Y-108671753D01* -X82396400Y-108672749D01* -X82396400Y-108763318D01* -X82339603Y-108739792D01* -X82247138Y-108721400D01* -X82152862Y-108721400D01* -X82060397Y-108739792D01* -X81973298Y-108775870D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X81654706Y-109152862D01* -X81654706Y-109125000D01* -X81646930Y-109046046D01* -X81623900Y-108970126D01* -X81586501Y-108900157D01* -X81536170Y-108838830D01* -X81474843Y-108788499D01* -X81404874Y-108751100D01* -X81328954Y-108728070D01* -X81250000Y-108720294D01* -X80650000Y-108720294D01* -X80571046Y-108728070D01* -X80495126Y-108751100D01* -X80425157Y-108788499D01* -X80363830Y-108838830D01* -X80313499Y-108900157D01* -X80284244Y-108954889D01* -X80216891Y-108887536D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79375870Y-108423298D01* -X79339792Y-108510397D01* -X79321400Y-108602862D01* -X78932217Y-108602862D01* -X77932217Y-107602862D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80293689Y-108062780D01* -X80313499Y-108099843D01* -X80363830Y-108161170D01* -X80425157Y-108211501D01* -X80495126Y-108248900D01* -X80571046Y-108271930D01* -X80650000Y-108279706D01* -X81250000Y-108279706D01* -X81328954Y-108271930D01* -X81404874Y-108248900D01* -X81474843Y-108211501D01* -X81536170Y-108161170D01* -X81586501Y-108099843D01* -X81623900Y-108029874D01* -X81646930Y-107953954D01* -X81654706Y-107875000D01* -X81654706Y-107525000D01* -X81646930Y-107446046D01* -X81623900Y-107370126D01* -X81586501Y-107300157D01* -X81536170Y-107238830D01* -X81474843Y-107188499D01* -X81404874Y-107151100D01* -X81328954Y-107128070D01* -X81250000Y-107120294D01* -X80650000Y-107120294D01* -X80571046Y-107128070D01* -X80495126Y-107151100D01* -X80425157Y-107188499D01* -X80363830Y-107238830D01* -X80339842Y-107268059D01* -X80276702Y-107225870D01* -X80189603Y-107189792D01* -X80097138Y-107171400D01* -X80002862Y-107171400D01* -X79910397Y-107189792D01* -X79823298Y-107225870D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X77932217Y-107602862D01* -X75503600Y-105174246D01* -X75503600Y-97911000D01* -X76636294Y-97911000D01* -X76640708Y-97955813D01* -X76653779Y-97998905D01* -X76675006Y-98038618D01* -X76703573Y-98073427D01* -X76738382Y-98101994D01* -X76778095Y-98123221D01* -X76821187Y-98136292D01* -X76866000Y-98140706D01* -X77085050Y-98139600D01* -X77142200Y-98082450D01* -X77142200Y-97134800D01* -X77289800Y-97134800D01* -X77289800Y-98082450D01* -X77346950Y-98139600D01* -X77566000Y-98140706D01* -X77610813Y-98136292D01* -X77653905Y-98123221D01* -X77693618Y-98101994D01* -X77728427Y-98073427D01* -X77756994Y-98038618D01* -X77778221Y-97998905D01* -X77791292Y-97955813D01* -X77795706Y-97911000D01* -X77794600Y-97191950D01* -X77737450Y-97134800D01* -X77289800Y-97134800D01* -X77142200Y-97134800D01* -X76694550Y-97134800D01* -X76637400Y-97191950D01* -X76636294Y-97911000D01* -X75503600Y-97911000D01* -X75503600Y-97225754D01* -X76518354Y-96211000D01* -X76636294Y-96211000D01* -X76637400Y-96930050D01* -X76694550Y-96987200D01* -X77142200Y-96987200D01* -X77142200Y-96039550D01* -X77289800Y-96039550D01* -X77289800Y-96987200D01* -X77737450Y-96987200D01* -X77794600Y-96930050D01* -X77795706Y-96211000D01* -X77791292Y-96166187D01* -X77778221Y-96123095D01* -X77756994Y-96083382D01* -X77728427Y-96048573D01* -X77693618Y-96020006D01* -X77653905Y-95998779D01* -X77610813Y-95985708D01* -X77566000Y-95981294D01* -X77346950Y-95982400D01* -X77289800Y-96039550D01* -X77142200Y-96039550D01* -X77085050Y-95982400D01* -X76866000Y-95981294D01* -X76821187Y-95985708D01* -X76778095Y-95998779D01* -X76738382Y-96020006D01* -X76703573Y-96048573D01* -X76675006Y-96083382D01* -X76653779Y-96123095D01* -X76640708Y-96166187D01* -X76636294Y-96211000D01* -X76518354Y-96211000D01* -X77018492Y-95710862D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77835292Y-95897603D01* -X77871370Y-95984702D01* -X77923747Y-96063090D01* -X77990410Y-96129753D01* -X77996779Y-96134009D01* -X77974499Y-96161157D01* -X77937100Y-96231126D01* -X77914070Y-96307046D01* -X77906294Y-96386000D01* -X77906294Y-97736000D01* -X77914070Y-97814954D01* -X77937100Y-97890874D01* -X77974499Y-97960843D01* -X78024830Y-98022170D01* -X78086157Y-98072501D01* -X78156126Y-98109900D01* -X78232046Y-98132930D01* -X78311000Y-98140706D01* -X78661000Y-98140706D01* -X78739954Y-98132930D01* -X78815874Y-98109900D01* -X78885843Y-98072501D01* -X78947170Y-98022170D01* -X78997501Y-97960843D01* -X79034900Y-97890874D01* -X79057930Y-97814954D01* -X79065706Y-97736000D01* -X79065706Y-96386000D01* -X79057930Y-96307046D01* -X79034900Y-96231126D01* -X78997501Y-96161157D01* -X78947170Y-96099830D01* -X78885843Y-96049499D01* -X78815874Y-96012100D01* -X78789600Y-96004130D01* -X78789600Y-95963404D01* -X78791068Y-95948500D01* -X78785206Y-95888984D01* -X78780964Y-95875000D01* -X78768425Y-95833666D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X77018492Y-95710862D01* -X77625756Y-95103600D01* -X81524246Y-95103600D01* -X82696401Y-96275757D01* -X82696400Y-97585096D01* -X82694932Y-97600000D01* -X82696400Y-97614903D01* -X82700794Y-97659515D01* -X82718154Y-97716743D01* -X82746345Y-97769486D01* -X82784284Y-97815716D01* -X82795871Y-97825225D01* -X83174783Y-98204139D01* -X83184284Y-98215716D01* -X83197469Y-98226537D01* -X83194910Y-98228247D01* -X83178193Y-98244964D01* -X83159942Y-98259942D01* -X83144964Y-98278193D01* -X83128247Y-98294910D01* -X83115112Y-98314568D01* -X83100134Y-98332819D01* -X83089005Y-98353641D01* -X83075870Y-98373298D01* -X83066823Y-98395140D01* -X83055693Y-98415962D01* -X83048840Y-98438554D01* -X83039792Y-98460397D01* -X83035180Y-98483586D01* -X83028326Y-98506179D01* -X83026012Y-98529676D01* -X83021400Y-98552862D01* -X83021400Y-98576504D01* -X83019086Y-98600000D01* -X83021400Y-98623496D01* -X83021400Y-98647138D01* -X83026012Y-98670324D01* -X83028326Y-98693821D01* -X83035180Y-98716414D01* -X83039792Y-98739603D01* -X83048840Y-98761446D01* -X83055693Y-98784038D01* -X83066823Y-98804860D01* -X83075870Y-98826702D01* -X83089005Y-98846359D01* -X83100134Y-98867181D01* -X83115112Y-98885432D01* -X83128247Y-98905090D01* -X83194910Y-98971753D01* -X83194913Y-98971755D01* -X83294958Y-99071800D01* -X83309942Y-99090058D01* -X83382818Y-99149866D01* -X83465962Y-99194308D01* -X83556178Y-99221674D01* -X83626496Y-99228600D01* -X83626503Y-99228600D01* -X83649999Y-99230914D01* -X83673495Y-99228600D01* -X83736580Y-99228600D01* -X83774813Y-99275187D01* -X83841824Y-99330181D01* -X83918275Y-99371045D01* -X84001230Y-99396209D01* -X84087500Y-99404706D01* -X84612500Y-99404706D01* -X84698770Y-99396209D01* -X84771400Y-99374177D01* -X84771400Y-99397138D01* -X84789792Y-99489603D01* -X84792193Y-99495399D01* -X84480950Y-99496400D01* -X84423800Y-99553550D01* -X84423800Y-100076200D01* -X84996450Y-100076200D01* -X85053600Y-100019050D01* -X85054473Y-99787043D01* -X85110397Y-99810208D01* -X85202862Y-99828600D01* -X85297138Y-99828600D01* -X85389603Y-99810208D01* -X85441190Y-99788840D01* -X85478550Y-99826200D01* -X86123800Y-99826200D01* -X86123800Y-99973800D01* -X85478550Y-99973800D01* -X85421400Y-100030950D01* -X85420294Y-100050000D01* -X85424708Y-100094813D01* -X85437779Y-100137905D01* -X85444244Y-100150000D01* -X85437779Y-100162095D01* -X85424708Y-100205187D01* -X85420294Y-100250000D01* -X85421400Y-100269050D01* -X85478550Y-100326200D01* -X86123800Y-100326200D01* -X86123800Y-100473800D01* -X85478550Y-100473800D01* -X85421400Y-100530950D01* -X85420294Y-100550000D01* -X85424708Y-100594813D01* -X85425189Y-100596400D01* -X85052598Y-100596400D01* -X85054706Y-100575000D01* -X85053600Y-100280950D01* -X84996450Y-100223800D01* -X84423800Y-100223800D01* -X84423800Y-100243800D01* -X84276200Y-100243800D01* -X84276200Y-100223800D01* -X83703550Y-100223800D01* -X83646400Y-100280950D01* -X83645294Y-100575000D01* -X83647402Y-100596400D01* -X80724904Y-100596400D01* -X80710000Y-100594932D01* -X80695096Y-100596400D01* -X80650484Y-100600794D01* -X80593256Y-100618154D01* -X80540513Y-100646345D01* -X80494284Y-100684284D01* -X80484779Y-100695866D01* -X78534896Y-102645750D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78346397Y-102663792D01* -X78259298Y-102699870D01* -X78180910Y-102752247D01* -X78114247Y-102818910D01* -X78061870Y-102897298D01* -X78025792Y-102984397D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78069952Y-103362798D01* -X78024830Y-103399830D01* -X77974499Y-103461157D01* -X77937100Y-103531126D01* -X77914070Y-103607046D01* -X77906294Y-103686000D01* -X77906294Y-105036000D01* -X77914070Y-105114954D01* -X77937100Y-105190874D01* -X77974499Y-105260843D01* -X78024830Y-105322170D01* -X78086157Y-105372501D01* -X78156126Y-105409900D01* -X78232046Y-105432930D01* -X78311000Y-105440706D01* -X78661000Y-105440706D01* -X78739954Y-105432930D01* -X78815874Y-105409900D01* -X78885843Y-105372501D01* -X78947170Y-105322170D01* -X78997501Y-105260843D01* -X79034900Y-105190874D01* -X79057930Y-105114954D01* -X79065706Y-105036000D01* -X79065706Y-103686000D01* -X79176294Y-103686000D01* -X79176294Y-105036000D01* -X79184070Y-105114954D01* -X79207100Y-105190874D01* -X79244499Y-105260843D01* -X79294830Y-105322170D01* -X79356157Y-105372501D01* -X79426126Y-105409900D01* -X79502046Y-105432930D01* -X79581000Y-105440706D01* -X79931000Y-105440706D01* -X80009954Y-105432930D01* -X80085874Y-105409900D01* -X80155843Y-105372501D01* -X80217170Y-105322170D01* -X80267501Y-105260843D01* -X80304900Y-105190874D01* -X80327930Y-105114954D01* -X80335706Y-105036000D01* -X80335706Y-103686000D01* -X80446294Y-103686000D01* -X80446294Y-105036000D01* -X80454070Y-105114954D01* -X80477100Y-105190874D01* -X80514499Y-105260843D01* -X80547401Y-105300933D01* -X80547401Y-105553357D01* -X80547400Y-105553362D01* -X80547400Y-105647638D01* -X80552013Y-105670831D01* -X80554327Y-105694322D01* -X80561179Y-105716909D01* -X80565792Y-105740103D01* -X80574841Y-105761948D01* -X80581693Y-105784538D01* -X80592822Y-105805359D01* -X80601870Y-105827202D01* -X80615006Y-105846862D01* -X80626135Y-105867682D01* -X80641110Y-105885929D01* -X80654247Y-105905590D01* -X80670968Y-105922311D01* -X80685943Y-105940558D01* -X80704190Y-105955533D01* -X80720910Y-105972253D01* -X80740570Y-105985389D01* -X80758819Y-106000366D01* -X80779642Y-106011496D01* -X80799298Y-106024630D01* -X80821137Y-106033676D01* -X80841963Y-106044808D01* -X80864558Y-106051662D01* -X80886397Y-106060708D01* -X80909584Y-106065320D01* -X80932179Y-106072174D01* -X80955678Y-106074489D01* -X80978862Y-106079100D01* -X81002497Y-106079100D01* -X81026000Y-106081415D01* -X81049504Y-106079100D01* -X81073138Y-106079100D01* -X81096321Y-106074489D01* -X81119822Y-106072174D01* -X81142419Y-106065320D01* -X81165603Y-106060708D01* -X81187439Y-106051663D01* -X81210038Y-106044808D01* -X81230867Y-106033674D01* -X81252702Y-106024630D01* -X81272354Y-106011499D01* -X81293182Y-106000366D01* -X81311436Y-105985386D01* -X81331090Y-105972253D01* -X81347806Y-105955537D01* -X81366058Y-105940558D01* -X81381037Y-105922306D01* -X81397753Y-105905590D01* -X81410886Y-105885936D01* -X81425866Y-105867682D01* -X81436999Y-105846854D01* -X81450130Y-105827202D01* -X81459174Y-105805367D01* -X81470308Y-105784538D01* -X81477163Y-105761939D01* -X81486208Y-105740103D01* -X81490820Y-105716919D01* -X81497674Y-105694322D01* -X81499989Y-105670821D01* -X81504600Y-105647638D01* -X81504600Y-105300932D01* -X81537501Y-105260843D01* -X81574900Y-105190874D01* -X81597930Y-105114954D01* -X81605706Y-105036000D01* -X81605706Y-104839600D01* -X81865504Y-104839600D01* -X81889000Y-104841914D01* -X81912496Y-104839600D01* -X81912504Y-104839600D01* -X81982822Y-104832674D01* -X82073038Y-104805308D01* -X82121134Y-104779600D01* -X82186580Y-104779600D01* -X82224813Y-104826187D01* -X82291824Y-104881181D01* -X82321401Y-104896990D01* -X82321401Y-105052857D01* -X82321400Y-105052862D01* -X82321400Y-105147138D01* -X82326013Y-105170331D01* -X82328327Y-105193822D01* -X82335179Y-105216409D01* -X82339792Y-105239603D01* -X82348841Y-105261448D01* -X82355693Y-105284038D01* -X82366822Y-105304859D01* -X82375870Y-105326702D01* -X82389006Y-105346362D01* -X82400135Y-105367182D01* -X82415110Y-105385429D01* -X82428247Y-105405090D01* -X82444968Y-105421811D01* -X82459943Y-105440058D01* -X82478190Y-105455033D01* -X82494910Y-105471753D01* -X82514570Y-105484889D01* -X82532819Y-105499866D01* -X82553642Y-105510996D01* -X82573298Y-105524130D01* -X82595137Y-105533176D01* -X82615963Y-105544308D01* -X82638558Y-105551162D01* -X82660397Y-105560208D01* -X82683584Y-105564820D01* -X82706179Y-105571674D01* -X82729678Y-105573989D01* -X82752862Y-105578600D01* -X82776497Y-105578600D01* -X82800000Y-105580915D01* -X82823504Y-105578600D01* -X82847138Y-105578600D01* -X82870321Y-105573989D01* -X82893822Y-105571674D01* -X82916419Y-105564820D01* -X82939603Y-105560208D01* -X82961439Y-105551163D01* -X82984038Y-105544308D01* -X83004867Y-105533174D01* -X83026702Y-105524130D01* -X83046354Y-105510999D01* -X83067182Y-105499866D01* -X83085436Y-105484886D01* -X83105090Y-105471753D01* -X83121806Y-105455037D01* -X83140058Y-105440058D01* -X83155037Y-105421806D01* -X83171753Y-105405090D01* -X83184886Y-105385436D01* -X83199866Y-105367182D01* -X83210999Y-105346354D01* -X83224130Y-105326702D01* -X83233174Y-105304867D01* -X83244308Y-105284038D01* -X83251163Y-105261439D01* -X83260208Y-105239603D01* -X83264820Y-105216419D01* -X83271674Y-105193822D01* -X83273989Y-105170321D01* -X83278600Y-105147138D01* -X83278600Y-104896990D01* -X83308176Y-104881181D01* -X83375187Y-104826187D01* -X83430181Y-104759176D01* -X83471045Y-104682725D01* -X83496209Y-104599770D01* -X83504706Y-104513500D01* -X83504706Y-104088500D01* -X83496209Y-104002230D01* -X83471045Y-103919275D01* -X83430181Y-103842824D01* -X83375187Y-103775813D01* -X83308176Y-103720819D01* -X83231725Y-103679955D01* -X83148770Y-103654791D01* -X83062500Y-103646294D01* -X82537500Y-103646294D01* -X82451230Y-103654791D01* -X82368275Y-103679955D01* -X82291824Y-103720819D01* -X82224813Y-103775813D01* -X82186580Y-103822400D01* -X82002165Y-103822400D01* -X81997138Y-103821400D01* -X81973496Y-103821400D01* -X81950000Y-103819086D01* -X81949999Y-103819086D01* -X81926503Y-103821400D01* -X81902862Y-103821400D01* -X81879676Y-103826012D01* -X81856179Y-103828326D01* -X81833586Y-103835180D01* -X81810397Y-103839792D01* -X81788554Y-103848840D01* -X81765962Y-103855693D01* -X81745140Y-103866823D01* -X81723298Y-103875870D01* -X81713525Y-103882400D01* -X81605706Y-103882400D01* -X81605706Y-103686000D01* -X81597930Y-103607046D01* -X81574900Y-103531126D01* -X81537501Y-103461157D01* -X81487170Y-103399830D01* -X81425843Y-103349499D01* -X81355874Y-103312100D01* -X81279954Y-103289070D01* -X81201000Y-103281294D01* -X80851000Y-103281294D01* -X80772046Y-103289070D01* -X80696126Y-103312100D01* -X80626157Y-103349499D01* -X80564830Y-103399830D01* -X80514499Y-103461157D01* -X80477100Y-103531126D01* -X80454070Y-103607046D01* -X80446294Y-103686000D01* -X80335706Y-103686000D01* -X80327930Y-103607046D01* -X80304900Y-103531126D01* -X80267501Y-103461157D01* -X80217170Y-103399830D01* -X80172048Y-103362798D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80223687Y-103226000D01* -X82095294Y-103226000D01* -X82099708Y-103270813D01* -X82112779Y-103313905D01* -X82134006Y-103353618D01* -X82162573Y-103388427D01* -X82197382Y-103416994D01* -X82237095Y-103438221D01* -X82280187Y-103451292D01* -X82325000Y-103455706D01* -X82669050Y-103454600D01* -X82726200Y-103397450D01* -X82726200Y-102874800D01* -X82873800Y-102874800D01* -X82873800Y-103397450D01* -X82930950Y-103454600D01* -X83275000Y-103455706D01* -X83319813Y-103451292D01* -X83362905Y-103438221D01* -X83402618Y-103416994D01* -X83437427Y-103388427D01* -X83465994Y-103353618D01* -X83487221Y-103313905D01* -X83500292Y-103270813D01* -X83504706Y-103226000D01* -X83503600Y-102931950D01* -X83446450Y-102874800D01* -X82873800Y-102874800D01* -X82726200Y-102874800D01* -X82153550Y-102874800D01* -X82096400Y-102931950D01* -X82095294Y-103226000D01* -X80223687Y-103226000D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79616397Y-102663792D01* -X79529298Y-102699870D01* -X79450910Y-102752247D01* -X79384247Y-102818910D01* -X79331870Y-102897298D01* -X79295792Y-102984397D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79339952Y-103362798D01* -X79294830Y-103399830D01* -X79244499Y-103461157D01* -X79207100Y-103531126D01* -X79184070Y-103607046D01* -X79176294Y-103686000D01* -X79065706Y-103686000D01* -X79057930Y-103607046D01* -X79034900Y-103531126D01* -X78997501Y-103461157D01* -X78947170Y-103399830D01* -X78902048Y-103362798D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X78964250Y-103075104D01* -X79663354Y-102376000D01* -X82095294Y-102376000D01* -X82096400Y-102670050D01* -X82153550Y-102727200D01* -X82726200Y-102727200D01* -X82726200Y-102204550D01* -X82873800Y-102204550D01* -X82873800Y-102727200D01* -X83446450Y-102727200D01* -X83503600Y-102670050D01* -X83504706Y-102376000D01* -X83500292Y-102331187D01* -X83487221Y-102288095D01* -X83465994Y-102248382D01* -X83437427Y-102213573D01* -X83402618Y-102185006D01* -X83362905Y-102163779D01* -X83319813Y-102150708D01* -X83275000Y-102146294D01* -X82930950Y-102147400D01* -X82873800Y-102204550D01* -X82726200Y-102204550D01* -X82669050Y-102147400D01* -X82325000Y-102146294D01* -X82280187Y-102150708D01* -X82237095Y-102163779D01* -X82197382Y-102185006D01* -X82162573Y-102213573D01* -X82134006Y-102248382D01* -X82112779Y-102288095D01* -X82099708Y-102331187D01* -X82095294Y-102376000D01* -X79663354Y-102376000D01* -X80835755Y-101203600D01* -X83122441Y-101203600D01* -X83115112Y-101214568D01* -X83100134Y-101232819D01* -X83089005Y-101253641D01* -X83075870Y-101273298D01* -X83066823Y-101295140D01* -X83055693Y-101315962D01* -X83048840Y-101338554D01* -X83039792Y-101360397D01* -X83035180Y-101383586D01* -X83028326Y-101406179D01* -X83026012Y-101429676D01* -X83021400Y-101452862D01* -X83021400Y-101476504D01* -X83019086Y-101500000D01* -X83021400Y-101523496D01* -X83021400Y-101547138D01* -X83026012Y-101570324D01* -X83028326Y-101593821D01* -X83035180Y-101616414D01* -X83039792Y-101639603D01* -X83048840Y-101661446D01* -X83055693Y-101684038D01* -X83066823Y-101704860D01* -X83075870Y-101726702D01* -X83089005Y-101746359D01* -X83100134Y-101767181D01* -X83115112Y-101785432D01* -X83128247Y-101805090D01* -X83194910Y-101871753D01* -X83194913Y-101871755D01* -X83294958Y-101971800D01* -X83309942Y-101990058D01* -X83382818Y-102049866D01* -X83465962Y-102094308D01* -X83556178Y-102121674D01* -X83626496Y-102128600D01* -X83626503Y-102128600D01* -X83649999Y-102130914D01* -X83673495Y-102128600D01* -X83736580Y-102128600D01* -X83774813Y-102175187D01* -X83828550Y-102219287D01* -X83825870Y-102223298D01* -X83816823Y-102245140D01* -X83805693Y-102265962D01* -X83798840Y-102288554D01* -X83789792Y-102310397D01* -X83785180Y-102333586D01* -X83778326Y-102356179D01* -X83776012Y-102379676D01* -X83771400Y-102402862D01* -X83771400Y-102426504D01* -X83769086Y-102450000D01* -X83771400Y-102473496D01* -X83771400Y-102497138D01* -X83776012Y-102520324D01* -X83778326Y-102543821D01* -X83785180Y-102566414D01* -X83789792Y-102589603D01* -X83798840Y-102611446D01* -X83805693Y-102634038D01* -X83816823Y-102654860D01* -X83825870Y-102676702D01* -X83839005Y-102696359D01* -X83850134Y-102717181D01* -X83865112Y-102735432D01* -X83878247Y-102755090D01* -X83894964Y-102771807D01* -X83909942Y-102790058D01* -X83928193Y-102805036D01* -X83944910Y-102821753D01* -X83964568Y-102834888D01* -X83982819Y-102849866D01* -X84003641Y-102860995D01* -X84023298Y-102874130D01* -X84045140Y-102883177D01* -X84065962Y-102894307D01* -X84088554Y-102901160D01* -X84110397Y-102910208D01* -X84133586Y-102914820D01* -X84156179Y-102921674D01* -X84179676Y-102923988D01* -X84202862Y-102928600D01* -X84226504Y-102928600D01* -X84250000Y-102930914D01* -X84273496Y-102928600D01* -X84297138Y-102928600D01* -X84320324Y-102923988D01* -X84343821Y-102921674D01* -X84366414Y-102914820D01* -X84389603Y-102910208D01* -X84411446Y-102901160D01* -X84434038Y-102894307D01* -X84454860Y-102883177D01* -X84476702Y-102874130D01* -X84496359Y-102860995D01* -X84517181Y-102849866D01* -X84535432Y-102834888D01* -X84555090Y-102821753D01* -X84621753Y-102755090D01* -X84621756Y-102755086D01* -X84671796Y-102705045D01* -X84690058Y-102690058D01* -X84749866Y-102617182D01* -X84794308Y-102534038D01* -X84821674Y-102443822D01* -X84828600Y-102373504D01* -X84828600Y-102373495D01* -X84830914Y-102350001D01* -X84828600Y-102326507D01* -X84828600Y-102245990D01* -X84858176Y-102230181D01* -X84925187Y-102175187D01* -X84963420Y-102128600D01* -X85076504Y-102128600D01* -X85100000Y-102130914D01* -X85123496Y-102128600D01* -X85123504Y-102128600D01* -X85193822Y-102121674D01* -X85284038Y-102094308D01* -X85367182Y-102049866D01* -X85423144Y-102003939D01* -X85426149Y-102034445D01* -X85443488Y-102091606D01* -X85445503Y-102095375D01* -X85390484Y-102100794D01* -X85333256Y-102118154D01* -X85280513Y-102146345D01* -X85234284Y-102184284D01* -X85224779Y-102195866D01* -X84145867Y-103274779D01* -X84134285Y-103284284D01* -X84096346Y-103330513D01* -X84083570Y-103354416D01* -X84068155Y-103383256D01* -X84050794Y-103440485D01* -X84044932Y-103500000D01* -X84046401Y-103514914D01* -X84046400Y-104624245D01* -X82524246Y-106146400D01* -X77988255Y-106146400D01* -X77519600Y-105677746D01* -X77519600Y-105417870D01* -X77545874Y-105409900D01* -X77615843Y-105372501D01* -X77677170Y-105322170D01* -X77727501Y-105260843D01* -X77764900Y-105190874D01* -X77787930Y-105114954D01* -X77795706Y-105036000D01* -X77795706Y-103686000D01* -X77787930Y-103607046D01* -X77764900Y-103531126D01* -X77727501Y-103461157D01* -X77677170Y-103399830D01* -X77632048Y-103362798D01* -X77640130Y-103350702D01* -X77676208Y-103263603D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76799952Y-103362798D01* -X76754830Y-103399830D01* -X76704499Y-103461157D01* -X76667100Y-103531126D01* -X76644070Y-103607046D01* -X76636294Y-103686000D01* -X76636294Y-105036000D01* -X76644070Y-105114954D01* -X76667100Y-105190874D01* -X76704499Y-105260843D01* -X76754830Y-105322170D01* -X76816157Y-105372501D01* -X76886126Y-105409900D01* -X76912401Y-105417870D01* -X76912401Y-105533046D01* -X76153600Y-104774246D01* -X76153600Y-102329754D01* -X79960139Y-98523217D01* -X79971716Y-98513716D01* -X80009655Y-98467487D01* -X80037846Y-98414744D01* -X80055206Y-98357516D01* -X80056926Y-98340058D01* -X80061068Y-98298001D01* -X80059600Y-98283097D01* -X80059600Y-98117870D01* -X80085874Y-98109900D01* -X80155843Y-98072501D01* -X80217170Y-98022170D01* -X80267501Y-97960843D01* -X80304900Y-97890874D01* -X80327930Y-97814954D01* -X80335706Y-97736000D01* -X80335706Y-96386000D01* -X80446294Y-96386000D01* -X80446294Y-97736000D01* -X80454070Y-97814954D01* -X80477100Y-97890874D01* -X80514499Y-97960843D01* -X80564830Y-98022170D01* -X80626157Y-98072501D01* -X80696126Y-98109900D01* -X80722401Y-98117870D01* -X80722401Y-98283086D01* -X80720932Y-98298000D01* -X80726794Y-98357515D01* -X80738145Y-98394932D01* -X80744155Y-98414744D01* -X80772346Y-98467487D01* -X80810285Y-98513716D01* -X80821867Y-98523221D01* -X81952779Y-99654134D01* -X81962284Y-99665716D01* -X82008513Y-99703655D01* -X82061256Y-99731846D01* -X82109053Y-99746345D01* -X82118484Y-99749206D01* -X82177999Y-99755068D01* -X82192903Y-99753600D01* -X82577251Y-99753600D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83341858Y-99725000D01* -X83645294Y-99725000D01* -X83646400Y-100019050D01* -X83703550Y-100076200D01* -X84276200Y-100076200D01* -X84276200Y-99553550D01* -X84219050Y-99496400D01* -X83875000Y-99495294D01* -X83830187Y-99499708D01* -X83787095Y-99512779D01* -X83747382Y-99534006D01* -X83712573Y-99562573D01* -X83684006Y-99597382D01* -X83662779Y-99637095D01* -X83649708Y-99680187D01* -X83645294Y-99725000D01* -X83341858Y-99725000D01* -X83374130Y-99676702D01* -X83410208Y-99589603D01* -X83428600Y-99497138D01* -X83428600Y-99402862D01* -X83410208Y-99310397D01* -X83374130Y-99223298D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82577251Y-99146400D01* -X82303755Y-99146400D01* -X81329600Y-98172246D01* -X81329600Y-98117870D01* -X81355874Y-98109900D01* -X81425843Y-98072501D01* -X81487170Y-98022170D01* -X81537501Y-97960843D01* -X81574900Y-97890874D01* -X81597930Y-97814954D01* -X81605706Y-97736000D01* -X81605706Y-96386000D01* -X81597930Y-96307046D01* -X81574900Y-96231126D01* -X81537501Y-96161157D01* -X81487170Y-96099830D01* -X81425843Y-96049499D01* -X81411837Y-96042012D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81252702Y-95333870D01* -X81165603Y-95297792D01* -X81073138Y-95279400D01* -X80978862Y-95279400D01* -X80886397Y-95297792D01* -X80799298Y-95333870D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80640163Y-96042012D01* -X80626157Y-96049499D01* -X80564830Y-96099830D01* -X80514499Y-96161157D01* -X80477100Y-96231126D01* -X80454070Y-96307046D01* -X80446294Y-96386000D01* -X80335706Y-96386000D01* -X80327930Y-96307046D01* -X80304900Y-96231126D01* -X80267501Y-96161157D01* -X80245221Y-96134009D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80173202Y-95333870D01* -X80086103Y-95297792D01* -X79993638Y-95279400D01* -X79899362Y-95279400D01* -X79806897Y-95297792D01* -X79719798Y-95333870D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79473575Y-95833667D01* -X79459944Y-95878600D01* -X79456794Y-95888985D01* -X79450932Y-95948500D01* -X79452400Y-95963404D01* -X79452400Y-96004130D01* -X79426126Y-96012100D01* -X79356157Y-96049499D01* -X79294830Y-96099830D01* -X79244499Y-96161157D01* -X79207100Y-96231126D01* -X79184070Y-96307046D01* -X79176294Y-96386000D01* -X79176294Y-97736000D01* -X79184070Y-97814954D01* -X79207100Y-97890874D01* -X79244499Y-97960843D01* -X79294830Y-98022170D01* -X79356157Y-98072501D01* -X79426126Y-98109900D01* -X79452401Y-98117870D01* -X79452401Y-98172243D01* -X75645872Y-101978774D01* -X75634284Y-101988284D01* -X75596345Y-102034514D01* -X75568154Y-102087257D01* -X75555032Y-102130514D01* -X75550794Y-102144485D01* -X75544932Y-102204000D01* -X75546400Y-102218904D01* -X75546401Y-104885086D01* -X75544932Y-104900000D01* -X75550794Y-104959515D01* -X75564184Y-105003655D01* -X75568155Y-105016744D01* -X75596346Y-105069487D01* -X75634285Y-105115716D01* -X75645867Y-105125221D01* -X77474779Y-106954134D01* -X77484284Y-106965716D01* -X77530513Y-107003655D01* -X77583256Y-107031846D01* -X77640484Y-107049206D01* -X77699999Y-107055068D01* -X77714903Y-107053600D01* -X82785096Y-107053600D01* -X82800000Y-107055068D01* -X82814904Y-107053600D01* -X82859516Y-107049206D01* -X82916744Y-107031846D01* -X82969487Y-107003655D01* -X83015716Y-106965716D01* -X83025226Y-106954128D01* -X84854135Y-105125220D01* -X84865716Y-105115716D01* -X84903655Y-105069487D01* -X84931846Y-105016744D01* -X84949206Y-104959516D01* -X84953600Y-104914904D01* -X84953600Y-104914903D01* -X84955068Y-104900001D01* -X84953600Y-104885097D01* -X84953600Y-103825754D01* -X85420294Y-103359061D01* -X85420294Y-103475000D01* -X85426149Y-103534445D01* -X85443488Y-103591606D01* -X85471646Y-103644286D01* -X85476335Y-103650000D01* -X85471646Y-103655714D01* -X85443488Y-103708394D01* -X85426149Y-103765555D01* -X85420294Y-103825000D01* -X85420294Y-103975000D01* -X85426149Y-104034445D01* -X85443488Y-104091606D01* -X85471646Y-104144286D01* -X85476335Y-104150000D01* -X85471646Y-104155714D01* -X85443488Y-104208394D01* -X85426149Y-104265555D01* -X85420294Y-104325000D01* -X85420294Y-104475000D01* -X85426149Y-104534445D01* -X85443488Y-104591606D01* -X85471646Y-104644286D01* -X85476335Y-104650000D01* -X85471646Y-104655714D01* -X85443488Y-104708394D01* -X85426149Y-104765555D01* -X85420294Y-104825000D01* -X85420294Y-104975000D01* -X85426149Y-105034445D01* -X85443488Y-105091606D01* -X85471646Y-105144286D01* -X85476335Y-105150000D01* -X85471646Y-105155714D01* -X85443488Y-105208394D01* -X85426149Y-105265555D01* -X85420294Y-105325000D01* -X85420294Y-105452505D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84853699Y-106168351D01* -X84834284Y-106184284D01* -X84824778Y-106195867D01* -X84424246Y-106596400D01* -X84164903Y-106596400D01* -X84149999Y-106594932D01* -X84119785Y-106597908D01* -X84090484Y-106600794D01* -X84033256Y-106618154D01* -X83980513Y-106646345D01* -X83934284Y-106684284D01* -X83924778Y-106695867D01* -X83645867Y-106974779D01* -X83634285Y-106984284D01* -X83596346Y-107030513D01* -X83568155Y-107083256D01* -X83550794Y-107140484D01* -X83548429Y-107164500D01* -X83544932Y-107200000D01* -X83546400Y-107214904D01* -X83546400Y-107585096D01* -X83544932Y-107600000D01* -X83547905Y-107630181D01* -X83550794Y-107659515D01* -X83568154Y-107716743D01* -X83596345Y-107769486D01* -X83634284Y-107815716D01* -X83645872Y-107825226D01* -X83846401Y-108025755D01* -X83846401Y-108027251D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84102862Y-108878600D01* -X84197138Y-108878600D01* -X84289603Y-108860208D01* -X84376702Y-108824130D01* -X84455090Y-108771753D01* -X84521753Y-108705090D01* -X84574130Y-108626702D01* -X84610208Y-108539603D01* -X84628600Y-108447138D01* -X84628600Y-108400000D01* -X87670294Y-108400000D01* -X87670294Y-109725000D01* -X87676149Y-109784445D01* -X87693488Y-109841606D01* -X87721646Y-109894286D01* -X87759540Y-109940460D01* -X87805714Y-109978354D01* -X87858394Y-110006512D01* -X87915555Y-110023851D01* -X87975000Y-110029706D01* -X88125000Y-110029706D01* -X88184445Y-110023851D01* -X88241606Y-110006512D01* -X88294286Y-109978354D01* -X88300000Y-109973665D01* -X88305714Y-109978354D01* -X88358394Y-110006512D01* -X88415555Y-110023851D01* -X88475000Y-110029706D01* -X88625000Y-110029706D01* -X88684445Y-110023851D01* -X88741606Y-110006512D01* -X88794286Y-109978354D01* -X88800000Y-109973665D01* -X88805714Y-109978354D01* -X88858394Y-110006512D01* -X88915555Y-110023851D01* -X88975000Y-110029706D01* -X89125000Y-110029706D01* -X89184445Y-110023851D01* -X89241606Y-110006512D01* -X89294286Y-109978354D01* -X89300000Y-109973665D01* -X89305714Y-109978354D01* -X89358394Y-110006512D01* -X89415555Y-110023851D01* -X89475000Y-110029706D01* -X89625000Y-110029706D01* -X89684445Y-110023851D01* -X89703196Y-110018163D01* -X89694964Y-110028194D01* -X89694959Y-110028199D01* -X89650134Y-110082819D01* -X89605693Y-110165962D01* -X89578326Y-110256179D01* -X89569086Y-110350000D01* -X89571401Y-110373505D01* -X89571401Y-110486580D01* -X89524813Y-110524813D01* -X89486580Y-110571400D01* -X89147937Y-110571400D01* -X89122336Y-110576492D01* -X89096376Y-110579049D01* -X89071418Y-110586620D01* -X89045813Y-110591713D01* -X89021691Y-110601704D01* -X88996735Y-110609275D01* -X88973735Y-110621569D01* -X88949614Y-110631560D01* -X88927907Y-110646064D01* -X88904905Y-110658359D01* -X88884743Y-110674906D01* -X88863037Y-110689409D01* -X88844579Y-110707867D01* -X88824415Y-110724415D01* -X88807867Y-110744579D01* -X88789409Y-110763037D01* -X88774906Y-110784743D01* -X88758359Y-110804905D01* -X88746064Y-110827907D01* -X88731560Y-110849614D01* -X88721569Y-110873735D01* -X88709275Y-110896735D01* -X88701704Y-110921691D01* -X88691713Y-110945813D01* -X88686620Y-110971418D01* -X88679049Y-110996376D01* -X88676492Y-111022336D01* -X88671400Y-111047937D01* -X88671400Y-111074038D01* -X88668843Y-111100000D01* -X88671400Y-111125962D01* -X88671400Y-111152063D01* -X88676492Y-111177664D01* -X88679049Y-111203624D01* -X88686620Y-111228582D01* -X88691713Y-111254187D01* -X88701704Y-111278309D01* -X88709275Y-111303265D01* -X88721569Y-111326265D01* -X88731560Y-111350386D01* -X88746064Y-111372093D01* -X88758359Y-111395095D01* -X88774906Y-111415257D01* -X88789409Y-111436963D01* -X88807867Y-111455421D01* -X88824415Y-111475585D01* -X88844579Y-111492133D01* -X88863037Y-111510591D01* -X88884743Y-111525094D01* -X88904905Y-111541641D01* -X88927907Y-111553936D01* -X88949614Y-111568440D01* -X88973735Y-111578431D01* -X88996735Y-111590725D01* -X89021691Y-111598296D01* -X89045813Y-111608287D01* -X89071418Y-111613380D01* -X89096376Y-111620951D01* -X89122336Y-111623508D01* -X89147937Y-111628600D01* -X89486580Y-111628600D01* -X89521400Y-111671028D01* -X89521400Y-111824038D01* -X89518843Y-111850000D01* -X89529049Y-111953623D01* -X89559275Y-112053264D01* -X89608359Y-112145094D01* -X89617456Y-112156179D01* -X89674416Y-112225585D01* -X89694586Y-112242138D01* -X89789405Y-112336957D01* -X89789409Y-112336963D01* -X89863037Y-112410591D01* -X89884749Y-112425099D01* -X89904906Y-112441641D01* -X89927903Y-112453933D01* -X89949614Y-112468440D01* -X89973739Y-112478433D01* -X89996736Y-112490725D01* -X90021687Y-112498294D01* -X90045813Y-112508287D01* -X90071425Y-112513381D01* -X90096376Y-112520950D01* -X90122329Y-112523506D01* -X90147937Y-112528600D01* -X90174040Y-112528600D01* -X90199999Y-112531157D01* -X90225958Y-112528600D01* -X90252063Y-112528600D01* -X90277673Y-112523506D01* -X90303623Y-112520950D01* -X90328572Y-112513382D01* -X90354187Y-112508287D01* -X90378313Y-112498293D01* -X90403264Y-112490725D01* -X90426261Y-112478433D01* -X90450386Y-112468440D01* -X90472097Y-112453933D01* -X90495094Y-112441641D01* -X90515250Y-112425099D01* -X90536963Y-112410591D01* -X90555429Y-112392125D01* -X90575584Y-112375584D01* -X90592125Y-112355429D01* -X90610591Y-112336963D01* -X90625099Y-112315250D01* -X90641641Y-112295094D01* -X90653933Y-112272097D01* -X90668440Y-112250386D01* -X90678433Y-112226261D01* -X90690725Y-112203264D01* -X90698293Y-112178313D01* -X90708287Y-112154187D01* -X90713382Y-112128572D01* -X90720950Y-112103623D01* -X90723506Y-112077673D01* -X90728600Y-112052063D01* -X90728600Y-112025958D01* -X90731157Y-111999999D01* -X90728600Y-111974040D01* -X90728600Y-111947937D01* -X90723506Y-111922329D01* -X90720950Y-111896376D01* -X90713381Y-111871425D01* -X90708287Y-111845813D01* -X90698294Y-111821687D01* -X90690725Y-111796736D01* -X90678433Y-111773739D01* -X90668440Y-111749614D01* -X90653933Y-111727903D01* -X90641641Y-111704906D01* -X90625099Y-111684749D01* -X90610591Y-111663037D01* -X90596622Y-111649068D01* -X90630181Y-111608176D01* -X90647913Y-111575000D01* -X90895294Y-111575000D01* -X90899708Y-111619813D01* -X90912779Y-111662905D01* -X90934006Y-111702618D01* -X90962573Y-111737427D01* -X90997382Y-111765994D01* -X91037095Y-111787221D01* -X91080187Y-111800292D01* -X91125000Y-111804706D01* -X91419050Y-111803600D01* -X91476200Y-111746450D01* -X91476200Y-111173800D01* -X91623800Y-111173800D01* -X91623800Y-111746450D01* -X91680950Y-111803600D01* -X91975000Y-111804706D01* -X92019813Y-111800292D01* -X92062905Y-111787221D01* -X92102618Y-111765994D01* -X92137427Y-111737427D01* -X92165994Y-111702618D01* -X92187221Y-111662905D01* -X92200292Y-111619813D01* -X92204706Y-111575000D01* -X92203600Y-111230950D01* -X92146450Y-111173800D01* -X91623800Y-111173800D01* -X91476200Y-111173800D01* -X90953550Y-111173800D01* -X90896400Y-111230950D01* -X90895294Y-111575000D01* -X90647913Y-111575000D01* -X90671045Y-111531725D01* -X90696209Y-111448770D01* -X90704706Y-111362500D01* -X90704706Y-110837500D01* -X90696209Y-110751230D01* -X90671045Y-110668275D01* -X90647915Y-110625000D01* -X90895294Y-110625000D01* -X90896400Y-110969050D01* -X90953550Y-111026200D01* -X91476200Y-111026200D01* -X91476200Y-110453550D01* -X91623800Y-110453550D01* -X91623800Y-111026200D01* -X92146450Y-111026200D01* -X92203600Y-110969050D01* -X92204706Y-110625000D01* -X92200292Y-110580187D01* -X92187221Y-110537095D01* -X92165994Y-110497382D01* -X92137427Y-110462573D01* -X92102618Y-110434006D01* -X92062905Y-110412779D01* -X92019813Y-110399708D01* -X91975000Y-110395294D01* -X91680950Y-110396400D01* -X91623800Y-110453550D01* -X91476200Y-110453550D01* -X91419050Y-110396400D01* -X91125000Y-110395294D01* -X91080187Y-110399708D01* -X91037095Y-110412779D01* -X90997382Y-110434006D01* -X90962573Y-110462573D01* -X90934006Y-110497382D01* -X90912779Y-110537095D01* -X90899708Y-110580187D01* -X90895294Y-110625000D01* -X90647915Y-110625000D01* -X90641989Y-110613915D01* -X90646354Y-110610999D01* -X90667182Y-110599866D01* -X90685436Y-110584886D01* -X90705090Y-110571753D01* -X90721806Y-110555037D01* -X90740058Y-110540058D01* -X90755037Y-110521806D01* -X90771753Y-110505090D01* -X90784886Y-110485436D01* -X90799866Y-110467182D01* -X90810999Y-110446354D01* -X90824130Y-110426702D01* -X90833174Y-110404867D01* -X90844308Y-110384038D01* -X90851163Y-110361439D01* -X90860208Y-110339603D01* -X90864820Y-110316419D01* -X90871674Y-110293822D01* -X90873989Y-110270321D01* -X90878600Y-110247138D01* -X90878600Y-110238376D01* -X90901472Y-110195585D01* -X90908701Y-110171753D01* -X90923121Y-110124219D01* -X90925861Y-110096400D01* -X90928600Y-110068590D01* -X90928600Y-110068583D01* -X90930430Y-110050001D01* -X90928600Y-110031418D01* -X90928600Y-110019050D01* -X90976200Y-109971450D01* -X90976200Y-109136300D01* -X90956200Y-109136300D01* -X90956200Y-108988700D01* -X90976200Y-108988700D01* -X90976200Y-108153550D01* -X90928600Y-108105950D01* -X90928600Y-108018579D01* -X90930430Y-107999999D01* -X90928600Y-107981419D01* -X90928600Y-107981410D01* -X90926342Y-107958488D01* -X90928600Y-107947138D01* -X90928600Y-107852862D01* -X90910208Y-107760397D01* -X90874130Y-107673298D01* -X90821753Y-107594910D01* -X90755090Y-107528247D01* -X90676702Y-107475870D01* -X90589603Y-107439792D01* -X90497138Y-107421400D01* -X90402862Y-107421400D01* -X90353600Y-107431199D01* -X90353600Y-107272749D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90474130Y-107126702D01* -X90510208Y-107039603D01* -X90528600Y-106947138D01* -X90528600Y-106852862D01* -X90510208Y-106760397D01* -X90474130Y-106673298D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89746401Y-107272749D01* -X89746401Y-107424245D01* -X89745871Y-107424775D01* -X89734284Y-107434284D01* -X89696345Y-107480514D01* -X89668154Y-107533257D01* -X89661538Y-107555068D01* -X89650794Y-107590485D01* -X89644932Y-107650000D01* -X89646400Y-107664904D01* -X89646400Y-108085096D01* -X89645200Y-108097284D01* -X89625000Y-108095294D01* -X89475000Y-108095294D01* -X89415555Y-108101149D01* -X89358394Y-108118488D01* -X89305714Y-108146646D01* -X89300000Y-108151335D01* -X89294286Y-108146646D01* -X89241606Y-108118488D01* -X89184445Y-108101149D01* -X89125000Y-108095294D01* -X88975000Y-108095294D01* -X88915555Y-108101149D01* -X88858394Y-108118488D01* -X88805714Y-108146646D01* -X88800000Y-108151335D01* -X88794286Y-108146646D01* -X88741606Y-108118488D01* -X88684445Y-108101149D01* -X88625000Y-108095294D01* -X88475000Y-108095294D01* -X88415555Y-108101149D01* -X88358394Y-108118488D01* -X88305714Y-108146646D01* -X88300000Y-108151335D01* -X88294286Y-108146646D01* -X88241606Y-108118488D01* -X88184445Y-108101149D01* -X88125000Y-108095294D01* -X87975000Y-108095294D01* -X87915555Y-108101149D01* -X87858394Y-108118488D01* -X87805714Y-108146646D01* -X87759540Y-108184540D01* -X87721646Y-108230714D01* -X87693488Y-108283394D01* -X87676149Y-108340555D01* -X87670294Y-108400000D01* -X84628600Y-108400000D01* -X84628600Y-108352862D01* -X84610208Y-108260397D01* -X84574130Y-108173298D01* -X84521753Y-108094910D01* -X84455090Y-108028247D01* -X84453600Y-108027251D01* -X84453600Y-107914903D01* -X84455068Y-107899999D01* -X84451888Y-107867710D01* -X84489603Y-107860208D01* -X84576702Y-107824130D01* -X84655090Y-107771753D01* -X84721753Y-107705090D01* -X84722749Y-107703600D01* -X85525551Y-107703600D01* -X85555714Y-107728354D01* -X85608394Y-107756512D01* -X85665555Y-107773851D01* -X85725000Y-107779706D01* -X87050000Y-107779706D01* -X87109445Y-107773851D01* -X87166606Y-107756512D01* -X87219286Y-107728354D01* -X87265460Y-107690460D01* -X87303354Y-107644286D01* -X87331512Y-107591606D01* -X87348851Y-107534445D01* -X87354706Y-107475000D01* -X87354706Y-107325000D01* -X87348851Y-107265555D01* -X87331512Y-107208394D01* -X87303354Y-107155714D01* -X87298665Y-107150000D01* -X87303354Y-107144286D01* -X87331512Y-107091606D01* -X87348851Y-107034445D01* -X87354706Y-106975000D01* -X87354706Y-106825000D01* -X87348851Y-106765555D01* -X87331512Y-106708394D01* -X87303354Y-106655714D01* -X87298665Y-106650000D01* -X87303354Y-106644286D01* -X87331512Y-106591606D01* -X87348851Y-106534445D01* -X87354706Y-106475000D01* -X87354706Y-106325000D01* -X87348851Y-106265555D01* -X87331512Y-106208394D01* -X87303354Y-106155714D01* -X87298665Y-106150000D01* -X87303354Y-106144286D01* -X87331512Y-106091606D01* -X87348851Y-106034445D01* -X87354706Y-105975000D01* -X87354706Y-105825000D01* -X87348851Y-105765555D01* -X87331512Y-105708394D01* -X87303354Y-105655714D01* -X87298665Y-105650000D01* -X87303354Y-105644286D01* -X87331512Y-105591606D01* -X87348851Y-105534445D01* -X87354706Y-105475000D01* -X87354706Y-105325000D01* -X87348851Y-105265555D01* -X87331512Y-105208394D01* -X87303354Y-105155714D01* -X87298665Y-105150000D01* -X87303354Y-105144286D01* -X87331512Y-105091606D01* -X87348851Y-105034445D01* -X87354706Y-104975000D01* -X87354706Y-104825000D01* -X87348851Y-104765555D01* -X87331512Y-104708394D01* -X87303354Y-104655714D01* -X87298665Y-104650000D01* -X87303354Y-104644286D01* -X87331512Y-104591606D01* -X87348851Y-104534445D01* -X87354706Y-104475000D01* -X87354706Y-104325000D01* -X87348851Y-104265555D01* -X87331512Y-104208394D01* -X87303354Y-104155714D01* -X87298665Y-104150000D01* -X87303354Y-104144286D01* -X87331512Y-104091606D01* -X87348851Y-104034445D01* -X87354706Y-103975000D01* -X87354706Y-103837140D01* -X87410397Y-103860208D01* -X87502862Y-103878600D01* -X87597138Y-103878600D01* -X87689603Y-103860208D01* -X87776702Y-103824130D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87354706Y-102962860D01* -X87354706Y-102825000D01* -X87348851Y-102765555D01* -X87331512Y-102708394D01* -X87303354Y-102655714D01* -X87298665Y-102650000D01* -X87303354Y-102644286D01* -X87331512Y-102591606D01* -X87348851Y-102534445D01* -X87354706Y-102475000D01* -X87354706Y-102325000D01* -X87348851Y-102265555D01* -X87331512Y-102208394D01* -X87328950Y-102203600D01* -X88077251Y-102203600D01* -X88078247Y-102205090D01* -X88144910Y-102271753D01* -X88223298Y-102324130D01* -X88310397Y-102360208D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88077251Y-101596400D01* -X87936682Y-101596400D01* -X87960208Y-101539603D01* -X87978600Y-101447138D01* -X87978600Y-101352862D01* -X87960208Y-101260397D01* -X87924130Y-101173298D01* -X87871753Y-101094910D01* -X87805090Y-101028247D01* -X87726702Y-100975870D01* -X87639603Y-100939792D01* -X87547138Y-100921400D01* -X87452862Y-100921400D01* -X87360397Y-100939792D01* -X87354706Y-100942149D01* -X87354706Y-100825000D01* -X87348851Y-100765555D01* -X87331512Y-100708394D01* -X87315430Y-100678306D01* -X87315994Y-100677618D01* -X87337221Y-100637905D01* -X87350292Y-100594813D01* -X87354706Y-100550000D01* -X87353600Y-100530950D01* -X87296450Y-100473800D01* -X86626200Y-100473800D01* -X86626200Y-100326200D01* -X87296450Y-100326200D01* -X87353600Y-100269050D01* -X87354706Y-100250000D01* -X87350292Y-100205187D01* -X87337221Y-100162095D01* -X87330756Y-100150000D01* -X87337221Y-100137905D01* -X87350292Y-100094813D01* -X87354706Y-100050000D01* -X87353600Y-100030950D01* -X87296450Y-99973800D01* -X86626200Y-99973800D01* -X86626200Y-99826200D01* -X87296450Y-99826200D01* -X87326488Y-99796162D01* -X87360397Y-99810208D01* -X87452862Y-99828600D01* -X87547138Y-99828600D01* -X87639603Y-99810208D01* -X87726702Y-99774130D01* -X87805090Y-99721753D01* -X87871753Y-99655090D01* -X87924130Y-99576702D01* -X87960208Y-99489603D01* -X87978600Y-99397138D01* -X87978600Y-99302862D01* -X87960208Y-99210397D01* -X87924130Y-99123298D01* -X87871753Y-99044910D01* -X87830443Y-99003600D01* -X87924246Y-99003600D01* -X88196401Y-99275756D01* -X88196401Y-99277251D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88934004Y-99852862D01* -X99171400Y-99852862D01* -X99171400Y-99947138D01* -X99189792Y-100039603D01* -X99225870Y-100126702D01* -X99278247Y-100205090D01* -X99344910Y-100271753D01* -X99423298Y-100324130D01* -X99510397Y-100360208D01* -X99602862Y-100378600D01* -X99697138Y-100378600D01* -X99789603Y-100360208D01* -X99876702Y-100324130D01* -X99955090Y-100271753D01* -X100021753Y-100205090D01* -X100022749Y-100203600D01* -X100750189Y-100203600D01* -X100749708Y-100205187D01* -X100745294Y-100250000D01* -X100746400Y-100269050D01* -X100803550Y-100326200D01* -X101638700Y-100326200D01* -X101638700Y-100306200D01* -X101786300Y-100306200D01* -X101786300Y-100326200D01* -X102621450Y-100326200D01* -X102678600Y-100269050D01* -X102679706Y-100250000D01* -X102675292Y-100205187D01* -X102662221Y-100162095D01* -X102640994Y-100122382D01* -X102640430Y-100121694D01* -X102656512Y-100091606D01* -X102673851Y-100034445D01* -X102679706Y-99975000D01* -X102679706Y-99847495D01* -X102710397Y-99860208D01* -X102802862Y-99878600D01* -X102897138Y-99878600D01* -X102989603Y-99860208D01* -X103045715Y-99836965D01* -X103046400Y-100019050D01* -X103103550Y-100076200D01* -X103676200Y-100076200D01* -X103676200Y-99553550D01* -X103823800Y-99553550D01* -X103823800Y-100076200D01* -X104396450Y-100076200D01* -X104453600Y-100019050D01* -X104454706Y-99725000D01* -X104450292Y-99680187D01* -X104437221Y-99637095D01* -X104415994Y-99597382D01* -X104387427Y-99562573D01* -X104352618Y-99534006D01* -X104312905Y-99512779D01* -X104269813Y-99499708D01* -X104225000Y-99495294D01* -X103880950Y-99496400D01* -X103823800Y-99553550D01* -X103676200Y-99553550D01* -X103619050Y-99496400D01* -X103318993Y-99495435D01* -X103328600Y-99447138D01* -X103328600Y-99352862D01* -X103310208Y-99260397D01* -X103274130Y-99173298D01* -X103221753Y-99094910D01* -X103155090Y-99028247D01* -X103076702Y-98975870D01* -X102989603Y-98939792D01* -X102897138Y-98921400D01* -X102802862Y-98921400D01* -X102710397Y-98939792D01* -X102679706Y-98952505D01* -X102679706Y-98847495D01* -X102710397Y-98860208D01* -X102802862Y-98878600D01* -X102897138Y-98878600D01* -X102989603Y-98860208D01* -X103076702Y-98824130D01* -X103155090Y-98771753D01* -X103221753Y-98705090D01* -X103274130Y-98626702D01* -X103310208Y-98539603D01* -X103328600Y-98447138D01* -X103328600Y-98352862D01* -X103310208Y-98260397D01* -X103274130Y-98173298D01* -X103221753Y-98094910D01* -X103155090Y-98028247D01* -X103076702Y-97975870D01* -X102989603Y-97939792D01* -X102897138Y-97921400D01* -X102802862Y-97921400D01* -X102710397Y-97939792D01* -X102679706Y-97952505D01* -X102679706Y-97847495D01* -X102710397Y-97860208D01* -X102802862Y-97878600D01* -X102897138Y-97878600D01* -X102989603Y-97860208D01* -X103076702Y-97824130D01* -X103155090Y-97771753D01* -X103221753Y-97705090D01* -X103274130Y-97626702D01* -X103310208Y-97539603D01* -X103328600Y-97447138D01* -X103328600Y-97352862D01* -X103310208Y-97260397D01* -X103274130Y-97173298D01* -X103221753Y-97094910D01* -X103155090Y-97028247D01* -X103076702Y-96975870D01* -X102989603Y-96939792D01* -X102897138Y-96921400D01* -X102802862Y-96921400D01* -X102710397Y-96939792D01* -X102679706Y-96952505D01* -X102679706Y-96847495D01* -X102710397Y-96860208D01* -X102802862Y-96878600D01* -X102897138Y-96878600D01* -X102989603Y-96860208D01* -X103076702Y-96824130D01* -X103155090Y-96771753D01* -X103221753Y-96705090D01* -X103274130Y-96626702D01* -X103310208Y-96539603D01* -X103328600Y-96447138D01* -X103328600Y-96352862D01* -X103310208Y-96260397D01* -X103274130Y-96173298D01* -X103221753Y-96094910D01* -X103155090Y-96028247D01* -X103076702Y-95975870D01* -X102989603Y-95939792D01* -X102897138Y-95921400D01* -X102802862Y-95921400D01* -X102710397Y-95939792D01* -X102679706Y-95952505D01* -X102679706Y-95847495D01* -X102710397Y-95860208D01* -X102802862Y-95878600D01* -X102897138Y-95878600D01* -X102989603Y-95860208D01* -X103076702Y-95824130D01* -X103155090Y-95771753D01* -X103221753Y-95705090D01* -X103274130Y-95626702D01* -X103310208Y-95539603D01* -X103328600Y-95447138D01* -X103328600Y-95352862D01* -X103310208Y-95260397D01* -X103274130Y-95173298D01* -X103221753Y-95094910D01* -X103155090Y-95028247D01* -X103076702Y-94975870D01* -X102989603Y-94939792D01* -X102897138Y-94921400D01* -X102802862Y-94921400D01* -X102710397Y-94939792D01* -X102623298Y-94975870D01* -X102544910Y-95028247D01* -X102516411Y-95056746D01* -X102491606Y-95043488D01* -X102434445Y-95026149D01* -X102375000Y-95020294D01* -X101050000Y-95020294D01* -X100990555Y-95026149D01* -X100933394Y-95043488D01* -X100880714Y-95071646D01* -X100834540Y-95109540D01* -X100796646Y-95155714D01* -X100768488Y-95208394D01* -X100751149Y-95265555D01* -X100745294Y-95325000D01* -X100745294Y-95462860D01* -X100689603Y-95439792D01* -X100597138Y-95421400D01* -X100502862Y-95421400D01* -X100410397Y-95439792D01* -X100323298Y-95475870D01* -X100244910Y-95528247D01* -X100178247Y-95594910D01* -X100125870Y-95673298D01* -X100089792Y-95760397D01* -X100071400Y-95852862D01* -X100071400Y-95947138D01* -X100089792Y-96039603D01* -X100125870Y-96126702D01* -X100178247Y-96205090D01* -X100244910Y-96271753D01* -X100323298Y-96324130D01* -X100410397Y-96360208D01* -X100502862Y-96378600D01* -X100597138Y-96378600D01* -X100689603Y-96360208D01* -X100745294Y-96337140D01* -X100745294Y-96462860D01* -X100689603Y-96439792D01* -X100597138Y-96421400D01* -X100502862Y-96421400D01* -X100410397Y-96439792D01* -X100323298Y-96475870D01* -X100244910Y-96528247D01* -X100178247Y-96594910D01* -X100125870Y-96673298D01* -X100089792Y-96760397D01* -X100071400Y-96852862D01* -X100071400Y-96947138D01* -X100089792Y-97039603D01* -X100125870Y-97126702D01* -X100178247Y-97205090D01* -X100244910Y-97271753D01* -X100323298Y-97324130D01* -X100410397Y-97360208D01* -X100502862Y-97378600D01* -X100597138Y-97378600D01* -X100689603Y-97360208D01* -X100745294Y-97337140D01* -X100745294Y-97462860D01* -X100689603Y-97439792D01* -X100597138Y-97421400D01* -X100502862Y-97421400D01* -X100410397Y-97439792D01* -X100323298Y-97475870D01* -X100244910Y-97528247D01* -X100178247Y-97594910D01* -X100125870Y-97673298D01* -X100089792Y-97760397D01* -X100071400Y-97852862D01* -X100071400Y-97947138D01* -X100089792Y-98039603D01* -X100125870Y-98126702D01* -X100178247Y-98205090D01* -X100244910Y-98271753D01* -X100323298Y-98324130D01* -X100410397Y-98360208D01* -X100502862Y-98378600D01* -X100597138Y-98378600D01* -X100689603Y-98360208D01* -X100745294Y-98337140D01* -X100745294Y-98462860D01* -X100689603Y-98439792D01* -X100597138Y-98421400D01* -X100502862Y-98421400D01* -X100410397Y-98439792D01* -X100323298Y-98475870D01* -X100244910Y-98528247D01* -X100178247Y-98594910D01* -X100125870Y-98673298D01* -X100089792Y-98760397D01* -X100071400Y-98852862D01* -X100071400Y-98947138D01* -X100089792Y-99039603D01* -X100125870Y-99126702D01* -X100178247Y-99205090D01* -X100244910Y-99271753D01* -X100323298Y-99324130D01* -X100410397Y-99360208D01* -X100502862Y-99378600D01* -X100597138Y-99378600D01* -X100689603Y-99360208D01* -X100745294Y-99337140D01* -X100745294Y-99475000D01* -X100751149Y-99534445D01* -X100768488Y-99591606D01* -X100771050Y-99596400D01* -X100022749Y-99596400D01* -X100021753Y-99594910D01* -X99955090Y-99528247D01* -X99876702Y-99475870D01* -X99789603Y-99439792D01* -X99697138Y-99421400D01* -X99602862Y-99421400D01* -X99510397Y-99439792D01* -X99423298Y-99475870D01* -X99344910Y-99528247D01* -X99278247Y-99594910D01* -X99225870Y-99673298D01* -X99189792Y-99760397D01* -X99171400Y-99852862D01* -X88934004Y-99852862D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88803600Y-99277251D01* -X88803600Y-99164903D01* -X88805068Y-99149999D01* -X88799206Y-99090484D01* -X88797143Y-99083683D01* -X88781846Y-99033256D01* -X88753655Y-98980513D01* -X88715716Y-98934284D01* -X88704133Y-98924778D01* -X88624930Y-98845575D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88223298Y-97975870D01* -X88144910Y-98028247D01* -X88078247Y-98094910D01* -X88077251Y-98096400D01* -X87328950Y-98096400D01* -X87331512Y-98091606D01* -X87348851Y-98034445D01* -X87354706Y-97975000D01* -X87354706Y-97825000D01* -X87348851Y-97765555D01* -X87331512Y-97708394D01* -X87328950Y-97703600D01* -X88077251Y-97703600D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88077251Y-97096400D01* -X87532954Y-97096400D01* -X87654128Y-96975226D01* -X87665716Y-96965716D01* -X87703655Y-96919487D01* -X87731846Y-96866744D01* -X87749206Y-96809516D01* -X87751611Y-96785096D01* -X87755068Y-96750001D01* -X87753600Y-96735097D01* -X87753600Y-95404713D01* -X87764903Y-95403600D01* -X88563318Y-95403600D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89477702Y-95651652D01* -X89604425Y-95524929D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90483481Y-95145873D01* -X90569070Y-95060285D01* -X90575870Y-95076702D01* -X90628247Y-95155090D01* -X90694910Y-95221753D01* -X90773298Y-95274130D01* -X90860397Y-95310208D01* -X90952862Y-95328600D01* -X91047138Y-95328600D01* -X91139603Y-95310208D01* -X91226702Y-95274130D01* -X91305090Y-95221753D01* -X91371753Y-95155090D01* -X91424130Y-95076702D01* -X91460208Y-94989603D01* -X91478600Y-94897138D01* -X91478600Y-94802862D01* -X91460208Y-94710397D01* -X91446162Y-94676488D01* -X91476200Y-94646450D01* -X91476200Y-93811300D01* -X91456200Y-93811300D01* -X91456200Y-93663700D01* -X91476200Y-93663700D01* -X91476200Y-92828550D01* -X91428600Y-92780950D01* -X91428600Y-92768582D01* -X91430430Y-92749999D01* -X91428600Y-92731417D01* -X91428600Y-92731410D01* -X91423121Y-92675782D01* -X91422058Y-92672276D01* -X91401472Y-92604415D01* -X91399112Y-92600000D01* -X91378600Y-92561624D01* -X91378600Y-92313420D01* -X91425187Y-92275187D01* -X91480181Y-92208176D01* -X91521045Y-92131725D01* -X91546209Y-92048770D01* -X91554706Y-91962500D01* -X91554706Y-91437500D01* -X91546209Y-91351230D01* -X91521045Y-91268275D01* -X91480181Y-91191824D01* -X91428600Y-91128972D01* -X91428600Y-90975958D01* -X91431157Y-90949999D01* -X91428600Y-90924038D01* -X91420951Y-90846376D01* -X91390725Y-90746735D01* -X91341641Y-90654905D01* -X91275585Y-90574415D01* -X91255410Y-90557858D01* -X91160595Y-90463043D01* -X91160591Y-90463037D01* -X91086963Y-90389409D01* -X91065251Y-90374901D01* -X91045094Y-90358359D01* -X91022097Y-90346067D01* -X91000386Y-90331560D01* -X90976261Y-90321567D01* -X90953264Y-90309275D01* -X90928311Y-90301706D01* -X90904187Y-90291713D01* -X90878580Y-90286620D01* -X90853623Y-90279049D01* -X90827665Y-90276492D01* -X90802063Y-90271400D01* -X90775962Y-90271400D01* -X90750000Y-90268843D01* -X90724038Y-90271400D01* -X90697937Y-90271400D01* -X90672335Y-90276492D01* -X90646377Y-90279049D01* -X90621420Y-90286620D01* -X90595813Y-90291713D01* -X90571689Y-90301706D01* -X90546736Y-90309275D01* -X90523739Y-90321567D01* -X90499614Y-90331560D01* -X90477903Y-90346067D01* -X90454906Y-90358359D01* -X90434750Y-90374901D01* -X90413037Y-90389409D01* -X90394571Y-90407875D01* -X90374416Y-90424416D01* -X90357875Y-90444571D01* -X90339409Y-90463037D01* -X90324901Y-90484750D01* -X90308359Y-90504906D01* -X90296067Y-90527903D01* -X90281560Y-90549614D01* -X90271567Y-90573739D01* -X90259275Y-90596736D01* -X90251706Y-90621689D01* -X90241713Y-90645813D01* -X90236620Y-90671420D01* -X90229049Y-90696377D01* -X90226492Y-90722335D01* -X90221400Y-90747937D01* -X90221400Y-90774038D01* -X90218843Y-90800000D01* -X90221400Y-90825962D01* -X90221400Y-90852063D01* -X90226492Y-90877665D01* -X90229049Y-90903623D01* -X90236620Y-90928580D01* -X90241713Y-90954187D01* -X90251706Y-90978311D01* -X90259275Y-91003264D01* -X90271567Y-91026261D01* -X90281560Y-91050386D01* -X90296067Y-91072097D01* -X90308359Y-91095094D01* -X90324901Y-91115251D01* -X90339409Y-91136963D01* -X90353378Y-91150932D01* -X90319819Y-91191824D01* -X90278955Y-91268275D01* -X90253791Y-91351230D01* -X90245294Y-91437500D01* -X90245294Y-91962500D01* -X90253791Y-92048770D01* -X90278955Y-92131725D01* -X90319819Y-92208176D01* -X90374813Y-92275187D01* -X90421401Y-92313420D01* -X90421401Y-92552857D01* -X90421400Y-92552862D01* -X90421400Y-92647138D01* -X90426013Y-92670331D01* -X90428327Y-92693822D01* -X90435179Y-92716409D01* -X90439792Y-92739603D01* -X90448841Y-92761448D01* -X90452205Y-92772539D01* -X90415555Y-92776149D01* -X90358394Y-92793488D01* -X90305714Y-92821646D01* -X90300000Y-92826335D01* -X90294286Y-92821646D01* -X90241606Y-92793488D01* -X90184445Y-92776149D01* -X90125000Y-92770294D01* -X89975000Y-92770294D01* -X89915555Y-92776149D01* -X89858394Y-92793488D01* -X89805714Y-92821646D01* -X89800000Y-92826335D01* -X89794286Y-92821646D01* -X89741606Y-92793488D01* -X89684445Y-92776149D01* -X89625000Y-92770294D01* -X89475000Y-92770294D01* -X89415555Y-92776149D01* -X89358394Y-92793488D01* -X89305714Y-92821646D01* -X89300000Y-92826335D01* -X89294286Y-92821646D01* -X89241606Y-92793488D01* -X89184445Y-92776149D01* -X89125000Y-92770294D01* -X88975000Y-92770294D01* -X88915555Y-92776149D01* -X88858394Y-92793488D01* -X88849947Y-92798003D01* -X88849206Y-92790484D01* -X88848385Y-92787779D01* -X88831846Y-92733256D01* -X88803655Y-92680513D01* -X88765716Y-92634284D01* -X88754133Y-92624778D01* -X88325226Y-92195872D01* -X88315716Y-92184284D01* -X88304404Y-92175000D01* -X88745294Y-92175000D01* -X88749708Y-92219813D01* -X88762779Y-92262905D01* -X88784006Y-92302618D01* -X88812573Y-92337427D01* -X88847382Y-92365994D01* -X88887095Y-92387221D01* -X88930187Y-92400292D01* -X88975000Y-92404706D01* -X89269050Y-92403600D01* -X89326200Y-92346450D01* -X89326200Y-91773800D01* -X89473800Y-91773800D01* -X89473800Y-92346450D01* -X89530950Y-92403600D01* -X89825000Y-92404706D01* -X89869813Y-92400292D01* -X89912905Y-92387221D01* -X89952618Y-92365994D01* -X89987427Y-92337427D01* -X90015994Y-92302618D01* -X90037221Y-92262905D01* -X90050292Y-92219813D01* -X90054706Y-92175000D01* -X90053600Y-91830950D01* -X89996450Y-91773800D01* -X89473800Y-91773800D01* -X89326200Y-91773800D01* -X88803550Y-91773800D01* -X88746400Y-91830950D01* -X88745294Y-92175000D01* -X88304404Y-92175000D01* -X88269487Y-92146345D01* -X88216744Y-92118154D01* -X88159516Y-92100794D01* -X88114904Y-92096400D01* -X88100000Y-92094932D01* -X88085096Y-92096400D01* -X87682954Y-92096400D01* -X88554354Y-91225000D01* -X88745294Y-91225000D01* -X88746400Y-91569050D01* -X88803550Y-91626200D01* -X89326200Y-91626200D01* -X89326200Y-91053550D01* -X89473800Y-91053550D01* -X89473800Y-91626200D01* -X89996450Y-91626200D01* -X90053600Y-91569050D01* -X90054706Y-91225000D01* -X90050292Y-91180187D01* -X90037221Y-91137095D01* -X90015994Y-91097382D01* -X89987427Y-91062573D01* -X89952618Y-91034006D01* -X89912905Y-91012779D01* -X89869813Y-90999708D01* -X89825000Y-90995294D01* -X89530950Y-90996400D01* -X89473800Y-91053550D01* -X89326200Y-91053550D01* -X89269050Y-90996400D01* -X88975000Y-90995294D01* -X88930187Y-90999708D01* -X88887095Y-91012779D01* -X88847382Y-91034006D01* -X88812573Y-91062573D01* -X88784006Y-91097382D01* -X88762779Y-91137095D01* -X88749708Y-91180187D01* -X88745294Y-91225000D01* -X88554354Y-91225000D01* -X89575755Y-90203600D01* -X91474246Y-90203600D01* -X91946401Y-90675756D01* -X91946400Y-92474246D01* -X91845867Y-92574779D01* -X91834285Y-92584284D01* -X91796346Y-92630513D01* -X91777965Y-92664903D01* -X91768155Y-92683256D01* -X91750794Y-92740485D01* -X91747347Y-92775477D01* -X91744813Y-92774708D01* -X91700000Y-92770294D01* -X91680950Y-92771400D01* -X91623800Y-92828550D01* -X91623800Y-93663700D01* -X91643800Y-93663700D01* -X91643800Y-93811300D01* -X91623800Y-93811300D01* -X91623800Y-94646450D01* -X91680950Y-94703600D01* -X91700000Y-94704706D01* -X91744813Y-94700292D01* -X91787905Y-94687221D01* -X91827618Y-94665994D01* -X91828306Y-94665430D01* -X91858394Y-94681512D01* -X91915555Y-94698851D01* -X91975000Y-94704706D01* -X92125000Y-94704706D01* -X92184445Y-94698851D01* -X92241606Y-94681512D01* -X92294286Y-94653354D01* -X92300000Y-94648665D01* -X92305714Y-94653354D01* -X92358394Y-94681512D01* -X92415555Y-94698851D01* -X92475000Y-94704706D01* -X92625000Y-94704706D01* -X92684445Y-94698851D01* -X92741606Y-94681512D01* -X92794286Y-94653354D01* -X92800000Y-94648665D01* -X92805714Y-94653354D01* -X92858394Y-94681512D01* -X92915555Y-94698851D01* -X92975000Y-94704706D01* -X93125000Y-94704706D01* -X93184445Y-94698851D01* -X93241606Y-94681512D01* -X93294286Y-94653354D01* -X93300000Y-94648665D01* -X93305714Y-94653354D01* -X93358394Y-94681512D01* -X93415555Y-94698851D01* -X93475000Y-94704706D01* -X93625000Y-94704706D01* -X93684445Y-94698851D01* -X93741606Y-94681512D01* -X93794286Y-94653354D01* -X93800000Y-94648665D01* -X93805714Y-94653354D01* -X93858394Y-94681512D01* -X93915555Y-94698851D01* -X93975000Y-94704706D01* -X94125000Y-94704706D01* -X94184445Y-94698851D01* -X94241606Y-94681512D01* -X94294286Y-94653354D01* -X94300000Y-94648665D01* -X94305714Y-94653354D01* -X94358394Y-94681512D01* -X94415555Y-94698851D01* -X94475000Y-94704706D01* -X94625000Y-94704706D01* -X94684445Y-94698851D01* -X94741606Y-94681512D01* -X94794286Y-94653354D01* -X94800000Y-94648665D01* -X94805714Y-94653354D01* -X94858394Y-94681512D01* -X94915555Y-94698851D01* -X94975000Y-94704706D01* -X95125000Y-94704706D01* -X95184445Y-94698851D01* -X95241606Y-94681512D01* -X95246400Y-94678949D01* -X95246400Y-94824246D01* -X95245866Y-94824779D01* -X95234285Y-94834284D01* -X95196346Y-94880513D01* -X95168155Y-94933256D01* -X95150794Y-94990484D01* -X95147858Y-95020294D01* -X95144932Y-95050000D01* -X95146400Y-95064904D01* -X95146400Y-95435096D01* -X95144932Y-95450000D01* -X95146400Y-95464903D01* -X95150794Y-95509515D01* -X95168154Y-95566743D01* -X95196345Y-95619486D01* -X95234284Y-95665716D01* -X95245872Y-95675226D01* -X96224779Y-96654134D01* -X96234284Y-96665716D01* -X96278630Y-96702110D01* -X96280513Y-96703655D01* -X96333255Y-96731846D01* -X96390484Y-96749206D01* -X96450000Y-96755068D01* -X96464904Y-96753600D01* -X96624246Y-96753600D01* -X96721750Y-96851104D01* -X96721400Y-96852862D01* -X96721400Y-96947138D01* -X96739792Y-97039603D01* -X96775870Y-97126702D01* -X96828247Y-97205090D01* -X96894910Y-97271753D01* -X96973298Y-97324130D01* -X97060397Y-97360208D01* -X97152862Y-97378600D01* -X97247138Y-97378600D01* -X97339603Y-97360208D01* -X97426702Y-97324130D01* -X97505090Y-97271753D01* -X97571753Y-97205090D01* -X97624130Y-97126702D01* -X97660208Y-97039603D01* -X97678600Y-96947138D01* -X97678600Y-96852862D01* -X97660208Y-96760397D01* -X97624130Y-96673298D01* -X97571753Y-96594910D01* -X97505090Y-96528247D01* -X97426702Y-96475870D01* -X97339603Y-96439792D01* -X97247138Y-96421400D01* -X97152862Y-96421400D01* -X97151104Y-96421750D01* -X97028099Y-96298744D01* -X97071753Y-96255090D01* -X97124130Y-96176702D01* -X97160208Y-96089603D01* -X97178600Y-95997138D01* -X97178600Y-95902862D01* -X97173349Y-95876462D01* -X97196345Y-95919486D01* -X97234284Y-95965716D01* -X97245872Y-95975226D01* -X97621750Y-96351104D01* -X97621400Y-96352862D01* -X97621400Y-96447138D01* -X97639792Y-96539603D01* -X97675870Y-96626702D01* -X97728247Y-96705090D01* -X97794910Y-96771753D01* -X97873298Y-96824130D01* -X97960397Y-96860208D01* -X98052862Y-96878600D01* -X98147138Y-96878600D01* -X98239603Y-96860208D01* -X98326702Y-96824130D01* -X98405090Y-96771753D01* -X98471753Y-96705090D01* -X98524130Y-96626702D01* -X98560208Y-96539603D01* -X98578600Y-96447138D01* -X98578600Y-96352862D01* -X98560208Y-96260397D01* -X98524130Y-96173298D01* -X98471753Y-96094910D01* -X98405090Y-96028247D01* -X98326702Y-95975870D01* -X98239603Y-95939792D01* -X98147138Y-95921400D01* -X98052862Y-95921400D01* -X98051104Y-95921750D01* -X97753600Y-95624246D01* -X97753600Y-95336682D01* -X97810397Y-95360208D01* -X97902862Y-95378600D01* -X97997138Y-95378600D01* -X98089603Y-95360208D01* -X98176702Y-95324130D01* -X98255090Y-95271753D01* -X98321753Y-95205090D01* -X98374130Y-95126702D01* -X98410208Y-95039603D01* -X98428600Y-94947138D01* -X98428600Y-94852862D01* -X98426342Y-94841512D01* -X98428600Y-94818590D01* -X98428600Y-94818581D01* -X98430430Y-94800001D01* -X98428600Y-94781421D01* -X98428600Y-94694050D01* -X98476200Y-94646450D01* -X98476200Y-93811300D01* -X98456200Y-93811300D01* -X98456200Y-93663700D01* -X98476200Y-93663700D01* -X98476200Y-92828550D01* -X98623800Y-92828550D01* -X98623800Y-93663700D01* -X98643800Y-93663700D01* -X98643800Y-93811300D01* -X98623800Y-93811300D01* -X98623800Y-94646450D01* -X98680950Y-94703600D01* -X98700000Y-94704706D01* -X98744813Y-94700292D01* -X98754673Y-94697301D01* -X98768154Y-94741743D01* -X98796345Y-94794486D01* -X98834284Y-94840716D01* -X98845871Y-94850225D01* -X98846401Y-94850755D01* -X98846400Y-95927251D01* -X98844910Y-95928247D01* -X98778247Y-95994910D01* -X98725870Y-96073298D01* -X98689792Y-96160397D01* -X98671400Y-96252862D01* -X98671400Y-96347138D01* -X98689792Y-96439603D01* -X98725870Y-96526702D01* -X98778247Y-96605090D01* -X98844910Y-96671753D01* -X98923298Y-96724130D01* -X99010397Y-96760208D01* -X99102862Y-96778600D01* -X99197138Y-96778600D01* -X99289603Y-96760208D01* -X99376702Y-96724130D01* -X99455090Y-96671753D01* -X99521753Y-96605090D01* -X99574130Y-96526702D01* -X99610208Y-96439603D01* -X99628600Y-96347138D01* -X99628600Y-96252862D01* -X99610208Y-96160397D01* -X99574130Y-96073298D01* -X99521753Y-95994910D01* -X99455090Y-95928247D01* -X99453600Y-95927251D01* -X99453600Y-95336682D01* -X99510397Y-95360208D01* -X99602862Y-95378600D01* -X99697138Y-95378600D01* -X99789603Y-95360208D01* -X99876702Y-95324130D01* -X99955090Y-95271753D01* -X100021753Y-95205090D01* -X100074130Y-95126702D01* -X100110208Y-95039603D01* -X100128600Y-94947138D01* -X100128600Y-94852862D01* -X100110208Y-94760397D01* -X100087140Y-94704706D01* -X100125000Y-94704706D01* -X100184445Y-94698851D01* -X100241606Y-94681512D01* -X100294286Y-94653354D01* -X100340460Y-94615460D01* -X100378354Y-94569286D01* -X100406512Y-94516606D01* -X100423851Y-94459445D01* -X100429706Y-94400000D01* -X100429706Y-93075000D01* -X100423851Y-93015555D01* -X100406512Y-92958394D01* -X100393254Y-92933589D01* -X100421753Y-92905090D01* -X100474130Y-92826702D01* -X100510208Y-92739603D01* -X100528600Y-92647138D01* -X100528600Y-92552862D01* -X100510208Y-92460397D01* -X100493890Y-92421000D01* -X111915294Y-92421000D01* -X111916400Y-92519050D01* -X111973550Y-92576200D01* -X112826200Y-92576200D01* -X112826200Y-92249550D01* -X112973800Y-92249550D01* -X112973800Y-92576200D01* -X113826450Y-92576200D01* -X113883600Y-92519050D01* -X113884706Y-92421000D01* -X113880292Y-92376187D01* -X113867221Y-92333095D01* -X113845994Y-92293382D01* -X113817427Y-92258573D01* -X113782618Y-92230006D01* -X113742905Y-92208779D01* -X113699813Y-92195708D01* -X113655000Y-92191294D01* -X113030950Y-92192400D01* -X112973800Y-92249550D01* -X112826200Y-92249550D01* -X112769050Y-92192400D01* -X112145000Y-92191294D01* -X112100187Y-92195708D01* -X112057095Y-92208779D01* -X112017382Y-92230006D01* -X111982573Y-92258573D01* -X111954006Y-92293382D01* -X111932779Y-92333095D01* -X111919708Y-92376187D01* -X111915294Y-92421000D01* -X100493890Y-92421000D01* -X100474130Y-92373298D01* -X100421753Y-92294910D01* -X100355090Y-92228247D01* -X100276702Y-92175870D01* -X100189603Y-92139792D01* -X100097138Y-92121400D01* -X100002862Y-92121400D01* -X99910397Y-92139792D01* -X99823298Y-92175870D01* -X99744910Y-92228247D01* -X99678247Y-92294910D01* -X99625870Y-92373298D01* -X99589792Y-92460397D01* -X99571400Y-92552862D01* -X99571400Y-92647138D01* -X99589792Y-92739603D01* -X99602505Y-92770294D01* -X99475000Y-92770294D01* -X99415555Y-92776149D01* -X99358394Y-92793488D01* -X99305714Y-92821646D01* -X99300000Y-92826335D01* -X99294286Y-92821646D01* -X99241606Y-92793488D01* -X99184445Y-92776149D01* -X99125000Y-92770294D01* -X98975000Y-92770294D01* -X98915555Y-92776149D01* -X98858394Y-92793488D01* -X98828306Y-92809570D01* -X98827618Y-92809006D01* -X98787905Y-92787779D01* -X98744813Y-92774708D01* -X98700000Y-92770294D01* -X98680950Y-92771400D01* -X98623800Y-92828550D01* -X98476200Y-92828550D01* -X98428600Y-92780950D01* -X98428600Y-92768582D01* -X98430430Y-92749999D01* -X98428600Y-92731417D01* -X98428600Y-92731410D01* -X98423121Y-92675782D01* -X98422058Y-92672276D01* -X98401472Y-92604415D01* -X98399112Y-92600000D01* -X98378600Y-92561624D01* -X98378600Y-92313420D01* -X98425187Y-92275187D01* -X98463420Y-92228600D01* -X98802063Y-92228600D01* -X98827664Y-92223508D01* -X98853624Y-92220951D01* -X98878582Y-92213380D01* -X98904187Y-92208287D01* -X98928309Y-92198296D01* -X98953265Y-92190725D01* -X98976265Y-92178431D01* -X99000386Y-92168440D01* -X99022093Y-92153936D01* -X99045095Y-92141641D01* -X99065257Y-92125094D01* -X99086963Y-92110591D01* -X99105421Y-92092133D01* -X99125585Y-92075585D01* -X99142133Y-92055421D01* -X99160591Y-92036963D01* -X99175094Y-92015257D01* -X99191641Y-91995095D01* -X99203936Y-91972093D01* -X99218440Y-91950386D01* -X99228431Y-91926265D01* -X99240725Y-91903265D01* -X99248296Y-91878309D01* -X99258287Y-91854187D01* -X99263380Y-91828582D01* -X99270951Y-91803624D01* -X99273508Y-91777664D01* -X99278600Y-91752063D01* -X99278600Y-91725961D01* -X99281157Y-91700000D01* -X99278600Y-91674038D01* -X99278600Y-91647937D01* -X99273508Y-91622336D01* -X99270951Y-91596376D01* -X99263380Y-91571418D01* -X99258287Y-91545813D01* -X99248296Y-91521691D01* -X99240725Y-91496735D01* -X99228431Y-91473735D01* -X99218440Y-91449614D01* -X99203936Y-91427907D01* -X99191641Y-91404905D01* -X99175094Y-91384743D01* -X99160591Y-91363037D01* -X99142133Y-91344579D01* -X99125585Y-91324415D01* -X99105421Y-91307867D01* -X99086963Y-91289409D01* -X99065257Y-91274906D01* -X99045095Y-91258359D01* -X99022093Y-91246064D01* -X99000386Y-91231560D01* -X98984549Y-91225000D01* -X112245294Y-91225000D01* -X112249708Y-91269813D01* -X112262779Y-91312905D01* -X112284006Y-91352618D01* -X112312573Y-91387427D01* -X112347382Y-91415994D01* -X112387095Y-91437221D01* -X112430187Y-91450292D01* -X112475000Y-91454706D01* -X112769050Y-91453600D01* -X112826200Y-91396450D01* -X112826200Y-90823800D01* -X112973800Y-90823800D01* -X112973800Y-91396450D01* -X113030950Y-91453600D01* -X113325000Y-91454706D01* -X113369813Y-91450292D01* -X113412905Y-91437221D01* -X113452618Y-91415994D01* -X113487427Y-91387427D01* -X113515994Y-91352618D01* -X113537221Y-91312905D01* -X113550292Y-91269813D01* -X113554706Y-91225000D01* -X113553600Y-90880950D01* -X113496450Y-90823800D01* -X112973800Y-90823800D01* -X112826200Y-90823800D01* -X112303550Y-90823800D01* -X112246400Y-90880950D01* -X112245294Y-91225000D01* -X98984549Y-91225000D01* -X98976265Y-91221569D01* -X98953265Y-91209275D01* -X98928309Y-91201704D01* -X98904187Y-91191713D01* -X98878582Y-91186620D01* -X98853624Y-91179049D01* -X98827664Y-91176492D01* -X98802063Y-91171400D01* -X98463420Y-91171400D01* -X98428600Y-91128972D01* -X98428600Y-90975958D01* -X98431157Y-90949999D01* -X98428600Y-90924038D01* -X98420951Y-90846376D01* -X98390725Y-90746735D01* -X98341641Y-90654905D01* -X98275585Y-90574415D01* -X98255410Y-90557858D01* -X98160595Y-90463043D01* -X98160591Y-90463037D01* -X98086963Y-90389409D01* -X98065251Y-90374901D01* -X98045094Y-90358359D01* -X98022097Y-90346067D01* -X98000386Y-90331560D01* -X97976261Y-90321567D01* -X97953264Y-90309275D01* -X97928311Y-90301706D01* -X97904187Y-90291713D01* -X97878580Y-90286620D01* -X97853623Y-90279049D01* -X97827665Y-90276492D01* -X97820164Y-90275000D01* -X112245294Y-90275000D01* -X112246400Y-90619050D01* -X112303550Y-90676200D01* -X112826200Y-90676200D01* -X112826200Y-90103550D01* -X112973800Y-90103550D01* -X112973800Y-90676200D01* -X113496450Y-90676200D01* -X113553600Y-90619050D01* -X113554706Y-90275000D01* -X113550292Y-90230187D01* -X113537221Y-90187095D01* -X113515994Y-90147382D01* -X113487427Y-90112573D01* -X113452618Y-90084006D01* -X113412905Y-90062779D01* -X113369813Y-90049708D01* -X113325000Y-90045294D01* -X113030950Y-90046400D01* -X112973800Y-90103550D01* -X112826200Y-90103550D01* -X112769050Y-90046400D01* -X112475000Y-90045294D01* -X112430187Y-90049708D01* -X112387095Y-90062779D01* -X112347382Y-90084006D01* -X112312573Y-90112573D01* -X112284006Y-90147382D01* -X112262779Y-90187095D01* -X112249708Y-90230187D01* -X112245294Y-90275000D01* -X97820164Y-90275000D01* -X97802063Y-90271400D01* -X97775962Y-90271400D01* -X97750000Y-90268843D01* -X97724038Y-90271400D01* -X97697937Y-90271400D01* -X97672335Y-90276492D01* -X97646377Y-90279049D01* -X97621420Y-90286620D01* -X97595813Y-90291713D01* -X97571689Y-90301706D01* -X97546736Y-90309275D01* -X97523739Y-90321567D01* -X97499614Y-90331560D01* -X97477903Y-90346067D01* -X97454906Y-90358359D01* -X97434750Y-90374901D01* -X97413037Y-90389409D01* -X97394571Y-90407875D01* -X97374416Y-90424416D01* -X97357875Y-90444571D01* -X97339409Y-90463037D01* -X97324901Y-90484750D01* -X97308359Y-90504906D01* -X97296067Y-90527903D01* -X97281560Y-90549614D01* -X97271567Y-90573739D01* -X97259275Y-90596736D01* -X97251706Y-90621689D01* -X97241713Y-90645813D01* -X97236620Y-90671420D01* -X97229049Y-90696377D01* -X97226492Y-90722335D01* -X97221400Y-90747937D01* -X97221400Y-90774038D01* -X97218843Y-90800000D01* -X97221400Y-90825962D01* -X97221400Y-90852063D01* -X97226492Y-90877665D01* -X97229049Y-90903623D01* -X97236620Y-90928580D01* -X97241713Y-90954187D01* -X97251706Y-90978311D01* -X97259275Y-91003264D01* -X97271567Y-91026261D01* -X97281560Y-91050386D01* -X97296067Y-91072097D01* -X97308359Y-91095094D01* -X97324901Y-91115251D01* -X97339409Y-91136963D01* -X97353378Y-91150932D01* -X97319819Y-91191824D01* -X97278955Y-91268275D01* -X97253791Y-91351230D01* -X97245294Y-91437500D01* -X97245294Y-91962500D01* -X97253791Y-92048770D01* -X97278955Y-92131725D01* -X97319819Y-92208176D01* -X97374813Y-92275187D01* -X97421401Y-92313420D01* -X97421401Y-92552857D01* -X97421400Y-92552862D01* -X97421400Y-92647138D01* -X97426013Y-92670331D01* -X97428327Y-92693822D01* -X97435179Y-92716409D01* -X97439792Y-92739603D01* -X97448841Y-92761448D01* -X97452205Y-92772539D01* -X97415555Y-92776149D01* -X97358394Y-92793488D01* -X97305714Y-92821646D01* -X97300000Y-92826335D01* -X97294286Y-92821646D01* -X97241606Y-92793488D01* -X97184445Y-92776149D01* -X97125000Y-92770294D01* -X96975000Y-92770294D01* -X96915555Y-92776149D01* -X96858394Y-92793488D01* -X96805714Y-92821646D01* -X96800000Y-92826335D01* -X96794286Y-92821646D01* -X96741606Y-92793488D01* -X96684445Y-92776149D01* -X96625000Y-92770294D01* -X96475000Y-92770294D01* -X96415555Y-92776149D01* -X96358394Y-92793488D01* -X96305714Y-92821646D01* -X96300000Y-92826335D01* -X96294286Y-92821646D01* -X96241606Y-92793488D01* -X96184445Y-92776149D01* -X96125000Y-92770294D01* -X95975000Y-92770294D01* -X95915555Y-92776149D01* -X95858394Y-92793488D01* -X95805714Y-92821646D01* -X95800000Y-92826335D01* -X95794286Y-92821646D01* -X95741606Y-92793488D01* -X95684445Y-92776149D01* -X95625000Y-92770294D01* -X95475000Y-92770294D01* -X95415555Y-92776149D01* -X95358394Y-92793488D01* -X95349947Y-92798003D01* -X95349206Y-92790484D01* -X95331846Y-92733255D01* -X95303655Y-92680513D01* -X95299772Y-92675782D01* -X95265716Y-92634284D01* -X95254134Y-92624779D01* -X94804355Y-92175000D01* -X95745294Y-92175000D01* -X95749708Y-92219813D01* -X95762779Y-92262905D01* -X95784006Y-92302618D01* -X95812573Y-92337427D01* -X95847382Y-92365994D01* -X95887095Y-92387221D01* -X95930187Y-92400292D01* -X95975000Y-92404706D01* -X96269050Y-92403600D01* -X96326200Y-92346450D01* -X96326200Y-91773800D01* -X96473800Y-91773800D01* -X96473800Y-92346450D01* -X96530950Y-92403600D01* -X96825000Y-92404706D01* -X96869813Y-92400292D01* -X96912905Y-92387221D01* -X96952618Y-92365994D01* -X96987427Y-92337427D01* -X97015994Y-92302618D01* -X97037221Y-92262905D01* -X97050292Y-92219813D01* -X97054706Y-92175000D01* -X97053600Y-91830950D01* -X96996450Y-91773800D01* -X96473800Y-91773800D01* -X96326200Y-91773800D01* -X95803550Y-91773800D01* -X95746400Y-91830950D01* -X95745294Y-92175000D01* -X94804355Y-92175000D01* -X94353600Y-91724246D01* -X94353600Y-91225000D01* -X95745294Y-91225000D01* -X95746400Y-91569050D01* -X95803550Y-91626200D01* -X96326200Y-91626200D01* -X96326200Y-91053550D01* -X96473800Y-91053550D01* -X96473800Y-91626200D01* -X96996450Y-91626200D01* -X97053600Y-91569050D01* -X97054706Y-91225000D01* -X97050292Y-91180187D01* -X97037221Y-91137095D01* -X97015994Y-91097382D01* -X96987427Y-91062573D01* -X96952618Y-91034006D01* -X96912905Y-91012779D01* -X96869813Y-90999708D01* -X96825000Y-90995294D01* -X96530950Y-90996400D01* -X96473800Y-91053550D01* -X96326200Y-91053550D01* -X96269050Y-90996400D01* -X95975000Y-90995294D01* -X95930187Y-90999708D01* -X95887095Y-91012779D01* -X95847382Y-91034006D01* -X95812573Y-91062573D01* -X95784006Y-91097382D01* -X95762779Y-91137095D01* -X95749708Y-91180187D01* -X95745294Y-91225000D01* -X94353600Y-91225000D01* -X94353600Y-89850000D01* -X113718843Y-89850000D01* -X113721400Y-89875962D01* -X113721400Y-89902063D01* -X113726492Y-89927665D01* -X113729049Y-89953623D01* -X113736620Y-89978580D01* -X113741713Y-90004187D01* -X113751706Y-90028311D01* -X113759275Y-90053264D01* -X113771567Y-90076261D01* -X113781560Y-90100386D01* -X113796067Y-90122097D01* -X113808359Y-90145094D01* -X113824901Y-90165251D01* -X113839409Y-90186963D01* -X113853378Y-90200932D01* -X113819819Y-90241824D01* -X113778955Y-90318275D01* -X113753791Y-90401230D01* -X113745294Y-90487500D01* -X113745294Y-91012500D01* -X113753791Y-91098770D01* -X113778955Y-91181725D01* -X113819819Y-91258176D01* -X113853378Y-91299068D01* -X113839409Y-91313037D01* -X113824901Y-91334749D01* -X113808359Y-91354906D01* -X113796067Y-91377903D01* -X113781560Y-91399614D01* -X113771567Y-91423739D01* -X113759275Y-91446736D01* -X113751706Y-91471689D01* -X113741713Y-91495813D01* -X113736620Y-91521420D01* -X113729049Y-91546377D01* -X113726492Y-91572335D01* -X113721400Y-91597937D01* -X113721400Y-91624038D01* -X113718843Y-91650000D01* -X113721400Y-91675962D01* -X113721400Y-91702063D01* -X113726492Y-91727665D01* -X113729049Y-91753623D01* -X113736620Y-91778580D01* -X113741713Y-91804187D01* -X113751706Y-91828311D01* -X113759275Y-91853264D01* -X113771567Y-91876261D01* -X113781560Y-91900386D01* -X113796067Y-91922097D01* -X113808359Y-91945094D01* -X113824901Y-91965250D01* -X113839409Y-91986963D01* -X113857875Y-92005429D01* -X113874416Y-92025584D01* -X113894571Y-92042125D01* -X113913037Y-92060591D01* -X113934750Y-92075099D01* -X113954906Y-92091641D01* -X113977903Y-92103933D01* -X113999614Y-92118440D01* -X114023739Y-92128433D01* -X114046736Y-92140725D01* -X114071689Y-92148294D01* -X114095813Y-92158287D01* -X114121420Y-92163380D01* -X114146377Y-92170951D01* -X114172335Y-92173508D01* -X114197937Y-92178600D01* -X114224038Y-92178600D01* -X114250000Y-92181157D01* -X114275962Y-92178600D01* -X114302063Y-92178600D01* -X114327665Y-92173508D01* -X114353623Y-92170951D01* -X114378580Y-92163380D01* -X114404187Y-92158287D01* -X114428311Y-92148294D01* -X114453264Y-92140725D01* -X114476261Y-92128433D01* -X114500386Y-92118440D01* -X114522097Y-92103933D01* -X114545094Y-92091641D01* -X114565251Y-92075099D01* -X114586963Y-92060591D01* -X114660591Y-91986963D01* -X114660595Y-91986957D01* -X114755410Y-91892142D01* -X114775585Y-91875585D01* -X114841641Y-91795095D01* -X114890725Y-91703265D01* -X114920951Y-91603624D01* -X114928600Y-91525962D01* -X114928600Y-91525960D01* -X114931157Y-91500001D01* -X114928600Y-91474042D01* -X114928600Y-91321028D01* -X114963420Y-91278600D01* -X115302063Y-91278600D01* -X115327664Y-91273508D01* -X115353624Y-91270951D01* -X115378582Y-91263380D01* -X115404187Y-91258287D01* -X115428309Y-91248296D01* -X115453265Y-91240725D01* -X115476265Y-91228431D01* -X115484548Y-91225000D01* -X122245294Y-91225000D01* -X122249708Y-91269813D01* -X122262779Y-91312905D01* -X122284006Y-91352618D01* -X122312573Y-91387427D01* -X122347382Y-91415994D01* -X122387095Y-91437221D01* -X122430187Y-91450292D01* -X122475000Y-91454706D01* -X122769050Y-91453600D01* -X122826200Y-91396450D01* -X122826200Y-90823800D01* -X122973800Y-90823800D01* -X122973800Y-91396450D01* -X123030950Y-91453600D01* -X123325000Y-91454706D01* -X123369813Y-91450292D01* -X123412905Y-91437221D01* -X123452618Y-91415994D01* -X123487427Y-91387427D01* -X123515994Y-91352618D01* -X123537221Y-91312905D01* -X123550292Y-91269813D01* -X123554706Y-91225000D01* -X123553600Y-90880950D01* -X123496450Y-90823800D01* -X122973800Y-90823800D01* -X122826200Y-90823800D01* -X122303550Y-90823800D01* -X122246400Y-90880950D01* -X122245294Y-91225000D01* -X115484548Y-91225000D01* -X115500386Y-91218440D01* -X115522093Y-91203936D01* -X115545095Y-91191641D01* -X115565257Y-91175094D01* -X115586963Y-91160591D01* -X115605421Y-91142133D01* -X115625585Y-91125585D01* -X115642133Y-91105421D01* -X115660591Y-91086963D01* -X115675094Y-91065257D01* -X115691641Y-91045095D01* -X115703936Y-91022093D01* -X115718440Y-91000386D01* -X115728431Y-90976265D01* -X115740725Y-90953265D01* -X115748296Y-90928309D01* -X115758287Y-90904187D01* -X115763380Y-90878582D01* -X115770951Y-90853624D01* -X115773508Y-90827664D01* -X115778600Y-90802063D01* -X115778600Y-90775961D01* -X115781157Y-90750000D01* -X115778600Y-90724038D01* -X115778600Y-90697937D01* -X115773508Y-90672336D01* -X115770951Y-90646376D01* -X115763380Y-90621418D01* -X115758287Y-90595813D01* -X115748296Y-90571691D01* -X115740725Y-90546735D01* -X115728431Y-90523735D01* -X115718440Y-90499614D01* -X115703936Y-90477907D01* -X115691641Y-90454905D01* -X115675094Y-90434743D01* -X115660591Y-90413037D01* -X115642133Y-90394579D01* -X115625585Y-90374415D01* -X115605421Y-90357867D01* -X115586963Y-90339409D01* -X115565257Y-90324906D01* -X115545095Y-90308359D01* -X115522093Y-90296064D01* -X115500386Y-90281560D01* -X115484549Y-90275000D01* -X122245294Y-90275000D01* -X122246400Y-90619050D01* -X122303550Y-90676200D01* -X122826200Y-90676200D01* -X122826200Y-90103550D01* -X122973800Y-90103550D01* -X122973800Y-90676200D01* -X123496450Y-90676200D01* -X123553600Y-90619050D01* -X123554706Y-90275000D01* -X123550292Y-90230187D01* -X123537221Y-90187095D01* -X123515994Y-90147382D01* -X123487427Y-90112573D01* -X123452618Y-90084006D01* -X123412905Y-90062779D01* -X123369813Y-90049708D01* -X123325000Y-90045294D01* -X123030950Y-90046400D01* -X122973800Y-90103550D01* -X122826200Y-90103550D01* -X122769050Y-90046400D01* -X122475000Y-90045294D01* -X122430187Y-90049708D01* -X122387095Y-90062779D01* -X122347382Y-90084006D01* -X122312573Y-90112573D01* -X122284006Y-90147382D01* -X122262779Y-90187095D01* -X122249708Y-90230187D01* -X122245294Y-90275000D01* -X115484549Y-90275000D01* -X115476265Y-90271569D01* -X115453265Y-90259275D01* -X115428309Y-90251704D01* -X115404187Y-90241713D01* -X115378582Y-90236620D01* -X115353624Y-90229049D01* -X115327664Y-90226492D01* -X115302063Y-90221400D01* -X114963420Y-90221400D01* -X114928600Y-90178972D01* -X114928600Y-90025958D01* -X114931157Y-89999999D01* -X114928600Y-89974038D01* -X114920951Y-89896376D01* -X114890725Y-89796735D01* -X114841641Y-89704905D01* -X114775585Y-89624415D01* -X114755410Y-89607858D01* -X114660595Y-89513043D01* -X114660591Y-89513037D01* -X114586963Y-89439409D01* -X114565251Y-89424901D01* -X114545094Y-89408359D01* -X114522097Y-89396067D01* -X114500386Y-89381560D01* -X114476261Y-89371567D01* -X114453264Y-89359275D01* -X114428311Y-89351706D01* -X114404187Y-89341713D01* -X114378580Y-89336620D01* -X114353623Y-89329049D01* -X114327665Y-89326492D01* -X114302063Y-89321400D01* -X114275962Y-89321400D01* -X114250000Y-89318843D01* -X114224038Y-89321400D01* -X114197937Y-89321400D01* -X114172335Y-89326492D01* -X114146377Y-89329049D01* -X114121420Y-89336620D01* -X114095813Y-89341713D01* -X114071689Y-89351706D01* -X114046736Y-89359275D01* -X114023739Y-89371567D01* -X113999614Y-89381560D01* -X113977903Y-89396067D01* -X113954906Y-89408359D01* -X113934750Y-89424901D01* -X113913037Y-89439409D01* -X113894571Y-89457875D01* -X113874416Y-89474416D01* -X113857875Y-89494571D01* -X113839409Y-89513037D01* -X113824901Y-89534750D01* -X113808359Y-89554906D01* -X113796067Y-89577903D01* -X113781560Y-89599614D01* -X113771567Y-89623739D01* -X113759275Y-89646736D01* -X113751706Y-89671689D01* -X113741713Y-89695813D01* -X113736620Y-89721420D01* -X113729049Y-89746377D01* -X113726492Y-89772335D01* -X113721400Y-89797937D01* -X113721400Y-89824038D01* -X113718843Y-89850000D01* -X94353600Y-89850000D01* -X94353600Y-89664903D01* -X94355068Y-89649999D01* -X94349206Y-89590484D01* -X94338034Y-89553655D01* -X94331846Y-89533256D01* -X94303655Y-89480513D01* -X94265716Y-89434284D01* -X94254134Y-89424779D01* -X92725226Y-87895872D01* -X92715716Y-87884284D01* -X92669487Y-87846345D01* -X92616744Y-87818154D01* -X92559516Y-87800794D01* -X92514904Y-87796400D01* -X92500000Y-87794932D01* -X92485096Y-87796400D01* -X88589904Y-87796400D01* -X88575000Y-87794932D01* -X88560096Y-87796400D01* -X88515484Y-87800794D01* -X88458256Y-87818154D01* -X88405513Y-87846345D01* -X88359284Y-87884284D01* -X88349779Y-87895866D01* -X86249246Y-89996400D01* -X75264904Y-89996400D01* -X75250000Y-89994932D01* -X75235096Y-89996400D01* -X75190484Y-90000794D01* -X75133256Y-90018154D01* -X75080513Y-90046345D01* -X75034284Y-90084284D01* -X75024779Y-90095866D01* -X70495872Y-94624774D01* -X70484284Y-94634284D01* -X70446345Y-94680514D01* -X70418154Y-94733257D01* -X70406008Y-94773298D01* -X70400794Y-94790485D01* -X70394932Y-94850000D01* -X70035191Y-94850000D01* -X70024130Y-94823298D01* -X69971753Y-94744910D01* -X69905090Y-94678247D01* -X69826702Y-94625870D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69175870Y-94823298D01* -X69139792Y-94910397D01* -X69121400Y-95002862D01* -X69121400Y-95097138D01* -X69139792Y-95189603D01* -X69175870Y-95276702D01* -X69181157Y-95284614D01* -X69141863Y-95316863D01* -X69100859Y-95366825D01* -X69100000Y-95368432D01* -X69099141Y-95366825D01* -X69058137Y-95316863D01* -X69008175Y-95275859D01* -X68951173Y-95245391D01* -X68889322Y-95226629D01* -X68825000Y-95220294D01* -X68375000Y-95220294D01* -X68310678Y-95226629D01* -X68248827Y-95245391D01* -X68191825Y-95275859D01* -X68141863Y-95316863D01* -X68100859Y-95366825D01* -X68070391Y-95423827D01* -X68051629Y-95485678D01* -X68045294Y-95550000D01* -X68045294Y-95750000D01* -X68051629Y-95814322D01* -X68054578Y-95824043D01* -X68033194Y-95826149D01* -X68025781Y-95826879D01* -X68009701Y-95831757D01* -X67954415Y-95848528D01* -X67888644Y-95883683D01* -X67830995Y-95930995D01* -X67819141Y-95945439D01* -X67778361Y-95986219D01* -X67760397Y-95989792D01* -X67673298Y-96025870D01* -X67594910Y-96078247D01* -X67528247Y-96144910D01* -X67475870Y-96223298D01* -X67439792Y-96310397D01* -X67421400Y-96402862D01* -X48894597Y-96402862D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48846027Y-95757879D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-93606544D01* -X46201385Y-93485617D01* -X46981800Y-93485617D01* -X46981800Y-93712383D01* -X47026040Y-93934793D01* -X47112820Y-94144298D01* -X47238805Y-94332847D01* -X47399153Y-94493195D01* -X47587702Y-94619180D01* -X47797207Y-94705960D01* -X48019617Y-94750200D01* -X48246383Y-94750200D01* -X48468793Y-94705960D01* -X48678298Y-94619180D01* -X48866847Y-94493195D01* -X49027195Y-94332847D01* -X49153180Y-94144298D01* -X49239960Y-93934793D01* -X49284200Y-93712383D01* -X49284200Y-93485617D01* -X49239960Y-93263207D01* -X49153180Y-93053702D01* -X49027195Y-92865153D01* -X48866847Y-92704805D01* -X48678298Y-92578820D01* -X48468793Y-92492040D01* -X48246383Y-92447800D01* -X48019617Y-92447800D01* -X47797207Y-92492040D01* -X47587702Y-92578820D01* -X47399153Y-92704805D01* -X47238805Y-92865153D01* -X47112820Y-93053702D01* -X47026040Y-93263207D01* -X46981800Y-93485617D01* -X46201385Y-93485617D01* -X46300719Y-92988951D01* -X46544624Y-92501140D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56228147Y-82817617D01* -X57649800Y-82817617D01* -X57649800Y-83044383D01* -X57694040Y-83266793D01* -X57780820Y-83476298D01* -X57906805Y-83664847D01* -X58067153Y-83825195D01* -X58255702Y-83951180D01* -X58465207Y-84037960D01* -X58687617Y-84082200D01* -X58914383Y-84082200D01* -X59136793Y-84037960D01* -X59346298Y-83951180D01* -X59534847Y-83825195D01* -X59695195Y-83664847D01* -X59821180Y-83476298D01* -X59907960Y-83266793D01* -X59952200Y-83044383D01* -X59952200Y-82817617D01* -X59907960Y-82595207D01* -X59821180Y-82385702D01* -X59793152Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141259810Y-82309617D01* -X141850800Y-82309617D01* -X141850800Y-82536383D01* -X141895040Y-82758793D01* -X141981820Y-82968298D01* -X142107805Y-83156847D01* -X142268153Y-83317195D01* -X142456702Y-83443180D01* -X142666207Y-83529960D01* -X142888617Y-83574200D01* -X143115383Y-83574200D01* -X143337793Y-83529960D01* -X143547298Y-83443180D01* -X143735847Y-83317195D01* -X143896195Y-83156847D01* -X144022180Y-82968298D01* -X144108960Y-82758793D01* -X144153200Y-82536383D01* -X144153200Y-82309617D01* -X144108960Y-82087207D01* -X144022180Y-81877702D01* -X143896195Y-81689153D01* -X143735847Y-81528805D01* -X143547298Y-81402820D01* -X143337793Y-81316040D01* -X143115383Y-81271800D01* -X142888617Y-81271800D01* -X142666207Y-81316040D01* -X142456702Y-81402820D01* -X142268153Y-81528805D01* -X142107805Y-81689153D01* -X141981820Y-81877702D01* -X141895040Y-82087207D01* -X141850800Y-82309617D01* -X141259810Y-82309617D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X59793152Y-82343754D01* -X59695195Y-82197153D01* -X59534847Y-82036805D01* -X59346298Y-81910820D01* -X59136793Y-81824040D01* -X58914383Y-81779800D01* -X58687617Y-81779800D01* -X58465207Y-81824040D01* -X58255702Y-81910820D01* -X58067153Y-82036805D01* -X57906805Y-82197153D01* -X57780820Y-82385702D01* -X57694040Y-82595207D01* -X57649800Y-82817617D01* -X56228147Y-82817617D01* -X58211140Y-80834624D01* -X58698951Y-80590719D01* -X59316544Y-80467200D01* -X142994456Y-80467200D01* -X143609112Y-80590131D01* -G36* -X60502800Y-105556428D02* -G01* -X60502800Y-105771572D01* -X60544772Y-105982583D01* -X60627105Y-106181351D01* -X60746633Y-106360237D01* -X60898763Y-106512367D01* -X61077649Y-106631895D01* -X61114170Y-106647023D01* -X61097255Y-106652154D01* -X61067321Y-106668154D01* -X61044513Y-106680345D01* -X60998284Y-106718284D01* -X60988779Y-106729866D01* -X57326867Y-110391779D01* -X57315285Y-110401284D01* -X57277346Y-110447513D01* -X57253721Y-110491713D01* -X57249155Y-110500256D01* -X57231794Y-110557485D01* -X57225932Y-110617000D01* -X57227401Y-110631914D01* -X57227400Y-123322596D01* -X57225932Y-123337500D01* -X57227400Y-123352403D01* -X57231794Y-123397015D01* -X57249154Y-123454243D01* -X57277345Y-123506986D01* -X57315284Y-123553216D01* -X57326872Y-123562726D01* -X58428279Y-124664134D01* -X58437784Y-124675716D01* -X58484013Y-124713655D01* -X58536756Y-124741846D01* -X58587658Y-124757287D01* -X58593984Y-124759206D01* -X58653500Y-124765068D01* -X58668404Y-124763600D01* -X61072096Y-124763600D01* -X61087000Y-124765068D01* -X61101904Y-124763600D01* -X61146516Y-124759206D01* -X61203744Y-124741846D01* -X61256487Y-124713655D01* -X61302716Y-124675716D01* -X61312226Y-124664128D01* -X63450134Y-122526221D01* -X63461716Y-122516716D01* -X63499655Y-122470487D01* -X63527846Y-122417744D01* -X63545206Y-122360516D01* -X63549600Y-122315904D01* -X63551068Y-122301001D01* -X63549600Y-122286097D01* -X63549600Y-120648754D01* -X63709898Y-120488457D01* -X63819709Y-120510300D01* -X63942291Y-120510300D01* -X63987938Y-120501220D01* -X63813195Y-120675963D01* -X63794943Y-120690942D01* -X63779964Y-120709194D01* -X63779959Y-120709199D01* -X63735134Y-120763819D01* -X63690693Y-120846962D01* -X63663326Y-120937179D01* -X63654086Y-121031000D01* -X63656401Y-121054505D01* -X63656400Y-121618861D01* -X63656400Y-121713138D01* -X63661011Y-121736322D01* -X63663326Y-121759821D01* -X63670180Y-121782416D01* -X63674792Y-121805603D01* -X63683838Y-121827442D01* -X63690692Y-121850037D01* -X63701824Y-121870864D01* -X63710870Y-121892702D01* -X63724002Y-121912355D01* -X63735134Y-121933182D01* -X63750114Y-121951436D01* -X63763247Y-121971090D01* -X63779963Y-121987806D01* -X63794942Y-122006058D01* -X63813194Y-122021037D01* -X63829910Y-122037753D01* -X63849564Y-122050886D01* -X63867818Y-122065866D01* -X63888646Y-122076999D01* -X63908298Y-122090130D01* -X63930133Y-122099174D01* -X63950962Y-122110308D01* -X63973561Y-122117163D01* -X63995397Y-122126208D01* -X64018581Y-122130820D01* -X64041178Y-122137674D01* -X64064679Y-122139989D01* -X64087862Y-122144600D01* -X64111496Y-122144600D01* -X64135000Y-122146915D01* -X64158503Y-122144600D01* -X64182138Y-122144600D01* -X64205322Y-122139989D01* -X64228821Y-122137674D01* -X64251416Y-122130820D01* -X64274603Y-122126208D01* -X64296442Y-122117162D01* -X64319037Y-122110308D01* -X64339864Y-122099176D01* -X64361702Y-122090130D01* -X64381355Y-122076998D01* -X64397032Y-122068619D01* -X64424368Y-122206047D01* -X64531112Y-122463751D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67134575Y-121348429D01* -X67260401Y-121474256D01* -X67260400Y-123826244D01* -X66168246Y-124918400D01* -X58147756Y-124918400D01* -X57199600Y-123970246D01* -X57199600Y-108837754D01* -X60508231Y-105529125D01* -X60502800Y-105556428D01* -G37* -X60502800Y-105556428D02* -X60502800Y-105771572D01* -X60544772Y-105982583D01* -X60627105Y-106181351D01* -X60746633Y-106360237D01* -X60898763Y-106512367D01* -X61077649Y-106631895D01* -X61114170Y-106647023D01* -X61097255Y-106652154D01* -X61067321Y-106668154D01* -X61044513Y-106680345D01* -X60998284Y-106718284D01* -X60988779Y-106729866D01* -X57326867Y-110391779D01* -X57315285Y-110401284D01* -X57277346Y-110447513D01* -X57253721Y-110491713D01* -X57249155Y-110500256D01* -X57231794Y-110557485D01* -X57225932Y-110617000D01* -X57227401Y-110631914D01* -X57227400Y-123322596D01* -X57225932Y-123337500D01* -X57227400Y-123352403D01* -X57231794Y-123397015D01* -X57249154Y-123454243D01* -X57277345Y-123506986D01* -X57315284Y-123553216D01* -X57326872Y-123562726D01* -X58428279Y-124664134D01* -X58437784Y-124675716D01* -X58484013Y-124713655D01* -X58536756Y-124741846D01* -X58587658Y-124757287D01* -X58593984Y-124759206D01* -X58653500Y-124765068D01* -X58668404Y-124763600D01* -X61072096Y-124763600D01* -X61087000Y-124765068D01* -X61101904Y-124763600D01* -X61146516Y-124759206D01* -X61203744Y-124741846D01* -X61256487Y-124713655D01* -X61302716Y-124675716D01* -X61312226Y-124664128D01* -X63450134Y-122526221D01* -X63461716Y-122516716D01* -X63499655Y-122470487D01* -X63527846Y-122417744D01* -X63545206Y-122360516D01* -X63549600Y-122315904D01* -X63551068Y-122301001D01* -X63549600Y-122286097D01* -X63549600Y-120648754D01* -X63709898Y-120488457D01* -X63819709Y-120510300D01* -X63942291Y-120510300D01* -X63987938Y-120501220D01* -X63813195Y-120675963D01* -X63794943Y-120690942D01* -X63779964Y-120709194D01* -X63779959Y-120709199D01* -X63735134Y-120763819D01* -X63690693Y-120846962D01* -X63663326Y-120937179D01* -X63654086Y-121031000D01* -X63656401Y-121054505D01* -X63656400Y-121618861D01* -X63656400Y-121713138D01* -X63661011Y-121736322D01* -X63663326Y-121759821D01* -X63670180Y-121782416D01* -X63674792Y-121805603D01* -X63683838Y-121827442D01* -X63690692Y-121850037D01* -X63701824Y-121870864D01* -X63710870Y-121892702D01* -X63724002Y-121912355D01* -X63735134Y-121933182D01* -X63750114Y-121951436D01* -X63763247Y-121971090D01* -X63779963Y-121987806D01* -X63794942Y-122006058D01* -X63813194Y-122021037D01* -X63829910Y-122037753D01* -X63849564Y-122050886D01* -X63867818Y-122065866D01* -X63888646Y-122076999D01* -X63908298Y-122090130D01* -X63930133Y-122099174D01* -X63950962Y-122110308D01* -X63973561Y-122117163D01* -X63995397Y-122126208D01* -X64018581Y-122130820D01* -X64041178Y-122137674D01* -X64064679Y-122139989D01* -X64087862Y-122144600D01* -X64111496Y-122144600D01* -X64135000Y-122146915D01* -X64158503Y-122144600D01* -X64182138Y-122144600D01* -X64205322Y-122139989D01* -X64228821Y-122137674D01* -X64251416Y-122130820D01* -X64274603Y-122126208D01* -X64296442Y-122117162D01* -X64319037Y-122110308D01* -X64339864Y-122099176D01* -X64361702Y-122090130D01* -X64381355Y-122076998D01* -X64397032Y-122068619D01* -X64424368Y-122206047D01* -X64531112Y-122463751D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67134575Y-121348429D01* -X67260401Y-121474256D01* -X67260400Y-123826244D01* -X66168246Y-124918400D01* -X58147756Y-124918400D01* -X57199600Y-123970246D01* -X57199600Y-108837754D01* -X60508231Y-105529125D01* -X60502800Y-105556428D01* -G36* -X85274783Y-96604139D02* -G01* -X85284284Y-96615716D01* -X85330513Y-96653655D01* -X85383256Y-96681846D01* -X85411735Y-96690485D01* -X85440483Y-96699206D01* -X85448003Y-96699947D01* -X85443488Y-96708394D01* -X85426149Y-96765555D01* -X85420294Y-96825000D01* -X85420294Y-96975000D01* -X85426149Y-97034445D01* -X85443488Y-97091606D01* -X85471646Y-97144286D01* -X85476335Y-97150000D01* -X85471646Y-97155714D01* -X85443488Y-97208394D01* -X85426149Y-97265555D01* -X85420294Y-97325000D01* -X85420294Y-97475000D01* -X85426149Y-97534445D01* -X85443488Y-97591606D01* -X85445503Y-97595375D01* -X85390483Y-97600794D01* -X85377164Y-97604835D01* -X85333256Y-97618154D01* -X85280513Y-97646345D01* -X85234284Y-97684284D01* -X85224778Y-97695867D01* -X85224245Y-97696400D01* -X85052598Y-97696400D01* -X85054706Y-97675000D01* -X85053600Y-97380950D01* -X84996450Y-97323800D01* -X84423800Y-97323800D01* -X84423800Y-97343800D01* -X84276200Y-97343800D01* -X84276200Y-97323800D01* -X83703550Y-97323800D01* -X83646400Y-97380950D01* -X83645294Y-97675000D01* -X83647402Y-97696400D01* -X83525756Y-97696400D01* -X83303600Y-97474246D01* -X83303600Y-96825000D01* -X83645294Y-96825000D01* -X83646400Y-97119050D01* -X83703550Y-97176200D01* -X84276200Y-97176200D01* -X84276200Y-96653550D01* -X84423800Y-96653550D01* -X84423800Y-97176200D01* -X84996450Y-97176200D01* -X85053600Y-97119050D01* -X85054706Y-96825000D01* -X85050292Y-96780187D01* -X85037221Y-96737095D01* -X85015994Y-96697382D01* -X84987427Y-96662573D01* -X84952618Y-96634006D01* -X84912905Y-96612779D01* -X84869813Y-96599708D01* -X84825000Y-96595294D01* -X84480950Y-96596400D01* -X84423800Y-96653550D01* -X84276200Y-96653550D01* -X84219050Y-96596400D01* -X83875000Y-96595294D01* -X83830187Y-96599708D01* -X83787095Y-96612779D01* -X83747382Y-96634006D01* -X83712573Y-96662573D01* -X83684006Y-96697382D01* -X83662779Y-96737095D01* -X83649708Y-96780187D01* -X83645294Y-96825000D01* -X83303600Y-96825000D01* -X83303600Y-96304713D01* -X83314903Y-96303600D01* -X84974246Y-96303600D01* -X85274783Y-96604139D01* -G37* -X85274783Y-96604139D02* -X85284284Y-96615716D01* -X85330513Y-96653655D01* -X85383256Y-96681846D01* -X85411735Y-96690485D01* -X85440483Y-96699206D01* -X85448003Y-96699947D01* -X85443488Y-96708394D01* -X85426149Y-96765555D01* -X85420294Y-96825000D01* -X85420294Y-96975000D01* -X85426149Y-97034445D01* -X85443488Y-97091606D01* -X85471646Y-97144286D01* -X85476335Y-97150000D01* -X85471646Y-97155714D01* -X85443488Y-97208394D01* -X85426149Y-97265555D01* -X85420294Y-97325000D01* -X85420294Y-97475000D01* -X85426149Y-97534445D01* -X85443488Y-97591606D01* -X85445503Y-97595375D01* -X85390483Y-97600794D01* -X85377164Y-97604835D01* -X85333256Y-97618154D01* -X85280513Y-97646345D01* -X85234284Y-97684284D01* -X85224778Y-97695867D01* -X85224245Y-97696400D01* -X85052598Y-97696400D01* -X85054706Y-97675000D01* -X85053600Y-97380950D01* -X84996450Y-97323800D01* -X84423800Y-97323800D01* -X84423800Y-97343800D01* -X84276200Y-97343800D01* -X84276200Y-97323800D01* -X83703550Y-97323800D01* -X83646400Y-97380950D01* -X83645294Y-97675000D01* -X83647402Y-97696400D01* -X83525756Y-97696400D01* -X83303600Y-97474246D01* -X83303600Y-96825000D01* -X83645294Y-96825000D01* -X83646400Y-97119050D01* -X83703550Y-97176200D01* -X84276200Y-97176200D01* -X84276200Y-96653550D01* -X84423800Y-96653550D01* -X84423800Y-97176200D01* -X84996450Y-97176200D01* -X85053600Y-97119050D01* -X85054706Y-96825000D01* -X85050292Y-96780187D01* -X85037221Y-96737095D01* -X85015994Y-96697382D01* -X84987427Y-96662573D01* -X84952618Y-96634006D01* -X84912905Y-96612779D01* -X84869813Y-96599708D01* -X84825000Y-96595294D01* -X84480950Y-96596400D01* -X84423800Y-96653550D01* -X84276200Y-96653550D01* -X84219050Y-96596400D01* -X83875000Y-96595294D01* -X83830187Y-96599708D01* -X83787095Y-96612779D01* -X83747382Y-96634006D01* -X83712573Y-96662573D01* -X83684006Y-96697382D01* -X83662779Y-96737095D01* -X83649708Y-96780187D01* -X83645294Y-96825000D01* -X83303600Y-96825000D01* -X83303600Y-96304713D01* -X83314903Y-96303600D01* -X84974246Y-96303600D01* -X85274783Y-96604139D01* -G36* -X86867045Y-94646400D02* -G01* -X85564903Y-94646400D01* -X85549999Y-94644932D01* -X85505622Y-94649303D01* -X85490484Y-94650794D01* -X85433256Y-94668154D01* -X85380513Y-94696345D01* -X85334284Y-94734284D01* -X85324774Y-94745872D01* -X85274246Y-94796400D01* -X83875756Y-94796400D01* -X82682954Y-93603600D01* -X85824246Y-93603600D01* -X86867045Y-94646400D01* -G37* -X86867045Y-94646400D02* -X85564903Y-94646400D01* -X85549999Y-94644932D01* -X85505622Y-94649303D01* -X85490484Y-94650794D01* -X85433256Y-94668154D01* -X85380513Y-94696345D01* -X85334284Y-94734284D01* -X85324774Y-94745872D01* -X85274246Y-94796400D01* -X83875756Y-94796400D01* -X82682954Y-93603600D01* -X85824246Y-93603600D01* -X86867045Y-94646400D01* -G36* -X88040940Y-92770294D02* -G01* -X87975000Y-92770294D01* -X87915555Y-92776149D01* -X87858394Y-92793488D01* -X87805714Y-92821646D01* -X87759540Y-92859540D01* -X87721646Y-92905714D01* -X87693488Y-92958394D01* -X87676149Y-93015555D01* -X87670294Y-93075000D01* -X87670294Y-93433900D01* -X87413255Y-93433900D01* -X86682954Y-92703600D01* -X87974246Y-92703600D01* -X88040940Y-92770294D01* -G37* -X88040940Y-92770294D02* -X87975000Y-92770294D01* -X87915555Y-92776149D01* -X87858394Y-92793488D01* -X87805714Y-92821646D01* -X87759540Y-92859540D01* -X87721646Y-92905714D01* -X87693488Y-92958394D01* -X87676149Y-93015555D01* -X87670294Y-93075000D01* -X87670294Y-93433900D01* -X87413255Y-93433900D01* -X86682954Y-92703600D01* -X87974246Y-92703600D01* -X88040940Y-92770294D01* -G36* -X101296400Y-129825755D02* -G01* -X101296401Y-130885087D01* -X101296400Y-130885097D01* -X101296400Y-131382294D01* -X101219000Y-131382294D01* -X101099857Y-131394029D01* -X100985293Y-131428781D01* -X100879710Y-131485217D01* -X100866815Y-131495800D01* -X99793185Y-131495800D01* -X99780290Y-131485217D01* -X99674707Y-131428781D01* -X99560143Y-131394029D01* -X99441000Y-131382294D01* -X99363600Y-131382294D01* -X99363600Y-127892954D01* -X101296400Y-129825755D01* -G37* -X101296400Y-129825755D02* -X101296401Y-130885087D01* -X101296400Y-130885097D01* -X101296400Y-131382294D01* -X101219000Y-131382294D01* -X101099857Y-131394029D01* -X100985293Y-131428781D01* -X100879710Y-131485217D01* -X100866815Y-131495800D01* -X99793185Y-131495800D01* -X99780290Y-131485217D01* -X99674707Y-131428781D01* -X99560143Y-131394029D01* -X99441000Y-131382294D01* -X99363600Y-131382294D01* -X99363600Y-127892954D01* -X101296400Y-129825755D01* -G36* -X81075872Y-130838774D02* -G01* -X81064284Y-130848284D01* -X81026345Y-130894514D01* -X80998154Y-130947257D01* -X80986444Y-130985861D01* -X80980794Y-131004485D01* -X80974932Y-131064000D01* -X80976400Y-131078904D01* -X80976400Y-131382294D01* -X80899000Y-131382294D01* -X80779857Y-131394029D01* -X80665293Y-131428781D01* -X80559710Y-131485217D01* -X80546815Y-131495800D01* -X79473185Y-131495800D01* -X79460290Y-131485217D01* -X79354707Y-131428781D01* -X79240143Y-131394029D01* -X79121000Y-131382294D01* -X79043600Y-131382294D01* -X79043600Y-131316754D01* -X81356755Y-129003600D01* -X82911045Y-129003600D01* -X81075872Y-130838774D01* -G37* -X81075872Y-130838774D02* -X81064284Y-130848284D01* -X81026345Y-130894514D01* -X80998154Y-130947257D01* -X80986444Y-130985861D01* -X80980794Y-131004485D01* -X80974932Y-131064000D01* -X80976400Y-131078904D01* -X80976400Y-131382294D01* -X80899000Y-131382294D01* -X80779857Y-131394029D01* -X80665293Y-131428781D01* -X80559710Y-131485217D01* -X80546815Y-131495800D01* -X79473185Y-131495800D01* -X79460290Y-131485217D01* -X79354707Y-131428781D01* -X79240143Y-131394029D01* -X79121000Y-131382294D01* -X79043600Y-131382294D01* -X79043600Y-131316754D01* -X81356755Y-129003600D01* -X82911045Y-129003600D01* -X81075872Y-130838774D01* -G36* -X83615867Y-130204779D02* -G01* -X83604285Y-130214284D01* -X83566346Y-130260513D01* -X83543954Y-130302407D01* -X83538155Y-130313256D01* -X83520794Y-130370485D01* -X83514932Y-130430000D01* -X83516401Y-130444914D01* -X83516401Y-131382294D01* -X83439000Y-131382294D01* -X83319857Y-131394029D01* -X83205293Y-131428781D01* -X83099710Y-131485217D01* -X83086815Y-131495800D01* -X82013185Y-131495800D01* -X82000290Y-131485217D01* -X81894707Y-131428781D01* -X81780143Y-131394029D01* -X81661000Y-131382294D01* -X81583600Y-131382294D01* -X81583600Y-131189754D01* -X83469755Y-129303600D01* -X84517044Y-129303600D01* -X83615867Y-130204779D01* -G37* -X83615867Y-130204779D02* -X83604285Y-130214284D01* -X83566346Y-130260513D01* -X83543954Y-130302407D01* -X83538155Y-130313256D01* -X83520794Y-130370485D01* -X83514932Y-130430000D01* -X83516401Y-130444914D01* -X83516401Y-131382294D01* -X83439000Y-131382294D01* -X83319857Y-131394029D01* -X83205293Y-131428781D01* -X83099710Y-131485217D01* -X83086815Y-131495800D01* -X82013185Y-131495800D01* -X82000290Y-131485217D01* -X81894707Y-131428781D01* -X81780143Y-131394029D01* -X81661000Y-131382294D01* -X81583600Y-131382294D01* -X81583600Y-131189754D01* -X83469755Y-129303600D01* -X84517044Y-129303600D01* -X83615867Y-130204779D01* -G36* -X86056401Y-130795087D02* -G01* -X86056400Y-130795097D01* -X86056400Y-131382294D01* -X85979000Y-131382294D01* -X85859857Y-131394029D01* -X85745293Y-131428781D01* -X85639710Y-131485217D01* -X85626815Y-131495800D01* -X84553185Y-131495800D01* -X84540290Y-131485217D01* -X84434707Y-131428781D01* -X84320143Y-131394029D01* -X84201000Y-131382294D01* -X84123600Y-131382294D01* -X84123600Y-130555754D01* -X85075756Y-129603600D01* -X85785096Y-129603600D01* -X85800000Y-129605068D01* -X85814904Y-129603600D01* -X85859516Y-129599206D01* -X85916744Y-129581846D01* -X85969487Y-129553655D01* -X86015716Y-129515716D01* -X86025226Y-129504128D01* -X86056400Y-129472954D01* -X86056401Y-130795087D01* -G37* -X86056401Y-130795087D02* -X86056400Y-130795097D01* -X86056400Y-131382294D01* -X85979000Y-131382294D01* -X85859857Y-131394029D01* -X85745293Y-131428781D01* -X85639710Y-131485217D01* -X85626815Y-131495800D01* -X84553185Y-131495800D01* -X84540290Y-131485217D01* -X84434707Y-131428781D01* -X84320143Y-131394029D01* -X84201000Y-131382294D01* -X84123600Y-131382294D01* -X84123600Y-130555754D01* -X85075756Y-129603600D01* -X85785096Y-129603600D01* -X85800000Y-129605068D01* -X85814904Y-129603600D01* -X85859516Y-129599206D01* -X85916744Y-129581846D01* -X85969487Y-129553655D01* -X86015716Y-129515716D01* -X86025226Y-129504128D01* -X86056400Y-129472954D01* -X86056401Y-130795087D01* -G36* -X88596400Y-131382294D02* -G01* -X88519000Y-131382294D01* -X88399857Y-131394029D01* -X88285293Y-131428781D01* -X88179710Y-131485217D01* -X88166815Y-131495800D01* -X87093185Y-131495800D01* -X87080290Y-131485217D01* -X86974707Y-131428781D01* -X86860143Y-131394029D01* -X86741000Y-131382294D01* -X86663600Y-131382294D01* -X86663600Y-129515754D01* -X88596400Y-127582955D01* -X88596400Y-131382294D01* -G37* -X88596400Y-131382294D02* -X88519000Y-131382294D01* -X88399857Y-131394029D01* -X88285293Y-131428781D01* -X88179710Y-131485217D01* -X88166815Y-131495800D01* -X87093185Y-131495800D01* -X87080290Y-131485217D01* -X86974707Y-131428781D01* -X86860143Y-131394029D01* -X86741000Y-131382294D01* -X86663600Y-131382294D01* -X86663600Y-129515754D01* -X88596400Y-127582955D01* -X88596400Y-131382294D01* -G36* -X96216401Y-130295756D02* -G01* -X96216401Y-131382294D01* -X96139000Y-131382294D01* -X96019857Y-131394029D01* -X95905293Y-131428781D01* -X95799710Y-131485217D01* -X95786815Y-131495800D01* -X94713185Y-131495800D01* -X94700290Y-131485217D01* -X94594707Y-131428781D01* -X94480143Y-131394029D01* -X94361000Y-131382294D01* -X94283600Y-131382294D01* -X94283600Y-128362954D01* -X96216401Y-130295756D01* -G37* -X96216401Y-130295756D02* -X96216401Y-131382294D01* -X96139000Y-131382294D01* -X96019857Y-131394029D01* -X95905293Y-131428781D01* -X95799710Y-131485217D01* -X95786815Y-131495800D01* -X94713185Y-131495800D01* -X94700290Y-131485217D01* -X94594707Y-131428781D01* -X94480143Y-131394029D01* -X94361000Y-131382294D01* -X94283600Y-131382294D01* -X94283600Y-128362954D01* -X96216401Y-130295756D01* -G36* -X93676400Y-128205755D02* -G01* -X93676400Y-131382294D01* -X93599000Y-131382294D01* -X93479857Y-131394029D01* -X93365293Y-131428781D01* -X93259710Y-131485217D01* -X93246815Y-131495800D01* -X92173185Y-131495800D01* -X92160290Y-131485217D01* -X92054707Y-131428781D01* -X91940143Y-131394029D01* -X91821000Y-131382294D01* -X91743600Y-131382294D01* -X91743600Y-126272954D01* -X93676400Y-128205755D01* -G37* -X93676400Y-128205755D02* -X93676400Y-131382294D01* -X93599000Y-131382294D01* -X93479857Y-131394029D01* -X93365293Y-131428781D01* -X93259710Y-131485217D01* -X93246815Y-131495800D01* -X92173185Y-131495800D01* -X92160290Y-131485217D01* -X92054707Y-131428781D01* -X91940143Y-131394029D01* -X91821000Y-131382294D01* -X91743600Y-131382294D01* -X91743600Y-126272954D01* -X93676400Y-128205755D01* -G36* -X103836400Y-131165756D02* -G01* -X103836400Y-131382294D01* -X103759000Y-131382294D01* -X103639857Y-131394029D01* -X103525293Y-131428781D01* -X103419710Y-131485217D01* -X103406815Y-131495800D01* -X102333185Y-131495800D01* -X102320290Y-131485217D01* -X102214707Y-131428781D01* -X102100143Y-131394029D01* -X101981000Y-131382294D01* -X101903600Y-131382294D01* -X101903600Y-129714904D01* -X101905068Y-129700000D01* -X101899206Y-129640484D01* -X101881846Y-129583255D01* -X101853655Y-129530513D01* -X101841511Y-129515716D01* -X101815716Y-129484284D01* -X101804135Y-129474780D01* -X101432955Y-129103600D01* -X101774246Y-129103600D01* -X103836400Y-131165756D01* -G37* -X103836400Y-131165756D02* -X103836400Y-131382294D01* -X103759000Y-131382294D01* -X103639857Y-131394029D01* -X103525293Y-131428781D01* -X103419710Y-131485217D01* -X103406815Y-131495800D01* -X102333185Y-131495800D01* -X102320290Y-131485217D01* -X102214707Y-131428781D01* -X102100143Y-131394029D01* -X101981000Y-131382294D01* -X101903600Y-131382294D01* -X101903600Y-129714904D01* -X101905068Y-129700000D01* -X101899206Y-129640484D01* -X101881846Y-129583255D01* -X101853655Y-129530513D01* -X101841511Y-129515716D01* -X101815716Y-129484284D01* -X101804135Y-129474780D01* -X101432955Y-129103600D01* -X101774246Y-129103600D01* -X103836400Y-131165756D01* -G36* -X106376400Y-131005756D02* -G01* -X106376400Y-131382294D01* -X106299000Y-131382294D01* -X106179857Y-131394029D01* -X106065293Y-131428781D01* -X105959710Y-131485217D01* -X105946815Y-131495800D01* -X104873185Y-131495800D01* -X104860290Y-131485217D01* -X104754707Y-131428781D01* -X104640143Y-131394029D01* -X104521000Y-131382294D01* -X104443600Y-131382294D01* -X104443600Y-131054903D01* -X104445068Y-131039999D01* -X104439206Y-130980483D01* -X104426120Y-130937345D01* -X104421846Y-130923256D01* -X104393655Y-130870513D01* -X104355716Y-130824284D01* -X104344140Y-130814784D01* -X102332954Y-128803600D01* -X104174246Y-128803600D01* -X106376400Y-131005756D01* -G37* -X106376400Y-131005756D02* -X106376400Y-131382294D01* -X106299000Y-131382294D01* -X106179857Y-131394029D01* -X106065293Y-131428781D01* -X105959710Y-131485217D01* -X105946815Y-131495800D01* -X104873185Y-131495800D01* -X104860290Y-131485217D01* -X104754707Y-131428781D01* -X104640143Y-131394029D01* -X104521000Y-131382294D01* -X104443600Y-131382294D01* -X104443600Y-131054903D01* -X104445068Y-131039999D01* -X104439206Y-130980483D01* -X104426120Y-130937345D01* -X104421846Y-130923256D01* -X104393655Y-130870513D01* -X104355716Y-130824284D01* -X104344140Y-130814784D01* -X102332954Y-128803600D01* -X104174246Y-128803600D01* -X106376400Y-131005756D01* -G36* -X108916400Y-131295756D02* -G01* -X108916400Y-131382294D01* -X108839000Y-131382294D01* -X108719857Y-131394029D01* -X108605293Y-131428781D01* -X108499710Y-131485217D01* -X108486815Y-131495800D01* -X107413185Y-131495800D01* -X107400290Y-131485217D01* -X107294707Y-131428781D01* -X107180143Y-131394029D01* -X107061000Y-131382294D01* -X106983600Y-131382294D01* -X106983600Y-130894903D01* -X106985068Y-130879999D01* -X106979206Y-130820484D01* -X106977522Y-130814933D01* -X106961846Y-130763256D01* -X106933655Y-130710513D01* -X106895716Y-130664284D01* -X106884140Y-130654784D01* -X104732954Y-128503600D01* -X106124246Y-128503600D01* -X108916400Y-131295756D01* -G37* -X108916400Y-131295756D02* -X108916400Y-131382294D01* -X108839000Y-131382294D01* -X108719857Y-131394029D01* -X108605293Y-131428781D01* -X108499710Y-131485217D01* -X108486815Y-131495800D01* -X107413185Y-131495800D01* -X107400290Y-131485217D01* -X107294707Y-131428781D01* -X107180143Y-131394029D01* -X107061000Y-131382294D01* -X106983600Y-131382294D01* -X106983600Y-130894903D01* -X106985068Y-130879999D01* -X106979206Y-130820484D01* -X106977522Y-130814933D01* -X106961846Y-130763256D01* -X106933655Y-130710513D01* -X106895716Y-130664284D01* -X106884140Y-130654784D01* -X104732954Y-128503600D01* -X106124246Y-128503600D01* -X108916400Y-131295756D01* -G36* -X111456400Y-130935756D02* -G01* -X111456400Y-131382294D01* -X111379000Y-131382294D01* -X111259857Y-131394029D01* -X111145293Y-131428781D01* -X111039710Y-131485217D01* -X111026815Y-131495800D01* -X109953185Y-131495800D01* -X109940290Y-131485217D01* -X109834707Y-131428781D01* -X109720143Y-131394029D01* -X109601000Y-131382294D01* -X109523600Y-131382294D01* -X109523600Y-131184903D01* -X109525068Y-131169999D01* -X109519206Y-131110484D01* -X109516331Y-131101007D01* -X109501846Y-131053256D01* -X109473655Y-131000513D01* -X109435716Y-130954284D01* -X109424140Y-130944784D01* -X106682954Y-128203600D01* -X108724246Y-128203600D01* -X111456400Y-130935756D01* -G37* -X111456400Y-130935756D02* -X111456400Y-131382294D01* -X111379000Y-131382294D01* -X111259857Y-131394029D01* -X111145293Y-131428781D01* -X111039710Y-131485217D01* -X111026815Y-131495800D01* -X109953185Y-131495800D01* -X109940290Y-131485217D01* -X109834707Y-131428781D01* -X109720143Y-131394029D01* -X109601000Y-131382294D01* -X109523600Y-131382294D01* -X109523600Y-131184903D01* -X109525068Y-131169999D01* -X109519206Y-131110484D01* -X109516331Y-131101007D01* -X109501846Y-131053256D01* -X109473655Y-131000513D01* -X109435716Y-130954284D01* -X109424140Y-130944784D01* -X106682954Y-128203600D01* -X108724246Y-128203600D01* -X111456400Y-130935756D01* -G36* -X113996400Y-131316755D02* -G01* -X113996400Y-131382294D01* -X113919000Y-131382294D01* -X113799857Y-131394029D01* -X113685293Y-131428781D01* -X113579710Y-131485217D01* -X113566815Y-131495800D01* -X112493185Y-131495800D01* -X112480290Y-131485217D01* -X112374707Y-131428781D01* -X112260143Y-131394029D01* -X112141000Y-131382294D01* -X112063600Y-131382294D01* -X112063600Y-130824903D01* -X112065068Y-130809999D01* -X112059206Y-130750483D01* -X112047081Y-130710513D01* -X112041846Y-130693256D01* -X112013655Y-130640513D01* -X111975716Y-130594284D01* -X111964140Y-130584784D01* -X109282954Y-127903600D01* -X110583246Y-127903600D01* -X113996400Y-131316755D01* -G37* -X113996400Y-131316755D02* -X113996400Y-131382294D01* -X113919000Y-131382294D01* -X113799857Y-131394029D01* -X113685293Y-131428781D01* -X113579710Y-131485217D01* -X113566815Y-131495800D01* -X112493185Y-131495800D01* -X112480290Y-131485217D01* -X112374707Y-131428781D01* -X112260143Y-131394029D01* -X112141000Y-131382294D01* -X112063600Y-131382294D01* -X112063600Y-130824903D01* -X112065068Y-130809999D01* -X112059206Y-130750483D01* -X112047081Y-130710513D01* -X112041846Y-130693256D01* -X112013655Y-130640513D01* -X111975716Y-130594284D01* -X111964140Y-130584784D01* -X109282954Y-127903600D01* -X110583246Y-127903600D01* -X113996400Y-131316755D01* -G36* -X90895385Y-125845396D02* -G01* -X90894932Y-125850000D01* -X90900794Y-125909515D01* -X90913076Y-125950000D01* -X90918155Y-125966744D01* -X90946346Y-126019487D01* -X90984285Y-126065716D01* -X90995866Y-126075221D01* -X91136400Y-126215755D01* -X91136401Y-131382294D01* -X91059000Y-131382294D01* -X90939857Y-131394029D01* -X90825293Y-131428781D01* -X90719710Y-131485217D01* -X90706815Y-131495800D01* -X89633185Y-131495800D01* -X89620290Y-131485217D01* -X89514707Y-131428781D01* -X89400143Y-131394029D01* -X89281000Y-131382294D01* -X89203600Y-131382294D01* -X89203600Y-127625754D01* -X90754139Y-126075217D01* -X90765716Y-126065716D01* -X90803655Y-126019487D01* -X90831846Y-125966744D01* -X90842579Y-125931363D01* -X90849206Y-125909517D01* -X90855068Y-125850001D01* -X90854614Y-125845396D01* -X90875000Y-125828666D01* -X90895385Y-125845396D01* -G37* -X90895385Y-125845396D02* -X90894932Y-125850000D01* -X90900794Y-125909515D01* -X90913076Y-125950000D01* -X90918155Y-125966744D01* -X90946346Y-126019487D01* -X90984285Y-126065716D01* -X90995866Y-126075221D01* -X91136400Y-126215755D01* -X91136401Y-131382294D01* -X91059000Y-131382294D01* -X90939857Y-131394029D01* -X90825293Y-131428781D01* -X90719710Y-131485217D01* -X90706815Y-131495800D01* -X89633185Y-131495800D01* -X89620290Y-131485217D01* -X89514707Y-131428781D01* -X89400143Y-131394029D01* -X89281000Y-131382294D01* -X89203600Y-131382294D01* -X89203600Y-127625754D01* -X90754139Y-126075217D01* -X90765716Y-126065716D01* -X90803655Y-126019487D01* -X90831846Y-125966744D01* -X90842579Y-125931363D01* -X90849206Y-125909517D01* -X90855068Y-125850001D01* -X90854614Y-125845396D01* -X90875000Y-125828666D01* -X90895385Y-125845396D01* -G36* -X119076401Y-131305756D02* -G01* -X119076401Y-131382294D01* -X118999000Y-131382294D01* -X118879857Y-131394029D01* -X118765293Y-131428781D01* -X118659710Y-131485217D01* -X118646815Y-131495800D01* -X117573185Y-131495800D01* -X117560290Y-131485217D01* -X117454707Y-131428781D01* -X117340143Y-131394029D01* -X117221000Y-131382294D01* -X117143600Y-131382294D01* -X117143600Y-131204904D01* -X117145068Y-131190000D01* -X117139206Y-131130484D01* -X117136585Y-131121845D01* -X117121846Y-131073256D01* -X117093655Y-131020513D01* -X117055716Y-130974284D01* -X117044134Y-130964780D01* -X116922955Y-130843600D01* -X118614246Y-130843600D01* -X119076401Y-131305756D01* -G37* -X119076401Y-131305756D02* -X119076401Y-131382294D01* -X118999000Y-131382294D01* -X118879857Y-131394029D01* -X118765293Y-131428781D01* -X118659710Y-131485217D01* -X118646815Y-131495800D01* -X117573185Y-131495800D01* -X117560290Y-131485217D01* -X117454707Y-131428781D01* -X117340143Y-131394029D01* -X117221000Y-131382294D01* -X117143600Y-131382294D01* -X117143600Y-131204904D01* -X117145068Y-131190000D01* -X117139206Y-131130484D01* -X117136585Y-131121845D01* -X117121846Y-131073256D01* -X117093655Y-131020513D01* -X117055716Y-130974284D01* -X117044134Y-130964780D01* -X116922955Y-130843600D01* -X118614246Y-130843600D01* -X119076401Y-131305756D01* -G36* -X116536400Y-131315755D02* -G01* -X116536400Y-131382294D01* -X116459000Y-131382294D01* -X116339857Y-131394029D01* -X116225293Y-131428781D01* -X116119710Y-131485217D01* -X116106815Y-131495800D01* -X115033185Y-131495800D01* -X115020290Y-131485217D01* -X114914707Y-131428781D01* -X114800143Y-131394029D01* -X114681000Y-131382294D01* -X114603600Y-131382294D01* -X114603600Y-131205904D01* -X114605068Y-131191000D01* -X114600399Y-131143600D01* -X116364246Y-131143600D01* -X116536400Y-131315755D01* -G37* -X116536400Y-131315755D02* -X116536400Y-131382294D01* -X116459000Y-131382294D01* -X116339857Y-131394029D01* -X116225293Y-131428781D01* -X116119710Y-131485217D01* -X116106815Y-131495800D01* -X115033185Y-131495800D01* -X115020290Y-131485217D01* -X114914707Y-131428781D01* -X114800143Y-131394029D01* -X114681000Y-131382294D01* -X114603600Y-131382294D01* -X114603600Y-131205904D01* -X114605068Y-131191000D01* -X114600399Y-131143600D01* -X116364246Y-131143600D01* -X116536400Y-131315755D01* -G36* -X139146118Y-124803882D02* -G01* -X139157666Y-124813358D01* -X139170840Y-124820400D01* -X139185134Y-124824736D01* -X139200000Y-124826200D01* -X141318436Y-124826200D01* -X141723800Y-125231564D01* -X141723800Y-126268436D01* -X136976200Y-131016036D01* -X136976200Y-128593800D01* -X137483800Y-128593800D01* -X137483800Y-129141450D01* -X137540950Y-129198600D01* -X137685000Y-129199706D01* -X137729813Y-129195292D01* -X137772905Y-129182221D01* -X137812618Y-129160994D01* -X137847427Y-129132427D01* -X137875994Y-129097618D01* -X137897221Y-129057905D01* -X137910292Y-129014813D01* -X137914706Y-128970000D01* -X137913600Y-128650950D01* -X137856450Y-128593800D01* -X137483800Y-128593800D01* -X136976200Y-128593800D01* -X136976200Y-127398550D01* -X137483800Y-127398550D01* -X137483800Y-127946200D01* -X137856450Y-127946200D01* -X137913600Y-127889050D01* -X137914706Y-127570000D01* -X137910292Y-127525187D01* -X137897221Y-127482095D01* -X137875994Y-127442382D01* -X137847427Y-127407573D01* -X137812618Y-127379006D01* -X137772905Y-127357779D01* -X137729813Y-127344708D01* -X137685000Y-127340294D01* -X137540950Y-127341400D01* -X137483800Y-127398550D01* -X136976200Y-127398550D01* -X136976200Y-125575000D01* -X139170294Y-125575000D01* -X139174708Y-125619813D01* -X139187779Y-125662905D01* -X139209006Y-125702618D01* -X139237573Y-125737427D01* -X139272382Y-125765994D01* -X139312095Y-125787221D01* -X139355187Y-125800292D01* -X139400000Y-125804706D01* -X139719050Y-125803600D01* -X139776200Y-125746450D01* -X139776200Y-125373800D01* -X140423800Y-125373800D01* -X140423800Y-125746450D01* -X140480950Y-125803600D01* -X140800000Y-125804706D01* -X140844813Y-125800292D01* -X140887905Y-125787221D01* -X140927618Y-125765994D01* -X140962427Y-125737427D01* -X140990994Y-125702618D01* -X141012221Y-125662905D01* -X141025292Y-125619813D01* -X141029706Y-125575000D01* -X141028600Y-125430950D01* -X140971450Y-125373800D01* -X140423800Y-125373800D01* -X139776200Y-125373800D01* -X139228550Y-125373800D01* -X139171400Y-125430950D01* -X139170294Y-125575000D01* -X136976200Y-125575000D01* -X136976200Y-124523800D01* -X137623800Y-124523800D01* -X137623800Y-124771450D01* -X137680950Y-124828600D01* -X137975000Y-124829706D01* -X138019813Y-124825292D01* -X138062905Y-124812221D01* -X138102618Y-124790994D01* -X138137427Y-124762427D01* -X138165994Y-124727618D01* -X138187221Y-124687905D01* -X138200292Y-124644813D01* -X138204706Y-124600000D01* -X138203600Y-124580950D01* -X138146450Y-124523800D01* -X137623800Y-124523800D01* -X136976200Y-124523800D01* -X136976200Y-123876200D01* -X138218436Y-123876200D01* -X139146118Y-124803882D01* -G37* -X139146118Y-124803882D02* -X139157666Y-124813358D01* -X139170840Y-124820400D01* -X139185134Y-124824736D01* -X139200000Y-124826200D01* -X141318436Y-124826200D01* -X141723800Y-125231564D01* -X141723800Y-126268436D01* -X136976200Y-131016036D01* -X136976200Y-128593800D01* -X137483800Y-128593800D01* -X137483800Y-129141450D01* -X137540950Y-129198600D01* -X137685000Y-129199706D01* -X137729813Y-129195292D01* -X137772905Y-129182221D01* -X137812618Y-129160994D01* -X137847427Y-129132427D01* -X137875994Y-129097618D01* -X137897221Y-129057905D01* -X137910292Y-129014813D01* -X137914706Y-128970000D01* -X137913600Y-128650950D01* -X137856450Y-128593800D01* -X137483800Y-128593800D01* -X136976200Y-128593800D01* -X136976200Y-127398550D01* -X137483800Y-127398550D01* -X137483800Y-127946200D01* -X137856450Y-127946200D01* -X137913600Y-127889050D01* -X137914706Y-127570000D01* -X137910292Y-127525187D01* -X137897221Y-127482095D01* -X137875994Y-127442382D01* -X137847427Y-127407573D01* -X137812618Y-127379006D01* -X137772905Y-127357779D01* -X137729813Y-127344708D01* -X137685000Y-127340294D01* -X137540950Y-127341400D01* -X137483800Y-127398550D01* -X136976200Y-127398550D01* -X136976200Y-125575000D01* -X139170294Y-125575000D01* -X139174708Y-125619813D01* -X139187779Y-125662905D01* -X139209006Y-125702618D01* -X139237573Y-125737427D01* -X139272382Y-125765994D01* -X139312095Y-125787221D01* -X139355187Y-125800292D01* -X139400000Y-125804706D01* -X139719050Y-125803600D01* -X139776200Y-125746450D01* -X139776200Y-125373800D01* -X140423800Y-125373800D01* -X140423800Y-125746450D01* -X140480950Y-125803600D01* -X140800000Y-125804706D01* -X140844813Y-125800292D01* -X140887905Y-125787221D01* -X140927618Y-125765994D01* -X140962427Y-125737427D01* -X140990994Y-125702618D01* -X141012221Y-125662905D01* -X141025292Y-125619813D01* -X141029706Y-125575000D01* -X141028600Y-125430950D01* -X140971450Y-125373800D01* -X140423800Y-125373800D01* -X139776200Y-125373800D01* -X139228550Y-125373800D01* -X139171400Y-125430950D01* -X139170294Y-125575000D01* -X136976200Y-125575000D01* -X136976200Y-124523800D01* -X137623800Y-124523800D01* -X137623800Y-124771450D01* -X137680950Y-124828600D01* -X137975000Y-124829706D01* -X138019813Y-124825292D01* -X138062905Y-124812221D01* -X138102618Y-124790994D01* -X138137427Y-124762427D01* -X138165994Y-124727618D01* -X138187221Y-124687905D01* -X138200292Y-124644813D01* -X138204706Y-124600000D01* -X138203600Y-124580950D01* -X138146450Y-124523800D01* -X137623800Y-124523800D01* -X136976200Y-124523800D01* -X136976200Y-123876200D01* -X138218436Y-123876200D01* -X139146118Y-124803882D01* -M02* diff --git a/gerber/GR8RAM-F_Mask.gts b/gerber/GR8RAM-F_Mask.gts deleted file mode 100644 index 2d43470..0000000 --- a/gerber/GR8RAM-F_Mask.gts +++ /dev/null @@ -1,17736 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Soldermask,Top* -G04 #@! TF.FilePolarity,Negative* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.100000*% -G04 APERTURE END LIST* -D10* -G36* -X139700000Y-139446000D02* -G01* -X139192000Y-139954000D01* -X74168000Y-139954000D01* -X73660000Y-139446000D01* -X73660000Y-132080000D01* -X139700000Y-132080000D01* -X139700000Y-139446000D01* -G37* -G36* -X137686355Y-131543544D02* -G01* -X137758967Y-131565570D01* -X137825881Y-131601336D01* -X137884531Y-131649469D01* -X137932664Y-131708119D01* -X137968430Y-131775033D01* -X137990456Y-131847645D01* -X137998200Y-131926267D01* -X137998200Y-138837733D01* -X137990456Y-138916355D01* -X137968430Y-138988967D01* -X137932664Y-139055881D01* -X137884531Y-139114531D01* -X137825881Y-139162664D01* -X137758967Y-139198430D01* -X137686355Y-139220456D01* -X137607733Y-139228200D01* -X136712267Y-139228200D01* -X136633645Y-139220456D01* -X136561033Y-139198430D01* -X136494119Y-139162664D01* -X136435469Y-139114531D01* -X136387336Y-139055881D01* -X136351570Y-138988967D01* -X136329544Y-138916355D01* -X136321800Y-138837733D01* -X136321800Y-131926267D01* -X136329544Y-131847645D01* -X136351570Y-131775033D01* -X136387336Y-131708119D01* -X136435469Y-131649469D01* -X136494119Y-131601336D01* -X136561033Y-131565570D01* -X136633645Y-131543544D01* -X136712267Y-131535800D01* -X137607733Y-131535800D01* -X137686355Y-131543544D01* -G37* -G36* -X135146355Y-131543544D02* -G01* -X135218967Y-131565570D01* -X135285881Y-131601336D01* -X135344531Y-131649469D01* -X135392664Y-131708119D01* -X135428430Y-131775033D01* -X135450456Y-131847645D01* -X135458200Y-131926267D01* -X135458200Y-138837733D01* -X135450456Y-138916355D01* -X135428430Y-138988967D01* -X135392664Y-139055881D01* -X135344531Y-139114531D01* -X135285881Y-139162664D01* -X135218967Y-139198430D01* -X135146355Y-139220456D01* -X135067733Y-139228200D01* -X134172267Y-139228200D01* -X134093645Y-139220456D01* -X134021033Y-139198430D01* -X133954119Y-139162664D01* -X133895469Y-139114531D01* -X133847336Y-139055881D01* -X133811570Y-138988967D01* -X133789544Y-138916355D01* -X133781800Y-138837733D01* -X133781800Y-131926267D01* -X133789544Y-131847645D01* -X133811570Y-131775033D01* -X133847336Y-131708119D01* -X133895469Y-131649469D01* -X133954119Y-131601336D01* -X134021033Y-131565570D01* -X134093645Y-131543544D01* -X134172267Y-131535800D01* -X135067733Y-131535800D01* -X135146355Y-131543544D01* -G37* -G36* -X132606355Y-131543544D02* -G01* -X132678967Y-131565570D01* -X132745881Y-131601336D01* -X132804531Y-131649469D01* -X132852664Y-131708119D01* -X132888430Y-131775033D01* -X132910456Y-131847645D01* -X132918200Y-131926267D01* -X132918200Y-138837733D01* -X132910456Y-138916355D01* -X132888430Y-138988967D01* -X132852664Y-139055881D01* -X132804531Y-139114531D01* -X132745881Y-139162664D01* -X132678967Y-139198430D01* -X132606355Y-139220456D01* -X132527733Y-139228200D01* -X131632267Y-139228200D01* -X131553645Y-139220456D01* -X131481033Y-139198430D01* -X131414119Y-139162664D01* -X131355469Y-139114531D01* -X131307336Y-139055881D01* -X131271570Y-138988967D01* -X131249544Y-138916355D01* -X131241800Y-138837733D01* -X131241800Y-131926267D01* -X131249544Y-131847645D01* -X131271570Y-131775033D01* -X131307336Y-131708119D01* -X131355469Y-131649469D01* -X131414119Y-131601336D01* -X131481033Y-131565570D01* -X131553645Y-131543544D01* -X131632267Y-131535800D01* -X132527733Y-131535800D01* -X132606355Y-131543544D01* -G37* -G36* -X130066355Y-131543544D02* -G01* -X130138967Y-131565570D01* -X130205881Y-131601336D01* -X130264531Y-131649469D01* -X130312664Y-131708119D01* -X130348430Y-131775033D01* -X130370456Y-131847645D01* -X130378200Y-131926267D01* -X130378200Y-138837733D01* -X130370456Y-138916355D01* -X130348430Y-138988967D01* -X130312664Y-139055881D01* -X130264531Y-139114531D01* -X130205881Y-139162664D01* -X130138967Y-139198430D01* -X130066355Y-139220456D01* -X129987733Y-139228200D01* -X129092267Y-139228200D01* -X129013645Y-139220456D01* -X128941033Y-139198430D01* -X128874119Y-139162664D01* -X128815469Y-139114531D01* -X128767336Y-139055881D01* -X128731570Y-138988967D01* -X128709544Y-138916355D01* -X128701800Y-138837733D01* -X128701800Y-131926267D01* -X128709544Y-131847645D01* -X128731570Y-131775033D01* -X128767336Y-131708119D01* -X128815469Y-131649469D01* -X128874119Y-131601336D01* -X128941033Y-131565570D01* -X129013645Y-131543544D01* -X129092267Y-131535800D01* -X129987733Y-131535800D01* -X130066355Y-131543544D01* -G37* -G36* -X127526355Y-131543544D02* -G01* -X127598967Y-131565570D01* -X127665881Y-131601336D01* -X127724531Y-131649469D01* -X127772664Y-131708119D01* -X127808430Y-131775033D01* -X127830456Y-131847645D01* -X127838200Y-131926267D01* -X127838200Y-138837733D01* -X127830456Y-138916355D01* -X127808430Y-138988967D01* -X127772664Y-139055881D01* -X127724531Y-139114531D01* -X127665881Y-139162664D01* -X127598967Y-139198430D01* -X127526355Y-139220456D01* -X127447733Y-139228200D01* -X126552267Y-139228200D01* -X126473645Y-139220456D01* -X126401033Y-139198430D01* -X126334119Y-139162664D01* -X126275469Y-139114531D01* -X126227336Y-139055881D01* -X126191570Y-138988967D01* -X126169544Y-138916355D01* -X126161800Y-138837733D01* -X126161800Y-131926267D01* -X126169544Y-131847645D01* -X126191570Y-131775033D01* -X126227336Y-131708119D01* -X126275469Y-131649469D01* -X126334119Y-131601336D01* -X126401033Y-131565570D01* -X126473645Y-131543544D01* -X126552267Y-131535800D01* -X127447733Y-131535800D01* -X127526355Y-131543544D01* -G37* -G36* -X124986355Y-131543544D02* -G01* -X125058967Y-131565570D01* -X125125881Y-131601336D01* -X125184531Y-131649469D01* -X125232664Y-131708119D01* -X125268430Y-131775033D01* -X125290456Y-131847645D01* -X125298200Y-131926267D01* -X125298200Y-138837733D01* -X125290456Y-138916355D01* -X125268430Y-138988967D01* -X125232664Y-139055881D01* -X125184531Y-139114531D01* -X125125881Y-139162664D01* -X125058967Y-139198430D01* -X124986355Y-139220456D01* -X124907733Y-139228200D01* -X124012267Y-139228200D01* -X123933645Y-139220456D01* -X123861033Y-139198430D01* -X123794119Y-139162664D01* -X123735469Y-139114531D01* -X123687336Y-139055881D01* -X123651570Y-138988967D01* -X123629544Y-138916355D01* -X123621800Y-138837733D01* -X123621800Y-131926267D01* -X123629544Y-131847645D01* -X123651570Y-131775033D01* -X123687336Y-131708119D01* -X123735469Y-131649469D01* -X123794119Y-131601336D01* -X123861033Y-131565570D01* -X123933645Y-131543544D01* -X124012267Y-131535800D01* -X124907733Y-131535800D01* -X124986355Y-131543544D01* -G37* -G36* -X122446355Y-131543544D02* -G01* -X122518967Y-131565570D01* -X122585881Y-131601336D01* -X122644531Y-131649469D01* -X122692664Y-131708119D01* -X122728430Y-131775033D01* -X122750456Y-131847645D01* -X122758200Y-131926267D01* -X122758200Y-138837733D01* -X122750456Y-138916355D01* -X122728430Y-138988967D01* -X122692664Y-139055881D01* -X122644531Y-139114531D01* -X122585881Y-139162664D01* -X122518967Y-139198430D01* -X122446355Y-139220456D01* -X122367733Y-139228200D01* -X121472267Y-139228200D01* -X121393645Y-139220456D01* -X121321033Y-139198430D01* -X121254119Y-139162664D01* -X121195469Y-139114531D01* -X121147336Y-139055881D01* -X121111570Y-138988967D01* -X121089544Y-138916355D01* -X121081800Y-138837733D01* -X121081800Y-131926267D01* -X121089544Y-131847645D01* -X121111570Y-131775033D01* -X121147336Y-131708119D01* -X121195469Y-131649469D01* -X121254119Y-131601336D01* -X121321033Y-131565570D01* -X121393645Y-131543544D01* -X121472267Y-131535800D01* -X122367733Y-131535800D01* -X122446355Y-131543544D01* -G37* -G36* -X119906355Y-131543544D02* -G01* -X119978967Y-131565570D01* -X120045881Y-131601336D01* -X120104531Y-131649469D01* -X120152664Y-131708119D01* -X120188430Y-131775033D01* -X120210456Y-131847645D01* -X120218200Y-131926267D01* -X120218200Y-138837733D01* -X120210456Y-138916355D01* -X120188430Y-138988967D01* -X120152664Y-139055881D01* -X120104531Y-139114531D01* -X120045881Y-139162664D01* -X119978967Y-139198430D01* -X119906355Y-139220456D01* -X119827733Y-139228200D01* -X118932267Y-139228200D01* -X118853645Y-139220456D01* -X118781033Y-139198430D01* -X118714119Y-139162664D01* -X118655469Y-139114531D01* -X118607336Y-139055881D01* -X118571570Y-138988967D01* -X118549544Y-138916355D01* -X118541800Y-138837733D01* -X118541800Y-131926267D01* -X118549544Y-131847645D01* -X118571570Y-131775033D01* -X118607336Y-131708119D01* -X118655469Y-131649469D01* -X118714119Y-131601336D01* -X118781033Y-131565570D01* -X118853645Y-131543544D01* -X118932267Y-131535800D01* -X119827733Y-131535800D01* -X119906355Y-131543544D01* -G37* -G36* -X117366355Y-131543544D02* -G01* -X117438967Y-131565570D01* -X117505881Y-131601336D01* -X117564531Y-131649469D01* -X117612664Y-131708119D01* -X117648430Y-131775033D01* -X117670456Y-131847645D01* -X117678200Y-131926267D01* -X117678200Y-138837733D01* -X117670456Y-138916355D01* -X117648430Y-138988967D01* -X117612664Y-139055881D01* -X117564531Y-139114531D01* -X117505881Y-139162664D01* -X117438967Y-139198430D01* -X117366355Y-139220456D01* -X117287733Y-139228200D01* -X116392267Y-139228200D01* -X116313645Y-139220456D01* -X116241033Y-139198430D01* -X116174119Y-139162664D01* -X116115469Y-139114531D01* -X116067336Y-139055881D01* -X116031570Y-138988967D01* -X116009544Y-138916355D01* -X116001800Y-138837733D01* -X116001800Y-131926267D01* -X116009544Y-131847645D01* -X116031570Y-131775033D01* -X116067336Y-131708119D01* -X116115469Y-131649469D01* -X116174119Y-131601336D01* -X116241033Y-131565570D01* -X116313645Y-131543544D01* -X116392267Y-131535800D01* -X117287733Y-131535800D01* -X117366355Y-131543544D01* -G37* -G36* -X114826355Y-131543544D02* -G01* -X114898967Y-131565570D01* -X114965881Y-131601336D01* -X115024531Y-131649469D01* -X115072664Y-131708119D01* -X115108430Y-131775033D01* -X115130456Y-131847645D01* -X115138200Y-131926267D01* -X115138200Y-138837733D01* -X115130456Y-138916355D01* -X115108430Y-138988967D01* -X115072664Y-139055881D01* -X115024531Y-139114531D01* -X114965881Y-139162664D01* -X114898967Y-139198430D01* -X114826355Y-139220456D01* -X114747733Y-139228200D01* -X113852267Y-139228200D01* -X113773645Y-139220456D01* -X113701033Y-139198430D01* -X113634119Y-139162664D01* -X113575469Y-139114531D01* -X113527336Y-139055881D01* -X113491570Y-138988967D01* -X113469544Y-138916355D01* -X113461800Y-138837733D01* -X113461800Y-131926267D01* -X113469544Y-131847645D01* -X113491570Y-131775033D01* -X113527336Y-131708119D01* -X113575469Y-131649469D01* -X113634119Y-131601336D01* -X113701033Y-131565570D01* -X113773645Y-131543544D01* -X113852267Y-131535800D01* -X114747733Y-131535800D01* -X114826355Y-131543544D01* -G37* -G36* -X112286355Y-131543544D02* -G01* -X112358967Y-131565570D01* -X112425881Y-131601336D01* -X112484531Y-131649469D01* -X112532664Y-131708119D01* -X112568430Y-131775033D01* -X112590456Y-131847645D01* -X112598200Y-131926267D01* -X112598200Y-138837733D01* -X112590456Y-138916355D01* -X112568430Y-138988967D01* -X112532664Y-139055881D01* -X112484531Y-139114531D01* -X112425881Y-139162664D01* -X112358967Y-139198430D01* -X112286355Y-139220456D01* -X112207733Y-139228200D01* -X111312267Y-139228200D01* -X111233645Y-139220456D01* -X111161033Y-139198430D01* -X111094119Y-139162664D01* -X111035469Y-139114531D01* -X110987336Y-139055881D01* -X110951570Y-138988967D01* -X110929544Y-138916355D01* -X110921800Y-138837733D01* -X110921800Y-131926267D01* -X110929544Y-131847645D01* -X110951570Y-131775033D01* -X110987336Y-131708119D01* -X111035469Y-131649469D01* -X111094119Y-131601336D01* -X111161033Y-131565570D01* -X111233645Y-131543544D01* -X111312267Y-131535800D01* -X112207733Y-131535800D01* -X112286355Y-131543544D01* -G37* -G36* -X109746355Y-131543544D02* -G01* -X109818967Y-131565570D01* -X109885881Y-131601336D01* -X109944531Y-131649469D01* -X109992664Y-131708119D01* -X110028430Y-131775033D01* -X110050456Y-131847645D01* -X110058200Y-131926267D01* -X110058200Y-138837733D01* -X110050456Y-138916355D01* -X110028430Y-138988967D01* -X109992664Y-139055881D01* -X109944531Y-139114531D01* -X109885881Y-139162664D01* -X109818967Y-139198430D01* -X109746355Y-139220456D01* -X109667733Y-139228200D01* -X108772267Y-139228200D01* -X108693645Y-139220456D01* -X108621033Y-139198430D01* -X108554119Y-139162664D01* -X108495469Y-139114531D01* -X108447336Y-139055881D01* -X108411570Y-138988967D01* -X108389544Y-138916355D01* -X108381800Y-138837733D01* -X108381800Y-131926267D01* -X108389544Y-131847645D01* -X108411570Y-131775033D01* -X108447336Y-131708119D01* -X108495469Y-131649469D01* -X108554119Y-131601336D01* -X108621033Y-131565570D01* -X108693645Y-131543544D01* -X108772267Y-131535800D01* -X109667733Y-131535800D01* -X109746355Y-131543544D01* -G37* -G36* -X107206355Y-131543544D02* -G01* -X107278967Y-131565570D01* -X107345881Y-131601336D01* -X107404531Y-131649469D01* -X107452664Y-131708119D01* -X107488430Y-131775033D01* -X107510456Y-131847645D01* -X107518200Y-131926267D01* -X107518200Y-138837733D01* -X107510456Y-138916355D01* -X107488430Y-138988967D01* -X107452664Y-139055881D01* -X107404531Y-139114531D01* -X107345881Y-139162664D01* -X107278967Y-139198430D01* -X107206355Y-139220456D01* -X107127733Y-139228200D01* -X106232267Y-139228200D01* -X106153645Y-139220456D01* -X106081033Y-139198430D01* -X106014119Y-139162664D01* -X105955469Y-139114531D01* -X105907336Y-139055881D01* -X105871570Y-138988967D01* -X105849544Y-138916355D01* -X105841800Y-138837733D01* -X105841800Y-131926267D01* -X105849544Y-131847645D01* -X105871570Y-131775033D01* -X105907336Y-131708119D01* -X105955469Y-131649469D01* -X106014119Y-131601336D01* -X106081033Y-131565570D01* -X106153645Y-131543544D01* -X106232267Y-131535800D01* -X107127733Y-131535800D01* -X107206355Y-131543544D01* -G37* -G36* -X104666355Y-131543544D02* -G01* -X104738967Y-131565570D01* -X104805881Y-131601336D01* -X104864531Y-131649469D01* -X104912664Y-131708119D01* -X104948430Y-131775033D01* -X104970456Y-131847645D01* -X104978200Y-131926267D01* -X104978200Y-138837733D01* -X104970456Y-138916355D01* -X104948430Y-138988967D01* -X104912664Y-139055881D01* -X104864531Y-139114531D01* -X104805881Y-139162664D01* -X104738967Y-139198430D01* -X104666355Y-139220456D01* -X104587733Y-139228200D01* -X103692267Y-139228200D01* -X103613645Y-139220456D01* -X103541033Y-139198430D01* -X103474119Y-139162664D01* -X103415469Y-139114531D01* -X103367336Y-139055881D01* -X103331570Y-138988967D01* -X103309544Y-138916355D01* -X103301800Y-138837733D01* -X103301800Y-131926267D01* -X103309544Y-131847645D01* -X103331570Y-131775033D01* -X103367336Y-131708119D01* -X103415469Y-131649469D01* -X103474119Y-131601336D01* -X103541033Y-131565570D01* -X103613645Y-131543544D01* -X103692267Y-131535800D01* -X104587733Y-131535800D01* -X104666355Y-131543544D01* -G37* -G36* -X102126355Y-131543544D02* -G01* -X102198967Y-131565570D01* -X102265881Y-131601336D01* -X102324531Y-131649469D01* -X102372664Y-131708119D01* -X102408430Y-131775033D01* -X102430456Y-131847645D01* -X102438200Y-131926267D01* -X102438200Y-138837733D01* -X102430456Y-138916355D01* -X102408430Y-138988967D01* -X102372664Y-139055881D01* -X102324531Y-139114531D01* -X102265881Y-139162664D01* -X102198967Y-139198430D01* -X102126355Y-139220456D01* -X102047733Y-139228200D01* -X101152267Y-139228200D01* -X101073645Y-139220456D01* -X101001033Y-139198430D01* -X100934119Y-139162664D01* -X100875469Y-139114531D01* -X100827336Y-139055881D01* -X100791570Y-138988967D01* -X100769544Y-138916355D01* -X100761800Y-138837733D01* -X100761800Y-131926267D01* -X100769544Y-131847645D01* -X100791570Y-131775033D01* -X100827336Y-131708119D01* -X100875469Y-131649469D01* -X100934119Y-131601336D01* -X101001033Y-131565570D01* -X101073645Y-131543544D01* -X101152267Y-131535800D01* -X102047733Y-131535800D01* -X102126355Y-131543544D01* -G37* -G36* -X99586355Y-131543544D02* -G01* -X99658967Y-131565570D01* -X99725881Y-131601336D01* -X99784531Y-131649469D01* -X99832664Y-131708119D01* -X99868430Y-131775033D01* -X99890456Y-131847645D01* -X99898200Y-131926267D01* -X99898200Y-138837733D01* -X99890456Y-138916355D01* -X99868430Y-138988967D01* -X99832664Y-139055881D01* -X99784531Y-139114531D01* -X99725881Y-139162664D01* -X99658967Y-139198430D01* -X99586355Y-139220456D01* -X99507733Y-139228200D01* -X98612267Y-139228200D01* -X98533645Y-139220456D01* -X98461033Y-139198430D01* -X98394119Y-139162664D01* -X98335469Y-139114531D01* -X98287336Y-139055881D01* -X98251570Y-138988967D01* -X98229544Y-138916355D01* -X98221800Y-138837733D01* -X98221800Y-131926267D01* -X98229544Y-131847645D01* -X98251570Y-131775033D01* -X98287336Y-131708119D01* -X98335469Y-131649469D01* -X98394119Y-131601336D01* -X98461033Y-131565570D01* -X98533645Y-131543544D01* -X98612267Y-131535800D01* -X99507733Y-131535800D01* -X99586355Y-131543544D01* -G37* -G36* -X97046355Y-131543544D02* -G01* -X97118967Y-131565570D01* -X97185881Y-131601336D01* -X97244531Y-131649469D01* -X97292664Y-131708119D01* -X97328430Y-131775033D01* -X97350456Y-131847645D01* -X97358200Y-131926267D01* -X97358200Y-138837733D01* -X97350456Y-138916355D01* -X97328430Y-138988967D01* -X97292664Y-139055881D01* -X97244531Y-139114531D01* -X97185881Y-139162664D01* -X97118967Y-139198430D01* -X97046355Y-139220456D01* -X96967733Y-139228200D01* -X96072267Y-139228200D01* -X95993645Y-139220456D01* -X95921033Y-139198430D01* -X95854119Y-139162664D01* -X95795469Y-139114531D01* -X95747336Y-139055881D01* -X95711570Y-138988967D01* -X95689544Y-138916355D01* -X95681800Y-138837733D01* -X95681800Y-131926267D01* -X95689544Y-131847645D01* -X95711570Y-131775033D01* -X95747336Y-131708119D01* -X95795469Y-131649469D01* -X95854119Y-131601336D01* -X95921033Y-131565570D01* -X95993645Y-131543544D01* -X96072267Y-131535800D01* -X96967733Y-131535800D01* -X97046355Y-131543544D01* -G37* -G36* -X94506355Y-131543544D02* -G01* -X94578967Y-131565570D01* -X94645881Y-131601336D01* -X94704531Y-131649469D01* -X94752664Y-131708119D01* -X94788430Y-131775033D01* -X94810456Y-131847645D01* -X94818200Y-131926267D01* -X94818200Y-138837733D01* -X94810456Y-138916355D01* -X94788430Y-138988967D01* -X94752664Y-139055881D01* -X94704531Y-139114531D01* -X94645881Y-139162664D01* -X94578967Y-139198430D01* -X94506355Y-139220456D01* -X94427733Y-139228200D01* -X93532267Y-139228200D01* -X93453645Y-139220456D01* -X93381033Y-139198430D01* -X93314119Y-139162664D01* -X93255469Y-139114531D01* -X93207336Y-139055881D01* -X93171570Y-138988967D01* -X93149544Y-138916355D01* -X93141800Y-138837733D01* -X93141800Y-131926267D01* -X93149544Y-131847645D01* -X93171570Y-131775033D01* -X93207336Y-131708119D01* -X93255469Y-131649469D01* -X93314119Y-131601336D01* -X93381033Y-131565570D01* -X93453645Y-131543544D01* -X93532267Y-131535800D01* -X94427733Y-131535800D01* -X94506355Y-131543544D01* -G37* -G36* -X91966355Y-131543544D02* -G01* -X92038967Y-131565570D01* -X92105881Y-131601336D01* -X92164531Y-131649469D01* -X92212664Y-131708119D01* -X92248430Y-131775033D01* -X92270456Y-131847645D01* -X92278200Y-131926267D01* -X92278200Y-138837733D01* -X92270456Y-138916355D01* -X92248430Y-138988967D01* -X92212664Y-139055881D01* -X92164531Y-139114531D01* -X92105881Y-139162664D01* -X92038967Y-139198430D01* -X91966355Y-139220456D01* -X91887733Y-139228200D01* -X90992267Y-139228200D01* -X90913645Y-139220456D01* -X90841033Y-139198430D01* -X90774119Y-139162664D01* -X90715469Y-139114531D01* -X90667336Y-139055881D01* -X90631570Y-138988967D01* -X90609544Y-138916355D01* -X90601800Y-138837733D01* -X90601800Y-131926267D01* -X90609544Y-131847645D01* -X90631570Y-131775033D01* -X90667336Y-131708119D01* -X90715469Y-131649469D01* -X90774119Y-131601336D01* -X90841033Y-131565570D01* -X90913645Y-131543544D01* -X90992267Y-131535800D01* -X91887733Y-131535800D01* -X91966355Y-131543544D01* -G37* -G36* -X89426355Y-131543544D02* -G01* -X89498967Y-131565570D01* -X89565881Y-131601336D01* -X89624531Y-131649469D01* -X89672664Y-131708119D01* -X89708430Y-131775033D01* -X89730456Y-131847645D01* -X89738200Y-131926267D01* -X89738200Y-138837733D01* -X89730456Y-138916355D01* -X89708430Y-138988967D01* -X89672664Y-139055881D01* -X89624531Y-139114531D01* -X89565881Y-139162664D01* -X89498967Y-139198430D01* -X89426355Y-139220456D01* -X89347733Y-139228200D01* -X88452267Y-139228200D01* -X88373645Y-139220456D01* -X88301033Y-139198430D01* -X88234119Y-139162664D01* -X88175469Y-139114531D01* -X88127336Y-139055881D01* -X88091570Y-138988967D01* -X88069544Y-138916355D01* -X88061800Y-138837733D01* -X88061800Y-131926267D01* -X88069544Y-131847645D01* -X88091570Y-131775033D01* -X88127336Y-131708119D01* -X88175469Y-131649469D01* -X88234119Y-131601336D01* -X88301033Y-131565570D01* -X88373645Y-131543544D01* -X88452267Y-131535800D01* -X89347733Y-131535800D01* -X89426355Y-131543544D01* -G37* -G36* -X86886355Y-131543544D02* -G01* -X86958967Y-131565570D01* -X87025881Y-131601336D01* -X87084531Y-131649469D01* -X87132664Y-131708119D01* -X87168430Y-131775033D01* -X87190456Y-131847645D01* -X87198200Y-131926267D01* -X87198200Y-138837733D01* -X87190456Y-138916355D01* -X87168430Y-138988967D01* -X87132664Y-139055881D01* -X87084531Y-139114531D01* -X87025881Y-139162664D01* -X86958967Y-139198430D01* -X86886355Y-139220456D01* -X86807733Y-139228200D01* -X85912267Y-139228200D01* -X85833645Y-139220456D01* -X85761033Y-139198430D01* -X85694119Y-139162664D01* -X85635469Y-139114531D01* -X85587336Y-139055881D01* -X85551570Y-138988967D01* -X85529544Y-138916355D01* -X85521800Y-138837733D01* -X85521800Y-131926267D01* -X85529544Y-131847645D01* -X85551570Y-131775033D01* -X85587336Y-131708119D01* -X85635469Y-131649469D01* -X85694119Y-131601336D01* -X85761033Y-131565570D01* -X85833645Y-131543544D01* -X85912267Y-131535800D01* -X86807733Y-131535800D01* -X86886355Y-131543544D01* -G37* -G36* -X84346355Y-131543544D02* -G01* -X84418967Y-131565570D01* -X84485881Y-131601336D01* -X84544531Y-131649469D01* -X84592664Y-131708119D01* -X84628430Y-131775033D01* -X84650456Y-131847645D01* -X84658200Y-131926267D01* -X84658200Y-138837733D01* -X84650456Y-138916355D01* -X84628430Y-138988967D01* -X84592664Y-139055881D01* -X84544531Y-139114531D01* -X84485881Y-139162664D01* -X84418967Y-139198430D01* -X84346355Y-139220456D01* -X84267733Y-139228200D01* -X83372267Y-139228200D01* -X83293645Y-139220456D01* -X83221033Y-139198430D01* -X83154119Y-139162664D01* -X83095469Y-139114531D01* -X83047336Y-139055881D01* -X83011570Y-138988967D01* -X82989544Y-138916355D01* -X82981800Y-138837733D01* -X82981800Y-131926267D01* -X82989544Y-131847645D01* -X83011570Y-131775033D01* -X83047336Y-131708119D01* -X83095469Y-131649469D01* -X83154119Y-131601336D01* -X83221033Y-131565570D01* -X83293645Y-131543544D01* -X83372267Y-131535800D01* -X84267733Y-131535800D01* -X84346355Y-131543544D01* -G37* -G36* -X81806355Y-131543544D02* -G01* -X81878967Y-131565570D01* -X81945881Y-131601336D01* -X82004531Y-131649469D01* -X82052664Y-131708119D01* -X82088430Y-131775033D01* -X82110456Y-131847645D01* -X82118200Y-131926267D01* -X82118200Y-138837733D01* -X82110456Y-138916355D01* -X82088430Y-138988967D01* -X82052664Y-139055881D01* -X82004531Y-139114531D01* -X81945881Y-139162664D01* -X81878967Y-139198430D01* -X81806355Y-139220456D01* -X81727733Y-139228200D01* -X80832267Y-139228200D01* -X80753645Y-139220456D01* -X80681033Y-139198430D01* -X80614119Y-139162664D01* -X80555469Y-139114531D01* -X80507336Y-139055881D01* -X80471570Y-138988967D01* -X80449544Y-138916355D01* -X80441800Y-138837733D01* -X80441800Y-131926267D01* -X80449544Y-131847645D01* -X80471570Y-131775033D01* -X80507336Y-131708119D01* -X80555469Y-131649469D01* -X80614119Y-131601336D01* -X80681033Y-131565570D01* -X80753645Y-131543544D01* -X80832267Y-131535800D01* -X81727733Y-131535800D01* -X81806355Y-131543544D01* -G37* -G36* -X79266355Y-131543544D02* -G01* -X79338967Y-131565570D01* -X79405881Y-131601336D01* -X79464531Y-131649469D01* -X79512664Y-131708119D01* -X79548430Y-131775033D01* -X79570456Y-131847645D01* -X79578200Y-131926267D01* -X79578200Y-138837733D01* -X79570456Y-138916355D01* -X79548430Y-138988967D01* -X79512664Y-139055881D01* -X79464531Y-139114531D01* -X79405881Y-139162664D01* -X79338967Y-139198430D01* -X79266355Y-139220456D01* -X79187733Y-139228200D01* -X78292267Y-139228200D01* -X78213645Y-139220456D01* -X78141033Y-139198430D01* -X78074119Y-139162664D01* -X78015469Y-139114531D01* -X77967336Y-139055881D01* -X77931570Y-138988967D01* -X77909544Y-138916355D01* -X77901800Y-138837733D01* -X77901800Y-131926267D01* -X77909544Y-131847645D01* -X77931570Y-131775033D01* -X77967336Y-131708119D01* -X78015469Y-131649469D01* -X78074119Y-131601336D01* -X78141033Y-131565570D01* -X78213645Y-131543544D01* -X78292267Y-131535800D01* -X79187733Y-131535800D01* -X79266355Y-131543544D01* -G37* -G36* -X76726355Y-131543544D02* -G01* -X76798967Y-131565570D01* -X76865881Y-131601336D01* -X76924531Y-131649469D01* -X76972664Y-131708119D01* -X77008430Y-131775033D01* -X77030456Y-131847645D01* -X77038200Y-131926267D01* -X77038200Y-138837733D01* -X77030456Y-138916355D01* -X77008430Y-138988967D01* -X76972664Y-139055881D01* -X76924531Y-139114531D01* -X76865881Y-139162664D01* -X76798967Y-139198430D01* -X76726355Y-139220456D01* -X76647733Y-139228200D01* -X75752267Y-139228200D01* -X75673645Y-139220456D01* -X75601033Y-139198430D01* -X75534119Y-139162664D01* -X75475469Y-139114531D01* -X75427336Y-139055881D01* -X75391570Y-138988967D01* -X75369544Y-138916355D01* -X75361800Y-138837733D01* -X75361800Y-131926267D01* -X75369544Y-131847645D01* -X75391570Y-131775033D01* -X75427336Y-131708119D01* -X75475469Y-131649469D01* -X75534119Y-131601336D01* -X75601033Y-131565570D01* -X75673645Y-131543544D01* -X75752267Y-131535800D01* -X76647733Y-131535800D01* -X76726355Y-131543544D01* -G37* -G36* -X140775914Y-128505157D02* -G01* -X140971777Y-128586286D01* -X141148033Y-128704057D01* -X141297943Y-128853967D01* -X141415714Y-129030223D01* -X141496843Y-129226086D01* -X141538200Y-129434004D01* -X141538200Y-129645996D01* -X141496843Y-129853914D01* -X141415714Y-130049777D01* -X141297943Y-130226033D01* -X141148033Y-130375943D01* -X140971777Y-130493714D01* -X140775914Y-130574843D01* -X140567996Y-130616200D01* -X140356004Y-130616200D01* -X140148086Y-130574843D01* -X139952223Y-130493714D01* -X139775967Y-130375943D01* -X139626057Y-130226033D01* -X139508286Y-130049777D01* -X139427157Y-129853914D01* -X139385800Y-129645996D01* -X139385800Y-129434004D01* -X139427157Y-129226086D01* -X139508286Y-129030223D01* -X139626057Y-128853967D01* -X139775967Y-128704057D01* -X139952223Y-128586286D01* -X140148086Y-128505157D01* -X140356004Y-128463800D01* -X140567996Y-128463800D01* -X140775914Y-128505157D01* -G37* -G36* -X48424692Y-128578429D02* -G01* -X48606679Y-128653811D01* -X48770458Y-128763245D01* -X48770460Y-128763247D01* -X48770463Y-128763249D01* -X48909751Y-128902537D01* -X48909753Y-128902540D01* -X48909755Y-128902542D01* -X49019189Y-129066321D01* -X49094571Y-129248308D01* -X49133000Y-129441509D01* -X49133000Y-129638491D01* -X49094571Y-129831692D01* -X49019189Y-130013679D01* -X48909755Y-130177458D01* -X48909753Y-130177460D01* -X48909751Y-130177463D01* -X48770463Y-130316751D01* -X48770460Y-130316753D01* -X48770458Y-130316755D01* -X48606679Y-130426189D01* -X48424692Y-130501571D01* -X48231491Y-130540000D01* -X48034509Y-130540000D01* -X47841308Y-130501571D01* -X47659321Y-130426189D01* -X47495542Y-130316755D01* -X47495540Y-130316753D01* -X47495537Y-130316751D01* -X47356249Y-130177463D01* -X47356247Y-130177460D01* -X47356245Y-130177458D01* -X47246811Y-130013679D01* -X47171429Y-129831692D01* -X47133000Y-129638491D01* -X47133000Y-129441509D01* -X47171429Y-129248308D01* -X47246811Y-129066321D01* -X47356245Y-128902542D01* -X47356247Y-128902540D01* -X47356249Y-128902537D01* -X47495537Y-128763249D01* -X47495540Y-128763247D01* -X47495542Y-128763245D01* -X47659321Y-128653811D01* -X47841308Y-128578429D01* -X48034509Y-128540000D01* -X48231491Y-128540000D01* -X48424692Y-128578429D01* -G37* -G36* -X143293692Y-128578429D02* -G01* -X143475679Y-128653811D01* -X143639458Y-128763245D01* -X143639460Y-128763247D01* -X143639463Y-128763249D01* -X143778751Y-128902537D01* -X143778753Y-128902540D01* -X143778755Y-128902542D01* -X143888189Y-129066321D01* -X143963571Y-129248308D01* -X144002000Y-129441509D01* -X144002000Y-129638491D01* -X143963571Y-129831692D01* -X143888189Y-130013679D01* -X143778755Y-130177458D01* -X143778753Y-130177460D01* -X143778751Y-130177463D01* -X143639463Y-130316751D01* -X143639460Y-130316753D01* -X143639458Y-130316755D01* -X143475679Y-130426189D01* -X143293692Y-130501571D01* -X143100491Y-130540000D01* -X142903509Y-130540000D01* -X142710308Y-130501571D01* -X142528321Y-130426189D01* -X142364542Y-130316755D01* -X142364540Y-130316753D01* -X142364537Y-130316751D01* -X142225249Y-130177463D01* -X142225247Y-130177460D01* -X142225245Y-130177458D01* -X142115811Y-130013679D01* -X142040429Y-129831692D01* -X142002000Y-129638491D01* -X142002000Y-129441509D01* -X142040429Y-129248308D01* -X142115811Y-129066321D01* -X142225245Y-128902542D01* -X142225247Y-128902540D01* -X142225249Y-128902537D01* -X142364537Y-128763249D01* -X142364540Y-128763247D01* -X142364542Y-128763245D01* -X142528321Y-128653811D01* -X142710308Y-128578429D01* -X142903509Y-128540000D01* -X143100491Y-128540000D01* -X143293692Y-128578429D01* -G37* -G36* -X74884737Y-127499267D02* -G01* -X74935119Y-127514550D01* -X74981546Y-127539366D01* -X75022239Y-127572761D01* -X75055634Y-127613454D01* -X75080450Y-127659881D01* -X75095733Y-127710263D01* -X75101200Y-127765767D01* -X75101200Y-128774233D01* -X75095733Y-128829737D01* -X75080450Y-128880119D01* -X75055634Y-128926546D01* -X75022239Y-128967239D01* -X74981546Y-129000634D01* -X74935119Y-129025450D01* -X74884737Y-129040733D01* -X74829233Y-129046200D01* -X74170767Y-129046200D01* -X74115263Y-129040733D01* -X74064881Y-129025450D01* -X74018454Y-129000634D01* -X73977761Y-128967239D01* -X73944366Y-128926546D01* -X73919550Y-128880119D01* -X73904267Y-128829737D01* -X73898800Y-128774233D01* -X73898800Y-127765767D01* -X73904267Y-127710263D01* -X73919550Y-127659881D01* -X73944366Y-127613454D01* -X73977761Y-127572761D01* -X74018454Y-127539366D01* -X74064881Y-127514550D01* -X74115263Y-127499267D01* -X74170767Y-127493800D01* -X74829233Y-127493800D01* -X74884737Y-127499267D01* -G37* -G36* -X76584737Y-127499267D02* -G01* -X76635119Y-127514550D01* -X76681546Y-127539366D01* -X76722239Y-127572761D01* -X76755634Y-127613454D01* -X76780450Y-127659881D01* -X76795733Y-127710263D01* -X76801200Y-127765767D01* -X76801200Y-128774233D01* -X76795733Y-128829737D01* -X76780450Y-128880119D01* -X76755634Y-128926546D01* -X76722239Y-128967239D01* -X76681546Y-129000634D01* -X76635119Y-129025450D01* -X76584737Y-129040733D01* -X76529233Y-129046200D01* -X75870767Y-129046200D01* -X75815263Y-129040733D01* -X75764881Y-129025450D01* -X75718454Y-129000634D01* -X75677761Y-128967239D01* -X75644366Y-128926546D01* -X75619550Y-128880119D01* -X75604267Y-128829737D01* -X75598800Y-128774233D01* -X75598800Y-127765767D01* -X75604267Y-127710263D01* -X75619550Y-127659881D01* -X75644366Y-127613454D01* -X75677761Y-127572761D01* -X75718454Y-127539366D01* -X75764881Y-127514550D01* -X75815263Y-127499267D01* -X75870767Y-127493800D01* -X76529233Y-127493800D01* -X76584737Y-127499267D01* -G37* -G36* -X115778737Y-127499267D02* -G01* -X115829119Y-127514550D01* -X115875546Y-127539366D01* -X115916239Y-127572761D01* -X115949634Y-127613454D01* -X115974450Y-127659881D01* -X115989733Y-127710263D01* -X115995200Y-127765767D01* -X115995200Y-128774233D01* -X115989733Y-128829737D01* -X115974450Y-128880119D01* -X115949634Y-128926546D01* -X115916239Y-128967239D01* -X115875546Y-129000634D01* -X115829119Y-129025450D01* -X115778737Y-129040733D01* -X115723233Y-129046200D01* -X115064767Y-129046200D01* -X115009263Y-129040733D01* -X114958881Y-129025450D01* -X114912454Y-129000634D01* -X114871761Y-128967239D01* -X114838366Y-128926546D01* -X114813550Y-128880119D01* -X114798267Y-128829737D01* -X114792800Y-128774233D01* -X114792800Y-127765767D01* -X114798267Y-127710263D01* -X114813550Y-127659881D01* -X114838366Y-127613454D01* -X114871761Y-127572761D01* -X114912454Y-127539366D01* -X114958881Y-127514550D01* -X115009263Y-127499267D01* -X115064767Y-127493800D01* -X115723233Y-127493800D01* -X115778737Y-127499267D01* -G37* -G36* -X117478737Y-127499267D02* -G01* -X117529119Y-127514550D01* -X117575546Y-127539366D01* -X117616239Y-127572761D01* -X117649634Y-127613454D01* -X117674450Y-127659881D01* -X117689733Y-127710263D01* -X117695200Y-127765767D01* -X117695200Y-128774233D01* -X117689733Y-128829737D01* -X117674450Y-128880119D01* -X117649634Y-128926546D01* -X117616239Y-128967239D01* -X117575546Y-129000634D01* -X117529119Y-129025450D01* -X117478737Y-129040733D01* -X117423233Y-129046200D01* -X116764767Y-129046200D01* -X116709263Y-129040733D01* -X116658881Y-129025450D01* -X116612454Y-129000634D01* -X116571761Y-128967239D01* -X116538366Y-128926546D01* -X116513550Y-128880119D01* -X116498267Y-128829737D01* -X116492800Y-128774233D01* -X116492800Y-127765767D01* -X116498267Y-127710263D01* -X116513550Y-127659881D01* -X116538366Y-127613454D01* -X116571761Y-127572761D01* -X116612454Y-127539366D01* -X116658881Y-127514550D01* -X116709263Y-127499267D01* -X116764767Y-127493800D01* -X117423233Y-127493800D01* -X117478737Y-127499267D01* -G37* -G36* -X119510737Y-127499267D02* -G01* -X119561119Y-127514550D01* -X119607546Y-127539366D01* -X119648239Y-127572761D01* -X119681634Y-127613454D01* -X119706450Y-127659881D01* -X119721733Y-127710263D01* -X119727200Y-127765767D01* -X119727200Y-128774233D01* -X119721733Y-128829737D01* -X119706450Y-128880119D01* -X119681634Y-128926546D01* -X119648239Y-128967239D01* -X119607546Y-129000634D01* -X119561119Y-129025450D01* -X119510737Y-129040733D01* -X119455233Y-129046200D01* -X118796767Y-129046200D01* -X118741263Y-129040733D01* -X118690881Y-129025450D01* -X118644454Y-129000634D01* -X118603761Y-128967239D01* -X118570366Y-128926546D01* -X118545550Y-128880119D01* -X118530267Y-128829737D01* -X118524800Y-128774233D01* -X118524800Y-127765767D01* -X118530267Y-127710263D01* -X118545550Y-127659881D01* -X118570366Y-127613454D01* -X118603761Y-127572761D01* -X118644454Y-127539366D01* -X118690881Y-127514550D01* -X118741263Y-127499267D01* -X118796767Y-127493800D01* -X119455233Y-127493800D01* -X119510737Y-127499267D01* -G37* -G36* -X121210737Y-127499267D02* -G01* -X121261119Y-127514550D01* -X121307546Y-127539366D01* -X121348239Y-127572761D01* -X121381634Y-127613454D01* -X121406450Y-127659881D01* -X121421733Y-127710263D01* -X121427200Y-127765767D01* -X121427200Y-128774233D01* -X121421733Y-128829737D01* -X121406450Y-128880119D01* -X121381634Y-128926546D01* -X121348239Y-128967239D01* -X121307546Y-129000634D01* -X121261119Y-129025450D01* -X121210737Y-129040733D01* -X121155233Y-129046200D01* -X120496767Y-129046200D01* -X120441263Y-129040733D01* -X120390881Y-129025450D01* -X120344454Y-129000634D01* -X120303761Y-128967239D01* -X120270366Y-128926546D01* -X120245550Y-128880119D01* -X120230267Y-128829737D01* -X120224800Y-128774233D01* -X120224800Y-127765767D01* -X120230267Y-127710263D01* -X120245550Y-127659881D01* -X120270366Y-127613454D01* -X120303761Y-127572761D01* -X120344454Y-127539366D01* -X120390881Y-127514550D01* -X120441263Y-127499267D01* -X120496767Y-127493800D01* -X121155233Y-127493800D01* -X121210737Y-127499267D01* -G37* -G36* -X135829082Y-127525215D02* -G01* -X135877006Y-127539752D01* -X135921168Y-127563357D01* -X135959876Y-127595124D01* -X135991643Y-127633832D01* -X136015248Y-127677994D01* -X136029785Y-127725918D01* -X136035000Y-127778867D01* -X136035000Y-128761133D01* -X136029785Y-128814082D01* -X136015248Y-128862006D01* -X135991643Y-128906168D01* -X135959876Y-128944876D01* -X135921168Y-128976643D01* -X135877006Y-129000248D01* -X135829082Y-129014785D01* -X135776133Y-129020000D01* -X135143867Y-129020000D01* -X135090918Y-129014785D01* -X135042994Y-129000248D01* -X134998832Y-128976643D01* -X134960124Y-128944876D01* -X134928357Y-128906168D01* -X134904752Y-128862006D01* -X134890215Y-128814082D01* -X134885000Y-128761133D01* -X134885000Y-127778867D01* -X134890215Y-127725918D01* -X134904752Y-127677994D01* -X134928357Y-127633832D01* -X134960124Y-127595124D01* -X134998832Y-127563357D01* -X135042994Y-127539752D01* -X135090918Y-127525215D01* -X135143867Y-127520000D01* -X135776133Y-127520000D01* -X135829082Y-127525215D01* -G37* -G36* -X137529082Y-127525215D02* -G01* -X137577006Y-127539752D01* -X137621168Y-127563357D01* -X137659876Y-127595124D01* -X137691643Y-127633832D01* -X137715248Y-127677994D01* -X137729785Y-127725918D01* -X137735000Y-127778867D01* -X137735000Y-128761133D01* -X137729785Y-128814082D01* -X137715248Y-128862006D01* -X137691643Y-128906168D01* -X137659876Y-128944876D01* -X137621168Y-128976643D01* -X137577006Y-129000248D01* -X137529082Y-129014785D01* -X137476133Y-129020000D01* -X136843867Y-129020000D01* -X136790918Y-129014785D01* -X136742994Y-129000248D01* -X136698832Y-128976643D01* -X136660124Y-128944876D01* -X136628357Y-128906168D01* -X136604752Y-128862006D01* -X136590215Y-128814082D01* -X136585000Y-128761133D01* -X136585000Y-127778867D01* -X136590215Y-127725918D01* -X136604752Y-127677994D01* -X136628357Y-127633832D01* -X136660124Y-127595124D01* -X136698832Y-127563357D01* -X136742994Y-127539752D01* -X136790918Y-127525215D01* -X136843867Y-127520000D01* -X137476133Y-127520000D01* -X137529082Y-127525215D01* -G37* -G36* -X48250933Y-126285274D02* -G01* -X48344185Y-126303823D01* -X48475939Y-126358398D01* -X48475940Y-126358399D01* -X48475943Y-126358400D01* -X48594523Y-126437633D01* -X48695367Y-126538477D01* -X48774600Y-126657057D01* -X48774601Y-126657060D01* -X48774602Y-126657061D01* -X48829177Y-126788815D01* -X48857000Y-126928693D01* -X48857000Y-127071307D01* -X48829177Y-127211185D01* -X48774602Y-127342939D01* -X48774600Y-127342943D01* -X48695367Y-127461523D01* -X48594523Y-127562367D01* -X48475943Y-127641600D01* -X48475940Y-127641601D01* -X48475939Y-127641602D01* -X48344185Y-127696177D01* -X48250933Y-127714726D01* -X48204308Y-127724000D01* -X48061692Y-127724000D01* -X48015067Y-127714726D01* -X47921815Y-127696177D01* -X47790061Y-127641602D01* -X47790060Y-127641601D01* -X47790057Y-127641600D01* -X47671477Y-127562367D01* -X47570633Y-127461523D01* -X47491400Y-127342943D01* -X47491398Y-127342939D01* -X47436823Y-127211185D01* -X47409000Y-127071307D01* -X47409000Y-126928693D01* -X47436823Y-126788815D01* -X47491398Y-126657061D01* -X47491399Y-126657060D01* -X47491400Y-126657057D01* -X47570633Y-126538477D01* -X47671477Y-126437633D01* -X47790057Y-126358400D01* -X47790060Y-126358399D01* -X47790061Y-126358398D01* -X47921815Y-126303823D01* -X48015067Y-126285274D01* -X48061692Y-126276000D01* -X48204308Y-126276000D01* -X48250933Y-126285274D01* -G37* -G36* -X143119933Y-126285274D02* -G01* -X143213185Y-126303823D01* -X143344939Y-126358398D01* -X143344940Y-126358399D01* -X143344943Y-126358400D01* -X143463523Y-126437633D01* -X143564367Y-126538477D01* -X143643600Y-126657057D01* -X143643601Y-126657060D01* -X143643602Y-126657061D01* -X143698177Y-126788815D01* -X143726000Y-126928693D01* -X143726000Y-127071307D01* -X143698177Y-127211185D01* -X143643602Y-127342939D01* -X143643600Y-127342943D01* -X143564367Y-127461523D01* -X143463523Y-127562367D01* -X143344943Y-127641600D01* -X143344940Y-127641601D01* -X143344939Y-127641602D01* -X143213185Y-127696177D01* -X143119933Y-127714726D01* -X143073308Y-127724000D01* -X142930692Y-127724000D01* -X142884067Y-127714726D01* -X142790815Y-127696177D01* -X142659061Y-127641602D01* -X142659060Y-127641601D01* -X142659057Y-127641600D01* -X142540477Y-127562367D01* -X142439633Y-127461523D01* -X142360400Y-127342943D01* -X142360398Y-127342939D01* -X142305823Y-127211185D01* -X142278000Y-127071307D01* -X142278000Y-126928693D01* -X142305823Y-126788815D01* -X142360398Y-126657061D01* -X142360399Y-126657060D01* -X142360400Y-126657057D01* -X142439633Y-126538477D01* -X142540477Y-126437633D01* -X142659057Y-126358400D01* -X142659060Y-126358399D01* -X142659061Y-126358398D01* -X142790815Y-126303823D01* -X142884067Y-126285274D01* -X142930692Y-126276000D01* -X143073308Y-126276000D01* -X143119933Y-126285274D01* -G37* -G36* -X78924285Y-124203083D02* -G01* -X78941623Y-124208343D01* -X78957611Y-124216889D01* -X78971617Y-124228383D01* -X78983111Y-124242389D01* -X78991657Y-124258377D01* -X78996917Y-124275715D01* -X78999000Y-124296866D01* -X78999000Y-125603134D01* -X78996917Y-125624285D01* -X78991657Y-125641623D01* -X78983111Y-125657611D01* -X78971617Y-125671617D01* -X78957611Y-125683111D01* -X78941623Y-125691657D01* -X78924285Y-125696917D01* -X78903134Y-125699000D01* -X78596866Y-125699000D01* -X78575715Y-125696917D01* -X78558377Y-125691657D01* -X78542389Y-125683111D01* -X78528383Y-125671617D01* -X78516889Y-125657611D01* -X78508343Y-125641623D01* -X78503083Y-125624285D01* -X78501000Y-125603134D01* -X78501000Y-124296866D01* -X78503083Y-124275715D01* -X78508343Y-124258377D01* -X78516889Y-124242389D01* -X78528383Y-124228383D01* -X78542389Y-124216889D01* -X78558377Y-124208343D01* -X78575715Y-124203083D01* -X78596866Y-124201000D01* -X78903134Y-124201000D01* -X78924285Y-124203083D01* -G37* -G36* -X78274285Y-124203083D02* -G01* -X78291623Y-124208343D01* -X78307611Y-124216889D01* -X78321617Y-124228383D01* -X78333111Y-124242389D01* -X78341657Y-124258377D01* -X78346917Y-124275715D01* -X78349000Y-124296866D01* -X78349000Y-125603134D01* -X78346917Y-125624285D01* -X78341657Y-125641623D01* -X78333111Y-125657611D01* -X78321617Y-125671617D01* -X78307611Y-125683111D01* -X78291623Y-125691657D01* -X78274285Y-125696917D01* -X78253134Y-125699000D01* -X77946866Y-125699000D01* -X77925715Y-125696917D01* -X77908377Y-125691657D01* -X77892389Y-125683111D01* -X77878383Y-125671617D01* -X77866889Y-125657611D01* -X77858343Y-125641623D01* -X77853083Y-125624285D01* -X77851000Y-125603134D01* -X77851000Y-124296866D01* -X77853083Y-124275715D01* -X77858343Y-124258377D01* -X77866889Y-124242389D01* -X77878383Y-124228383D01* -X77892389Y-124216889D01* -X77908377Y-124208343D01* -X77925715Y-124203083D01* -X77946866Y-124201000D01* -X78253134Y-124201000D01* -X78274285Y-124203083D01* -G37* -G36* -X79574285Y-124203083D02* -G01* -X79591623Y-124208343D01* -X79607611Y-124216889D01* -X79621617Y-124228383D01* -X79633111Y-124242389D01* -X79641657Y-124258377D01* -X79646917Y-124275715D01* -X79649000Y-124296866D01* -X79649000Y-125603134D01* -X79646917Y-125624285D01* -X79641657Y-125641623D01* -X79633111Y-125657611D01* -X79621617Y-125671617D01* -X79607611Y-125683111D01* -X79591623Y-125691657D01* -X79574285Y-125696917D01* -X79553134Y-125699000D01* -X79246866Y-125699000D01* -X79225715Y-125696917D01* -X79208377Y-125691657D01* -X79192389Y-125683111D01* -X79178383Y-125671617D01* -X79166889Y-125657611D01* -X79158343Y-125641623D01* -X79153083Y-125624285D01* -X79151000Y-125603134D01* -X79151000Y-124296866D01* -X79153083Y-124275715D01* -X79158343Y-124258377D01* -X79166889Y-124242389D01* -X79178383Y-124228383D01* -X79192389Y-124216889D01* -X79208377Y-124208343D01* -X79225715Y-124203083D01* -X79246866Y-124201000D01* -X79553134Y-124201000D01* -X79574285Y-124203083D01* -G37* -G36* -X80224285Y-124203083D02* -G01* -X80241623Y-124208343D01* -X80257611Y-124216889D01* -X80271617Y-124228383D01* -X80283111Y-124242389D01* -X80291657Y-124258377D01* -X80296917Y-124275715D01* -X80299000Y-124296866D01* -X80299000Y-125603134D01* -X80296917Y-125624285D01* -X80291657Y-125641623D01* -X80283111Y-125657611D01* -X80271617Y-125671617D01* -X80257611Y-125683111D01* -X80241623Y-125691657D01* -X80224285Y-125696917D01* -X80203134Y-125699000D01* -X79896866Y-125699000D01* -X79875715Y-125696917D01* -X79858377Y-125691657D01* -X79842389Y-125683111D01* -X79828383Y-125671617D01* -X79816889Y-125657611D01* -X79808343Y-125641623D01* -X79803083Y-125624285D01* -X79801000Y-125603134D01* -X79801000Y-124296866D01* -X79803083Y-124275715D01* -X79808343Y-124258377D01* -X79816889Y-124242389D01* -X79828383Y-124228383D01* -X79842389Y-124216889D01* -X79858377Y-124208343D01* -X79875715Y-124203083D01* -X79896866Y-124201000D01* -X80203134Y-124201000D01* -X80224285Y-124203083D01* -G37* -G36* -X80874285Y-124203083D02* -G01* -X80891623Y-124208343D01* -X80907611Y-124216889D01* -X80921617Y-124228383D01* -X80933111Y-124242389D01* -X80941657Y-124258377D01* -X80946917Y-124275715D01* -X80949000Y-124296866D01* -X80949000Y-125603134D01* -X80946917Y-125624285D01* -X80941657Y-125641623D01* -X80933111Y-125657611D01* -X80921617Y-125671617D01* -X80907611Y-125683111D01* -X80891623Y-125691657D01* -X80874285Y-125696917D01* -X80853134Y-125699000D01* -X80546866Y-125699000D01* -X80525715Y-125696917D01* -X80508377Y-125691657D01* -X80492389Y-125683111D01* -X80478383Y-125671617D01* -X80466889Y-125657611D01* -X80458343Y-125641623D01* -X80453083Y-125624285D01* -X80451000Y-125603134D01* -X80451000Y-124296866D01* -X80453083Y-124275715D01* -X80458343Y-124258377D01* -X80466889Y-124242389D01* -X80478383Y-124228383D01* -X80492389Y-124216889D01* -X80508377Y-124208343D01* -X80525715Y-124203083D01* -X80546866Y-124201000D01* -X80853134Y-124201000D01* -X80874285Y-124203083D01* -G37* -G36* -X81524285Y-124203083D02* -G01* -X81541623Y-124208343D01* -X81557611Y-124216889D01* -X81571617Y-124228383D01* -X81583111Y-124242389D01* -X81591657Y-124258377D01* -X81596917Y-124275715D01* -X81599000Y-124296866D01* -X81599000Y-125603134D01* -X81596917Y-125624285D01* -X81591657Y-125641623D01* -X81583111Y-125657611D01* -X81571617Y-125671617D01* -X81557611Y-125683111D01* -X81541623Y-125691657D01* -X81524285Y-125696917D01* -X81503134Y-125699000D01* -X81196866Y-125699000D01* -X81175715Y-125696917D01* -X81158377Y-125691657D01* -X81142389Y-125683111D01* -X81128383Y-125671617D01* -X81116889Y-125657611D01* -X81108343Y-125641623D01* -X81103083Y-125624285D01* -X81101000Y-125603134D01* -X81101000Y-124296866D01* -X81103083Y-124275715D01* -X81108343Y-124258377D01* -X81116889Y-124242389D01* -X81128383Y-124228383D01* -X81142389Y-124216889D01* -X81158377Y-124208343D01* -X81175715Y-124203083D01* -X81196866Y-124201000D01* -X81503134Y-124201000D01* -X81524285Y-124203083D01* -G37* -G36* -X82174285Y-124203083D02* -G01* -X82191623Y-124208343D01* -X82207611Y-124216889D01* -X82221617Y-124228383D01* -X82233111Y-124242389D01* -X82241657Y-124258377D01* -X82246917Y-124275715D01* -X82249000Y-124296866D01* -X82249000Y-125603134D01* -X82246917Y-125624285D01* -X82241657Y-125641623D01* -X82233111Y-125657611D01* -X82221617Y-125671617D01* -X82207611Y-125683111D01* -X82191623Y-125691657D01* -X82174285Y-125696917D01* -X82153134Y-125699000D01* -X81846866Y-125699000D01* -X81825715Y-125696917D01* -X81808377Y-125691657D01* -X81792389Y-125683111D01* -X81778383Y-125671617D01* -X81766889Y-125657611D01* -X81758343Y-125641623D01* -X81753083Y-125624285D01* -X81751000Y-125603134D01* -X81751000Y-124296866D01* -X81753083Y-124275715D01* -X81758343Y-124258377D01* -X81766889Y-124242389D01* -X81778383Y-124228383D01* -X81792389Y-124216889D01* -X81808377Y-124208343D01* -X81825715Y-124203083D01* -X81846866Y-124201000D01* -X82153134Y-124201000D01* -X82174285Y-124203083D01* -G37* -G36* -X82824285Y-124203083D02* -G01* -X82841623Y-124208343D01* -X82857611Y-124216889D01* -X82871617Y-124228383D01* -X82883111Y-124242389D01* -X82891657Y-124258377D01* -X82896917Y-124275715D01* -X82899000Y-124296866D01* -X82899000Y-125603134D01* -X82896917Y-125624285D01* -X82891657Y-125641623D01* -X82883111Y-125657611D01* -X82871617Y-125671617D01* -X82857611Y-125683111D01* -X82841623Y-125691657D01* -X82824285Y-125696917D01* -X82803134Y-125699000D01* -X82496866Y-125699000D01* -X82475715Y-125696917D01* -X82458377Y-125691657D01* -X82442389Y-125683111D01* -X82428383Y-125671617D01* -X82416889Y-125657611D01* -X82408343Y-125641623D01* -X82403083Y-125624285D01* -X82401000Y-125603134D01* -X82401000Y-124296866D01* -X82403083Y-124275715D01* -X82408343Y-124258377D01* -X82416889Y-124242389D01* -X82428383Y-124228383D01* -X82442389Y-124216889D01* -X82458377Y-124208343D01* -X82475715Y-124203083D01* -X82496866Y-124201000D01* -X82803134Y-124201000D01* -X82824285Y-124203083D01* -G37* -G36* -X83474285Y-124203083D02* -G01* -X83491623Y-124208343D01* -X83507611Y-124216889D01* -X83521617Y-124228383D01* -X83533111Y-124242389D01* -X83541657Y-124258377D01* -X83546917Y-124275715D01* -X83549000Y-124296866D01* -X83549000Y-125603134D01* -X83546917Y-125624285D01* -X83541657Y-125641623D01* -X83533111Y-125657611D01* -X83521617Y-125671617D01* -X83507611Y-125683111D01* -X83491623Y-125691657D01* -X83474285Y-125696917D01* -X83453134Y-125699000D01* -X83146866Y-125699000D01* -X83125715Y-125696917D01* -X83108377Y-125691657D01* -X83092389Y-125683111D01* -X83078383Y-125671617D01* -X83066889Y-125657611D01* -X83058343Y-125641623D01* -X83053083Y-125624285D01* -X83051000Y-125603134D01* -X83051000Y-124296866D01* -X83053083Y-124275715D01* -X83058343Y-124258377D01* -X83066889Y-124242389D01* -X83078383Y-124228383D01* -X83092389Y-124216889D01* -X83108377Y-124208343D01* -X83125715Y-124203083D01* -X83146866Y-124201000D01* -X83453134Y-124201000D01* -X83474285Y-124203083D01* -G37* -G36* -X84124285Y-124203083D02* -G01* -X84141623Y-124208343D01* -X84157611Y-124216889D01* -X84171617Y-124228383D01* -X84183111Y-124242389D01* -X84191657Y-124258377D01* -X84196917Y-124275715D01* -X84199000Y-124296866D01* -X84199000Y-125603134D01* -X84196917Y-125624285D01* -X84191657Y-125641623D01* -X84183111Y-125657611D01* -X84171617Y-125671617D01* -X84157611Y-125683111D01* -X84141623Y-125691657D01* -X84124285Y-125696917D01* -X84103134Y-125699000D01* -X83796866Y-125699000D01* -X83775715Y-125696917D01* -X83758377Y-125691657D01* -X83742389Y-125683111D01* -X83728383Y-125671617D01* -X83716889Y-125657611D01* -X83708343Y-125641623D01* -X83703083Y-125624285D01* -X83701000Y-125603134D01* -X83701000Y-124296866D01* -X83703083Y-124275715D01* -X83708343Y-124258377D01* -X83716889Y-124242389D01* -X83728383Y-124228383D01* -X83742389Y-124216889D01* -X83758377Y-124208343D01* -X83775715Y-124203083D01* -X83796866Y-124201000D01* -X84103134Y-124201000D01* -X84124285Y-124203083D01* -G37* -G36* -X105874285Y-124203083D02* -G01* -X105891623Y-124208343D01* -X105907611Y-124216889D01* -X105921617Y-124228383D01* -X105933111Y-124242389D01* -X105941657Y-124258377D01* -X105946917Y-124275715D01* -X105949000Y-124296866D01* -X105949000Y-125603134D01* -X105946917Y-125624285D01* -X105941657Y-125641623D01* -X105933111Y-125657611D01* -X105921617Y-125671617D01* -X105907611Y-125683111D01* -X105891623Y-125691657D01* -X105874285Y-125696917D01* -X105853134Y-125699000D01* -X105546866Y-125699000D01* -X105525715Y-125696917D01* -X105508377Y-125691657D01* -X105492389Y-125683111D01* -X105478383Y-125671617D01* -X105466889Y-125657611D01* -X105458343Y-125641623D01* -X105453083Y-125624285D01* -X105451000Y-125603134D01* -X105451000Y-124296866D01* -X105453083Y-124275715D01* -X105458343Y-124258377D01* -X105466889Y-124242389D01* -X105478383Y-124228383D01* -X105492389Y-124216889D01* -X105508377Y-124208343D01* -X105525715Y-124203083D01* -X105546866Y-124201000D01* -X105853134Y-124201000D01* -X105874285Y-124203083D01* -G37* -G36* -X87474285Y-124203083D02* -G01* -X87491623Y-124208343D01* -X87507611Y-124216889D01* -X87521617Y-124228383D01* -X87533111Y-124242389D01* -X87541657Y-124258377D01* -X87546917Y-124275715D01* -X87549000Y-124296866D01* -X87549000Y-125603134D01* -X87546917Y-125624285D01* -X87541657Y-125641623D01* -X87533111Y-125657611D01* -X87521617Y-125671617D01* -X87507611Y-125683111D01* -X87491623Y-125691657D01* -X87474285Y-125696917D01* -X87453134Y-125699000D01* -X87146866Y-125699000D01* -X87125715Y-125696917D01* -X87108377Y-125691657D01* -X87092389Y-125683111D01* -X87078383Y-125671617D01* -X87066889Y-125657611D01* -X87058343Y-125641623D01* -X87053083Y-125624285D01* -X87051000Y-125603134D01* -X87051000Y-124296866D01* -X87053083Y-124275715D01* -X87058343Y-124258377D01* -X87066889Y-124242389D01* -X87078383Y-124228383D01* -X87092389Y-124216889D01* -X87108377Y-124208343D01* -X87125715Y-124203083D01* -X87146866Y-124201000D01* -X87453134Y-124201000D01* -X87474285Y-124203083D01* -G37* -G36* -X88124285Y-124203083D02* -G01* -X88141623Y-124208343D01* -X88157611Y-124216889D01* -X88171617Y-124228383D01* -X88183111Y-124242389D01* -X88191657Y-124258377D01* -X88196917Y-124275715D01* -X88199000Y-124296866D01* -X88199000Y-125603134D01* -X88196917Y-125624285D01* -X88191657Y-125641623D01* -X88183111Y-125657611D01* -X88171617Y-125671617D01* -X88157611Y-125683111D01* -X88141623Y-125691657D01* -X88124285Y-125696917D01* -X88103134Y-125699000D01* -X87796866Y-125699000D01* -X87775715Y-125696917D01* -X87758377Y-125691657D01* -X87742389Y-125683111D01* -X87728383Y-125671617D01* -X87716889Y-125657611D01* -X87708343Y-125641623D01* -X87703083Y-125624285D01* -X87701000Y-125603134D01* -X87701000Y-124296866D01* -X87703083Y-124275715D01* -X87708343Y-124258377D01* -X87716889Y-124242389D01* -X87728383Y-124228383D01* -X87742389Y-124216889D01* -X87758377Y-124208343D01* -X87775715Y-124203083D01* -X87796866Y-124201000D01* -X88103134Y-124201000D01* -X88124285Y-124203083D01* -G37* -G36* -X88774285Y-124203083D02* -G01* -X88791623Y-124208343D01* -X88807611Y-124216889D01* -X88821617Y-124228383D01* -X88833111Y-124242389D01* -X88841657Y-124258377D01* -X88846917Y-124275715D01* -X88849000Y-124296866D01* -X88849000Y-125603134D01* -X88846917Y-125624285D01* -X88841657Y-125641623D01* -X88833111Y-125657611D01* -X88821617Y-125671617D01* -X88807611Y-125683111D01* -X88791623Y-125691657D01* -X88774285Y-125696917D01* -X88753134Y-125699000D01* -X88446866Y-125699000D01* -X88425715Y-125696917D01* -X88408377Y-125691657D01* -X88392389Y-125683111D01* -X88378383Y-125671617D01* -X88366889Y-125657611D01* -X88358343Y-125641623D01* -X88353083Y-125624285D01* -X88351000Y-125603134D01* -X88351000Y-124296866D01* -X88353083Y-124275715D01* -X88358343Y-124258377D01* -X88366889Y-124242389D01* -X88378383Y-124228383D01* -X88392389Y-124216889D01* -X88408377Y-124208343D01* -X88425715Y-124203083D01* -X88446866Y-124201000D01* -X88753134Y-124201000D01* -X88774285Y-124203083D01* -G37* -G36* -X89424285Y-124203083D02* -G01* -X89441623Y-124208343D01* -X89457611Y-124216889D01* -X89471617Y-124228383D01* -X89483111Y-124242389D01* -X89491657Y-124258377D01* -X89496917Y-124275715D01* -X89499000Y-124296866D01* -X89499000Y-125603134D01* -X89496917Y-125624285D01* -X89491657Y-125641623D01* -X89483111Y-125657611D01* -X89471617Y-125671617D01* -X89457611Y-125683111D01* -X89441623Y-125691657D01* -X89424285Y-125696917D01* -X89403134Y-125699000D01* -X89096866Y-125699000D01* -X89075715Y-125696917D01* -X89058377Y-125691657D01* -X89042389Y-125683111D01* -X89028383Y-125671617D01* -X89016889Y-125657611D01* -X89008343Y-125641623D01* -X89003083Y-125624285D01* -X89001000Y-125603134D01* -X89001000Y-124296866D01* -X89003083Y-124275715D01* -X89008343Y-124258377D01* -X89016889Y-124242389D01* -X89028383Y-124228383D01* -X89042389Y-124216889D01* -X89058377Y-124208343D01* -X89075715Y-124203083D01* -X89096866Y-124201000D01* -X89403134Y-124201000D01* -X89424285Y-124203083D01* -G37* -G36* -X90074285Y-124203083D02* -G01* -X90091623Y-124208343D01* -X90107611Y-124216889D01* -X90121617Y-124228383D01* -X90133111Y-124242389D01* -X90141657Y-124258377D01* -X90146917Y-124275715D01* -X90149000Y-124296866D01* -X90149000Y-125603134D01* -X90146917Y-125624285D01* -X90141657Y-125641623D01* -X90133111Y-125657611D01* -X90121617Y-125671617D01* -X90107611Y-125683111D01* -X90091623Y-125691657D01* -X90074285Y-125696917D01* -X90053134Y-125699000D01* -X89746866Y-125699000D01* -X89725715Y-125696917D01* -X89708377Y-125691657D01* -X89692389Y-125683111D01* -X89678383Y-125671617D01* -X89666889Y-125657611D01* -X89658343Y-125641623D01* -X89653083Y-125624285D01* -X89651000Y-125603134D01* -X89651000Y-124296866D01* -X89653083Y-124275715D01* -X89658343Y-124258377D01* -X89666889Y-124242389D01* -X89678383Y-124228383D01* -X89692389Y-124216889D01* -X89708377Y-124208343D01* -X89725715Y-124203083D01* -X89746866Y-124201000D01* -X90053134Y-124201000D01* -X90074285Y-124203083D01* -G37* -G36* -X90724285Y-124203083D02* -G01* -X90741623Y-124208343D01* -X90757611Y-124216889D01* -X90771617Y-124228383D01* -X90783111Y-124242389D01* -X90791657Y-124258377D01* -X90796917Y-124275715D01* -X90799000Y-124296866D01* -X90799000Y-125603134D01* -X90796917Y-125624285D01* -X90791657Y-125641623D01* -X90783111Y-125657611D01* -X90771617Y-125671617D01* -X90757611Y-125683111D01* -X90741623Y-125691657D01* -X90724285Y-125696917D01* -X90703134Y-125699000D01* -X90396866Y-125699000D01* -X90375715Y-125696917D01* -X90358377Y-125691657D01* -X90342389Y-125683111D01* -X90328383Y-125671617D01* -X90316889Y-125657611D01* -X90308343Y-125641623D01* -X90303083Y-125624285D01* -X90301000Y-125603134D01* -X90301000Y-124296866D01* -X90303083Y-124275715D01* -X90308343Y-124258377D01* -X90316889Y-124242389D01* -X90328383Y-124228383D01* -X90342389Y-124216889D01* -X90358377Y-124208343D01* -X90375715Y-124203083D01* -X90396866Y-124201000D01* -X90703134Y-124201000D01* -X90724285Y-124203083D01* -G37* -G36* -X91374285Y-124203083D02* -G01* -X91391623Y-124208343D01* -X91407611Y-124216889D01* -X91421617Y-124228383D01* -X91433111Y-124242389D01* -X91441657Y-124258377D01* -X91446917Y-124275715D01* -X91449000Y-124296866D01* -X91449000Y-125603134D01* -X91446917Y-125624285D01* -X91441657Y-125641623D01* -X91433111Y-125657611D01* -X91421617Y-125671617D01* -X91407611Y-125683111D01* -X91391623Y-125691657D01* -X91374285Y-125696917D01* -X91353134Y-125699000D01* -X91046866Y-125699000D01* -X91025715Y-125696917D01* -X91008377Y-125691657D01* -X90992389Y-125683111D01* -X90978383Y-125671617D01* -X90966889Y-125657611D01* -X90958343Y-125641623D01* -X90953083Y-125624285D01* -X90951000Y-125603134D01* -X90951000Y-124296866D01* -X90953083Y-124275715D01* -X90958343Y-124258377D01* -X90966889Y-124242389D01* -X90978383Y-124228383D01* -X90992389Y-124216889D01* -X91008377Y-124208343D01* -X91025715Y-124203083D01* -X91046866Y-124201000D01* -X91353134Y-124201000D01* -X91374285Y-124203083D01* -G37* -G36* -X92024285Y-124203083D02* -G01* -X92041623Y-124208343D01* -X92057611Y-124216889D01* -X92071617Y-124228383D01* -X92083111Y-124242389D01* -X92091657Y-124258377D01* -X92096917Y-124275715D01* -X92099000Y-124296866D01* -X92099000Y-125603134D01* -X92096917Y-125624285D01* -X92091657Y-125641623D01* -X92083111Y-125657611D01* -X92071617Y-125671617D01* -X92057611Y-125683111D01* -X92041623Y-125691657D01* -X92024285Y-125696917D01* -X92003134Y-125699000D01* -X91696866Y-125699000D01* -X91675715Y-125696917D01* -X91658377Y-125691657D01* -X91642389Y-125683111D01* -X91628383Y-125671617D01* -X91616889Y-125657611D01* -X91608343Y-125641623D01* -X91603083Y-125624285D01* -X91601000Y-125603134D01* -X91601000Y-124296866D01* -X91603083Y-124275715D01* -X91608343Y-124258377D01* -X91616889Y-124242389D01* -X91628383Y-124228383D01* -X91642389Y-124216889D01* -X91658377Y-124208343D01* -X91675715Y-124203083D01* -X91696866Y-124201000D01* -X92003134Y-124201000D01* -X92024285Y-124203083D01* -G37* -G36* -X92674285Y-124203083D02* -G01* -X92691623Y-124208343D01* -X92707611Y-124216889D01* -X92721617Y-124228383D01* -X92733111Y-124242389D01* -X92741657Y-124258377D01* -X92746917Y-124275715D01* -X92749000Y-124296866D01* -X92749000Y-125603134D01* -X92746917Y-125624285D01* -X92741657Y-125641623D01* -X92733111Y-125657611D01* -X92721617Y-125671617D01* -X92707611Y-125683111D01* -X92691623Y-125691657D01* -X92674285Y-125696917D01* -X92653134Y-125699000D01* -X92346866Y-125699000D01* -X92325715Y-125696917D01* -X92308377Y-125691657D01* -X92292389Y-125683111D01* -X92278383Y-125671617D01* -X92266889Y-125657611D01* -X92258343Y-125641623D01* -X92253083Y-125624285D01* -X92251000Y-125603134D01* -X92251000Y-124296866D01* -X92253083Y-124275715D01* -X92258343Y-124258377D01* -X92266889Y-124242389D01* -X92278383Y-124228383D01* -X92292389Y-124216889D01* -X92308377Y-124208343D01* -X92325715Y-124203083D01* -X92346866Y-124201000D01* -X92653134Y-124201000D01* -X92674285Y-124203083D01* -G37* -G36* -X93324285Y-124203083D02* -G01* -X93341623Y-124208343D01* -X93357611Y-124216889D01* -X93371617Y-124228383D01* -X93383111Y-124242389D01* -X93391657Y-124258377D01* -X93396917Y-124275715D01* -X93399000Y-124296866D01* -X93399000Y-125603134D01* -X93396917Y-125624285D01* -X93391657Y-125641623D01* -X93383111Y-125657611D01* -X93371617Y-125671617D01* -X93357611Y-125683111D01* -X93341623Y-125691657D01* -X93324285Y-125696917D01* -X93303134Y-125699000D01* -X92996866Y-125699000D01* -X92975715Y-125696917D01* -X92958377Y-125691657D01* -X92942389Y-125683111D01* -X92928383Y-125671617D01* -X92916889Y-125657611D01* -X92908343Y-125641623D01* -X92903083Y-125624285D01* -X92901000Y-125603134D01* -X92901000Y-124296866D01* -X92903083Y-124275715D01* -X92908343Y-124258377D01* -X92916889Y-124242389D01* -X92928383Y-124228383D01* -X92942389Y-124216889D01* -X92958377Y-124208343D01* -X92975715Y-124203083D01* -X92996866Y-124201000D01* -X93303134Y-124201000D01* -X93324285Y-124203083D01* -G37* -G36* -X96674285Y-124203083D02* -G01* -X96691623Y-124208343D01* -X96707611Y-124216889D01* -X96721617Y-124228383D01* -X96733111Y-124242389D01* -X96741657Y-124258377D01* -X96746917Y-124275715D01* -X96749000Y-124296866D01* -X96749000Y-125603134D01* -X96746917Y-125624285D01* -X96741657Y-125641623D01* -X96733111Y-125657611D01* -X96721617Y-125671617D01* -X96707611Y-125683111D01* -X96691623Y-125691657D01* -X96674285Y-125696917D01* -X96653134Y-125699000D01* -X96346866Y-125699000D01* -X96325715Y-125696917D01* -X96308377Y-125691657D01* -X96292389Y-125683111D01* -X96278383Y-125671617D01* -X96266889Y-125657611D01* -X96258343Y-125641623D01* -X96253083Y-125624285D01* -X96251000Y-125603134D01* -X96251000Y-124296866D01* -X96253083Y-124275715D01* -X96258343Y-124258377D01* -X96266889Y-124242389D01* -X96278383Y-124228383D01* -X96292389Y-124216889D01* -X96308377Y-124208343D01* -X96325715Y-124203083D01* -X96346866Y-124201000D01* -X96653134Y-124201000D01* -X96674285Y-124203083D01* -G37* -G36* -X97324285Y-124203083D02* -G01* -X97341623Y-124208343D01* -X97357611Y-124216889D01* -X97371617Y-124228383D01* -X97383111Y-124242389D01* -X97391657Y-124258377D01* -X97396917Y-124275715D01* -X97399000Y-124296866D01* -X97399000Y-125603134D01* -X97396917Y-125624285D01* -X97391657Y-125641623D01* -X97383111Y-125657611D01* -X97371617Y-125671617D01* -X97357611Y-125683111D01* -X97341623Y-125691657D01* -X97324285Y-125696917D01* -X97303134Y-125699000D01* -X96996866Y-125699000D01* -X96975715Y-125696917D01* -X96958377Y-125691657D01* -X96942389Y-125683111D01* -X96928383Y-125671617D01* -X96916889Y-125657611D01* -X96908343Y-125641623D01* -X96903083Y-125624285D01* -X96901000Y-125603134D01* -X96901000Y-124296866D01* -X96903083Y-124275715D01* -X96908343Y-124258377D01* -X96916889Y-124242389D01* -X96928383Y-124228383D01* -X96942389Y-124216889D01* -X96958377Y-124208343D01* -X96975715Y-124203083D01* -X96996866Y-124201000D01* -X97303134Y-124201000D01* -X97324285Y-124203083D01* -G37* -G36* -X97974285Y-124203083D02* -G01* -X97991623Y-124208343D01* -X98007611Y-124216889D01* -X98021617Y-124228383D01* -X98033111Y-124242389D01* -X98041657Y-124258377D01* -X98046917Y-124275715D01* -X98049000Y-124296866D01* -X98049000Y-125603134D01* -X98046917Y-125624285D01* -X98041657Y-125641623D01* -X98033111Y-125657611D01* -X98021617Y-125671617D01* -X98007611Y-125683111D01* -X97991623Y-125691657D01* -X97974285Y-125696917D01* -X97953134Y-125699000D01* -X97646866Y-125699000D01* -X97625715Y-125696917D01* -X97608377Y-125691657D01* -X97592389Y-125683111D01* -X97578383Y-125671617D01* -X97566889Y-125657611D01* -X97558343Y-125641623D01* -X97553083Y-125624285D01* -X97551000Y-125603134D01* -X97551000Y-124296866D01* -X97553083Y-124275715D01* -X97558343Y-124258377D01* -X97566889Y-124242389D01* -X97578383Y-124228383D01* -X97592389Y-124216889D01* -X97608377Y-124208343D01* -X97625715Y-124203083D01* -X97646866Y-124201000D01* -X97953134Y-124201000D01* -X97974285Y-124203083D01* -G37* -G36* -X98624285Y-124203083D02* -G01* -X98641623Y-124208343D01* -X98657611Y-124216889D01* -X98671617Y-124228383D01* -X98683111Y-124242389D01* -X98691657Y-124258377D01* -X98696917Y-124275715D01* -X98699000Y-124296866D01* -X98699000Y-125603134D01* -X98696917Y-125624285D01* -X98691657Y-125641623D01* -X98683111Y-125657611D01* -X98671617Y-125671617D01* -X98657611Y-125683111D01* -X98641623Y-125691657D01* -X98624285Y-125696917D01* -X98603134Y-125699000D01* -X98296866Y-125699000D01* -X98275715Y-125696917D01* -X98258377Y-125691657D01* -X98242389Y-125683111D01* -X98228383Y-125671617D01* -X98216889Y-125657611D01* -X98208343Y-125641623D01* -X98203083Y-125624285D01* -X98201000Y-125603134D01* -X98201000Y-124296866D01* -X98203083Y-124275715D01* -X98208343Y-124258377D01* -X98216889Y-124242389D01* -X98228383Y-124228383D01* -X98242389Y-124216889D01* -X98258377Y-124208343D01* -X98275715Y-124203083D01* -X98296866Y-124201000D01* -X98603134Y-124201000D01* -X98624285Y-124203083D01* -G37* -G36* -X99274285Y-124203083D02* -G01* -X99291623Y-124208343D01* -X99307611Y-124216889D01* -X99321617Y-124228383D01* -X99333111Y-124242389D01* -X99341657Y-124258377D01* -X99346917Y-124275715D01* -X99349000Y-124296866D01* -X99349000Y-125603134D01* -X99346917Y-125624285D01* -X99341657Y-125641623D01* -X99333111Y-125657611D01* -X99321617Y-125671617D01* -X99307611Y-125683111D01* -X99291623Y-125691657D01* -X99274285Y-125696917D01* -X99253134Y-125699000D01* -X98946866Y-125699000D01* -X98925715Y-125696917D01* -X98908377Y-125691657D01* -X98892389Y-125683111D01* -X98878383Y-125671617D01* -X98866889Y-125657611D01* -X98858343Y-125641623D01* -X98853083Y-125624285D01* -X98851000Y-125603134D01* -X98851000Y-124296866D01* -X98853083Y-124275715D01* -X98858343Y-124258377D01* -X98866889Y-124242389D01* -X98878383Y-124228383D01* -X98892389Y-124216889D01* -X98908377Y-124208343D01* -X98925715Y-124203083D01* -X98946866Y-124201000D01* -X99253134Y-124201000D01* -X99274285Y-124203083D01* -G37* -G36* -X99924285Y-124203083D02* -G01* -X99941623Y-124208343D01* -X99957611Y-124216889D01* -X99971617Y-124228383D01* -X99983111Y-124242389D01* -X99991657Y-124258377D01* -X99996917Y-124275715D01* -X99999000Y-124296866D01* -X99999000Y-125603134D01* -X99996917Y-125624285D01* -X99991657Y-125641623D01* -X99983111Y-125657611D01* -X99971617Y-125671617D01* -X99957611Y-125683111D01* -X99941623Y-125691657D01* -X99924285Y-125696917D01* -X99903134Y-125699000D01* -X99596866Y-125699000D01* -X99575715Y-125696917D01* -X99558377Y-125691657D01* -X99542389Y-125683111D01* -X99528383Y-125671617D01* -X99516889Y-125657611D01* -X99508343Y-125641623D01* -X99503083Y-125624285D01* -X99501000Y-125603134D01* -X99501000Y-124296866D01* -X99503083Y-124275715D01* -X99508343Y-124258377D01* -X99516889Y-124242389D01* -X99528383Y-124228383D01* -X99542389Y-124216889D01* -X99558377Y-124208343D01* -X99575715Y-124203083D01* -X99596866Y-124201000D01* -X99903134Y-124201000D01* -X99924285Y-124203083D01* -G37* -G36* -X100574285Y-124203083D02* -G01* -X100591623Y-124208343D01* -X100607611Y-124216889D01* -X100621617Y-124228383D01* -X100633111Y-124242389D01* -X100641657Y-124258377D01* -X100646917Y-124275715D01* -X100649000Y-124296866D01* -X100649000Y-125603134D01* -X100646917Y-125624285D01* -X100641657Y-125641623D01* -X100633111Y-125657611D01* -X100621617Y-125671617D01* -X100607611Y-125683111D01* -X100591623Y-125691657D01* -X100574285Y-125696917D01* -X100553134Y-125699000D01* -X100246866Y-125699000D01* -X100225715Y-125696917D01* -X100208377Y-125691657D01* -X100192389Y-125683111D01* -X100178383Y-125671617D01* -X100166889Y-125657611D01* -X100158343Y-125641623D01* -X100153083Y-125624285D01* -X100151000Y-125603134D01* -X100151000Y-124296866D01* -X100153083Y-124275715D01* -X100158343Y-124258377D01* -X100166889Y-124242389D01* -X100178383Y-124228383D01* -X100192389Y-124216889D01* -X100208377Y-124208343D01* -X100225715Y-124203083D01* -X100246866Y-124201000D01* -X100553134Y-124201000D01* -X100574285Y-124203083D01* -G37* -G36* -X101224285Y-124203083D02* -G01* -X101241623Y-124208343D01* -X101257611Y-124216889D01* -X101271617Y-124228383D01* -X101283111Y-124242389D01* -X101291657Y-124258377D01* -X101296917Y-124275715D01* -X101299000Y-124296866D01* -X101299000Y-125603134D01* -X101296917Y-125624285D01* -X101291657Y-125641623D01* -X101283111Y-125657611D01* -X101271617Y-125671617D01* -X101257611Y-125683111D01* -X101241623Y-125691657D01* -X101224285Y-125696917D01* -X101203134Y-125699000D01* -X100896866Y-125699000D01* -X100875715Y-125696917D01* -X100858377Y-125691657D01* -X100842389Y-125683111D01* -X100828383Y-125671617D01* -X100816889Y-125657611D01* -X100808343Y-125641623D01* -X100803083Y-125624285D01* -X100801000Y-125603134D01* -X100801000Y-124296866D01* -X100803083Y-124275715D01* -X100808343Y-124258377D01* -X100816889Y-124242389D01* -X100828383Y-124228383D01* -X100842389Y-124216889D01* -X100858377Y-124208343D01* -X100875715Y-124203083D01* -X100896866Y-124201000D01* -X101203134Y-124201000D01* -X101224285Y-124203083D01* -G37* -G36* -X101874285Y-124203083D02* -G01* -X101891623Y-124208343D01* -X101907611Y-124216889D01* -X101921617Y-124228383D01* -X101933111Y-124242389D01* -X101941657Y-124258377D01* -X101946917Y-124275715D01* -X101949000Y-124296866D01* -X101949000Y-125603134D01* -X101946917Y-125624285D01* -X101941657Y-125641623D01* -X101933111Y-125657611D01* -X101921617Y-125671617D01* -X101907611Y-125683111D01* -X101891623Y-125691657D01* -X101874285Y-125696917D01* -X101853134Y-125699000D01* -X101546866Y-125699000D01* -X101525715Y-125696917D01* -X101508377Y-125691657D01* -X101492389Y-125683111D01* -X101478383Y-125671617D01* -X101466889Y-125657611D01* -X101458343Y-125641623D01* -X101453083Y-125624285D01* -X101451000Y-125603134D01* -X101451000Y-124296866D01* -X101453083Y-124275715D01* -X101458343Y-124258377D01* -X101466889Y-124242389D01* -X101478383Y-124228383D01* -X101492389Y-124216889D01* -X101508377Y-124208343D01* -X101525715Y-124203083D01* -X101546866Y-124201000D01* -X101853134Y-124201000D01* -X101874285Y-124203083D01* -G37* -G36* -X102524285Y-124203083D02* -G01* -X102541623Y-124208343D01* -X102557611Y-124216889D01* -X102571617Y-124228383D01* -X102583111Y-124242389D01* -X102591657Y-124258377D01* -X102596917Y-124275715D01* -X102599000Y-124296866D01* -X102599000Y-125603134D01* -X102596917Y-125624285D01* -X102591657Y-125641623D01* -X102583111Y-125657611D01* -X102571617Y-125671617D01* -X102557611Y-125683111D01* -X102541623Y-125691657D01* -X102524285Y-125696917D01* -X102503134Y-125699000D01* -X102196866Y-125699000D01* -X102175715Y-125696917D01* -X102158377Y-125691657D01* -X102142389Y-125683111D01* -X102128383Y-125671617D01* -X102116889Y-125657611D01* -X102108343Y-125641623D01* -X102103083Y-125624285D01* -X102101000Y-125603134D01* -X102101000Y-124296866D01* -X102103083Y-124275715D01* -X102108343Y-124258377D01* -X102116889Y-124242389D01* -X102128383Y-124228383D01* -X102142389Y-124216889D01* -X102158377Y-124208343D01* -X102175715Y-124203083D01* -X102196866Y-124201000D01* -X102503134Y-124201000D01* -X102524285Y-124203083D01* -G37* -G36* -X106524285Y-124203083D02* -G01* -X106541623Y-124208343D01* -X106557611Y-124216889D01* -X106571617Y-124228383D01* -X106583111Y-124242389D01* -X106591657Y-124258377D01* -X106596917Y-124275715D01* -X106599000Y-124296866D01* -X106599000Y-125603134D01* -X106596917Y-125624285D01* -X106591657Y-125641623D01* -X106583111Y-125657611D01* -X106571617Y-125671617D01* -X106557611Y-125683111D01* -X106541623Y-125691657D01* -X106524285Y-125696917D01* -X106503134Y-125699000D01* -X106196866Y-125699000D01* -X106175715Y-125696917D01* -X106158377Y-125691657D01* -X106142389Y-125683111D01* -X106128383Y-125671617D01* -X106116889Y-125657611D01* -X106108343Y-125641623D01* -X106103083Y-125624285D01* -X106101000Y-125603134D01* -X106101000Y-124296866D01* -X106103083Y-124275715D01* -X106108343Y-124258377D01* -X106116889Y-124242389D01* -X106128383Y-124228383D01* -X106142389Y-124216889D01* -X106158377Y-124208343D01* -X106175715Y-124203083D01* -X106196866Y-124201000D01* -X106503134Y-124201000D01* -X106524285Y-124203083D01* -G37* -G36* -X107174285Y-124203083D02* -G01* -X107191623Y-124208343D01* -X107207611Y-124216889D01* -X107221617Y-124228383D01* -X107233111Y-124242389D01* -X107241657Y-124258377D01* -X107246917Y-124275715D01* -X107249000Y-124296866D01* -X107249000Y-125603134D01* -X107246917Y-125624285D01* -X107241657Y-125641623D01* -X107233111Y-125657611D01* -X107221617Y-125671617D01* -X107207611Y-125683111D01* -X107191623Y-125691657D01* -X107174285Y-125696917D01* -X107153134Y-125699000D01* -X106846866Y-125699000D01* -X106825715Y-125696917D01* -X106808377Y-125691657D01* -X106792389Y-125683111D01* -X106778383Y-125671617D01* -X106766889Y-125657611D01* -X106758343Y-125641623D01* -X106753083Y-125624285D01* -X106751000Y-125603134D01* -X106751000Y-124296866D01* -X106753083Y-124275715D01* -X106758343Y-124258377D01* -X106766889Y-124242389D01* -X106778383Y-124228383D01* -X106792389Y-124216889D01* -X106808377Y-124208343D01* -X106825715Y-124203083D01* -X106846866Y-124201000D01* -X107153134Y-124201000D01* -X107174285Y-124203083D01* -G37* -G36* -X107824285Y-124203083D02* -G01* -X107841623Y-124208343D01* -X107857611Y-124216889D01* -X107871617Y-124228383D01* -X107883111Y-124242389D01* -X107891657Y-124258377D01* -X107896917Y-124275715D01* -X107899000Y-124296866D01* -X107899000Y-125603134D01* -X107896917Y-125624285D01* -X107891657Y-125641623D01* -X107883111Y-125657611D01* -X107871617Y-125671617D01* -X107857611Y-125683111D01* -X107841623Y-125691657D01* -X107824285Y-125696917D01* -X107803134Y-125699000D01* -X107496866Y-125699000D01* -X107475715Y-125696917D01* -X107458377Y-125691657D01* -X107442389Y-125683111D01* -X107428383Y-125671617D01* -X107416889Y-125657611D01* -X107408343Y-125641623D01* -X107403083Y-125624285D01* -X107401000Y-125603134D01* -X107401000Y-124296866D01* -X107403083Y-124275715D01* -X107408343Y-124258377D01* -X107416889Y-124242389D01* -X107428383Y-124228383D01* -X107442389Y-124216889D01* -X107458377Y-124208343D01* -X107475715Y-124203083D01* -X107496866Y-124201000D01* -X107803134Y-124201000D01* -X107824285Y-124203083D01* -G37* -G36* -X108474285Y-124203083D02* -G01* -X108491623Y-124208343D01* -X108507611Y-124216889D01* -X108521617Y-124228383D01* -X108533111Y-124242389D01* -X108541657Y-124258377D01* -X108546917Y-124275715D01* -X108549000Y-124296866D01* -X108549000Y-125603134D01* -X108546917Y-125624285D01* -X108541657Y-125641623D01* -X108533111Y-125657611D01* -X108521617Y-125671617D01* -X108507611Y-125683111D01* -X108491623Y-125691657D01* -X108474285Y-125696917D01* -X108453134Y-125699000D01* -X108146866Y-125699000D01* -X108125715Y-125696917D01* -X108108377Y-125691657D01* -X108092389Y-125683111D01* -X108078383Y-125671617D01* -X108066889Y-125657611D01* -X108058343Y-125641623D01* -X108053083Y-125624285D01* -X108051000Y-125603134D01* -X108051000Y-124296866D01* -X108053083Y-124275715D01* -X108058343Y-124258377D01* -X108066889Y-124242389D01* -X108078383Y-124228383D01* -X108092389Y-124216889D01* -X108108377Y-124208343D01* -X108125715Y-124203083D01* -X108146866Y-124201000D01* -X108453134Y-124201000D01* -X108474285Y-124203083D01* -G37* -G36* -X109124285Y-124203083D02* -G01* -X109141623Y-124208343D01* -X109157611Y-124216889D01* -X109171617Y-124228383D01* -X109183111Y-124242389D01* -X109191657Y-124258377D01* -X109196917Y-124275715D01* -X109199000Y-124296866D01* -X109199000Y-125603134D01* -X109196917Y-125624285D01* -X109191657Y-125641623D01* -X109183111Y-125657611D01* -X109171617Y-125671617D01* -X109157611Y-125683111D01* -X109141623Y-125691657D01* -X109124285Y-125696917D01* -X109103134Y-125699000D01* -X108796866Y-125699000D01* -X108775715Y-125696917D01* -X108758377Y-125691657D01* -X108742389Y-125683111D01* -X108728383Y-125671617D01* -X108716889Y-125657611D01* -X108708343Y-125641623D01* -X108703083Y-125624285D01* -X108701000Y-125603134D01* -X108701000Y-124296866D01* -X108703083Y-124275715D01* -X108708343Y-124258377D01* -X108716889Y-124242389D01* -X108728383Y-124228383D01* -X108742389Y-124216889D01* -X108758377Y-124208343D01* -X108775715Y-124203083D01* -X108796866Y-124201000D01* -X109103134Y-124201000D01* -X109124285Y-124203083D01* -G37* -G36* -X109774285Y-124203083D02* -G01* -X109791623Y-124208343D01* -X109807611Y-124216889D01* -X109821617Y-124228383D01* -X109833111Y-124242389D01* -X109841657Y-124258377D01* -X109846917Y-124275715D01* -X109849000Y-124296866D01* -X109849000Y-125603134D01* -X109846917Y-125624285D01* -X109841657Y-125641623D01* -X109833111Y-125657611D01* -X109821617Y-125671617D01* -X109807611Y-125683111D01* -X109791623Y-125691657D01* -X109774285Y-125696917D01* -X109753134Y-125699000D01* -X109446866Y-125699000D01* -X109425715Y-125696917D01* -X109408377Y-125691657D01* -X109392389Y-125683111D01* -X109378383Y-125671617D01* -X109366889Y-125657611D01* -X109358343Y-125641623D01* -X109353083Y-125624285D01* -X109351000Y-125603134D01* -X109351000Y-124296866D01* -X109353083Y-124275715D01* -X109358343Y-124258377D01* -X109366889Y-124242389D01* -X109378383Y-124228383D01* -X109392389Y-124216889D01* -X109408377Y-124208343D01* -X109425715Y-124203083D01* -X109446866Y-124201000D01* -X109753134Y-124201000D01* -X109774285Y-124203083D01* -G37* -G36* -X110424285Y-124203083D02* -G01* -X110441623Y-124208343D01* -X110457611Y-124216889D01* -X110471617Y-124228383D01* -X110483111Y-124242389D01* -X110491657Y-124258377D01* -X110496917Y-124275715D01* -X110499000Y-124296866D01* -X110499000Y-125603134D01* -X110496917Y-125624285D01* -X110491657Y-125641623D01* -X110483111Y-125657611D01* -X110471617Y-125671617D01* -X110457611Y-125683111D01* -X110441623Y-125691657D01* -X110424285Y-125696917D01* -X110403134Y-125699000D01* -X110096866Y-125699000D01* -X110075715Y-125696917D01* -X110058377Y-125691657D01* -X110042389Y-125683111D01* -X110028383Y-125671617D01* -X110016889Y-125657611D01* -X110008343Y-125641623D01* -X110003083Y-125624285D01* -X110001000Y-125603134D01* -X110001000Y-124296866D01* -X110003083Y-124275715D01* -X110008343Y-124258377D01* -X110016889Y-124242389D01* -X110028383Y-124228383D01* -X110042389Y-124216889D01* -X110058377Y-124208343D01* -X110075715Y-124203083D01* -X110096866Y-124201000D01* -X110403134Y-124201000D01* -X110424285Y-124203083D01* -G37* -G36* -X111074285Y-124203083D02* -G01* -X111091623Y-124208343D01* -X111107611Y-124216889D01* -X111121617Y-124228383D01* -X111133111Y-124242389D01* -X111141657Y-124258377D01* -X111146917Y-124275715D01* -X111149000Y-124296866D01* -X111149000Y-125603134D01* -X111146917Y-125624285D01* -X111141657Y-125641623D01* -X111133111Y-125657611D01* -X111121617Y-125671617D01* -X111107611Y-125683111D01* -X111091623Y-125691657D01* -X111074285Y-125696917D01* -X111053134Y-125699000D01* -X110746866Y-125699000D01* -X110725715Y-125696917D01* -X110708377Y-125691657D01* -X110692389Y-125683111D01* -X110678383Y-125671617D01* -X110666889Y-125657611D01* -X110658343Y-125641623D01* -X110653083Y-125624285D01* -X110651000Y-125603134D01* -X110651000Y-124296866D01* -X110653083Y-124275715D01* -X110658343Y-124258377D01* -X110666889Y-124242389D01* -X110678383Y-124228383D01* -X110692389Y-124216889D01* -X110708377Y-124208343D01* -X110725715Y-124203083D01* -X110746866Y-124201000D01* -X111053134Y-124201000D01* -X111074285Y-124203083D01* -G37* -G36* -X111724285Y-124203083D02* -G01* -X111741623Y-124208343D01* -X111757611Y-124216889D01* -X111771617Y-124228383D01* -X111783111Y-124242389D01* -X111791657Y-124258377D01* -X111796917Y-124275715D01* -X111799000Y-124296866D01* -X111799000Y-125603134D01* -X111796917Y-125624285D01* -X111791657Y-125641623D01* -X111783111Y-125657611D01* -X111771617Y-125671617D01* -X111757611Y-125683111D01* -X111741623Y-125691657D01* -X111724285Y-125696917D01* -X111703134Y-125699000D01* -X111396866Y-125699000D01* -X111375715Y-125696917D01* -X111358377Y-125691657D01* -X111342389Y-125683111D01* -X111328383Y-125671617D01* -X111316889Y-125657611D01* -X111308343Y-125641623D01* -X111303083Y-125624285D01* -X111301000Y-125603134D01* -X111301000Y-124296866D01* -X111303083Y-124275715D01* -X111308343Y-124258377D01* -X111316889Y-124242389D01* -X111328383Y-124228383D01* -X111342389Y-124216889D01* -X111358377Y-124208343D01* -X111375715Y-124203083D01* -X111396866Y-124201000D01* -X111703134Y-124201000D01* -X111724285Y-124203083D01* -G37* -G36* -X133294082Y-124480215D02* -G01* -X133342006Y-124494752D01* -X133386168Y-124518357D01* -X133424876Y-124550124D01* -X133456643Y-124588832D01* -X133480248Y-124632994D01* -X133494785Y-124680918D01* -X133500000Y-124733867D01* -X133500000Y-125366133D01* -X133494785Y-125419082D01* -X133480248Y-125467006D01* -X133456643Y-125511168D01* -X133424876Y-125549876D01* -X133386168Y-125581643D01* -X133342006Y-125605248D01* -X133294082Y-125619785D01* -X133241133Y-125625000D01* -X132258867Y-125625000D01* -X132205918Y-125619785D01* -X132157994Y-125605248D01* -X132113832Y-125581643D01* -X132075124Y-125549876D01* -X132043357Y-125511168D01* -X132019752Y-125467006D01* -X132005215Y-125419082D01* -X132000000Y-125366133D01* -X132000000Y-124733867D01* -X132005215Y-124680918D01* -X132019752Y-124632994D01* -X132043357Y-124588832D01* -X132075124Y-124550124D01* -X132113832Y-124518357D01* -X132157994Y-124494752D01* -X132205918Y-124480215D01* -X132258867Y-124475000D01* -X133241133Y-124475000D01* -X133294082Y-124480215D01* -G37* -G36* -X140644082Y-124480215D02* -G01* -X140692006Y-124494752D01* -X140736168Y-124518357D01* -X140774876Y-124550124D01* -X140806643Y-124588832D01* -X140830248Y-124632994D01* -X140844785Y-124680918D01* -X140850000Y-124733867D01* -X140850000Y-125366133D01* -X140844785Y-125419082D01* -X140830248Y-125467006D01* -X140806643Y-125511168D01* -X140774876Y-125549876D01* -X140736168Y-125581643D01* -X140692006Y-125605248D01* -X140644082Y-125619785D01* -X140591133Y-125625000D01* -X139608867Y-125625000D01* -X139555918Y-125619785D01* -X139507994Y-125605248D01* -X139463832Y-125581643D01* -X139425124Y-125549876D01* -X139393357Y-125511168D01* -X139369752Y-125467006D01* -X139355215Y-125419082D01* -X139350000Y-125366133D01* -X139350000Y-124733867D01* -X139355215Y-124680918D01* -X139369752Y-124632994D01* -X139393357Y-124588832D01* -X139425124Y-124550124D01* -X139463832Y-124518357D01* -X139507994Y-124494752D01* -X139555918Y-124480215D01* -X139608867Y-124475000D01* -X140591133Y-124475000D01* -X140644082Y-124480215D01* -G37* -G36* -X130894082Y-124480215D02* -G01* -X130942006Y-124494752D01* -X130986168Y-124518357D01* -X131024876Y-124550124D01* -X131056643Y-124588832D01* -X131080248Y-124632994D01* -X131094785Y-124680918D01* -X131100000Y-124733867D01* -X131100000Y-125366133D01* -X131094785Y-125419082D01* -X131080248Y-125467006D01* -X131056643Y-125511168D01* -X131024876Y-125549876D01* -X130986168Y-125581643D01* -X130942006Y-125605248D01* -X130894082Y-125619785D01* -X130841133Y-125625000D01* -X129858867Y-125625000D01* -X129805918Y-125619785D01* -X129757994Y-125605248D01* -X129713832Y-125581643D01* -X129675124Y-125549876D01* -X129643357Y-125511168D01* -X129619752Y-125467006D01* -X129605215Y-125419082D01* -X129600000Y-125366133D01* -X129600000Y-124733867D01* -X129605215Y-124680918D01* -X129619752Y-124632994D01* -X129643357Y-124588832D01* -X129675124Y-124550124D01* -X129713832Y-124518357D01* -X129757994Y-124494752D01* -X129805918Y-124480215D01* -X129858867Y-124475000D01* -X130841133Y-124475000D01* -X130894082Y-124480215D01* -G37* -G36* -X135769388Y-124704014D02* -G01* -X135805589Y-124714995D01* -X135838945Y-124732825D01* -X135868182Y-124756818D01* -X135892175Y-124786055D01* -X135910005Y-124819411D01* -X135920986Y-124855612D01* -X135925000Y-124896367D01* -X135925000Y-125403633D01* -X135920986Y-125444388D01* -X135910005Y-125480589D01* -X135892175Y-125513945D01* -X135868182Y-125543182D01* -X135838945Y-125567175D01* -X135805589Y-125585005D01* -X135769388Y-125595986D01* -X135728633Y-125600000D01* -X134671367Y-125600000D01* -X134630612Y-125595986D01* -X134594411Y-125585005D01* -X134561055Y-125567175D01* -X134531818Y-125543182D01* -X134507825Y-125513945D01* -X134489995Y-125480589D01* -X134479014Y-125444388D01* -X134475000Y-125403633D01* -X134475000Y-124896367D01* -X134479014Y-124855612D01* -X134489995Y-124819411D01* -X134507825Y-124786055D01* -X134531818Y-124756818D01* -X134561055Y-124732825D01* -X134594411Y-124714995D01* -X134630612Y-124704014D01* -X134671367Y-124700000D01* -X135728633Y-124700000D01* -X135769388Y-124704014D01* -G37* -G36* -X115264511Y-123678534D02* -G01* -X115296019Y-123688092D01* -X115325054Y-123703611D01* -X115350503Y-123724497D01* -X115371389Y-123749946D01* -X115386908Y-123778981D01* -X115396466Y-123810489D01* -X115400000Y-123846367D01* -X115400000Y-124553633D01* -X115396466Y-124589511D01* -X115386908Y-124621019D01* -X115371389Y-124650054D01* -X115350503Y-124675503D01* -X115325054Y-124696389D01* -X115296019Y-124711908D01* -X115264511Y-124721466D01* -X115228633Y-124725000D01* -X114771367Y-124725000D01* -X114735489Y-124721466D01* -X114703981Y-124711908D01* -X114674946Y-124696389D01* -X114649497Y-124675503D01* -X114628611Y-124650054D01* -X114613092Y-124621019D01* -X114603534Y-124589511D01* -X114600000Y-124553633D01* -X114600000Y-123846367D01* -X114603534Y-123810489D01* -X114613092Y-123778981D01* -X114628611Y-123749946D01* -X114649497Y-123724497D01* -X114674946Y-123703611D01* -X114703981Y-123688092D01* -X114735489Y-123678534D01* -X114771367Y-123675000D01* -X115228633Y-123675000D01* -X115264511Y-123678534D01* -G37* -G36* -X116864511Y-123678534D02* -G01* -X116896019Y-123688092D01* -X116925054Y-123703611D01* -X116950503Y-123724497D01* -X116971389Y-123749946D01* -X116986908Y-123778981D01* -X116996466Y-123810489D01* -X117000000Y-123846367D01* -X117000000Y-124553633D01* -X116996466Y-124589511D01* -X116986908Y-124621019D01* -X116971389Y-124650054D01* -X116950503Y-124675503D01* -X116925054Y-124696389D01* -X116896019Y-124711908D01* -X116864511Y-124721466D01* -X116828633Y-124725000D01* -X116371367Y-124725000D01* -X116335489Y-124721466D01* -X116303981Y-124711908D01* -X116274946Y-124696389D01* -X116249497Y-124675503D01* -X116228611Y-124650054D01* -X116213092Y-124621019D01* -X116203534Y-124589511D01* -X116200000Y-124553633D01* -X116200000Y-123846367D01* -X116203534Y-123810489D01* -X116213092Y-123778981D01* -X116228611Y-123749946D01* -X116249497Y-123724497D01* -X116274946Y-123703611D01* -X116303981Y-123688092D01* -X116335489Y-123678534D01* -X116371367Y-123675000D01* -X116828633Y-123675000D01* -X116864511Y-123678534D01* -G37* -G36* -X137869388Y-123754014D02* -G01* -X137905589Y-123764995D01* -X137938945Y-123782825D01* -X137968182Y-123806818D01* -X137992175Y-123836055D01* -X138010005Y-123869411D01* -X138020986Y-123905612D01* -X138025000Y-123946367D01* -X138025000Y-124453633D01* -X138020986Y-124494388D01* -X138010005Y-124530589D01* -X137992175Y-124563945D01* -X137968182Y-124593182D01* -X137938945Y-124617175D01* -X137905589Y-124635005D01* -X137869388Y-124645986D01* -X137828633Y-124650000D01* -X136771367Y-124650000D01* -X136730612Y-124645986D01* -X136694411Y-124635005D01* -X136661055Y-124617175D01* -X136631818Y-124593182D01* -X136607825Y-124563945D01* -X136589995Y-124530589D01* -X136579014Y-124494388D01* -X136575000Y-124453633D01* -X136575000Y-123946367D01* -X136579014Y-123905612D01* -X136589995Y-123869411D01* -X136607825Y-123836055D01* -X136631818Y-123806818D01* -X136661055Y-123782825D01* -X136694411Y-123764995D01* -X136730612Y-123754014D01* -X136771367Y-123750000D01* -X137828633Y-123750000D01* -X137869388Y-123754014D01* -G37* -G36* -X130894082Y-122780215D02* -G01* -X130942006Y-122794752D01* -X130986168Y-122818357D01* -X131024876Y-122850124D01* -X131056643Y-122888832D01* -X131080248Y-122932994D01* -X131094785Y-122980918D01* -X131100000Y-123033867D01* -X131100000Y-123666133D01* -X131094785Y-123719082D01* -X131080248Y-123767006D01* -X131056643Y-123811168D01* -X131024876Y-123849876D01* -X130986168Y-123881643D01* -X130942006Y-123905248D01* -X130894082Y-123919785D01* -X130841133Y-123925000D01* -X129858867Y-123925000D01* -X129805918Y-123919785D01* -X129757994Y-123905248D01* -X129713832Y-123881643D01* -X129675124Y-123849876D01* -X129643357Y-123811168D01* -X129619752Y-123767006D01* -X129605215Y-123719082D01* -X129600000Y-123666133D01* -X129600000Y-123033867D01* -X129605215Y-122980918D01* -X129619752Y-122932994D01* -X129643357Y-122888832D01* -X129675124Y-122850124D01* -X129713832Y-122818357D01* -X129757994Y-122794752D01* -X129805918Y-122780215D01* -X129858867Y-122775000D01* -X130841133Y-122775000D01* -X130894082Y-122780215D01* -G37* -G36* -X133294082Y-122780215D02* -G01* -X133342006Y-122794752D01* -X133386168Y-122818357D01* -X133424876Y-122850124D01* -X133456643Y-122888832D01* -X133480248Y-122932994D01* -X133494785Y-122980918D01* -X133500000Y-123033867D01* -X133500000Y-123666133D01* -X133494785Y-123719082D01* -X133480248Y-123767006D01* -X133456643Y-123811168D01* -X133424876Y-123849876D01* -X133386168Y-123881643D01* -X133342006Y-123905248D01* -X133294082Y-123919785D01* -X133241133Y-123925000D01* -X132258867Y-123925000D01* -X132205918Y-123919785D01* -X132157994Y-123905248D01* -X132113832Y-123881643D01* -X132075124Y-123849876D01* -X132043357Y-123811168D01* -X132019752Y-123767006D01* -X132005215Y-123719082D01* -X132000000Y-123666133D01* -X132000000Y-123033867D01* -X132005215Y-122980918D01* -X132019752Y-122932994D01* -X132043357Y-122888832D01* -X132075124Y-122850124D01* -X132113832Y-122818357D01* -X132157994Y-122794752D01* -X132205918Y-122780215D01* -X132258867Y-122775000D01* -X133241133Y-122775000D01* -X133294082Y-122780215D01* -G37* -G36* -X140644082Y-122780215D02* -G01* -X140692006Y-122794752D01* -X140736168Y-122818357D01* -X140774876Y-122850124D01* -X140806643Y-122888832D01* -X140830248Y-122932994D01* -X140844785Y-122980918D01* -X140850000Y-123033867D01* -X140850000Y-123666133D01* -X140844785Y-123719082D01* -X140830248Y-123767006D01* -X140806643Y-123811168D01* -X140774876Y-123849876D01* -X140736168Y-123881643D01* -X140692006Y-123905248D01* -X140644082Y-123919785D01* -X140591133Y-123925000D01* -X139608867Y-123925000D01* -X139555918Y-123919785D01* -X139507994Y-123905248D01* -X139463832Y-123881643D01* -X139425124Y-123849876D01* -X139393357Y-123811168D01* -X139369752Y-123767006D01* -X139355215Y-123719082D01* -X139350000Y-123666133D01* -X139350000Y-123033867D01* -X139355215Y-122980918D01* -X139369752Y-122932994D01* -X139393357Y-122888832D01* -X139425124Y-122850124D01* -X139463832Y-122818357D01* -X139507994Y-122794752D01* -X139555918Y-122780215D01* -X139608867Y-122775000D01* -X140591133Y-122775000D01* -X140644082Y-122780215D01* -G37* -G36* -X135769388Y-122804014D02* -G01* -X135805589Y-122814995D01* -X135838945Y-122832825D01* -X135868182Y-122856818D01* -X135892175Y-122886055D01* -X135910005Y-122919411D01* -X135920986Y-122955612D01* -X135925000Y-122996367D01* -X135925000Y-123503633D01* -X135920986Y-123544388D01* -X135910005Y-123580589D01* -X135892175Y-123613945D01* -X135868182Y-123643182D01* -X135838945Y-123667175D01* -X135805589Y-123685005D01* -X135769388Y-123695986D01* -X135728633Y-123700000D01* -X134671367Y-123700000D01* -X134630612Y-123695986D01* -X134594411Y-123685005D01* -X134561055Y-123667175D01* -X134531818Y-123643182D01* -X134507825Y-123613945D01* -X134489995Y-123580589D01* -X134479014Y-123544388D01* -X134475000Y-123503633D01* -X134475000Y-122996367D01* -X134479014Y-122955612D01* -X134489995Y-122919411D01* -X134507825Y-122886055D01* -X134531818Y-122856818D01* -X134561055Y-122832825D01* -X134594411Y-122814995D01* -X134630612Y-122804014D01* -X134671367Y-122800000D01* -X135728633Y-122800000D01* -X135769388Y-122804014D01* -G37* -G36* -X118159329Y-122379255D02* -G01* -X118197868Y-122390945D01* -X118233388Y-122409931D01* -X118264520Y-122435480D01* -X118290069Y-122466612D01* -X118309055Y-122502132D01* -X118320745Y-122540671D01* -X118325000Y-122583868D01* -X118325000Y-123116132D01* -X118320745Y-123159329D01* -X118309055Y-123197868D01* -X118290069Y-123233388D01* -X118264520Y-123264520D01* -X118233388Y-123290069D01* -X118197868Y-123309055D01* -X118159329Y-123320745D01* -X118116132Y-123325000D01* -X117483868Y-123325000D01* -X117440671Y-123320745D01* -X117402132Y-123309055D01* -X117366612Y-123290069D01* -X117335480Y-123264520D01* -X117309931Y-123233388D01* -X117290945Y-123197868D01* -X117279255Y-123159329D01* -X117275000Y-123116132D01* -X117275000Y-122583868D01* -X117279255Y-122540671D01* -X117290945Y-122502132D01* -X117309931Y-122466612D01* -X117335480Y-122435480D01* -X117366612Y-122409931D01* -X117402132Y-122390945D01* -X117440671Y-122379255D01* -X117483868Y-122375000D01* -X118116132Y-122375000D01* -X118159329Y-122379255D01* -G37* -G36* -X115168906Y-122161997D02* -G01* -X115185406Y-122167002D01* -X115200606Y-122175127D01* -X115213935Y-122186065D01* -X115224873Y-122199394D01* -X115232998Y-122214594D01* -X115238003Y-122231094D01* -X115240000Y-122251367D01* -X115240000Y-123148633D01* -X115238003Y-123168906D01* -X115232998Y-123185406D01* -X115224873Y-123200606D01* -X115213935Y-123213935D01* -X115200606Y-123224873D01* -X115185406Y-123232998D01* -X115168906Y-123238003D01* -X115148633Y-123240000D01* -X114851367Y-123240000D01* -X114831094Y-123238003D01* -X114814594Y-123232998D01* -X114799394Y-123224873D01* -X114786065Y-123213935D01* -X114775127Y-123200606D01* -X114767002Y-123185406D01* -X114761997Y-123168906D01* -X114760000Y-123148633D01* -X114760000Y-122251367D01* -X114761997Y-122231094D01* -X114767002Y-122214594D01* -X114775127Y-122199394D01* -X114786065Y-122186065D01* -X114799394Y-122175127D01* -X114814594Y-122167002D01* -X114831094Y-122161997D01* -X114851367Y-122160000D01* -X115148633Y-122160000D01* -X115168906Y-122161997D01* -G37* -G36* -X116468906Y-122161997D02* -G01* -X116485406Y-122167002D01* -X116500606Y-122175127D01* -X116513935Y-122186065D01* -X116524873Y-122199394D01* -X116532998Y-122214594D01* -X116538003Y-122231094D01* -X116540000Y-122251367D01* -X116540000Y-123148633D01* -X116538003Y-123168906D01* -X116532998Y-123185406D01* -X116524873Y-123200606D01* -X116513935Y-123213935D01* -X116500606Y-123224873D01* -X116485406Y-123232998D01* -X116468906Y-123238003D01* -X116448633Y-123240000D01* -X116151367Y-123240000D01* -X116131094Y-123238003D01* -X116114594Y-123232998D01* -X116099394Y-123224873D01* -X116086065Y-123213935D01* -X116075127Y-123200606D01* -X116067002Y-123185406D01* -X116061997Y-123168906D01* -X116060000Y-123148633D01* -X116060000Y-122251367D01* -X116061997Y-122231094D01* -X116067002Y-122214594D01* -X116075127Y-122199394D01* -X116086065Y-122186065D01* -X116099394Y-122175127D01* -X116114594Y-122167002D01* -X116131094Y-122161997D01* -X116151367Y-122160000D01* -X116448633Y-122160000D01* -X116468906Y-122161997D01* -G37* -G36* -X60219228Y-120534078D02* -G01* -X60439596Y-120577911D01* -X60669564Y-120673167D01* -X60876525Y-120811455D01* -X60876527Y-120811457D01* -X60876530Y-120811459D01* -X61052541Y-120987470D01* -X61052543Y-120987473D01* -X61052545Y-120987475D01* -X61190833Y-121194436D01* -X61286089Y-121424404D01* -X61318530Y-121587500D01* -X61332818Y-121659329D01* -X61334650Y-121668542D01* -X61334650Y-121917458D01* -X61286089Y-122161596D01* -X61190833Y-122391564D01* -X61052545Y-122598525D01* -X61052543Y-122598527D01* -X61052541Y-122598530D01* -X60876530Y-122774541D01* -X60876527Y-122774543D01* -X60876525Y-122774545D01* -X60669564Y-122912833D01* -X60439596Y-123008089D01* -X60222503Y-123051271D01* -X60195459Y-123056650D01* -X59946541Y-123056650D01* -X59919497Y-123051271D01* -X59702404Y-123008089D01* -X59472436Y-122912833D01* -X59265475Y-122774545D01* -X59265473Y-122774543D01* -X59265470Y-122774541D01* -X59089459Y-122598530D01* -X59089457Y-122598527D01* -X59089455Y-122598525D01* -X58951167Y-122391564D01* -X58855911Y-122161596D01* -X58807350Y-121917458D01* -X58807350Y-121668542D01* -X58809183Y-121659329D01* -X58823470Y-121587500D01* -X58855911Y-121424404D01* -X58951167Y-121194436D01* -X59089455Y-120987475D01* -X59089457Y-120987473D01* -X59089459Y-120987470D01* -X59265470Y-120811459D01* -X59265473Y-120811457D01* -X59265475Y-120811455D01* -X59472436Y-120673167D01* -X59702404Y-120577911D01* -X59922772Y-120534078D01* -X59946541Y-120529350D01* -X60195459Y-120529350D01* -X60219228Y-120534078D01* -G37* -G36* -X65934228Y-120534078D02* -G01* -X66154596Y-120577911D01* -X66384564Y-120673167D01* -X66591525Y-120811455D01* -X66591527Y-120811457D01* -X66591530Y-120811459D01* -X66767541Y-120987470D01* -X66767543Y-120987473D01* -X66767545Y-120987475D01* -X66905833Y-121194436D01* -X67001089Y-121424404D01* -X67033530Y-121587500D01* -X67047818Y-121659329D01* -X67049650Y-121668542D01* -X67049650Y-121917458D01* -X67001089Y-122161596D01* -X66905833Y-122391564D01* -X66767545Y-122598525D01* -X66767543Y-122598527D01* -X66767541Y-122598530D01* -X66591530Y-122774541D01* -X66591527Y-122774543D01* -X66591525Y-122774545D01* -X66384564Y-122912833D01* -X66154596Y-123008089D01* -X65937503Y-123051271D01* -X65910459Y-123056650D01* -X65661541Y-123056650D01* -X65634497Y-123051271D01* -X65417404Y-123008089D01* -X65187436Y-122912833D01* -X64980475Y-122774545D01* -X64980473Y-122774543D01* -X64980470Y-122774541D01* -X64804459Y-122598530D01* -X64804457Y-122598527D01* -X64804455Y-122598525D01* -X64666167Y-122391564D01* -X64570911Y-122161596D01* -X64522350Y-121917458D01* -X64522350Y-121668542D01* -X64524183Y-121659329D01* -X64538470Y-121587500D01* -X64570911Y-121424404D01* -X64666167Y-121194436D01* -X64804455Y-120987475D01* -X64804457Y-120987473D01* -X64804459Y-120987470D01* -X64980470Y-120811459D01* -X64980473Y-120811457D01* -X64980475Y-120811455D01* -X65187436Y-120673167D01* -X65417404Y-120577911D01* -X65637772Y-120534078D01* -X65661541Y-120529350D01* -X65910459Y-120529350D01* -X65934228Y-120534078D01* -G37* -G36* -X118159329Y-120879255D02* -G01* -X118197868Y-120890945D01* -X118233388Y-120909931D01* -X118264520Y-120935480D01* -X118290069Y-120966612D01* -X118309055Y-121002132D01* -X118320745Y-121040671D01* -X118325000Y-121083868D01* -X118325000Y-121616132D01* -X118320745Y-121659329D01* -X118309055Y-121697868D01* -X118290069Y-121733388D01* -X118264520Y-121764520D01* -X118233388Y-121790069D01* -X118197868Y-121809055D01* -X118159329Y-121820745D01* -X118116132Y-121825000D01* -X117483868Y-121825000D01* -X117440671Y-121820745D01* -X117402132Y-121809055D01* -X117366612Y-121790069D01* -X117335480Y-121764520D01* -X117309931Y-121733388D01* -X117290945Y-121697868D01* -X117279255Y-121659329D01* -X117275000Y-121616132D01* -X117275000Y-121083868D01* -X117279255Y-121040671D01* -X117290945Y-121002132D01* -X117309931Y-120966612D01* -X117335480Y-120935480D01* -X117366612Y-120909931D01* -X117402132Y-120890945D01* -X117440671Y-120879255D01* -X117483868Y-120875000D01* -X118116132Y-120875000D01* -X118159329Y-120879255D01* -G37* -G36* -X115168906Y-120461997D02* -G01* -X115185406Y-120467002D01* -X115200606Y-120475127D01* -X115213935Y-120486065D01* -X115224873Y-120499394D01* -X115232998Y-120514594D01* -X115238003Y-120531094D01* -X115240000Y-120551367D01* -X115240000Y-121448633D01* -X115238003Y-121468906D01* -X115232998Y-121485406D01* -X115224873Y-121500606D01* -X115213935Y-121513935D01* -X115200606Y-121524873D01* -X115185406Y-121532998D01* -X115168906Y-121538003D01* -X115148633Y-121540000D01* -X114851367Y-121540000D01* -X114831094Y-121538003D01* -X114814594Y-121532998D01* -X114799394Y-121524873D01* -X114786065Y-121513935D01* -X114775127Y-121500606D01* -X114767002Y-121485406D01* -X114761997Y-121468906D01* -X114760000Y-121448633D01* -X114760000Y-120551367D01* -X114761997Y-120531094D01* -X114767002Y-120514594D01* -X114775127Y-120499394D01* -X114786065Y-120486065D01* -X114799394Y-120475127D01* -X114814594Y-120467002D01* -X114831094Y-120461997D01* -X114851367Y-120460000D01* -X115148633Y-120460000D01* -X115168906Y-120461997D01* -G37* -G36* -X116468906Y-120461997D02* -G01* -X116485406Y-120467002D01* -X116500606Y-120475127D01* -X116513935Y-120486065D01* -X116524873Y-120499394D01* -X116532998Y-120514594D01* -X116538003Y-120531094D01* -X116540000Y-120551367D01* -X116540000Y-121448633D01* -X116538003Y-121468906D01* -X116532998Y-121485406D01* -X116524873Y-121500606D01* -X116513935Y-121513935D01* -X116500606Y-121524873D01* -X116485406Y-121532998D01* -X116468906Y-121538003D01* -X116448633Y-121540000D01* -X116151367Y-121540000D01* -X116131094Y-121538003D01* -X116114594Y-121532998D01* -X116099394Y-121524873D01* -X116086065Y-121513935D01* -X116075127Y-121500606D01* -X116067002Y-121485406D01* -X116061997Y-121468906D01* -X116060000Y-121448633D01* -X116060000Y-120551367D01* -X116061997Y-120531094D01* -X116067002Y-120514594D01* -X116075127Y-120499394D01* -X116086065Y-120486065D01* -X116099394Y-120475127D01* -X116114594Y-120467002D01* -X116131094Y-120461997D01* -X116151367Y-120460000D01* -X116448633Y-120460000D01* -X116468906Y-120461997D01* -G37* -G36* -X115818906Y-120461997D02* -G01* -X115835406Y-120467002D01* -X115850606Y-120475127D01* -X115863935Y-120486065D01* -X115874873Y-120499394D01* -X115882998Y-120514594D01* -X115888003Y-120531094D01* -X115890000Y-120551367D01* -X115890000Y-121448633D01* -X115888003Y-121468906D01* -X115882998Y-121485406D01* -X115874873Y-121500606D01* -X115863935Y-121513935D01* -X115850606Y-121524873D01* -X115835406Y-121532998D01* -X115818906Y-121538003D01* -X115798633Y-121540000D01* -X115501367Y-121540000D01* -X115481094Y-121538003D01* -X115464594Y-121532998D01* -X115449394Y-121524873D01* -X115436065Y-121513935D01* -X115425127Y-121500606D01* -X115417002Y-121485406D01* -X115411997Y-121468906D01* -X115410000Y-121448633D01* -X115410000Y-120551367D01* -X115411997Y-120531094D01* -X115417002Y-120514594D01* -X115425127Y-120499394D01* -X115436065Y-120486065D01* -X115449394Y-120475127D01* -X115464594Y-120467002D01* -X115481094Y-120461997D01* -X115501367Y-120460000D01* -X115798633Y-120460000D01* -X115818906Y-120461997D01* -G37* -G36* -X104559329Y-120079255D02* -G01* -X104597868Y-120090945D01* -X104633388Y-120109931D01* -X104664520Y-120135480D01* -X104690069Y-120166612D01* -X104709055Y-120202132D01* -X104720745Y-120240671D01* -X104725000Y-120283868D01* -X104725000Y-120816132D01* -X104720745Y-120859329D01* -X104709055Y-120897868D01* -X104690069Y-120933388D01* -X104664520Y-120964520D01* -X104633388Y-120990069D01* -X104597868Y-121009055D01* -X104559329Y-121020745D01* -X104516132Y-121025000D01* -X103883868Y-121025000D01* -X103840671Y-121020745D01* -X103802132Y-121009055D01* -X103766612Y-120990069D01* -X103735480Y-120964520D01* -X103709931Y-120933388D01* -X103690945Y-120897868D01* -X103679255Y-120859329D01* -X103675000Y-120816132D01* -X103675000Y-120283868D01* -X103679255Y-120240671D01* -X103690945Y-120202132D01* -X103709931Y-120166612D01* -X103735480Y-120135480D01* -X103766612Y-120109931D01* -X103802132Y-120090945D01* -X103840671Y-120079255D01* -X103883868Y-120075000D01* -X104516132Y-120075000D01* -X104559329Y-120079255D01* -G37* -G36* -X95359329Y-120079255D02* -G01* -X95397868Y-120090945D01* -X95433388Y-120109931D01* -X95464520Y-120135480D01* -X95490069Y-120166612D01* -X95509055Y-120202132D01* -X95520745Y-120240671D01* -X95525000Y-120283868D01* -X95525000Y-120816132D01* -X95520745Y-120859329D01* -X95509055Y-120897868D01* -X95490069Y-120933388D01* -X95464520Y-120964520D01* -X95433388Y-120990069D01* -X95397868Y-121009055D01* -X95359329Y-121020745D01* -X95316132Y-121025000D01* -X94683868Y-121025000D01* -X94640671Y-121020745D01* -X94602132Y-121009055D01* -X94566612Y-120990069D01* -X94535480Y-120964520D01* -X94509931Y-120933388D01* -X94490945Y-120897868D01* -X94479255Y-120859329D01* -X94475000Y-120816132D01* -X94475000Y-120283868D01* -X94479255Y-120240671D01* -X94490945Y-120202132D01* -X94509931Y-120166612D01* -X94535480Y-120135480D01* -X94566612Y-120109931D01* -X94602132Y-120090945D01* -X94640671Y-120079255D01* -X94683868Y-120075000D01* -X95316132Y-120075000D01* -X95359329Y-120079255D01* -G37* -G36* -X86159329Y-120079255D02* -G01* -X86197868Y-120090945D01* -X86233388Y-120109931D01* -X86264520Y-120135480D01* -X86290069Y-120166612D01* -X86309055Y-120202132D01* -X86320745Y-120240671D01* -X86325000Y-120283868D01* -X86325000Y-120816132D01* -X86320745Y-120859329D01* -X86309055Y-120897868D01* -X86290069Y-120933388D01* -X86264520Y-120964520D01* -X86233388Y-120990069D01* -X86197868Y-121009055D01* -X86159329Y-121020745D01* -X86116132Y-121025000D01* -X85483868Y-121025000D01* -X85440671Y-121020745D01* -X85402132Y-121009055D01* -X85366612Y-120990069D01* -X85335480Y-120964520D01* -X85309931Y-120933388D01* -X85290945Y-120897868D01* -X85279255Y-120859329D01* -X85275000Y-120816132D01* -X85275000Y-120283868D01* -X85279255Y-120240671D01* -X85290945Y-120202132D01* -X85309931Y-120166612D01* -X85335480Y-120135480D01* -X85366612Y-120109931D01* -X85402132Y-120090945D01* -X85440671Y-120079255D01* -X85483868Y-120075000D01* -X86116132Y-120075000D01* -X86159329Y-120079255D01* -G37* -G36* -X76959329Y-120079255D02* -G01* -X76997868Y-120090945D01* -X77033388Y-120109931D01* -X77064520Y-120135480D01* -X77090069Y-120166612D01* -X77109055Y-120202132D01* -X77120745Y-120240671D01* -X77125000Y-120283868D01* -X77125000Y-120816132D01* -X77120745Y-120859329D01* -X77109055Y-120897868D01* -X77090069Y-120933388D01* -X77064520Y-120964520D01* -X77033388Y-120990069D01* -X76997868Y-121009055D01* -X76959329Y-121020745D01* -X76916132Y-121025000D01* -X76283868Y-121025000D01* -X76240671Y-121020745D01* -X76202132Y-121009055D01* -X76166612Y-120990069D01* -X76135480Y-120964520D01* -X76109931Y-120933388D01* -X76090945Y-120897868D01* -X76079255Y-120859329D01* -X76075000Y-120816132D01* -X76075000Y-120283868D01* -X76079255Y-120240671D01* -X76090945Y-120202132D01* -X76109931Y-120166612D01* -X76135480Y-120135480D01* -X76166612Y-120109931D01* -X76202132Y-120090945D01* -X76240671Y-120079255D01* -X76283868Y-120075000D01* -X76916132Y-120075000D01* -X76959329Y-120079255D01* -G37* -G36* -X67775611Y-119703134D02* -G01* -X67857702Y-119719463D01* -X67916138Y-119743668D01* -X67961707Y-119762543D01* -X68055310Y-119825087D01* -X68134913Y-119904690D01* -X68197457Y-119998293D01* -X68197458Y-119998296D01* -X68240537Y-120102298D01* -X68262500Y-120212713D01* -X68262500Y-120325287D01* -X68240537Y-120435702D01* -X68222095Y-120480224D01* -X68197457Y-120539707D01* -X68134913Y-120633310D01* -X68055310Y-120712913D01* -X67961707Y-120775457D01* -X67938145Y-120785216D01* -X67857702Y-120818537D01* -X67784092Y-120833179D01* -X67747288Y-120840500D01* -X67634712Y-120840500D01* -X67597908Y-120833179D01* -X67524298Y-120818537D01* -X67443855Y-120785216D01* -X67420293Y-120775457D01* -X67326690Y-120712913D01* -X67247087Y-120633310D01* -X67184543Y-120539707D01* -X67159905Y-120480224D01* -X67141463Y-120435702D01* -X67119500Y-120325287D01* -X67119500Y-120212713D01* -X67141463Y-120102298D01* -X67184542Y-119998296D01* -X67184543Y-119998293D01* -X67247087Y-119904690D01* -X67326690Y-119825087D01* -X67420293Y-119762543D01* -X67465862Y-119743668D01* -X67524298Y-119719463D01* -X67606389Y-119703134D01* -X67634712Y-119697500D01* -X67747288Y-119697500D01* -X67775611Y-119703134D01* -G37* -G36* -X63957542Y-119424119D02* -G01* -X64018067Y-119436158D01* -X64103578Y-119471578D01* -X64103581Y-119471580D01* -X64180544Y-119523005D01* -X64245995Y-119588456D01* -X64297420Y-119665419D01* -X64297422Y-119665422D01* -X64332842Y-119750933D01* -X64335151Y-119762542D01* -X64347592Y-119825086D01* -X64350900Y-119841720D01* -X64350900Y-119934280D01* -X64332842Y-120025067D01* -X64297422Y-120110578D01* -X64297420Y-120110581D01* -X64245995Y-120187544D01* -X64180544Y-120252995D01* -X64160832Y-120266166D01* -X64103578Y-120304422D01* -X64018067Y-120339842D01* -X63957542Y-120351881D01* -X63927281Y-120357900D01* -X63834719Y-120357900D01* -X63804458Y-120351881D01* -X63743933Y-120339842D01* -X63658422Y-120304422D01* -X63601168Y-120266166D01* -X63581456Y-120252995D01* -X63516005Y-120187544D01* -X63464580Y-120110581D01* -X63464578Y-120110578D01* -X63429158Y-120025067D01* -X63411100Y-119934280D01* -X63411100Y-119841720D01* -X63414409Y-119825086D01* -X63426849Y-119762542D01* -X63429158Y-119750933D01* -X63464578Y-119665422D01* -X63464580Y-119665419D01* -X63516005Y-119588456D01* -X63581456Y-119523005D01* -X63658419Y-119471580D01* -X63658422Y-119471578D01* -X63743933Y-119436158D01* -X63804458Y-119424119D01* -X63834719Y-119418100D01* -X63927281Y-119418100D01* -X63957542Y-119424119D01* -G37* -G36* -X62687542Y-119424119D02* -G01* -X62748067Y-119436158D01* -X62833578Y-119471578D01* -X62833581Y-119471580D01* -X62910544Y-119523005D01* -X62975995Y-119588456D01* -X63027420Y-119665419D01* -X63027422Y-119665422D01* -X63062842Y-119750933D01* -X63065151Y-119762542D01* -X63077592Y-119825086D01* -X63080900Y-119841720D01* -X63080900Y-119934280D01* -X63062842Y-120025067D01* -X63027422Y-120110578D01* -X63027420Y-120110581D01* -X62975995Y-120187544D01* -X62910544Y-120252995D01* -X62890832Y-120266166D01* -X62833578Y-120304422D01* -X62748067Y-120339842D01* -X62687542Y-120351881D01* -X62657281Y-120357900D01* -X62564719Y-120357900D01* -X62534458Y-120351881D01* -X62473933Y-120339842D01* -X62388422Y-120304422D01* -X62331168Y-120266166D01* -X62311456Y-120252995D01* -X62246005Y-120187544D01* -X62194580Y-120110581D01* -X62194578Y-120110578D01* -X62159158Y-120025067D01* -X62141100Y-119934280D01* -X62141100Y-119841720D01* -X62144409Y-119825086D01* -X62156849Y-119762542D01* -X62159158Y-119750933D01* -X62194578Y-119665422D01* -X62194580Y-119665419D01* -X62246005Y-119588456D01* -X62311456Y-119523005D01* -X62388419Y-119471580D01* -X62388422Y-119471578D01* -X62473933Y-119436158D01* -X62534458Y-119424119D01* -X62564719Y-119418100D01* -X62657281Y-119418100D01* -X62687542Y-119424119D01* -G37* -G36* -X61417542Y-119424119D02* -G01* -X61478067Y-119436158D01* -X61563578Y-119471578D01* -X61563581Y-119471580D01* -X61640544Y-119523005D01* -X61705995Y-119588456D01* -X61757420Y-119665419D01* -X61757422Y-119665422D01* -X61792842Y-119750933D01* -X61795151Y-119762542D01* -X61807592Y-119825086D01* -X61810900Y-119841720D01* -X61810900Y-119934280D01* -X61792842Y-120025067D01* -X61757422Y-120110578D01* -X61757420Y-120110581D01* -X61705995Y-120187544D01* -X61640544Y-120252995D01* -X61620832Y-120266166D01* -X61563578Y-120304422D01* -X61478067Y-120339842D01* -X61417542Y-120351881D01* -X61387281Y-120357900D01* -X61294719Y-120357900D01* -X61264458Y-120351881D01* -X61203933Y-120339842D01* -X61118422Y-120304422D01* -X61061168Y-120266166D01* -X61041456Y-120252995D01* -X60976005Y-120187544D01* -X60924580Y-120110581D01* -X60924578Y-120110578D01* -X60889158Y-120025067D01* -X60871100Y-119934280D01* -X60871100Y-119841720D01* -X60874409Y-119825086D01* -X60886849Y-119762542D01* -X60889158Y-119750933D01* -X60924578Y-119665422D01* -X60924580Y-119665419D01* -X60976005Y-119588456D01* -X61041456Y-119523005D01* -X61118419Y-119471580D01* -X61118422Y-119471578D01* -X61203933Y-119436158D01* -X61264458Y-119424119D01* -X61294719Y-119418100D01* -X61387281Y-119418100D01* -X61417542Y-119424119D01* -G37* -G36* -X66497542Y-119424119D02* -G01* -X66558067Y-119436158D01* -X66643578Y-119471578D01* -X66643581Y-119471580D01* -X66720544Y-119523005D01* -X66785995Y-119588456D01* -X66837420Y-119665419D01* -X66837422Y-119665422D01* -X66872842Y-119750933D01* -X66875151Y-119762542D01* -X66887592Y-119825086D01* -X66890900Y-119841720D01* -X66890900Y-119934280D01* -X66872842Y-120025067D01* -X66837422Y-120110578D01* -X66837420Y-120110581D01* -X66785995Y-120187544D01* -X66720544Y-120252995D01* -X66700832Y-120266166D01* -X66643578Y-120304422D01* -X66558067Y-120339842D01* -X66497542Y-120351881D01* -X66467281Y-120357900D01* -X66374719Y-120357900D01* -X66344458Y-120351881D01* -X66283933Y-120339842D01* -X66198422Y-120304422D01* -X66141168Y-120266166D01* -X66121456Y-120252995D01* -X66056005Y-120187544D01* -X66004580Y-120110581D01* -X66004578Y-120110578D01* -X65969158Y-120025067D01* -X65951100Y-119934280D01* -X65951100Y-119841720D01* -X65954409Y-119825086D01* -X65966849Y-119762542D01* -X65969158Y-119750933D01* -X66004578Y-119665422D01* -X66004580Y-119665419D01* -X66056005Y-119588456D01* -X66121456Y-119523005D01* -X66198419Y-119471580D01* -X66198422Y-119471578D01* -X66283933Y-119436158D01* -X66344458Y-119424119D01* -X66374719Y-119418100D01* -X66467281Y-119418100D01* -X66497542Y-119424119D01* -G37* -G36* -X65227542Y-119424119D02* -G01* -X65288067Y-119436158D01* -X65373578Y-119471578D01* -X65373581Y-119471580D01* -X65450544Y-119523005D01* -X65515995Y-119588456D01* -X65567420Y-119665419D01* -X65567422Y-119665422D01* -X65602842Y-119750933D01* -X65605151Y-119762542D01* -X65617592Y-119825086D01* -X65620900Y-119841720D01* -X65620900Y-119934280D01* -X65602842Y-120025067D01* -X65567422Y-120110578D01* -X65567420Y-120110581D01* -X65515995Y-120187544D01* -X65450544Y-120252995D01* -X65430832Y-120266166D01* -X65373578Y-120304422D01* -X65288067Y-120339842D01* -X65227542Y-120351881D01* -X65197281Y-120357900D01* -X65104719Y-120357900D01* -X65074458Y-120351881D01* -X65013933Y-120339842D01* -X64928422Y-120304422D01* -X64871168Y-120266166D01* -X64851456Y-120252995D01* -X64786005Y-120187544D01* -X64734580Y-120110581D01* -X64734578Y-120110578D01* -X64699158Y-120025067D01* -X64681100Y-119934280D01* -X64681100Y-119841720D01* -X64684409Y-119825086D01* -X64696849Y-119762542D01* -X64699158Y-119750933D01* -X64734578Y-119665422D01* -X64734580Y-119665419D01* -X64786005Y-119588456D01* -X64851456Y-119523005D01* -X64928419Y-119471580D01* -X64928422Y-119471578D01* -X65013933Y-119436158D01* -X65074458Y-119424119D01* -X65104719Y-119418100D01* -X65197281Y-119418100D01* -X65227542Y-119424119D01* -G37* -G36* -X60164092Y-118688821D02* -G01* -X60237702Y-118703463D01* -X60318145Y-118736784D01* -X60341707Y-118746543D01* -X60435310Y-118809087D01* -X60514913Y-118888690D01* -X60577457Y-118982293D01* -X60577458Y-118982296D01* -X60620537Y-119086298D01* -X60635179Y-119159908D01* -X60642500Y-119196712D01* -X60642500Y-119309288D01* -X60637617Y-119333834D01* -X60620537Y-119419702D01* -X60599049Y-119471578D01* -X60577457Y-119523707D01* -X60514913Y-119617310D01* -X60435310Y-119696913D01* -X60341707Y-119759457D01* -X60318145Y-119769216D01* -X60237702Y-119802537D01* -X60164092Y-119817179D01* -X60127288Y-119824500D01* -X60014712Y-119824500D01* -X59977908Y-119817179D01* -X59904298Y-119802537D01* -X59823855Y-119769216D01* -X59800293Y-119759457D01* -X59706690Y-119696913D01* -X59627087Y-119617310D01* -X59564543Y-119523707D01* -X59542951Y-119471578D01* -X59521463Y-119419702D01* -X59504383Y-119333834D01* -X59499500Y-119309288D01* -X59499500Y-119196712D01* -X59506821Y-119159908D01* -X59521463Y-119086298D01* -X59564542Y-118982296D01* -X59564543Y-118982293D01* -X59627087Y-118888690D01* -X59706690Y-118809087D01* -X59800293Y-118746543D01* -X59823855Y-118736784D01* -X59904298Y-118703463D01* -X59977908Y-118688821D01* -X60014712Y-118681500D01* -X60127288Y-118681500D01* -X60164092Y-118688821D01* -G37* -G36* -X78274285Y-118303083D02* -G01* -X78291623Y-118308343D01* -X78307611Y-118316889D01* -X78321617Y-118328383D01* -X78333111Y-118342389D01* -X78341657Y-118358377D01* -X78346917Y-118375715D01* -X78349000Y-118396866D01* -X78349000Y-119703134D01* -X78346917Y-119724285D01* -X78341657Y-119741623D01* -X78333111Y-119757611D01* -X78321617Y-119771617D01* -X78307611Y-119783111D01* -X78291623Y-119791657D01* -X78274285Y-119796917D01* -X78253134Y-119799000D01* -X77946866Y-119799000D01* -X77925715Y-119796917D01* -X77908377Y-119791657D01* -X77892389Y-119783111D01* -X77878383Y-119771617D01* -X77866889Y-119757611D01* -X77858343Y-119741623D01* -X77853083Y-119724285D01* -X77851000Y-119703134D01* -X77851000Y-118396866D01* -X77853083Y-118375715D01* -X77858343Y-118358377D01* -X77866889Y-118342389D01* -X77878383Y-118328383D01* -X77892389Y-118316889D01* -X77908377Y-118308343D01* -X77925715Y-118303083D01* -X77946866Y-118301000D01* -X78253134Y-118301000D01* -X78274285Y-118303083D01* -G37* -G36* -X84124285Y-118303083D02* -G01* -X84141623Y-118308343D01* -X84157611Y-118316889D01* -X84171617Y-118328383D01* -X84183111Y-118342389D01* -X84191657Y-118358377D01* -X84196917Y-118375715D01* -X84199000Y-118396866D01* -X84199000Y-119703134D01* -X84196917Y-119724285D01* -X84191657Y-119741623D01* -X84183111Y-119757611D01* -X84171617Y-119771617D01* -X84157611Y-119783111D01* -X84141623Y-119791657D01* -X84124285Y-119796917D01* -X84103134Y-119799000D01* -X83796866Y-119799000D01* -X83775715Y-119796917D01* -X83758377Y-119791657D01* -X83742389Y-119783111D01* -X83728383Y-119771617D01* -X83716889Y-119757611D01* -X83708343Y-119741623D01* -X83703083Y-119724285D01* -X83701000Y-119703134D01* -X83701000Y-118396866D01* -X83703083Y-118375715D01* -X83708343Y-118358377D01* -X83716889Y-118342389D01* -X83728383Y-118328383D01* -X83742389Y-118316889D01* -X83758377Y-118308343D01* -X83775715Y-118303083D01* -X83796866Y-118301000D01* -X84103134Y-118301000D01* -X84124285Y-118303083D01* -G37* -G36* -X78924285Y-118303083D02* -G01* -X78941623Y-118308343D01* -X78957611Y-118316889D01* -X78971617Y-118328383D01* -X78983111Y-118342389D01* -X78991657Y-118358377D01* -X78996917Y-118375715D01* -X78999000Y-118396866D01* -X78999000Y-119703134D01* -X78996917Y-119724285D01* -X78991657Y-119741623D01* -X78983111Y-119757611D01* -X78971617Y-119771617D01* -X78957611Y-119783111D01* -X78941623Y-119791657D01* -X78924285Y-119796917D01* -X78903134Y-119799000D01* -X78596866Y-119799000D01* -X78575715Y-119796917D01* -X78558377Y-119791657D01* -X78542389Y-119783111D01* -X78528383Y-119771617D01* -X78516889Y-119757611D01* -X78508343Y-119741623D01* -X78503083Y-119724285D01* -X78501000Y-119703134D01* -X78501000Y-118396866D01* -X78503083Y-118375715D01* -X78508343Y-118358377D01* -X78516889Y-118342389D01* -X78528383Y-118328383D01* -X78542389Y-118316889D01* -X78558377Y-118308343D01* -X78575715Y-118303083D01* -X78596866Y-118301000D01* -X78903134Y-118301000D01* -X78924285Y-118303083D01* -G37* -G36* -X111724285Y-118303083D02* -G01* -X111741623Y-118308343D01* -X111757611Y-118316889D01* -X111771617Y-118328383D01* -X111783111Y-118342389D01* -X111791657Y-118358377D01* -X111796917Y-118375715D01* -X111799000Y-118396866D01* -X111799000Y-119703134D01* -X111796917Y-119724285D01* -X111791657Y-119741623D01* -X111783111Y-119757611D01* -X111771617Y-119771617D01* -X111757611Y-119783111D01* -X111741623Y-119791657D01* -X111724285Y-119796917D01* -X111703134Y-119799000D01* -X111396866Y-119799000D01* -X111375715Y-119796917D01* -X111358377Y-119791657D01* -X111342389Y-119783111D01* -X111328383Y-119771617D01* -X111316889Y-119757611D01* -X111308343Y-119741623D01* -X111303083Y-119724285D01* -X111301000Y-119703134D01* -X111301000Y-118396866D01* -X111303083Y-118375715D01* -X111308343Y-118358377D01* -X111316889Y-118342389D01* -X111328383Y-118328383D01* -X111342389Y-118316889D01* -X111358377Y-118308343D01* -X111375715Y-118303083D01* -X111396866Y-118301000D01* -X111703134Y-118301000D01* -X111724285Y-118303083D01* -G37* -G36* -X111074285Y-118303083D02* -G01* -X111091623Y-118308343D01* -X111107611Y-118316889D01* -X111121617Y-118328383D01* -X111133111Y-118342389D01* -X111141657Y-118358377D01* -X111146917Y-118375715D01* -X111149000Y-118396866D01* -X111149000Y-119703134D01* -X111146917Y-119724285D01* -X111141657Y-119741623D01* -X111133111Y-119757611D01* -X111121617Y-119771617D01* -X111107611Y-119783111D01* -X111091623Y-119791657D01* -X111074285Y-119796917D01* -X111053134Y-119799000D01* -X110746866Y-119799000D01* -X110725715Y-119796917D01* -X110708377Y-119791657D01* -X110692389Y-119783111D01* -X110678383Y-119771617D01* -X110666889Y-119757611D01* -X110658343Y-119741623D01* -X110653083Y-119724285D01* -X110651000Y-119703134D01* -X110651000Y-118396866D01* -X110653083Y-118375715D01* -X110658343Y-118358377D01* -X110666889Y-118342389D01* -X110678383Y-118328383D01* -X110692389Y-118316889D01* -X110708377Y-118308343D01* -X110725715Y-118303083D01* -X110746866Y-118301000D01* -X111053134Y-118301000D01* -X111074285Y-118303083D01* -G37* -G36* -X110424285Y-118303083D02* -G01* -X110441623Y-118308343D01* -X110457611Y-118316889D01* -X110471617Y-118328383D01* -X110483111Y-118342389D01* -X110491657Y-118358377D01* -X110496917Y-118375715D01* -X110499000Y-118396866D01* -X110499000Y-119703134D01* -X110496917Y-119724285D01* -X110491657Y-119741623D01* -X110483111Y-119757611D01* -X110471617Y-119771617D01* -X110457611Y-119783111D01* -X110441623Y-119791657D01* -X110424285Y-119796917D01* -X110403134Y-119799000D01* -X110096866Y-119799000D01* -X110075715Y-119796917D01* -X110058377Y-119791657D01* -X110042389Y-119783111D01* -X110028383Y-119771617D01* -X110016889Y-119757611D01* -X110008343Y-119741623D01* -X110003083Y-119724285D01* -X110001000Y-119703134D01* -X110001000Y-118396866D01* -X110003083Y-118375715D01* -X110008343Y-118358377D01* -X110016889Y-118342389D01* -X110028383Y-118328383D01* -X110042389Y-118316889D01* -X110058377Y-118308343D01* -X110075715Y-118303083D01* -X110096866Y-118301000D01* -X110403134Y-118301000D01* -X110424285Y-118303083D01* -G37* -G36* -X79574285Y-118303083D02* -G01* -X79591623Y-118308343D01* -X79607611Y-118316889D01* -X79621617Y-118328383D01* -X79633111Y-118342389D01* -X79641657Y-118358377D01* -X79646917Y-118375715D01* -X79649000Y-118396866D01* -X79649000Y-119703134D01* -X79646917Y-119724285D01* -X79641657Y-119741623D01* -X79633111Y-119757611D01* -X79621617Y-119771617D01* -X79607611Y-119783111D01* -X79591623Y-119791657D01* -X79574285Y-119796917D01* -X79553134Y-119799000D01* -X79246866Y-119799000D01* -X79225715Y-119796917D01* -X79208377Y-119791657D01* -X79192389Y-119783111D01* -X79178383Y-119771617D01* -X79166889Y-119757611D01* -X79158343Y-119741623D01* -X79153083Y-119724285D01* -X79151000Y-119703134D01* -X79151000Y-118396866D01* -X79153083Y-118375715D01* -X79158343Y-118358377D01* -X79166889Y-118342389D01* -X79178383Y-118328383D01* -X79192389Y-118316889D01* -X79208377Y-118308343D01* -X79225715Y-118303083D01* -X79246866Y-118301000D01* -X79553134Y-118301000D01* -X79574285Y-118303083D01* -G37* -G36* -X80224285Y-118303083D02* -G01* -X80241623Y-118308343D01* -X80257611Y-118316889D01* -X80271617Y-118328383D01* -X80283111Y-118342389D01* -X80291657Y-118358377D01* -X80296917Y-118375715D01* -X80299000Y-118396866D01* -X80299000Y-119703134D01* -X80296917Y-119724285D01* -X80291657Y-119741623D01* -X80283111Y-119757611D01* -X80271617Y-119771617D01* -X80257611Y-119783111D01* -X80241623Y-119791657D01* -X80224285Y-119796917D01* -X80203134Y-119799000D01* -X79896866Y-119799000D01* -X79875715Y-119796917D01* -X79858377Y-119791657D01* -X79842389Y-119783111D01* -X79828383Y-119771617D01* -X79816889Y-119757611D01* -X79808343Y-119741623D01* -X79803083Y-119724285D01* -X79801000Y-119703134D01* -X79801000Y-118396866D01* -X79803083Y-118375715D01* -X79808343Y-118358377D01* -X79816889Y-118342389D01* -X79828383Y-118328383D01* -X79842389Y-118316889D01* -X79858377Y-118308343D01* -X79875715Y-118303083D01* -X79896866Y-118301000D01* -X80203134Y-118301000D01* -X80224285Y-118303083D01* -G37* -G36* -X80874285Y-118303083D02* -G01* -X80891623Y-118308343D01* -X80907611Y-118316889D01* -X80921617Y-118328383D01* -X80933111Y-118342389D01* -X80941657Y-118358377D01* -X80946917Y-118375715D01* -X80949000Y-118396866D01* -X80949000Y-119703134D01* -X80946917Y-119724285D01* -X80941657Y-119741623D01* -X80933111Y-119757611D01* -X80921617Y-119771617D01* -X80907611Y-119783111D01* -X80891623Y-119791657D01* -X80874285Y-119796917D01* -X80853134Y-119799000D01* -X80546866Y-119799000D01* -X80525715Y-119796917D01* -X80508377Y-119791657D01* -X80492389Y-119783111D01* -X80478383Y-119771617D01* -X80466889Y-119757611D01* -X80458343Y-119741623D01* -X80453083Y-119724285D01* -X80451000Y-119703134D01* -X80451000Y-118396866D01* -X80453083Y-118375715D01* -X80458343Y-118358377D01* -X80466889Y-118342389D01* -X80478383Y-118328383D01* -X80492389Y-118316889D01* -X80508377Y-118308343D01* -X80525715Y-118303083D01* -X80546866Y-118301000D01* -X80853134Y-118301000D01* -X80874285Y-118303083D01* -G37* -G36* -X81524285Y-118303083D02* -G01* -X81541623Y-118308343D01* -X81557611Y-118316889D01* -X81571617Y-118328383D01* -X81583111Y-118342389D01* -X81591657Y-118358377D01* -X81596917Y-118375715D01* -X81599000Y-118396866D01* -X81599000Y-119703134D01* -X81596917Y-119724285D01* -X81591657Y-119741623D01* -X81583111Y-119757611D01* -X81571617Y-119771617D01* -X81557611Y-119783111D01* -X81541623Y-119791657D01* -X81524285Y-119796917D01* -X81503134Y-119799000D01* -X81196866Y-119799000D01* -X81175715Y-119796917D01* -X81158377Y-119791657D01* -X81142389Y-119783111D01* -X81128383Y-119771617D01* -X81116889Y-119757611D01* -X81108343Y-119741623D01* -X81103083Y-119724285D01* -X81101000Y-119703134D01* -X81101000Y-118396866D01* -X81103083Y-118375715D01* -X81108343Y-118358377D01* -X81116889Y-118342389D01* -X81128383Y-118328383D01* -X81142389Y-118316889D01* -X81158377Y-118308343D01* -X81175715Y-118303083D01* -X81196866Y-118301000D01* -X81503134Y-118301000D01* -X81524285Y-118303083D01* -G37* -G36* -X82174285Y-118303083D02* -G01* -X82191623Y-118308343D01* -X82207611Y-118316889D01* -X82221617Y-118328383D01* -X82233111Y-118342389D01* -X82241657Y-118358377D01* -X82246917Y-118375715D01* -X82249000Y-118396866D01* -X82249000Y-119703134D01* -X82246917Y-119724285D01* -X82241657Y-119741623D01* -X82233111Y-119757611D01* -X82221617Y-119771617D01* -X82207611Y-119783111D01* -X82191623Y-119791657D01* -X82174285Y-119796917D01* -X82153134Y-119799000D01* -X81846866Y-119799000D01* -X81825715Y-119796917D01* -X81808377Y-119791657D01* -X81792389Y-119783111D01* -X81778383Y-119771617D01* -X81766889Y-119757611D01* -X81758343Y-119741623D01* -X81753083Y-119724285D01* -X81751000Y-119703134D01* -X81751000Y-118396866D01* -X81753083Y-118375715D01* -X81758343Y-118358377D01* -X81766889Y-118342389D01* -X81778383Y-118328383D01* -X81792389Y-118316889D01* -X81808377Y-118308343D01* -X81825715Y-118303083D01* -X81846866Y-118301000D01* -X82153134Y-118301000D01* -X82174285Y-118303083D01* -G37* -G36* -X82824285Y-118303083D02* -G01* -X82841623Y-118308343D01* -X82857611Y-118316889D01* -X82871617Y-118328383D01* -X82883111Y-118342389D01* -X82891657Y-118358377D01* -X82896917Y-118375715D01* -X82899000Y-118396866D01* -X82899000Y-119703134D01* -X82896917Y-119724285D01* -X82891657Y-119741623D01* -X82883111Y-119757611D01* -X82871617Y-119771617D01* -X82857611Y-119783111D01* -X82841623Y-119791657D01* -X82824285Y-119796917D01* -X82803134Y-119799000D01* -X82496866Y-119799000D01* -X82475715Y-119796917D01* -X82458377Y-119791657D01* -X82442389Y-119783111D01* -X82428383Y-119771617D01* -X82416889Y-119757611D01* -X82408343Y-119741623D01* -X82403083Y-119724285D01* -X82401000Y-119703134D01* -X82401000Y-118396866D01* -X82403083Y-118375715D01* -X82408343Y-118358377D01* -X82416889Y-118342389D01* -X82428383Y-118328383D01* -X82442389Y-118316889D01* -X82458377Y-118308343D01* -X82475715Y-118303083D01* -X82496866Y-118301000D01* -X82803134Y-118301000D01* -X82824285Y-118303083D01* -G37* -G36* -X83474285Y-118303083D02* -G01* -X83491623Y-118308343D01* -X83507611Y-118316889D01* -X83521617Y-118328383D01* -X83533111Y-118342389D01* -X83541657Y-118358377D01* -X83546917Y-118375715D01* -X83549000Y-118396866D01* -X83549000Y-119703134D01* -X83546917Y-119724285D01* -X83541657Y-119741623D01* -X83533111Y-119757611D01* -X83521617Y-119771617D01* -X83507611Y-119783111D01* -X83491623Y-119791657D01* -X83474285Y-119796917D01* -X83453134Y-119799000D01* -X83146866Y-119799000D01* -X83125715Y-119796917D01* -X83108377Y-119791657D01* -X83092389Y-119783111D01* -X83078383Y-119771617D01* -X83066889Y-119757611D01* -X83058343Y-119741623D01* -X83053083Y-119724285D01* -X83051000Y-119703134D01* -X83051000Y-118396866D01* -X83053083Y-118375715D01* -X83058343Y-118358377D01* -X83066889Y-118342389D01* -X83078383Y-118328383D01* -X83092389Y-118316889D01* -X83108377Y-118308343D01* -X83125715Y-118303083D01* -X83146866Y-118301000D01* -X83453134Y-118301000D01* -X83474285Y-118303083D01* -G37* -G36* -X87474285Y-118303083D02* -G01* -X87491623Y-118308343D01* -X87507611Y-118316889D01* -X87521617Y-118328383D01* -X87533111Y-118342389D01* -X87541657Y-118358377D01* -X87546917Y-118375715D01* -X87549000Y-118396866D01* -X87549000Y-119703134D01* -X87546917Y-119724285D01* -X87541657Y-119741623D01* -X87533111Y-119757611D01* -X87521617Y-119771617D01* -X87507611Y-119783111D01* -X87491623Y-119791657D01* -X87474285Y-119796917D01* -X87453134Y-119799000D01* -X87146866Y-119799000D01* -X87125715Y-119796917D01* -X87108377Y-119791657D01* -X87092389Y-119783111D01* -X87078383Y-119771617D01* -X87066889Y-119757611D01* -X87058343Y-119741623D01* -X87053083Y-119724285D01* -X87051000Y-119703134D01* -X87051000Y-118396866D01* -X87053083Y-118375715D01* -X87058343Y-118358377D01* -X87066889Y-118342389D01* -X87078383Y-118328383D01* -X87092389Y-118316889D01* -X87108377Y-118308343D01* -X87125715Y-118303083D01* -X87146866Y-118301000D01* -X87453134Y-118301000D01* -X87474285Y-118303083D01* -G37* -G36* -X88124285Y-118303083D02* -G01* -X88141623Y-118308343D01* -X88157611Y-118316889D01* -X88171617Y-118328383D01* -X88183111Y-118342389D01* -X88191657Y-118358377D01* -X88196917Y-118375715D01* -X88199000Y-118396866D01* -X88199000Y-119703134D01* -X88196917Y-119724285D01* -X88191657Y-119741623D01* -X88183111Y-119757611D01* -X88171617Y-119771617D01* -X88157611Y-119783111D01* -X88141623Y-119791657D01* -X88124285Y-119796917D01* -X88103134Y-119799000D01* -X87796866Y-119799000D01* -X87775715Y-119796917D01* -X87758377Y-119791657D01* -X87742389Y-119783111D01* -X87728383Y-119771617D01* -X87716889Y-119757611D01* -X87708343Y-119741623D01* -X87703083Y-119724285D01* -X87701000Y-119703134D01* -X87701000Y-118396866D01* -X87703083Y-118375715D01* -X87708343Y-118358377D01* -X87716889Y-118342389D01* -X87728383Y-118328383D01* -X87742389Y-118316889D01* -X87758377Y-118308343D01* -X87775715Y-118303083D01* -X87796866Y-118301000D01* -X88103134Y-118301000D01* -X88124285Y-118303083D01* -G37* -G36* -X88774285Y-118303083D02* -G01* -X88791623Y-118308343D01* -X88807611Y-118316889D01* -X88821617Y-118328383D01* -X88833111Y-118342389D01* -X88841657Y-118358377D01* -X88846917Y-118375715D01* -X88849000Y-118396866D01* -X88849000Y-119703134D01* -X88846917Y-119724285D01* -X88841657Y-119741623D01* -X88833111Y-119757611D01* -X88821617Y-119771617D01* -X88807611Y-119783111D01* -X88791623Y-119791657D01* -X88774285Y-119796917D01* -X88753134Y-119799000D01* -X88446866Y-119799000D01* -X88425715Y-119796917D01* -X88408377Y-119791657D01* -X88392389Y-119783111D01* -X88378383Y-119771617D01* -X88366889Y-119757611D01* -X88358343Y-119741623D01* -X88353083Y-119724285D01* -X88351000Y-119703134D01* -X88351000Y-118396866D01* -X88353083Y-118375715D01* -X88358343Y-118358377D01* -X88366889Y-118342389D01* -X88378383Y-118328383D01* -X88392389Y-118316889D01* -X88408377Y-118308343D01* -X88425715Y-118303083D01* -X88446866Y-118301000D01* -X88753134Y-118301000D01* -X88774285Y-118303083D01* -G37* -G36* -X89424285Y-118303083D02* -G01* -X89441623Y-118308343D01* -X89457611Y-118316889D01* -X89471617Y-118328383D01* -X89483111Y-118342389D01* -X89491657Y-118358377D01* -X89496917Y-118375715D01* -X89499000Y-118396866D01* -X89499000Y-119703134D01* -X89496917Y-119724285D01* -X89491657Y-119741623D01* -X89483111Y-119757611D01* -X89471617Y-119771617D01* -X89457611Y-119783111D01* -X89441623Y-119791657D01* -X89424285Y-119796917D01* -X89403134Y-119799000D01* -X89096866Y-119799000D01* -X89075715Y-119796917D01* -X89058377Y-119791657D01* -X89042389Y-119783111D01* -X89028383Y-119771617D01* -X89016889Y-119757611D01* -X89008343Y-119741623D01* -X89003083Y-119724285D01* -X89001000Y-119703134D01* -X89001000Y-118396866D01* -X89003083Y-118375715D01* -X89008343Y-118358377D01* -X89016889Y-118342389D01* -X89028383Y-118328383D01* -X89042389Y-118316889D01* -X89058377Y-118308343D01* -X89075715Y-118303083D01* -X89096866Y-118301000D01* -X89403134Y-118301000D01* -X89424285Y-118303083D01* -G37* -G36* -X90074285Y-118303083D02* -G01* -X90091623Y-118308343D01* -X90107611Y-118316889D01* -X90121617Y-118328383D01* -X90133111Y-118342389D01* -X90141657Y-118358377D01* -X90146917Y-118375715D01* -X90149000Y-118396866D01* -X90149000Y-119703134D01* -X90146917Y-119724285D01* -X90141657Y-119741623D01* -X90133111Y-119757611D01* -X90121617Y-119771617D01* -X90107611Y-119783111D01* -X90091623Y-119791657D01* -X90074285Y-119796917D01* -X90053134Y-119799000D01* -X89746866Y-119799000D01* -X89725715Y-119796917D01* -X89708377Y-119791657D01* -X89692389Y-119783111D01* -X89678383Y-119771617D01* -X89666889Y-119757611D01* -X89658343Y-119741623D01* -X89653083Y-119724285D01* -X89651000Y-119703134D01* -X89651000Y-118396866D01* -X89653083Y-118375715D01* -X89658343Y-118358377D01* -X89666889Y-118342389D01* -X89678383Y-118328383D01* -X89692389Y-118316889D01* -X89708377Y-118308343D01* -X89725715Y-118303083D01* -X89746866Y-118301000D01* -X90053134Y-118301000D01* -X90074285Y-118303083D01* -G37* -G36* -X90724285Y-118303083D02* -G01* -X90741623Y-118308343D01* -X90757611Y-118316889D01* -X90771617Y-118328383D01* -X90783111Y-118342389D01* -X90791657Y-118358377D01* -X90796917Y-118375715D01* -X90799000Y-118396866D01* -X90799000Y-119703134D01* -X90796917Y-119724285D01* -X90791657Y-119741623D01* -X90783111Y-119757611D01* -X90771617Y-119771617D01* -X90757611Y-119783111D01* -X90741623Y-119791657D01* -X90724285Y-119796917D01* -X90703134Y-119799000D01* -X90396866Y-119799000D01* -X90375715Y-119796917D01* -X90358377Y-119791657D01* -X90342389Y-119783111D01* -X90328383Y-119771617D01* -X90316889Y-119757611D01* -X90308343Y-119741623D01* -X90303083Y-119724285D01* -X90301000Y-119703134D01* -X90301000Y-118396866D01* -X90303083Y-118375715D01* -X90308343Y-118358377D01* -X90316889Y-118342389D01* -X90328383Y-118328383D01* -X90342389Y-118316889D01* -X90358377Y-118308343D01* -X90375715Y-118303083D01* -X90396866Y-118301000D01* -X90703134Y-118301000D01* -X90724285Y-118303083D01* -G37* -G36* -X91374285Y-118303083D02* -G01* -X91391623Y-118308343D01* -X91407611Y-118316889D01* -X91421617Y-118328383D01* -X91433111Y-118342389D01* -X91441657Y-118358377D01* -X91446917Y-118375715D01* -X91449000Y-118396866D01* -X91449000Y-119703134D01* -X91446917Y-119724285D01* -X91441657Y-119741623D01* -X91433111Y-119757611D01* -X91421617Y-119771617D01* -X91407611Y-119783111D01* -X91391623Y-119791657D01* -X91374285Y-119796917D01* -X91353134Y-119799000D01* -X91046866Y-119799000D01* -X91025715Y-119796917D01* -X91008377Y-119791657D01* -X90992389Y-119783111D01* -X90978383Y-119771617D01* -X90966889Y-119757611D01* -X90958343Y-119741623D01* -X90953083Y-119724285D01* -X90951000Y-119703134D01* -X90951000Y-118396866D01* -X90953083Y-118375715D01* -X90958343Y-118358377D01* -X90966889Y-118342389D01* -X90978383Y-118328383D01* -X90992389Y-118316889D01* -X91008377Y-118308343D01* -X91025715Y-118303083D01* -X91046866Y-118301000D01* -X91353134Y-118301000D01* -X91374285Y-118303083D01* -G37* -G36* -X92024285Y-118303083D02* -G01* -X92041623Y-118308343D01* -X92057611Y-118316889D01* -X92071617Y-118328383D01* -X92083111Y-118342389D01* -X92091657Y-118358377D01* -X92096917Y-118375715D01* -X92099000Y-118396866D01* -X92099000Y-119703134D01* -X92096917Y-119724285D01* -X92091657Y-119741623D01* -X92083111Y-119757611D01* -X92071617Y-119771617D01* -X92057611Y-119783111D01* -X92041623Y-119791657D01* -X92024285Y-119796917D01* -X92003134Y-119799000D01* -X91696866Y-119799000D01* -X91675715Y-119796917D01* -X91658377Y-119791657D01* -X91642389Y-119783111D01* -X91628383Y-119771617D01* -X91616889Y-119757611D01* -X91608343Y-119741623D01* -X91603083Y-119724285D01* -X91601000Y-119703134D01* -X91601000Y-118396866D01* -X91603083Y-118375715D01* -X91608343Y-118358377D01* -X91616889Y-118342389D01* -X91628383Y-118328383D01* -X91642389Y-118316889D01* -X91658377Y-118308343D01* -X91675715Y-118303083D01* -X91696866Y-118301000D01* -X92003134Y-118301000D01* -X92024285Y-118303083D01* -G37* -G36* -X92674285Y-118303083D02* -G01* -X92691623Y-118308343D01* -X92707611Y-118316889D01* -X92721617Y-118328383D01* -X92733111Y-118342389D01* -X92741657Y-118358377D01* -X92746917Y-118375715D01* -X92749000Y-118396866D01* -X92749000Y-119703134D01* -X92746917Y-119724285D01* -X92741657Y-119741623D01* -X92733111Y-119757611D01* -X92721617Y-119771617D01* -X92707611Y-119783111D01* -X92691623Y-119791657D01* -X92674285Y-119796917D01* -X92653134Y-119799000D01* -X92346866Y-119799000D01* -X92325715Y-119796917D01* -X92308377Y-119791657D01* -X92292389Y-119783111D01* -X92278383Y-119771617D01* -X92266889Y-119757611D01* -X92258343Y-119741623D01* -X92253083Y-119724285D01* -X92251000Y-119703134D01* -X92251000Y-118396866D01* -X92253083Y-118375715D01* -X92258343Y-118358377D01* -X92266889Y-118342389D01* -X92278383Y-118328383D01* -X92292389Y-118316889D01* -X92308377Y-118308343D01* -X92325715Y-118303083D01* -X92346866Y-118301000D01* -X92653134Y-118301000D01* -X92674285Y-118303083D01* -G37* -G36* -X93324285Y-118303083D02* -G01* -X93341623Y-118308343D01* -X93357611Y-118316889D01* -X93371617Y-118328383D01* -X93383111Y-118342389D01* -X93391657Y-118358377D01* -X93396917Y-118375715D01* -X93399000Y-118396866D01* -X93399000Y-119703134D01* -X93396917Y-119724285D01* -X93391657Y-119741623D01* -X93383111Y-119757611D01* -X93371617Y-119771617D01* -X93357611Y-119783111D01* -X93341623Y-119791657D01* -X93324285Y-119796917D01* -X93303134Y-119799000D01* -X92996866Y-119799000D01* -X92975715Y-119796917D01* -X92958377Y-119791657D01* -X92942389Y-119783111D01* -X92928383Y-119771617D01* -X92916889Y-119757611D01* -X92908343Y-119741623D01* -X92903083Y-119724285D01* -X92901000Y-119703134D01* -X92901000Y-118396866D01* -X92903083Y-118375715D01* -X92908343Y-118358377D01* -X92916889Y-118342389D01* -X92928383Y-118328383D01* -X92942389Y-118316889D01* -X92958377Y-118308343D01* -X92975715Y-118303083D01* -X92996866Y-118301000D01* -X93303134Y-118301000D01* -X93324285Y-118303083D01* -G37* -G36* -X96674285Y-118303083D02* -G01* -X96691623Y-118308343D01* -X96707611Y-118316889D01* -X96721617Y-118328383D01* -X96733111Y-118342389D01* -X96741657Y-118358377D01* -X96746917Y-118375715D01* -X96749000Y-118396866D01* -X96749000Y-119703134D01* -X96746917Y-119724285D01* -X96741657Y-119741623D01* -X96733111Y-119757611D01* -X96721617Y-119771617D01* -X96707611Y-119783111D01* -X96691623Y-119791657D01* -X96674285Y-119796917D01* -X96653134Y-119799000D01* -X96346866Y-119799000D01* -X96325715Y-119796917D01* -X96308377Y-119791657D01* -X96292389Y-119783111D01* -X96278383Y-119771617D01* -X96266889Y-119757611D01* -X96258343Y-119741623D01* -X96253083Y-119724285D01* -X96251000Y-119703134D01* -X96251000Y-118396866D01* -X96253083Y-118375715D01* -X96258343Y-118358377D01* -X96266889Y-118342389D01* -X96278383Y-118328383D01* -X96292389Y-118316889D01* -X96308377Y-118308343D01* -X96325715Y-118303083D01* -X96346866Y-118301000D01* -X96653134Y-118301000D01* -X96674285Y-118303083D01* -G37* -G36* -X97324285Y-118303083D02* -G01* -X97341623Y-118308343D01* -X97357611Y-118316889D01* -X97371617Y-118328383D01* -X97383111Y-118342389D01* -X97391657Y-118358377D01* -X97396917Y-118375715D01* -X97399000Y-118396866D01* -X97399000Y-119703134D01* -X97396917Y-119724285D01* -X97391657Y-119741623D01* -X97383111Y-119757611D01* -X97371617Y-119771617D01* -X97357611Y-119783111D01* -X97341623Y-119791657D01* -X97324285Y-119796917D01* -X97303134Y-119799000D01* -X96996866Y-119799000D01* -X96975715Y-119796917D01* -X96958377Y-119791657D01* -X96942389Y-119783111D01* -X96928383Y-119771617D01* -X96916889Y-119757611D01* -X96908343Y-119741623D01* -X96903083Y-119724285D01* -X96901000Y-119703134D01* -X96901000Y-118396866D01* -X96903083Y-118375715D01* -X96908343Y-118358377D01* -X96916889Y-118342389D01* -X96928383Y-118328383D01* -X96942389Y-118316889D01* -X96958377Y-118308343D01* -X96975715Y-118303083D01* -X96996866Y-118301000D01* -X97303134Y-118301000D01* -X97324285Y-118303083D01* -G37* -G36* -X97974285Y-118303083D02* -G01* -X97991623Y-118308343D01* -X98007611Y-118316889D01* -X98021617Y-118328383D01* -X98033111Y-118342389D01* -X98041657Y-118358377D01* -X98046917Y-118375715D01* -X98049000Y-118396866D01* -X98049000Y-119703134D01* -X98046917Y-119724285D01* -X98041657Y-119741623D01* -X98033111Y-119757611D01* -X98021617Y-119771617D01* -X98007611Y-119783111D01* -X97991623Y-119791657D01* -X97974285Y-119796917D01* -X97953134Y-119799000D01* -X97646866Y-119799000D01* -X97625715Y-119796917D01* -X97608377Y-119791657D01* -X97592389Y-119783111D01* -X97578383Y-119771617D01* -X97566889Y-119757611D01* -X97558343Y-119741623D01* -X97553083Y-119724285D01* -X97551000Y-119703134D01* -X97551000Y-118396866D01* -X97553083Y-118375715D01* -X97558343Y-118358377D01* -X97566889Y-118342389D01* -X97578383Y-118328383D01* -X97592389Y-118316889D01* -X97608377Y-118308343D01* -X97625715Y-118303083D01* -X97646866Y-118301000D01* -X97953134Y-118301000D01* -X97974285Y-118303083D01* -G37* -G36* -X98624285Y-118303083D02* -G01* -X98641623Y-118308343D01* -X98657611Y-118316889D01* -X98671617Y-118328383D01* -X98683111Y-118342389D01* -X98691657Y-118358377D01* -X98696917Y-118375715D01* -X98699000Y-118396866D01* -X98699000Y-119703134D01* -X98696917Y-119724285D01* -X98691657Y-119741623D01* -X98683111Y-119757611D01* -X98671617Y-119771617D01* -X98657611Y-119783111D01* -X98641623Y-119791657D01* -X98624285Y-119796917D01* -X98603134Y-119799000D01* -X98296866Y-119799000D01* -X98275715Y-119796917D01* -X98258377Y-119791657D01* -X98242389Y-119783111D01* -X98228383Y-119771617D01* -X98216889Y-119757611D01* -X98208343Y-119741623D01* -X98203083Y-119724285D01* -X98201000Y-119703134D01* -X98201000Y-118396866D01* -X98203083Y-118375715D01* -X98208343Y-118358377D01* -X98216889Y-118342389D01* -X98228383Y-118328383D01* -X98242389Y-118316889D01* -X98258377Y-118308343D01* -X98275715Y-118303083D01* -X98296866Y-118301000D01* -X98603134Y-118301000D01* -X98624285Y-118303083D01* -G37* -G36* -X109774285Y-118303083D02* -G01* -X109791623Y-118308343D01* -X109807611Y-118316889D01* -X109821617Y-118328383D01* -X109833111Y-118342389D01* -X109841657Y-118358377D01* -X109846917Y-118375715D01* -X109849000Y-118396866D01* -X109849000Y-119703134D01* -X109846917Y-119724285D01* -X109841657Y-119741623D01* -X109833111Y-119757611D01* -X109821617Y-119771617D01* -X109807611Y-119783111D01* -X109791623Y-119791657D01* -X109774285Y-119796917D01* -X109753134Y-119799000D01* -X109446866Y-119799000D01* -X109425715Y-119796917D01* -X109408377Y-119791657D01* -X109392389Y-119783111D01* -X109378383Y-119771617D01* -X109366889Y-119757611D01* -X109358343Y-119741623D01* -X109353083Y-119724285D01* -X109351000Y-119703134D01* -X109351000Y-118396866D01* -X109353083Y-118375715D01* -X109358343Y-118358377D01* -X109366889Y-118342389D01* -X109378383Y-118328383D01* -X109392389Y-118316889D01* -X109408377Y-118308343D01* -X109425715Y-118303083D01* -X109446866Y-118301000D01* -X109753134Y-118301000D01* -X109774285Y-118303083D01* -G37* -G36* -X99274285Y-118303083D02* -G01* -X99291623Y-118308343D01* -X99307611Y-118316889D01* -X99321617Y-118328383D01* -X99333111Y-118342389D01* -X99341657Y-118358377D01* -X99346917Y-118375715D01* -X99349000Y-118396866D01* -X99349000Y-119703134D01* -X99346917Y-119724285D01* -X99341657Y-119741623D01* -X99333111Y-119757611D01* -X99321617Y-119771617D01* -X99307611Y-119783111D01* -X99291623Y-119791657D01* -X99274285Y-119796917D01* -X99253134Y-119799000D01* -X98946866Y-119799000D01* -X98925715Y-119796917D01* -X98908377Y-119791657D01* -X98892389Y-119783111D01* -X98878383Y-119771617D01* -X98866889Y-119757611D01* -X98858343Y-119741623D01* -X98853083Y-119724285D01* -X98851000Y-119703134D01* -X98851000Y-118396866D01* -X98853083Y-118375715D01* -X98858343Y-118358377D01* -X98866889Y-118342389D01* -X98878383Y-118328383D01* -X98892389Y-118316889D01* -X98908377Y-118308343D01* -X98925715Y-118303083D01* -X98946866Y-118301000D01* -X99253134Y-118301000D01* -X99274285Y-118303083D01* -G37* -G36* -X99924285Y-118303083D02* -G01* -X99941623Y-118308343D01* -X99957611Y-118316889D01* -X99971617Y-118328383D01* -X99983111Y-118342389D01* -X99991657Y-118358377D01* -X99996917Y-118375715D01* -X99999000Y-118396866D01* -X99999000Y-119703134D01* -X99996917Y-119724285D01* -X99991657Y-119741623D01* -X99983111Y-119757611D01* -X99971617Y-119771617D01* -X99957611Y-119783111D01* -X99941623Y-119791657D01* -X99924285Y-119796917D01* -X99903134Y-119799000D01* -X99596866Y-119799000D01* -X99575715Y-119796917D01* -X99558377Y-119791657D01* -X99542389Y-119783111D01* -X99528383Y-119771617D01* -X99516889Y-119757611D01* -X99508343Y-119741623D01* -X99503083Y-119724285D01* -X99501000Y-119703134D01* -X99501000Y-118396866D01* -X99503083Y-118375715D01* -X99508343Y-118358377D01* -X99516889Y-118342389D01* -X99528383Y-118328383D01* -X99542389Y-118316889D01* -X99558377Y-118308343D01* -X99575715Y-118303083D01* -X99596866Y-118301000D01* -X99903134Y-118301000D01* -X99924285Y-118303083D01* -G37* -G36* -X100574285Y-118303083D02* -G01* -X100591623Y-118308343D01* -X100607611Y-118316889D01* -X100621617Y-118328383D01* -X100633111Y-118342389D01* -X100641657Y-118358377D01* -X100646917Y-118375715D01* -X100649000Y-118396866D01* -X100649000Y-119703134D01* -X100646917Y-119724285D01* -X100641657Y-119741623D01* -X100633111Y-119757611D01* -X100621617Y-119771617D01* -X100607611Y-119783111D01* -X100591623Y-119791657D01* -X100574285Y-119796917D01* -X100553134Y-119799000D01* -X100246866Y-119799000D01* -X100225715Y-119796917D01* -X100208377Y-119791657D01* -X100192389Y-119783111D01* -X100178383Y-119771617D01* -X100166889Y-119757611D01* -X100158343Y-119741623D01* -X100153083Y-119724285D01* -X100151000Y-119703134D01* -X100151000Y-118396866D01* -X100153083Y-118375715D01* -X100158343Y-118358377D01* -X100166889Y-118342389D01* -X100178383Y-118328383D01* -X100192389Y-118316889D01* -X100208377Y-118308343D01* -X100225715Y-118303083D01* -X100246866Y-118301000D01* -X100553134Y-118301000D01* -X100574285Y-118303083D01* -G37* -G36* -X101224285Y-118303083D02* -G01* -X101241623Y-118308343D01* -X101257611Y-118316889D01* -X101271617Y-118328383D01* -X101283111Y-118342389D01* -X101291657Y-118358377D01* -X101296917Y-118375715D01* -X101299000Y-118396866D01* -X101299000Y-119703134D01* -X101296917Y-119724285D01* -X101291657Y-119741623D01* -X101283111Y-119757611D01* -X101271617Y-119771617D01* -X101257611Y-119783111D01* -X101241623Y-119791657D01* -X101224285Y-119796917D01* -X101203134Y-119799000D01* -X100896866Y-119799000D01* -X100875715Y-119796917D01* -X100858377Y-119791657D01* -X100842389Y-119783111D01* -X100828383Y-119771617D01* -X100816889Y-119757611D01* -X100808343Y-119741623D01* -X100803083Y-119724285D01* -X100801000Y-119703134D01* -X100801000Y-118396866D01* -X100803083Y-118375715D01* -X100808343Y-118358377D01* -X100816889Y-118342389D01* -X100828383Y-118328383D01* -X100842389Y-118316889D01* -X100858377Y-118308343D01* -X100875715Y-118303083D01* -X100896866Y-118301000D01* -X101203134Y-118301000D01* -X101224285Y-118303083D01* -G37* -G36* -X101874285Y-118303083D02* -G01* -X101891623Y-118308343D01* -X101907611Y-118316889D01* -X101921617Y-118328383D01* -X101933111Y-118342389D01* -X101941657Y-118358377D01* -X101946917Y-118375715D01* -X101949000Y-118396866D01* -X101949000Y-119703134D01* -X101946917Y-119724285D01* -X101941657Y-119741623D01* -X101933111Y-119757611D01* -X101921617Y-119771617D01* -X101907611Y-119783111D01* -X101891623Y-119791657D01* -X101874285Y-119796917D01* -X101853134Y-119799000D01* -X101546866Y-119799000D01* -X101525715Y-119796917D01* -X101508377Y-119791657D01* -X101492389Y-119783111D01* -X101478383Y-119771617D01* -X101466889Y-119757611D01* -X101458343Y-119741623D01* -X101453083Y-119724285D01* -X101451000Y-119703134D01* -X101451000Y-118396866D01* -X101453083Y-118375715D01* -X101458343Y-118358377D01* -X101466889Y-118342389D01* -X101478383Y-118328383D01* -X101492389Y-118316889D01* -X101508377Y-118308343D01* -X101525715Y-118303083D01* -X101546866Y-118301000D01* -X101853134Y-118301000D01* -X101874285Y-118303083D01* -G37* -G36* -X102524285Y-118303083D02* -G01* -X102541623Y-118308343D01* -X102557611Y-118316889D01* -X102571617Y-118328383D01* -X102583111Y-118342389D01* -X102591657Y-118358377D01* -X102596917Y-118375715D01* -X102599000Y-118396866D01* -X102599000Y-119703134D01* -X102596917Y-119724285D01* -X102591657Y-119741623D01* -X102583111Y-119757611D01* -X102571617Y-119771617D01* -X102557611Y-119783111D01* -X102541623Y-119791657D01* -X102524285Y-119796917D01* -X102503134Y-119799000D01* -X102196866Y-119799000D01* -X102175715Y-119796917D01* -X102158377Y-119791657D01* -X102142389Y-119783111D01* -X102128383Y-119771617D01* -X102116889Y-119757611D01* -X102108343Y-119741623D01* -X102103083Y-119724285D01* -X102101000Y-119703134D01* -X102101000Y-118396866D01* -X102103083Y-118375715D01* -X102108343Y-118358377D01* -X102116889Y-118342389D01* -X102128383Y-118328383D01* -X102142389Y-118316889D01* -X102158377Y-118308343D01* -X102175715Y-118303083D01* -X102196866Y-118301000D01* -X102503134Y-118301000D01* -X102524285Y-118303083D01* -G37* -G36* -X105874285Y-118303083D02* -G01* -X105891623Y-118308343D01* -X105907611Y-118316889D01* -X105921617Y-118328383D01* -X105933111Y-118342389D01* -X105941657Y-118358377D01* -X105946917Y-118375715D01* -X105949000Y-118396866D01* -X105949000Y-119703134D01* -X105946917Y-119724285D01* -X105941657Y-119741623D01* -X105933111Y-119757611D01* -X105921617Y-119771617D01* -X105907611Y-119783111D01* -X105891623Y-119791657D01* -X105874285Y-119796917D01* -X105853134Y-119799000D01* -X105546866Y-119799000D01* -X105525715Y-119796917D01* -X105508377Y-119791657D01* -X105492389Y-119783111D01* -X105478383Y-119771617D01* -X105466889Y-119757611D01* -X105458343Y-119741623D01* -X105453083Y-119724285D01* -X105451000Y-119703134D01* -X105451000Y-118396866D01* -X105453083Y-118375715D01* -X105458343Y-118358377D01* -X105466889Y-118342389D01* -X105478383Y-118328383D01* -X105492389Y-118316889D01* -X105508377Y-118308343D01* -X105525715Y-118303083D01* -X105546866Y-118301000D01* -X105853134Y-118301000D01* -X105874285Y-118303083D01* -G37* -G36* -X106524285Y-118303083D02* -G01* -X106541623Y-118308343D01* -X106557611Y-118316889D01* -X106571617Y-118328383D01* -X106583111Y-118342389D01* -X106591657Y-118358377D01* -X106596917Y-118375715D01* -X106599000Y-118396866D01* -X106599000Y-119703134D01* -X106596917Y-119724285D01* -X106591657Y-119741623D01* -X106583111Y-119757611D01* -X106571617Y-119771617D01* -X106557611Y-119783111D01* -X106541623Y-119791657D01* -X106524285Y-119796917D01* -X106503134Y-119799000D01* -X106196866Y-119799000D01* -X106175715Y-119796917D01* -X106158377Y-119791657D01* -X106142389Y-119783111D01* -X106128383Y-119771617D01* -X106116889Y-119757611D01* -X106108343Y-119741623D01* -X106103083Y-119724285D01* -X106101000Y-119703134D01* -X106101000Y-118396866D01* -X106103083Y-118375715D01* -X106108343Y-118358377D01* -X106116889Y-118342389D01* -X106128383Y-118328383D01* -X106142389Y-118316889D01* -X106158377Y-118308343D01* -X106175715Y-118303083D01* -X106196866Y-118301000D01* -X106503134Y-118301000D01* -X106524285Y-118303083D01* -G37* -G36* -X107174285Y-118303083D02* -G01* -X107191623Y-118308343D01* -X107207611Y-118316889D01* -X107221617Y-118328383D01* -X107233111Y-118342389D01* -X107241657Y-118358377D01* -X107246917Y-118375715D01* -X107249000Y-118396866D01* -X107249000Y-119703134D01* -X107246917Y-119724285D01* -X107241657Y-119741623D01* -X107233111Y-119757611D01* -X107221617Y-119771617D01* -X107207611Y-119783111D01* -X107191623Y-119791657D01* -X107174285Y-119796917D01* -X107153134Y-119799000D01* -X106846866Y-119799000D01* -X106825715Y-119796917D01* -X106808377Y-119791657D01* -X106792389Y-119783111D01* -X106778383Y-119771617D01* -X106766889Y-119757611D01* -X106758343Y-119741623D01* -X106753083Y-119724285D01* -X106751000Y-119703134D01* -X106751000Y-118396866D01* -X106753083Y-118375715D01* -X106758343Y-118358377D01* -X106766889Y-118342389D01* -X106778383Y-118328383D01* -X106792389Y-118316889D01* -X106808377Y-118308343D01* -X106825715Y-118303083D01* -X106846866Y-118301000D01* -X107153134Y-118301000D01* -X107174285Y-118303083D01* -G37* -G36* -X107824285Y-118303083D02* -G01* -X107841623Y-118308343D01* -X107857611Y-118316889D01* -X107871617Y-118328383D01* -X107883111Y-118342389D01* -X107891657Y-118358377D01* -X107896917Y-118375715D01* -X107899000Y-118396866D01* -X107899000Y-119703134D01* -X107896917Y-119724285D01* -X107891657Y-119741623D01* -X107883111Y-119757611D01* -X107871617Y-119771617D01* -X107857611Y-119783111D01* -X107841623Y-119791657D01* -X107824285Y-119796917D01* -X107803134Y-119799000D01* -X107496866Y-119799000D01* -X107475715Y-119796917D01* -X107458377Y-119791657D01* -X107442389Y-119783111D01* -X107428383Y-119771617D01* -X107416889Y-119757611D01* -X107408343Y-119741623D01* -X107403083Y-119724285D01* -X107401000Y-119703134D01* -X107401000Y-118396866D01* -X107403083Y-118375715D01* -X107408343Y-118358377D01* -X107416889Y-118342389D01* -X107428383Y-118328383D01* -X107442389Y-118316889D01* -X107458377Y-118308343D01* -X107475715Y-118303083D01* -X107496866Y-118301000D01* -X107803134Y-118301000D01* -X107824285Y-118303083D01* -G37* -G36* -X108474285Y-118303083D02* -G01* -X108491623Y-118308343D01* -X108507611Y-118316889D01* -X108521617Y-118328383D01* -X108533111Y-118342389D01* -X108541657Y-118358377D01* -X108546917Y-118375715D01* -X108549000Y-118396866D01* -X108549000Y-119703134D01* -X108546917Y-119724285D01* -X108541657Y-119741623D01* -X108533111Y-119757611D01* -X108521617Y-119771617D01* -X108507611Y-119783111D01* -X108491623Y-119791657D01* -X108474285Y-119796917D01* -X108453134Y-119799000D01* -X108146866Y-119799000D01* -X108125715Y-119796917D01* -X108108377Y-119791657D01* -X108092389Y-119783111D01* -X108078383Y-119771617D01* -X108066889Y-119757611D01* -X108058343Y-119741623D01* -X108053083Y-119724285D01* -X108051000Y-119703134D01* -X108051000Y-118396866D01* -X108053083Y-118375715D01* -X108058343Y-118358377D01* -X108066889Y-118342389D01* -X108078383Y-118328383D01* -X108092389Y-118316889D01* -X108108377Y-118308343D01* -X108125715Y-118303083D01* -X108146866Y-118301000D01* -X108453134Y-118301000D01* -X108474285Y-118303083D01* -G37* -G36* -X109124285Y-118303083D02* -G01* -X109141623Y-118308343D01* -X109157611Y-118316889D01* -X109171617Y-118328383D01* -X109183111Y-118342389D01* -X109191657Y-118358377D01* -X109196917Y-118375715D01* -X109199000Y-118396866D01* -X109199000Y-119703134D01* -X109196917Y-119724285D01* -X109191657Y-119741623D01* -X109183111Y-119757611D01* -X109171617Y-119771617D01* -X109157611Y-119783111D01* -X109141623Y-119791657D01* -X109124285Y-119796917D01* -X109103134Y-119799000D01* -X108796866Y-119799000D01* -X108775715Y-119796917D01* -X108758377Y-119791657D01* -X108742389Y-119783111D01* -X108728383Y-119771617D01* -X108716889Y-119757611D01* -X108708343Y-119741623D01* -X108703083Y-119724285D01* -X108701000Y-119703134D01* -X108701000Y-118396866D01* -X108703083Y-118375715D01* -X108708343Y-118358377D01* -X108716889Y-118342389D01* -X108728383Y-118328383D01* -X108742389Y-118316889D01* -X108758377Y-118308343D01* -X108775715Y-118303083D01* -X108796866Y-118301000D01* -X109103134Y-118301000D01* -X109124285Y-118303083D01* -G37* -G36* -X104559329Y-118579255D02* -G01* -X104597868Y-118590945D01* -X104633388Y-118609931D01* -X104664520Y-118635480D01* -X104690069Y-118666612D01* -X104709055Y-118702132D01* -X104720745Y-118740671D01* -X104725000Y-118783868D01* -X104725000Y-119316132D01* -X104720745Y-119359329D01* -X104709055Y-119397868D01* -X104690069Y-119433388D01* -X104664520Y-119464520D01* -X104633388Y-119490069D01* -X104597868Y-119509055D01* -X104559329Y-119520745D01* -X104516132Y-119525000D01* -X103883868Y-119525000D01* -X103840671Y-119520745D01* -X103802132Y-119509055D01* -X103766612Y-119490069D01* -X103735480Y-119464520D01* -X103709931Y-119433388D01* -X103690945Y-119397868D01* -X103679255Y-119359329D01* -X103675000Y-119316132D01* -X103675000Y-118783868D01* -X103679255Y-118740671D01* -X103690945Y-118702132D01* -X103709931Y-118666612D01* -X103735480Y-118635480D01* -X103766612Y-118609931D01* -X103802132Y-118590945D01* -X103840671Y-118579255D01* -X103883868Y-118575000D01* -X104516132Y-118575000D01* -X104559329Y-118579255D01* -G37* -G36* -X95359329Y-118579255D02* -G01* -X95397868Y-118590945D01* -X95433388Y-118609931D01* -X95464520Y-118635480D01* -X95490069Y-118666612D01* -X95509055Y-118702132D01* -X95520745Y-118740671D01* -X95525000Y-118783868D01* -X95525000Y-119316132D01* -X95520745Y-119359329D01* -X95509055Y-119397868D01* -X95490069Y-119433388D01* -X95464520Y-119464520D01* -X95433388Y-119490069D01* -X95397868Y-119509055D01* -X95359329Y-119520745D01* -X95316132Y-119525000D01* -X94683868Y-119525000D01* -X94640671Y-119520745D01* -X94602132Y-119509055D01* -X94566612Y-119490069D01* -X94535480Y-119464520D01* -X94509931Y-119433388D01* -X94490945Y-119397868D01* -X94479255Y-119359329D01* -X94475000Y-119316132D01* -X94475000Y-118783868D01* -X94479255Y-118740671D01* -X94490945Y-118702132D01* -X94509931Y-118666612D01* -X94535480Y-118635480D01* -X94566612Y-118609931D01* -X94602132Y-118590945D01* -X94640671Y-118579255D01* -X94683868Y-118575000D01* -X95316132Y-118575000D01* -X95359329Y-118579255D01* -G37* -G36* -X76959329Y-118579255D02* -G01* -X76997868Y-118590945D01* -X77033388Y-118609931D01* -X77064520Y-118635480D01* -X77090069Y-118666612D01* -X77109055Y-118702132D01* -X77120745Y-118740671D01* -X77125000Y-118783868D01* -X77125000Y-119316132D01* -X77120745Y-119359329D01* -X77109055Y-119397868D01* -X77090069Y-119433388D01* -X77064520Y-119464520D01* -X77033388Y-119490069D01* -X76997868Y-119509055D01* -X76959329Y-119520745D01* -X76916132Y-119525000D01* -X76283868Y-119525000D01* -X76240671Y-119520745D01* -X76202132Y-119509055D01* -X76166612Y-119490069D01* -X76135480Y-119464520D01* -X76109931Y-119433388D01* -X76090945Y-119397868D01* -X76079255Y-119359329D01* -X76075000Y-119316132D01* -X76075000Y-118783868D01* -X76079255Y-118740671D01* -X76090945Y-118702132D01* -X76109931Y-118666612D01* -X76135480Y-118635480D01* -X76166612Y-118609931D01* -X76202132Y-118590945D01* -X76240671Y-118579255D01* -X76283868Y-118575000D01* -X76916132Y-118575000D01* -X76959329Y-118579255D01* -G37* -G36* -X86159329Y-118579255D02* -G01* -X86197868Y-118590945D01* -X86233388Y-118609931D01* -X86264520Y-118635480D01* -X86290069Y-118666612D01* -X86309055Y-118702132D01* -X86320745Y-118740671D01* -X86325000Y-118783868D01* -X86325000Y-119316132D01* -X86320745Y-119359329D01* -X86309055Y-119397868D01* -X86290069Y-119433388D01* -X86264520Y-119464520D01* -X86233388Y-119490069D01* -X86197868Y-119509055D01* -X86159329Y-119520745D01* -X86116132Y-119525000D01* -X85483868Y-119525000D01* -X85440671Y-119520745D01* -X85402132Y-119509055D01* -X85366612Y-119490069D01* -X85335480Y-119464520D01* -X85309931Y-119433388D01* -X85290945Y-119397868D01* -X85279255Y-119359329D01* -X85275000Y-119316132D01* -X85275000Y-118783868D01* -X85279255Y-118740671D01* -X85290945Y-118702132D01* -X85309931Y-118666612D01* -X85335480Y-118635480D01* -X85366612Y-118609931D01* -X85402132Y-118590945D01* -X85440671Y-118579255D01* -X85483868Y-118575000D01* -X86116132Y-118575000D01* -X86159329Y-118579255D01* -G37* -G36* -X62687542Y-118154119D02* -G01* -X62748067Y-118166158D01* -X62833578Y-118201578D01* -X62833581Y-118201580D01* -X62910544Y-118253005D01* -X62975995Y-118318456D01* -X63001303Y-118356332D01* -X63027422Y-118395422D01* -X63062842Y-118480933D01* -X63062842Y-118480935D01* -X63080900Y-118571719D01* -X63080900Y-118664281D01* -X63077475Y-118681500D01* -X63062842Y-118755067D01* -X63027422Y-118840578D01* -X63027420Y-118840581D01* -X62975995Y-118917544D01* -X62910544Y-118982995D01* -X62833581Y-119034420D01* -X62833578Y-119034422D01* -X62748067Y-119069842D01* -X62687542Y-119081881D01* -X62657281Y-119087900D01* -X62564719Y-119087900D01* -X62534458Y-119081881D01* -X62473933Y-119069842D01* -X62388422Y-119034422D01* -X62388419Y-119034420D01* -X62311456Y-118982995D01* -X62246005Y-118917544D01* -X62194580Y-118840581D01* -X62194578Y-118840578D01* -X62159158Y-118755067D01* -X62144525Y-118681500D01* -X62141100Y-118664281D01* -X62141100Y-118571719D01* -X62159158Y-118480935D01* -X62159158Y-118480933D01* -X62194578Y-118395422D01* -X62220697Y-118356332D01* -X62246005Y-118318456D01* -X62311456Y-118253005D01* -X62388419Y-118201580D01* -X62388422Y-118201578D01* -X62473933Y-118166158D01* -X62534458Y-118154119D01* -X62564719Y-118148100D01* -X62657281Y-118148100D01* -X62687542Y-118154119D01* -G37* -G36* -X61417542Y-118154119D02* -G01* -X61478067Y-118166158D01* -X61563578Y-118201578D01* -X61563581Y-118201580D01* -X61640544Y-118253005D01* -X61705995Y-118318456D01* -X61731303Y-118356332D01* -X61757422Y-118395422D01* -X61792842Y-118480933D01* -X61792842Y-118480935D01* -X61810900Y-118571719D01* -X61810900Y-118664281D01* -X61807475Y-118681500D01* -X61792842Y-118755067D01* -X61757422Y-118840578D01* -X61757420Y-118840581D01* -X61705995Y-118917544D01* -X61640544Y-118982995D01* -X61563581Y-119034420D01* -X61563578Y-119034422D01* -X61478067Y-119069842D01* -X61417542Y-119081881D01* -X61387281Y-119087900D01* -X61294719Y-119087900D01* -X61264458Y-119081881D01* -X61203933Y-119069842D01* -X61118422Y-119034422D01* -X61118419Y-119034420D01* -X61041456Y-118982995D01* -X60976005Y-118917544D01* -X60924580Y-118840581D01* -X60924578Y-118840578D01* -X60889158Y-118755067D01* -X60874525Y-118681500D01* -X60871100Y-118664281D01* -X60871100Y-118571719D01* -X60889158Y-118480935D01* -X60889158Y-118480933D01* -X60924578Y-118395422D01* -X60950697Y-118356332D01* -X60976005Y-118318456D01* -X61041456Y-118253005D01* -X61118419Y-118201580D01* -X61118422Y-118201578D01* -X61203933Y-118166158D01* -X61264458Y-118154119D01* -X61294719Y-118148100D01* -X61387281Y-118148100D01* -X61417542Y-118154119D01* -G37* -G36* -X66497542Y-118154119D02* -G01* -X66558067Y-118166158D01* -X66643578Y-118201578D01* -X66643581Y-118201580D01* -X66720544Y-118253005D01* -X66785995Y-118318456D01* -X66811303Y-118356332D01* -X66837422Y-118395422D01* -X66872842Y-118480933D01* -X66872842Y-118480935D01* -X66890900Y-118571719D01* -X66890900Y-118664281D01* -X66887475Y-118681500D01* -X66872842Y-118755067D01* -X66837422Y-118840578D01* -X66837420Y-118840581D01* -X66785995Y-118917544D01* -X66720544Y-118982995D01* -X66643581Y-119034420D01* -X66643578Y-119034422D01* -X66558067Y-119069842D01* -X66497542Y-119081881D01* -X66467281Y-119087900D01* -X66374719Y-119087900D01* -X66344458Y-119081881D01* -X66283933Y-119069842D01* -X66198422Y-119034422D01* -X66198419Y-119034420D01* -X66121456Y-118982995D01* -X66056005Y-118917544D01* -X66004580Y-118840581D01* -X66004578Y-118840578D01* -X65969158Y-118755067D01* -X65954525Y-118681500D01* -X65951100Y-118664281D01* -X65951100Y-118571719D01* -X65969158Y-118480935D01* -X65969158Y-118480933D01* -X66004578Y-118395422D01* -X66030697Y-118356332D01* -X66056005Y-118318456D01* -X66121456Y-118253005D01* -X66198419Y-118201580D01* -X66198422Y-118201578D01* -X66283933Y-118166158D01* -X66344458Y-118154119D01* -X66374719Y-118148100D01* -X66467281Y-118148100D01* -X66497542Y-118154119D01* -G37* -G36* -X63957542Y-118154119D02* -G01* -X64018067Y-118166158D01* -X64103578Y-118201578D01* -X64103581Y-118201580D01* -X64180544Y-118253005D01* -X64245995Y-118318456D01* -X64271303Y-118356332D01* -X64297422Y-118395422D01* -X64332842Y-118480933D01* -X64332842Y-118480935D01* -X64350900Y-118571719D01* -X64350900Y-118664281D01* -X64347475Y-118681500D01* -X64332842Y-118755067D01* -X64297422Y-118840578D01* -X64297420Y-118840581D01* -X64245995Y-118917544D01* -X64180544Y-118982995D01* -X64103581Y-119034420D01* -X64103578Y-119034422D01* -X64018067Y-119069842D01* -X63957542Y-119081881D01* -X63927281Y-119087900D01* -X63834719Y-119087900D01* -X63804458Y-119081881D01* -X63743933Y-119069842D01* -X63658422Y-119034422D01* -X63658419Y-119034420D01* -X63581456Y-118982995D01* -X63516005Y-118917544D01* -X63464580Y-118840581D01* -X63464578Y-118840578D01* -X63429158Y-118755067D01* -X63414525Y-118681500D01* -X63411100Y-118664281D01* -X63411100Y-118571719D01* -X63429158Y-118480935D01* -X63429158Y-118480933D01* -X63464578Y-118395422D01* -X63490697Y-118356332D01* -X63516005Y-118318456D01* -X63581456Y-118253005D01* -X63658419Y-118201580D01* -X63658422Y-118201578D01* -X63743933Y-118166158D01* -X63804458Y-118154119D01* -X63834719Y-118148100D01* -X63927281Y-118148100D01* -X63957542Y-118154119D01* -G37* -G36* -X65227542Y-118154119D02* -G01* -X65288067Y-118166158D01* -X65373578Y-118201578D01* -X65373581Y-118201580D01* -X65450544Y-118253005D01* -X65515995Y-118318456D01* -X65541303Y-118356332D01* -X65567422Y-118395422D01* -X65602842Y-118480933D01* -X65602842Y-118480935D01* -X65620900Y-118571719D01* -X65620900Y-118664281D01* -X65617475Y-118681500D01* -X65602842Y-118755067D01* -X65567422Y-118840578D01* -X65567420Y-118840581D01* -X65515995Y-118917544D01* -X65450544Y-118982995D01* -X65373581Y-119034420D01* -X65373578Y-119034422D01* -X65288067Y-119069842D01* -X65227542Y-119081881D01* -X65197281Y-119087900D01* -X65104719Y-119087900D01* -X65074458Y-119081881D01* -X65013933Y-119069842D01* -X64928422Y-119034422D01* -X64928419Y-119034420D01* -X64851456Y-118982995D01* -X64786005Y-118917544D01* -X64734580Y-118840581D01* -X64734578Y-118840578D01* -X64699158Y-118755067D01* -X64684525Y-118681500D01* -X64681100Y-118664281D01* -X64681100Y-118571719D01* -X64699158Y-118480935D01* -X64699158Y-118480933D01* -X64734578Y-118395422D01* -X64760697Y-118356332D01* -X64786005Y-118318456D01* -X64851456Y-118253005D01* -X64928419Y-118201580D01* -X64928422Y-118201578D01* -X65013933Y-118166158D01* -X65074458Y-118154119D01* -X65104719Y-118148100D01* -X65197281Y-118148100D01* -X65227542Y-118154119D01* -G37* -G36* -X67784092Y-117672821D02* -G01* -X67857702Y-117687463D01* -X67938145Y-117720784D01* -X67961707Y-117730543D01* -X68055310Y-117793087D01* -X68134913Y-117872690D01* -X68197457Y-117966293D01* -X68197458Y-117966296D01* -X68240537Y-118070298D01* -X68240537Y-118070300D01* -X68262500Y-118180712D01* -X68262500Y-118293288D01* -X68260490Y-118303392D01* -X68240537Y-118403702D01* -X68208547Y-118480933D01* -X68197457Y-118507707D01* -X68134913Y-118601310D01* -X68055310Y-118680913D01* -X67961707Y-118743457D01* -X67938145Y-118753216D01* -X67857702Y-118786537D01* -X67784092Y-118801179D01* -X67747288Y-118808500D01* -X67634712Y-118808500D01* -X67597908Y-118801179D01* -X67524298Y-118786537D01* -X67443855Y-118753216D01* -X67420293Y-118743457D01* -X67326690Y-118680913D01* -X67247087Y-118601310D01* -X67184543Y-118507707D01* -X67173453Y-118480933D01* -X67141463Y-118403702D01* -X67121510Y-118303392D01* -X67119500Y-118293288D01* -X67119500Y-118180712D01* -X67141463Y-118070300D01* -X67141463Y-118070298D01* -X67184542Y-117966296D01* -X67184543Y-117966293D01* -X67247087Y-117872690D01* -X67326690Y-117793087D01* -X67420293Y-117730543D01* -X67443855Y-117720784D01* -X67524298Y-117687463D01* -X67597908Y-117672821D01* -X67634712Y-117665500D01* -X67747288Y-117665500D01* -X67784092Y-117672821D01* -G37* -G36* -X65937503Y-115454729D02* -G01* -X66154596Y-115497911D01* -X66384564Y-115593167D01* -X66591525Y-115731455D01* -X66591527Y-115731457D01* -X66591530Y-115731459D01* -X66767541Y-115907470D01* -X66767543Y-115907473D01* -X66767545Y-115907475D01* -X66905833Y-116114436D01* -X67001089Y-116344404D01* -X67049650Y-116588542D01* -X67049650Y-116837458D01* -X67001089Y-117081596D01* -X66905833Y-117311564D01* -X66767545Y-117518525D01* -X66767543Y-117518527D01* -X66767541Y-117518530D01* -X66591530Y-117694541D01* -X66591527Y-117694543D01* -X66591525Y-117694545D01* -X66384564Y-117832833D01* -X66154596Y-117928089D01* -X65937503Y-117971271D01* -X65910459Y-117976650D01* -X65661541Y-117976650D01* -X65634497Y-117971271D01* -X65417404Y-117928089D01* -X65187436Y-117832833D01* -X64980475Y-117694545D01* -X64980473Y-117694543D01* -X64980470Y-117694541D01* -X64804459Y-117518530D01* -X64804457Y-117518527D01* -X64804455Y-117518525D01* -X64666167Y-117311564D01* -X64570911Y-117081596D01* -X64522350Y-116837458D01* -X64522350Y-116588542D01* -X64570911Y-116344404D01* -X64666167Y-116114436D01* -X64804455Y-115907475D01* -X64804457Y-115907473D01* -X64804459Y-115907470D01* -X64980470Y-115731459D01* -X64980473Y-115731457D01* -X64980475Y-115731455D01* -X65187436Y-115593167D01* -X65417404Y-115497911D01* -X65634497Y-115454729D01* -X65661541Y-115449350D01* -X65910459Y-115449350D01* -X65937503Y-115454729D01* -G37* -G36* -X60222503Y-115454729D02* -G01* -X60439596Y-115497911D01* -X60669564Y-115593167D01* -X60876525Y-115731455D01* -X60876527Y-115731457D01* -X60876530Y-115731459D01* -X61052541Y-115907470D01* -X61052543Y-115907473D01* -X61052545Y-115907475D01* -X61190833Y-116114436D01* -X61286089Y-116344404D01* -X61334650Y-116588542D01* -X61334650Y-116837458D01* -X61286089Y-117081596D01* -X61190833Y-117311564D01* -X61052545Y-117518525D01* -X61052543Y-117518527D01* -X61052541Y-117518530D01* -X60876530Y-117694541D01* -X60876527Y-117694543D01* -X60876525Y-117694545D01* -X60669564Y-117832833D01* -X60439596Y-117928089D01* -X60222503Y-117971271D01* -X60195459Y-117976650D01* -X59946541Y-117976650D01* -X59919497Y-117971271D01* -X59702404Y-117928089D01* -X59472436Y-117832833D01* -X59265475Y-117694545D01* -X59265473Y-117694543D01* -X59265470Y-117694541D01* -X59089459Y-117518530D01* -X59089457Y-117518527D01* -X59089455Y-117518525D01* -X58951167Y-117311564D01* -X58855911Y-117081596D01* -X58807350Y-116837458D01* -X58807350Y-116588542D01* -X58855911Y-116344404D01* -X58951167Y-116114436D01* -X59089455Y-115907475D01* -X59089457Y-115907473D01* -X59089459Y-115907470D01* -X59265470Y-115731459D01* -X59265473Y-115731457D01* -X59265475Y-115731455D01* -X59472436Y-115593167D01* -X59702404Y-115497911D01* -X59919497Y-115454729D01* -X59946541Y-115449350D01* -X60195459Y-115449350D01* -X60222503Y-115454729D01* -G37* -G36* -X114724986Y-114803306D02* -G01* -X114765980Y-114815742D01* -X114803767Y-114835939D01* -X114836883Y-114863117D01* -X114864061Y-114896233D01* -X114884258Y-114934020D01* -X114896694Y-114975014D01* -X114901200Y-115020767D01* -X114901200Y-115679233D01* -X114896694Y-115724986D01* -X114884258Y-115765980D01* -X114864061Y-115803767D01* -X114836883Y-115836883D01* -X114803767Y-115864061D01* -X114765980Y-115884258D01* -X114724986Y-115896694D01* -X114679233Y-115901200D01* -X114120767Y-115901200D01* -X114075014Y-115896694D01* -X114034020Y-115884258D01* -X113996233Y-115864061D01* -X113963117Y-115836883D01* -X113935939Y-115803767D01* -X113915742Y-115765980D01* -X113903306Y-115724986D01* -X113898800Y-115679233D01* -X113898800Y-115020767D01* -X113903306Y-114975014D01* -X113915742Y-114934020D01* -X113935939Y-114896233D01* -X113963117Y-114863117D01* -X113996233Y-114835939D01* -X114034020Y-114815742D01* -X114075014Y-114803306D01* -X114120767Y-114798800D01* -X114679233Y-114798800D01* -X114724986Y-114803306D01* -G37* -G36* -X124724986Y-114803306D02* -G01* -X124765980Y-114815742D01* -X124803767Y-114835939D01* -X124836883Y-114863117D01* -X124864061Y-114896233D01* -X124884258Y-114934020D01* -X124896694Y-114975014D01* -X124901200Y-115020767D01* -X124901200Y-115679233D01* -X124896694Y-115724986D01* -X124884258Y-115765980D01* -X124864061Y-115803767D01* -X124836883Y-115836883D01* -X124803767Y-115864061D01* -X124765980Y-115884258D01* -X124724986Y-115896694D01* -X124679233Y-115901200D01* -X124120767Y-115901200D01* -X124075014Y-115896694D01* -X124034020Y-115884258D01* -X123996233Y-115864061D01* -X123963117Y-115836883D01* -X123935939Y-115803767D01* -X123915742Y-115765980D01* -X123903306Y-115724986D01* -X123898800Y-115679233D01* -X123898800Y-115020767D01* -X123903306Y-114975014D01* -X123915742Y-114934020D01* -X123935939Y-114896233D01* -X123963117Y-114863117D01* -X123996233Y-114835939D01* -X124034020Y-114815742D01* -X124075014Y-114803306D01* -X124120767Y-114798800D01* -X124679233Y-114798800D01* -X124724986Y-114803306D01* -G37* -G36* -X123224986Y-114803306D02* -G01* -X123265980Y-114815742D01* -X123303767Y-114835939D01* -X123336883Y-114863117D01* -X123364061Y-114896233D01* -X123384258Y-114934020D01* -X123396694Y-114975014D01* -X123401200Y-115020767D01* -X123401200Y-115679233D01* -X123396694Y-115724986D01* -X123384258Y-115765980D01* -X123364061Y-115803767D01* -X123336883Y-115836883D01* -X123303767Y-115864061D01* -X123265980Y-115884258D01* -X123224986Y-115896694D01* -X123179233Y-115901200D01* -X122620767Y-115901200D01* -X122575014Y-115896694D01* -X122534020Y-115884258D01* -X122496233Y-115864061D01* -X122463117Y-115836883D01* -X122435939Y-115803767D01* -X122415742Y-115765980D01* -X122403306Y-115724986D01* -X122398800Y-115679233D01* -X122398800Y-115020767D01* -X122403306Y-114975014D01* -X122415742Y-114934020D01* -X122435939Y-114896233D01* -X122463117Y-114863117D01* -X122496233Y-114835939D01* -X122534020Y-114815742D01* -X122575014Y-114803306D01* -X122620767Y-114798800D01* -X123179233Y-114798800D01* -X123224986Y-114803306D01* -G37* -G36* -X113224986Y-114803306D02* -G01* -X113265980Y-114815742D01* -X113303767Y-114835939D01* -X113336883Y-114863117D01* -X113364061Y-114896233D01* -X113384258Y-114934020D01* -X113396694Y-114975014D01* -X113401200Y-115020767D01* -X113401200Y-115679233D01* -X113396694Y-115724986D01* -X113384258Y-115765980D01* -X113364061Y-115803767D01* -X113336883Y-115836883D01* -X113303767Y-115864061D01* -X113265980Y-115884258D01* -X113224986Y-115896694D01* -X113179233Y-115901200D01* -X112620767Y-115901200D01* -X112575014Y-115896694D01* -X112534020Y-115884258D01* -X112496233Y-115864061D01* -X112463117Y-115836883D01* -X112435939Y-115803767D01* -X112415742Y-115765980D01* -X112403306Y-115724986D01* -X112398800Y-115679233D01* -X112398800Y-115020767D01* -X112403306Y-114975014D01* -X112415742Y-114934020D01* -X112435939Y-114896233D01* -X112463117Y-114863117D01* -X112496233Y-114835939D01* -X112534020Y-114815742D01* -X112575014Y-114803306D01* -X112620767Y-114798800D01* -X113179233Y-114798800D01* -X113224986Y-114803306D01* -G37* -G36* -X126824986Y-112853306D02* -G01* -X126865980Y-112865742D01* -X126903767Y-112885939D01* -X126936883Y-112913117D01* -X126964061Y-112946233D01* -X126984258Y-112984020D01* -X126996694Y-113025014D01* -X127001200Y-113070767D01* -X127001200Y-113629233D01* -X126996694Y-113674986D01* -X126984258Y-113715980D01* -X126964061Y-113753767D01* -X126936883Y-113786883D01* -X126903767Y-113814061D01* -X126865980Y-113834258D01* -X126824986Y-113846694D01* -X126779233Y-113851200D01* -X126120767Y-113851200D01* -X126075014Y-113846694D01* -X126034020Y-113834258D01* -X125996233Y-113814061D01* -X125963117Y-113786883D01* -X125935939Y-113753767D01* -X125915742Y-113715980D01* -X125903306Y-113674986D01* -X125898800Y-113629233D01* -X125898800Y-113070767D01* -X125903306Y-113025014D01* -X125915742Y-112984020D01* -X125935939Y-112946233D01* -X125963117Y-112913117D01* -X125996233Y-112885939D01* -X126034020Y-112865742D01* -X126075014Y-112853306D01* -X126120767Y-112848800D01* -X126779233Y-112848800D01* -X126824986Y-112853306D01* -G37* -G36* -X125118208Y-113173372D02* -G01* -X125138369Y-113179487D01* -X125156941Y-113189414D01* -X125173223Y-113202777D01* -X125186586Y-113219059D01* -X125196513Y-113237631D01* -X125202628Y-113257792D01* -X125205000Y-113281869D01* -X125205000Y-113618131D01* -X125202628Y-113642208D01* -X125196513Y-113662369D01* -X125186586Y-113680941D01* -X125173223Y-113697223D01* -X125156941Y-113710586D01* -X125138369Y-113720513D01* -X125118208Y-113726628D01* -X125094131Y-113729000D01* -X123705869Y-113729000D01* -X123681792Y-113726628D01* -X123661631Y-113720513D01* -X123643059Y-113710586D01* -X123626777Y-113697223D01* -X123613414Y-113680941D01* -X123603487Y-113662369D01* -X123597372Y-113642208D01* -X123595000Y-113618131D01* -X123595000Y-113281869D01* -X123597372Y-113257792D01* -X123603487Y-113237631D01* -X123613414Y-113219059D01* -X123626777Y-113202777D01* -X123643059Y-113189414D01* -X123661631Y-113179487D01* -X123681792Y-113173372D01* -X123705869Y-113171000D01* -X125094131Y-113171000D01* -X125118208Y-113173372D01* -G37* -G36* -X113618208Y-113173372D02* -G01* -X113638369Y-113179487D01* -X113656941Y-113189414D01* -X113673223Y-113202777D01* -X113686586Y-113219059D01* -X113696513Y-113237631D01* -X113702628Y-113257792D01* -X113705000Y-113281869D01* -X113705000Y-113618131D01* -X113702628Y-113642208D01* -X113696513Y-113662369D01* -X113686586Y-113680941D01* -X113673223Y-113697223D01* -X113656941Y-113710586D01* -X113638369Y-113720513D01* -X113618208Y-113726628D01* -X113594131Y-113729000D01* -X112205869Y-113729000D01* -X112181792Y-113726628D01* -X112161631Y-113720513D01* -X112143059Y-113710586D01* -X112126777Y-113697223D01* -X112113414Y-113680941D01* -X112103487Y-113662369D01* -X112097372Y-113642208D01* -X112095000Y-113618131D01* -X112095000Y-113281869D01* -X112097372Y-113257792D01* -X112103487Y-113237631D01* -X112113414Y-113219059D01* -X112126777Y-113202777D01* -X112143059Y-113189414D01* -X112161631Y-113179487D01* -X112181792Y-113173372D01* -X112205869Y-113171000D01* -X113594131Y-113171000D01* -X113618208Y-113173372D01* -G37* -G36* -X113618208Y-112373372D02* -G01* -X113638369Y-112379487D01* -X113656941Y-112389414D01* -X113673223Y-112402777D01* -X113686586Y-112419059D01* -X113696513Y-112437631D01* -X113702628Y-112457792D01* -X113705000Y-112481869D01* -X113705000Y-112818131D01* -X113702628Y-112842208D01* -X113696513Y-112862369D01* -X113686586Y-112880941D01* -X113673223Y-112897223D01* -X113656941Y-112910586D01* -X113638369Y-112920513D01* -X113618208Y-112926628D01* -X113594131Y-112929000D01* -X112205869Y-112929000D01* -X112181792Y-112926628D01* -X112161631Y-112920513D01* -X112143059Y-112910586D01* -X112126777Y-112897223D01* -X112113414Y-112880941D01* -X112103487Y-112862369D01* -X112097372Y-112842208D01* -X112095000Y-112818131D01* -X112095000Y-112481869D01* -X112097372Y-112457792D01* -X112103487Y-112437631D01* -X112113414Y-112419059D01* -X112126777Y-112402777D01* -X112143059Y-112389414D01* -X112161631Y-112379487D01* -X112181792Y-112373372D01* -X112205869Y-112371000D01* -X113594131Y-112371000D01* -X113618208Y-112373372D01* -G37* -G36* -X125118208Y-112373372D02* -G01* -X125138369Y-112379487D01* -X125156941Y-112389414D01* -X125173223Y-112402777D01* -X125186586Y-112419059D01* -X125196513Y-112437631D01* -X125202628Y-112457792D01* -X125205000Y-112481869D01* -X125205000Y-112818131D01* -X125202628Y-112842208D01* -X125196513Y-112862369D01* -X125186586Y-112880941D01* -X125173223Y-112897223D01* -X125156941Y-112910586D01* -X125138369Y-112920513D01* -X125118208Y-112926628D01* -X125094131Y-112929000D01* -X123705869Y-112929000D01* -X123681792Y-112926628D01* -X123661631Y-112920513D01* -X123643059Y-112910586D01* -X123626777Y-112897223D01* -X123613414Y-112880941D01* -X123603487Y-112862369D01* -X123597372Y-112842208D01* -X123595000Y-112818131D01* -X123595000Y-112481869D01* -X123597372Y-112457792D01* -X123603487Y-112437631D01* -X123613414Y-112419059D01* -X123626777Y-112402777D01* -X123643059Y-112389414D01* -X123661631Y-112379487D01* -X123681792Y-112373372D01* -X123705869Y-112371000D01* -X125094131Y-112371000D01* -X125118208Y-112373372D01* -G37* -G36* -X104359329Y-111729255D02* -G01* -X104397868Y-111740945D01* -X104433388Y-111759931D01* -X104464520Y-111785480D01* -X104490069Y-111816612D01* -X104509055Y-111852132D01* -X104520745Y-111890671D01* -X104525000Y-111933868D01* -X104525000Y-112566132D01* -X104520745Y-112609329D01* -X104509055Y-112647868D01* -X104490069Y-112683388D01* -X104464520Y-112714520D01* -X104433388Y-112740069D01* -X104397868Y-112759055D01* -X104359329Y-112770745D01* -X104316132Y-112775000D01* -X103783868Y-112775000D01* -X103740671Y-112770745D01* -X103702132Y-112759055D01* -X103666612Y-112740069D01* -X103635480Y-112714520D01* -X103609931Y-112683388D01* -X103590945Y-112647868D01* -X103579255Y-112609329D01* -X103575000Y-112566132D01* -X103575000Y-111933868D01* -X103579255Y-111890671D01* -X103590945Y-111852132D01* -X103609931Y-111816612D01* -X103635480Y-111785480D01* -X103666612Y-111759931D01* -X103702132Y-111740945D01* -X103740671Y-111729255D01* -X103783868Y-111725000D01* -X104316132Y-111725000D01* -X104359329Y-111729255D01* -G37* -G36* -X105859329Y-111729255D02* -G01* -X105897868Y-111740945D01* -X105933388Y-111759931D01* -X105964520Y-111785480D01* -X105990069Y-111816612D01* -X106009055Y-111852132D01* -X106020745Y-111890671D01* -X106025000Y-111933868D01* -X106025000Y-112566132D01* -X106020745Y-112609329D01* -X106009055Y-112647868D01* -X105990069Y-112683388D01* -X105964520Y-112714520D01* -X105933388Y-112740069D01* -X105897868Y-112759055D01* -X105859329Y-112770745D01* -X105816132Y-112775000D01* -X105283868Y-112775000D01* -X105240671Y-112770745D01* -X105202132Y-112759055D01* -X105166612Y-112740069D01* -X105135480Y-112714520D01* -X105109931Y-112683388D01* -X105090945Y-112647868D01* -X105079255Y-112609329D01* -X105075000Y-112566132D01* -X105075000Y-111933868D01* -X105079255Y-111890671D01* -X105090945Y-111852132D01* -X105109931Y-111816612D01* -X105135480Y-111785480D01* -X105166612Y-111759931D01* -X105202132Y-111740945D01* -X105240671Y-111729255D01* -X105283868Y-111725000D01* -X105816132Y-111725000D01* -X105859329Y-111729255D01* -G37* -G36* -X71614511Y-111578534D02* -G01* -X71646019Y-111588092D01* -X71675054Y-111603611D01* -X71700503Y-111624497D01* -X71721389Y-111649946D01* -X71736908Y-111678981D01* -X71746466Y-111710489D01* -X71750000Y-111746367D01* -X71750000Y-112453633D01* -X71746466Y-112489511D01* -X71736908Y-112521019D01* -X71721389Y-112550054D01* -X71700503Y-112575503D01* -X71675054Y-112596389D01* -X71646019Y-112611908D01* -X71614511Y-112621466D01* -X71578633Y-112625000D01* -X71121367Y-112625000D01* -X71085489Y-112621466D01* -X71053981Y-112611908D01* -X71024946Y-112596389D01* -X70999497Y-112575503D01* -X70978611Y-112550054D01* -X70963092Y-112521019D01* -X70953534Y-112489511D01* -X70950000Y-112453633D01* -X70950000Y-111746367D01* -X70953534Y-111710489D01* -X70963092Y-111678981D01* -X70978611Y-111649946D01* -X70999497Y-111624497D01* -X71024946Y-111603611D01* -X71053981Y-111588092D01* -X71085489Y-111578534D01* -X71121367Y-111575000D01* -X71578633Y-111575000D01* -X71614511Y-111578534D01* -G37* -G36* -X70014511Y-111578534D02* -G01* -X70046019Y-111588092D01* -X70075054Y-111603611D01* -X70100503Y-111624497D01* -X70121389Y-111649946D01* -X70136908Y-111678981D01* -X70146466Y-111710489D01* -X70150000Y-111746367D01* -X70150000Y-112453633D01* -X70146466Y-112489511D01* -X70136908Y-112521019D01* -X70121389Y-112550054D01* -X70100503Y-112575503D01* -X70075054Y-112596389D01* -X70046019Y-112611908D01* -X70014511Y-112621466D01* -X69978633Y-112625000D01* -X69521367Y-112625000D01* -X69485489Y-112621466D01* -X69453981Y-112611908D01* -X69424946Y-112596389D01* -X69399497Y-112575503D01* -X69378611Y-112550054D01* -X69363092Y-112521019D01* -X69353534Y-112489511D01* -X69350000Y-112453633D01* -X69350000Y-111746367D01* -X69353534Y-111710489D01* -X69363092Y-111678981D01* -X69378611Y-111649946D01* -X69399497Y-111624497D01* -X69424946Y-111603611D01* -X69453981Y-111588092D01* -X69485489Y-111578534D01* -X69521367Y-111575000D01* -X69978633Y-111575000D01* -X70014511Y-111578534D01* -G37* -G36* -X126824986Y-111353306D02* -G01* -X126865980Y-111365742D01* -X126903767Y-111385939D01* -X126936883Y-111413117D01* -X126964061Y-111446233D01* -X126984258Y-111484020D01* -X126996694Y-111525014D01* -X127001200Y-111570767D01* -X127001200Y-112129233D01* -X126996694Y-112174986D01* -X126984258Y-112215980D01* -X126964061Y-112253767D01* -X126936883Y-112286883D01* -X126903767Y-112314061D01* -X126865980Y-112334258D01* -X126824986Y-112346694D01* -X126779233Y-112351200D01* -X126120767Y-112351200D01* -X126075014Y-112346694D01* -X126034020Y-112334258D01* -X125996233Y-112314061D01* -X125963117Y-112286883D01* -X125935939Y-112253767D01* -X125915742Y-112215980D01* -X125903306Y-112174986D01* -X125898800Y-112129233D01* -X125898800Y-111570767D01* -X125903306Y-111525014D01* -X125915742Y-111484020D01* -X125935939Y-111446233D01* -X125963117Y-111413117D01* -X125996233Y-111385939D01* -X126034020Y-111365742D01* -X126075014Y-111353306D01* -X126120767Y-111348800D01* -X126779233Y-111348800D01* -X126824986Y-111353306D01* -G37* -G36* -X125118208Y-111573372D02* -G01* -X125138369Y-111579487D01* -X125156941Y-111589414D01* -X125173223Y-111602777D01* -X125186586Y-111619059D01* -X125196513Y-111637631D01* -X125202628Y-111657792D01* -X125205000Y-111681869D01* -X125205000Y-112018131D01* -X125202628Y-112042208D01* -X125196513Y-112062369D01* -X125186586Y-112080941D01* -X125173223Y-112097223D01* -X125156941Y-112110586D01* -X125138369Y-112120513D01* -X125118208Y-112126628D01* -X125094131Y-112129000D01* -X123705869Y-112129000D01* -X123681792Y-112126628D01* -X123661631Y-112120513D01* -X123643059Y-112110586D01* -X123626777Y-112097223D01* -X123613414Y-112080941D01* -X123603487Y-112062369D01* -X123597372Y-112042208D01* -X123595000Y-112018131D01* -X123595000Y-111681869D01* -X123597372Y-111657792D01* -X123603487Y-111637631D01* -X123613414Y-111619059D01* -X123626777Y-111602777D01* -X123643059Y-111589414D01* -X123661631Y-111579487D01* -X123681792Y-111573372D01* -X123705869Y-111571000D01* -X125094131Y-111571000D01* -X125118208Y-111573372D01* -G37* -G36* -X113618208Y-111573372D02* -G01* -X113638369Y-111579487D01* -X113656941Y-111589414D01* -X113673223Y-111602777D01* -X113686586Y-111619059D01* -X113696513Y-111637631D01* -X113702628Y-111657792D01* -X113705000Y-111681869D01* -X113705000Y-112018131D01* -X113702628Y-112042208D01* -X113696513Y-112062369D01* -X113686586Y-112080941D01* -X113673223Y-112097223D01* -X113656941Y-112110586D01* -X113638369Y-112120513D01* -X113618208Y-112126628D01* -X113594131Y-112129000D01* -X112205869Y-112129000D01* -X112181792Y-112126628D01* -X112161631Y-112120513D01* -X112143059Y-112110586D01* -X112126777Y-112097223D01* -X112113414Y-112080941D01* -X112103487Y-112062369D01* -X112097372Y-112042208D01* -X112095000Y-112018131D01* -X112095000Y-111681869D01* -X112097372Y-111657792D01* -X112103487Y-111637631D01* -X112113414Y-111619059D01* -X112126777Y-111602777D01* -X112143059Y-111589414D01* -X112161631Y-111579487D01* -X112181792Y-111573372D01* -X112205869Y-111571000D01* -X113594131Y-111571000D01* -X113618208Y-111573372D01* -G37* -G36* -X108234344Y-111227843D02* -G01* -X108249345Y-111232393D01* -X108263163Y-111239779D01* -X108275278Y-111249722D01* -X108285221Y-111261837D01* -X108292607Y-111275655D01* -X108297157Y-111290656D01* -X108299000Y-111309367D01* -X108299000Y-111590633D01* -X108297157Y-111609344D01* -X108292607Y-111624345D01* -X108285221Y-111638163D01* -X108275278Y-111650278D01* -X108263163Y-111660221D01* -X108249345Y-111667607D01* -X108234344Y-111672157D01* -X108215633Y-111674000D01* -X107684367Y-111674000D01* -X107665656Y-111672157D01* -X107650655Y-111667607D01* -X107636837Y-111660221D01* -X107624722Y-111650278D01* -X107614779Y-111638163D01* -X107607393Y-111624345D01* -X107602843Y-111609344D01* -X107601000Y-111590633D01* -X107601000Y-111309367D01* -X107602843Y-111290656D01* -X107607393Y-111275655D01* -X107614779Y-111261837D01* -X107624722Y-111249722D01* -X107636837Y-111239779D01* -X107650655Y-111232393D01* -X107665656Y-111227843D01* -X107684367Y-111226000D01* -X108215633Y-111226000D01* -X108234344Y-111227843D01* -G37* -G36* -X109234344Y-111227843D02* -G01* -X109249345Y-111232393D01* -X109263163Y-111239779D01* -X109275278Y-111249722D01* -X109285221Y-111261837D01* -X109292607Y-111275655D01* -X109297157Y-111290656D01* -X109299000Y-111309367D01* -X109299000Y-111590633D01* -X109297157Y-111609344D01* -X109292607Y-111624345D01* -X109285221Y-111638163D01* -X109275278Y-111650278D01* -X109263163Y-111660221D01* -X109249345Y-111667607D01* -X109234344Y-111672157D01* -X109215633Y-111674000D01* -X108684367Y-111674000D01* -X108665656Y-111672157D01* -X108650655Y-111667607D01* -X108636837Y-111660221D01* -X108624722Y-111650278D01* -X108614779Y-111638163D01* -X108607393Y-111624345D01* -X108602843Y-111609344D01* -X108601000Y-111590633D01* -X108601000Y-111309367D01* -X108602843Y-111290656D01* -X108607393Y-111275655D01* -X108614779Y-111261837D01* -X108624722Y-111249722D01* -X108636837Y-111239779D01* -X108650655Y-111232393D01* -X108665656Y-111227843D01* -X108684367Y-111226000D01* -X109215633Y-111226000D01* -X109234344Y-111227843D01* -G37* -G36* -X91859329Y-110579255D02* -G01* -X91897868Y-110590945D01* -X91933388Y-110609931D01* -X91964520Y-110635480D01* -X91990069Y-110666612D01* -X92009055Y-110702132D01* -X92020745Y-110740671D01* -X92025000Y-110783868D01* -X92025000Y-111416132D01* -X92020745Y-111459329D01* -X92009055Y-111497868D01* -X91990069Y-111533388D01* -X91964520Y-111564520D01* -X91933388Y-111590069D01* -X91897868Y-111609055D01* -X91859329Y-111620745D01* -X91816132Y-111625000D01* -X91283868Y-111625000D01* -X91240671Y-111620745D01* -X91202132Y-111609055D01* -X91166612Y-111590069D01* -X91135480Y-111564520D01* -X91109931Y-111533388D01* -X91090945Y-111497868D01* -X91079255Y-111459329D01* -X91075000Y-111416132D01* -X91075000Y-110783868D01* -X91079255Y-110740671D01* -X91090945Y-110702132D01* -X91109931Y-110666612D01* -X91135480Y-110635480D01* -X91166612Y-110609931D01* -X91202132Y-110590945D01* -X91240671Y-110579255D01* -X91283868Y-110575000D01* -X91816132Y-110575000D01* -X91859329Y-110579255D01* -G37* -G36* -X90359329Y-110579255D02* -G01* -X90397868Y-110590945D01* -X90433388Y-110609931D01* -X90464520Y-110635480D01* -X90490069Y-110666612D01* -X90509055Y-110702132D01* -X90520745Y-110740671D01* -X90525000Y-110783868D01* -X90525000Y-111416132D01* -X90520745Y-111459329D01* -X90509055Y-111497868D01* -X90490069Y-111533388D01* -X90464520Y-111564520D01* -X90433388Y-111590069D01* -X90397868Y-111609055D01* -X90359329Y-111620745D01* -X90316132Y-111625000D01* -X89783868Y-111625000D01* -X89740671Y-111620745D01* -X89702132Y-111609055D01* -X89666612Y-111590069D01* -X89635480Y-111564520D01* -X89609931Y-111533388D01* -X89590945Y-111497868D01* -X89579255Y-111459329D01* -X89575000Y-111416132D01* -X89575000Y-110783868D01* -X89579255Y-110740671D01* -X89590945Y-110702132D01* -X89609931Y-110666612D01* -X89635480Y-110635480D01* -X89666612Y-110609931D01* -X89702132Y-110590945D01* -X89740671Y-110579255D01* -X89783868Y-110575000D01* -X90316132Y-110575000D01* -X90359329Y-110579255D01* -G37* -G36* -X98859329Y-110579255D02* -G01* -X98897868Y-110590945D01* -X98933388Y-110609931D01* -X98964520Y-110635480D01* -X98990069Y-110666612D01* -X99009055Y-110702132D01* -X99020745Y-110740671D01* -X99025000Y-110783868D01* -X99025000Y-111416132D01* -X99020745Y-111459329D01* -X99009055Y-111497868D01* -X98990069Y-111533388D01* -X98964520Y-111564520D01* -X98933388Y-111590069D01* -X98897868Y-111609055D01* -X98859329Y-111620745D01* -X98816132Y-111625000D01* -X98283868Y-111625000D01* -X98240671Y-111620745D01* -X98202132Y-111609055D01* -X98166612Y-111590069D01* -X98135480Y-111564520D01* -X98109931Y-111533388D01* -X98090945Y-111497868D01* -X98079255Y-111459329D01* -X98075000Y-111416132D01* -X98075000Y-110783868D01* -X98079255Y-110740671D01* -X98090945Y-110702132D01* -X98109931Y-110666612D01* -X98135480Y-110635480D01* -X98166612Y-110609931D01* -X98202132Y-110590945D01* -X98240671Y-110579255D01* -X98283868Y-110575000D01* -X98816132Y-110575000D01* -X98859329Y-110579255D01* -G37* -G36* -X97359329Y-110579255D02* -G01* -X97397868Y-110590945D01* -X97433388Y-110609931D01* -X97464520Y-110635480D01* -X97490069Y-110666612D01* -X97509055Y-110702132D01* -X97520745Y-110740671D01* -X97525000Y-110783868D01* -X97525000Y-111416132D01* -X97520745Y-111459329D01* -X97509055Y-111497868D01* -X97490069Y-111533388D01* -X97464520Y-111564520D01* -X97433388Y-111590069D01* -X97397868Y-111609055D01* -X97359329Y-111620745D01* -X97316132Y-111625000D01* -X96783868Y-111625000D01* -X96740671Y-111620745D01* -X96702132Y-111609055D01* -X96666612Y-111590069D01* -X96635480Y-111564520D01* -X96609931Y-111533388D01* -X96590945Y-111497868D01* -X96579255Y-111459329D01* -X96575000Y-111416132D01* -X96575000Y-110783868D01* -X96579255Y-110740671D01* -X96590945Y-110702132D01* -X96609931Y-110666612D01* -X96635480Y-110635480D01* -X96666612Y-110609931D01* -X96702132Y-110590945D01* -X96740671Y-110579255D01* -X96783868Y-110575000D01* -X97316132Y-110575000D01* -X97359329Y-110579255D01* -G37* -G36* -X113618208Y-110773372D02* -G01* -X113638369Y-110779487D01* -X113656941Y-110789414D01* -X113673223Y-110802777D01* -X113686586Y-110819059D01* -X113696513Y-110837631D01* -X113702628Y-110857792D01* -X113705000Y-110881869D01* -X113705000Y-111218131D01* -X113702628Y-111242208D01* -X113696513Y-111262369D01* -X113686586Y-111280941D01* -X113673223Y-111297223D01* -X113656941Y-111310586D01* -X113638369Y-111320513D01* -X113618208Y-111326628D01* -X113594131Y-111329000D01* -X112205869Y-111329000D01* -X112181792Y-111326628D01* -X112161631Y-111320513D01* -X112143059Y-111310586D01* -X112126777Y-111297223D01* -X112113414Y-111280941D01* -X112103487Y-111262369D01* -X112097372Y-111242208D01* -X112095000Y-111218131D01* -X112095000Y-110881869D01* -X112097372Y-110857792D01* -X112103487Y-110837631D01* -X112113414Y-110819059D01* -X112126777Y-110802777D01* -X112143059Y-110789414D01* -X112161631Y-110779487D01* -X112181792Y-110773372D01* -X112205869Y-110771000D01* -X113594131Y-110771000D01* -X113618208Y-110773372D01* -G37* -G36* -X125118208Y-110773372D02* -G01* -X125138369Y-110779487D01* -X125156941Y-110789414D01* -X125173223Y-110802777D01* -X125186586Y-110819059D01* -X125196513Y-110837631D01* -X125202628Y-110857792D01* -X125205000Y-110881869D01* -X125205000Y-111218131D01* -X125202628Y-111242208D01* -X125196513Y-111262369D01* -X125186586Y-111280941D01* -X125173223Y-111297223D01* -X125156941Y-111310586D01* -X125138369Y-111320513D01* -X125118208Y-111326628D01* -X125094131Y-111329000D01* -X123705869Y-111329000D01* -X123681792Y-111326628D01* -X123661631Y-111320513D01* -X123643059Y-111310586D01* -X123626777Y-111297223D01* -X123613414Y-111280941D01* -X123603487Y-111262369D01* -X123597372Y-111242208D01* -X123595000Y-111218131D01* -X123595000Y-110881869D01* -X123597372Y-110857792D01* -X123603487Y-110837631D01* -X123613414Y-110819059D01* -X123626777Y-110802777D01* -X123643059Y-110789414D01* -X123661631Y-110779487D01* -X123681792Y-110773372D01* -X123705869Y-110771000D01* -X125094131Y-110771000D01* -X125118208Y-110773372D01* -G37* -G36* -X70014511Y-110128534D02* -G01* -X70046019Y-110138092D01* -X70075054Y-110153611D01* -X70100503Y-110174497D01* -X70121389Y-110199946D01* -X70136908Y-110228981D01* -X70146466Y-110260489D01* -X70150000Y-110296367D01* -X70150000Y-111003633D01* -X70146466Y-111039511D01* -X70136908Y-111071019D01* -X70121389Y-111100054D01* -X70100503Y-111125503D01* -X70075054Y-111146389D01* -X70046019Y-111161908D01* -X70014511Y-111171466D01* -X69978633Y-111175000D01* -X69521367Y-111175000D01* -X69485489Y-111171466D01* -X69453981Y-111161908D01* -X69424946Y-111146389D01* -X69399497Y-111125503D01* -X69378611Y-111100054D01* -X69363092Y-111071019D01* -X69353534Y-111039511D01* -X69350000Y-111003633D01* -X69350000Y-110296367D01* -X69353534Y-110260489D01* -X69363092Y-110228981D01* -X69378611Y-110199946D01* -X69399497Y-110174497D01* -X69424946Y-110153611D01* -X69453981Y-110138092D01* -X69485489Y-110128534D01* -X69521367Y-110125000D01* -X69978633Y-110125000D01* -X70014511Y-110128534D01* -G37* -G36* -X71614511Y-110128534D02* -G01* -X71646019Y-110138092D01* -X71675054Y-110153611D01* -X71700503Y-110174497D01* -X71721389Y-110199946D01* -X71736908Y-110228981D01* -X71746466Y-110260489D01* -X71750000Y-110296367D01* -X71750000Y-111003633D01* -X71746466Y-111039511D01* -X71736908Y-111071019D01* -X71721389Y-111100054D01* -X71700503Y-111125503D01* -X71675054Y-111146389D01* -X71646019Y-111161908D01* -X71614511Y-111171466D01* -X71578633Y-111175000D01* -X71121367Y-111175000D01* -X71085489Y-111171466D01* -X71053981Y-111161908D01* -X71024946Y-111146389D01* -X70999497Y-111125503D01* -X70978611Y-111100054D01* -X70963092Y-111071019D01* -X70953534Y-111039511D01* -X70950000Y-111003633D01* -X70950000Y-110296367D01* -X70953534Y-110260489D01* -X70963092Y-110228981D01* -X70978611Y-110199946D01* -X70999497Y-110174497D01* -X71024946Y-110153611D01* -X71053981Y-110138092D01* -X71085489Y-110128534D01* -X71121367Y-110125000D01* -X71578633Y-110125000D01* -X71614511Y-110128534D01* -G37* -G36* -X109254468Y-110727363D02* -G01* -X109264774Y-110730489D01* -X109274274Y-110735567D01* -X109282600Y-110742400D01* -X109289433Y-110750726D01* -X109294511Y-110760226D01* -X109297637Y-110770532D01* -X109299000Y-110784368D01* -X109299000Y-111015632D01* -X109297637Y-111029468D01* -X109294511Y-111039774D01* -X109289433Y-111049274D01* -X109282600Y-111057600D01* -X109274274Y-111064433D01* -X109264774Y-111069511D01* -X109254468Y-111072637D01* -X109240632Y-111074000D01* -X108659368Y-111074000D01* -X108645532Y-111072637D01* -X108635226Y-111069511D01* -X108625726Y-111064433D01* -X108617400Y-111057600D01* -X108610567Y-111049274D01* -X108605489Y-111039774D01* -X108602363Y-111029468D01* -X108601000Y-111015632D01* -X108601000Y-110784368D01* -X108602363Y-110770532D01* -X108605489Y-110760226D01* -X108610567Y-110750726D01* -X108617400Y-110742400D01* -X108625726Y-110735567D01* -X108635226Y-110730489D01* -X108645532Y-110727363D01* -X108659368Y-110726000D01* -X109240632Y-110726000D01* -X109254468Y-110727363D01* -G37* -G36* -X108254468Y-110727363D02* -G01* -X108264774Y-110730489D01* -X108274274Y-110735567D01* -X108282600Y-110742400D01* -X108289433Y-110750726D01* -X108294511Y-110760226D01* -X108297637Y-110770532D01* -X108299000Y-110784368D01* -X108299000Y-111015632D01* -X108297637Y-111029468D01* -X108294511Y-111039774D01* -X108289433Y-111049274D01* -X108282600Y-111057600D01* -X108274274Y-111064433D01* -X108264774Y-111069511D01* -X108254468Y-111072637D01* -X108240632Y-111074000D01* -X107659368Y-111074000D01* -X107645532Y-111072637D01* -X107635226Y-111069511D01* -X107625726Y-111064433D01* -X107617400Y-111057600D01* -X107610567Y-111049274D01* -X107605489Y-111039774D01* -X107602363Y-111029468D01* -X107601000Y-111015632D01* -X107601000Y-110784368D01* -X107602363Y-110770532D01* -X107605489Y-110760226D01* -X107610567Y-110750726D01* -X107617400Y-110742400D01* -X107625726Y-110735567D01* -X107635226Y-110730489D01* -X107645532Y-110727363D01* -X107659368Y-110726000D01* -X108240632Y-110726000D01* -X108254468Y-110727363D01* -G37* -G36* -X109254468Y-110227363D02* -G01* -X109264774Y-110230489D01* -X109274274Y-110235567D01* -X109282600Y-110242400D01* -X109289433Y-110250726D01* -X109294511Y-110260226D01* -X109297637Y-110270532D01* -X109299000Y-110284368D01* -X109299000Y-110515632D01* -X109297637Y-110529468D01* -X109294511Y-110539774D01* -X109289433Y-110549274D01* -X109282600Y-110557600D01* -X109274274Y-110564433D01* -X109264774Y-110569511D01* -X109254468Y-110572637D01* -X109240632Y-110574000D01* -X108659368Y-110574000D01* -X108645532Y-110572637D01* -X108635226Y-110569511D01* -X108625726Y-110564433D01* -X108617400Y-110557600D01* -X108610567Y-110549274D01* -X108605489Y-110539774D01* -X108602363Y-110529468D01* -X108601000Y-110515632D01* -X108601000Y-110284368D01* -X108602363Y-110270532D01* -X108605489Y-110260226D01* -X108610567Y-110250726D01* -X108617400Y-110242400D01* -X108625726Y-110235567D01* -X108635226Y-110230489D01* -X108645532Y-110227363D01* -X108659368Y-110226000D01* -X109240632Y-110226000D01* -X109254468Y-110227363D01* -G37* -G36* -X108254468Y-110227363D02* -G01* -X108264774Y-110230489D01* -X108274274Y-110235567D01* -X108282600Y-110242400D01* -X108289433Y-110250726D01* -X108294511Y-110260226D01* -X108297637Y-110270532D01* -X108299000Y-110284368D01* -X108299000Y-110515632D01* -X108297637Y-110529468D01* -X108294511Y-110539774D01* -X108289433Y-110549274D01* -X108282600Y-110557600D01* -X108274274Y-110564433D01* -X108264774Y-110569511D01* -X108254468Y-110572637D01* -X108240632Y-110574000D01* -X107659368Y-110574000D01* -X107645532Y-110572637D01* -X107635226Y-110569511D01* -X107625726Y-110564433D01* -X107617400Y-110557600D01* -X107610567Y-110549274D01* -X107605489Y-110539774D01* -X107602363Y-110529468D01* -X107601000Y-110515632D01* -X107601000Y-110284368D01* -X107602363Y-110270532D01* -X107605489Y-110260226D01* -X107610567Y-110250726D01* -X107617400Y-110242400D01* -X107625726Y-110235567D01* -X107635226Y-110230489D01* -X107645532Y-110227363D01* -X107659368Y-110226000D01* -X108240632Y-110226000D01* -X108254468Y-110227363D01* -G37* -G36* -X125118208Y-109973372D02* -G01* -X125138369Y-109979487D01* -X125156941Y-109989414D01* -X125173223Y-110002777D01* -X125186586Y-110019059D01* -X125196513Y-110037631D01* -X125202628Y-110057792D01* -X125205000Y-110081869D01* -X125205000Y-110418131D01* -X125202628Y-110442208D01* -X125196513Y-110462369D01* -X125186586Y-110480941D01* -X125173223Y-110497223D01* -X125156941Y-110510586D01* -X125138369Y-110520513D01* -X125118208Y-110526628D01* -X125094131Y-110529000D01* -X123705869Y-110529000D01* -X123681792Y-110526628D01* -X123661631Y-110520513D01* -X123643059Y-110510586D01* -X123626777Y-110497223D01* -X123613414Y-110480941D01* -X123603487Y-110462369D01* -X123597372Y-110442208D01* -X123595000Y-110418131D01* -X123595000Y-110081869D01* -X123597372Y-110057792D01* -X123603487Y-110037631D01* -X123613414Y-110019059D01* -X123626777Y-110002777D01* -X123643059Y-109989414D01* -X123661631Y-109979487D01* -X123681792Y-109973372D01* -X123705869Y-109971000D01* -X125094131Y-109971000D01* -X125118208Y-109973372D01* -G37* -G36* -X113618208Y-109973372D02* -G01* -X113638369Y-109979487D01* -X113656941Y-109989414D01* -X113673223Y-110002777D01* -X113686586Y-110019059D01* -X113696513Y-110037631D01* -X113702628Y-110057792D01* -X113705000Y-110081869D01* -X113705000Y-110418131D01* -X113702628Y-110442208D01* -X113696513Y-110462369D01* -X113686586Y-110480941D01* -X113673223Y-110497223D01* -X113656941Y-110510586D01* -X113638369Y-110520513D01* -X113618208Y-110526628D01* -X113594131Y-110529000D01* -X112205869Y-110529000D01* -X112181792Y-110526628D01* -X112161631Y-110520513D01* -X112143059Y-110510586D01* -X112126777Y-110497223D01* -X112113414Y-110480941D01* -X112103487Y-110462369D01* -X112097372Y-110442208D01* -X112095000Y-110418131D01* -X112095000Y-110081869D01* -X112097372Y-110057792D01* -X112103487Y-110037631D01* -X112113414Y-110019059D01* -X112126777Y-110002777D01* -X112143059Y-109989414D01* -X112161631Y-109979487D01* -X112181792Y-109973372D01* -X112205869Y-109971000D01* -X113594131Y-109971000D01* -X113618208Y-109973372D01* -G37* -G36* -X109234344Y-109627843D02* -G01* -X109249345Y-109632393D01* -X109263163Y-109639779D01* -X109275278Y-109649722D01* -X109285221Y-109661837D01* -X109292607Y-109675655D01* -X109297157Y-109690656D01* -X109299000Y-109709367D01* -X109299000Y-109990633D01* -X109297157Y-110009344D01* -X109292607Y-110024345D01* -X109285221Y-110038163D01* -X109275278Y-110050278D01* -X109263163Y-110060221D01* -X109249345Y-110067607D01* -X109234344Y-110072157D01* -X109215633Y-110074000D01* -X108684367Y-110074000D01* -X108665656Y-110072157D01* -X108650655Y-110067607D01* -X108636837Y-110060221D01* -X108624722Y-110050278D01* -X108614779Y-110038163D01* -X108607393Y-110024345D01* -X108602843Y-110009344D01* -X108601000Y-109990633D01* -X108601000Y-109709367D01* -X108602843Y-109690656D01* -X108607393Y-109675655D01* -X108614779Y-109661837D01* -X108624722Y-109649722D01* -X108636837Y-109639779D01* -X108650655Y-109632393D01* -X108665656Y-109627843D01* -X108684367Y-109626000D01* -X109215633Y-109626000D01* -X109234344Y-109627843D01* -G37* -G36* -X108234344Y-109627843D02* -G01* -X108249345Y-109632393D01* -X108263163Y-109639779D01* -X108275278Y-109649722D01* -X108285221Y-109661837D01* -X108292607Y-109675655D01* -X108297157Y-109690656D01* -X108299000Y-109709367D01* -X108299000Y-109990633D01* -X108297157Y-110009344D01* -X108292607Y-110024345D01* -X108285221Y-110038163D01* -X108275278Y-110050278D01* -X108263163Y-110060221D01* -X108249345Y-110067607D01* -X108234344Y-110072157D01* -X108215633Y-110074000D01* -X107684367Y-110074000D01* -X107665656Y-110072157D01* -X107650655Y-110067607D01* -X107636837Y-110060221D01* -X107624722Y-110050278D01* -X107614779Y-110038163D01* -X107607393Y-110024345D01* -X107602843Y-110009344D01* -X107601000Y-109990633D01* -X107601000Y-109709367D01* -X107602843Y-109690656D01* -X107607393Y-109675655D01* -X107614779Y-109661837D01* -X107624722Y-109649722D01* -X107636837Y-109639779D01* -X107650655Y-109632393D01* -X107665656Y-109627843D01* -X107684367Y-109626000D01* -X108215633Y-109626000D01* -X108234344Y-109627843D01* -G37* -G36* -X111224986Y-108953306D02* -G01* -X111265980Y-108965742D01* -X111303767Y-108985939D01* -X111336883Y-109013117D01* -X111364061Y-109046233D01* -X111384258Y-109084020D01* -X111396694Y-109125014D01* -X111401200Y-109170767D01* -X111401200Y-109729233D01* -X111396694Y-109774986D01* -X111384258Y-109815980D01* -X111364061Y-109853767D01* -X111336883Y-109886883D01* -X111303767Y-109914061D01* -X111265980Y-109934258D01* -X111224986Y-109946694D01* -X111179233Y-109951200D01* -X110520767Y-109951200D01* -X110475014Y-109946694D01* -X110434020Y-109934258D01* -X110396233Y-109914061D01* -X110363117Y-109886883D01* -X110335939Y-109853767D01* -X110315742Y-109815980D01* -X110303306Y-109774986D01* -X110298800Y-109729233D01* -X110298800Y-109170767D01* -X110303306Y-109125014D01* -X110315742Y-109084020D01* -X110335939Y-109046233D01* -X110363117Y-109013117D01* -X110396233Y-108985939D01* -X110434020Y-108965742D01* -X110475014Y-108953306D01* -X110520767Y-108948800D01* -X111179233Y-108948800D01* -X111224986Y-108953306D01* -G37* -G36* -X97179468Y-108302363D02* -G01* -X97189774Y-108305489D01* -X97199274Y-108310567D01* -X97207600Y-108317400D01* -X97214433Y-108325726D01* -X97219511Y-108335226D01* -X97222637Y-108345532D01* -X97224000Y-108359368D01* -X97224000Y-109765632D01* -X97222637Y-109779468D01* -X97219511Y-109789774D01* -X97214433Y-109799274D01* -X97207600Y-109807600D01* -X97199274Y-109814433D01* -X97189774Y-109819511D01* -X97179468Y-109822637D01* -X97165632Y-109824000D01* -X96934368Y-109824000D01* -X96920532Y-109822637D01* -X96910226Y-109819511D01* -X96900726Y-109814433D01* -X96892400Y-109807600D01* -X96885567Y-109799274D01* -X96880489Y-109789774D01* -X96877363Y-109779468D01* -X96876000Y-109765632D01* -X96876000Y-108359368D01* -X96877363Y-108345532D01* -X96880489Y-108335226D01* -X96885567Y-108325726D01* -X96892400Y-108317400D01* -X96900726Y-108310567D01* -X96910226Y-108305489D01* -X96920532Y-108302363D01* -X96934368Y-108301000D01* -X97165632Y-108301000D01* -X97179468Y-108302363D01* -G37* -G36* -X94179468Y-108302363D02* -G01* -X94189774Y-108305489D01* -X94199274Y-108310567D01* -X94207600Y-108317400D01* -X94214433Y-108325726D01* -X94219511Y-108335226D01* -X94222637Y-108345532D01* -X94224000Y-108359368D01* -X94224000Y-109765632D01* -X94222637Y-109779468D01* -X94219511Y-109789774D01* -X94214433Y-109799274D01* -X94207600Y-109807600D01* -X94199274Y-109814433D01* -X94189774Y-109819511D01* -X94179468Y-109822637D01* -X94165632Y-109824000D01* -X93934368Y-109824000D01* -X93920532Y-109822637D01* -X93910226Y-109819511D01* -X93900726Y-109814433D01* -X93892400Y-109807600D01* -X93885567Y-109799274D01* -X93880489Y-109789774D01* -X93877363Y-109779468D01* -X93876000Y-109765632D01* -X93876000Y-108359368D01* -X93877363Y-108345532D01* -X93880489Y-108335226D01* -X93885567Y-108325726D01* -X93892400Y-108317400D01* -X93900726Y-108310567D01* -X93910226Y-108305489D01* -X93920532Y-108302363D01* -X93934368Y-108301000D01* -X94165632Y-108301000D01* -X94179468Y-108302363D01* -G37* -G36* -X97679468Y-108302363D02* -G01* -X97689774Y-108305489D01* -X97699274Y-108310567D01* -X97707600Y-108317400D01* -X97714433Y-108325726D01* -X97719511Y-108335226D01* -X97722637Y-108345532D01* -X97724000Y-108359368D01* -X97724000Y-109765632D01* -X97722637Y-109779468D01* -X97719511Y-109789774D01* -X97714433Y-109799274D01* -X97707600Y-109807600D01* -X97699274Y-109814433D01* -X97689774Y-109819511D01* -X97679468Y-109822637D01* -X97665632Y-109824000D01* -X97434368Y-109824000D01* -X97420532Y-109822637D01* -X97410226Y-109819511D01* -X97400726Y-109814433D01* -X97392400Y-109807600D01* -X97385567Y-109799274D01* -X97380489Y-109789774D01* -X97377363Y-109779468D01* -X97376000Y-109765632D01* -X97376000Y-108359368D01* -X97377363Y-108345532D01* -X97380489Y-108335226D01* -X97385567Y-108325726D01* -X97392400Y-108317400D01* -X97400726Y-108310567D01* -X97410226Y-108305489D01* -X97420532Y-108302363D01* -X97434368Y-108301000D01* -X97665632Y-108301000D01* -X97679468Y-108302363D01* -G37* -G36* -X100179468Y-108302363D02* -G01* -X100189774Y-108305489D01* -X100199274Y-108310567D01* -X100207600Y-108317400D01* -X100214433Y-108325726D01* -X100219511Y-108335226D01* -X100222637Y-108345532D01* -X100224000Y-108359368D01* -X100224000Y-109765632D01* -X100222637Y-109779468D01* -X100219511Y-109789774D01* -X100214433Y-109799274D01* -X100207600Y-109807600D01* -X100199274Y-109814433D01* -X100189774Y-109819511D01* -X100179468Y-109822637D01* -X100165632Y-109824000D01* -X99934368Y-109824000D01* -X99920532Y-109822637D01* -X99910226Y-109819511D01* -X99900726Y-109814433D01* -X99892400Y-109807600D01* -X99885567Y-109799274D01* -X99880489Y-109789774D01* -X99877363Y-109779468D01* -X99876000Y-109765632D01* -X99876000Y-108359368D01* -X99877363Y-108345532D01* -X99880489Y-108335226D01* -X99885567Y-108325726D01* -X99892400Y-108317400D01* -X99900726Y-108310567D01* -X99910226Y-108305489D01* -X99920532Y-108302363D01* -X99934368Y-108301000D01* -X100165632Y-108301000D01* -X100179468Y-108302363D01* -G37* -G36* -X98179468Y-108302363D02* -G01* -X98189774Y-108305489D01* -X98199274Y-108310567D01* -X98207600Y-108317400D01* -X98214433Y-108325726D01* -X98219511Y-108335226D01* -X98222637Y-108345532D01* -X98224000Y-108359368D01* -X98224000Y-109765632D01* -X98222637Y-109779468D01* -X98219511Y-109789774D01* -X98214433Y-109799274D01* -X98207600Y-109807600D01* -X98199274Y-109814433D01* -X98189774Y-109819511D01* -X98179468Y-109822637D01* -X98165632Y-109824000D01* -X97934368Y-109824000D01* -X97920532Y-109822637D01* -X97910226Y-109819511D01* -X97900726Y-109814433D01* -X97892400Y-109807600D01* -X97885567Y-109799274D01* -X97880489Y-109789774D01* -X97877363Y-109779468D01* -X97876000Y-109765632D01* -X97876000Y-108359368D01* -X97877363Y-108345532D01* -X97880489Y-108335226D01* -X97885567Y-108325726D01* -X97892400Y-108317400D01* -X97900726Y-108310567D01* -X97910226Y-108305489D01* -X97920532Y-108302363D01* -X97934368Y-108301000D01* -X98165632Y-108301000D01* -X98179468Y-108302363D01* -G37* -G36* -X93679468Y-108302363D02* -G01* -X93689774Y-108305489D01* -X93699274Y-108310567D01* -X93707600Y-108317400D01* -X93714433Y-108325726D01* -X93719511Y-108335226D01* -X93722637Y-108345532D01* -X93724000Y-108359368D01* -X93724000Y-109765632D01* -X93722637Y-109779468D01* -X93719511Y-109789774D01* -X93714433Y-109799274D01* -X93707600Y-109807600D01* -X93699274Y-109814433D01* -X93689774Y-109819511D01* -X93679468Y-109822637D01* -X93665632Y-109824000D01* -X93434368Y-109824000D01* -X93420532Y-109822637D01* -X93410226Y-109819511D01* -X93400726Y-109814433D01* -X93392400Y-109807600D01* -X93385567Y-109799274D01* -X93380489Y-109789774D01* -X93377363Y-109779468D01* -X93376000Y-109765632D01* -X93376000Y-108359368D01* -X93377363Y-108345532D01* -X93380489Y-108335226D01* -X93385567Y-108325726D01* -X93392400Y-108317400D01* -X93400726Y-108310567D01* -X93410226Y-108305489D01* -X93420532Y-108302363D01* -X93434368Y-108301000D01* -X93665632Y-108301000D01* -X93679468Y-108302363D01* -G37* -G36* -X98679468Y-108302363D02* -G01* -X98689774Y-108305489D01* -X98699274Y-108310567D01* -X98707600Y-108317400D01* -X98714433Y-108325726D01* -X98719511Y-108335226D01* -X98722637Y-108345532D01* -X98724000Y-108359368D01* -X98724000Y-109765632D01* -X98722637Y-109779468D01* -X98719511Y-109789774D01* -X98714433Y-109799274D01* -X98707600Y-109807600D01* -X98699274Y-109814433D01* -X98689774Y-109819511D01* -X98679468Y-109822637D01* -X98665632Y-109824000D01* -X98434368Y-109824000D01* -X98420532Y-109822637D01* -X98410226Y-109819511D01* -X98400726Y-109814433D01* -X98392400Y-109807600D01* -X98385567Y-109799274D01* -X98380489Y-109789774D01* -X98377363Y-109779468D01* -X98376000Y-109765632D01* -X98376000Y-108359368D01* -X98377363Y-108345532D01* -X98380489Y-108335226D01* -X98385567Y-108325726D01* -X98392400Y-108317400D01* -X98400726Y-108310567D01* -X98410226Y-108305489D01* -X98420532Y-108302363D01* -X98434368Y-108301000D01* -X98665632Y-108301000D01* -X98679468Y-108302363D01* -G37* -G36* -X99179468Y-108302363D02* -G01* -X99189774Y-108305489D01* -X99199274Y-108310567D01* -X99207600Y-108317400D01* -X99214433Y-108325726D01* -X99219511Y-108335226D01* -X99222637Y-108345532D01* -X99224000Y-108359368D01* -X99224000Y-109765632D01* -X99222637Y-109779468D01* -X99219511Y-109789774D01* -X99214433Y-109799274D01* -X99207600Y-109807600D01* -X99199274Y-109814433D01* -X99189774Y-109819511D01* -X99179468Y-109822637D01* -X99165632Y-109824000D01* -X98934368Y-109824000D01* -X98920532Y-109822637D01* -X98910226Y-109819511D01* -X98900726Y-109814433D01* -X98892400Y-109807600D01* -X98885567Y-109799274D01* -X98880489Y-109789774D01* -X98877363Y-109779468D01* -X98876000Y-109765632D01* -X98876000Y-108359368D01* -X98877363Y-108345532D01* -X98880489Y-108335226D01* -X98885567Y-108325726D01* -X98892400Y-108317400D01* -X98900726Y-108310567D01* -X98910226Y-108305489D01* -X98920532Y-108302363D01* -X98934368Y-108301000D01* -X99165632Y-108301000D01* -X99179468Y-108302363D01* -G37* -G36* -X99679468Y-108302363D02* -G01* -X99689774Y-108305489D01* -X99699274Y-108310567D01* -X99707600Y-108317400D01* -X99714433Y-108325726D01* -X99719511Y-108335226D01* -X99722637Y-108345532D01* -X99724000Y-108359368D01* -X99724000Y-109765632D01* -X99722637Y-109779468D01* -X99719511Y-109789774D01* -X99714433Y-109799274D01* -X99707600Y-109807600D01* -X99699274Y-109814433D01* -X99689774Y-109819511D01* -X99679468Y-109822637D01* -X99665632Y-109824000D01* -X99434368Y-109824000D01* -X99420532Y-109822637D01* -X99410226Y-109819511D01* -X99400726Y-109814433D01* -X99392400Y-109807600D01* -X99385567Y-109799274D01* -X99380489Y-109789774D01* -X99377363Y-109779468D01* -X99376000Y-109765632D01* -X99376000Y-108359368D01* -X99377363Y-108345532D01* -X99380489Y-108335226D01* -X99385567Y-108325726D01* -X99392400Y-108317400D01* -X99400726Y-108310567D01* -X99410226Y-108305489D01* -X99420532Y-108302363D01* -X99434368Y-108301000D01* -X99665632Y-108301000D01* -X99679468Y-108302363D01* -G37* -G36* -X96679468Y-108302363D02* -G01* -X96689774Y-108305489D01* -X96699274Y-108310567D01* -X96707600Y-108317400D01* -X96714433Y-108325726D01* -X96719511Y-108335226D01* -X96722637Y-108345532D01* -X96724000Y-108359368D01* -X96724000Y-109765632D01* -X96722637Y-109779468D01* -X96719511Y-109789774D01* -X96714433Y-109799274D01* -X96707600Y-109807600D01* -X96699274Y-109814433D01* -X96689774Y-109819511D01* -X96679468Y-109822637D01* -X96665632Y-109824000D01* -X96434368Y-109824000D01* -X96420532Y-109822637D01* -X96410226Y-109819511D01* -X96400726Y-109814433D01* -X96392400Y-109807600D01* -X96385567Y-109799274D01* -X96380489Y-109789774D01* -X96377363Y-109779468D01* -X96376000Y-109765632D01* -X96376000Y-108359368D01* -X96377363Y-108345532D01* -X96380489Y-108335226D01* -X96385567Y-108325726D01* -X96392400Y-108317400D01* -X96400726Y-108310567D01* -X96410226Y-108305489D01* -X96420532Y-108302363D01* -X96434368Y-108301000D01* -X96665632Y-108301000D01* -X96679468Y-108302363D01* -G37* -G36* -X96179468Y-108302363D02* -G01* -X96189774Y-108305489D01* -X96199274Y-108310567D01* -X96207600Y-108317400D01* -X96214433Y-108325726D01* -X96219511Y-108335226D01* -X96222637Y-108345532D01* -X96224000Y-108359368D01* -X96224000Y-109765632D01* -X96222637Y-109779468D01* -X96219511Y-109789774D01* -X96214433Y-109799274D01* -X96207600Y-109807600D01* -X96199274Y-109814433D01* -X96189774Y-109819511D01* -X96179468Y-109822637D01* -X96165632Y-109824000D01* -X95934368Y-109824000D01* -X95920532Y-109822637D01* -X95910226Y-109819511D01* -X95900726Y-109814433D01* -X95892400Y-109807600D01* -X95885567Y-109799274D01* -X95880489Y-109789774D01* -X95877363Y-109779468D01* -X95876000Y-109765632D01* -X95876000Y-108359368D01* -X95877363Y-108345532D01* -X95880489Y-108335226D01* -X95885567Y-108325726D01* -X95892400Y-108317400D01* -X95900726Y-108310567D01* -X95910226Y-108305489D01* -X95920532Y-108302363D01* -X95934368Y-108301000D01* -X96165632Y-108301000D01* -X96179468Y-108302363D01* -G37* -G36* -X95679468Y-108302363D02* -G01* -X95689774Y-108305489D01* -X95699274Y-108310567D01* -X95707600Y-108317400D01* -X95714433Y-108325726D01* -X95719511Y-108335226D01* -X95722637Y-108345532D01* -X95724000Y-108359368D01* -X95724000Y-109765632D01* -X95722637Y-109779468D01* -X95719511Y-109789774D01* -X95714433Y-109799274D01* -X95707600Y-109807600D01* -X95699274Y-109814433D01* -X95689774Y-109819511D01* -X95679468Y-109822637D01* -X95665632Y-109824000D01* -X95434368Y-109824000D01* -X95420532Y-109822637D01* -X95410226Y-109819511D01* -X95400726Y-109814433D01* -X95392400Y-109807600D01* -X95385567Y-109799274D01* -X95380489Y-109789774D01* -X95377363Y-109779468D01* -X95376000Y-109765632D01* -X95376000Y-108359368D01* -X95377363Y-108345532D01* -X95380489Y-108335226D01* -X95385567Y-108325726D01* -X95392400Y-108317400D01* -X95400726Y-108310567D01* -X95410226Y-108305489D01* -X95420532Y-108302363D01* -X95434368Y-108301000D01* -X95665632Y-108301000D01* -X95679468Y-108302363D01* -G37* -G36* -X95179468Y-108302363D02* -G01* -X95189774Y-108305489D01* -X95199274Y-108310567D01* -X95207600Y-108317400D01* -X95214433Y-108325726D01* -X95219511Y-108335226D01* -X95222637Y-108345532D01* -X95224000Y-108359368D01* -X95224000Y-109765632D01* -X95222637Y-109779468D01* -X95219511Y-109789774D01* -X95214433Y-109799274D01* -X95207600Y-109807600D01* -X95199274Y-109814433D01* -X95189774Y-109819511D01* -X95179468Y-109822637D01* -X95165632Y-109824000D01* -X94934368Y-109824000D01* -X94920532Y-109822637D01* -X94910226Y-109819511D01* -X94900726Y-109814433D01* -X94892400Y-109807600D01* -X94885567Y-109799274D01* -X94880489Y-109789774D01* -X94877363Y-109779468D01* -X94876000Y-109765632D01* -X94876000Y-108359368D01* -X94877363Y-108345532D01* -X94880489Y-108335226D01* -X94885567Y-108325726D01* -X94892400Y-108317400D01* -X94900726Y-108310567D01* -X94910226Y-108305489D01* -X94920532Y-108302363D01* -X94934368Y-108301000D01* -X95165632Y-108301000D01* -X95179468Y-108302363D01* -G37* -G36* -X94679468Y-108302363D02* -G01* -X94689774Y-108305489D01* -X94699274Y-108310567D01* -X94707600Y-108317400D01* -X94714433Y-108325726D01* -X94719511Y-108335226D01* -X94722637Y-108345532D01* -X94724000Y-108359368D01* -X94724000Y-109765632D01* -X94722637Y-109779468D01* -X94719511Y-109789774D01* -X94714433Y-109799274D01* -X94707600Y-109807600D01* -X94699274Y-109814433D01* -X94689774Y-109819511D01* -X94679468Y-109822637D01* -X94665632Y-109824000D01* -X94434368Y-109824000D01* -X94420532Y-109822637D01* -X94410226Y-109819511D01* -X94400726Y-109814433D01* -X94392400Y-109807600D01* -X94385567Y-109799274D01* -X94380489Y-109789774D01* -X94377363Y-109779468D01* -X94376000Y-109765632D01* -X94376000Y-108359368D01* -X94377363Y-108345532D01* -X94380489Y-108335226D01* -X94385567Y-108325726D01* -X94392400Y-108317400D01* -X94400726Y-108310567D01* -X94410226Y-108305489D01* -X94420532Y-108302363D01* -X94434368Y-108301000D01* -X94665632Y-108301000D01* -X94679468Y-108302363D01* -G37* -G36* -X93179468Y-108302363D02* -G01* -X93189774Y-108305489D01* -X93199274Y-108310567D01* -X93207600Y-108317400D01* -X93214433Y-108325726D01* -X93219511Y-108335226D01* -X93222637Y-108345532D01* -X93224000Y-108359368D01* -X93224000Y-109765632D01* -X93222637Y-109779468D01* -X93219511Y-109789774D01* -X93214433Y-109799274D01* -X93207600Y-109807600D01* -X93199274Y-109814433D01* -X93189774Y-109819511D01* -X93179468Y-109822637D01* -X93165632Y-109824000D01* -X92934368Y-109824000D01* -X92920532Y-109822637D01* -X92910226Y-109819511D01* -X92900726Y-109814433D01* -X92892400Y-109807600D01* -X92885567Y-109799274D01* -X92880489Y-109789774D01* -X92877363Y-109779468D01* -X92876000Y-109765632D01* -X92876000Y-108359368D01* -X92877363Y-108345532D01* -X92880489Y-108335226D01* -X92885567Y-108325726D01* -X92892400Y-108317400D01* -X92900726Y-108310567D01* -X92910226Y-108305489D01* -X92920532Y-108302363D01* -X92934368Y-108301000D01* -X93165632Y-108301000D01* -X93179468Y-108302363D01* -G37* -G36* -X92679468Y-108302363D02* -G01* -X92689774Y-108305489D01* -X92699274Y-108310567D01* -X92707600Y-108317400D01* -X92714433Y-108325726D01* -X92719511Y-108335226D01* -X92722637Y-108345532D01* -X92724000Y-108359368D01* -X92724000Y-109765632D01* -X92722637Y-109779468D01* -X92719511Y-109789774D01* -X92714433Y-109799274D01* -X92707600Y-109807600D01* -X92699274Y-109814433D01* -X92689774Y-109819511D01* -X92679468Y-109822637D01* -X92665632Y-109824000D01* -X92434368Y-109824000D01* -X92420532Y-109822637D01* -X92410226Y-109819511D01* -X92400726Y-109814433D01* -X92392400Y-109807600D01* -X92385567Y-109799274D01* -X92380489Y-109789774D01* -X92377363Y-109779468D01* -X92376000Y-109765632D01* -X92376000Y-108359368D01* -X92377363Y-108345532D01* -X92380489Y-108335226D01* -X92385567Y-108325726D01* -X92392400Y-108317400D01* -X92400726Y-108310567D01* -X92410226Y-108305489D01* -X92420532Y-108302363D01* -X92434368Y-108301000D01* -X92665632Y-108301000D01* -X92679468Y-108302363D01* -G37* -G36* -X92179468Y-108302363D02* -G01* -X92189774Y-108305489D01* -X92199274Y-108310567D01* -X92207600Y-108317400D01* -X92214433Y-108325726D01* -X92219511Y-108335226D01* -X92222637Y-108345532D01* -X92224000Y-108359368D01* -X92224000Y-109765632D01* -X92222637Y-109779468D01* -X92219511Y-109789774D01* -X92214433Y-109799274D01* -X92207600Y-109807600D01* -X92199274Y-109814433D01* -X92189774Y-109819511D01* -X92179468Y-109822637D01* -X92165632Y-109824000D01* -X91934368Y-109824000D01* -X91920532Y-109822637D01* -X91910226Y-109819511D01* -X91900726Y-109814433D01* -X91892400Y-109807600D01* -X91885567Y-109799274D01* -X91880489Y-109789774D01* -X91877363Y-109779468D01* -X91876000Y-109765632D01* -X91876000Y-108359368D01* -X91877363Y-108345532D01* -X91880489Y-108335226D01* -X91885567Y-108325726D01* -X91892400Y-108317400D01* -X91900726Y-108310567D01* -X91910226Y-108305489D01* -X91920532Y-108302363D01* -X91934368Y-108301000D01* -X92165632Y-108301000D01* -X92179468Y-108302363D01* -G37* -G36* -X91679468Y-108302363D02* -G01* -X91689774Y-108305489D01* -X91699274Y-108310567D01* -X91707600Y-108317400D01* -X91714433Y-108325726D01* -X91719511Y-108335226D01* -X91722637Y-108345532D01* -X91724000Y-108359368D01* -X91724000Y-109765632D01* -X91722637Y-109779468D01* -X91719511Y-109789774D01* -X91714433Y-109799274D01* -X91707600Y-109807600D01* -X91699274Y-109814433D01* -X91689774Y-109819511D01* -X91679468Y-109822637D01* -X91665632Y-109824000D01* -X91434368Y-109824000D01* -X91420532Y-109822637D01* -X91410226Y-109819511D01* -X91400726Y-109814433D01* -X91392400Y-109807600D01* -X91385567Y-109799274D01* -X91380489Y-109789774D01* -X91377363Y-109779468D01* -X91376000Y-109765632D01* -X91376000Y-108359368D01* -X91377363Y-108345532D01* -X91380489Y-108335226D01* -X91385567Y-108325726D01* -X91392400Y-108317400D01* -X91400726Y-108310567D01* -X91410226Y-108305489D01* -X91420532Y-108302363D01* -X91434368Y-108301000D01* -X91665632Y-108301000D01* -X91679468Y-108302363D01* -G37* -G36* -X88179468Y-108302363D02* -G01* -X88189774Y-108305489D01* -X88199274Y-108310567D01* -X88207600Y-108317400D01* -X88214433Y-108325726D01* -X88219511Y-108335226D01* -X88222637Y-108345532D01* -X88224000Y-108359368D01* -X88224000Y-109765632D01* -X88222637Y-109779468D01* -X88219511Y-109789774D01* -X88214433Y-109799274D01* -X88207600Y-109807600D01* -X88199274Y-109814433D01* -X88189774Y-109819511D01* -X88179468Y-109822637D01* -X88165632Y-109824000D01* -X87934368Y-109824000D01* -X87920532Y-109822637D01* -X87910226Y-109819511D01* -X87900726Y-109814433D01* -X87892400Y-109807600D01* -X87885567Y-109799274D01* -X87880489Y-109789774D01* -X87877363Y-109779468D01* -X87876000Y-109765632D01* -X87876000Y-108359368D01* -X87877363Y-108345532D01* -X87880489Y-108335226D01* -X87885567Y-108325726D01* -X87892400Y-108317400D01* -X87900726Y-108310567D01* -X87910226Y-108305489D01* -X87920532Y-108302363D01* -X87934368Y-108301000D01* -X88165632Y-108301000D01* -X88179468Y-108302363D01* -G37* -G36* -X88679468Y-108302363D02* -G01* -X88689774Y-108305489D01* -X88699274Y-108310567D01* -X88707600Y-108317400D01* -X88714433Y-108325726D01* -X88719511Y-108335226D01* -X88722637Y-108345532D01* -X88724000Y-108359368D01* -X88724000Y-109765632D01* -X88722637Y-109779468D01* -X88719511Y-109789774D01* -X88714433Y-109799274D01* -X88707600Y-109807600D01* -X88699274Y-109814433D01* -X88689774Y-109819511D01* -X88679468Y-109822637D01* -X88665632Y-109824000D01* -X88434368Y-109824000D01* -X88420532Y-109822637D01* -X88410226Y-109819511D01* -X88400726Y-109814433D01* -X88392400Y-109807600D01* -X88385567Y-109799274D01* -X88380489Y-109789774D01* -X88377363Y-109779468D01* -X88376000Y-109765632D01* -X88376000Y-108359368D01* -X88377363Y-108345532D01* -X88380489Y-108335226D01* -X88385567Y-108325726D01* -X88392400Y-108317400D01* -X88400726Y-108310567D01* -X88410226Y-108305489D01* -X88420532Y-108302363D01* -X88434368Y-108301000D01* -X88665632Y-108301000D01* -X88679468Y-108302363D01* -G37* -G36* -X89179468Y-108302363D02* -G01* -X89189774Y-108305489D01* -X89199274Y-108310567D01* -X89207600Y-108317400D01* -X89214433Y-108325726D01* -X89219511Y-108335226D01* -X89222637Y-108345532D01* -X89224000Y-108359368D01* -X89224000Y-109765632D01* -X89222637Y-109779468D01* -X89219511Y-109789774D01* -X89214433Y-109799274D01* -X89207600Y-109807600D01* -X89199274Y-109814433D01* -X89189774Y-109819511D01* -X89179468Y-109822637D01* -X89165632Y-109824000D01* -X88934368Y-109824000D01* -X88920532Y-109822637D01* -X88910226Y-109819511D01* -X88900726Y-109814433D01* -X88892400Y-109807600D01* -X88885567Y-109799274D01* -X88880489Y-109789774D01* -X88877363Y-109779468D01* -X88876000Y-109765632D01* -X88876000Y-108359368D01* -X88877363Y-108345532D01* -X88880489Y-108335226D01* -X88885567Y-108325726D01* -X88892400Y-108317400D01* -X88900726Y-108310567D01* -X88910226Y-108305489D01* -X88920532Y-108302363D01* -X88934368Y-108301000D01* -X89165632Y-108301000D01* -X89179468Y-108302363D01* -G37* -G36* -X89679468Y-108302363D02* -G01* -X89689774Y-108305489D01* -X89699274Y-108310567D01* -X89707600Y-108317400D01* -X89714433Y-108325726D01* -X89719511Y-108335226D01* -X89722637Y-108345532D01* -X89724000Y-108359368D01* -X89724000Y-109765632D01* -X89722637Y-109779468D01* -X89719511Y-109789774D01* -X89714433Y-109799274D01* -X89707600Y-109807600D01* -X89699274Y-109814433D01* -X89689774Y-109819511D01* -X89679468Y-109822637D01* -X89665632Y-109824000D01* -X89434368Y-109824000D01* -X89420532Y-109822637D01* -X89410226Y-109819511D01* -X89400726Y-109814433D01* -X89392400Y-109807600D01* -X89385567Y-109799274D01* -X89380489Y-109789774D01* -X89377363Y-109779468D01* -X89376000Y-109765632D01* -X89376000Y-108359368D01* -X89377363Y-108345532D01* -X89380489Y-108335226D01* -X89385567Y-108325726D01* -X89392400Y-108317400D01* -X89400726Y-108310567D01* -X89410226Y-108305489D01* -X89420532Y-108302363D01* -X89434368Y-108301000D01* -X89665632Y-108301000D01* -X89679468Y-108302363D01* -G37* -G36* -X90179468Y-108302363D02* -G01* -X90189774Y-108305489D01* -X90199274Y-108310567D01* -X90207600Y-108317400D01* -X90214433Y-108325726D01* -X90219511Y-108335226D01* -X90222637Y-108345532D01* -X90224000Y-108359368D01* -X90224000Y-109765632D01* -X90222637Y-109779468D01* -X90219511Y-109789774D01* -X90214433Y-109799274D01* -X90207600Y-109807600D01* -X90199274Y-109814433D01* -X90189774Y-109819511D01* -X90179468Y-109822637D01* -X90165632Y-109824000D01* -X89934368Y-109824000D01* -X89920532Y-109822637D01* -X89910226Y-109819511D01* -X89900726Y-109814433D01* -X89892400Y-109807600D01* -X89885567Y-109799274D01* -X89880489Y-109789774D01* -X89877363Y-109779468D01* -X89876000Y-109765632D01* -X89876000Y-108359368D01* -X89877363Y-108345532D01* -X89880489Y-108335226D01* -X89885567Y-108325726D01* -X89892400Y-108317400D01* -X89900726Y-108310567D01* -X89910226Y-108305489D01* -X89920532Y-108302363D01* -X89934368Y-108301000D01* -X90165632Y-108301000D01* -X90179468Y-108302363D01* -G37* -G36* -X91179468Y-108302363D02* -G01* -X91189774Y-108305489D01* -X91199274Y-108310567D01* -X91207600Y-108317400D01* -X91214433Y-108325726D01* -X91219511Y-108335226D01* -X91222637Y-108345532D01* -X91224000Y-108359368D01* -X91224000Y-109765632D01* -X91222637Y-109779468D01* -X91219511Y-109789774D01* -X91214433Y-109799274D01* -X91207600Y-109807600D01* -X91199274Y-109814433D01* -X91189774Y-109819511D01* -X91179468Y-109822637D01* -X91165632Y-109824000D01* -X90934368Y-109824000D01* -X90920532Y-109822637D01* -X90910226Y-109819511D01* -X90900726Y-109814433D01* -X90892400Y-109807600D01* -X90885567Y-109799274D01* -X90880489Y-109789774D01* -X90877363Y-109779468D01* -X90876000Y-109765632D01* -X90876000Y-108359368D01* -X90877363Y-108345532D01* -X90880489Y-108335226D01* -X90885567Y-108325726D01* -X90892400Y-108317400D01* -X90900726Y-108310567D01* -X90910226Y-108305489D01* -X90920532Y-108302363D01* -X90934368Y-108301000D01* -X91165632Y-108301000D01* -X91179468Y-108302363D01* -G37* -G36* -X90679468Y-108302363D02* -G01* -X90689774Y-108305489D01* -X90699274Y-108310567D01* -X90707600Y-108317400D01* -X90714433Y-108325726D01* -X90719511Y-108335226D01* -X90722637Y-108345532D01* -X90724000Y-108359368D01* -X90724000Y-109765632D01* -X90722637Y-109779468D01* -X90719511Y-109789774D01* -X90714433Y-109799274D01* -X90707600Y-109807600D01* -X90699274Y-109814433D01* -X90689774Y-109819511D01* -X90679468Y-109822637D01* -X90665632Y-109824000D01* -X90434368Y-109824000D01* -X90420532Y-109822637D01* -X90410226Y-109819511D01* -X90400726Y-109814433D01* -X90392400Y-109807600D01* -X90385567Y-109799274D01* -X90380489Y-109789774D01* -X90377363Y-109779468D01* -X90376000Y-109765632D01* -X90376000Y-108359368D01* -X90377363Y-108345532D01* -X90380489Y-108335226D01* -X90385567Y-108325726D01* -X90392400Y-108317400D01* -X90400726Y-108310567D01* -X90410226Y-108305489D01* -X90420532Y-108302363D01* -X90434368Y-108301000D01* -X90665632Y-108301000D01* -X90679468Y-108302363D01* -G37* -G36* -X125118208Y-109173372D02* -G01* -X125138369Y-109179487D01* -X125156941Y-109189414D01* -X125173223Y-109202777D01* -X125186586Y-109219059D01* -X125196513Y-109237631D01* -X125202628Y-109257792D01* -X125205000Y-109281869D01* -X125205000Y-109618131D01* -X125202628Y-109642208D01* -X125196513Y-109662369D01* -X125186586Y-109680941D01* -X125173223Y-109697223D01* -X125156941Y-109710586D01* -X125138369Y-109720513D01* -X125118208Y-109726628D01* -X125094131Y-109729000D01* -X123705869Y-109729000D01* -X123681792Y-109726628D01* -X123661631Y-109720513D01* -X123643059Y-109710586D01* -X123626777Y-109697223D01* -X123613414Y-109680941D01* -X123603487Y-109662369D01* -X123597372Y-109642208D01* -X123595000Y-109618131D01* -X123595000Y-109281869D01* -X123597372Y-109257792D01* -X123603487Y-109237631D01* -X123613414Y-109219059D01* -X123626777Y-109202777D01* -X123643059Y-109189414D01* -X123661631Y-109179487D01* -X123681792Y-109173372D01* -X123705869Y-109171000D01* -X125094131Y-109171000D01* -X125118208Y-109173372D01* -G37* -G36* -X113618208Y-109173372D02* -G01* -X113638369Y-109179487D01* -X113656941Y-109189414D01* -X113673223Y-109202777D01* -X113686586Y-109219059D01* -X113696513Y-109237631D01* -X113702628Y-109257792D01* -X113705000Y-109281869D01* -X113705000Y-109618131D01* -X113702628Y-109642208D01* -X113696513Y-109662369D01* -X113686586Y-109680941D01* -X113673223Y-109697223D01* -X113656941Y-109710586D01* -X113638369Y-109720513D01* -X113618208Y-109726628D01* -X113594131Y-109729000D01* -X112205869Y-109729000D01* -X112181792Y-109726628D01* -X112161631Y-109720513D01* -X112143059Y-109710586D01* -X112126777Y-109697223D01* -X112113414Y-109680941D01* -X112103487Y-109662369D01* -X112097372Y-109642208D01* -X112095000Y-109618131D01* -X112095000Y-109281869D01* -X112097372Y-109257792D01* -X112103487Y-109237631D01* -X112113414Y-109219059D01* -X112126777Y-109202777D01* -X112143059Y-109189414D01* -X112161631Y-109179487D01* -X112181792Y-109173372D01* -X112205869Y-109171000D01* -X113594131Y-109171000D01* -X113618208Y-109173372D01* -G37* -G36* -X81339511Y-108903534D02* -G01* -X81371019Y-108913092D01* -X81400054Y-108928611D01* -X81425503Y-108949497D01* -X81446389Y-108974946D01* -X81461908Y-109003981D01* -X81471466Y-109035489D01* -X81475000Y-109071367D01* -X81475000Y-109528633D01* -X81471466Y-109564511D01* -X81461908Y-109596019D01* -X81446389Y-109625054D01* -X81425503Y-109650503D01* -X81400054Y-109671389D01* -X81371019Y-109686908D01* -X81339511Y-109696466D01* -X81303633Y-109700000D01* -X80596367Y-109700000D01* -X80560489Y-109696466D01* -X80528981Y-109686908D01* -X80499946Y-109671389D01* -X80474497Y-109650503D01* -X80453611Y-109625054D01* -X80438092Y-109596019D01* -X80428534Y-109564511D01* -X80425000Y-109528633D01* -X80425000Y-109071367D01* -X80428534Y-109035489D01* -X80438092Y-109003981D01* -X80453611Y-108974946D01* -X80474497Y-108949497D01* -X80499946Y-108928611D01* -X80528981Y-108913092D01* -X80560489Y-108903534D01* -X80596367Y-108900000D01* -X81303633Y-108900000D01* -X81339511Y-108903534D01* -G37* -G36* -X65074800Y-109143800D02* -G01* -X63195200Y-109143800D01* -X63195200Y-107264200D01* -X65074800Y-107264200D01* -X65074800Y-109143800D01* -G37* -G36* -X61704690Y-107267607D02* -G01* -X61869133Y-107300316D01* -X62040160Y-107371158D01* -X62194086Y-107474008D01* -X62324992Y-107604914D01* -X62427842Y-107758840D01* -X62498684Y-107929867D01* -X62525820Y-108066294D01* -X62534800Y-108111438D01* -X62534800Y-108296562D01* -X62527577Y-108332875D01* -X62498684Y-108478133D01* -X62427842Y-108649160D01* -X62324992Y-108803086D01* -X62194086Y-108933992D01* -X62040160Y-109036842D01* -X61869133Y-109107684D01* -X61723875Y-109136577D01* -X61687562Y-109143800D01* -X61502438Y-109143800D01* -X61466125Y-109136577D01* -X61320867Y-109107684D01* -X61149840Y-109036842D01* -X60995914Y-108933992D01* -X60865008Y-108803086D01* -X60762158Y-108649160D01* -X60691316Y-108478133D01* -X60662423Y-108332875D01* -X60655200Y-108296562D01* -X60655200Y-108111438D01* -X60664180Y-108066294D01* -X60691316Y-107929867D01* -X60762158Y-107758840D01* -X60865008Y-107604914D01* -X60995914Y-107474008D01* -X61149840Y-107371158D01* -X61320867Y-107300316D01* -X61485310Y-107267607D01* -X61502438Y-107264200D01* -X61687562Y-107264200D01* -X61704690Y-107267607D01* -G37* -G36* -X126824986Y-108053306D02* -G01* -X126865980Y-108065742D01* -X126903767Y-108085939D01* -X126936883Y-108113117D01* -X126964061Y-108146233D01* -X126984258Y-108184020D01* -X126996694Y-108225014D01* -X127001200Y-108270767D01* -X127001200Y-108829233D01* -X126996694Y-108874986D01* -X126984258Y-108915980D01* -X126964061Y-108953767D01* -X126936883Y-108986883D01* -X126903767Y-109014061D01* -X126865980Y-109034258D01* -X126824986Y-109046694D01* -X126779233Y-109051200D01* -X126120767Y-109051200D01* -X126075014Y-109046694D01* -X126034020Y-109034258D01* -X125996233Y-109014061D01* -X125963117Y-108986883D01* -X125935939Y-108953767D01* -X125915742Y-108915980D01* -X125903306Y-108874986D01* -X125898800Y-108829233D01* -X125898800Y-108270767D01* -X125903306Y-108225014D01* -X125915742Y-108184020D01* -X125935939Y-108146233D01* -X125963117Y-108113117D01* -X125996233Y-108085939D01* -X126034020Y-108065742D01* -X126075014Y-108053306D01* -X126120767Y-108048800D01* -X126779233Y-108048800D01* -X126824986Y-108053306D01* -G37* -G36* -X125118208Y-108373372D02* -G01* -X125138369Y-108379487D01* -X125156941Y-108389414D01* -X125173223Y-108402777D01* -X125186586Y-108419059D01* -X125196513Y-108437631D01* -X125202628Y-108457792D01* -X125205000Y-108481869D01* -X125205000Y-108818131D01* -X125202628Y-108842208D01* -X125196513Y-108862369D01* -X125186586Y-108880941D01* -X125173223Y-108897223D01* -X125156941Y-108910586D01* -X125138369Y-108920513D01* -X125118208Y-108926628D01* -X125094131Y-108929000D01* -X123705869Y-108929000D01* -X123681792Y-108926628D01* -X123661631Y-108920513D01* -X123643059Y-108910586D01* -X123626777Y-108897223D01* -X123613414Y-108880941D01* -X123603487Y-108862369D01* -X123597372Y-108842208D01* -X123595000Y-108818131D01* -X123595000Y-108481869D01* -X123597372Y-108457792D01* -X123603487Y-108437631D01* -X123613414Y-108419059D01* -X123626777Y-108402777D01* -X123643059Y-108389414D01* -X123661631Y-108379487D01* -X123681792Y-108373372D01* -X123705869Y-108371000D01* -X125094131Y-108371000D01* -X125118208Y-108373372D01* -G37* -G36* -X113618208Y-108373372D02* -G01* -X113638369Y-108379487D01* -X113656941Y-108389414D01* -X113673223Y-108402777D01* -X113686586Y-108419059D01* -X113696513Y-108437631D01* -X113702628Y-108457792D01* -X113705000Y-108481869D01* -X113705000Y-108818131D01* -X113702628Y-108842208D01* -X113696513Y-108862369D01* -X113686586Y-108880941D01* -X113673223Y-108897223D01* -X113656941Y-108910586D01* -X113638369Y-108920513D01* -X113618208Y-108926628D01* -X113594131Y-108929000D01* -X112205869Y-108929000D01* -X112181792Y-108926628D01* -X112161631Y-108920513D01* -X112143059Y-108910586D01* -X112126777Y-108897223D01* -X112113414Y-108880941D01* -X112103487Y-108862369D01* -X112097372Y-108842208D01* -X112095000Y-108818131D01* -X112095000Y-108481869D01* -X112097372Y-108457792D01* -X112103487Y-108437631D01* -X112113414Y-108419059D01* -X112126777Y-108402777D01* -X112143059Y-108389414D01* -X112161631Y-108379487D01* -X112181792Y-108373372D01* -X112205869Y-108371000D01* -X113594131Y-108371000D01* -X113618208Y-108373372D01* -G37* -G36* -X111224986Y-107453306D02* -G01* -X111265980Y-107465742D01* -X111303767Y-107485939D01* -X111336883Y-107513117D01* -X111364061Y-107546233D01* -X111384258Y-107584020D01* -X111396694Y-107625014D01* -X111401200Y-107670767D01* -X111401200Y-108229233D01* -X111396694Y-108274986D01* -X111384258Y-108315980D01* -X111364061Y-108353767D01* -X111336883Y-108386883D01* -X111303767Y-108414061D01* -X111265980Y-108434258D01* -X111224986Y-108446694D01* -X111179233Y-108451200D01* -X110520767Y-108451200D01* -X110475014Y-108446694D01* -X110434020Y-108434258D01* -X110396233Y-108414061D01* -X110363117Y-108386883D01* -X110335939Y-108353767D01* -X110315742Y-108315980D01* -X110303306Y-108274986D01* -X110298800Y-108229233D01* -X110298800Y-107670767D01* -X110303306Y-107625014D01* -X110315742Y-107584020D01* -X110335939Y-107546233D01* -X110363117Y-107513117D01* -X110396233Y-107485939D01* -X110434020Y-107465742D01* -X110475014Y-107453306D01* -X110520767Y-107448800D01* -X111179233Y-107448800D01* -X111224986Y-107453306D01* -G37* -G36* -X125118208Y-107573372D02* -G01* -X125138369Y-107579487D01* -X125156941Y-107589414D01* -X125173223Y-107602777D01* -X125186586Y-107619059D01* -X125196513Y-107637631D01* -X125202628Y-107657792D01* -X125205000Y-107681869D01* -X125205000Y-108018131D01* -X125202628Y-108042208D01* -X125196513Y-108062369D01* -X125186586Y-108080941D01* -X125173223Y-108097223D01* -X125156941Y-108110586D01* -X125138369Y-108120513D01* -X125118208Y-108126628D01* -X125094131Y-108129000D01* -X123705869Y-108129000D01* -X123681792Y-108126628D01* -X123661631Y-108120513D01* -X123643059Y-108110586D01* -X123626777Y-108097223D01* -X123613414Y-108080941D01* -X123603487Y-108062369D01* -X123597372Y-108042208D01* -X123595000Y-108018131D01* -X123595000Y-107681869D01* -X123597372Y-107657792D01* -X123603487Y-107637631D01* -X123613414Y-107619059D01* -X123626777Y-107602777D01* -X123643059Y-107589414D01* -X123661631Y-107579487D01* -X123681792Y-107573372D01* -X123705869Y-107571000D01* -X125094131Y-107571000D01* -X125118208Y-107573372D01* -G37* -G36* -X113618208Y-107573372D02* -G01* -X113638369Y-107579487D01* -X113656941Y-107589414D01* -X113673223Y-107602777D01* -X113686586Y-107619059D01* -X113696513Y-107637631D01* -X113702628Y-107657792D01* -X113705000Y-107681869D01* -X113705000Y-108018131D01* -X113702628Y-108042208D01* -X113696513Y-108062369D01* -X113686586Y-108080941D01* -X113673223Y-108097223D01* -X113656941Y-108110586D01* -X113638369Y-108120513D01* -X113618208Y-108126628D01* -X113594131Y-108129000D01* -X112205869Y-108129000D01* -X112181792Y-108126628D01* -X112161631Y-108120513D01* -X112143059Y-108110586D01* -X112126777Y-108097223D01* -X112113414Y-108080941D01* -X112103487Y-108062369D01* -X112097372Y-108042208D01* -X112095000Y-108018131D01* -X112095000Y-107681869D01* -X112097372Y-107657792D01* -X112103487Y-107637631D01* -X112113414Y-107619059D01* -X112126777Y-107602777D01* -X112143059Y-107589414D01* -X112161631Y-107579487D01* -X112181792Y-107573372D01* -X112205869Y-107571000D01* -X113594131Y-107571000D01* -X113618208Y-107573372D01* -G37* -G36* -X81339511Y-107303534D02* -G01* -X81371019Y-107313092D01* -X81400054Y-107328611D01* -X81425503Y-107349497D01* -X81446389Y-107374946D01* -X81461908Y-107403981D01* -X81471466Y-107435489D01* -X81475000Y-107471367D01* -X81475000Y-107928633D01* -X81471466Y-107964511D01* -X81461908Y-107996019D01* -X81446389Y-108025054D01* -X81425503Y-108050503D01* -X81400054Y-108071389D01* -X81371019Y-108086908D01* -X81339511Y-108096466D01* -X81303633Y-108100000D01* -X80596367Y-108100000D01* -X80560489Y-108096466D01* -X80528981Y-108086908D01* -X80499946Y-108071389D01* -X80474497Y-108050503D01* -X80453611Y-108025054D01* -X80438092Y-107996019D01* -X80428534Y-107964511D01* -X80425000Y-107928633D01* -X80425000Y-107471367D01* -X80428534Y-107435489D01* -X80438092Y-107403981D01* -X80453611Y-107374946D01* -X80474497Y-107349497D01* -X80499946Y-107328611D01* -X80528981Y-107313092D01* -X80560489Y-107303534D01* -X80596367Y-107300000D01* -X81303633Y-107300000D01* -X81339511Y-107303534D01* -G37* -G36* -X87104468Y-107227363D02* -G01* -X87114774Y-107230489D01* -X87124274Y-107235567D01* -X87132600Y-107242400D01* -X87139433Y-107250726D01* -X87144511Y-107260226D01* -X87147637Y-107270532D01* -X87149000Y-107284368D01* -X87149000Y-107515632D01* -X87147637Y-107529468D01* -X87144511Y-107539774D01* -X87139433Y-107549274D01* -X87132600Y-107557600D01* -X87124274Y-107564433D01* -X87114774Y-107569511D01* -X87104468Y-107572637D01* -X87090632Y-107574000D01* -X85684368Y-107574000D01* -X85670532Y-107572637D01* -X85660226Y-107569511D01* -X85650726Y-107564433D01* -X85642400Y-107557600D01* -X85635567Y-107549274D01* -X85630489Y-107539774D01* -X85627363Y-107529468D01* -X85626000Y-107515632D01* -X85626000Y-107284368D01* -X85627363Y-107270532D01* -X85630489Y-107260226D01* -X85635567Y-107250726D01* -X85642400Y-107242400D01* -X85650726Y-107235567D01* -X85660226Y-107230489D01* -X85670532Y-107227363D01* -X85684368Y-107226000D01* -X87090632Y-107226000D01* -X87104468Y-107227363D01* -G37* -G36* -X102429468Y-107227363D02* -G01* -X102439774Y-107230489D01* -X102449274Y-107235567D01* -X102457600Y-107242400D01* -X102464433Y-107250726D01* -X102469511Y-107260226D01* -X102472637Y-107270532D01* -X102474000Y-107284368D01* -X102474000Y-107515632D01* -X102472637Y-107529468D01* -X102469511Y-107539774D01* -X102464433Y-107549274D01* -X102457600Y-107557600D01* -X102449274Y-107564433D01* -X102439774Y-107569511D01* -X102429468Y-107572637D01* -X102415632Y-107574000D01* -X101009368Y-107574000D01* -X100995532Y-107572637D01* -X100985226Y-107569511D01* -X100975726Y-107564433D01* -X100967400Y-107557600D01* -X100960567Y-107549274D01* -X100955489Y-107539774D01* -X100952363Y-107529468D01* -X100951000Y-107515632D01* -X100951000Y-107284368D01* -X100952363Y-107270532D01* -X100955489Y-107260226D01* -X100960567Y-107250726D01* -X100967400Y-107242400D01* -X100975726Y-107235567D01* -X100985226Y-107230489D01* -X100995532Y-107227363D01* -X101009368Y-107226000D01* -X102415632Y-107226000D01* -X102429468Y-107227363D01* -G37* -G36* -X126824986Y-106553306D02* -G01* -X126865980Y-106565742D01* -X126903767Y-106585939D01* -X126936883Y-106613117D01* -X126964061Y-106646233D01* -X126984258Y-106684020D01* -X126996694Y-106725014D01* -X127001200Y-106770767D01* -X127001200Y-107329233D01* -X126996694Y-107374986D01* -X126984258Y-107415980D01* -X126964061Y-107453767D01* -X126936883Y-107486883D01* -X126903767Y-107514061D01* -X126865980Y-107534258D01* -X126824986Y-107546694D01* -X126779233Y-107551200D01* -X126120767Y-107551200D01* -X126075014Y-107546694D01* -X126034020Y-107534258D01* -X125996233Y-107514061D01* -X125963117Y-107486883D01* -X125935939Y-107453767D01* -X125915742Y-107415980D01* -X125903306Y-107374986D01* -X125898800Y-107329233D01* -X125898800Y-106770767D01* -X125903306Y-106725014D01* -X125915742Y-106684020D01* -X125935939Y-106646233D01* -X125963117Y-106613117D01* -X125996233Y-106585939D01* -X126034020Y-106565742D01* -X126075014Y-106553306D01* -X126120767Y-106548800D01* -X126779233Y-106548800D01* -X126824986Y-106553306D01* -G37* -G36* -X125118208Y-106773372D02* -G01* -X125138369Y-106779487D01* -X125156941Y-106789414D01* -X125173223Y-106802777D01* -X125186586Y-106819059D01* -X125196513Y-106837631D01* -X125202628Y-106857792D01* -X125205000Y-106881869D01* -X125205000Y-107218131D01* -X125202628Y-107242208D01* -X125196513Y-107262369D01* -X125186586Y-107280941D01* -X125173223Y-107297223D01* -X125156941Y-107310586D01* -X125138369Y-107320513D01* -X125118208Y-107326628D01* -X125094131Y-107329000D01* -X123705869Y-107329000D01* -X123681792Y-107326628D01* -X123661631Y-107320513D01* -X123643059Y-107310586D01* -X123626777Y-107297223D01* -X123613414Y-107280941D01* -X123603487Y-107262369D01* -X123597372Y-107242208D01* -X123595000Y-107218131D01* -X123595000Y-106881869D01* -X123597372Y-106857792D01* -X123603487Y-106837631D01* -X123613414Y-106819059D01* -X123626777Y-106802777D01* -X123643059Y-106789414D01* -X123661631Y-106779487D01* -X123681792Y-106773372D01* -X123705869Y-106771000D01* -X125094131Y-106771000D01* -X125118208Y-106773372D01* -G37* -G36* -X113618208Y-106773372D02* -G01* -X113638369Y-106779487D01* -X113656941Y-106789414D01* -X113673223Y-106802777D01* -X113686586Y-106819059D01* -X113696513Y-106837631D01* -X113702628Y-106857792D01* -X113705000Y-106881869D01* -X113705000Y-107218131D01* -X113702628Y-107242208D01* -X113696513Y-107262369D01* -X113686586Y-107280941D01* -X113673223Y-107297223D01* -X113656941Y-107310586D01* -X113638369Y-107320513D01* -X113618208Y-107326628D01* -X113594131Y-107329000D01* -X112205869Y-107329000D01* -X112181792Y-107326628D01* -X112161631Y-107320513D01* -X112143059Y-107310586D01* -X112126777Y-107297223D01* -X112113414Y-107280941D01* -X112103487Y-107262369D01* -X112097372Y-107242208D01* -X112095000Y-107218131D01* -X112095000Y-106881869D01* -X112097372Y-106857792D01* -X112103487Y-106837631D01* -X112113414Y-106819059D01* -X112126777Y-106802777D01* -X112143059Y-106789414D01* -X112161631Y-106779487D01* -X112181792Y-106773372D01* -X112205869Y-106771000D01* -X113594131Y-106771000D01* -X113618208Y-106773372D01* -G37* -G36* -X108234344Y-106827843D02* -G01* -X108249345Y-106832393D01* -X108263163Y-106839779D01* -X108275278Y-106849722D01* -X108285221Y-106861837D01* -X108292607Y-106875655D01* -X108297157Y-106890656D01* -X108299000Y-106909367D01* -X108299000Y-107190633D01* -X108297157Y-107209344D01* -X108292607Y-107224345D01* -X108285221Y-107238163D01* -X108275278Y-107250278D01* -X108263163Y-107260221D01* -X108249345Y-107267607D01* -X108234344Y-107272157D01* -X108215633Y-107274000D01* -X107684367Y-107274000D01* -X107665656Y-107272157D01* -X107650655Y-107267607D01* -X107636837Y-107260221D01* -X107624722Y-107250278D01* -X107614779Y-107238163D01* -X107607393Y-107224345D01* -X107602843Y-107209344D01* -X107601000Y-107190633D01* -X107601000Y-106909367D01* -X107602843Y-106890656D01* -X107607393Y-106875655D01* -X107614779Y-106861837D01* -X107624722Y-106849722D01* -X107636837Y-106839779D01* -X107650655Y-106832393D01* -X107665656Y-106827843D01* -X107684367Y-106826000D01* -X108215633Y-106826000D01* -X108234344Y-106827843D01* -G37* -G36* -X109234344Y-106827843D02* -G01* -X109249345Y-106832393D01* -X109263163Y-106839779D01* -X109275278Y-106849722D01* -X109285221Y-106861837D01* -X109292607Y-106875655D01* -X109297157Y-106890656D01* -X109299000Y-106909367D01* -X109299000Y-107190633D01* -X109297157Y-107209344D01* -X109292607Y-107224345D01* -X109285221Y-107238163D01* -X109275278Y-107250278D01* -X109263163Y-107260221D01* -X109249345Y-107267607D01* -X109234344Y-107272157D01* -X109215633Y-107274000D01* -X108684367Y-107274000D01* -X108665656Y-107272157D01* -X108650655Y-107267607D01* -X108636837Y-107260221D01* -X108624722Y-107250278D01* -X108614779Y-107238163D01* -X108607393Y-107224345D01* -X108602843Y-107209344D01* -X108601000Y-107190633D01* -X108601000Y-106909367D01* -X108602843Y-106890656D01* -X108607393Y-106875655D01* -X108614779Y-106861837D01* -X108624722Y-106849722D01* -X108636837Y-106839779D01* -X108650655Y-106832393D01* -X108665656Y-106827843D01* -X108684367Y-106826000D01* -X109215633Y-106826000D01* -X109234344Y-106827843D01* -G37* -G36* -X87104468Y-106727363D02* -G01* -X87114774Y-106730489D01* -X87124274Y-106735567D01* -X87132600Y-106742400D01* -X87139433Y-106750726D01* -X87144511Y-106760226D01* -X87147637Y-106770532D01* -X87149000Y-106784368D01* -X87149000Y-107015632D01* -X87147637Y-107029468D01* -X87144511Y-107039774D01* -X87139433Y-107049274D01* -X87132600Y-107057600D01* -X87124274Y-107064433D01* -X87114774Y-107069511D01* -X87104468Y-107072637D01* -X87090632Y-107074000D01* -X85684368Y-107074000D01* -X85670532Y-107072637D01* -X85660226Y-107069511D01* -X85650726Y-107064433D01* -X85642400Y-107057600D01* -X85635567Y-107049274D01* -X85630489Y-107039774D01* -X85627363Y-107029468D01* -X85626000Y-107015632D01* -X85626000Y-106784368D01* -X85627363Y-106770532D01* -X85630489Y-106760226D01* -X85635567Y-106750726D01* -X85642400Y-106742400D01* -X85650726Y-106735567D01* -X85660226Y-106730489D01* -X85670532Y-106727363D01* -X85684368Y-106726000D01* -X87090632Y-106726000D01* -X87104468Y-106727363D01* -G37* -G36* -X102429468Y-106727363D02* -G01* -X102439774Y-106730489D01* -X102449274Y-106735567D01* -X102457600Y-106742400D01* -X102464433Y-106750726D01* -X102469511Y-106760226D01* -X102472637Y-106770532D01* -X102474000Y-106784368D01* -X102474000Y-107015632D01* -X102472637Y-107029468D01* -X102469511Y-107039774D01* -X102464433Y-107049274D01* -X102457600Y-107057600D01* -X102449274Y-107064433D01* -X102439774Y-107069511D01* -X102429468Y-107072637D01* -X102415632Y-107074000D01* -X101009368Y-107074000D01* -X100995532Y-107072637D01* -X100985226Y-107069511D01* -X100975726Y-107064433D01* -X100967400Y-107057600D01* -X100960567Y-107049274D01* -X100955489Y-107039774D01* -X100952363Y-107029468D01* -X100951000Y-107015632D01* -X100951000Y-106784368D01* -X100952363Y-106770532D01* -X100955489Y-106760226D01* -X100960567Y-106750726D01* -X100967400Y-106742400D01* -X100975726Y-106735567D01* -X100985226Y-106730489D01* -X100995532Y-106727363D01* -X101009368Y-106726000D01* -X102415632Y-106726000D01* -X102429468Y-106727363D01* -G37* -G36* -X108254468Y-106327363D02* -G01* -X108264774Y-106330489D01* -X108274274Y-106335567D01* -X108282600Y-106342400D01* -X108289433Y-106350726D01* -X108294511Y-106360226D01* -X108297637Y-106370532D01* -X108299000Y-106384368D01* -X108299000Y-106615632D01* -X108297637Y-106629468D01* -X108294511Y-106639774D01* -X108289433Y-106649274D01* -X108282600Y-106657600D01* -X108274274Y-106664433D01* -X108264774Y-106669511D01* -X108254468Y-106672637D01* -X108240632Y-106674000D01* -X107659368Y-106674000D01* -X107645532Y-106672637D01* -X107635226Y-106669511D01* -X107625726Y-106664433D01* -X107617400Y-106657600D01* -X107610567Y-106649274D01* -X107605489Y-106639774D01* -X107602363Y-106629468D01* -X107601000Y-106615632D01* -X107601000Y-106384368D01* -X107602363Y-106370532D01* -X107605489Y-106360226D01* -X107610567Y-106350726D01* -X107617400Y-106342400D01* -X107625726Y-106335567D01* -X107635226Y-106330489D01* -X107645532Y-106327363D01* -X107659368Y-106326000D01* -X108240632Y-106326000D01* -X108254468Y-106327363D01* -G37* -G36* -X109254468Y-106327363D02* -G01* -X109264774Y-106330489D01* -X109274274Y-106335567D01* -X109282600Y-106342400D01* -X109289433Y-106350726D01* -X109294511Y-106360226D01* -X109297637Y-106370532D01* -X109299000Y-106384368D01* -X109299000Y-106615632D01* -X109297637Y-106629468D01* -X109294511Y-106639774D01* -X109289433Y-106649274D01* -X109282600Y-106657600D01* -X109274274Y-106664433D01* -X109264774Y-106669511D01* -X109254468Y-106672637D01* -X109240632Y-106674000D01* -X108659368Y-106674000D01* -X108645532Y-106672637D01* -X108635226Y-106669511D01* -X108625726Y-106664433D01* -X108617400Y-106657600D01* -X108610567Y-106649274D01* -X108605489Y-106639774D01* -X108602363Y-106629468D01* -X108601000Y-106615632D01* -X108601000Y-106384368D01* -X108602363Y-106370532D01* -X108605489Y-106360226D01* -X108610567Y-106350726D01* -X108617400Y-106342400D01* -X108625726Y-106335567D01* -X108635226Y-106330489D01* -X108645532Y-106327363D01* -X108659368Y-106326000D01* -X109240632Y-106326000D01* -X109254468Y-106327363D01* -G37* -G36* -X64259179Y-104730489D02* -G01* -X64409133Y-104760316D01* -X64580160Y-104831158D01* -X64734086Y-104934008D01* -X64864992Y-105064914D01* -X64967842Y-105218840D01* -X65038684Y-105389867D01* -X65064627Y-105520293D01* -X65073407Y-105564433D01* -X65074800Y-105571439D01* -X65074800Y-105756561D01* -X65038684Y-105938133D01* -X64967842Y-106109160D01* -X64864992Y-106263086D01* -X64734086Y-106393992D01* -X64580160Y-106496842D01* -X64409133Y-106567684D01* -X64263875Y-106596577D01* -X64227562Y-106603800D01* -X64042438Y-106603800D01* -X64006125Y-106596577D01* -X63860867Y-106567684D01* -X63689840Y-106496842D01* -X63535914Y-106393992D01* -X63405008Y-106263086D01* -X63302158Y-106109160D01* -X63231316Y-105938133D01* -X63195200Y-105756561D01* -X63195200Y-105571439D01* -X63196594Y-105564433D01* -X63205373Y-105520293D01* -X63231316Y-105389867D01* -X63302158Y-105218840D01* -X63405008Y-105064914D01* -X63535914Y-104934008D01* -X63689840Y-104831158D01* -X63860867Y-104760316D01* -X64010821Y-104730489D01* -X64042438Y-104724200D01* -X64227562Y-104724200D01* -X64259179Y-104730489D01* -G37* -G36* -X61719179Y-104730489D02* -G01* -X61869133Y-104760316D01* -X62040160Y-104831158D01* -X62194086Y-104934008D01* -X62324992Y-105064914D01* -X62427842Y-105218840D01* -X62498684Y-105389867D01* -X62524627Y-105520293D01* -X62533407Y-105564433D01* -X62534800Y-105571439D01* -X62534800Y-105756561D01* -X62498684Y-105938133D01* -X62427842Y-106109160D01* -X62324992Y-106263086D01* -X62194086Y-106393992D01* -X62040160Y-106496842D01* -X61869133Y-106567684D01* -X61723875Y-106596577D01* -X61687562Y-106603800D01* -X61502438Y-106603800D01* -X61466125Y-106596577D01* -X61320867Y-106567684D01* -X61149840Y-106496842D01* -X60995914Y-106393992D01* -X60865008Y-106263086D01* -X60762158Y-106109160D01* -X60691316Y-105938133D01* -X60655200Y-105756561D01* -X60655200Y-105571439D01* -X60656594Y-105564433D01* -X60665373Y-105520293D01* -X60691316Y-105389867D01* -X60762158Y-105218840D01* -X60865008Y-105064914D01* -X60995914Y-104934008D01* -X61149840Y-104831158D01* -X61320867Y-104760316D01* -X61470821Y-104730489D01* -X61502438Y-104724200D01* -X61687562Y-104724200D01* -X61719179Y-104730489D01* -G37* -G36* -X102429468Y-106227363D02* -G01* -X102439774Y-106230489D01* -X102449274Y-106235567D01* -X102457600Y-106242400D01* -X102464433Y-106250726D01* -X102469511Y-106260226D01* -X102472637Y-106270532D01* -X102474000Y-106284368D01* -X102474000Y-106515632D01* -X102472637Y-106529468D01* -X102469511Y-106539774D01* -X102464433Y-106549274D01* -X102457600Y-106557600D01* -X102449274Y-106564433D01* -X102439774Y-106569511D01* -X102429468Y-106572637D01* -X102415632Y-106574000D01* -X101009368Y-106574000D01* -X100995532Y-106572637D01* -X100985226Y-106569511D01* -X100975726Y-106564433D01* -X100967400Y-106557600D01* -X100960567Y-106549274D01* -X100955489Y-106539774D01* -X100952363Y-106529468D01* -X100951000Y-106515632D01* -X100951000Y-106284368D01* -X100952363Y-106270532D01* -X100955489Y-106260226D01* -X100960567Y-106250726D01* -X100967400Y-106242400D01* -X100975726Y-106235567D01* -X100985226Y-106230489D01* -X100995532Y-106227363D01* -X101009368Y-106226000D01* -X102415632Y-106226000D01* -X102429468Y-106227363D01* -G37* -G36* -X87104468Y-106227363D02* -G01* -X87114774Y-106230489D01* -X87124274Y-106235567D01* -X87132600Y-106242400D01* -X87139433Y-106250726D01* -X87144511Y-106260226D01* -X87147637Y-106270532D01* -X87149000Y-106284368D01* -X87149000Y-106515632D01* -X87147637Y-106529468D01* -X87144511Y-106539774D01* -X87139433Y-106549274D01* -X87132600Y-106557600D01* -X87124274Y-106564433D01* -X87114774Y-106569511D01* -X87104468Y-106572637D01* -X87090632Y-106574000D01* -X85684368Y-106574000D01* -X85670532Y-106572637D01* -X85660226Y-106569511D01* -X85650726Y-106564433D01* -X85642400Y-106557600D01* -X85635567Y-106549274D01* -X85630489Y-106539774D01* -X85627363Y-106529468D01* -X85626000Y-106515632D01* -X85626000Y-106284368D01* -X85627363Y-106270532D01* -X85630489Y-106260226D01* -X85635567Y-106250726D01* -X85642400Y-106242400D01* -X85650726Y-106235567D01* -X85660226Y-106230489D01* -X85670532Y-106227363D01* -X85684368Y-106226000D01* -X87090632Y-106226000D01* -X87104468Y-106227363D01* -G37* -G36* -X125118208Y-105973372D02* -G01* -X125138369Y-105979487D01* -X125156941Y-105989414D01* -X125173223Y-106002777D01* -X125186586Y-106019059D01* -X125196513Y-106037631D01* -X125202628Y-106057792D01* -X125205000Y-106081869D01* -X125205000Y-106418131D01* -X125202628Y-106442208D01* -X125196513Y-106462369D01* -X125186586Y-106480941D01* -X125173223Y-106497223D01* -X125156941Y-106510586D01* -X125138369Y-106520513D01* -X125118208Y-106526628D01* -X125094131Y-106529000D01* -X123705869Y-106529000D01* -X123681792Y-106526628D01* -X123661631Y-106520513D01* -X123643059Y-106510586D01* -X123626777Y-106497223D01* -X123613414Y-106480941D01* -X123603487Y-106462369D01* -X123597372Y-106442208D01* -X123595000Y-106418131D01* -X123595000Y-106081869D01* -X123597372Y-106057792D01* -X123603487Y-106037631D01* -X123613414Y-106019059D01* -X123626777Y-106002777D01* -X123643059Y-105989414D01* -X123661631Y-105979487D01* -X123681792Y-105973372D01* -X123705869Y-105971000D01* -X125094131Y-105971000D01* -X125118208Y-105973372D01* -G37* -G36* -X113618208Y-105973372D02* -G01* -X113638369Y-105979487D01* -X113656941Y-105989414D01* -X113673223Y-106002777D01* -X113686586Y-106019059D01* -X113696513Y-106037631D01* -X113702628Y-106057792D01* -X113705000Y-106081869D01* -X113705000Y-106418131D01* -X113702628Y-106442208D01* -X113696513Y-106462369D01* -X113686586Y-106480941D01* -X113673223Y-106497223D01* -X113656941Y-106510586D01* -X113638369Y-106520513D01* -X113618208Y-106526628D01* -X113594131Y-106529000D01* -X112205869Y-106529000D01* -X112181792Y-106526628D01* -X112161631Y-106520513D01* -X112143059Y-106510586D01* -X112126777Y-106497223D01* -X112113414Y-106480941D01* -X112103487Y-106462369D01* -X112097372Y-106442208D01* -X112095000Y-106418131D01* -X112095000Y-106081869D01* -X112097372Y-106057792D01* -X112103487Y-106037631D01* -X112113414Y-106019059D01* -X112126777Y-106002777D01* -X112143059Y-105989414D01* -X112161631Y-105979487D01* -X112181792Y-105973372D01* -X112205869Y-105971000D01* -X113594131Y-105971000D01* -X113618208Y-105973372D01* -G37* -G36* -X109254468Y-105827363D02* -G01* -X109264774Y-105830489D01* -X109274274Y-105835567D01* -X109282600Y-105842400D01* -X109289433Y-105850726D01* -X109294511Y-105860226D01* -X109297637Y-105870532D01* -X109299000Y-105884368D01* -X109299000Y-106115632D01* -X109297637Y-106129468D01* -X109294511Y-106139774D01* -X109289433Y-106149274D01* -X109282600Y-106157600D01* -X109274274Y-106164433D01* -X109264774Y-106169511D01* -X109254468Y-106172637D01* -X109240632Y-106174000D01* -X108659368Y-106174000D01* -X108645532Y-106172637D01* -X108635226Y-106169511D01* -X108625726Y-106164433D01* -X108617400Y-106157600D01* -X108610567Y-106149274D01* -X108605489Y-106139774D01* -X108602363Y-106129468D01* -X108601000Y-106115632D01* -X108601000Y-105884368D01* -X108602363Y-105870532D01* -X108605489Y-105860226D01* -X108610567Y-105850726D01* -X108617400Y-105842400D01* -X108625726Y-105835567D01* -X108635226Y-105830489D01* -X108645532Y-105827363D01* -X108659368Y-105826000D01* -X109240632Y-105826000D01* -X109254468Y-105827363D01* -G37* -G36* -X108254468Y-105827363D02* -G01* -X108264774Y-105830489D01* -X108274274Y-105835567D01* -X108282600Y-105842400D01* -X108289433Y-105850726D01* -X108294511Y-105860226D01* -X108297637Y-105870532D01* -X108299000Y-105884368D01* -X108299000Y-106115632D01* -X108297637Y-106129468D01* -X108294511Y-106139774D01* -X108289433Y-106149274D01* -X108282600Y-106157600D01* -X108274274Y-106164433D01* -X108264774Y-106169511D01* -X108254468Y-106172637D01* -X108240632Y-106174000D01* -X107659368Y-106174000D01* -X107645532Y-106172637D01* -X107635226Y-106169511D01* -X107625726Y-106164433D01* -X107617400Y-106157600D01* -X107610567Y-106149274D01* -X107605489Y-106139774D01* -X107602363Y-106129468D01* -X107601000Y-106115632D01* -X107601000Y-105884368D01* -X107602363Y-105870532D01* -X107605489Y-105860226D01* -X107610567Y-105850726D01* -X107617400Y-105842400D01* -X107625726Y-105835567D01* -X107635226Y-105830489D01* -X107645532Y-105827363D01* -X107659368Y-105826000D01* -X108240632Y-105826000D01* -X108254468Y-105827363D01* -G37* -G36* -X102429468Y-105727363D02* -G01* -X102439774Y-105730489D01* -X102449274Y-105735567D01* -X102457600Y-105742400D01* -X102464433Y-105750726D01* -X102469511Y-105760226D01* -X102472637Y-105770532D01* -X102474000Y-105784368D01* -X102474000Y-106015632D01* -X102472637Y-106029468D01* -X102469511Y-106039774D01* -X102464433Y-106049274D01* -X102457600Y-106057600D01* -X102449274Y-106064433D01* -X102439774Y-106069511D01* -X102429468Y-106072637D01* -X102415632Y-106074000D01* -X101009368Y-106074000D01* -X100995532Y-106072637D01* -X100985226Y-106069511D01* -X100975726Y-106064433D01* -X100967400Y-106057600D01* -X100960567Y-106049274D01* -X100955489Y-106039774D01* -X100952363Y-106029468D01* -X100951000Y-106015632D01* -X100951000Y-105784368D01* -X100952363Y-105770532D01* -X100955489Y-105760226D01* -X100960567Y-105750726D01* -X100967400Y-105742400D01* -X100975726Y-105735567D01* -X100985226Y-105730489D01* -X100995532Y-105727363D01* -X101009368Y-105726000D01* -X102415632Y-105726000D01* -X102429468Y-105727363D01* -G37* -G36* -X87104468Y-105727363D02* -G01* -X87114774Y-105730489D01* -X87124274Y-105735567D01* -X87132600Y-105742400D01* -X87139433Y-105750726D01* -X87144511Y-105760226D01* -X87147637Y-105770532D01* -X87149000Y-105784368D01* -X87149000Y-106015632D01* -X87147637Y-106029468D01* -X87144511Y-106039774D01* -X87139433Y-106049274D01* -X87132600Y-106057600D01* -X87124274Y-106064433D01* -X87114774Y-106069511D01* -X87104468Y-106072637D01* -X87090632Y-106074000D01* -X85684368Y-106074000D01* -X85670532Y-106072637D01* -X85660226Y-106069511D01* -X85650726Y-106064433D01* -X85642400Y-106057600D01* -X85635567Y-106049274D01* -X85630489Y-106039774D01* -X85627363Y-106029468D01* -X85626000Y-106015632D01* -X85626000Y-105784368D01* -X85627363Y-105770532D01* -X85630489Y-105760226D01* -X85635567Y-105750726D01* -X85642400Y-105742400D01* -X85650726Y-105735567D01* -X85660226Y-105730489D01* -X85670532Y-105727363D01* -X85684368Y-105726000D01* -X87090632Y-105726000D01* -X87104468Y-105727363D01* -G37* -G36* -X125118208Y-105173372D02* -G01* -X125138369Y-105179487D01* -X125156941Y-105189414D01* -X125173223Y-105202777D01* -X125186586Y-105219059D01* -X125196513Y-105237631D01* -X125202628Y-105257792D01* -X125205000Y-105281869D01* -X125205000Y-105618131D01* -X125202628Y-105642208D01* -X125196513Y-105662369D01* -X125186586Y-105680941D01* -X125173223Y-105697223D01* -X125156941Y-105710586D01* -X125138369Y-105720513D01* -X125118208Y-105726628D01* -X125094131Y-105729000D01* -X123705869Y-105729000D01* -X123681792Y-105726628D01* -X123661631Y-105720513D01* -X123643059Y-105710586D01* -X123626777Y-105697223D01* -X123613414Y-105680941D01* -X123603487Y-105662369D01* -X123597372Y-105642208D01* -X123595000Y-105618131D01* -X123595000Y-105281869D01* -X123597372Y-105257792D01* -X123603487Y-105237631D01* -X123613414Y-105219059D01* -X123626777Y-105202777D01* -X123643059Y-105189414D01* -X123661631Y-105179487D01* -X123681792Y-105173372D01* -X123705869Y-105171000D01* -X125094131Y-105171000D01* -X125118208Y-105173372D01* -G37* -G36* -X113618208Y-105173372D02* -G01* -X113638369Y-105179487D01* -X113656941Y-105189414D01* -X113673223Y-105202777D01* -X113686586Y-105219059D01* -X113696513Y-105237631D01* -X113702628Y-105257792D01* -X113705000Y-105281869D01* -X113705000Y-105618131D01* -X113702628Y-105642208D01* -X113696513Y-105662369D01* -X113686586Y-105680941D01* -X113673223Y-105697223D01* -X113656941Y-105710586D01* -X113638369Y-105720513D01* -X113618208Y-105726628D01* -X113594131Y-105729000D01* -X112205869Y-105729000D01* -X112181792Y-105726628D01* -X112161631Y-105720513D01* -X112143059Y-105710586D01* -X112126777Y-105697223D01* -X112113414Y-105680941D01* -X112103487Y-105662369D01* -X112097372Y-105642208D01* -X112095000Y-105618131D01* -X112095000Y-105281869D01* -X112097372Y-105257792D01* -X112103487Y-105237631D01* -X112113414Y-105219059D01* -X112126777Y-105202777D01* -X112143059Y-105189414D01* -X112161631Y-105179487D01* -X112181792Y-105173372D01* -X112205869Y-105171000D01* -X113594131Y-105171000D01* -X113618208Y-105173372D01* -G37* -G36* -X109234344Y-105227843D02* -G01* -X109249345Y-105232393D01* -X109263163Y-105239779D01* -X109275278Y-105249722D01* -X109285221Y-105261837D01* -X109292607Y-105275655D01* -X109297157Y-105290656D01* -X109299000Y-105309367D01* -X109299000Y-105590633D01* -X109297157Y-105609344D01* -X109292607Y-105624345D01* -X109285221Y-105638163D01* -X109275278Y-105650278D01* -X109263163Y-105660221D01* -X109249345Y-105667607D01* -X109234344Y-105672157D01* -X109215633Y-105674000D01* -X108684367Y-105674000D01* -X108665656Y-105672157D01* -X108650655Y-105667607D01* -X108636837Y-105660221D01* -X108624722Y-105650278D01* -X108614779Y-105638163D01* -X108607393Y-105624345D01* -X108602843Y-105609344D01* -X108601000Y-105590633D01* -X108601000Y-105309367D01* -X108602843Y-105290656D01* -X108607393Y-105275655D01* -X108614779Y-105261837D01* -X108624722Y-105249722D01* -X108636837Y-105239779D01* -X108650655Y-105232393D01* -X108665656Y-105227843D01* -X108684367Y-105226000D01* -X109215633Y-105226000D01* -X109234344Y-105227843D01* -G37* -G36* -X108234344Y-105227843D02* -G01* -X108249345Y-105232393D01* -X108263163Y-105239779D01* -X108275278Y-105249722D01* -X108285221Y-105261837D01* -X108292607Y-105275655D01* -X108297157Y-105290656D01* -X108299000Y-105309367D01* -X108299000Y-105590633D01* -X108297157Y-105609344D01* -X108292607Y-105624345D01* -X108285221Y-105638163D01* -X108275278Y-105650278D01* -X108263163Y-105660221D01* -X108249345Y-105667607D01* -X108234344Y-105672157D01* -X108215633Y-105674000D01* -X107684367Y-105674000D01* -X107665656Y-105672157D01* -X107650655Y-105667607D01* -X107636837Y-105660221D01* -X107624722Y-105650278D01* -X107614779Y-105638163D01* -X107607393Y-105624345D01* -X107602843Y-105609344D01* -X107601000Y-105590633D01* -X107601000Y-105309367D01* -X107602843Y-105290656D01* -X107607393Y-105275655D01* -X107614779Y-105261837D01* -X107624722Y-105249722D01* -X107636837Y-105239779D01* -X107650655Y-105232393D01* -X107665656Y-105227843D01* -X107684367Y-105226000D01* -X108215633Y-105226000D01* -X108234344Y-105227843D01* -G37* -G36* -X87104468Y-105227363D02* -G01* -X87114774Y-105230489D01* -X87124274Y-105235567D01* -X87132600Y-105242400D01* -X87139433Y-105250726D01* -X87144511Y-105260226D01* -X87147637Y-105270532D01* -X87149000Y-105284368D01* -X87149000Y-105515632D01* -X87147637Y-105529468D01* -X87144511Y-105539774D01* -X87139433Y-105549274D01* -X87132600Y-105557600D01* -X87124274Y-105564433D01* -X87114774Y-105569511D01* -X87104468Y-105572637D01* -X87090632Y-105574000D01* -X85684368Y-105574000D01* -X85670532Y-105572637D01* -X85660226Y-105569511D01* -X85650726Y-105564433D01* -X85642400Y-105557600D01* -X85635567Y-105549274D01* -X85630489Y-105539774D01* -X85627363Y-105529468D01* -X85626000Y-105515632D01* -X85626000Y-105284368D01* -X85627363Y-105270532D01* -X85630489Y-105260226D01* -X85635567Y-105250726D01* -X85642400Y-105242400D01* -X85650726Y-105235567D01* -X85660226Y-105230489D01* -X85670532Y-105227363D01* -X85684368Y-105226000D01* -X87090632Y-105226000D01* -X87104468Y-105227363D01* -G37* -G36* -X102429468Y-105227363D02* -G01* -X102439774Y-105230489D01* -X102449274Y-105235567D01* -X102457600Y-105242400D01* -X102464433Y-105250726D01* -X102469511Y-105260226D01* -X102472637Y-105270532D01* -X102474000Y-105284368D01* -X102474000Y-105515632D01* -X102472637Y-105529468D01* -X102469511Y-105539774D01* -X102464433Y-105549274D01* -X102457600Y-105557600D01* -X102449274Y-105564433D01* -X102439774Y-105569511D01* -X102429468Y-105572637D01* -X102415632Y-105574000D01* -X101009368Y-105574000D01* -X100995532Y-105572637D01* -X100985226Y-105569511D01* -X100975726Y-105564433D01* -X100967400Y-105557600D01* -X100960567Y-105549274D01* -X100955489Y-105539774D01* -X100952363Y-105529468D01* -X100951000Y-105515632D01* -X100951000Y-105284368D01* -X100952363Y-105270532D01* -X100955489Y-105260226D01* -X100960567Y-105250726D01* -X100967400Y-105242400D01* -X100975726Y-105235567D01* -X100985226Y-105230489D01* -X100995532Y-105227363D01* -X101009368Y-105226000D01* -X102415632Y-105226000D01* -X102429468Y-105227363D01* -G37* -G36* -X104109329Y-104579255D02* -G01* -X104147868Y-104590945D01* -X104183388Y-104609931D01* -X104214520Y-104635480D01* -X104240069Y-104666612D01* -X104259055Y-104702132D01* -X104270745Y-104740671D01* -X104275000Y-104783868D01* -X104275000Y-105316132D01* -X104270745Y-105359329D01* -X104259055Y-105397868D01* -X104240069Y-105433388D01* -X104214520Y-105464520D01* -X104183388Y-105490069D01* -X104147868Y-105509055D01* -X104109329Y-105520745D01* -X104066132Y-105525000D01* -X103433868Y-105525000D01* -X103390671Y-105520745D01* -X103352132Y-105509055D01* -X103316612Y-105490069D01* -X103285480Y-105464520D01* -X103259931Y-105433388D01* -X103240945Y-105397868D01* -X103229255Y-105359329D01* -X103225000Y-105316132D01* -X103225000Y-104783868D01* -X103229255Y-104740671D01* -X103240945Y-104702132D01* -X103259931Y-104666612D01* -X103285480Y-104635480D01* -X103316612Y-104609931D01* -X103352132Y-104590945D01* -X103390671Y-104579255D01* -X103433868Y-104575000D01* -X104066132Y-104575000D01* -X104109329Y-104579255D01* -G37* -G36* -X111174986Y-104303306D02* -G01* -X111215980Y-104315742D01* -X111253767Y-104335939D01* -X111286883Y-104363117D01* -X111314061Y-104396233D01* -X111334258Y-104434020D01* -X111346694Y-104475014D01* -X111351200Y-104520767D01* -X111351200Y-105079233D01* -X111346694Y-105124986D01* -X111334258Y-105165980D01* -X111314061Y-105203767D01* -X111286883Y-105236883D01* -X111253767Y-105264061D01* -X111215980Y-105284258D01* -X111174986Y-105296694D01* -X111129233Y-105301200D01* -X110470767Y-105301200D01* -X110425014Y-105296694D01* -X110384020Y-105284258D01* -X110346233Y-105264061D01* -X110313117Y-105236883D01* -X110285939Y-105203767D01* -X110265742Y-105165980D01* -X110253306Y-105124986D01* -X110248800Y-105079233D01* -X110248800Y-104520767D01* -X110253306Y-104475014D01* -X110265742Y-104434020D01* -X110285939Y-104396233D01* -X110313117Y-104363117D01* -X110346233Y-104335939D01* -X110384020Y-104315742D01* -X110425014Y-104303306D01* -X110470767Y-104298800D01* -X111129233Y-104298800D01* -X111174986Y-104303306D01* -G37* -G36* -X78750511Y-103464534D02* -G01* -X78782019Y-103474092D01* -X78811054Y-103489611D01* -X78836503Y-103510497D01* -X78857389Y-103535946D01* -X78872908Y-103564981D01* -X78882466Y-103596489D01* -X78886000Y-103632367D01* -X78886000Y-105089633D01* -X78882466Y-105125511D01* -X78872908Y-105157019D01* -X78857389Y-105186054D01* -X78836503Y-105211503D01* -X78811054Y-105232389D01* -X78782019Y-105247908D01* -X78750511Y-105257466D01* -X78714633Y-105261000D01* -X78257367Y-105261000D01* -X78221489Y-105257466D01* -X78189981Y-105247908D01* -X78160946Y-105232389D01* -X78135497Y-105211503D01* -X78114611Y-105186054D01* -X78099092Y-105157019D01* -X78089534Y-105125511D01* -X78086000Y-105089633D01* -X78086000Y-103632367D01* -X78089534Y-103596489D01* -X78099092Y-103564981D01* -X78114611Y-103535946D01* -X78135497Y-103510497D01* -X78160946Y-103489611D01* -X78189981Y-103474092D01* -X78221489Y-103464534D01* -X78257367Y-103461000D01* -X78714633Y-103461000D01* -X78750511Y-103464534D01* -G37* -G36* -X80020511Y-103464534D02* -G01* -X80052019Y-103474092D01* -X80081054Y-103489611D01* -X80106503Y-103510497D01* -X80127389Y-103535946D01* -X80142908Y-103564981D01* -X80152466Y-103596489D01* -X80156000Y-103632367D01* -X80156000Y-105089633D01* -X80152466Y-105125511D01* -X80142908Y-105157019D01* -X80127389Y-105186054D01* -X80106503Y-105211503D01* -X80081054Y-105232389D01* -X80052019Y-105247908D01* -X80020511Y-105257466D01* -X79984633Y-105261000D01* -X79527367Y-105261000D01* -X79491489Y-105257466D01* -X79459981Y-105247908D01* -X79430946Y-105232389D01* -X79405497Y-105211503D01* -X79384611Y-105186054D01* -X79369092Y-105157019D01* -X79359534Y-105125511D01* -X79356000Y-105089633D01* -X79356000Y-103632367D01* -X79359534Y-103596489D01* -X79369092Y-103564981D01* -X79384611Y-103535946D01* -X79405497Y-103510497D01* -X79430946Y-103489611D01* -X79459981Y-103474092D01* -X79491489Y-103464534D01* -X79527367Y-103461000D01* -X79984633Y-103461000D01* -X80020511Y-103464534D01* -G37* -G36* -X77480511Y-103464534D02* -G01* -X77512019Y-103474092D01* -X77541054Y-103489611D01* -X77566503Y-103510497D01* -X77587389Y-103535946D01* -X77602908Y-103564981D01* -X77612466Y-103596489D01* -X77616000Y-103632367D01* -X77616000Y-105089633D01* -X77612466Y-105125511D01* -X77602908Y-105157019D01* -X77587389Y-105186054D01* -X77566503Y-105211503D01* -X77541054Y-105232389D01* -X77512019Y-105247908D01* -X77480511Y-105257466D01* -X77444633Y-105261000D01* -X76987367Y-105261000D01* -X76951489Y-105257466D01* -X76919981Y-105247908D01* -X76890946Y-105232389D01* -X76865497Y-105211503D01* -X76844611Y-105186054D01* -X76829092Y-105157019D01* -X76819534Y-105125511D01* -X76816000Y-105089633D01* -X76816000Y-103632367D01* -X76819534Y-103596489D01* -X76829092Y-103564981D01* -X76844611Y-103535946D01* -X76865497Y-103510497D01* -X76890946Y-103489611D01* -X76919981Y-103474092D01* -X76951489Y-103464534D01* -X76987367Y-103461000D01* -X77444633Y-103461000D01* -X77480511Y-103464534D01* -G37* -G36* -X81290511Y-103464534D02* -G01* -X81322019Y-103474092D01* -X81351054Y-103489611D01* -X81376503Y-103510497D01* -X81397389Y-103535946D01* -X81412908Y-103564981D01* -X81422466Y-103596489D01* -X81426000Y-103632367D01* -X81426000Y-105089633D01* -X81422466Y-105125511D01* -X81412908Y-105157019D01* -X81397389Y-105186054D01* -X81376503Y-105211503D01* -X81351054Y-105232389D01* -X81322019Y-105247908D01* -X81290511Y-105257466D01* -X81254633Y-105261000D01* -X80797367Y-105261000D01* -X80761489Y-105257466D01* -X80729981Y-105247908D01* -X80700946Y-105232389D01* -X80675497Y-105211503D01* -X80654611Y-105186054D01* -X80639092Y-105157019D01* -X80629534Y-105125511D01* -X80626000Y-105089633D01* -X80626000Y-103632367D01* -X80629534Y-103596489D01* -X80639092Y-103564981D01* -X80654611Y-103535946D01* -X80675497Y-103510497D01* -X80700946Y-103489611D01* -X80729981Y-103474092D01* -X80761489Y-103464534D01* -X80797367Y-103461000D01* -X81254633Y-103461000D01* -X81290511Y-103464534D01* -G37* -G36* -X87104468Y-104727363D02* -G01* -X87114774Y-104730489D01* -X87124274Y-104735567D01* -X87132600Y-104742400D01* -X87139433Y-104750726D01* -X87144511Y-104760226D01* -X87147637Y-104770532D01* -X87149000Y-104784368D01* -X87149000Y-105015632D01* -X87147637Y-105029468D01* -X87144511Y-105039774D01* -X87139433Y-105049274D01* -X87132600Y-105057600D01* -X87124274Y-105064433D01* -X87114774Y-105069511D01* -X87104468Y-105072637D01* -X87090632Y-105074000D01* -X85684368Y-105074000D01* -X85670532Y-105072637D01* -X85660226Y-105069511D01* -X85650726Y-105064433D01* -X85642400Y-105057600D01* -X85635567Y-105049274D01* -X85630489Y-105039774D01* -X85627363Y-105029468D01* -X85626000Y-105015632D01* -X85626000Y-104784368D01* -X85627363Y-104770532D01* -X85630489Y-104760226D01* -X85635567Y-104750726D01* -X85642400Y-104742400D01* -X85650726Y-104735567D01* -X85660226Y-104730489D01* -X85670532Y-104727363D01* -X85684368Y-104726000D01* -X87090632Y-104726000D01* -X87104468Y-104727363D01* -G37* -G36* -X102429468Y-104727363D02* -G01* -X102439774Y-104730489D01* -X102449274Y-104735567D01* -X102457600Y-104742400D01* -X102464433Y-104750726D01* -X102469511Y-104760226D01* -X102472637Y-104770532D01* -X102474000Y-104784368D01* -X102474000Y-105015632D01* -X102472637Y-105029468D01* -X102469511Y-105039774D01* -X102464433Y-105049274D01* -X102457600Y-105057600D01* -X102449274Y-105064433D01* -X102439774Y-105069511D01* -X102429468Y-105072637D01* -X102415632Y-105074000D01* -X101009368Y-105074000D01* -X100995532Y-105072637D01* -X100985226Y-105069511D01* -X100975726Y-105064433D01* -X100967400Y-105057600D01* -X100960567Y-105049274D01* -X100955489Y-105039774D01* -X100952363Y-105029468D01* -X100951000Y-105015632D01* -X100951000Y-104784368D01* -X100952363Y-104770532D01* -X100955489Y-104760226D01* -X100960567Y-104750726D01* -X100967400Y-104742400D01* -X100975726Y-104735567D01* -X100985226Y-104730489D01* -X100995532Y-104727363D01* -X101009368Y-104726000D01* -X102415632Y-104726000D01* -X102429468Y-104727363D01* -G37* -G36* -X126824986Y-104053306D02* -G01* -X126865980Y-104065742D01* -X126903767Y-104085939D01* -X126936883Y-104113117D01* -X126964061Y-104146233D01* -X126984258Y-104184020D01* -X126996694Y-104225014D01* -X127001200Y-104270767D01* -X127001200Y-104829233D01* -X126996694Y-104874986D01* -X126984258Y-104915980D01* -X126964061Y-104953767D01* -X126936883Y-104986883D01* -X126903767Y-105014061D01* -X126865980Y-105034258D01* -X126824986Y-105046694D01* -X126779233Y-105051200D01* -X126120767Y-105051200D01* -X126075014Y-105046694D01* -X126034020Y-105034258D01* -X125996233Y-105014061D01* -X125963117Y-104986883D01* -X125935939Y-104953767D01* -X125915742Y-104915980D01* -X125903306Y-104874986D01* -X125898800Y-104829233D01* -X125898800Y-104270767D01* -X125903306Y-104225014D01* -X125915742Y-104184020D01* -X125935939Y-104146233D01* -X125963117Y-104113117D01* -X125996233Y-104085939D01* -X126034020Y-104065742D01* -X126075014Y-104053306D01* -X126120767Y-104048800D01* -X126779233Y-104048800D01* -X126824986Y-104053306D01* -G37* -G36* -X113618208Y-104373372D02* -G01* -X113638369Y-104379487D01* -X113656941Y-104389414D01* -X113673223Y-104402777D01* -X113686586Y-104419059D01* -X113696513Y-104437631D01* -X113702628Y-104457792D01* -X113705000Y-104481869D01* -X113705000Y-104818131D01* -X113702628Y-104842208D01* -X113696513Y-104862369D01* -X113686586Y-104880941D01* -X113673223Y-104897223D01* -X113656941Y-104910586D01* -X113638369Y-104920513D01* -X113618208Y-104926628D01* -X113594131Y-104929000D01* -X112205869Y-104929000D01* -X112181792Y-104926628D01* -X112161631Y-104920513D01* -X112143059Y-104910586D01* -X112126777Y-104897223D01* -X112113414Y-104880941D01* -X112103487Y-104862369D01* -X112097372Y-104842208D01* -X112095000Y-104818131D01* -X112095000Y-104481869D01* -X112097372Y-104457792D01* -X112103487Y-104437631D01* -X112113414Y-104419059D01* -X112126777Y-104402777D01* -X112143059Y-104389414D01* -X112161631Y-104379487D01* -X112181792Y-104373372D01* -X112205869Y-104371000D01* -X113594131Y-104371000D01* -X113618208Y-104373372D01* -G37* -G36* -X125118208Y-104373372D02* -G01* -X125138369Y-104379487D01* -X125156941Y-104389414D01* -X125173223Y-104402777D01* -X125186586Y-104419059D01* -X125196513Y-104437631D01* -X125202628Y-104457792D01* -X125205000Y-104481869D01* -X125205000Y-104818131D01* -X125202628Y-104842208D01* -X125196513Y-104862369D01* -X125186586Y-104880941D01* -X125173223Y-104897223D01* -X125156941Y-104910586D01* -X125138369Y-104920513D01* -X125118208Y-104926628D01* -X125094131Y-104929000D01* -X123705869Y-104929000D01* -X123681792Y-104926628D01* -X123661631Y-104920513D01* -X123643059Y-104910586D01* -X123626777Y-104897223D01* -X123613414Y-104880941D01* -X123603487Y-104862369D01* -X123597372Y-104842208D01* -X123595000Y-104818131D01* -X123595000Y-104481869D01* -X123597372Y-104457792D01* -X123603487Y-104437631D01* -X123613414Y-104419059D01* -X123626777Y-104402777D01* -X123643059Y-104389414D01* -X123661631Y-104379487D01* -X123681792Y-104373372D01* -X123705869Y-104371000D01* -X125094131Y-104371000D01* -X125118208Y-104373372D01* -G37* -G36* -X83159329Y-103830255D02* -G01* -X83197868Y-103841945D01* -X83233388Y-103860931D01* -X83264520Y-103886480D01* -X83290069Y-103917612D01* -X83309055Y-103953132D01* -X83320745Y-103991671D01* -X83325000Y-104034868D01* -X83325000Y-104567132D01* -X83320745Y-104610329D01* -X83309055Y-104648868D01* -X83290069Y-104684388D01* -X83264520Y-104715520D01* -X83233388Y-104741069D01* -X83197868Y-104760055D01* -X83159329Y-104771745D01* -X83116132Y-104776000D01* -X82483868Y-104776000D01* -X82440671Y-104771745D01* -X82402132Y-104760055D01* -X82366612Y-104741069D01* -X82335480Y-104715520D01* -X82309931Y-104684388D01* -X82290945Y-104648868D01* -X82279255Y-104610329D01* -X82275000Y-104567132D01* -X82275000Y-104034868D01* -X82279255Y-103991671D01* -X82290945Y-103953132D01* -X82309931Y-103917612D01* -X82335480Y-103886480D01* -X82366612Y-103860931D01* -X82402132Y-103841945D01* -X82440671Y-103830255D01* -X82483868Y-103826000D01* -X83116132Y-103826000D01* -X83159329Y-103830255D01* -G37* -G36* -X87104468Y-104227363D02* -G01* -X87114774Y-104230489D01* -X87124274Y-104235567D01* -X87132600Y-104242400D01* -X87139433Y-104250726D01* -X87144511Y-104260226D01* -X87147637Y-104270532D01* -X87149000Y-104284368D01* -X87149000Y-104515632D01* -X87147637Y-104529468D01* -X87144511Y-104539774D01* -X87139433Y-104549274D01* -X87132600Y-104557600D01* -X87124274Y-104564433D01* -X87114774Y-104569511D01* -X87104468Y-104572637D01* -X87090632Y-104574000D01* -X85684368Y-104574000D01* -X85670532Y-104572637D01* -X85660226Y-104569511D01* -X85650726Y-104564433D01* -X85642400Y-104557600D01* -X85635567Y-104549274D01* -X85630489Y-104539774D01* -X85627363Y-104529468D01* -X85626000Y-104515632D01* -X85626000Y-104284368D01* -X85627363Y-104270532D01* -X85630489Y-104260226D01* -X85635567Y-104250726D01* -X85642400Y-104242400D01* -X85650726Y-104235567D01* -X85660226Y-104230489D01* -X85670532Y-104227363D01* -X85684368Y-104226000D01* -X87090632Y-104226000D01* -X87104468Y-104227363D01* -G37* -G36* -X102429468Y-104227363D02* -G01* -X102439774Y-104230489D01* -X102449274Y-104235567D01* -X102457600Y-104242400D01* -X102464433Y-104250726D01* -X102469511Y-104260226D01* -X102472637Y-104270532D01* -X102474000Y-104284368D01* -X102474000Y-104515632D01* -X102472637Y-104529468D01* -X102469511Y-104539774D01* -X102464433Y-104549274D01* -X102457600Y-104557600D01* -X102449274Y-104564433D01* -X102439774Y-104569511D01* -X102429468Y-104572637D01* -X102415632Y-104574000D01* -X101009368Y-104574000D01* -X100995532Y-104572637D01* -X100985226Y-104569511D01* -X100975726Y-104564433D01* -X100967400Y-104557600D01* -X100960567Y-104549274D01* -X100955489Y-104539774D01* -X100952363Y-104529468D01* -X100951000Y-104515632D01* -X100951000Y-104284368D01* -X100952363Y-104270532D01* -X100955489Y-104260226D01* -X100960567Y-104250726D01* -X100967400Y-104242400D01* -X100975726Y-104235567D01* -X100985226Y-104230489D01* -X100995532Y-104227363D01* -X101009368Y-104226000D01* -X102415632Y-104226000D01* -X102429468Y-104227363D01* -G37* -G36* -X125118208Y-103573372D02* -G01* -X125138369Y-103579487D01* -X125156941Y-103589414D01* -X125173223Y-103602777D01* -X125186586Y-103619059D01* -X125196513Y-103637631D01* -X125202628Y-103657792D01* -X125205000Y-103681869D01* -X125205000Y-104018131D01* -X125202628Y-104042208D01* -X125196513Y-104062369D01* -X125186586Y-104080941D01* -X125173223Y-104097223D01* -X125156941Y-104110586D01* -X125138369Y-104120513D01* -X125118208Y-104126628D01* -X125094131Y-104129000D01* -X123705869Y-104129000D01* -X123681792Y-104126628D01* -X123661631Y-104120513D01* -X123643059Y-104110586D01* -X123626777Y-104097223D01* -X123613414Y-104080941D01* -X123603487Y-104062369D01* -X123597372Y-104042208D01* -X123595000Y-104018131D01* -X123595000Y-103681869D01* -X123597372Y-103657792D01* -X123603487Y-103637631D01* -X123613414Y-103619059D01* -X123626777Y-103602777D01* -X123643059Y-103589414D01* -X123661631Y-103579487D01* -X123681792Y-103573372D01* -X123705869Y-103571000D01* -X125094131Y-103571000D01* -X125118208Y-103573372D01* -G37* -G36* -X113618208Y-103573372D02* -G01* -X113638369Y-103579487D01* -X113656941Y-103589414D01* -X113673223Y-103602777D01* -X113686586Y-103619059D01* -X113696513Y-103637631D01* -X113702628Y-103657792D01* -X113705000Y-103681869D01* -X113705000Y-104018131D01* -X113702628Y-104042208D01* -X113696513Y-104062369D01* -X113686586Y-104080941D01* -X113673223Y-104097223D01* -X113656941Y-104110586D01* -X113638369Y-104120513D01* -X113618208Y-104126628D01* -X113594131Y-104129000D01* -X112205869Y-104129000D01* -X112181792Y-104126628D01* -X112161631Y-104120513D01* -X112143059Y-104110586D01* -X112126777Y-104097223D01* -X112113414Y-104080941D01* -X112103487Y-104062369D01* -X112097372Y-104042208D01* -X112095000Y-104018131D01* -X112095000Y-103681869D01* -X112097372Y-103657792D01* -X112103487Y-103637631D01* -X112113414Y-103619059D01* -X112126777Y-103602777D01* -X112143059Y-103589414D01* -X112161631Y-103579487D01* -X112181792Y-103573372D01* -X112205869Y-103571000D01* -X113594131Y-103571000D01* -X113618208Y-103573372D01* -G37* -G36* -X87104468Y-103727363D02* -G01* -X87114774Y-103730489D01* -X87124274Y-103735567D01* -X87132600Y-103742400D01* -X87139433Y-103750726D01* -X87144511Y-103760226D01* -X87147637Y-103770532D01* -X87149000Y-103784368D01* -X87149000Y-104015632D01* -X87147637Y-104029468D01* -X87144511Y-104039774D01* -X87139433Y-104049274D01* -X87132600Y-104057600D01* -X87124274Y-104064433D01* -X87114774Y-104069511D01* -X87104468Y-104072637D01* -X87090632Y-104074000D01* -X85684368Y-104074000D01* -X85670532Y-104072637D01* -X85660226Y-104069511D01* -X85650726Y-104064433D01* -X85642400Y-104057600D01* -X85635567Y-104049274D01* -X85630489Y-104039774D01* -X85627363Y-104029468D01* -X85626000Y-104015632D01* -X85626000Y-103784368D01* -X85627363Y-103770532D01* -X85630489Y-103760226D01* -X85635567Y-103750726D01* -X85642400Y-103742400D01* -X85650726Y-103735567D01* -X85660226Y-103730489D01* -X85670532Y-103727363D01* -X85684368Y-103726000D01* -X87090632Y-103726000D01* -X87104468Y-103727363D01* -G37* -G36* -X102429468Y-103727363D02* -G01* -X102439774Y-103730489D01* -X102449274Y-103735567D01* -X102457600Y-103742400D01* -X102464433Y-103750726D01* -X102469511Y-103760226D01* -X102472637Y-103770532D01* -X102474000Y-103784368D01* -X102474000Y-104015632D01* -X102472637Y-104029468D01* -X102469511Y-104039774D01* -X102464433Y-104049274D01* -X102457600Y-104057600D01* -X102449274Y-104064433D01* -X102439774Y-104069511D01* -X102429468Y-104072637D01* -X102415632Y-104074000D01* -X101009368Y-104074000D01* -X100995532Y-104072637D01* -X100985226Y-104069511D01* -X100975726Y-104064433D01* -X100967400Y-104057600D01* -X100960567Y-104049274D01* -X100955489Y-104039774D01* -X100952363Y-104029468D01* -X100951000Y-104015632D01* -X100951000Y-103784368D01* -X100952363Y-103770532D01* -X100955489Y-103760226D01* -X100960567Y-103750726D01* -X100967400Y-103742400D01* -X100975726Y-103735567D01* -X100985226Y-103730489D01* -X100995532Y-103727363D01* -X101009368Y-103726000D01* -X102415632Y-103726000D01* -X102429468Y-103727363D01* -G37* -G36* -X61723875Y-102191423D02* -G01* -X61869133Y-102220316D01* -X62040160Y-102291158D01* -X62194086Y-102394008D01* -X62324992Y-102524914D01* -X62427842Y-102678840D01* -X62498684Y-102849867D01* -X62515837Y-102936103D01* -X62534800Y-103031438D01* -X62534800Y-103216562D01* -X62532590Y-103227672D01* -X62498684Y-103398133D01* -X62427842Y-103569160D01* -X62324992Y-103723086D01* -X62194086Y-103853992D01* -X62040160Y-103956842D01* -X61869133Y-104027684D01* -X61723875Y-104056577D01* -X61687562Y-104063800D01* -X61502438Y-104063800D01* -X61466125Y-104056577D01* -X61320867Y-104027684D01* -X61149840Y-103956842D01* -X60995914Y-103853992D01* -X60865008Y-103723086D01* -X60762158Y-103569160D01* -X60691316Y-103398133D01* -X60657410Y-103227672D01* -X60655200Y-103216562D01* -X60655200Y-103031438D01* -X60674163Y-102936103D01* -X60691316Y-102849867D01* -X60762158Y-102678840D01* -X60865008Y-102524914D01* -X60995914Y-102394008D01* -X61149840Y-102291158D01* -X61320867Y-102220316D01* -X61466125Y-102191423D01* -X61502438Y-102184200D01* -X61687562Y-102184200D01* -X61723875Y-102191423D01* -G37* -G36* -X64263875Y-102191423D02* -G01* -X64409133Y-102220316D01* -X64580160Y-102291158D01* -X64734086Y-102394008D01* -X64864992Y-102524914D01* -X64967842Y-102678840D01* -X65038684Y-102849867D01* -X65055837Y-102936103D01* -X65074800Y-103031438D01* -X65074800Y-103216562D01* -X65072590Y-103227672D01* -X65038684Y-103398133D01* -X64967842Y-103569160D01* -X64864992Y-103723086D01* -X64734086Y-103853992D01* -X64580160Y-103956842D01* -X64409133Y-104027684D01* -X64263875Y-104056577D01* -X64227562Y-104063800D01* -X64042438Y-104063800D01* -X64006125Y-104056577D01* -X63860867Y-104027684D01* -X63689840Y-103956842D01* -X63535914Y-103853992D01* -X63405008Y-103723086D01* -X63302158Y-103569160D01* -X63231316Y-103398133D01* -X63197410Y-103227672D01* -X63195200Y-103216562D01* -X63195200Y-103031438D01* -X63214163Y-102936103D01* -X63231316Y-102849867D01* -X63302158Y-102678840D01* -X63405008Y-102524914D01* -X63535914Y-102394008D01* -X63689840Y-102291158D01* -X63860867Y-102220316D01* -X64006125Y-102191423D01* -X64042438Y-102184200D01* -X64227562Y-102184200D01* -X64263875Y-102191423D01* -G37* -G36* -X104109329Y-103079255D02* -G01* -X104147868Y-103090945D01* -X104183388Y-103109931D01* -X104214520Y-103135480D01* -X104240069Y-103166612D01* -X104259055Y-103202132D01* -X104270745Y-103240671D01* -X104275000Y-103283868D01* -X104275000Y-103816132D01* -X104270745Y-103859329D01* -X104259055Y-103897868D01* -X104240069Y-103933388D01* -X104214520Y-103964520D01* -X104183388Y-103990069D01* -X104147868Y-104009055D01* -X104109329Y-104020745D01* -X104066132Y-104025000D01* -X103433868Y-104025000D01* -X103390671Y-104020745D01* -X103352132Y-104009055D01* -X103316612Y-103990069D01* -X103285480Y-103964520D01* -X103259931Y-103933388D01* -X103240945Y-103897868D01* -X103229255Y-103859329D01* -X103225000Y-103816132D01* -X103225000Y-103283868D01* -X103229255Y-103240671D01* -X103240945Y-103202132D01* -X103259931Y-103166612D01* -X103285480Y-103135480D01* -X103316612Y-103109931D01* -X103352132Y-103090945D01* -X103390671Y-103079255D01* -X103433868Y-103075000D01* -X104066132Y-103075000D01* -X104109329Y-103079255D01* -G37* -G36* -X106513897Y-102705936D02* -G01* -X106568857Y-102722607D01* -X106619498Y-102749676D01* -X106663892Y-102786108D01* -X106700324Y-102830502D01* -X106727393Y-102881143D01* -X106744064Y-102936103D01* -X106750000Y-102996367D01* -X106750000Y-103703633D01* -X106744064Y-103763897D01* -X106727393Y-103818857D01* -X106700324Y-103869498D01* -X106663892Y-103913892D01* -X106619498Y-103950324D01* -X106568857Y-103977393D01* -X106513897Y-103994064D01* -X106453633Y-104000000D01* -X105546367Y-104000000D01* -X105486103Y-103994064D01* -X105431143Y-103977393D01* -X105380502Y-103950324D01* -X105336108Y-103913892D01* -X105299676Y-103869498D01* -X105272607Y-103818857D01* -X105255936Y-103763897D01* -X105250000Y-103703633D01* -X105250000Y-102996367D01* -X105255936Y-102936103D01* -X105272607Y-102881143D01* -X105299676Y-102830502D01* -X105336108Y-102786108D01* -X105380502Y-102749676D01* -X105431143Y-102722607D01* -X105486103Y-102705936D01* -X105546367Y-102700000D01* -X106453633Y-102700000D01* -X106513897Y-102705936D01* -G37* -G36* -X108713897Y-102705936D02* -G01* -X108768857Y-102722607D01* -X108819498Y-102749676D01* -X108863892Y-102786108D01* -X108900324Y-102830502D01* -X108927393Y-102881143D01* -X108944064Y-102936103D01* -X108950000Y-102996367D01* -X108950000Y-103703633D01* -X108944064Y-103763897D01* -X108927393Y-103818857D01* -X108900324Y-103869498D01* -X108863892Y-103913892D01* -X108819498Y-103950324D01* -X108768857Y-103977393D01* -X108713897Y-103994064D01* -X108653633Y-104000000D01* -X107746367Y-104000000D01* -X107686103Y-103994064D01* -X107631143Y-103977393D01* -X107580502Y-103950324D01* -X107536108Y-103913892D01* -X107499676Y-103869498D01* -X107472607Y-103818857D01* -X107455936Y-103763897D01* -X107450000Y-103703633D01* -X107450000Y-102996367D01* -X107455936Y-102936103D01* -X107472607Y-102881143D01* -X107499676Y-102830502D01* -X107536108Y-102786108D01* -X107580502Y-102749676D01* -X107631143Y-102722607D01* -X107686103Y-102705936D01* -X107746367Y-102700000D01* -X108653633Y-102700000D01* -X108713897Y-102705936D01* -G37* -G36* -X111174986Y-102803306D02* -G01* -X111215980Y-102815742D01* -X111253767Y-102835939D01* -X111286883Y-102863117D01* -X111314061Y-102896233D01* -X111334258Y-102934020D01* -X111346694Y-102975014D01* -X111351200Y-103020767D01* -X111351200Y-103579233D01* -X111346694Y-103624986D01* -X111334258Y-103665980D01* -X111314061Y-103703767D01* -X111286883Y-103736883D01* -X111253767Y-103764061D01* -X111215980Y-103784258D01* -X111174986Y-103796694D01* -X111129233Y-103801200D01* -X110470767Y-103801200D01* -X110425014Y-103796694D01* -X110384020Y-103784258D01* -X110346233Y-103764061D01* -X110313117Y-103736883D01* -X110285939Y-103703767D01* -X110265742Y-103665980D01* -X110253306Y-103624986D01* -X110248800Y-103579233D01* -X110248800Y-103020767D01* -X110253306Y-102975014D01* -X110265742Y-102934020D01* -X110285939Y-102896233D01* -X110313117Y-102863117D01* -X110346233Y-102835939D01* -X110384020Y-102815742D01* -X110425014Y-102803306D01* -X110470767Y-102798800D01* -X111129233Y-102798800D01* -X111174986Y-102803306D01* -G37* -G36* -X87104468Y-103227363D02* -G01* -X87114774Y-103230489D01* -X87124274Y-103235567D01* -X87132600Y-103242400D01* -X87139433Y-103250726D01* -X87144511Y-103260226D01* -X87147637Y-103270532D01* -X87149000Y-103284368D01* -X87149000Y-103515632D01* -X87147637Y-103529468D01* -X87144511Y-103539774D01* -X87139433Y-103549274D01* -X87132600Y-103557600D01* -X87124274Y-103564433D01* -X87114774Y-103569511D01* -X87104468Y-103572637D01* -X87090632Y-103574000D01* -X85684368Y-103574000D01* -X85670532Y-103572637D01* -X85660226Y-103569511D01* -X85650726Y-103564433D01* -X85642400Y-103557600D01* -X85635567Y-103549274D01* -X85630489Y-103539774D01* -X85627363Y-103529468D01* -X85626000Y-103515632D01* -X85626000Y-103284368D01* -X85627363Y-103270532D01* -X85630489Y-103260226D01* -X85635567Y-103250726D01* -X85642400Y-103242400D01* -X85650726Y-103235567D01* -X85660226Y-103230489D01* -X85670532Y-103227363D01* -X85684368Y-103226000D01* -X87090632Y-103226000D01* -X87104468Y-103227363D01* -G37* -G36* -X102429468Y-103227363D02* -G01* -X102439774Y-103230489D01* -X102449274Y-103235567D01* -X102457600Y-103242400D01* -X102464433Y-103250726D01* -X102469511Y-103260226D01* -X102472637Y-103270532D01* -X102474000Y-103284368D01* -X102474000Y-103515632D01* -X102472637Y-103529468D01* -X102469511Y-103539774D01* -X102464433Y-103549274D01* -X102457600Y-103557600D01* -X102449274Y-103564433D01* -X102439774Y-103569511D01* -X102429468Y-103572637D01* -X102415632Y-103574000D01* -X101009368Y-103574000D01* -X100995532Y-103572637D01* -X100985226Y-103569511D01* -X100975726Y-103564433D01* -X100967400Y-103557600D01* -X100960567Y-103549274D01* -X100955489Y-103539774D01* -X100952363Y-103529468D01* -X100951000Y-103515632D01* -X100951000Y-103284368D01* -X100952363Y-103270532D01* -X100955489Y-103260226D01* -X100960567Y-103250726D01* -X100967400Y-103242400D01* -X100975726Y-103235567D01* -X100985226Y-103230489D01* -X100995532Y-103227363D01* -X101009368Y-103226000D01* -X102415632Y-103226000D01* -X102429468Y-103227363D01* -G37* -G36* -X126824986Y-102553306D02* -G01* -X126865980Y-102565742D01* -X126903767Y-102585939D01* -X126936883Y-102613117D01* -X126964061Y-102646233D01* -X126984258Y-102684020D01* -X126996694Y-102725014D01* -X127001200Y-102770767D01* -X127001200Y-103329233D01* -X126996694Y-103374986D01* -X126984258Y-103415980D01* -X126964061Y-103453767D01* -X126936883Y-103486883D01* -X126903767Y-103514061D01* -X126865980Y-103534258D01* -X126824986Y-103546694D01* -X126779233Y-103551200D01* -X126120767Y-103551200D01* -X126075014Y-103546694D01* -X126034020Y-103534258D01* -X125996233Y-103514061D01* -X125963117Y-103486883D01* -X125935939Y-103453767D01* -X125915742Y-103415980D01* -X125903306Y-103374986D01* -X125898800Y-103329233D01* -X125898800Y-102770767D01* -X125903306Y-102725014D01* -X125915742Y-102684020D01* -X125935939Y-102646233D01* -X125963117Y-102613117D01* -X125996233Y-102585939D01* -X126034020Y-102565742D01* -X126075014Y-102553306D01* -X126120767Y-102548800D01* -X126779233Y-102548800D01* -X126824986Y-102553306D01* -G37* -G36* -X113618208Y-102773372D02* -G01* -X113638369Y-102779487D01* -X113656941Y-102789414D01* -X113673223Y-102802777D01* -X113686586Y-102819059D01* -X113696513Y-102837631D01* -X113702628Y-102857792D01* -X113705000Y-102881869D01* -X113705000Y-103218131D01* -X113702628Y-103242208D01* -X113696513Y-103262369D01* -X113686586Y-103280941D01* -X113673223Y-103297223D01* -X113656941Y-103310586D01* -X113638369Y-103320513D01* -X113618208Y-103326628D01* -X113594131Y-103329000D01* -X112205869Y-103329000D01* -X112181792Y-103326628D01* -X112161631Y-103320513D01* -X112143059Y-103310586D01* -X112126777Y-103297223D01* -X112113414Y-103280941D01* -X112103487Y-103262369D01* -X112097372Y-103242208D01* -X112095000Y-103218131D01* -X112095000Y-102881869D01* -X112097372Y-102857792D01* -X112103487Y-102837631D01* -X112113414Y-102819059D01* -X112126777Y-102802777D01* -X112143059Y-102789414D01* -X112161631Y-102779487D01* -X112181792Y-102773372D01* -X112205869Y-102771000D01* -X113594131Y-102771000D01* -X113618208Y-102773372D01* -G37* -G36* -X125118208Y-102773372D02* -G01* -X125138369Y-102779487D01* -X125156941Y-102789414D01* -X125173223Y-102802777D01* -X125186586Y-102819059D01* -X125196513Y-102837631D01* -X125202628Y-102857792D01* -X125205000Y-102881869D01* -X125205000Y-103218131D01* -X125202628Y-103242208D01* -X125196513Y-103262369D01* -X125186586Y-103280941D01* -X125173223Y-103297223D01* -X125156941Y-103310586D01* -X125138369Y-103320513D01* -X125118208Y-103326628D01* -X125094131Y-103329000D01* -X123705869Y-103329000D01* -X123681792Y-103326628D01* -X123661631Y-103320513D01* -X123643059Y-103310586D01* -X123626777Y-103297223D01* -X123613414Y-103280941D01* -X123603487Y-103262369D01* -X123597372Y-103242208D01* -X123595000Y-103218131D01* -X123595000Y-102881869D01* -X123597372Y-102857792D01* -X123603487Y-102837631D01* -X123613414Y-102819059D01* -X123626777Y-102802777D01* -X123643059Y-102789414D01* -X123661631Y-102779487D01* -X123681792Y-102773372D01* -X123705869Y-102771000D01* -X125094131Y-102771000D01* -X125118208Y-102773372D01* -G37* -G36* -X83159329Y-102330255D02* -G01* -X83197868Y-102341945D01* -X83233388Y-102360931D01* -X83264520Y-102386480D01* -X83290069Y-102417612D01* -X83309055Y-102453132D01* -X83320745Y-102491671D01* -X83325000Y-102534868D01* -X83325000Y-103067132D01* -X83320745Y-103110329D01* -X83309055Y-103148868D01* -X83290069Y-103184388D01* -X83264520Y-103215520D01* -X83233388Y-103241069D01* -X83197868Y-103260055D01* -X83159329Y-103271745D01* -X83116132Y-103276000D01* -X82483868Y-103276000D01* -X82440671Y-103271745D01* -X82402132Y-103260055D01* -X82366612Y-103241069D01* -X82335480Y-103215520D01* -X82309931Y-103184388D01* -X82290945Y-103148868D01* -X82279255Y-103110329D01* -X82275000Y-103067132D01* -X82275000Y-102534868D01* -X82279255Y-102491671D01* -X82290945Y-102453132D01* -X82309931Y-102417612D01* -X82335480Y-102386480D01* -X82366612Y-102360931D01* -X82402132Y-102341945D01* -X82440671Y-102330255D01* -X82483868Y-102326000D01* -X83116132Y-102326000D01* -X83159329Y-102330255D01* -G37* -G36* -X102429468Y-102727363D02* -G01* -X102439774Y-102730489D01* -X102449274Y-102735567D01* -X102457600Y-102742400D01* -X102464433Y-102750726D01* -X102469511Y-102760226D01* -X102472637Y-102770532D01* -X102474000Y-102784368D01* -X102474000Y-103015632D01* -X102472637Y-103029468D01* -X102469511Y-103039774D01* -X102464433Y-103049274D01* -X102457600Y-103057600D01* -X102449274Y-103064433D01* -X102439774Y-103069511D01* -X102429468Y-103072637D01* -X102415632Y-103074000D01* -X101009368Y-103074000D01* -X100995532Y-103072637D01* -X100985226Y-103069511D01* -X100975726Y-103064433D01* -X100967400Y-103057600D01* -X100960567Y-103049274D01* -X100955489Y-103039774D01* -X100952363Y-103029468D01* -X100951000Y-103015632D01* -X100951000Y-102784368D01* -X100952363Y-102770532D01* -X100955489Y-102760226D01* -X100960567Y-102750726D01* -X100967400Y-102742400D01* -X100975726Y-102735567D01* -X100985226Y-102730489D01* -X100995532Y-102727363D01* -X101009368Y-102726000D01* -X102415632Y-102726000D01* -X102429468Y-102727363D01* -G37* -G36* -X87104468Y-102727363D02* -G01* -X87114774Y-102730489D01* -X87124274Y-102735567D01* -X87132600Y-102742400D01* -X87139433Y-102750726D01* -X87144511Y-102760226D01* -X87147637Y-102770532D01* -X87149000Y-102784368D01* -X87149000Y-103015632D01* -X87147637Y-103029468D01* -X87144511Y-103039774D01* -X87139433Y-103049274D01* -X87132600Y-103057600D01* -X87124274Y-103064433D01* -X87114774Y-103069511D01* -X87104468Y-103072637D01* -X87090632Y-103074000D01* -X85684368Y-103074000D01* -X85670532Y-103072637D01* -X85660226Y-103069511D01* -X85650726Y-103064433D01* -X85642400Y-103057600D01* -X85635567Y-103049274D01* -X85630489Y-103039774D01* -X85627363Y-103029468D01* -X85626000Y-103015632D01* -X85626000Y-102784368D01* -X85627363Y-102770532D01* -X85630489Y-102760226D01* -X85635567Y-102750726D01* -X85642400Y-102742400D01* -X85650726Y-102735567D01* -X85660226Y-102730489D01* -X85670532Y-102727363D01* -X85684368Y-102726000D01* -X87090632Y-102726000D01* -X87104468Y-102727363D01* -G37* -G36* -X102429468Y-102227363D02* -G01* -X102439774Y-102230489D01* -X102449274Y-102235567D01* -X102457600Y-102242400D01* -X102464433Y-102250726D01* -X102469511Y-102260226D01* -X102472637Y-102270532D01* -X102474000Y-102284368D01* -X102474000Y-102515632D01* -X102472637Y-102529468D01* -X102469511Y-102539774D01* -X102464433Y-102549274D01* -X102457600Y-102557600D01* -X102449274Y-102564433D01* -X102439774Y-102569511D01* -X102429468Y-102572637D01* -X102415632Y-102574000D01* -X101009368Y-102574000D01* -X100995532Y-102572637D01* -X100985226Y-102569511D01* -X100975726Y-102564433D01* -X100967400Y-102557600D01* -X100960567Y-102549274D01* -X100955489Y-102539774D01* -X100952363Y-102529468D01* -X100951000Y-102515632D01* -X100951000Y-102284368D01* -X100952363Y-102270532D01* -X100955489Y-102260226D01* -X100960567Y-102250726D01* -X100967400Y-102242400D01* -X100975726Y-102235567D01* -X100985226Y-102230489D01* -X100995532Y-102227363D01* -X101009368Y-102226000D01* -X102415632Y-102226000D01* -X102429468Y-102227363D01* -G37* -G36* -X87104468Y-102227363D02* -G01* -X87114774Y-102230489D01* -X87124274Y-102235567D01* -X87132600Y-102242400D01* -X87139433Y-102250726D01* -X87144511Y-102260226D01* -X87147637Y-102270532D01* -X87149000Y-102284368D01* -X87149000Y-102515632D01* -X87147637Y-102529468D01* -X87144511Y-102539774D01* -X87139433Y-102549274D01* -X87132600Y-102557600D01* -X87124274Y-102564433D01* -X87114774Y-102569511D01* -X87104468Y-102572637D01* -X87090632Y-102574000D01* -X85684368Y-102574000D01* -X85670532Y-102572637D01* -X85660226Y-102569511D01* -X85650726Y-102564433D01* -X85642400Y-102557600D01* -X85635567Y-102549274D01* -X85630489Y-102539774D01* -X85627363Y-102529468D01* -X85626000Y-102515632D01* -X85626000Y-102284368D01* -X85627363Y-102270532D01* -X85630489Y-102260226D01* -X85635567Y-102250726D01* -X85642400Y-102242400D01* -X85650726Y-102235567D01* -X85660226Y-102230489D01* -X85670532Y-102227363D01* -X85684368Y-102226000D01* -X87090632Y-102226000D01* -X87104468Y-102227363D01* -G37* -G36* -X113618208Y-101973372D02* -G01* -X113638369Y-101979487D01* -X113656941Y-101989414D01* -X113673223Y-102002777D01* -X113686586Y-102019059D01* -X113696513Y-102037631D01* -X113702628Y-102057792D01* -X113705000Y-102081869D01* -X113705000Y-102418131D01* -X113702628Y-102442208D01* -X113696513Y-102462369D01* -X113686586Y-102480941D01* -X113673223Y-102497223D01* -X113656941Y-102510586D01* -X113638369Y-102520513D01* -X113618208Y-102526628D01* -X113594131Y-102529000D01* -X112205869Y-102529000D01* -X112181792Y-102526628D01* -X112161631Y-102520513D01* -X112143059Y-102510586D01* -X112126777Y-102497223D01* -X112113414Y-102480941D01* -X112103487Y-102462369D01* -X112097372Y-102442208D01* -X112095000Y-102418131D01* -X112095000Y-102081869D01* -X112097372Y-102057792D01* -X112103487Y-102037631D01* -X112113414Y-102019059D01* -X112126777Y-102002777D01* -X112143059Y-101989414D01* -X112161631Y-101979487D01* -X112181792Y-101973372D01* -X112205869Y-101971000D01* -X113594131Y-101971000D01* -X113618208Y-101973372D01* -G37* -G36* -X125118208Y-101973372D02* -G01* -X125138369Y-101979487D01* -X125156941Y-101989414D01* -X125173223Y-102002777D01* -X125186586Y-102019059D01* -X125196513Y-102037631D01* -X125202628Y-102057792D01* -X125205000Y-102081869D01* -X125205000Y-102418131D01* -X125202628Y-102442208D01* -X125196513Y-102462369D01* -X125186586Y-102480941D01* -X125173223Y-102497223D01* -X125156941Y-102510586D01* -X125138369Y-102520513D01* -X125118208Y-102526628D01* -X125094131Y-102529000D01* -X123705869Y-102529000D01* -X123681792Y-102526628D01* -X123661631Y-102520513D01* -X123643059Y-102510586D01* -X123626777Y-102497223D01* -X123613414Y-102480941D01* -X123603487Y-102462369D01* -X123597372Y-102442208D01* -X123595000Y-102418131D01* -X123595000Y-102081869D01* -X123597372Y-102057792D01* -X123603487Y-102037631D01* -X123613414Y-102019059D01* -X123626777Y-102002777D01* -X123643059Y-101989414D01* -X123661631Y-101979487D01* -X123681792Y-101973372D01* -X123705869Y-101971000D01* -X125094131Y-101971000D01* -X125118208Y-101973372D01* -G37* -G36* -X106513897Y-101005936D02* -G01* -X106568857Y-101022607D01* -X106619498Y-101049676D01* -X106663892Y-101086108D01* -X106700324Y-101130502D01* -X106727393Y-101181143D01* -X106744064Y-101236103D01* -X106750000Y-101296367D01* -X106750000Y-102003633D01* -X106744064Y-102063897D01* -X106727393Y-102118857D01* -X106700324Y-102169498D01* -X106663892Y-102213892D01* -X106619498Y-102250324D01* -X106568857Y-102277393D01* -X106513897Y-102294064D01* -X106453633Y-102300000D01* -X105546367Y-102300000D01* -X105486103Y-102294064D01* -X105431143Y-102277393D01* -X105380502Y-102250324D01* -X105336108Y-102213892D01* -X105299676Y-102169498D01* -X105272607Y-102118857D01* -X105255936Y-102063897D01* -X105250000Y-102003633D01* -X105250000Y-101296367D01* -X105255936Y-101236103D01* -X105272607Y-101181143D01* -X105299676Y-101130502D01* -X105336108Y-101086108D01* -X105380502Y-101049676D01* -X105431143Y-101022607D01* -X105486103Y-101005936D01* -X105546367Y-101000000D01* -X106453633Y-101000000D01* -X106513897Y-101005936D01* -G37* -G36* -X108713897Y-101005936D02* -G01* -X108768857Y-101022607D01* -X108819498Y-101049676D01* -X108863892Y-101086108D01* -X108900324Y-101130502D01* -X108927393Y-101181143D01* -X108944064Y-101236103D01* -X108950000Y-101296367D01* -X108950000Y-102003633D01* -X108944064Y-102063897D01* -X108927393Y-102118857D01* -X108900324Y-102169498D01* -X108863892Y-102213892D01* -X108819498Y-102250324D01* -X108768857Y-102277393D01* -X108713897Y-102294064D01* -X108653633Y-102300000D01* -X107746367Y-102300000D01* -X107686103Y-102294064D01* -X107631143Y-102277393D01* -X107580502Y-102250324D01* -X107536108Y-102213892D01* -X107499676Y-102169498D01* -X107472607Y-102118857D01* -X107455936Y-102063897D01* -X107450000Y-102003633D01* -X107450000Y-101296367D01* -X107455936Y-101236103D01* -X107472607Y-101181143D01* -X107499676Y-101130502D01* -X107536108Y-101086108D01* -X107580502Y-101049676D01* -X107631143Y-101022607D01* -X107686103Y-101005936D01* -X107746367Y-101000000D01* -X108653633Y-101000000D01* -X108713897Y-101005936D01* -G37* -G36* -X104109329Y-101179255D02* -G01* -X104147868Y-101190945D01* -X104183388Y-101209931D01* -X104214520Y-101235480D01* -X104240069Y-101266612D01* -X104259055Y-101302132D01* -X104270745Y-101340671D01* -X104275000Y-101383868D01* -X104275000Y-101916132D01* -X104270745Y-101959329D01* -X104259055Y-101997868D01* -X104240069Y-102033388D01* -X104214520Y-102064520D01* -X104183388Y-102090069D01* -X104147868Y-102109055D01* -X104109329Y-102120745D01* -X104066132Y-102125000D01* -X103433868Y-102125000D01* -X103390671Y-102120745D01* -X103352132Y-102109055D01* -X103316612Y-102090069D01* -X103285480Y-102064520D01* -X103259931Y-102033388D01* -X103240945Y-101997868D01* -X103229255Y-101959329D01* -X103225000Y-101916132D01* -X103225000Y-101383868D01* -X103229255Y-101340671D01* -X103240945Y-101302132D01* -X103259931Y-101266612D01* -X103285480Y-101235480D01* -X103316612Y-101209931D01* -X103352132Y-101190945D01* -X103390671Y-101179255D01* -X103433868Y-101175000D01* -X104066132Y-101175000D01* -X104109329Y-101179255D01* -G37* -G36* -X84709329Y-101179255D02* -G01* -X84747868Y-101190945D01* -X84783388Y-101209931D01* -X84814520Y-101235480D01* -X84840069Y-101266612D01* -X84859055Y-101302132D01* -X84870745Y-101340671D01* -X84875000Y-101383868D01* -X84875000Y-101916132D01* -X84870745Y-101959329D01* -X84859055Y-101997868D01* -X84840069Y-102033388D01* -X84814520Y-102064520D01* -X84783388Y-102090069D01* -X84747868Y-102109055D01* -X84709329Y-102120745D01* -X84666132Y-102125000D01* -X84033868Y-102125000D01* -X83990671Y-102120745D01* -X83952132Y-102109055D01* -X83916612Y-102090069D01* -X83885480Y-102064520D01* -X83859931Y-102033388D01* -X83840945Y-101997868D01* -X83829255Y-101959329D01* -X83825000Y-101916132D01* -X83825000Y-101383868D01* -X83829255Y-101340671D01* -X83840945Y-101302132D01* -X83859931Y-101266612D01* -X83885480Y-101235480D01* -X83916612Y-101209931D01* -X83952132Y-101190945D01* -X83990671Y-101179255D01* -X84033868Y-101175000D01* -X84666132Y-101175000D01* -X84709329Y-101179255D01* -G37* -G36* -X87104468Y-101727363D02* -G01* -X87114774Y-101730489D01* -X87124274Y-101735567D01* -X87132600Y-101742400D01* -X87139433Y-101750726D01* -X87144511Y-101760226D01* -X87147637Y-101770532D01* -X87149000Y-101784368D01* -X87149000Y-102015632D01* -X87147637Y-102029468D01* -X87144511Y-102039774D01* -X87139433Y-102049274D01* -X87132600Y-102057600D01* -X87124274Y-102064433D01* -X87114774Y-102069511D01* -X87104468Y-102072637D01* -X87090632Y-102074000D01* -X85684368Y-102074000D01* -X85670532Y-102072637D01* -X85660226Y-102069511D01* -X85650726Y-102064433D01* -X85642400Y-102057600D01* -X85635567Y-102049274D01* -X85630489Y-102039774D01* -X85627363Y-102029468D01* -X85626000Y-102015632D01* -X85626000Y-101784368D01* -X85627363Y-101770532D01* -X85630489Y-101760226D01* -X85635567Y-101750726D01* -X85642400Y-101742400D01* -X85650726Y-101735567D01* -X85660226Y-101730489D01* -X85670532Y-101727363D01* -X85684368Y-101726000D01* -X87090632Y-101726000D01* -X87104468Y-101727363D01* -G37* -G36* -X102429468Y-101727363D02* -G01* -X102439774Y-101730489D01* -X102449274Y-101735567D01* -X102457600Y-101742400D01* -X102464433Y-101750726D01* -X102469511Y-101760226D01* -X102472637Y-101770532D01* -X102474000Y-101784368D01* -X102474000Y-102015632D01* -X102472637Y-102029468D01* -X102469511Y-102039774D01* -X102464433Y-102049274D01* -X102457600Y-102057600D01* -X102449274Y-102064433D01* -X102439774Y-102069511D01* -X102429468Y-102072637D01* -X102415632Y-102074000D01* -X101009368Y-102074000D01* -X100995532Y-102072637D01* -X100985226Y-102069511D01* -X100975726Y-102064433D01* -X100967400Y-102057600D01* -X100960567Y-102049274D01* -X100955489Y-102039774D01* -X100952363Y-102029468D01* -X100951000Y-102015632D01* -X100951000Y-101784368D01* -X100952363Y-101770532D01* -X100955489Y-101760226D01* -X100960567Y-101750726D01* -X100967400Y-101742400D01* -X100975726Y-101735567D01* -X100985226Y-101730489D01* -X100995532Y-101727363D01* -X101009368Y-101726000D01* -X102415632Y-101726000D01* -X102429468Y-101727363D01* -G37* -G36* -X69359329Y-100929255D02* -G01* -X69397868Y-100940945D01* -X69433388Y-100959931D01* -X69464520Y-100985480D01* -X69490069Y-101016612D01* -X69509055Y-101052132D01* -X69520745Y-101090671D01* -X69525000Y-101133868D01* -X69525000Y-101666132D01* -X69520745Y-101709329D01* -X69509055Y-101747868D01* -X69490069Y-101783388D01* -X69464520Y-101814520D01* -X69433388Y-101840069D01* -X69397868Y-101859055D01* -X69359329Y-101870745D01* -X69316132Y-101875000D01* -X68683868Y-101875000D01* -X68640671Y-101870745D01* -X68602132Y-101859055D01* -X68566612Y-101840069D01* -X68535480Y-101814520D01* -X68509931Y-101783388D01* -X68490945Y-101747868D01* -X68479255Y-101709329D01* -X68475000Y-101666132D01* -X68475000Y-101133868D01* -X68479255Y-101090671D01* -X68490945Y-101052132D01* -X68509931Y-101016612D01* -X68535480Y-100985480D01* -X68566612Y-100959931D01* -X68602132Y-100940945D01* -X68640671Y-100929255D01* -X68683868Y-100925000D01* -X69316132Y-100925000D01* -X69359329Y-100929255D01* -G37* -G36* -X113618208Y-101173372D02* -G01* -X113638369Y-101179487D01* -X113656941Y-101189414D01* -X113673223Y-101202777D01* -X113686586Y-101219059D01* -X113696513Y-101237631D01* -X113702628Y-101257792D01* -X113705000Y-101281869D01* -X113705000Y-101618131D01* -X113702628Y-101642208D01* -X113696513Y-101662369D01* -X113686586Y-101680941D01* -X113673223Y-101697223D01* -X113656941Y-101710586D01* -X113638369Y-101720513D01* -X113618208Y-101726628D01* -X113594131Y-101729000D01* -X112205869Y-101729000D01* -X112181792Y-101726628D01* -X112161631Y-101720513D01* -X112143059Y-101710586D01* -X112126777Y-101697223D01* -X112113414Y-101680941D01* -X112103487Y-101662369D01* -X112097372Y-101642208D01* -X112095000Y-101618131D01* -X112095000Y-101281869D01* -X112097372Y-101257792D01* -X112103487Y-101237631D01* -X112113414Y-101219059D01* -X112126777Y-101202777D01* -X112143059Y-101189414D01* -X112161631Y-101179487D01* -X112181792Y-101173372D01* -X112205869Y-101171000D01* -X113594131Y-101171000D01* -X113618208Y-101173372D01* -G37* -G36* -X125118208Y-101173372D02* -G01* -X125138369Y-101179487D01* -X125156941Y-101189414D01* -X125173223Y-101202777D01* -X125186586Y-101219059D01* -X125196513Y-101237631D01* -X125202628Y-101257792D01* -X125205000Y-101281869D01* -X125205000Y-101618131D01* -X125202628Y-101642208D01* -X125196513Y-101662369D01* -X125186586Y-101680941D01* -X125173223Y-101697223D01* -X125156941Y-101710586D01* -X125138369Y-101720513D01* -X125118208Y-101726628D01* -X125094131Y-101729000D01* -X123705869Y-101729000D01* -X123681792Y-101726628D01* -X123661631Y-101720513D01* -X123643059Y-101710586D01* -X123626777Y-101697223D01* -X123613414Y-101680941D01* -X123603487Y-101662369D01* -X123597372Y-101642208D01* -X123595000Y-101618131D01* -X123595000Y-101281869D01* -X123597372Y-101257792D01* -X123603487Y-101237631D01* -X123613414Y-101219059D01* -X123626777Y-101202777D01* -X123643059Y-101189414D01* -X123661631Y-101179487D01* -X123681792Y-101173372D01* -X123705869Y-101171000D01* -X125094131Y-101171000D01* -X125118208Y-101173372D01* -G37* -G36* -X102429468Y-101227363D02* -G01* -X102439774Y-101230489D01* -X102449274Y-101235567D01* -X102457600Y-101242400D01* -X102464433Y-101250726D01* -X102469511Y-101260226D01* -X102472637Y-101270532D01* -X102474000Y-101284368D01* -X102474000Y-101515632D01* -X102472637Y-101529468D01* -X102469511Y-101539774D01* -X102464433Y-101549274D01* -X102457600Y-101557600D01* -X102449274Y-101564433D01* -X102439774Y-101569511D01* -X102429468Y-101572637D01* -X102415632Y-101574000D01* -X101009368Y-101574000D01* -X100995532Y-101572637D01* -X100985226Y-101569511D01* -X100975726Y-101564433D01* -X100967400Y-101557600D01* -X100960567Y-101549274D01* -X100955489Y-101539774D01* -X100952363Y-101529468D01* -X100951000Y-101515632D01* -X100951000Y-101284368D01* -X100952363Y-101270532D01* -X100955489Y-101260226D01* -X100960567Y-101250726D01* -X100967400Y-101242400D01* -X100975726Y-101235567D01* -X100985226Y-101230489D01* -X100995532Y-101227363D01* -X101009368Y-101226000D01* -X102415632Y-101226000D01* -X102429468Y-101227363D01* -G37* -G36* -X87104468Y-101227363D02* -G01* -X87114774Y-101230489D01* -X87124274Y-101235567D01* -X87132600Y-101242400D01* -X87139433Y-101250726D01* -X87144511Y-101260226D01* -X87147637Y-101270532D01* -X87149000Y-101284368D01* -X87149000Y-101515632D01* -X87147637Y-101529468D01* -X87144511Y-101539774D01* -X87139433Y-101549274D01* -X87132600Y-101557600D01* -X87124274Y-101564433D01* -X87114774Y-101569511D01* -X87104468Y-101572637D01* -X87090632Y-101574000D01* -X85684368Y-101574000D01* -X85670532Y-101572637D01* -X85660226Y-101569511D01* -X85650726Y-101564433D01* -X85642400Y-101557600D01* -X85635567Y-101549274D01* -X85630489Y-101539774D01* -X85627363Y-101529468D01* -X85626000Y-101515632D01* -X85626000Y-101284368D01* -X85627363Y-101270532D01* -X85630489Y-101260226D01* -X85635567Y-101250726D01* -X85642400Y-101242400D01* -X85650726Y-101235567D01* -X85660226Y-101230489D01* -X85670532Y-101227363D01* -X85684368Y-101226000D01* -X87090632Y-101226000D01* -X87104468Y-101227363D01* -G37* -G36* -X64263875Y-99651423D02* -G01* -X64409133Y-99680316D01* -X64580160Y-99751158D01* -X64734086Y-99854008D01* -X64864992Y-99984914D01* -X64967842Y-100138840D01* -X65038684Y-100309867D01* -X65054506Y-100389414D01* -X65072897Y-100481869D01* -X65074800Y-100491439D01* -X65074800Y-100676561D01* -X65038684Y-100858133D01* -X64967842Y-101029160D01* -X64864992Y-101183086D01* -X64734086Y-101313992D01* -X64580160Y-101416842D01* -X64409133Y-101487684D01* -X64268625Y-101515632D01* -X64227562Y-101523800D01* -X64042438Y-101523800D01* -X64001375Y-101515632D01* -X63860867Y-101487684D01* -X63689840Y-101416842D01* -X63535914Y-101313992D01* -X63405008Y-101183086D01* -X63302158Y-101029160D01* -X63231316Y-100858133D01* -X63195200Y-100676561D01* -X63195200Y-100491439D01* -X63197104Y-100481869D01* -X63215494Y-100389414D01* -X63231316Y-100309867D01* -X63302158Y-100138840D01* -X63405008Y-99984914D01* -X63535914Y-99854008D01* -X63689840Y-99751158D01* -X63860867Y-99680316D01* -X64006125Y-99651423D01* -X64042438Y-99644200D01* -X64227562Y-99644200D01* -X64263875Y-99651423D01* -G37* -G36* -X61723875Y-99651423D02* -G01* -X61869133Y-99680316D01* -X62040160Y-99751158D01* -X62194086Y-99854008D01* -X62324992Y-99984914D01* -X62427842Y-100138840D01* -X62498684Y-100309867D01* -X62514506Y-100389414D01* -X62532897Y-100481869D01* -X62534800Y-100491439D01* -X62534800Y-100676561D01* -X62498684Y-100858133D01* -X62427842Y-101029160D01* -X62324992Y-101183086D01* -X62194086Y-101313992D01* -X62040160Y-101416842D01* -X61869133Y-101487684D01* -X61728625Y-101515632D01* -X61687562Y-101523800D01* -X61502438Y-101523800D01* -X61461375Y-101515632D01* -X61320867Y-101487684D01* -X61149840Y-101416842D01* -X60995914Y-101313992D01* -X60865008Y-101183086D01* -X60762158Y-101029160D01* -X60691316Y-100858133D01* -X60655200Y-100676561D01* -X60655200Y-100491439D01* -X60657104Y-100481869D01* -X60675494Y-100389414D01* -X60691316Y-100309867D01* -X60762158Y-100138840D01* -X60865008Y-99984914D01* -X60995914Y-99854008D01* -X61149840Y-99751158D01* -X61320867Y-99680316D01* -X61466125Y-99651423D01* -X61502438Y-99644200D01* -X61687562Y-99644200D01* -X61723875Y-99651423D01* -G37* -G36* -X102429468Y-100727363D02* -G01* -X102439774Y-100730489D01* -X102449274Y-100735567D01* -X102457600Y-100742400D01* -X102464433Y-100750726D01* -X102469511Y-100760226D01* -X102472637Y-100770532D01* -X102474000Y-100784368D01* -X102474000Y-101015632D01* -X102472637Y-101029468D01* -X102469511Y-101039774D01* -X102464433Y-101049274D01* -X102457600Y-101057600D01* -X102449274Y-101064433D01* -X102439774Y-101069511D01* -X102429468Y-101072637D01* -X102415632Y-101074000D01* -X101009368Y-101074000D01* -X100995532Y-101072637D01* -X100985226Y-101069511D01* -X100975726Y-101064433D01* -X100967400Y-101057600D01* -X100960567Y-101049274D01* -X100955489Y-101039774D01* -X100952363Y-101029468D01* -X100951000Y-101015632D01* -X100951000Y-100784368D01* -X100952363Y-100770532D01* -X100955489Y-100760226D01* -X100960567Y-100750726D01* -X100967400Y-100742400D01* -X100975726Y-100735567D01* -X100985226Y-100730489D01* -X100995532Y-100727363D01* -X101009368Y-100726000D01* -X102415632Y-100726000D01* -X102429468Y-100727363D01* -G37* -G36* -X87104468Y-100727363D02* -G01* -X87114774Y-100730489D01* -X87124274Y-100735567D01* -X87132600Y-100742400D01* -X87139433Y-100750726D01* -X87144511Y-100760226D01* -X87147637Y-100770532D01* -X87149000Y-100784368D01* -X87149000Y-101015632D01* -X87147637Y-101029468D01* -X87144511Y-101039774D01* -X87139433Y-101049274D01* -X87132600Y-101057600D01* -X87124274Y-101064433D01* -X87114774Y-101069511D01* -X87104468Y-101072637D01* -X87090632Y-101074000D01* -X85684368Y-101074000D01* -X85670532Y-101072637D01* -X85660226Y-101069511D01* -X85650726Y-101064433D01* -X85642400Y-101057600D01* -X85635567Y-101049274D01* -X85630489Y-101039774D01* -X85627363Y-101029468D01* -X85626000Y-101015632D01* -X85626000Y-100784368D01* -X85627363Y-100770532D01* -X85630489Y-100760226D01* -X85635567Y-100750726D01* -X85642400Y-100742400D01* -X85650726Y-100735567D01* -X85660226Y-100730489D01* -X85670532Y-100727363D01* -X85684368Y-100726000D01* -X87090632Y-100726000D01* -X87104468Y-100727363D01* -G37* -G36* -X125118208Y-100373372D02* -G01* -X125138369Y-100379487D01* -X125156941Y-100389414D01* -X125173223Y-100402777D01* -X125186586Y-100419059D01* -X125196513Y-100437631D01* -X125202628Y-100457792D01* -X125205000Y-100481869D01* -X125205000Y-100818131D01* -X125202628Y-100842208D01* -X125196513Y-100862369D01* -X125186586Y-100880941D01* -X125173223Y-100897223D01* -X125156941Y-100910586D01* -X125138369Y-100920513D01* -X125118208Y-100926628D01* -X125094131Y-100929000D01* -X123705869Y-100929000D01* -X123681792Y-100926628D01* -X123661631Y-100920513D01* -X123643059Y-100910586D01* -X123626777Y-100897223D01* -X123613414Y-100880941D01* -X123603487Y-100862369D01* -X123597372Y-100842208D01* -X123595000Y-100818131D01* -X123595000Y-100481869D01* -X123597372Y-100457792D01* -X123603487Y-100437631D01* -X123613414Y-100419059D01* -X123626777Y-100402777D01* -X123643059Y-100389414D01* -X123661631Y-100379487D01* -X123681792Y-100373372D01* -X123705869Y-100371000D01* -X125094131Y-100371000D01* -X125118208Y-100373372D01* -G37* -G36* -X113618208Y-100373372D02* -G01* -X113638369Y-100379487D01* -X113656941Y-100389414D01* -X113673223Y-100402777D01* -X113686586Y-100419059D01* -X113696513Y-100437631D01* -X113702628Y-100457792D01* -X113705000Y-100481869D01* -X113705000Y-100818131D01* -X113702628Y-100842208D01* -X113696513Y-100862369D01* -X113686586Y-100880941D01* -X113673223Y-100897223D01* -X113656941Y-100910586D01* -X113638369Y-100920513D01* -X113618208Y-100926628D01* -X113594131Y-100929000D01* -X112205869Y-100929000D01* -X112181792Y-100926628D01* -X112161631Y-100920513D01* -X112143059Y-100910586D01* -X112126777Y-100897223D01* -X112113414Y-100880941D01* -X112103487Y-100862369D01* -X112097372Y-100842208D01* -X112095000Y-100818131D01* -X112095000Y-100481869D01* -X112097372Y-100457792D01* -X112103487Y-100437631D01* -X112113414Y-100419059D01* -X112126777Y-100402777D01* -X112143059Y-100389414D01* -X112161631Y-100379487D01* -X112181792Y-100373372D01* -X112205869Y-100371000D01* -X113594131Y-100371000D01* -X113618208Y-100373372D01* -G37* -G36* -X104109329Y-99679255D02* -G01* -X104147868Y-99690945D01* -X104183388Y-99709931D01* -X104214520Y-99735480D01* -X104240069Y-99766612D01* -X104259055Y-99802132D01* -X104270745Y-99840671D01* -X104275000Y-99883868D01* -X104275000Y-100416132D01* -X104270745Y-100459329D01* -X104259055Y-100497868D01* -X104240069Y-100533388D01* -X104214520Y-100564520D01* -X104183388Y-100590069D01* -X104147868Y-100609055D01* -X104109329Y-100620745D01* -X104066132Y-100625000D01* -X103433868Y-100625000D01* -X103390671Y-100620745D01* -X103352132Y-100609055D01* -X103316612Y-100590069D01* -X103285480Y-100564520D01* -X103259931Y-100533388D01* -X103240945Y-100497868D01* -X103229255Y-100459329D01* -X103225000Y-100416132D01* -X103225000Y-99883868D01* -X103229255Y-99840671D01* -X103240945Y-99802132D01* -X103259931Y-99766612D01* -X103285480Y-99735480D01* -X103316612Y-99709931D01* -X103352132Y-99690945D01* -X103390671Y-99679255D01* -X103433868Y-99675000D01* -X104066132Y-99675000D01* -X104109329Y-99679255D01* -G37* -G36* -X84709329Y-99679255D02* -G01* -X84747868Y-99690945D01* -X84783388Y-99709931D01* -X84814520Y-99735480D01* -X84840069Y-99766612D01* -X84859055Y-99802132D01* -X84870745Y-99840671D01* -X84875000Y-99883868D01* -X84875000Y-100416132D01* -X84870745Y-100459329D01* -X84859055Y-100497868D01* -X84840069Y-100533388D01* -X84814520Y-100564520D01* -X84783388Y-100590069D01* -X84747868Y-100609055D01* -X84709329Y-100620745D01* -X84666132Y-100625000D01* -X84033868Y-100625000D01* -X83990671Y-100620745D01* -X83952132Y-100609055D01* -X83916612Y-100590069D01* -X83885480Y-100564520D01* -X83859931Y-100533388D01* -X83840945Y-100497868D01* -X83829255Y-100459329D01* -X83825000Y-100416132D01* -X83825000Y-99883868D01* -X83829255Y-99840671D01* -X83840945Y-99802132D01* -X83859931Y-99766612D01* -X83885480Y-99735480D01* -X83916612Y-99709931D01* -X83952132Y-99690945D01* -X83990671Y-99679255D01* -X84033868Y-99675000D01* -X84666132Y-99675000D01* -X84709329Y-99679255D01* -G37* -G36* -X87104468Y-100227363D02* -G01* -X87114774Y-100230489D01* -X87124274Y-100235567D01* -X87132600Y-100242400D01* -X87139433Y-100250726D01* -X87144511Y-100260226D01* -X87147637Y-100270532D01* -X87149000Y-100284368D01* -X87149000Y-100515632D01* -X87147637Y-100529468D01* -X87144511Y-100539774D01* -X87139433Y-100549274D01* -X87132600Y-100557600D01* -X87124274Y-100564433D01* -X87114774Y-100569511D01* -X87104468Y-100572637D01* -X87090632Y-100574000D01* -X85684368Y-100574000D01* -X85670532Y-100572637D01* -X85660226Y-100569511D01* -X85650726Y-100564433D01* -X85642400Y-100557600D01* -X85635567Y-100549274D01* -X85630489Y-100539774D01* -X85627363Y-100529468D01* -X85626000Y-100515632D01* -X85626000Y-100284368D01* -X85627363Y-100270532D01* -X85630489Y-100260226D01* -X85635567Y-100250726D01* -X85642400Y-100242400D01* -X85650726Y-100235567D01* -X85660226Y-100230489D01* -X85670532Y-100227363D01* -X85684368Y-100226000D01* -X87090632Y-100226000D01* -X87104468Y-100227363D01* -G37* -G36* -X102429468Y-100227363D02* -G01* -X102439774Y-100230489D01* -X102449274Y-100235567D01* -X102457600Y-100242400D01* -X102464433Y-100250726D01* -X102469511Y-100260226D01* -X102472637Y-100270532D01* -X102474000Y-100284368D01* -X102474000Y-100515632D01* -X102472637Y-100529468D01* -X102469511Y-100539774D01* -X102464433Y-100549274D01* -X102457600Y-100557600D01* -X102449274Y-100564433D01* -X102439774Y-100569511D01* -X102429468Y-100572637D01* -X102415632Y-100574000D01* -X101009368Y-100574000D01* -X100995532Y-100572637D01* -X100985226Y-100569511D01* -X100975726Y-100564433D01* -X100967400Y-100557600D01* -X100960567Y-100549274D01* -X100955489Y-100539774D01* -X100952363Y-100529468D01* -X100951000Y-100515632D01* -X100951000Y-100284368D01* -X100952363Y-100270532D01* -X100955489Y-100260226D01* -X100960567Y-100250726D01* -X100967400Y-100242400D01* -X100975726Y-100235567D01* -X100985226Y-100230489D01* -X100995532Y-100227363D01* -X101009368Y-100226000D01* -X102415632Y-100226000D01* -X102429468Y-100227363D01* -G37* -G36* -X136680437Y-99013822D02* -G01* -X136714759Y-99024233D01* -X136746387Y-99041139D01* -X136774110Y-99063890D01* -X136796861Y-99091613D01* -X136813767Y-99123241D01* -X136824178Y-99157563D01* -X136828000Y-99196367D01* -X136828000Y-100193633D01* -X136824178Y-100232437D01* -X136813767Y-100266759D01* -X136796861Y-100298387D01* -X136774110Y-100326110D01* -X136746387Y-100348861D01* -X136714759Y-100365767D01* -X136680437Y-100376178D01* -X136641633Y-100380000D01* -X136154367Y-100380000D01* -X136115563Y-100376178D01* -X136081241Y-100365767D01* -X136049613Y-100348861D01* -X136021890Y-100326110D01* -X135999139Y-100298387D01* -X135982233Y-100266759D01* -X135971822Y-100232437D01* -X135968000Y-100193633D01* -X135968000Y-99196367D01* -X135971822Y-99157563D01* -X135982233Y-99123241D01* -X135999139Y-99091613D01* -X136021890Y-99063890D01* -X136049613Y-99041139D01* -X136081241Y-99024233D01* -X136115563Y-99013822D01* -X136154367Y-99010000D01* -X136641633Y-99010000D01* -X136680437Y-99013822D01* -G37* -G36* -X135410437Y-99013822D02* -G01* -X135444759Y-99024233D01* -X135476387Y-99041139D01* -X135504110Y-99063890D01* -X135526861Y-99091613D01* -X135543767Y-99123241D01* -X135554178Y-99157563D01* -X135558000Y-99196367D01* -X135558000Y-100193633D01* -X135554178Y-100232437D01* -X135543767Y-100266759D01* -X135526861Y-100298387D01* -X135504110Y-100326110D01* -X135476387Y-100348861D01* -X135444759Y-100365767D01* -X135410437Y-100376178D01* -X135371633Y-100380000D01* -X134884367Y-100380000D01* -X134845563Y-100376178D01* -X134811241Y-100365767D01* -X134779613Y-100348861D01* -X134751890Y-100326110D01* -X134729139Y-100298387D01* -X134712233Y-100266759D01* -X134701822Y-100232437D01* -X134698000Y-100193633D01* -X134698000Y-99196367D01* -X134701822Y-99157563D01* -X134712233Y-99123241D01* -X134729139Y-99091613D01* -X134751890Y-99063890D01* -X134779613Y-99041139D01* -X134811241Y-99024233D01* -X134845563Y-99013822D01* -X134884367Y-99010000D01* -X135371633Y-99010000D01* -X135410437Y-99013822D01* -G37* -G36* -X69359329Y-99429255D02* -G01* -X69397868Y-99440945D01* -X69433388Y-99459931D01* -X69464520Y-99485480D01* -X69490069Y-99516612D01* -X69509055Y-99552132D01* -X69520745Y-99590671D01* -X69525000Y-99633868D01* -X69525000Y-100166132D01* -X69520745Y-100209329D01* -X69509055Y-100247868D01* -X69490069Y-100283388D01* -X69464520Y-100314520D01* -X69433388Y-100340069D01* -X69397868Y-100359055D01* -X69359329Y-100370745D01* -X69316132Y-100375000D01* -X68683868Y-100375000D01* -X68640671Y-100370745D01* -X68602132Y-100359055D01* -X68566612Y-100340069D01* -X68535480Y-100314520D01* -X68509931Y-100283388D01* -X68490945Y-100247868D01* -X68479255Y-100209329D01* -X68475000Y-100166132D01* -X68475000Y-99633868D01* -X68479255Y-99590671D01* -X68490945Y-99552132D01* -X68509931Y-99516612D01* -X68535480Y-99485480D01* -X68566612Y-99459931D01* -X68602132Y-99440945D01* -X68640671Y-99429255D01* -X68683868Y-99425000D01* -X69316132Y-99425000D01* -X69359329Y-99429255D01* -G37* -G36* -X108368906Y-99161997D02* -G01* -X108385406Y-99167002D01* -X108400606Y-99175127D01* -X108413935Y-99186065D01* -X108424873Y-99199394D01* -X108432998Y-99214594D01* -X108438003Y-99231094D01* -X108440000Y-99251367D01* -X108440000Y-100148633D01* -X108438003Y-100168906D01* -X108432998Y-100185406D01* -X108424873Y-100200606D01* -X108413935Y-100213935D01* -X108400606Y-100224873D01* -X108385406Y-100232998D01* -X108368906Y-100238003D01* -X108348633Y-100240000D01* -X108051367Y-100240000D01* -X108031094Y-100238003D01* -X108014594Y-100232998D01* -X107999394Y-100224873D01* -X107986065Y-100213935D01* -X107975127Y-100200606D01* -X107967002Y-100185406D01* -X107961997Y-100168906D01* -X107960000Y-100148633D01* -X107960000Y-99251367D01* -X107961997Y-99231094D01* -X107967002Y-99214594D01* -X107975127Y-99199394D01* -X107986065Y-99186065D01* -X107999394Y-99175127D01* -X108014594Y-99167002D01* -X108031094Y-99161997D01* -X108051367Y-99160000D01* -X108348633Y-99160000D01* -X108368906Y-99161997D01* -G37* -G36* -X109018906Y-99161997D02* -G01* -X109035406Y-99167002D01* -X109050606Y-99175127D01* -X109063935Y-99186065D01* -X109074873Y-99199394D01* -X109082998Y-99214594D01* -X109088003Y-99231094D01* -X109090000Y-99251367D01* -X109090000Y-100148633D01* -X109088003Y-100168906D01* -X109082998Y-100185406D01* -X109074873Y-100200606D01* -X109063935Y-100213935D01* -X109050606Y-100224873D01* -X109035406Y-100232998D01* -X109018906Y-100238003D01* -X108998633Y-100240000D01* -X108701367Y-100240000D01* -X108681094Y-100238003D01* -X108664594Y-100232998D01* -X108649394Y-100224873D01* -X108636065Y-100213935D01* -X108625127Y-100200606D01* -X108617002Y-100185406D01* -X108611997Y-100168906D01* -X108610000Y-100148633D01* -X108610000Y-99251367D01* -X108611997Y-99231094D01* -X108617002Y-99214594D01* -X108625127Y-99199394D01* -X108636065Y-99186065D01* -X108649394Y-99175127D01* -X108664594Y-99167002D01* -X108681094Y-99161997D01* -X108701367Y-99160000D01* -X108998633Y-99160000D01* -X109018906Y-99161997D01* -G37* -G36* -X107718906Y-99161997D02* -G01* -X107735406Y-99167002D01* -X107750606Y-99175127D01* -X107763935Y-99186065D01* -X107774873Y-99199394D01* -X107782998Y-99214594D01* -X107788003Y-99231094D01* -X107790000Y-99251367D01* -X107790000Y-100148633D01* -X107788003Y-100168906D01* -X107782998Y-100185406D01* -X107774873Y-100200606D01* -X107763935Y-100213935D01* -X107750606Y-100224873D01* -X107735406Y-100232998D01* -X107718906Y-100238003D01* -X107698633Y-100240000D01* -X107401367Y-100240000D01* -X107381094Y-100238003D01* -X107364594Y-100232998D01* -X107349394Y-100224873D01* -X107336065Y-100213935D01* -X107325127Y-100200606D01* -X107317002Y-100185406D01* -X107311997Y-100168906D01* -X107310000Y-100148633D01* -X107310000Y-99251367D01* -X107311997Y-99231094D01* -X107317002Y-99214594D01* -X107325127Y-99199394D01* -X107336065Y-99186065D01* -X107349394Y-99175127D01* -X107364594Y-99167002D01* -X107381094Y-99161997D01* -X107401367Y-99160000D01* -X107698633Y-99160000D01* -X107718906Y-99161997D01* -G37* -G36* -X113618208Y-99573372D02* -G01* -X113638369Y-99579487D01* -X113656941Y-99589414D01* -X113673223Y-99602777D01* -X113686586Y-99619059D01* -X113696513Y-99637631D01* -X113702628Y-99657792D01* -X113705000Y-99681869D01* -X113705000Y-100018131D01* -X113702628Y-100042208D01* -X113696513Y-100062369D01* -X113686586Y-100080941D01* -X113673223Y-100097223D01* -X113656941Y-100110586D01* -X113638369Y-100120513D01* -X113618208Y-100126628D01* -X113594131Y-100129000D01* -X112205869Y-100129000D01* -X112181792Y-100126628D01* -X112161631Y-100120513D01* -X112143059Y-100110586D01* -X112126777Y-100097223D01* -X112113414Y-100080941D01* -X112103487Y-100062369D01* -X112097372Y-100042208D01* -X112095000Y-100018131D01* -X112095000Y-99681869D01* -X112097372Y-99657792D01* -X112103487Y-99637631D01* -X112113414Y-99619059D01* -X112126777Y-99602777D01* -X112143059Y-99589414D01* -X112161631Y-99579487D01* -X112181792Y-99573372D01* -X112205869Y-99571000D01* -X113594131Y-99571000D01* -X113618208Y-99573372D01* -G37* -G36* -X125118208Y-99573372D02* -G01* -X125138369Y-99579487D01* -X125156941Y-99589414D01* -X125173223Y-99602777D01* -X125186586Y-99619059D01* -X125196513Y-99637631D01* -X125202628Y-99657792D01* -X125205000Y-99681869D01* -X125205000Y-100018131D01* -X125202628Y-100042208D01* -X125196513Y-100062369D01* -X125186586Y-100080941D01* -X125173223Y-100097223D01* -X125156941Y-100110586D01* -X125138369Y-100120513D01* -X125118208Y-100126628D01* -X125094131Y-100129000D01* -X123705869Y-100129000D01* -X123681792Y-100126628D01* -X123661631Y-100120513D01* -X123643059Y-100110586D01* -X123626777Y-100097223D01* -X123613414Y-100080941D01* -X123603487Y-100062369D01* -X123597372Y-100042208D01* -X123595000Y-100018131D01* -X123595000Y-99681869D01* -X123597372Y-99657792D01* -X123603487Y-99637631D01* -X123613414Y-99619059D01* -X123626777Y-99602777D01* -X123643059Y-99589414D01* -X123661631Y-99579487D01* -X123681792Y-99573372D01* -X123705869Y-99571000D01* -X125094131Y-99571000D01* -X125118208Y-99573372D01* -G37* -G36* -X87104468Y-99727363D02* -G01* -X87114774Y-99730489D01* -X87124274Y-99735567D01* -X87132600Y-99742400D01* -X87139433Y-99750726D01* -X87144511Y-99760226D01* -X87147637Y-99770532D01* -X87149000Y-99784368D01* -X87149000Y-100015632D01* -X87147637Y-100029468D01* -X87144511Y-100039774D01* -X87139433Y-100049274D01* -X87132600Y-100057600D01* -X87124274Y-100064433D01* -X87114774Y-100069511D01* -X87104468Y-100072637D01* -X87090632Y-100074000D01* -X85684368Y-100074000D01* -X85670532Y-100072637D01* -X85660226Y-100069511D01* -X85650726Y-100064433D01* -X85642400Y-100057600D01* -X85635567Y-100049274D01* -X85630489Y-100039774D01* -X85627363Y-100029468D01* -X85626000Y-100015632D01* -X85626000Y-99784368D01* -X85627363Y-99770532D01* -X85630489Y-99760226D01* -X85635567Y-99750726D01* -X85642400Y-99742400D01* -X85650726Y-99735567D01* -X85660226Y-99730489D01* -X85670532Y-99727363D01* -X85684368Y-99726000D01* -X87090632Y-99726000D01* -X87104468Y-99727363D01* -G37* -G36* -X102429468Y-99727363D02* -G01* -X102439774Y-99730489D01* -X102449274Y-99735567D01* -X102457600Y-99742400D01* -X102464433Y-99750726D01* -X102469511Y-99760226D01* -X102472637Y-99770532D01* -X102474000Y-99784368D01* -X102474000Y-100015632D01* -X102472637Y-100029468D01* -X102469511Y-100039774D01* -X102464433Y-100049274D01* -X102457600Y-100057600D01* -X102449274Y-100064433D01* -X102439774Y-100069511D01* -X102429468Y-100072637D01* -X102415632Y-100074000D01* -X101009368Y-100074000D01* -X100995532Y-100072637D01* -X100985226Y-100069511D01* -X100975726Y-100064433D01* -X100967400Y-100057600D01* -X100960567Y-100049274D01* -X100955489Y-100039774D01* -X100952363Y-100029468D01* -X100951000Y-100015632D01* -X100951000Y-99784368D01* -X100952363Y-99770532D01* -X100955489Y-99760226D01* -X100960567Y-99750726D01* -X100967400Y-99742400D01* -X100975726Y-99735567D01* -X100985226Y-99730489D01* -X100995532Y-99727363D01* -X101009368Y-99726000D01* -X102415632Y-99726000D01* -X102429468Y-99727363D01* -G37* -G36* -X106309329Y-99029255D02* -G01* -X106347868Y-99040945D01* -X106383388Y-99059931D01* -X106414520Y-99085480D01* -X106440069Y-99116612D01* -X106459055Y-99152132D01* -X106470745Y-99190671D01* -X106475000Y-99233868D01* -X106475000Y-99766132D01* -X106470745Y-99809329D01* -X106459055Y-99847868D01* -X106440069Y-99883388D01* -X106414520Y-99914520D01* -X106383388Y-99940069D01* -X106347868Y-99959055D01* -X106309329Y-99970745D01* -X106266132Y-99975000D01* -X105633868Y-99975000D01* -X105590671Y-99970745D01* -X105552132Y-99959055D01* -X105516612Y-99940069D01* -X105485480Y-99914520D01* -X105459931Y-99883388D01* -X105440945Y-99847868D01* -X105429255Y-99809329D01* -X105425000Y-99766132D01* -X105425000Y-99233868D01* -X105429255Y-99190671D01* -X105440945Y-99152132D01* -X105459931Y-99116612D01* -X105485480Y-99085480D01* -X105516612Y-99059931D01* -X105552132Y-99040945D01* -X105590671Y-99029255D01* -X105633868Y-99025000D01* -X106266132Y-99025000D01* -X106309329Y-99029255D01* -G37* -G36* -X87104468Y-99227363D02* -G01* -X87114774Y-99230489D01* -X87124274Y-99235567D01* -X87132600Y-99242400D01* -X87139433Y-99250726D01* -X87144511Y-99260226D01* -X87147637Y-99270532D01* -X87149000Y-99284368D01* -X87149000Y-99515632D01* -X87147637Y-99529468D01* -X87144511Y-99539774D01* -X87139433Y-99549274D01* -X87132600Y-99557600D01* -X87124274Y-99564433D01* -X87114774Y-99569511D01* -X87104468Y-99572637D01* -X87090632Y-99574000D01* -X85684368Y-99574000D01* -X85670532Y-99572637D01* -X85660226Y-99569511D01* -X85650726Y-99564433D01* -X85642400Y-99557600D01* -X85635567Y-99549274D01* -X85630489Y-99539774D01* -X85627363Y-99529468D01* -X85626000Y-99515632D01* -X85626000Y-99284368D01* -X85627363Y-99270532D01* -X85630489Y-99260226D01* -X85635567Y-99250726D01* -X85642400Y-99242400D01* -X85650726Y-99235567D01* -X85660226Y-99230489D01* -X85670532Y-99227363D01* -X85684368Y-99226000D01* -X87090632Y-99226000D01* -X87104468Y-99227363D01* -G37* -G36* -X102429468Y-99227363D02* -G01* -X102439774Y-99230489D01* -X102449274Y-99235567D01* -X102457600Y-99242400D01* -X102464433Y-99250726D01* -X102469511Y-99260226D01* -X102472637Y-99270532D01* -X102474000Y-99284368D01* -X102474000Y-99515632D01* -X102472637Y-99529468D01* -X102469511Y-99539774D01* -X102464433Y-99549274D01* -X102457600Y-99557600D01* -X102449274Y-99564433D01* -X102439774Y-99569511D01* -X102429468Y-99572637D01* -X102415632Y-99574000D01* -X101009368Y-99574000D01* -X100995532Y-99572637D01* -X100985226Y-99569511D01* -X100975726Y-99564433D01* -X100967400Y-99557600D01* -X100960567Y-99549274D01* -X100955489Y-99539774D01* -X100952363Y-99529468D01* -X100951000Y-99515632D01* -X100951000Y-99284368D01* -X100952363Y-99270532D01* -X100955489Y-99260226D01* -X100960567Y-99250726D01* -X100967400Y-99242400D01* -X100975726Y-99235567D01* -X100985226Y-99230489D01* -X100995532Y-99227363D01* -X101009368Y-99226000D01* -X102415632Y-99226000D01* -X102429468Y-99227363D01* -G37* -G36* -X113618208Y-98773372D02* -G01* -X113638369Y-98779487D01* -X113656941Y-98789414D01* -X113673223Y-98802777D01* -X113686586Y-98819059D01* -X113696513Y-98837631D01* -X113702628Y-98857792D01* -X113705000Y-98881869D01* -X113705000Y-99218131D01* -X113702628Y-99242208D01* -X113696513Y-99262369D01* -X113686586Y-99280941D01* -X113673223Y-99297223D01* -X113656941Y-99310586D01* -X113638369Y-99320513D01* -X113618208Y-99326628D01* -X113594131Y-99329000D01* -X112205869Y-99329000D01* -X112181792Y-99326628D01* -X112161631Y-99320513D01* -X112143059Y-99310586D01* -X112126777Y-99297223D01* -X112113414Y-99280941D01* -X112103487Y-99262369D01* -X112097372Y-99242208D01* -X112095000Y-99218131D01* -X112095000Y-98881869D01* -X112097372Y-98857792D01* -X112103487Y-98837631D01* -X112113414Y-98819059D01* -X112126777Y-98802777D01* -X112143059Y-98789414D01* -X112161631Y-98779487D01* -X112181792Y-98773372D01* -X112205869Y-98771000D01* -X113594131Y-98771000D01* -X113618208Y-98773372D01* -G37* -G36* -X125118208Y-98773372D02* -G01* -X125138369Y-98779487D01* -X125156941Y-98789414D01* -X125173223Y-98802777D01* -X125186586Y-98819059D01* -X125196513Y-98837631D01* -X125202628Y-98857792D01* -X125205000Y-98881869D01* -X125205000Y-99218131D01* -X125202628Y-99242208D01* -X125196513Y-99262369D01* -X125186586Y-99280941D01* -X125173223Y-99297223D01* -X125156941Y-99310586D01* -X125138369Y-99320513D01* -X125118208Y-99326628D01* -X125094131Y-99329000D01* -X123705869Y-99329000D01* -X123681792Y-99326628D01* -X123661631Y-99320513D01* -X123643059Y-99310586D01* -X123626777Y-99297223D01* -X123613414Y-99280941D01* -X123603487Y-99262369D01* -X123597372Y-99242208D01* -X123595000Y-99218131D01* -X123595000Y-98881869D01* -X123597372Y-98857792D01* -X123603487Y-98837631D01* -X123613414Y-98819059D01* -X123626777Y-98802777D01* -X123643059Y-98789414D01* -X123661631Y-98779487D01* -X123681792Y-98773372D01* -X123705869Y-98771000D01* -X125094131Y-98771000D01* -X125118208Y-98773372D01* -G37* -G36* -X84709329Y-98279255D02* -G01* -X84747868Y-98290945D01* -X84783388Y-98309931D01* -X84814520Y-98335480D01* -X84840069Y-98366612D01* -X84859055Y-98402132D01* -X84870745Y-98440671D01* -X84875000Y-98483868D01* -X84875000Y-99016132D01* -X84870745Y-99059329D01* -X84859055Y-99097868D01* -X84840069Y-99133388D01* -X84814520Y-99164520D01* -X84783388Y-99190069D01* -X84747868Y-99209055D01* -X84709329Y-99220745D01* -X84666132Y-99225000D01* -X84033868Y-99225000D01* -X83990671Y-99220745D01* -X83952132Y-99209055D01* -X83916612Y-99190069D01* -X83885480Y-99164520D01* -X83859931Y-99133388D01* -X83840945Y-99097868D01* -X83829255Y-99059329D01* -X83825000Y-99016132D01* -X83825000Y-98483868D01* -X83829255Y-98440671D01* -X83840945Y-98402132D01* -X83859931Y-98366612D01* -X83885480Y-98335480D01* -X83916612Y-98309931D01* -X83952132Y-98290945D01* -X83990671Y-98279255D01* -X84033868Y-98275000D01* -X84666132Y-98275000D01* -X84709329Y-98279255D01* -G37* -G36* -X87104468Y-98727363D02* -G01* -X87114774Y-98730489D01* -X87124274Y-98735567D01* -X87132600Y-98742400D01* -X87139433Y-98750726D01* -X87144511Y-98760226D01* -X87147637Y-98770532D01* -X87149000Y-98784368D01* -X87149000Y-99015632D01* -X87147637Y-99029468D01* -X87144511Y-99039774D01* -X87139433Y-99049274D01* -X87132600Y-99057600D01* -X87124274Y-99064433D01* -X87114774Y-99069511D01* -X87104468Y-99072637D01* -X87090632Y-99074000D01* -X85684368Y-99074000D01* -X85670532Y-99072637D01* -X85660226Y-99069511D01* -X85650726Y-99064433D01* -X85642400Y-99057600D01* -X85635567Y-99049274D01* -X85630489Y-99039774D01* -X85627363Y-99029468D01* -X85626000Y-99015632D01* -X85626000Y-98784368D01* -X85627363Y-98770532D01* -X85630489Y-98760226D01* -X85635567Y-98750726D01* -X85642400Y-98742400D01* -X85650726Y-98735567D01* -X85660226Y-98730489D01* -X85670532Y-98727363D01* -X85684368Y-98726000D01* -X87090632Y-98726000D01* -X87104468Y-98727363D01* -G37* -G36* -X102429468Y-98727363D02* -G01* -X102439774Y-98730489D01* -X102449274Y-98735567D01* -X102457600Y-98742400D01* -X102464433Y-98750726D01* -X102469511Y-98760226D01* -X102472637Y-98770532D01* -X102474000Y-98784368D01* -X102474000Y-99015632D01* -X102472637Y-99029468D01* -X102469511Y-99039774D01* -X102464433Y-99049274D01* -X102457600Y-99057600D01* -X102449274Y-99064433D01* -X102439774Y-99069511D01* -X102429468Y-99072637D01* -X102415632Y-99074000D01* -X101009368Y-99074000D01* -X100995532Y-99072637D01* -X100985226Y-99069511D01* -X100975726Y-99064433D01* -X100967400Y-99057600D01* -X100960567Y-99049274D01* -X100955489Y-99039774D01* -X100952363Y-99029468D01* -X100951000Y-99015632D01* -X100951000Y-98784368D01* -X100952363Y-98770532D01* -X100955489Y-98760226D01* -X100960567Y-98750726D01* -X100967400Y-98742400D01* -X100975726Y-98735567D01* -X100985226Y-98730489D01* -X100995532Y-98727363D01* -X101009368Y-98726000D01* -X102415632Y-98726000D01* -X102429468Y-98727363D01* -G37* -G36* -X64253538Y-97109367D02* -G01* -X64409133Y-97140316D01* -X64580160Y-97211158D01* -X64734086Y-97314008D01* -X64864992Y-97444914D01* -X64967842Y-97598840D01* -X65038684Y-97769867D01* -X65074800Y-97951439D01* -X65074800Y-98136561D01* -X65038684Y-98318133D01* -X64967842Y-98489160D01* -X64864992Y-98643086D01* -X64734086Y-98773992D01* -X64580160Y-98876842D01* -X64409133Y-98947684D01* -X64263875Y-98976577D01* -X64227562Y-98983800D01* -X64042438Y-98983800D01* -X64006125Y-98976577D01* -X63860867Y-98947684D01* -X63689840Y-98876842D01* -X63535914Y-98773992D01* -X63405008Y-98643086D01* -X63302158Y-98489160D01* -X63231316Y-98318133D01* -X63195200Y-98136561D01* -X63195200Y-97951439D01* -X63231316Y-97769867D01* -X63302158Y-97598840D01* -X63405008Y-97444914D01* -X63535914Y-97314008D01* -X63689840Y-97211158D01* -X63860867Y-97140316D01* -X64016462Y-97109367D01* -X64042438Y-97104200D01* -X64227562Y-97104200D01* -X64253538Y-97109367D01* -G37* -G36* -X61713538Y-97109367D02* -G01* -X61869133Y-97140316D01* -X62040160Y-97211158D01* -X62194086Y-97314008D01* -X62324992Y-97444914D01* -X62427842Y-97598840D01* -X62498684Y-97769867D01* -X62534800Y-97951439D01* -X62534800Y-98136561D01* -X62498684Y-98318133D01* -X62427842Y-98489160D01* -X62324992Y-98643086D01* -X62194086Y-98773992D01* -X62040160Y-98876842D01* -X61869133Y-98947684D01* -X61723875Y-98976577D01* -X61687562Y-98983800D01* -X61502438Y-98983800D01* -X61466125Y-98976577D01* -X61320867Y-98947684D01* -X61149840Y-98876842D01* -X60995914Y-98773992D01* -X60865008Y-98643086D01* -X60762158Y-98489160D01* -X60691316Y-98318133D01* -X60655200Y-98136561D01* -X60655200Y-97951439D01* -X60691316Y-97769867D01* -X60762158Y-97598840D01* -X60865008Y-97444914D01* -X60995914Y-97314008D01* -X61149840Y-97211158D01* -X61320867Y-97140316D01* -X61476462Y-97109367D01* -X61502438Y-97104200D01* -X61687562Y-97104200D01* -X61713538Y-97109367D01* -G37* -G36* -X87104468Y-98227363D02* -G01* -X87114774Y-98230489D01* -X87124274Y-98235567D01* -X87132600Y-98242400D01* -X87139433Y-98250726D01* -X87144511Y-98260226D01* -X87147637Y-98270532D01* -X87149000Y-98284368D01* -X87149000Y-98515632D01* -X87147637Y-98529468D01* -X87144511Y-98539774D01* -X87139433Y-98549274D01* -X87132600Y-98557600D01* -X87124274Y-98564433D01* -X87114774Y-98569511D01* -X87104468Y-98572637D01* -X87090632Y-98574000D01* -X85684368Y-98574000D01* -X85670532Y-98572637D01* -X85660226Y-98569511D01* -X85650726Y-98564433D01* -X85642400Y-98557600D01* -X85635567Y-98549274D01* -X85630489Y-98539774D01* -X85627363Y-98529468D01* -X85626000Y-98515632D01* -X85626000Y-98284368D01* -X85627363Y-98270532D01* -X85630489Y-98260226D01* -X85635567Y-98250726D01* -X85642400Y-98242400D01* -X85650726Y-98235567D01* -X85660226Y-98230489D01* -X85670532Y-98227363D01* -X85684368Y-98226000D01* -X87090632Y-98226000D01* -X87104468Y-98227363D01* -G37* -G36* -X102429468Y-98227363D02* -G01* -X102439774Y-98230489D01* -X102449274Y-98235567D01* -X102457600Y-98242400D01* -X102464433Y-98250726D01* -X102469511Y-98260226D01* -X102472637Y-98270532D01* -X102474000Y-98284368D01* -X102474000Y-98515632D01* -X102472637Y-98529468D01* -X102469511Y-98539774D01* -X102464433Y-98549274D01* -X102457600Y-98557600D01* -X102449274Y-98564433D01* -X102439774Y-98569511D01* -X102429468Y-98572637D01* -X102415632Y-98574000D01* -X101009368Y-98574000D01* -X100995532Y-98572637D01* -X100985226Y-98569511D01* -X100975726Y-98564433D01* -X100967400Y-98557600D01* -X100960567Y-98549274D01* -X100955489Y-98539774D01* -X100952363Y-98529468D01* -X100951000Y-98515632D01* -X100951000Y-98284368D01* -X100952363Y-98270532D01* -X100955489Y-98260226D01* -X100960567Y-98250726D01* -X100967400Y-98242400D01* -X100975726Y-98235567D01* -X100985226Y-98230489D01* -X100995532Y-98227363D01* -X101009368Y-98226000D01* -X102415632Y-98226000D01* -X102429468Y-98227363D01* -G37* -G36* -X107718906Y-97461997D02* -G01* -X107735406Y-97467002D01* -X107750606Y-97475127D01* -X107763935Y-97486065D01* -X107774873Y-97499394D01* -X107782998Y-97514594D01* -X107788003Y-97531094D01* -X107790000Y-97551367D01* -X107790000Y-98448633D01* -X107788003Y-98468906D01* -X107782998Y-98485406D01* -X107774873Y-98500606D01* -X107763935Y-98513935D01* -X107750606Y-98524873D01* -X107735406Y-98532998D01* -X107718906Y-98538003D01* -X107698633Y-98540000D01* -X107401367Y-98540000D01* -X107381094Y-98538003D01* -X107364594Y-98532998D01* -X107349394Y-98524873D01* -X107336065Y-98513935D01* -X107325127Y-98500606D01* -X107317002Y-98485406D01* -X107311997Y-98468906D01* -X107310000Y-98448633D01* -X107310000Y-97551367D01* -X107311997Y-97531094D01* -X107317002Y-97514594D01* -X107325127Y-97499394D01* -X107336065Y-97486065D01* -X107349394Y-97475127D01* -X107364594Y-97467002D01* -X107381094Y-97461997D01* -X107401367Y-97460000D01* -X107698633Y-97460000D01* -X107718906Y-97461997D01* -G37* -G36* -X109018906Y-97461997D02* -G01* -X109035406Y-97467002D01* -X109050606Y-97475127D01* -X109063935Y-97486065D01* -X109074873Y-97499394D01* -X109082998Y-97514594D01* -X109088003Y-97531094D01* -X109090000Y-97551367D01* -X109090000Y-98448633D01* -X109088003Y-98468906D01* -X109082998Y-98485406D01* -X109074873Y-98500606D01* -X109063935Y-98513935D01* -X109050606Y-98524873D01* -X109035406Y-98532998D01* -X109018906Y-98538003D01* -X108998633Y-98540000D01* -X108701367Y-98540000D01* -X108681094Y-98538003D01* -X108664594Y-98532998D01* -X108649394Y-98524873D01* -X108636065Y-98513935D01* -X108625127Y-98500606D01* -X108617002Y-98485406D01* -X108611997Y-98468906D01* -X108610000Y-98448633D01* -X108610000Y-97551367D01* -X108611997Y-97531094D01* -X108617002Y-97514594D01* -X108625127Y-97499394D01* -X108636065Y-97486065D01* -X108649394Y-97475127D01* -X108664594Y-97467002D01* -X108681094Y-97461997D01* -X108701367Y-97460000D01* -X108998633Y-97460000D01* -X109018906Y-97461997D01* -G37* -G36* -X125118208Y-97973372D02* -G01* -X125138369Y-97979487D01* -X125156941Y-97989414D01* -X125173223Y-98002777D01* -X125186586Y-98019059D01* -X125196513Y-98037631D01* -X125202628Y-98057792D01* -X125205000Y-98081869D01* -X125205000Y-98418131D01* -X125202628Y-98442208D01* -X125196513Y-98462369D01* -X125186586Y-98480941D01* -X125173223Y-98497223D01* -X125156941Y-98510586D01* -X125138369Y-98520513D01* -X125118208Y-98526628D01* -X125094131Y-98529000D01* -X123705869Y-98529000D01* -X123681792Y-98526628D01* -X123661631Y-98520513D01* -X123643059Y-98510586D01* -X123626777Y-98497223D01* -X123613414Y-98480941D01* -X123603487Y-98462369D01* -X123597372Y-98442208D01* -X123595000Y-98418131D01* -X123595000Y-98081869D01* -X123597372Y-98057792D01* -X123603487Y-98037631D01* -X123613414Y-98019059D01* -X123626777Y-98002777D01* -X123643059Y-97989414D01* -X123661631Y-97979487D01* -X123681792Y-97973372D01* -X123705869Y-97971000D01* -X125094131Y-97971000D01* -X125118208Y-97973372D01* -G37* -G36* -X113618208Y-97973372D02* -G01* -X113638369Y-97979487D01* -X113656941Y-97989414D01* -X113673223Y-98002777D01* -X113686586Y-98019059D01* -X113696513Y-98037631D01* -X113702628Y-98057792D01* -X113705000Y-98081869D01* -X113705000Y-98418131D01* -X113702628Y-98442208D01* -X113696513Y-98462369D01* -X113686586Y-98480941D01* -X113673223Y-98497223D01* -X113656941Y-98510586D01* -X113638369Y-98520513D01* -X113618208Y-98526628D01* -X113594131Y-98529000D01* -X112205869Y-98529000D01* -X112181792Y-98526628D01* -X112161631Y-98520513D01* -X112143059Y-98510586D01* -X112126777Y-98497223D01* -X112113414Y-98480941D01* -X112103487Y-98462369D01* -X112097372Y-98442208D01* -X112095000Y-98418131D01* -X112095000Y-98081869D01* -X112097372Y-98057792D01* -X112103487Y-98037631D01* -X112113414Y-98019059D01* -X112126777Y-98002777D01* -X112143059Y-97989414D01* -X112161631Y-97979487D01* -X112181792Y-97973372D01* -X112205869Y-97971000D01* -X113594131Y-97971000D01* -X113618208Y-97973372D01* -G37* -G36* -X106309329Y-97529255D02* -G01* -X106347868Y-97540945D01* -X106383388Y-97559931D01* -X106414520Y-97585480D01* -X106440069Y-97616612D01* -X106459055Y-97652132D01* -X106470745Y-97690671D01* -X106475000Y-97733868D01* -X106475000Y-98266132D01* -X106470745Y-98309329D01* -X106459055Y-98347868D01* -X106440069Y-98383388D01* -X106414520Y-98414520D01* -X106383388Y-98440069D01* -X106347868Y-98459055D01* -X106309329Y-98470745D01* -X106266132Y-98475000D01* -X105633868Y-98475000D01* -X105590671Y-98470745D01* -X105552132Y-98459055D01* -X105516612Y-98440069D01* -X105485480Y-98414520D01* -X105459931Y-98383388D01* -X105440945Y-98347868D01* -X105429255Y-98309329D01* -X105425000Y-98266132D01* -X105425000Y-97733868D01* -X105429255Y-97690671D01* -X105440945Y-97652132D01* -X105459931Y-97616612D01* -X105485480Y-97585480D01* -X105516612Y-97559931D01* -X105552132Y-97540945D01* -X105590671Y-97529255D01* -X105633868Y-97525000D01* -X106266132Y-97525000D01* -X106309329Y-97529255D01* -G37* -G36* -X102429468Y-97727363D02* -G01* -X102439774Y-97730489D01* -X102449274Y-97735567D01* -X102457600Y-97742400D01* -X102464433Y-97750726D01* -X102469511Y-97760226D01* -X102472637Y-97770532D01* -X102474000Y-97784368D01* -X102474000Y-98015632D01* -X102472637Y-98029468D01* -X102469511Y-98039774D01* -X102464433Y-98049274D01* -X102457600Y-98057600D01* -X102449274Y-98064433D01* -X102439774Y-98069511D01* -X102429468Y-98072637D01* -X102415632Y-98074000D01* -X101009368Y-98074000D01* -X100995532Y-98072637D01* -X100985226Y-98069511D01* -X100975726Y-98064433D01* -X100967400Y-98057600D01* -X100960567Y-98049274D01* -X100955489Y-98039774D01* -X100952363Y-98029468D01* -X100951000Y-98015632D01* -X100951000Y-97784368D01* -X100952363Y-97770532D01* -X100955489Y-97760226D01* -X100960567Y-97750726D01* -X100967400Y-97742400D01* -X100975726Y-97735567D01* -X100985226Y-97730489D01* -X100995532Y-97727363D01* -X101009368Y-97726000D01* -X102415632Y-97726000D01* -X102429468Y-97727363D01* -G37* -G36* -X87104468Y-97727363D02* -G01* -X87114774Y-97730489D01* -X87124274Y-97735567D01* -X87132600Y-97742400D01* -X87139433Y-97750726D01* -X87144511Y-97760226D01* -X87147637Y-97770532D01* -X87149000Y-97784368D01* -X87149000Y-98015632D01* -X87147637Y-98029468D01* -X87144511Y-98039774D01* -X87139433Y-98049274D01* -X87132600Y-98057600D01* -X87124274Y-98064433D01* -X87114774Y-98069511D01* -X87104468Y-98072637D01* -X87090632Y-98074000D01* -X85684368Y-98074000D01* -X85670532Y-98072637D01* -X85660226Y-98069511D01* -X85650726Y-98064433D01* -X85642400Y-98057600D01* -X85635567Y-98049274D01* -X85630489Y-98039774D01* -X85627363Y-98029468D01* -X85626000Y-98015632D01* -X85626000Y-97784368D01* -X85627363Y-97770532D01* -X85630489Y-97760226D01* -X85635567Y-97750726D01* -X85642400Y-97742400D01* -X85650726Y-97735567D01* -X85660226Y-97730489D01* -X85670532Y-97727363D01* -X85684368Y-97726000D01* -X87090632Y-97726000D01* -X87104468Y-97727363D01* -G37* -G36* -X77480511Y-96164534D02* -G01* -X77512019Y-96174092D01* -X77541054Y-96189611D01* -X77566503Y-96210497D01* -X77587389Y-96235946D01* -X77602908Y-96264981D01* -X77612466Y-96296489D01* -X77616000Y-96332367D01* -X77616000Y-97789633D01* -X77612466Y-97825511D01* -X77602908Y-97857019D01* -X77587389Y-97886054D01* -X77566503Y-97911503D01* -X77541054Y-97932389D01* -X77512019Y-97947908D01* -X77480511Y-97957466D01* -X77444633Y-97961000D01* -X76987367Y-97961000D01* -X76951489Y-97957466D01* -X76919981Y-97947908D01* -X76890946Y-97932389D01* -X76865497Y-97911503D01* -X76844611Y-97886054D01* -X76829092Y-97857019D01* -X76819534Y-97825511D01* -X76816000Y-97789633D01* -X76816000Y-96332367D01* -X76819534Y-96296489D01* -X76829092Y-96264981D01* -X76844611Y-96235946D01* -X76865497Y-96210497D01* -X76890946Y-96189611D01* -X76919981Y-96174092D01* -X76951489Y-96164534D01* -X76987367Y-96161000D01* -X77444633Y-96161000D01* -X77480511Y-96164534D01* -G37* -G36* -X78750511Y-96164534D02* -G01* -X78782019Y-96174092D01* -X78811054Y-96189611D01* -X78836503Y-96210497D01* -X78857389Y-96235946D01* -X78872908Y-96264981D01* -X78882466Y-96296489D01* -X78886000Y-96332367D01* -X78886000Y-97789633D01* -X78882466Y-97825511D01* -X78872908Y-97857019D01* -X78857389Y-97886054D01* -X78836503Y-97911503D01* -X78811054Y-97932389D01* -X78782019Y-97947908D01* -X78750511Y-97957466D01* -X78714633Y-97961000D01* -X78257367Y-97961000D01* -X78221489Y-97957466D01* -X78189981Y-97947908D01* -X78160946Y-97932389D01* -X78135497Y-97911503D01* -X78114611Y-97886054D01* -X78099092Y-97857019D01* -X78089534Y-97825511D01* -X78086000Y-97789633D01* -X78086000Y-96332367D01* -X78089534Y-96296489D01* -X78099092Y-96264981D01* -X78114611Y-96235946D01* -X78135497Y-96210497D01* -X78160946Y-96189611D01* -X78189981Y-96174092D01* -X78221489Y-96164534D01* -X78257367Y-96161000D01* -X78714633Y-96161000D01* -X78750511Y-96164534D01* -G37* -G36* -X80020511Y-96164534D02* -G01* -X80052019Y-96174092D01* -X80081054Y-96189611D01* -X80106503Y-96210497D01* -X80127389Y-96235946D01* -X80142908Y-96264981D01* -X80152466Y-96296489D01* -X80156000Y-96332367D01* -X80156000Y-97789633D01* -X80152466Y-97825511D01* -X80142908Y-97857019D01* -X80127389Y-97886054D01* -X80106503Y-97911503D01* -X80081054Y-97932389D01* -X80052019Y-97947908D01* -X80020511Y-97957466D01* -X79984633Y-97961000D01* -X79527367Y-97961000D01* -X79491489Y-97957466D01* -X79459981Y-97947908D01* -X79430946Y-97932389D01* -X79405497Y-97911503D01* -X79384611Y-97886054D01* -X79369092Y-97857019D01* -X79359534Y-97825511D01* -X79356000Y-97789633D01* -X79356000Y-96332367D01* -X79359534Y-96296489D01* -X79369092Y-96264981D01* -X79384611Y-96235946D01* -X79405497Y-96210497D01* -X79430946Y-96189611D01* -X79459981Y-96174092D01* -X79491489Y-96164534D01* -X79527367Y-96161000D01* -X79984633Y-96161000D01* -X80020511Y-96164534D01* -G37* -G36* -X81290511Y-96164534D02* -G01* -X81322019Y-96174092D01* -X81351054Y-96189611D01* -X81376503Y-96210497D01* -X81397389Y-96235946D01* -X81412908Y-96264981D01* -X81422466Y-96296489D01* -X81426000Y-96332367D01* -X81426000Y-97789633D01* -X81422466Y-97825511D01* -X81412908Y-97857019D01* -X81397389Y-97886054D01* -X81376503Y-97911503D01* -X81351054Y-97932389D01* -X81322019Y-97947908D01* -X81290511Y-97957466D01* -X81254633Y-97961000D01* -X80797367Y-97961000D01* -X80761489Y-97957466D01* -X80729981Y-97947908D01* -X80700946Y-97932389D01* -X80675497Y-97911503D01* -X80654611Y-97886054D01* -X80639092Y-97857019D01* -X80629534Y-97825511D01* -X80626000Y-97789633D01* -X80626000Y-96332367D01* -X80629534Y-96296489D01* -X80639092Y-96264981D01* -X80654611Y-96235946D01* -X80675497Y-96210497D01* -X80700946Y-96189611D01* -X80729981Y-96174092D01* -X80761489Y-96164534D01* -X80797367Y-96161000D01* -X81254633Y-96161000D01* -X81290511Y-96164534D01* -G37* -G36* -X113618208Y-97173372D02* -G01* -X113638369Y-97179487D01* -X113656941Y-97189414D01* -X113673223Y-97202777D01* -X113686586Y-97219059D01* -X113696513Y-97237631D01* -X113702628Y-97257792D01* -X113705000Y-97281869D01* -X113705000Y-97618131D01* -X113702628Y-97642208D01* -X113696513Y-97662369D01* -X113686586Y-97680941D01* -X113673223Y-97697223D01* -X113656941Y-97710586D01* -X113638369Y-97720513D01* -X113618208Y-97726628D01* -X113594131Y-97729000D01* -X112205869Y-97729000D01* -X112181792Y-97726628D01* -X112161631Y-97720513D01* -X112143059Y-97710586D01* -X112126777Y-97697223D01* -X112113414Y-97680941D01* -X112103487Y-97662369D01* -X112097372Y-97642208D01* -X112095000Y-97618131D01* -X112095000Y-97281869D01* -X112097372Y-97257792D01* -X112103487Y-97237631D01* -X112113414Y-97219059D01* -X112126777Y-97202777D01* -X112143059Y-97189414D01* -X112161631Y-97179487D01* -X112181792Y-97173372D01* -X112205869Y-97171000D01* -X113594131Y-97171000D01* -X113618208Y-97173372D01* -G37* -G36* -X125118208Y-97173372D02* -G01* -X125138369Y-97179487D01* -X125156941Y-97189414D01* -X125173223Y-97202777D01* -X125186586Y-97219059D01* -X125196513Y-97237631D01* -X125202628Y-97257792D01* -X125205000Y-97281869D01* -X125205000Y-97618131D01* -X125202628Y-97642208D01* -X125196513Y-97662369D01* -X125186586Y-97680941D01* -X125173223Y-97697223D01* -X125156941Y-97710586D01* -X125138369Y-97720513D01* -X125118208Y-97726628D01* -X125094131Y-97729000D01* -X123705869Y-97729000D01* -X123681792Y-97726628D01* -X123661631Y-97720513D01* -X123643059Y-97710586D01* -X123626777Y-97697223D01* -X123613414Y-97680941D01* -X123603487Y-97662369D01* -X123597372Y-97642208D01* -X123595000Y-97618131D01* -X123595000Y-97281869D01* -X123597372Y-97257792D01* -X123603487Y-97237631D01* -X123613414Y-97219059D01* -X123626777Y-97202777D01* -X123643059Y-97189414D01* -X123661631Y-97179487D01* -X123681792Y-97173372D01* -X123705869Y-97171000D01* -X125094131Y-97171000D01* -X125118208Y-97173372D01* -G37* -G36* -X84709329Y-96779255D02* -G01* -X84747868Y-96790945D01* -X84783388Y-96809931D01* -X84814520Y-96835480D01* -X84840069Y-96866612D01* -X84859055Y-96902132D01* -X84870745Y-96940671D01* -X84875000Y-96983868D01* -X84875000Y-97516132D01* -X84870745Y-97559329D01* -X84859055Y-97597868D01* -X84840069Y-97633388D01* -X84814520Y-97664520D01* -X84783388Y-97690069D01* -X84747868Y-97709055D01* -X84709329Y-97720745D01* -X84666132Y-97725000D01* -X84033868Y-97725000D01* -X83990671Y-97720745D01* -X83952132Y-97709055D01* -X83916612Y-97690069D01* -X83885480Y-97664520D01* -X83859931Y-97633388D01* -X83840945Y-97597868D01* -X83829255Y-97559329D01* -X83825000Y-97516132D01* -X83825000Y-96983868D01* -X83829255Y-96940671D01* -X83840945Y-96902132D01* -X83859931Y-96866612D01* -X83885480Y-96835480D01* -X83916612Y-96809931D01* -X83952132Y-96790945D01* -X83990671Y-96779255D01* -X84033868Y-96775000D01* -X84666132Y-96775000D01* -X84709329Y-96779255D01* -G37* -G36* -X87104468Y-97227363D02* -G01* -X87114774Y-97230489D01* -X87124274Y-97235567D01* -X87132600Y-97242400D01* -X87139433Y-97250726D01* -X87144511Y-97260226D01* -X87147637Y-97270532D01* -X87149000Y-97284368D01* -X87149000Y-97515632D01* -X87147637Y-97529468D01* -X87144511Y-97539774D01* -X87139433Y-97549274D01* -X87132600Y-97557600D01* -X87124274Y-97564433D01* -X87114774Y-97569511D01* -X87104468Y-97572637D01* -X87090632Y-97574000D01* -X85684368Y-97574000D01* -X85670532Y-97572637D01* -X85660226Y-97569511D01* -X85650726Y-97564433D01* -X85642400Y-97557600D01* -X85635567Y-97549274D01* -X85630489Y-97539774D01* -X85627363Y-97529468D01* -X85626000Y-97515632D01* -X85626000Y-97284368D01* -X85627363Y-97270532D01* -X85630489Y-97260226D01* -X85635567Y-97250726D01* -X85642400Y-97242400D01* -X85650726Y-97235567D01* -X85660226Y-97230489D01* -X85670532Y-97227363D01* -X85684368Y-97226000D01* -X87090632Y-97226000D01* -X87104468Y-97227363D01* -G37* -G36* -X102429468Y-97227363D02* -G01* -X102439774Y-97230489D01* -X102449274Y-97235567D01* -X102457600Y-97242400D01* -X102464433Y-97250726D01* -X102469511Y-97260226D01* -X102472637Y-97270532D01* -X102474000Y-97284368D01* -X102474000Y-97515632D01* -X102472637Y-97529468D01* -X102469511Y-97539774D01* -X102464433Y-97549274D01* -X102457600Y-97557600D01* -X102449274Y-97564433D01* -X102439774Y-97569511D01* -X102429468Y-97572637D01* -X102415632Y-97574000D01* -X101009368Y-97574000D01* -X100995532Y-97572637D01* -X100985226Y-97569511D01* -X100975726Y-97564433D01* -X100967400Y-97557600D01* -X100960567Y-97549274D01* -X100955489Y-97539774D01* -X100952363Y-97529468D01* -X100951000Y-97515632D01* -X100951000Y-97284368D01* -X100952363Y-97270532D01* -X100955489Y-97260226D01* -X100960567Y-97250726D01* -X100967400Y-97242400D01* -X100975726Y-97235567D01* -X100985226Y-97230489D01* -X100995532Y-97227363D01* -X101009368Y-97226000D01* -X102415632Y-97226000D01* -X102429468Y-97227363D01* -G37* -G36* -X68884344Y-97027843D02* -G01* -X68899345Y-97032393D01* -X68913163Y-97039779D01* -X68925278Y-97049722D01* -X68935221Y-97061837D01* -X68942607Y-97075655D01* -X68947157Y-97090656D01* -X68949000Y-97109367D01* -X68949000Y-97390633D01* -X68947157Y-97409344D01* -X68942607Y-97424345D01* -X68935221Y-97438163D01* -X68925278Y-97450278D01* -X68913163Y-97460221D01* -X68899345Y-97467607D01* -X68884344Y-97472157D01* -X68865633Y-97474000D01* -X68334367Y-97474000D01* -X68315656Y-97472157D01* -X68300655Y-97467607D01* -X68286837Y-97460221D01* -X68274722Y-97450278D01* -X68264779Y-97438163D01* -X68257393Y-97424345D01* -X68252843Y-97409344D01* -X68251000Y-97390633D01* -X68251000Y-97109367D01* -X68252843Y-97090656D01* -X68257393Y-97075655D01* -X68264779Y-97061837D01* -X68274722Y-97049722D01* -X68286837Y-97039779D01* -X68300655Y-97032393D01* -X68315656Y-97027843D01* -X68334367Y-97026000D01* -X68865633Y-97026000D01* -X68884344Y-97027843D01* -G37* -G36* -X69884344Y-97027843D02* -G01* -X69899345Y-97032393D01* -X69913163Y-97039779D01* -X69925278Y-97049722D01* -X69935221Y-97061837D01* -X69942607Y-97075655D01* -X69947157Y-97090656D01* -X69949000Y-97109367D01* -X69949000Y-97390633D01* -X69947157Y-97409344D01* -X69942607Y-97424345D01* -X69935221Y-97438163D01* -X69925278Y-97450278D01* -X69913163Y-97460221D01* -X69899345Y-97467607D01* -X69884344Y-97472157D01* -X69865633Y-97474000D01* -X69334367Y-97474000D01* -X69315656Y-97472157D01* -X69300655Y-97467607D01* -X69286837Y-97460221D01* -X69274722Y-97450278D01* -X69264779Y-97438163D01* -X69257393Y-97424345D01* -X69252843Y-97409344D01* -X69251000Y-97390633D01* -X69251000Y-97109367D01* -X69252843Y-97090656D01* -X69257393Y-97075655D01* -X69264779Y-97061837D01* -X69274722Y-97049722D01* -X69286837Y-97039779D01* -X69300655Y-97032393D01* -X69315656Y-97027843D01* -X69334367Y-97026000D01* -X69865633Y-97026000D01* -X69884344Y-97027843D01* -G37* -G36* -X87104468Y-96727363D02* -G01* -X87114774Y-96730489D01* -X87124274Y-96735567D01* -X87132600Y-96742400D01* -X87139433Y-96750726D01* -X87144511Y-96760226D01* -X87147637Y-96770532D01* -X87149000Y-96784368D01* -X87149000Y-97015632D01* -X87147637Y-97029468D01* -X87144511Y-97039774D01* -X87139433Y-97049274D01* -X87132600Y-97057600D01* -X87124274Y-97064433D01* -X87114774Y-97069511D01* -X87104468Y-97072637D01* -X87090632Y-97074000D01* -X85684368Y-97074000D01* -X85670532Y-97072637D01* -X85660226Y-97069511D01* -X85650726Y-97064433D01* -X85642400Y-97057600D01* -X85635567Y-97049274D01* -X85630489Y-97039774D01* -X85627363Y-97029468D01* -X85626000Y-97015632D01* -X85626000Y-96784368D01* -X85627363Y-96770532D01* -X85630489Y-96760226D01* -X85635567Y-96750726D01* -X85642400Y-96742400D01* -X85650726Y-96735567D01* -X85660226Y-96730489D01* -X85670532Y-96727363D01* -X85684368Y-96726000D01* -X87090632Y-96726000D01* -X87104468Y-96727363D01* -G37* -G36* -X102429468Y-96727363D02* -G01* -X102439774Y-96730489D01* -X102449274Y-96735567D01* -X102457600Y-96742400D01* -X102464433Y-96750726D01* -X102469511Y-96760226D01* -X102472637Y-96770532D01* -X102474000Y-96784368D01* -X102474000Y-97015632D01* -X102472637Y-97029468D01* -X102469511Y-97039774D01* -X102464433Y-97049274D01* -X102457600Y-97057600D01* -X102449274Y-97064433D01* -X102439774Y-97069511D01* -X102429468Y-97072637D01* -X102415632Y-97074000D01* -X101009368Y-97074000D01* -X100995532Y-97072637D01* -X100985226Y-97069511D01* -X100975726Y-97064433D01* -X100967400Y-97057600D01* -X100960567Y-97049274D01* -X100955489Y-97039774D01* -X100952363Y-97029468D01* -X100951000Y-97015632D01* -X100951000Y-96784368D01* -X100952363Y-96770532D01* -X100955489Y-96760226D01* -X100960567Y-96750726D01* -X100967400Y-96742400D01* -X100975726Y-96735567D01* -X100985226Y-96730489D01* -X100995532Y-96727363D01* -X101009368Y-96726000D01* -X102415632Y-96726000D01* -X102429468Y-96727363D01* -G37* -G36* -X113618208Y-96373372D02* -G01* -X113638369Y-96379487D01* -X113656941Y-96389414D01* -X113673223Y-96402777D01* -X113686586Y-96419059D01* -X113696513Y-96437631D01* -X113702628Y-96457792D01* -X113705000Y-96481869D01* -X113705000Y-96818131D01* -X113702628Y-96842208D01* -X113696513Y-96862369D01* -X113686586Y-96880941D01* -X113673223Y-96897223D01* -X113656941Y-96910586D01* -X113638369Y-96920513D01* -X113618208Y-96926628D01* -X113594131Y-96929000D01* -X112205869Y-96929000D01* -X112181792Y-96926628D01* -X112161631Y-96920513D01* -X112143059Y-96910586D01* -X112126777Y-96897223D01* -X112113414Y-96880941D01* -X112103487Y-96862369D01* -X112097372Y-96842208D01* -X112095000Y-96818131D01* -X112095000Y-96481869D01* -X112097372Y-96457792D01* -X112103487Y-96437631D01* -X112113414Y-96419059D01* -X112126777Y-96402777D01* -X112143059Y-96389414D01* -X112161631Y-96379487D01* -X112181792Y-96373372D01* -X112205869Y-96371000D01* -X113594131Y-96371000D01* -X113618208Y-96373372D01* -G37* -G36* -X125118208Y-96373372D02* -G01* -X125138369Y-96379487D01* -X125156941Y-96389414D01* -X125173223Y-96402777D01* -X125186586Y-96419059D01* -X125196513Y-96437631D01* -X125202628Y-96457792D01* -X125205000Y-96481869D01* -X125205000Y-96818131D01* -X125202628Y-96842208D01* -X125196513Y-96862369D01* -X125186586Y-96880941D01* -X125173223Y-96897223D01* -X125156941Y-96910586D01* -X125138369Y-96920513D01* -X125118208Y-96926628D01* -X125094131Y-96929000D01* -X123705869Y-96929000D01* -X123681792Y-96926628D01* -X123661631Y-96920513D01* -X123643059Y-96910586D01* -X123626777Y-96897223D01* -X123613414Y-96880941D01* -X123603487Y-96862369D01* -X123597372Y-96842208D01* -X123595000Y-96818131D01* -X123595000Y-96481869D01* -X123597372Y-96457792D01* -X123603487Y-96437631D01* -X123613414Y-96419059D01* -X123626777Y-96402777D01* -X123643059Y-96389414D01* -X123661631Y-96379487D01* -X123681792Y-96373372D01* -X123705869Y-96371000D01* -X125094131Y-96371000D01* -X125118208Y-96373372D01* -G37* -G36* -X68904468Y-96527363D02* -G01* -X68914774Y-96530489D01* -X68924274Y-96535567D01* -X68932600Y-96542400D01* -X68939433Y-96550726D01* -X68944511Y-96560226D01* -X68947637Y-96570532D01* -X68949000Y-96584368D01* -X68949000Y-96815632D01* -X68947637Y-96829468D01* -X68944511Y-96839774D01* -X68939433Y-96849274D01* -X68932600Y-96857600D01* -X68924274Y-96864433D01* -X68914774Y-96869511D01* -X68904468Y-96872637D01* -X68890632Y-96874000D01* -X68309368Y-96874000D01* -X68295532Y-96872637D01* -X68285226Y-96869511D01* -X68275726Y-96864433D01* -X68267400Y-96857600D01* -X68260567Y-96849274D01* -X68255489Y-96839774D01* -X68252363Y-96829468D01* -X68251000Y-96815632D01* -X68251000Y-96584368D01* -X68252363Y-96570532D01* -X68255489Y-96560226D01* -X68260567Y-96550726D01* -X68267400Y-96542400D01* -X68275726Y-96535567D01* -X68285226Y-96530489D01* -X68295532Y-96527363D01* -X68309368Y-96526000D01* -X68890632Y-96526000D01* -X68904468Y-96527363D01* -G37* -G36* -X69904468Y-96527363D02* -G01* -X69914774Y-96530489D01* -X69924274Y-96535567D01* -X69932600Y-96542400D01* -X69939433Y-96550726D01* -X69944511Y-96560226D01* -X69947637Y-96570532D01* -X69949000Y-96584368D01* -X69949000Y-96815632D01* -X69947637Y-96829468D01* -X69944511Y-96839774D01* -X69939433Y-96849274D01* -X69932600Y-96857600D01* -X69924274Y-96864433D01* -X69914774Y-96869511D01* -X69904468Y-96872637D01* -X69890632Y-96874000D01* -X69309368Y-96874000D01* -X69295532Y-96872637D01* -X69285226Y-96869511D01* -X69275726Y-96864433D01* -X69267400Y-96857600D01* -X69260567Y-96849274D01* -X69255489Y-96839774D01* -X69252363Y-96829468D01* -X69251000Y-96815632D01* -X69251000Y-96584368D01* -X69252363Y-96570532D01* -X69255489Y-96560226D01* -X69260567Y-96550726D01* -X69267400Y-96542400D01* -X69275726Y-96535567D01* -X69285226Y-96530489D01* -X69295532Y-96527363D01* -X69309368Y-96526000D01* -X69890632Y-96526000D01* -X69904468Y-96527363D01* -G37* -G36* -X48250933Y-95424274D02* -G01* -X48344185Y-95442823D01* -X48475939Y-95497398D01* -X48475940Y-95497399D01* -X48475943Y-95497400D01* -X48594523Y-95576633D01* -X48695367Y-95677477D01* -X48774600Y-95796057D01* -X48774601Y-95796060D01* -X48774602Y-95796061D01* -X48829177Y-95927815D01* -X48846645Y-96015632D01* -X48857000Y-96067692D01* -X48857000Y-96210308D01* -X48853546Y-96227672D01* -X48829177Y-96350185D01* -X48807392Y-96402777D01* -X48774600Y-96481943D01* -X48695367Y-96600523D01* -X48594523Y-96701367D01* -X48475943Y-96780600D01* -X48475940Y-96780601D01* -X48475939Y-96780602D01* -X48344185Y-96835177D01* -X48277114Y-96848518D01* -X48204308Y-96863000D01* -X48061692Y-96863000D01* -X47988886Y-96848518D01* -X47921815Y-96835177D01* -X47790061Y-96780602D01* -X47790060Y-96780601D01* -X47790057Y-96780600D01* -X47671477Y-96701367D01* -X47570633Y-96600523D01* -X47491400Y-96481943D01* -X47458608Y-96402777D01* -X47436823Y-96350185D01* -X47412454Y-96227672D01* -X47409000Y-96210308D01* -X47409000Y-96067692D01* -X47419355Y-96015632D01* -X47436823Y-95927815D01* -X47491398Y-95796061D01* -X47491399Y-95796060D01* -X47491400Y-95796057D01* -X47570633Y-95677477D01* -X47671477Y-95576633D01* -X47790057Y-95497400D01* -X47790060Y-95497399D01* -X47790061Y-95497398D01* -X47921815Y-95442823D01* -X48015067Y-95424274D01* -X48061692Y-95415000D01* -X48204308Y-95415000D01* -X48250933Y-95424274D01* -G37* -G36* -X87104468Y-96227363D02* -G01* -X87114774Y-96230489D01* -X87124274Y-96235567D01* -X87132600Y-96242400D01* -X87139433Y-96250726D01* -X87144511Y-96260226D01* -X87147637Y-96270532D01* -X87149000Y-96284368D01* -X87149000Y-96515632D01* -X87147637Y-96529468D01* -X87144511Y-96539774D01* -X87139433Y-96549274D01* -X87132600Y-96557600D01* -X87124274Y-96564433D01* -X87114774Y-96569511D01* -X87104468Y-96572637D01* -X87090632Y-96574000D01* -X85684368Y-96574000D01* -X85670532Y-96572637D01* -X85660226Y-96569511D01* -X85650726Y-96564433D01* -X85642400Y-96557600D01* -X85635567Y-96549274D01* -X85630489Y-96539774D01* -X85627363Y-96529468D01* -X85626000Y-96515632D01* -X85626000Y-96284368D01* -X85627363Y-96270532D01* -X85630489Y-96260226D01* -X85635567Y-96250726D01* -X85642400Y-96242400D01* -X85650726Y-96235567D01* -X85660226Y-96230489D01* -X85670532Y-96227363D01* -X85684368Y-96226000D01* -X87090632Y-96226000D01* -X87104468Y-96227363D01* -G37* -G36* -X102429468Y-96227363D02* -G01* -X102439774Y-96230489D01* -X102449274Y-96235567D01* -X102457600Y-96242400D01* -X102464433Y-96250726D01* -X102469511Y-96260226D01* -X102472637Y-96270532D01* -X102474000Y-96284368D01* -X102474000Y-96515632D01* -X102472637Y-96529468D01* -X102469511Y-96539774D01* -X102464433Y-96549274D01* -X102457600Y-96557600D01* -X102449274Y-96564433D01* -X102439774Y-96569511D01* -X102429468Y-96572637D01* -X102415632Y-96574000D01* -X101009368Y-96574000D01* -X100995532Y-96572637D01* -X100985226Y-96569511D01* -X100975726Y-96564433D01* -X100967400Y-96557600D01* -X100960567Y-96549274D01* -X100955489Y-96539774D01* -X100952363Y-96529468D01* -X100951000Y-96515632D01* -X100951000Y-96284368D01* -X100952363Y-96270532D01* -X100955489Y-96260226D01* -X100960567Y-96250726D01* -X100967400Y-96242400D01* -X100975726Y-96235567D01* -X100985226Y-96230489D01* -X100995532Y-96227363D01* -X101009368Y-96226000D01* -X102415632Y-96226000D01* -X102429468Y-96227363D01* -G37* -G36* -X68904468Y-96027363D02* -G01* -X68914774Y-96030489D01* -X68924274Y-96035567D01* -X68932600Y-96042400D01* -X68939433Y-96050726D01* -X68944511Y-96060226D01* -X68947637Y-96070532D01* -X68949000Y-96084368D01* -X68949000Y-96315632D01* -X68947637Y-96329468D01* -X68944511Y-96339774D01* -X68939433Y-96349274D01* -X68932600Y-96357600D01* -X68924274Y-96364433D01* -X68914774Y-96369511D01* -X68904468Y-96372637D01* -X68890632Y-96374000D01* -X68309368Y-96374000D01* -X68295532Y-96372637D01* -X68285226Y-96369511D01* -X68275726Y-96364433D01* -X68267400Y-96357600D01* -X68260567Y-96349274D01* -X68255489Y-96339774D01* -X68252363Y-96329468D01* -X68251000Y-96315632D01* -X68251000Y-96084368D01* -X68252363Y-96070532D01* -X68255489Y-96060226D01* -X68260567Y-96050726D01* -X68267400Y-96042400D01* -X68275726Y-96035567D01* -X68285226Y-96030489D01* -X68295532Y-96027363D01* -X68309368Y-96026000D01* -X68890632Y-96026000D01* -X68904468Y-96027363D01* -G37* -G36* -X69904468Y-96027363D02* -G01* -X69914774Y-96030489D01* -X69924274Y-96035567D01* -X69932600Y-96042400D01* -X69939433Y-96050726D01* -X69944511Y-96060226D01* -X69947637Y-96070532D01* -X69949000Y-96084368D01* -X69949000Y-96315632D01* -X69947637Y-96329468D01* -X69944511Y-96339774D01* -X69939433Y-96349274D01* -X69932600Y-96357600D01* -X69924274Y-96364433D01* -X69914774Y-96369511D01* -X69904468Y-96372637D01* -X69890632Y-96374000D01* -X69309368Y-96374000D01* -X69295532Y-96372637D01* -X69285226Y-96369511D01* -X69275726Y-96364433D01* -X69267400Y-96357600D01* -X69260567Y-96349274D01* -X69255489Y-96339774D01* -X69252363Y-96329468D01* -X69251000Y-96315632D01* -X69251000Y-96084368D01* -X69252363Y-96070532D01* -X69255489Y-96060226D01* -X69260567Y-96050726D01* -X69267400Y-96042400D01* -X69275726Y-96035567D01* -X69285226Y-96030489D01* -X69295532Y-96027363D01* -X69309368Y-96026000D01* -X69890632Y-96026000D01* -X69904468Y-96027363D01* -G37* -G36* -X125118208Y-95573372D02* -G01* -X125138369Y-95579487D01* -X125156941Y-95589414D01* -X125173223Y-95602777D01* -X125186586Y-95619059D01* -X125196513Y-95637631D01* -X125202628Y-95657792D01* -X125205000Y-95681869D01* -X125205000Y-96018131D01* -X125202628Y-96042208D01* -X125196513Y-96062369D01* -X125186586Y-96080941D01* -X125173223Y-96097223D01* -X125156941Y-96110586D01* -X125138369Y-96120513D01* -X125118208Y-96126628D01* -X125094131Y-96129000D01* -X123705869Y-96129000D01* -X123681792Y-96126628D01* -X123661631Y-96120513D01* -X123643059Y-96110586D01* -X123626777Y-96097223D01* -X123613414Y-96080941D01* -X123603487Y-96062369D01* -X123597372Y-96042208D01* -X123595000Y-96018131D01* -X123595000Y-95681869D01* -X123597372Y-95657792D01* -X123603487Y-95637631D01* -X123613414Y-95619059D01* -X123626777Y-95602777D01* -X123643059Y-95589414D01* -X123661631Y-95579487D01* -X123681792Y-95573372D01* -X123705869Y-95571000D01* -X125094131Y-95571000D01* -X125118208Y-95573372D01* -G37* -G36* -X113618208Y-95573372D02* -G01* -X113638369Y-95579487D01* -X113656941Y-95589414D01* -X113673223Y-95602777D01* -X113686586Y-95619059D01* -X113696513Y-95637631D01* -X113702628Y-95657792D01* -X113705000Y-95681869D01* -X113705000Y-96018131D01* -X113702628Y-96042208D01* -X113696513Y-96062369D01* -X113686586Y-96080941D01* -X113673223Y-96097223D01* -X113656941Y-96110586D01* -X113638369Y-96120513D01* -X113618208Y-96126628D01* -X113594131Y-96129000D01* -X112205869Y-96129000D01* -X112181792Y-96126628D01* -X112161631Y-96120513D01* -X112143059Y-96110586D01* -X112126777Y-96097223D01* -X112113414Y-96080941D01* -X112103487Y-96062369D01* -X112097372Y-96042208D01* -X112095000Y-96018131D01* -X112095000Y-95681869D01* -X112097372Y-95657792D01* -X112103487Y-95637631D01* -X112113414Y-95619059D01* -X112126777Y-95602777D01* -X112143059Y-95589414D01* -X112161631Y-95579487D01* -X112181792Y-95573372D01* -X112205869Y-95571000D01* -X113594131Y-95571000D01* -X113618208Y-95573372D01* -G37* -G36* -X102429468Y-95727363D02* -G01* -X102439774Y-95730489D01* -X102449274Y-95735567D01* -X102457600Y-95742400D01* -X102464433Y-95750726D01* -X102469511Y-95760226D01* -X102472637Y-95770532D01* -X102474000Y-95784368D01* -X102474000Y-96015632D01* -X102472637Y-96029468D01* -X102469511Y-96039774D01* -X102464433Y-96049274D01* -X102457600Y-96057600D01* -X102449274Y-96064433D01* -X102439774Y-96069511D01* -X102429468Y-96072637D01* -X102415632Y-96074000D01* -X101009368Y-96074000D01* -X100995532Y-96072637D01* -X100985226Y-96069511D01* -X100975726Y-96064433D01* -X100967400Y-96057600D01* -X100960567Y-96049274D01* -X100955489Y-96039774D01* -X100952363Y-96029468D01* -X100951000Y-96015632D01* -X100951000Y-95784368D01* -X100952363Y-95770532D01* -X100955489Y-95760226D01* -X100960567Y-95750726D01* -X100967400Y-95742400D01* -X100975726Y-95735567D01* -X100985226Y-95730489D01* -X100995532Y-95727363D01* -X101009368Y-95726000D01* -X102415632Y-95726000D01* -X102429468Y-95727363D01* -G37* -G36* -X87104468Y-95727363D02* -G01* -X87114774Y-95730489D01* -X87124274Y-95735567D01* -X87132600Y-95742400D01* -X87139433Y-95750726D01* -X87144511Y-95760226D01* -X87147637Y-95770532D01* -X87149000Y-95784368D01* -X87149000Y-96015632D01* -X87147637Y-96029468D01* -X87144511Y-96039774D01* -X87139433Y-96049274D01* -X87132600Y-96057600D01* -X87124274Y-96064433D01* -X87114774Y-96069511D01* -X87104468Y-96072637D01* -X87090632Y-96074000D01* -X85684368Y-96074000D01* -X85670532Y-96072637D01* -X85660226Y-96069511D01* -X85650726Y-96064433D01* -X85642400Y-96057600D01* -X85635567Y-96049274D01* -X85630489Y-96039774D01* -X85627363Y-96029468D01* -X85626000Y-96015632D01* -X85626000Y-95784368D01* -X85627363Y-95770532D01* -X85630489Y-95760226D01* -X85635567Y-95750726D01* -X85642400Y-95742400D01* -X85650726Y-95735567D01* -X85660226Y-95730489D01* -X85670532Y-95727363D01* -X85684368Y-95726000D01* -X87090632Y-95726000D01* -X87104468Y-95727363D01* -G37* -G36* -X109159344Y-95302843D02* -G01* -X109174345Y-95307393D01* -X109188163Y-95314779D01* -X109200278Y-95324722D01* -X109210221Y-95336837D01* -X109217607Y-95350655D01* -X109222157Y-95365656D01* -X109224000Y-95384367D01* -X109224000Y-95915633D01* -X109222157Y-95934344D01* -X109217607Y-95949345D01* -X109210221Y-95963163D01* -X109200278Y-95975278D01* -X109188163Y-95985221D01* -X109174345Y-95992607D01* -X109159344Y-95997157D01* -X109140633Y-95999000D01* -X108859367Y-95999000D01* -X108840656Y-95997157D01* -X108825655Y-95992607D01* -X108811837Y-95985221D01* -X108799722Y-95975278D01* -X108789779Y-95963163D01* -X108782393Y-95949345D01* -X108777843Y-95934344D01* -X108776000Y-95915633D01* -X108776000Y-95384367D01* -X108777843Y-95365656D01* -X108782393Y-95350655D01* -X108789779Y-95336837D01* -X108799722Y-95324722D01* -X108811837Y-95314779D01* -X108825655Y-95307393D01* -X108840656Y-95302843D01* -X108859367Y-95301000D01* -X109140633Y-95301000D01* -X109159344Y-95302843D01* -G37* -G36* -X107559344Y-95302843D02* -G01* -X107574345Y-95307393D01* -X107588163Y-95314779D01* -X107600278Y-95324722D01* -X107610221Y-95336837D01* -X107617607Y-95350655D01* -X107622157Y-95365656D01* -X107624000Y-95384367D01* -X107624000Y-95915633D01* -X107622157Y-95934344D01* -X107617607Y-95949345D01* -X107610221Y-95963163D01* -X107600278Y-95975278D01* -X107588163Y-95985221D01* -X107574345Y-95992607D01* -X107559344Y-95997157D01* -X107540633Y-95999000D01* -X107259367Y-95999000D01* -X107240656Y-95997157D01* -X107225655Y-95992607D01* -X107211837Y-95985221D01* -X107199722Y-95975278D01* -X107189779Y-95963163D01* -X107182393Y-95949345D01* -X107177843Y-95934344D01* -X107176000Y-95915633D01* -X107176000Y-95384367D01* -X107177843Y-95365656D01* -X107182393Y-95350655D01* -X107189779Y-95336837D01* -X107199722Y-95324722D01* -X107211837Y-95314779D01* -X107225655Y-95307393D01* -X107240656Y-95302843D01* -X107259367Y-95301000D01* -X107540633Y-95301000D01* -X107559344Y-95302843D01* -G37* -G36* -X108079468Y-95302363D02* -G01* -X108089774Y-95305489D01* -X108099274Y-95310567D01* -X108107600Y-95317400D01* -X108114433Y-95325726D01* -X108119511Y-95335226D01* -X108122637Y-95345532D01* -X108124000Y-95359368D01* -X108124000Y-95940632D01* -X108122637Y-95954468D01* -X108119511Y-95964774D01* -X108114433Y-95974274D01* -X108107600Y-95982600D01* -X108099274Y-95989433D01* -X108089774Y-95994511D01* -X108079468Y-95997637D01* -X108065632Y-95999000D01* -X107834368Y-95999000D01* -X107820532Y-95997637D01* -X107810226Y-95994511D01* -X107800726Y-95989433D01* -X107792400Y-95982600D01* -X107785567Y-95974274D01* -X107780489Y-95964774D01* -X107777363Y-95954468D01* -X107776000Y-95940632D01* -X107776000Y-95359368D01* -X107777363Y-95345532D01* -X107780489Y-95335226D01* -X107785567Y-95325726D01* -X107792400Y-95317400D01* -X107800726Y-95310567D01* -X107810226Y-95305489D01* -X107820532Y-95302363D01* -X107834368Y-95301000D01* -X108065632Y-95301000D01* -X108079468Y-95302363D01* -G37* -G36* -X108579468Y-95302363D02* -G01* -X108589774Y-95305489D01* -X108599274Y-95310567D01* -X108607600Y-95317400D01* -X108614433Y-95325726D01* -X108619511Y-95335226D01* -X108622637Y-95345532D01* -X108624000Y-95359368D01* -X108624000Y-95940632D01* -X108622637Y-95954468D01* -X108619511Y-95964774D01* -X108614433Y-95974274D01* -X108607600Y-95982600D01* -X108599274Y-95989433D01* -X108589774Y-95994511D01* -X108579468Y-95997637D01* -X108565632Y-95999000D01* -X108334368Y-95999000D01* -X108320532Y-95997637D01* -X108310226Y-95994511D01* -X108300726Y-95989433D01* -X108292400Y-95982600D01* -X108285567Y-95974274D01* -X108280489Y-95964774D01* -X108277363Y-95954468D01* -X108276000Y-95940632D01* -X108276000Y-95359368D01* -X108277363Y-95345532D01* -X108280489Y-95335226D01* -X108285567Y-95325726D01* -X108292400Y-95317400D01* -X108300726Y-95310567D01* -X108310226Y-95305489D01* -X108320532Y-95302363D01* -X108334368Y-95301000D01* -X108565632Y-95301000D01* -X108579468Y-95302363D01* -G37* -G36* -X69884344Y-95427843D02* -G01* -X69899345Y-95432393D01* -X69913163Y-95439779D01* -X69925278Y-95449722D01* -X69935221Y-95461837D01* -X69942607Y-95475655D01* -X69947157Y-95490656D01* -X69949000Y-95509367D01* -X69949000Y-95790633D01* -X69947157Y-95809344D01* -X69942607Y-95824345D01* -X69935221Y-95838163D01* -X69925278Y-95850278D01* -X69913163Y-95860221D01* -X69899345Y-95867607D01* -X69884344Y-95872157D01* -X69865633Y-95874000D01* -X69334367Y-95874000D01* -X69315656Y-95872157D01* -X69300655Y-95867607D01* -X69286837Y-95860221D01* -X69274722Y-95850278D01* -X69264779Y-95838163D01* -X69257393Y-95824345D01* -X69252843Y-95809344D01* -X69251000Y-95790633D01* -X69251000Y-95509367D01* -X69252843Y-95490656D01* -X69257393Y-95475655D01* -X69264779Y-95461837D01* -X69274722Y-95449722D01* -X69286837Y-95439779D01* -X69300655Y-95432393D01* -X69315656Y-95427843D01* -X69334367Y-95426000D01* -X69865633Y-95426000D01* -X69884344Y-95427843D01* -G37* -G36* -X68884344Y-95427843D02* -G01* -X68899345Y-95432393D01* -X68913163Y-95439779D01* -X68925278Y-95449722D01* -X68935221Y-95461837D01* -X68942607Y-95475655D01* -X68947157Y-95490656D01* -X68949000Y-95509367D01* -X68949000Y-95790633D01* -X68947157Y-95809344D01* -X68942607Y-95824345D01* -X68935221Y-95838163D01* -X68925278Y-95850278D01* -X68913163Y-95860221D01* -X68899345Y-95867607D01* -X68884344Y-95872157D01* -X68865633Y-95874000D01* -X68334367Y-95874000D01* -X68315656Y-95872157D01* -X68300655Y-95867607D01* -X68286837Y-95860221D01* -X68274722Y-95850278D01* -X68264779Y-95838163D01* -X68257393Y-95824345D01* -X68252843Y-95809344D01* -X68251000Y-95790633D01* -X68251000Y-95509367D01* -X68252843Y-95490656D01* -X68257393Y-95475655D01* -X68264779Y-95461837D01* -X68274722Y-95449722D01* -X68286837Y-95439779D01* -X68300655Y-95432393D01* -X68315656Y-95427843D01* -X68334367Y-95426000D01* -X68865633Y-95426000D01* -X68884344Y-95427843D01* -G37* -G36* -X102429468Y-95227363D02* -G01* -X102439774Y-95230489D01* -X102449274Y-95235567D01* -X102457600Y-95242400D01* -X102464433Y-95250726D01* -X102469511Y-95260226D01* -X102472637Y-95270532D01* -X102474000Y-95284368D01* -X102474000Y-95515632D01* -X102472637Y-95529468D01* -X102469511Y-95539774D01* -X102464433Y-95549274D01* -X102457600Y-95557600D01* -X102449274Y-95564433D01* -X102439774Y-95569511D01* -X102429468Y-95572637D01* -X102415632Y-95574000D01* -X101009368Y-95574000D01* -X100995532Y-95572637D01* -X100985226Y-95569511D01* -X100975726Y-95564433D01* -X100967400Y-95557600D01* -X100960567Y-95549274D01* -X100955489Y-95539774D01* -X100952363Y-95529468D01* -X100951000Y-95515632D01* -X100951000Y-95284368D01* -X100952363Y-95270532D01* -X100955489Y-95260226D01* -X100960567Y-95250726D01* -X100967400Y-95242400D01* -X100975726Y-95235567D01* -X100985226Y-95230489D01* -X100995532Y-95227363D01* -X101009368Y-95226000D01* -X102415632Y-95226000D01* -X102429468Y-95227363D01* -G37* -G36* -X87104468Y-95227363D02* -G01* -X87114774Y-95230489D01* -X87124274Y-95235567D01* -X87132600Y-95242400D01* -X87139433Y-95250726D01* -X87144511Y-95260226D01* -X87147637Y-95270532D01* -X87149000Y-95284368D01* -X87149000Y-95515632D01* -X87147637Y-95529468D01* -X87144511Y-95539774D01* -X87139433Y-95549274D01* -X87132600Y-95557600D01* -X87124274Y-95564433D01* -X87114774Y-95569511D01* -X87104468Y-95572637D01* -X87090632Y-95574000D01* -X85684368Y-95574000D01* -X85670532Y-95572637D01* -X85660226Y-95569511D01* -X85650726Y-95564433D01* -X85642400Y-95557600D01* -X85635567Y-95549274D01* -X85630489Y-95539774D01* -X85627363Y-95529468D01* -X85626000Y-95515632D01* -X85626000Y-95284368D01* -X85627363Y-95270532D01* -X85630489Y-95260226D01* -X85635567Y-95250726D01* -X85642400Y-95242400D01* -X85650726Y-95235567D01* -X85660226Y-95230489D01* -X85670532Y-95227363D01* -X85684368Y-95226000D01* -X87090632Y-95226000D01* -X87104468Y-95227363D01* -G37* -G36* -X113618208Y-94773372D02* -G01* -X113638369Y-94779487D01* -X113656941Y-94789414D01* -X113673223Y-94802777D01* -X113686586Y-94819059D01* -X113696513Y-94837631D01* -X113702628Y-94857792D01* -X113705000Y-94881869D01* -X113705000Y-95218131D01* -X113702628Y-95242208D01* -X113696513Y-95262369D01* -X113686586Y-95280941D01* -X113673223Y-95297223D01* -X113656941Y-95310586D01* -X113638369Y-95320513D01* -X113618208Y-95326628D01* -X113594131Y-95329000D01* -X112205869Y-95329000D01* -X112181792Y-95326628D01* -X112161631Y-95320513D01* -X112143059Y-95310586D01* -X112126777Y-95297223D01* -X112113414Y-95280941D01* -X112103487Y-95262369D01* -X112097372Y-95242208D01* -X112095000Y-95218131D01* -X112095000Y-94881869D01* -X112097372Y-94857792D01* -X112103487Y-94837631D01* -X112113414Y-94819059D01* -X112126777Y-94802777D01* -X112143059Y-94789414D01* -X112161631Y-94779487D01* -X112181792Y-94773372D01* -X112205869Y-94771000D01* -X113594131Y-94771000D01* -X113618208Y-94773372D01* -G37* -G36* -X125118208Y-94773372D02* -G01* -X125138369Y-94779487D01* -X125156941Y-94789414D01* -X125173223Y-94802777D01* -X125186586Y-94819059D01* -X125196513Y-94837631D01* -X125202628Y-94857792D01* -X125205000Y-94881869D01* -X125205000Y-95218131D01* -X125202628Y-95242208D01* -X125196513Y-95262369D01* -X125186586Y-95280941D01* -X125173223Y-95297223D01* -X125156941Y-95310586D01* -X125138369Y-95320513D01* -X125118208Y-95326628D01* -X125094131Y-95329000D01* -X123705869Y-95329000D01* -X123681792Y-95326628D01* -X123661631Y-95320513D01* -X123643059Y-95310586D01* -X123626777Y-95297223D01* -X123613414Y-95280941D01* -X123603487Y-95262369D01* -X123597372Y-95242208D01* -X123595000Y-95218131D01* -X123595000Y-94881869D01* -X123597372Y-94857792D01* -X123603487Y-94837631D01* -X123613414Y-94819059D01* -X123626777Y-94802777D01* -X123643059Y-94789414D01* -X123661631Y-94779487D01* -X123681792Y-94773372D01* -X123705869Y-94771000D01* -X125094131Y-94771000D01* -X125118208Y-94773372D01* -G37* -G36* -X108079468Y-94302363D02* -G01* -X108089774Y-94305489D01* -X108099274Y-94310567D01* -X108107600Y-94317400D01* -X108114433Y-94325726D01* -X108119511Y-94335226D01* -X108122637Y-94345532D01* -X108124000Y-94359368D01* -X108124000Y-94940632D01* -X108122637Y-94954468D01* -X108119511Y-94964774D01* -X108114433Y-94974274D01* -X108107600Y-94982600D01* -X108099274Y-94989433D01* -X108089774Y-94994511D01* -X108079468Y-94997637D01* -X108065632Y-94999000D01* -X107834368Y-94999000D01* -X107820532Y-94997637D01* -X107810226Y-94994511D01* -X107800726Y-94989433D01* -X107792400Y-94982600D01* -X107785567Y-94974274D01* -X107780489Y-94964774D01* -X107777363Y-94954468D01* -X107776000Y-94940632D01* -X107776000Y-94359368D01* -X107777363Y-94345532D01* -X107780489Y-94335226D01* -X107785567Y-94325726D01* -X107792400Y-94317400D01* -X107800726Y-94310567D01* -X107810226Y-94305489D01* -X107820532Y-94302363D01* -X107834368Y-94301000D01* -X108065632Y-94301000D01* -X108079468Y-94302363D01* -G37* -G36* -X109159344Y-94302843D02* -G01* -X109174345Y-94307393D01* -X109188163Y-94314779D01* -X109200278Y-94324722D01* -X109210221Y-94336837D01* -X109217607Y-94350655D01* -X109222157Y-94365656D01* -X109224000Y-94384367D01* -X109224000Y-94915633D01* -X109222157Y-94934344D01* -X109217607Y-94949345D01* -X109210221Y-94963163D01* -X109200278Y-94975278D01* -X109188163Y-94985221D01* -X109174345Y-94992607D01* -X109159344Y-94997157D01* -X109140633Y-94999000D01* -X108859367Y-94999000D01* -X108840656Y-94997157D01* -X108825655Y-94992607D01* -X108811837Y-94985221D01* -X108799722Y-94975278D01* -X108789779Y-94963163D01* -X108782393Y-94949345D01* -X108777843Y-94934344D01* -X108776000Y-94915633D01* -X108776000Y-94384367D01* -X108777843Y-94365656D01* -X108782393Y-94350655D01* -X108789779Y-94336837D01* -X108799722Y-94324722D01* -X108811837Y-94314779D01* -X108825655Y-94307393D01* -X108840656Y-94302843D01* -X108859367Y-94301000D01* -X109140633Y-94301000D01* -X109159344Y-94302843D01* -G37* -G36* -X108579468Y-94302363D02* -G01* -X108589774Y-94305489D01* -X108599274Y-94310567D01* -X108607600Y-94317400D01* -X108614433Y-94325726D01* -X108619511Y-94335226D01* -X108622637Y-94345532D01* -X108624000Y-94359368D01* -X108624000Y-94940632D01* -X108622637Y-94954468D01* -X108619511Y-94964774D01* -X108614433Y-94974274D01* -X108607600Y-94982600D01* -X108599274Y-94989433D01* -X108589774Y-94994511D01* -X108579468Y-94997637D01* -X108565632Y-94999000D01* -X108334368Y-94999000D01* -X108320532Y-94997637D01* -X108310226Y-94994511D01* -X108300726Y-94989433D01* -X108292400Y-94982600D01* -X108285567Y-94974274D01* -X108280489Y-94964774D01* -X108277363Y-94954468D01* -X108276000Y-94940632D01* -X108276000Y-94359368D01* -X108277363Y-94345532D01* -X108280489Y-94335226D01* -X108285567Y-94325726D01* -X108292400Y-94317400D01* -X108300726Y-94310567D01* -X108310226Y-94305489D01* -X108320532Y-94302363D01* -X108334368Y-94301000D01* -X108565632Y-94301000D01* -X108579468Y-94302363D01* -G37* -G36* -X107559344Y-94302843D02* -G01* -X107574345Y-94307393D01* -X107588163Y-94314779D01* -X107600278Y-94324722D01* -X107610221Y-94336837D01* -X107617607Y-94350655D01* -X107622157Y-94365656D01* -X107624000Y-94384367D01* -X107624000Y-94915633D01* -X107622157Y-94934344D01* -X107617607Y-94949345D01* -X107610221Y-94963163D01* -X107600278Y-94975278D01* -X107588163Y-94985221D01* -X107574345Y-94992607D01* -X107559344Y-94997157D01* -X107540633Y-94999000D01* -X107259367Y-94999000D01* -X107240656Y-94997157D01* -X107225655Y-94992607D01* -X107211837Y-94985221D01* -X107199722Y-94975278D01* -X107189779Y-94963163D01* -X107182393Y-94949345D01* -X107177843Y-94934344D01* -X107176000Y-94915633D01* -X107176000Y-94384367D01* -X107177843Y-94365656D01* -X107182393Y-94350655D01* -X107189779Y-94336837D01* -X107199722Y-94324722D01* -X107211837Y-94314779D01* -X107225655Y-94307393D01* -X107240656Y-94302843D01* -X107259367Y-94301000D01* -X107540633Y-94301000D01* -X107559344Y-94302843D01* -G37* -G36* -X126824986Y-93653306D02* -G01* -X126865980Y-93665742D01* -X126903767Y-93685939D01* -X126936883Y-93713117D01* -X126964061Y-93746233D01* -X126984258Y-93784020D01* -X126996694Y-93825014D01* -X127001200Y-93870767D01* -X127001200Y-94429233D01* -X126996694Y-94474986D01* -X126984258Y-94515980D01* -X126964061Y-94553767D01* -X126936883Y-94586883D01* -X126903767Y-94614061D01* -X126865980Y-94634258D01* -X126824986Y-94646694D01* -X126779233Y-94651200D01* -X126120767Y-94651200D01* -X126075014Y-94646694D01* -X126034020Y-94634258D01* -X125996233Y-94614061D01* -X125963117Y-94586883D01* -X125935939Y-94553767D01* -X125915742Y-94515980D01* -X125903306Y-94474986D01* -X125898800Y-94429233D01* -X125898800Y-93870767D01* -X125903306Y-93825014D01* -X125915742Y-93784020D01* -X125935939Y-93746233D01* -X125963117Y-93713117D01* -X125996233Y-93685939D01* -X126034020Y-93665742D01* -X126075014Y-93653306D01* -X126120767Y-93648800D01* -X126779233Y-93648800D01* -X126824986Y-93653306D01* -G37* -G36* -X48424692Y-92637429D02* -G01* -X48606679Y-92712811D01* -X48770458Y-92822245D01* -X48770460Y-92822247D01* -X48770463Y-92822249D01* -X48909751Y-92961537D01* -X48909753Y-92961540D01* -X48909755Y-92961542D01* -X49019189Y-93125321D01* -X49094571Y-93307308D01* -X49133000Y-93500509D01* -X49133000Y-93697491D01* -X49094571Y-93890692D01* -X49019189Y-94072679D01* -X48909755Y-94236458D01* -X48909753Y-94236460D01* -X48909751Y-94236463D01* -X48770463Y-94375751D01* -X48770460Y-94375753D01* -X48770458Y-94375755D01* -X48606679Y-94485189D01* -X48424692Y-94560571D01* -X48231491Y-94599000D01* -X48034509Y-94599000D01* -X47841308Y-94560571D01* -X47659321Y-94485189D01* -X47495542Y-94375755D01* -X47495540Y-94375753D01* -X47495537Y-94375751D01* -X47356249Y-94236463D01* -X47356247Y-94236460D01* -X47356245Y-94236458D01* -X47246811Y-94072679D01* -X47171429Y-93890692D01* -X47133000Y-93697491D01* -X47133000Y-93500509D01* -X47171429Y-93307308D01* -X47246811Y-93125321D01* -X47356245Y-92961542D01* -X47356247Y-92961540D01* -X47356249Y-92961537D01* -X47495537Y-92822249D01* -X47495540Y-92822247D01* -X47495542Y-92822245D01* -X47659321Y-92712811D01* -X47841308Y-92637429D01* -X48034509Y-92599000D01* -X48231491Y-92599000D01* -X48424692Y-92637429D01* -G37* -G36* -X113618208Y-93973372D02* -G01* -X113638369Y-93979487D01* -X113656941Y-93989414D01* -X113673223Y-94002777D01* -X113686586Y-94019059D01* -X113696513Y-94037631D01* -X113702628Y-94057792D01* -X113705000Y-94081869D01* -X113705000Y-94418131D01* -X113702628Y-94442208D01* -X113696513Y-94462369D01* -X113686586Y-94480941D01* -X113673223Y-94497223D01* -X113656941Y-94510586D01* -X113638369Y-94520513D01* -X113618208Y-94526628D01* -X113594131Y-94529000D01* -X112205869Y-94529000D01* -X112181792Y-94526628D01* -X112161631Y-94520513D01* -X112143059Y-94510586D01* -X112126777Y-94497223D01* -X112113414Y-94480941D01* -X112103487Y-94462369D01* -X112097372Y-94442208D01* -X112095000Y-94418131D01* -X112095000Y-94081869D01* -X112097372Y-94057792D01* -X112103487Y-94037631D01* -X112113414Y-94019059D01* -X112126777Y-94002777D01* -X112143059Y-93989414D01* -X112161631Y-93979487D01* -X112181792Y-93973372D01* -X112205869Y-93971000D01* -X113594131Y-93971000D01* -X113618208Y-93973372D01* -G37* -G36* -X125118208Y-93973372D02* -G01* -X125138369Y-93979487D01* -X125156941Y-93989414D01* -X125173223Y-94002777D01* -X125186586Y-94019059D01* -X125196513Y-94037631D01* -X125202628Y-94057792D01* -X125205000Y-94081869D01* -X125205000Y-94418131D01* -X125202628Y-94442208D01* -X125196513Y-94462369D01* -X125186586Y-94480941D01* -X125173223Y-94497223D01* -X125156941Y-94510586D01* -X125138369Y-94520513D01* -X125118208Y-94526628D01* -X125094131Y-94529000D01* -X123705869Y-94529000D01* -X123681792Y-94526628D01* -X123661631Y-94520513D01* -X123643059Y-94510586D01* -X123626777Y-94497223D01* -X123613414Y-94480941D01* -X123603487Y-94462369D01* -X123597372Y-94442208D01* -X123595000Y-94418131D01* -X123595000Y-94081869D01* -X123597372Y-94057792D01* -X123603487Y-94037631D01* -X123613414Y-94019059D01* -X123626777Y-94002777D01* -X123643059Y-93989414D01* -X123661631Y-93979487D01* -X123681792Y-93973372D01* -X123705869Y-93971000D01* -X125094131Y-93971000D01* -X125118208Y-93973372D01* -G37* -G36* -X92179468Y-92977363D02* -G01* -X92189774Y-92980489D01* -X92199274Y-92985567D01* -X92207600Y-92992400D01* -X92214433Y-93000726D01* -X92219511Y-93010226D01* -X92222637Y-93020532D01* -X92224000Y-93034368D01* -X92224000Y-94440632D01* -X92222637Y-94454468D01* -X92219511Y-94464774D01* -X92214433Y-94474274D01* -X92207600Y-94482600D01* -X92199274Y-94489433D01* -X92189774Y-94494511D01* -X92179468Y-94497637D01* -X92165632Y-94499000D01* -X91934368Y-94499000D01* -X91920532Y-94497637D01* -X91910226Y-94494511D01* -X91900726Y-94489433D01* -X91892400Y-94482600D01* -X91885567Y-94474274D01* -X91880489Y-94464774D01* -X91877363Y-94454468D01* -X91876000Y-94440632D01* -X91876000Y-93034368D01* -X91877363Y-93020532D01* -X91880489Y-93010226D01* -X91885567Y-93000726D01* -X91892400Y-92992400D01* -X91900726Y-92985567D01* -X91910226Y-92980489D01* -X91920532Y-92977363D01* -X91934368Y-92976000D01* -X92165632Y-92976000D01* -X92179468Y-92977363D01* -G37* -G36* -X88179468Y-92977363D02* -G01* -X88189774Y-92980489D01* -X88199274Y-92985567D01* -X88207600Y-92992400D01* -X88214433Y-93000726D01* -X88219511Y-93010226D01* -X88222637Y-93020532D01* -X88224000Y-93034368D01* -X88224000Y-94440632D01* -X88222637Y-94454468D01* -X88219511Y-94464774D01* -X88214433Y-94474274D01* -X88207600Y-94482600D01* -X88199274Y-94489433D01* -X88189774Y-94494511D01* -X88179468Y-94497637D01* -X88165632Y-94499000D01* -X87934368Y-94499000D01* -X87920532Y-94497637D01* -X87910226Y-94494511D01* -X87900726Y-94489433D01* -X87892400Y-94482600D01* -X87885567Y-94474274D01* -X87880489Y-94464774D01* -X87877363Y-94454468D01* -X87876000Y-94440632D01* -X87876000Y-93034368D01* -X87877363Y-93020532D01* -X87880489Y-93010226D01* -X87885567Y-93000726D01* -X87892400Y-92992400D01* -X87900726Y-92985567D01* -X87910226Y-92980489D01* -X87920532Y-92977363D01* -X87934368Y-92976000D01* -X88165632Y-92976000D01* -X88179468Y-92977363D01* -G37* -G36* -X88679468Y-92977363D02* -G01* -X88689774Y-92980489D01* -X88699274Y-92985567D01* -X88707600Y-92992400D01* -X88714433Y-93000726D01* -X88719511Y-93010226D01* -X88722637Y-93020532D01* -X88724000Y-93034368D01* -X88724000Y-94440632D01* -X88722637Y-94454468D01* -X88719511Y-94464774D01* -X88714433Y-94474274D01* -X88707600Y-94482600D01* -X88699274Y-94489433D01* -X88689774Y-94494511D01* -X88679468Y-94497637D01* -X88665632Y-94499000D01* -X88434368Y-94499000D01* -X88420532Y-94497637D01* -X88410226Y-94494511D01* -X88400726Y-94489433D01* -X88392400Y-94482600D01* -X88385567Y-94474274D01* -X88380489Y-94464774D01* -X88377363Y-94454468D01* -X88376000Y-94440632D01* -X88376000Y-93034368D01* -X88377363Y-93020532D01* -X88380489Y-93010226D01* -X88385567Y-93000726D01* -X88392400Y-92992400D01* -X88400726Y-92985567D01* -X88410226Y-92980489D01* -X88420532Y-92977363D01* -X88434368Y-92976000D01* -X88665632Y-92976000D01* -X88679468Y-92977363D01* -G37* -G36* -X89179468Y-92977363D02* -G01* -X89189774Y-92980489D01* -X89199274Y-92985567D01* -X89207600Y-92992400D01* -X89214433Y-93000726D01* -X89219511Y-93010226D01* -X89222637Y-93020532D01* -X89224000Y-93034368D01* -X89224000Y-94440632D01* -X89222637Y-94454468D01* -X89219511Y-94464774D01* -X89214433Y-94474274D01* -X89207600Y-94482600D01* -X89199274Y-94489433D01* -X89189774Y-94494511D01* -X89179468Y-94497637D01* -X89165632Y-94499000D01* -X88934368Y-94499000D01* -X88920532Y-94497637D01* -X88910226Y-94494511D01* -X88900726Y-94489433D01* -X88892400Y-94482600D01* -X88885567Y-94474274D01* -X88880489Y-94464774D01* -X88877363Y-94454468D01* -X88876000Y-94440632D01* -X88876000Y-93034368D01* -X88877363Y-93020532D01* -X88880489Y-93010226D01* -X88885567Y-93000726D01* -X88892400Y-92992400D01* -X88900726Y-92985567D01* -X88910226Y-92980489D01* -X88920532Y-92977363D01* -X88934368Y-92976000D01* -X89165632Y-92976000D01* -X89179468Y-92977363D01* -G37* -G36* -X89679468Y-92977363D02* -G01* -X89689774Y-92980489D01* -X89699274Y-92985567D01* -X89707600Y-92992400D01* -X89714433Y-93000726D01* -X89719511Y-93010226D01* -X89722637Y-93020532D01* -X89724000Y-93034368D01* -X89724000Y-94440632D01* -X89722637Y-94454468D01* -X89719511Y-94464774D01* -X89714433Y-94474274D01* -X89707600Y-94482600D01* -X89699274Y-94489433D01* -X89689774Y-94494511D01* -X89679468Y-94497637D01* -X89665632Y-94499000D01* -X89434368Y-94499000D01* -X89420532Y-94497637D01* -X89410226Y-94494511D01* -X89400726Y-94489433D01* -X89392400Y-94482600D01* -X89385567Y-94474274D01* -X89380489Y-94464774D01* -X89377363Y-94454468D01* -X89376000Y-94440632D01* -X89376000Y-93034368D01* -X89377363Y-93020532D01* -X89380489Y-93010226D01* -X89385567Y-93000726D01* -X89392400Y-92992400D01* -X89400726Y-92985567D01* -X89410226Y-92980489D01* -X89420532Y-92977363D01* -X89434368Y-92976000D01* -X89665632Y-92976000D01* -X89679468Y-92977363D01* -G37* -G36* -X90179468Y-92977363D02* -G01* -X90189774Y-92980489D01* -X90199274Y-92985567D01* -X90207600Y-92992400D01* -X90214433Y-93000726D01* -X90219511Y-93010226D01* -X90222637Y-93020532D01* -X90224000Y-93034368D01* -X90224000Y-94440632D01* -X90222637Y-94454468D01* -X90219511Y-94464774D01* -X90214433Y-94474274D01* -X90207600Y-94482600D01* -X90199274Y-94489433D01* -X90189774Y-94494511D01* -X90179468Y-94497637D01* -X90165632Y-94499000D01* -X89934368Y-94499000D01* -X89920532Y-94497637D01* -X89910226Y-94494511D01* -X89900726Y-94489433D01* -X89892400Y-94482600D01* -X89885567Y-94474274D01* -X89880489Y-94464774D01* -X89877363Y-94454468D01* -X89876000Y-94440632D01* -X89876000Y-93034368D01* -X89877363Y-93020532D01* -X89880489Y-93010226D01* -X89885567Y-93000726D01* -X89892400Y-92992400D01* -X89900726Y-92985567D01* -X89910226Y-92980489D01* -X89920532Y-92977363D01* -X89934368Y-92976000D01* -X90165632Y-92976000D01* -X90179468Y-92977363D01* -G37* -G36* -X90679468Y-92977363D02* -G01* -X90689774Y-92980489D01* -X90699274Y-92985567D01* -X90707600Y-92992400D01* -X90714433Y-93000726D01* -X90719511Y-93010226D01* -X90722637Y-93020532D01* -X90724000Y-93034368D01* -X90724000Y-94440632D01* -X90722637Y-94454468D01* -X90719511Y-94464774D01* -X90714433Y-94474274D01* -X90707600Y-94482600D01* -X90699274Y-94489433D01* -X90689774Y-94494511D01* -X90679468Y-94497637D01* -X90665632Y-94499000D01* -X90434368Y-94499000D01* -X90420532Y-94497637D01* -X90410226Y-94494511D01* -X90400726Y-94489433D01* -X90392400Y-94482600D01* -X90385567Y-94474274D01* -X90380489Y-94464774D01* -X90377363Y-94454468D01* -X90376000Y-94440632D01* -X90376000Y-93034368D01* -X90377363Y-93020532D01* -X90380489Y-93010226D01* -X90385567Y-93000726D01* -X90392400Y-92992400D01* -X90400726Y-92985567D01* -X90410226Y-92980489D01* -X90420532Y-92977363D01* -X90434368Y-92976000D01* -X90665632Y-92976000D01* -X90679468Y-92977363D01* -G37* -G36* -X91179468Y-92977363D02* -G01* -X91189774Y-92980489D01* -X91199274Y-92985567D01* -X91207600Y-92992400D01* -X91214433Y-93000726D01* -X91219511Y-93010226D01* -X91222637Y-93020532D01* -X91224000Y-93034368D01* -X91224000Y-94440632D01* -X91222637Y-94454468D01* -X91219511Y-94464774D01* -X91214433Y-94474274D01* -X91207600Y-94482600D01* -X91199274Y-94489433D01* -X91189774Y-94494511D01* -X91179468Y-94497637D01* -X91165632Y-94499000D01* -X90934368Y-94499000D01* -X90920532Y-94497637D01* -X90910226Y-94494511D01* -X90900726Y-94489433D01* -X90892400Y-94482600D01* -X90885567Y-94474274D01* -X90880489Y-94464774D01* -X90877363Y-94454468D01* -X90876000Y-94440632D01* -X90876000Y-93034368D01* -X90877363Y-93020532D01* -X90880489Y-93010226D01* -X90885567Y-93000726D01* -X90892400Y-92992400D01* -X90900726Y-92985567D01* -X90910226Y-92980489D01* -X90920532Y-92977363D01* -X90934368Y-92976000D01* -X91165632Y-92976000D01* -X91179468Y-92977363D01* -G37* -G36* -X91679468Y-92977363D02* -G01* -X91689774Y-92980489D01* -X91699274Y-92985567D01* -X91707600Y-92992400D01* -X91714433Y-93000726D01* -X91719511Y-93010226D01* -X91722637Y-93020532D01* -X91724000Y-93034368D01* -X91724000Y-94440632D01* -X91722637Y-94454468D01* -X91719511Y-94464774D01* -X91714433Y-94474274D01* -X91707600Y-94482600D01* -X91699274Y-94489433D01* -X91689774Y-94494511D01* -X91679468Y-94497637D01* -X91665632Y-94499000D01* -X91434368Y-94499000D01* -X91420532Y-94497637D01* -X91410226Y-94494511D01* -X91400726Y-94489433D01* -X91392400Y-94482600D01* -X91385567Y-94474274D01* -X91380489Y-94464774D01* -X91377363Y-94454468D01* -X91376000Y-94440632D01* -X91376000Y-93034368D01* -X91377363Y-93020532D01* -X91380489Y-93010226D01* -X91385567Y-93000726D01* -X91392400Y-92992400D01* -X91400726Y-92985567D01* -X91410226Y-92980489D01* -X91420532Y-92977363D01* -X91434368Y-92976000D01* -X91665632Y-92976000D01* -X91679468Y-92977363D01* -G37* -G36* -X98679468Y-92977363D02* -G01* -X98689774Y-92980489D01* -X98699274Y-92985567D01* -X98707600Y-92992400D01* -X98714433Y-93000726D01* -X98719511Y-93010226D01* -X98722637Y-93020532D01* -X98724000Y-93034368D01* -X98724000Y-94440632D01* -X98722637Y-94454468D01* -X98719511Y-94464774D01* -X98714433Y-94474274D01* -X98707600Y-94482600D01* -X98699274Y-94489433D01* -X98689774Y-94494511D01* -X98679468Y-94497637D01* -X98665632Y-94499000D01* -X98434368Y-94499000D01* -X98420532Y-94497637D01* -X98410226Y-94494511D01* -X98400726Y-94489433D01* -X98392400Y-94482600D01* -X98385567Y-94474274D01* -X98380489Y-94464774D01* -X98377363Y-94454468D01* -X98376000Y-94440632D01* -X98376000Y-93034368D01* -X98377363Y-93020532D01* -X98380489Y-93010226D01* -X98385567Y-93000726D01* -X98392400Y-92992400D01* -X98400726Y-92985567D01* -X98410226Y-92980489D01* -X98420532Y-92977363D01* -X98434368Y-92976000D01* -X98665632Y-92976000D01* -X98679468Y-92977363D01* -G37* -G36* -X92679468Y-92977363D02* -G01* -X92689774Y-92980489D01* -X92699274Y-92985567D01* -X92707600Y-92992400D01* -X92714433Y-93000726D01* -X92719511Y-93010226D01* -X92722637Y-93020532D01* -X92724000Y-93034368D01* -X92724000Y-94440632D01* -X92722637Y-94454468D01* -X92719511Y-94464774D01* -X92714433Y-94474274D01* -X92707600Y-94482600D01* -X92699274Y-94489433D01* -X92689774Y-94494511D01* -X92679468Y-94497637D01* -X92665632Y-94499000D01* -X92434368Y-94499000D01* -X92420532Y-94497637D01* -X92410226Y-94494511D01* -X92400726Y-94489433D01* -X92392400Y-94482600D01* -X92385567Y-94474274D01* -X92380489Y-94464774D01* -X92377363Y-94454468D01* -X92376000Y-94440632D01* -X92376000Y-93034368D01* -X92377363Y-93020532D01* -X92380489Y-93010226D01* -X92385567Y-93000726D01* -X92392400Y-92992400D01* -X92400726Y-92985567D01* -X92410226Y-92980489D01* -X92420532Y-92977363D01* -X92434368Y-92976000D01* -X92665632Y-92976000D01* -X92679468Y-92977363D01* -G37* -G36* -X93179468Y-92977363D02* -G01* -X93189774Y-92980489D01* -X93199274Y-92985567D01* -X93207600Y-92992400D01* -X93214433Y-93000726D01* -X93219511Y-93010226D01* -X93222637Y-93020532D01* -X93224000Y-93034368D01* -X93224000Y-94440632D01* -X93222637Y-94454468D01* -X93219511Y-94464774D01* -X93214433Y-94474274D01* -X93207600Y-94482600D01* -X93199274Y-94489433D01* -X93189774Y-94494511D01* -X93179468Y-94497637D01* -X93165632Y-94499000D01* -X92934368Y-94499000D01* -X92920532Y-94497637D01* -X92910226Y-94494511D01* -X92900726Y-94489433D01* -X92892400Y-94482600D01* -X92885567Y-94474274D01* -X92880489Y-94464774D01* -X92877363Y-94454468D01* -X92876000Y-94440632D01* -X92876000Y-93034368D01* -X92877363Y-93020532D01* -X92880489Y-93010226D01* -X92885567Y-93000726D01* -X92892400Y-92992400D01* -X92900726Y-92985567D01* -X92910226Y-92980489D01* -X92920532Y-92977363D01* -X92934368Y-92976000D01* -X93165632Y-92976000D01* -X93179468Y-92977363D01* -G37* -G36* -X93679468Y-92977363D02* -G01* -X93689774Y-92980489D01* -X93699274Y-92985567D01* -X93707600Y-92992400D01* -X93714433Y-93000726D01* -X93719511Y-93010226D01* -X93722637Y-93020532D01* -X93724000Y-93034368D01* -X93724000Y-94440632D01* -X93722637Y-94454468D01* -X93719511Y-94464774D01* -X93714433Y-94474274D01* -X93707600Y-94482600D01* -X93699274Y-94489433D01* -X93689774Y-94494511D01* -X93679468Y-94497637D01* -X93665632Y-94499000D01* -X93434368Y-94499000D01* -X93420532Y-94497637D01* -X93410226Y-94494511D01* -X93400726Y-94489433D01* -X93392400Y-94482600D01* -X93385567Y-94474274D01* -X93380489Y-94464774D01* -X93377363Y-94454468D01* -X93376000Y-94440632D01* -X93376000Y-93034368D01* -X93377363Y-93020532D01* -X93380489Y-93010226D01* -X93385567Y-93000726D01* -X93392400Y-92992400D01* -X93400726Y-92985567D01* -X93410226Y-92980489D01* -X93420532Y-92977363D01* -X93434368Y-92976000D01* -X93665632Y-92976000D01* -X93679468Y-92977363D01* -G37* -G36* -X94179468Y-92977363D02* -G01* -X94189774Y-92980489D01* -X94199274Y-92985567D01* -X94207600Y-92992400D01* -X94214433Y-93000726D01* -X94219511Y-93010226D01* -X94222637Y-93020532D01* -X94224000Y-93034368D01* -X94224000Y-94440632D01* -X94222637Y-94454468D01* -X94219511Y-94464774D01* -X94214433Y-94474274D01* -X94207600Y-94482600D01* -X94199274Y-94489433D01* -X94189774Y-94494511D01* -X94179468Y-94497637D01* -X94165632Y-94499000D01* -X93934368Y-94499000D01* -X93920532Y-94497637D01* -X93910226Y-94494511D01* -X93900726Y-94489433D01* -X93892400Y-94482600D01* -X93885567Y-94474274D01* -X93880489Y-94464774D01* -X93877363Y-94454468D01* -X93876000Y-94440632D01* -X93876000Y-93034368D01* -X93877363Y-93020532D01* -X93880489Y-93010226D01* -X93885567Y-93000726D01* -X93892400Y-92992400D01* -X93900726Y-92985567D01* -X93910226Y-92980489D01* -X93920532Y-92977363D01* -X93934368Y-92976000D01* -X94165632Y-92976000D01* -X94179468Y-92977363D01* -G37* -G36* -X94679468Y-92977363D02* -G01* -X94689774Y-92980489D01* -X94699274Y-92985567D01* -X94707600Y-92992400D01* -X94714433Y-93000726D01* -X94719511Y-93010226D01* -X94722637Y-93020532D01* -X94724000Y-93034368D01* -X94724000Y-94440632D01* -X94722637Y-94454468D01* -X94719511Y-94464774D01* -X94714433Y-94474274D01* -X94707600Y-94482600D01* -X94699274Y-94489433D01* -X94689774Y-94494511D01* -X94679468Y-94497637D01* -X94665632Y-94499000D01* -X94434368Y-94499000D01* -X94420532Y-94497637D01* -X94410226Y-94494511D01* -X94400726Y-94489433D01* -X94392400Y-94482600D01* -X94385567Y-94474274D01* -X94380489Y-94464774D01* -X94377363Y-94454468D01* -X94376000Y-94440632D01* -X94376000Y-93034368D01* -X94377363Y-93020532D01* -X94380489Y-93010226D01* -X94385567Y-93000726D01* -X94392400Y-92992400D01* -X94400726Y-92985567D01* -X94410226Y-92980489D01* -X94420532Y-92977363D01* -X94434368Y-92976000D01* -X94665632Y-92976000D01* -X94679468Y-92977363D01* -G37* -G36* -X95179468Y-92977363D02* -G01* -X95189774Y-92980489D01* -X95199274Y-92985567D01* -X95207600Y-92992400D01* -X95214433Y-93000726D01* -X95219511Y-93010226D01* -X95222637Y-93020532D01* -X95224000Y-93034368D01* -X95224000Y-94440632D01* -X95222637Y-94454468D01* -X95219511Y-94464774D01* -X95214433Y-94474274D01* -X95207600Y-94482600D01* -X95199274Y-94489433D01* -X95189774Y-94494511D01* -X95179468Y-94497637D01* -X95165632Y-94499000D01* -X94934368Y-94499000D01* -X94920532Y-94497637D01* -X94910226Y-94494511D01* -X94900726Y-94489433D01* -X94892400Y-94482600D01* -X94885567Y-94474274D01* -X94880489Y-94464774D01* -X94877363Y-94454468D01* -X94876000Y-94440632D01* -X94876000Y-93034368D01* -X94877363Y-93020532D01* -X94880489Y-93010226D01* -X94885567Y-93000726D01* -X94892400Y-92992400D01* -X94900726Y-92985567D01* -X94910226Y-92980489D01* -X94920532Y-92977363D01* -X94934368Y-92976000D01* -X95165632Y-92976000D01* -X95179468Y-92977363D01* -G37* -G36* -X95679468Y-92977363D02* -G01* -X95689774Y-92980489D01* -X95699274Y-92985567D01* -X95707600Y-92992400D01* -X95714433Y-93000726D01* -X95719511Y-93010226D01* -X95722637Y-93020532D01* -X95724000Y-93034368D01* -X95724000Y-94440632D01* -X95722637Y-94454468D01* -X95719511Y-94464774D01* -X95714433Y-94474274D01* -X95707600Y-94482600D01* -X95699274Y-94489433D01* -X95689774Y-94494511D01* -X95679468Y-94497637D01* -X95665632Y-94499000D01* -X95434368Y-94499000D01* -X95420532Y-94497637D01* -X95410226Y-94494511D01* -X95400726Y-94489433D01* -X95392400Y-94482600D01* -X95385567Y-94474274D01* -X95380489Y-94464774D01* -X95377363Y-94454468D01* -X95376000Y-94440632D01* -X95376000Y-93034368D01* -X95377363Y-93020532D01* -X95380489Y-93010226D01* -X95385567Y-93000726D01* -X95392400Y-92992400D01* -X95400726Y-92985567D01* -X95410226Y-92980489D01* -X95420532Y-92977363D01* -X95434368Y-92976000D01* -X95665632Y-92976000D01* -X95679468Y-92977363D01* -G37* -G36* -X96179468Y-92977363D02* -G01* -X96189774Y-92980489D01* -X96199274Y-92985567D01* -X96207600Y-92992400D01* -X96214433Y-93000726D01* -X96219511Y-93010226D01* -X96222637Y-93020532D01* -X96224000Y-93034368D01* -X96224000Y-94440632D01* -X96222637Y-94454468D01* -X96219511Y-94464774D01* -X96214433Y-94474274D01* -X96207600Y-94482600D01* -X96199274Y-94489433D01* -X96189774Y-94494511D01* -X96179468Y-94497637D01* -X96165632Y-94499000D01* -X95934368Y-94499000D01* -X95920532Y-94497637D01* -X95910226Y-94494511D01* -X95900726Y-94489433D01* -X95892400Y-94482600D01* -X95885567Y-94474274D01* -X95880489Y-94464774D01* -X95877363Y-94454468D01* -X95876000Y-94440632D01* -X95876000Y-93034368D01* -X95877363Y-93020532D01* -X95880489Y-93010226D01* -X95885567Y-93000726D01* -X95892400Y-92992400D01* -X95900726Y-92985567D01* -X95910226Y-92980489D01* -X95920532Y-92977363D01* -X95934368Y-92976000D01* -X96165632Y-92976000D01* -X96179468Y-92977363D01* -G37* -G36* -X96679468Y-92977363D02* -G01* -X96689774Y-92980489D01* -X96699274Y-92985567D01* -X96707600Y-92992400D01* -X96714433Y-93000726D01* -X96719511Y-93010226D01* -X96722637Y-93020532D01* -X96724000Y-93034368D01* -X96724000Y-94440632D01* -X96722637Y-94454468D01* -X96719511Y-94464774D01* -X96714433Y-94474274D01* -X96707600Y-94482600D01* -X96699274Y-94489433D01* -X96689774Y-94494511D01* -X96679468Y-94497637D01* -X96665632Y-94499000D01* -X96434368Y-94499000D01* -X96420532Y-94497637D01* -X96410226Y-94494511D01* -X96400726Y-94489433D01* -X96392400Y-94482600D01* -X96385567Y-94474274D01* -X96380489Y-94464774D01* -X96377363Y-94454468D01* -X96376000Y-94440632D01* -X96376000Y-93034368D01* -X96377363Y-93020532D01* -X96380489Y-93010226D01* -X96385567Y-93000726D01* -X96392400Y-92992400D01* -X96400726Y-92985567D01* -X96410226Y-92980489D01* -X96420532Y-92977363D01* -X96434368Y-92976000D01* -X96665632Y-92976000D01* -X96679468Y-92977363D01* -G37* -G36* -X97179468Y-92977363D02* -G01* -X97189774Y-92980489D01* -X97199274Y-92985567D01* -X97207600Y-92992400D01* -X97214433Y-93000726D01* -X97219511Y-93010226D01* -X97222637Y-93020532D01* -X97224000Y-93034368D01* -X97224000Y-94440632D01* -X97222637Y-94454468D01* -X97219511Y-94464774D01* -X97214433Y-94474274D01* -X97207600Y-94482600D01* -X97199274Y-94489433D01* -X97189774Y-94494511D01* -X97179468Y-94497637D01* -X97165632Y-94499000D01* -X96934368Y-94499000D01* -X96920532Y-94497637D01* -X96910226Y-94494511D01* -X96900726Y-94489433D01* -X96892400Y-94482600D01* -X96885567Y-94474274D01* -X96880489Y-94464774D01* -X96877363Y-94454468D01* -X96876000Y-94440632D01* -X96876000Y-93034368D01* -X96877363Y-93020532D01* -X96880489Y-93010226D01* -X96885567Y-93000726D01* -X96892400Y-92992400D01* -X96900726Y-92985567D01* -X96910226Y-92980489D01* -X96920532Y-92977363D01* -X96934368Y-92976000D01* -X97165632Y-92976000D01* -X97179468Y-92977363D01* -G37* -G36* -X97679468Y-92977363D02* -G01* -X97689774Y-92980489D01* -X97699274Y-92985567D01* -X97707600Y-92992400D01* -X97714433Y-93000726D01* -X97719511Y-93010226D01* -X97722637Y-93020532D01* -X97724000Y-93034368D01* -X97724000Y-94440632D01* -X97722637Y-94454468D01* -X97719511Y-94464774D01* -X97714433Y-94474274D01* -X97707600Y-94482600D01* -X97699274Y-94489433D01* -X97689774Y-94494511D01* -X97679468Y-94497637D01* -X97665632Y-94499000D01* -X97434368Y-94499000D01* -X97420532Y-94497637D01* -X97410226Y-94494511D01* -X97400726Y-94489433D01* -X97392400Y-94482600D01* -X97385567Y-94474274D01* -X97380489Y-94464774D01* -X97377363Y-94454468D01* -X97376000Y-94440632D01* -X97376000Y-93034368D01* -X97377363Y-93020532D01* -X97380489Y-93010226D01* -X97385567Y-93000726D01* -X97392400Y-92992400D01* -X97400726Y-92985567D01* -X97410226Y-92980489D01* -X97420532Y-92977363D01* -X97434368Y-92976000D01* -X97665632Y-92976000D01* -X97679468Y-92977363D01* -G37* -G36* -X98179468Y-92977363D02* -G01* -X98189774Y-92980489D01* -X98199274Y-92985567D01* -X98207600Y-92992400D01* -X98214433Y-93000726D01* -X98219511Y-93010226D01* -X98222637Y-93020532D01* -X98224000Y-93034368D01* -X98224000Y-94440632D01* -X98222637Y-94454468D01* -X98219511Y-94464774D01* -X98214433Y-94474274D01* -X98207600Y-94482600D01* -X98199274Y-94489433D01* -X98189774Y-94494511D01* -X98179468Y-94497637D01* -X98165632Y-94499000D01* -X97934368Y-94499000D01* -X97920532Y-94497637D01* -X97910226Y-94494511D01* -X97900726Y-94489433D01* -X97892400Y-94482600D01* -X97885567Y-94474274D01* -X97880489Y-94464774D01* -X97877363Y-94454468D01* -X97876000Y-94440632D01* -X97876000Y-93034368D01* -X97877363Y-93020532D01* -X97880489Y-93010226D01* -X97885567Y-93000726D01* -X97892400Y-92992400D01* -X97900726Y-92985567D01* -X97910226Y-92980489D01* -X97920532Y-92977363D01* -X97934368Y-92976000D01* -X98165632Y-92976000D01* -X98179468Y-92977363D01* -G37* -G36* -X99179468Y-92977363D02* -G01* -X99189774Y-92980489D01* -X99199274Y-92985567D01* -X99207600Y-92992400D01* -X99214433Y-93000726D01* -X99219511Y-93010226D01* -X99222637Y-93020532D01* -X99224000Y-93034368D01* -X99224000Y-94440632D01* -X99222637Y-94454468D01* -X99219511Y-94464774D01* -X99214433Y-94474274D01* -X99207600Y-94482600D01* -X99199274Y-94489433D01* -X99189774Y-94494511D01* -X99179468Y-94497637D01* -X99165632Y-94499000D01* -X98934368Y-94499000D01* -X98920532Y-94497637D01* -X98910226Y-94494511D01* -X98900726Y-94489433D01* -X98892400Y-94482600D01* -X98885567Y-94474274D01* -X98880489Y-94464774D01* -X98877363Y-94454468D01* -X98876000Y-94440632D01* -X98876000Y-93034368D01* -X98877363Y-93020532D01* -X98880489Y-93010226D01* -X98885567Y-93000726D01* -X98892400Y-92992400D01* -X98900726Y-92985567D01* -X98910226Y-92980489D01* -X98920532Y-92977363D01* -X98934368Y-92976000D01* -X99165632Y-92976000D01* -X99179468Y-92977363D01* -G37* -G36* -X99679468Y-92977363D02* -G01* -X99689774Y-92980489D01* -X99699274Y-92985567D01* -X99707600Y-92992400D01* -X99714433Y-93000726D01* -X99719511Y-93010226D01* -X99722637Y-93020532D01* -X99724000Y-93034368D01* -X99724000Y-94440632D01* -X99722637Y-94454468D01* -X99719511Y-94464774D01* -X99714433Y-94474274D01* -X99707600Y-94482600D01* -X99699274Y-94489433D01* -X99689774Y-94494511D01* -X99679468Y-94497637D01* -X99665632Y-94499000D01* -X99434368Y-94499000D01* -X99420532Y-94497637D01* -X99410226Y-94494511D01* -X99400726Y-94489433D01* -X99392400Y-94482600D01* -X99385567Y-94474274D01* -X99380489Y-94464774D01* -X99377363Y-94454468D01* -X99376000Y-94440632D01* -X99376000Y-93034368D01* -X99377363Y-93020532D01* -X99380489Y-93010226D01* -X99385567Y-93000726D01* -X99392400Y-92992400D01* -X99400726Y-92985567D01* -X99410226Y-92980489D01* -X99420532Y-92977363D01* -X99434368Y-92976000D01* -X99665632Y-92976000D01* -X99679468Y-92977363D01* -G37* -G36* -X100179468Y-92977363D02* -G01* -X100189774Y-92980489D01* -X100199274Y-92985567D01* -X100207600Y-92992400D01* -X100214433Y-93000726D01* -X100219511Y-93010226D01* -X100222637Y-93020532D01* -X100224000Y-93034368D01* -X100224000Y-94440632D01* -X100222637Y-94454468D01* -X100219511Y-94464774D01* -X100214433Y-94474274D01* -X100207600Y-94482600D01* -X100199274Y-94489433D01* -X100189774Y-94494511D01* -X100179468Y-94497637D01* -X100165632Y-94499000D01* -X99934368Y-94499000D01* -X99920532Y-94497637D01* -X99910226Y-94494511D01* -X99900726Y-94489433D01* -X99892400Y-94482600D01* -X99885567Y-94474274D01* -X99880489Y-94464774D01* -X99877363Y-94454468D01* -X99876000Y-94440632D01* -X99876000Y-93034368D01* -X99877363Y-93020532D01* -X99880489Y-93010226D01* -X99885567Y-93000726D01* -X99892400Y-92992400D01* -X99900726Y-92985567D01* -X99910226Y-92980489D01* -X99920532Y-92977363D01* -X99934368Y-92976000D01* -X100165632Y-92976000D01* -X100179468Y-92977363D01* -G37* -G36* -X125118208Y-93173372D02* -G01* -X125138369Y-93179487D01* -X125156941Y-93189414D01* -X125173223Y-93202777D01* -X125186586Y-93219059D01* -X125196513Y-93237631D01* -X125202628Y-93257792D01* -X125205000Y-93281869D01* -X125205000Y-93618131D01* -X125202628Y-93642208D01* -X125196513Y-93662369D01* -X125186586Y-93680941D01* -X125173223Y-93697223D01* -X125156941Y-93710586D01* -X125138369Y-93720513D01* -X125118208Y-93726628D01* -X125094131Y-93729000D01* -X123705869Y-93729000D01* -X123681792Y-93726628D01* -X123661631Y-93720513D01* -X123643059Y-93710586D01* -X123626777Y-93697223D01* -X123613414Y-93680941D01* -X123603487Y-93662369D01* -X123597372Y-93642208D01* -X123595000Y-93618131D01* -X123595000Y-93281869D01* -X123597372Y-93257792D01* -X123603487Y-93237631D01* -X123613414Y-93219059D01* -X123626777Y-93202777D01* -X123643059Y-93189414D01* -X123661631Y-93179487D01* -X123681792Y-93173372D01* -X123705869Y-93171000D01* -X125094131Y-93171000D01* -X125118208Y-93173372D01* -G37* -G36* -X113618208Y-93173372D02* -G01* -X113638369Y-93179487D01* -X113656941Y-93189414D01* -X113673223Y-93202777D01* -X113686586Y-93219059D01* -X113696513Y-93237631D01* -X113702628Y-93257792D01* -X113705000Y-93281869D01* -X113705000Y-93618131D01* -X113702628Y-93642208D01* -X113696513Y-93662369D01* -X113686586Y-93680941D01* -X113673223Y-93697223D01* -X113656941Y-93710586D01* -X113638369Y-93720513D01* -X113618208Y-93726628D01* -X113594131Y-93729000D01* -X112205869Y-93729000D01* -X112181792Y-93726628D01* -X112161631Y-93720513D01* -X112143059Y-93710586D01* -X112126777Y-93697223D01* -X112113414Y-93680941D01* -X112103487Y-93662369D01* -X112097372Y-93642208D01* -X112095000Y-93618131D01* -X112095000Y-93281869D01* -X112097372Y-93257792D01* -X112103487Y-93237631D01* -X112113414Y-93219059D01* -X112126777Y-93202777D01* -X112143059Y-93189414D01* -X112161631Y-93179487D01* -X112181792Y-93173372D01* -X112205869Y-93171000D01* -X113594131Y-93171000D01* -X113618208Y-93173372D01* -G37* -G36* -X126824986Y-92153306D02* -G01* -X126865980Y-92165742D01* -X126903767Y-92185939D01* -X126936883Y-92213117D01* -X126964061Y-92246233D01* -X126984258Y-92284020D01* -X126996694Y-92325014D01* -X127001200Y-92370767D01* -X127001200Y-92929233D01* -X126996694Y-92974986D01* -X126984258Y-93015980D01* -X126964061Y-93053767D01* -X126936883Y-93086883D01* -X126903767Y-93114061D01* -X126865980Y-93134258D01* -X126824986Y-93146694D01* -X126779233Y-93151200D01* -X126120767Y-93151200D01* -X126075014Y-93146694D01* -X126034020Y-93134258D01* -X125996233Y-93114061D01* -X125963117Y-93086883D01* -X125935939Y-93053767D01* -X125915742Y-93015980D01* -X125903306Y-92974986D01* -X125898800Y-92929233D01* -X125898800Y-92370767D01* -X125903306Y-92325014D01* -X125915742Y-92284020D01* -X125935939Y-92246233D01* -X125963117Y-92213117D01* -X125996233Y-92185939D01* -X126034020Y-92165742D01* -X126075014Y-92153306D01* -X126120767Y-92148800D01* -X126779233Y-92148800D01* -X126824986Y-92153306D01* -G37* -G36* -X113618208Y-92373372D02* -G01* -X113638369Y-92379487D01* -X113656941Y-92389414D01* -X113673223Y-92402777D01* -X113686586Y-92419059D01* -X113696513Y-92437631D01* -X113702628Y-92457792D01* -X113705000Y-92481869D01* -X113705000Y-92818131D01* -X113702628Y-92842208D01* -X113696513Y-92862369D01* -X113686586Y-92880941D01* -X113673223Y-92897223D01* -X113656941Y-92910586D01* -X113638369Y-92920513D01* -X113618208Y-92926628D01* -X113594131Y-92929000D01* -X112205869Y-92929000D01* -X112181792Y-92926628D01* -X112161631Y-92920513D01* -X112143059Y-92910586D01* -X112126777Y-92897223D01* -X112113414Y-92880941D01* -X112103487Y-92862369D01* -X112097372Y-92842208D01* -X112095000Y-92818131D01* -X112095000Y-92481869D01* -X112097372Y-92457792D01* -X112103487Y-92437631D01* -X112113414Y-92419059D01* -X112126777Y-92402777D01* -X112143059Y-92389414D01* -X112161631Y-92379487D01* -X112181792Y-92373372D01* -X112205869Y-92371000D01* -X113594131Y-92371000D01* -X113618208Y-92373372D01* -G37* -G36* -X125118208Y-92373372D02* -G01* -X125138369Y-92379487D01* -X125156941Y-92389414D01* -X125173223Y-92402777D01* -X125186586Y-92419059D01* -X125196513Y-92437631D01* -X125202628Y-92457792D01* -X125205000Y-92481869D01* -X125205000Y-92818131D01* -X125202628Y-92842208D01* -X125196513Y-92862369D01* -X125186586Y-92880941D01* -X125173223Y-92897223D01* -X125156941Y-92910586D01* -X125138369Y-92920513D01* -X125118208Y-92926628D01* -X125094131Y-92929000D01* -X123705869Y-92929000D01* -X123681792Y-92926628D01* -X123661631Y-92920513D01* -X123643059Y-92910586D01* -X123626777Y-92897223D01* -X123613414Y-92880941D01* -X123603487Y-92862369D01* -X123597372Y-92842208D01* -X123595000Y-92818131D01* -X123595000Y-92481869D01* -X123597372Y-92457792D01* -X123603487Y-92437631D01* -X123613414Y-92419059D01* -X123626777Y-92402777D01* -X123643059Y-92389414D01* -X123661631Y-92379487D01* -X123681792Y-92373372D01* -X123705869Y-92371000D01* -X125094131Y-92371000D01* -X125118208Y-92373372D01* -G37* -G36* -X136680437Y-91393822D02* -G01* -X136714759Y-91404233D01* -X136746387Y-91421139D01* -X136774110Y-91443890D01* -X136796861Y-91471613D01* -X136813767Y-91503241D01* -X136824178Y-91537563D01* -X136828000Y-91576367D01* -X136828000Y-92573633D01* -X136824178Y-92612437D01* -X136813767Y-92646759D01* -X136796861Y-92678387D01* -X136774110Y-92706110D01* -X136746387Y-92728861D01* -X136714759Y-92745767D01* -X136680437Y-92756178D01* -X136641633Y-92760000D01* -X136154367Y-92760000D01* -X136115563Y-92756178D01* -X136081241Y-92745767D01* -X136049613Y-92728861D01* -X136021890Y-92706110D01* -X135999139Y-92678387D01* -X135982233Y-92646759D01* -X135971822Y-92612437D01* -X135968000Y-92573633D01* -X135968000Y-91576367D01* -X135971822Y-91537563D01* -X135982233Y-91503241D01* -X135999139Y-91471613D01* -X136021890Y-91443890D01* -X136049613Y-91421139D01* -X136081241Y-91404233D01* -X136115563Y-91393822D01* -X136154367Y-91390000D01* -X136641633Y-91390000D01* -X136680437Y-91393822D01* -G37* -G36* -X135410437Y-91393822D02* -G01* -X135444759Y-91404233D01* -X135476387Y-91421139D01* -X135504110Y-91443890D01* -X135526861Y-91471613D01* -X135543767Y-91503241D01* -X135554178Y-91537563D01* -X135558000Y-91576367D01* -X135558000Y-92573633D01* -X135554178Y-92612437D01* -X135543767Y-92646759D01* -X135526861Y-92678387D01* -X135504110Y-92706110D01* -X135476387Y-92728861D01* -X135444759Y-92745767D01* -X135410437Y-92756178D01* -X135371633Y-92760000D01* -X134884367Y-92760000D01* -X134845563Y-92756178D01* -X134811241Y-92745767D01* -X134779613Y-92728861D01* -X134751890Y-92706110D01* -X134729139Y-92678387D01* -X134712233Y-92646759D01* -X134701822Y-92612437D01* -X134698000Y-92573633D01* -X134698000Y-91576367D01* -X134701822Y-91537563D01* -X134712233Y-91503241D01* -X134729139Y-91471613D01* -X134751890Y-91443890D01* -X134779613Y-91421139D01* -X134811241Y-91404233D01* -X134845563Y-91393822D01* -X134884367Y-91390000D01* -X135371633Y-91390000D01* -X135410437Y-91393822D01* -G37* -G36* -X89709329Y-91179255D02* -G01* -X89747868Y-91190945D01* -X89783388Y-91209931D01* -X89814520Y-91235480D01* -X89840069Y-91266612D01* -X89859055Y-91302132D01* -X89870745Y-91340671D01* -X89875000Y-91383868D01* -X89875000Y-92016132D01* -X89870745Y-92059329D01* -X89859055Y-92097868D01* -X89840069Y-92133388D01* -X89814520Y-92164520D01* -X89783388Y-92190069D01* -X89747868Y-92209055D01* -X89709329Y-92220745D01* -X89666132Y-92225000D01* -X89133868Y-92225000D01* -X89090671Y-92220745D01* -X89052132Y-92209055D01* -X89016612Y-92190069D01* -X88985480Y-92164520D01* -X88959931Y-92133388D01* -X88940945Y-92097868D01* -X88929255Y-92059329D01* -X88925000Y-92016132D01* -X88925000Y-91383868D01* -X88929255Y-91340671D01* -X88940945Y-91302132D01* -X88959931Y-91266612D01* -X88985480Y-91235480D01* -X89016612Y-91209931D01* -X89052132Y-91190945D01* -X89090671Y-91179255D01* -X89133868Y-91175000D01* -X89666132Y-91175000D01* -X89709329Y-91179255D01* -G37* -G36* -X91209329Y-91179255D02* -G01* -X91247868Y-91190945D01* -X91283388Y-91209931D01* -X91314520Y-91235480D01* -X91340069Y-91266612D01* -X91359055Y-91302132D01* -X91370745Y-91340671D01* -X91375000Y-91383868D01* -X91375000Y-92016132D01* -X91370745Y-92059329D01* -X91359055Y-92097868D01* -X91340069Y-92133388D01* -X91314520Y-92164520D01* -X91283388Y-92190069D01* -X91247868Y-92209055D01* -X91209329Y-92220745D01* -X91166132Y-92225000D01* -X90633868Y-92225000D01* -X90590671Y-92220745D01* -X90552132Y-92209055D01* -X90516612Y-92190069D01* -X90485480Y-92164520D01* -X90459931Y-92133388D01* -X90440945Y-92097868D01* -X90429255Y-92059329D01* -X90425000Y-92016132D01* -X90425000Y-91383868D01* -X90429255Y-91340671D01* -X90440945Y-91302132D01* -X90459931Y-91266612D01* -X90485480Y-91235480D01* -X90516612Y-91209931D01* -X90552132Y-91190945D01* -X90590671Y-91179255D01* -X90633868Y-91175000D01* -X91166132Y-91175000D01* -X91209329Y-91179255D01* -G37* -G36* -X96709329Y-91179255D02* -G01* -X96747868Y-91190945D01* -X96783388Y-91209931D01* -X96814520Y-91235480D01* -X96840069Y-91266612D01* -X96859055Y-91302132D01* -X96870745Y-91340671D01* -X96875000Y-91383868D01* -X96875000Y-92016132D01* -X96870745Y-92059329D01* -X96859055Y-92097868D01* -X96840069Y-92133388D01* -X96814520Y-92164520D01* -X96783388Y-92190069D01* -X96747868Y-92209055D01* -X96709329Y-92220745D01* -X96666132Y-92225000D01* -X96133868Y-92225000D01* -X96090671Y-92220745D01* -X96052132Y-92209055D01* -X96016612Y-92190069D01* -X95985480Y-92164520D01* -X95959931Y-92133388D01* -X95940945Y-92097868D01* -X95929255Y-92059329D01* -X95925000Y-92016132D01* -X95925000Y-91383868D01* -X95929255Y-91340671D01* -X95940945Y-91302132D01* -X95959931Y-91266612D01* -X95985480Y-91235480D01* -X96016612Y-91209931D01* -X96052132Y-91190945D01* -X96090671Y-91179255D01* -X96133868Y-91175000D01* -X96666132Y-91175000D01* -X96709329Y-91179255D01* -G37* -G36* -X98209329Y-91179255D02* -G01* -X98247868Y-91190945D01* -X98283388Y-91209931D01* -X98314520Y-91235480D01* -X98340069Y-91266612D01* -X98359055Y-91302132D01* -X98370745Y-91340671D01* -X98375000Y-91383868D01* -X98375000Y-92016132D01* -X98370745Y-92059329D01* -X98359055Y-92097868D01* -X98340069Y-92133388D01* -X98314520Y-92164520D01* -X98283388Y-92190069D01* -X98247868Y-92209055D01* -X98209329Y-92220745D01* -X98166132Y-92225000D01* -X97633868Y-92225000D01* -X97590671Y-92220745D01* -X97552132Y-92209055D01* -X97516612Y-92190069D01* -X97485480Y-92164520D01* -X97459931Y-92133388D01* -X97440945Y-92097868D01* -X97429255Y-92059329D01* -X97425000Y-92016132D01* -X97425000Y-91383868D01* -X97429255Y-91340671D01* -X97440945Y-91302132D01* -X97459931Y-91266612D01* -X97485480Y-91235480D01* -X97516612Y-91209931D01* -X97552132Y-91190945D01* -X97590671Y-91179255D01* -X97633868Y-91175000D01* -X98166132Y-91175000D01* -X98209329Y-91179255D01* -G37* -G36* -X124709329Y-90229255D02* -G01* -X124747868Y-90240945D01* -X124783388Y-90259931D01* -X124814520Y-90285480D01* -X124840069Y-90316612D01* -X124859055Y-90352132D01* -X124870745Y-90390671D01* -X124875000Y-90433868D01* -X124875000Y-91066132D01* -X124870745Y-91109329D01* -X124859055Y-91147868D01* -X124840069Y-91183388D01* -X124814520Y-91214520D01* -X124783388Y-91240069D01* -X124747868Y-91259055D01* -X124709329Y-91270745D01* -X124666132Y-91275000D01* -X124133868Y-91275000D01* -X124090671Y-91270745D01* -X124052132Y-91259055D01* -X124016612Y-91240069D01* -X123985480Y-91214520D01* -X123959931Y-91183388D01* -X123940945Y-91147868D01* -X123929255Y-91109329D01* -X123925000Y-91066132D01* -X123925000Y-90433868D01* -X123929255Y-90390671D01* -X123940945Y-90352132D01* -X123959931Y-90316612D01* -X123985480Y-90285480D01* -X124016612Y-90259931D01* -X124052132Y-90240945D01* -X124090671Y-90229255D01* -X124133868Y-90225000D01* -X124666132Y-90225000D01* -X124709329Y-90229255D01* -G37* -G36* -X123209329Y-90229255D02* -G01* -X123247868Y-90240945D01* -X123283388Y-90259931D01* -X123314520Y-90285480D01* -X123340069Y-90316612D01* -X123359055Y-90352132D01* -X123370745Y-90390671D01* -X123375000Y-90433868D01* -X123375000Y-91066132D01* -X123370745Y-91109329D01* -X123359055Y-91147868D01* -X123340069Y-91183388D01* -X123314520Y-91214520D01* -X123283388Y-91240069D01* -X123247868Y-91259055D01* -X123209329Y-91270745D01* -X123166132Y-91275000D01* -X122633868Y-91275000D01* -X122590671Y-91270745D01* -X122552132Y-91259055D01* -X122516612Y-91240069D01* -X122485480Y-91214520D01* -X122459931Y-91183388D01* -X122440945Y-91147868D01* -X122429255Y-91109329D01* -X122425000Y-91066132D01* -X122425000Y-90433868D01* -X122429255Y-90390671D01* -X122440945Y-90352132D01* -X122459931Y-90316612D01* -X122485480Y-90285480D01* -X122516612Y-90259931D01* -X122552132Y-90240945D01* -X122590671Y-90229255D01* -X122633868Y-90225000D01* -X123166132Y-90225000D01* -X123209329Y-90229255D01* -G37* -G36* -X114709329Y-90229255D02* -G01* -X114747868Y-90240945D01* -X114783388Y-90259931D01* -X114814520Y-90285480D01* -X114840069Y-90316612D01* -X114859055Y-90352132D01* -X114870745Y-90390671D01* -X114875000Y-90433868D01* -X114875000Y-91066132D01* -X114870745Y-91109329D01* -X114859055Y-91147868D01* -X114840069Y-91183388D01* -X114814520Y-91214520D01* -X114783388Y-91240069D01* -X114747868Y-91259055D01* -X114709329Y-91270745D01* -X114666132Y-91275000D01* -X114133868Y-91275000D01* -X114090671Y-91270745D01* -X114052132Y-91259055D01* -X114016612Y-91240069D01* -X113985480Y-91214520D01* -X113959931Y-91183388D01* -X113940945Y-91147868D01* -X113929255Y-91109329D01* -X113925000Y-91066132D01* -X113925000Y-90433868D01* -X113929255Y-90390671D01* -X113940945Y-90352132D01* -X113959931Y-90316612D01* -X113985480Y-90285480D01* -X114016612Y-90259931D01* -X114052132Y-90240945D01* -X114090671Y-90229255D01* -X114133868Y-90225000D01* -X114666132Y-90225000D01* -X114709329Y-90229255D01* -G37* -G36* -X113209329Y-90229255D02* -G01* -X113247868Y-90240945D01* -X113283388Y-90259931D01* -X113314520Y-90285480D01* -X113340069Y-90316612D01* -X113359055Y-90352132D01* -X113370745Y-90390671D01* -X113375000Y-90433868D01* -X113375000Y-91066132D01* -X113370745Y-91109329D01* -X113359055Y-91147868D01* -X113340069Y-91183388D01* -X113314520Y-91214520D01* -X113283388Y-91240069D01* -X113247868Y-91259055D01* -X113209329Y-91270745D01* -X113166132Y-91275000D01* -X112633868Y-91275000D01* -X112590671Y-91270745D01* -X112552132Y-91259055D01* -X112516612Y-91240069D01* -X112485480Y-91214520D01* -X112459931Y-91183388D01* -X112440945Y-91147868D01* -X112429255Y-91109329D01* -X112425000Y-91066132D01* -X112425000Y-90433868D01* -X112429255Y-90390671D01* -X112440945Y-90352132D01* -X112459931Y-90316612D01* -X112485480Y-90285480D01* -X112516612Y-90259931D01* -X112552132Y-90240945D01* -X112590671Y-90229255D01* -X112633868Y-90225000D01* -X113166132Y-90225000D01* -X113209329Y-90229255D01* -G37* -G36* -X57140933Y-83994274D02* -G01* -X57234185Y-84012823D01* -X57365939Y-84067398D01* -X57365940Y-84067399D01* -X57365943Y-84067400D01* -X57484523Y-84146633D01* -X57585367Y-84247477D01* -X57664600Y-84366057D01* -X57664601Y-84366060D01* -X57664602Y-84366061D01* -X57719177Y-84497815D01* -X57747000Y-84637693D01* -X57747000Y-84780307D01* -X57719177Y-84920185D01* -X57664602Y-85051939D01* -X57664600Y-85051943D01* -X57585367Y-85170523D01* -X57484523Y-85271367D01* -X57365943Y-85350600D01* -X57365940Y-85350601D01* -X57365939Y-85350602D01* -X57234185Y-85405177D01* -X57140933Y-85423726D01* -X57094308Y-85433000D01* -X56951692Y-85433000D01* -X56905067Y-85423726D01* -X56811815Y-85405177D01* -X56680061Y-85350602D01* -X56680060Y-85350601D01* -X56680057Y-85350600D01* -X56561477Y-85271367D01* -X56460633Y-85170523D01* -X56381400Y-85051943D01* -X56381398Y-85051939D01* -X56326823Y-84920185D01* -X56299000Y-84780307D01* -X56299000Y-84637693D01* -X56326823Y-84497815D01* -X56381398Y-84366061D01* -X56381399Y-84366060D01* -X56381400Y-84366057D01* -X56460633Y-84247477D01* -X56561477Y-84146633D01* -X56680057Y-84067400D01* -X56680060Y-84067399D01* -X56680061Y-84067398D01* -X56811815Y-84012823D01* -X56905067Y-83994274D01* -X56951692Y-83985000D01* -X57094308Y-83985000D01* -X57140933Y-83994274D01* -G37* -G36* -X59092692Y-81969429D02* -G01* -X59274679Y-82044811D01* -X59438458Y-82154245D01* -X59438460Y-82154247D01* -X59438463Y-82154249D01* -X59577751Y-82293537D01* -X59577753Y-82293540D01* -X59577755Y-82293542D01* -X59687189Y-82457321D01* -X59762571Y-82639308D01* -X59801000Y-82832509D01* -X59801000Y-83029491D01* -X59762571Y-83222692D01* -X59687189Y-83404679D01* -X59577755Y-83568458D01* -X59577753Y-83568460D01* -X59577751Y-83568463D01* -X59438463Y-83707751D01* -X59438460Y-83707753D01* -X59438458Y-83707755D01* -X59274679Y-83817189D01* -X59092692Y-83892571D01* -X58899491Y-83931000D01* -X58702509Y-83931000D01* -X58509308Y-83892571D01* -X58327321Y-83817189D01* -X58163542Y-83707755D01* -X58163540Y-83707753D01* -X58163537Y-83707751D01* -X58024249Y-83568463D01* -X58024247Y-83568460D01* -X58024245Y-83568458D01* -X57914811Y-83404679D01* -X57839429Y-83222692D01* -X57801000Y-83029491D01* -X57801000Y-82832509D01* -X57839429Y-82639308D01* -X57914811Y-82457321D01* -X58024245Y-82293542D01* -X58024247Y-82293540D01* -X58024249Y-82293537D01* -X58163537Y-82154249D01* -X58163540Y-82154247D01* -X58163542Y-82154245D01* -X58327321Y-82044811D01* -X58509308Y-81969429D01* -X58702509Y-81931000D01* -X58899491Y-81931000D01* -X59092692Y-81969429D01* -G37* -G36* -X143293692Y-81461429D02* -G01* -X143475679Y-81536811D01* -X143639458Y-81646245D01* -X143639460Y-81646247D01* -X143639463Y-81646249D01* -X143778751Y-81785537D01* -X143778753Y-81785540D01* -X143778755Y-81785542D01* -X143888189Y-81949321D01* -X143963571Y-82131308D01* -X144002000Y-82324509D01* -X144002000Y-82521491D01* -X143963571Y-82714692D01* -X143888189Y-82896679D01* -X143778755Y-83060458D01* -X143778753Y-83060460D01* -X143778751Y-83060463D01* -X143639463Y-83199751D01* -X143639460Y-83199753D01* -X143639458Y-83199755D01* -X143475679Y-83309189D01* -X143293692Y-83384571D01* -X143100491Y-83423000D01* -X142903509Y-83423000D01* -X142710308Y-83384571D01* -X142528321Y-83309189D01* -X142364542Y-83199755D01* -X142364540Y-83199753D01* -X142364537Y-83199751D01* -X142225249Y-83060463D01* -X142225247Y-83060460D01* -X142225245Y-83060458D01* -X142115811Y-82896679D01* -X142040429Y-82714692D01* -X142002000Y-82521491D01* -X142002000Y-82324509D01* -X142040429Y-82131308D01* -X142115811Y-81949321D01* -X142225245Y-81785542D01* -X142225247Y-81785540D01* -X142225249Y-81785537D01* -X142364537Y-81646249D01* -X142364540Y-81646247D01* -X142364542Y-81646245D01* -X142528321Y-81536811D01* -X142710308Y-81461429D01* -X142903509Y-81423000D01* -X143100491Y-81423000D01* -X143293692Y-81461429D01* -G37* -G36* -X140579933Y-81708274D02* -G01* -X140673185Y-81726823D01* -X140804939Y-81781398D01* -X140804940Y-81781399D01* -X140804943Y-81781400D01* -X140923523Y-81860633D01* -X141024367Y-81961477D01* -X141103600Y-82080057D01* -X141103601Y-82080060D01* -X141103602Y-82080061D01* -X141158177Y-82211815D01* -X141186000Y-82351693D01* -X141186000Y-82494307D01* -X141158177Y-82634185D01* -X141124829Y-82714692D01* -X141103600Y-82765943D01* -X141024367Y-82884523D01* -X140923523Y-82985367D01* -X140804943Y-83064600D01* -X140804940Y-83064601D01* -X140804939Y-83064602D01* -X140673185Y-83119177D01* -X140579933Y-83137726D01* -X140533308Y-83147000D01* -X140390692Y-83147000D01* -X140344067Y-83137726D01* -X140250815Y-83119177D01* -X140119061Y-83064602D01* -X140119060Y-83064601D01* -X140119057Y-83064600D01* -X140000477Y-82985367D01* -X139899633Y-82884523D01* -X139820400Y-82765943D01* -X139799171Y-82714692D01* -X139765823Y-82634185D01* -X139738000Y-82494307D01* -X139738000Y-82351693D01* -X139765823Y-82211815D01* -X139820398Y-82080061D01* -X139820399Y-82080060D01* -X139820400Y-82080057D01* -X139899633Y-81961477D01* -X140000477Y-81860633D01* -X140119057Y-81781400D01* -X140119060Y-81781399D01* -X140119061Y-81781398D01* -X140250815Y-81726823D01* -X140344067Y-81708274D01* -X140390692Y-81699000D01* -X140533308Y-81699000D01* -X140579933Y-81708274D01* -G37* -M02* diff --git a/gerber/GR8RAM-F_Paste.gtp b/gerber/GR8RAM-F_Paste.gtp deleted file mode 100644 index ba4b7d2..0000000 --- a/gerber/GR8RAM-F_Paste.gtp +++ /dev/null @@ -1,26728 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Paste,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.100000*% -G04 APERTURE END LIST* -D10* -G36* -X90261368Y-110665927D02* -G01* -X90280055Y-110668699D01* -X90298380Y-110673289D01* -X90316167Y-110679653D01* -X90333244Y-110687730D01* -X90349447Y-110697442D01* -X90364621Y-110708695D01* -X90378618Y-110721382D01* -X90391305Y-110735379D01* -X90402558Y-110750553D01* -X90412270Y-110766756D01* -X90420347Y-110783833D01* -X90426711Y-110801620D01* -X90431301Y-110819945D01* -X90434073Y-110838632D01* -X90435000Y-110857500D01* -X90435000Y-111342500D01* -X90434073Y-111361368D01* -X90431301Y-111380055D01* -X90426711Y-111398380D01* -X90420347Y-111416167D01* -X90412270Y-111433244D01* -X90402558Y-111449447D01* -X90391305Y-111464621D01* -X90378618Y-111478618D01* -X90364621Y-111491305D01* -X90349447Y-111502558D01* -X90333244Y-111512270D01* -X90316167Y-111520347D01* -X90298380Y-111526711D01* -X90280055Y-111531301D01* -X90261368Y-111534073D01* -X90242500Y-111535000D01* -X89857500Y-111535000D01* -X89838632Y-111534073D01* -X89819945Y-111531301D01* -X89801620Y-111526711D01* -X89783833Y-111520347D01* -X89766756Y-111512270D01* -X89750553Y-111502558D01* -X89735379Y-111491305D01* -X89721382Y-111478618D01* -X89708695Y-111464621D01* -X89697442Y-111449447D01* -X89687730Y-111433244D01* -X89679653Y-111416167D01* -X89673289Y-111398380D01* -X89668699Y-111380055D01* -X89665927Y-111361368D01* -X89665000Y-111342500D01* -X89665000Y-110857500D01* -X89665927Y-110838632D01* -X89668699Y-110819945D01* -X89673289Y-110801620D01* -X89679653Y-110783833D01* -X89687730Y-110766756D01* -X89697442Y-110750553D01* -X89708695Y-110735379D01* -X89721382Y-110721382D01* -X89735379Y-110708695D01* -X89750553Y-110697442D01* -X89766756Y-110687730D01* -X89783833Y-110679653D01* -X89801620Y-110673289D01* -X89819945Y-110668699D01* -X89838632Y-110665927D01* -X89857500Y-110665000D01* -X90242500Y-110665000D01* -X90261368Y-110665927D01* -G37* -G36* -X91761368Y-110665927D02* -G01* -X91780055Y-110668699D01* -X91798380Y-110673289D01* -X91816167Y-110679653D01* -X91833244Y-110687730D01* -X91849447Y-110697442D01* -X91864621Y-110708695D01* -X91878618Y-110721382D01* -X91891305Y-110735379D01* -X91902558Y-110750553D01* -X91912270Y-110766756D01* -X91920347Y-110783833D01* -X91926711Y-110801620D01* -X91931301Y-110819945D01* -X91934073Y-110838632D01* -X91935000Y-110857500D01* -X91935000Y-111342500D01* -X91934073Y-111361368D01* -X91931301Y-111380055D01* -X91926711Y-111398380D01* -X91920347Y-111416167D01* -X91912270Y-111433244D01* -X91902558Y-111449447D01* -X91891305Y-111464621D01* -X91878618Y-111478618D01* -X91864621Y-111491305D01* -X91849447Y-111502558D01* -X91833244Y-111512270D01* -X91816167Y-111520347D01* -X91798380Y-111526711D01* -X91780055Y-111531301D01* -X91761368Y-111534073D01* -X91742500Y-111535000D01* -X91357500Y-111535000D01* -X91338632Y-111534073D01* -X91319945Y-111531301D01* -X91301620Y-111526711D01* -X91283833Y-111520347D01* -X91266756Y-111512270D01* -X91250553Y-111502558D01* -X91235379Y-111491305D01* -X91221382Y-111478618D01* -X91208695Y-111464621D01* -X91197442Y-111449447D01* -X91187730Y-111433244D01* -X91179653Y-111416167D01* -X91173289Y-111398380D01* -X91168699Y-111380055D01* -X91165927Y-111361368D01* -X91165000Y-111342500D01* -X91165000Y-110857500D01* -X91165927Y-110838632D01* -X91168699Y-110819945D01* -X91173289Y-110801620D01* -X91179653Y-110783833D01* -X91187730Y-110766756D01* -X91197442Y-110750553D01* -X91208695Y-110735379D01* -X91221382Y-110721382D01* -X91235379Y-110708695D01* -X91250553Y-110697442D01* -X91266756Y-110687730D01* -X91283833Y-110679653D01* -X91301620Y-110673289D01* -X91319945Y-110668699D01* -X91338632Y-110665927D01* -X91357500Y-110665000D01* -X91742500Y-110665000D01* -X91761368Y-110665927D01* -G37* -G36* -X135322898Y-91465855D02* -G01* -X135340129Y-91468411D01* -X135357026Y-91472643D01* -X135373426Y-91478511D01* -X135389173Y-91485959D01* -X135404114Y-91494914D01* -X135418105Y-91505291D01* -X135431011Y-91516989D01* -X135442709Y-91529895D01* -X135453086Y-91543886D01* -X135462041Y-91558827D01* -X135469489Y-91574574D01* -X135475357Y-91590974D01* -X135479589Y-91607871D01* -X135482145Y-91625102D01* -X135483000Y-91642500D01* -X135483000Y-92507500D01* -X135482145Y-92524898D01* -X135479589Y-92542129D01* -X135475357Y-92559026D01* -X135469489Y-92575426D01* -X135462041Y-92591173D01* -X135453086Y-92606114D01* -X135442709Y-92620105D01* -X135431011Y-92633011D01* -X135418105Y-92644709D01* -X135404114Y-92655086D01* -X135389173Y-92664041D01* -X135373426Y-92671489D01* -X135357026Y-92677357D01* -X135340129Y-92681589D01* -X135322898Y-92684145D01* -X135305500Y-92685000D01* -X134950500Y-92685000D01* -X134933102Y-92684145D01* -X134915871Y-92681589D01* -X134898974Y-92677357D01* -X134882574Y-92671489D01* -X134866827Y-92664041D01* -X134851886Y-92655086D01* -X134837895Y-92644709D01* -X134824989Y-92633011D01* -X134813291Y-92620105D01* -X134802914Y-92606114D01* -X134793959Y-92591173D01* -X134786511Y-92575426D01* -X134780643Y-92559026D01* -X134776411Y-92542129D01* -X134773855Y-92524898D01* -X134773000Y-92507500D01* -X134773000Y-91642500D01* -X134773855Y-91625102D01* -X134776411Y-91607871D01* -X134780643Y-91590974D01* -X134786511Y-91574574D01* -X134793959Y-91558827D01* -X134802914Y-91543886D01* -X134813291Y-91529895D01* -X134824989Y-91516989D01* -X134837895Y-91505291D01* -X134851886Y-91494914D01* -X134866827Y-91485959D01* -X134882574Y-91478511D01* -X134898974Y-91472643D01* -X134915871Y-91468411D01* -X134933102Y-91465855D01* -X134950500Y-91465000D01* -X135305500Y-91465000D01* -X135322898Y-91465855D01* -G37* -G36* -X136592898Y-91465855D02* -G01* -X136610129Y-91468411D01* -X136627026Y-91472643D01* -X136643426Y-91478511D01* -X136659173Y-91485959D01* -X136674114Y-91494914D01* -X136688105Y-91505291D01* -X136701011Y-91516989D01* -X136712709Y-91529895D01* -X136723086Y-91543886D01* -X136732041Y-91558827D01* -X136739489Y-91574574D01* -X136745357Y-91590974D01* -X136749589Y-91607871D01* -X136752145Y-91625102D01* -X136753000Y-91642500D01* -X136753000Y-92507500D01* -X136752145Y-92524898D01* -X136749589Y-92542129D01* -X136745357Y-92559026D01* -X136739489Y-92575426D01* -X136732041Y-92591173D01* -X136723086Y-92606114D01* -X136712709Y-92620105D01* -X136701011Y-92633011D01* -X136688105Y-92644709D01* -X136674114Y-92655086D01* -X136659173Y-92664041D01* -X136643426Y-92671489D01* -X136627026Y-92677357D01* -X136610129Y-92681589D01* -X136592898Y-92684145D01* -X136575500Y-92685000D01* -X136220500Y-92685000D01* -X136203102Y-92684145D01* -X136185871Y-92681589D01* -X136168974Y-92677357D01* -X136152574Y-92671489D01* -X136136827Y-92664041D01* -X136121886Y-92655086D01* -X136107895Y-92644709D01* -X136094989Y-92633011D01* -X136083291Y-92620105D01* -X136072914Y-92606114D01* -X136063959Y-92591173D01* -X136056511Y-92575426D01* -X136050643Y-92559026D01* -X136046411Y-92542129D01* -X136043855Y-92524898D01* -X136043000Y-92507500D01* -X136043000Y-91642500D01* -X136043855Y-91625102D01* -X136046411Y-91607871D01* -X136050643Y-91590974D01* -X136056511Y-91574574D01* -X136063959Y-91558827D01* -X136072914Y-91543886D01* -X136083291Y-91529895D01* -X136094989Y-91516989D01* -X136107895Y-91505291D01* -X136121886Y-91494914D01* -X136136827Y-91485959D01* -X136152574Y-91478511D01* -X136168974Y-91472643D01* -X136185871Y-91468411D01* -X136203102Y-91465855D01* -X136220500Y-91465000D01* -X136575500Y-91465000D01* -X136592898Y-91465855D01* -G37* -G36* -X136592898Y-99085855D02* -G01* -X136610129Y-99088411D01* -X136627026Y-99092643D01* -X136643426Y-99098511D01* -X136659173Y-99105959D01* -X136674114Y-99114914D01* -X136688105Y-99125291D01* -X136701011Y-99136989D01* -X136712709Y-99149895D01* -X136723086Y-99163886D01* -X136732041Y-99178827D01* -X136739489Y-99194574D01* -X136745357Y-99210974D01* -X136749589Y-99227871D01* -X136752145Y-99245102D01* -X136753000Y-99262500D01* -X136753000Y-100127500D01* -X136752145Y-100144898D01* -X136749589Y-100162129D01* -X136745357Y-100179026D01* -X136739489Y-100195426D01* -X136732041Y-100211173D01* -X136723086Y-100226114D01* -X136712709Y-100240105D01* -X136701011Y-100253011D01* -X136688105Y-100264709D01* -X136674114Y-100275086D01* -X136659173Y-100284041D01* -X136643426Y-100291489D01* -X136627026Y-100297357D01* -X136610129Y-100301589D01* -X136592898Y-100304145D01* -X136575500Y-100305000D01* -X136220500Y-100305000D01* -X136203102Y-100304145D01* -X136185871Y-100301589D01* -X136168974Y-100297357D01* -X136152574Y-100291489D01* -X136136827Y-100284041D01* -X136121886Y-100275086D01* -X136107895Y-100264709D01* -X136094989Y-100253011D01* -X136083291Y-100240105D01* -X136072914Y-100226114D01* -X136063959Y-100211173D01* -X136056511Y-100195426D01* -X136050643Y-100179026D01* -X136046411Y-100162129D01* -X136043855Y-100144898D01* -X136043000Y-100127500D01* -X136043000Y-99262500D01* -X136043855Y-99245102D01* -X136046411Y-99227871D01* -X136050643Y-99210974D01* -X136056511Y-99194574D01* -X136063959Y-99178827D01* -X136072914Y-99163886D01* -X136083291Y-99149895D01* -X136094989Y-99136989D01* -X136107895Y-99125291D01* -X136121886Y-99114914D01* -X136136827Y-99105959D01* -X136152574Y-99098511D01* -X136168974Y-99092643D01* -X136185871Y-99088411D01* -X136203102Y-99085855D01* -X136220500Y-99085000D01* -X136575500Y-99085000D01* -X136592898Y-99085855D01* -G37* -G36* -X135322898Y-99085855D02* -G01* -X135340129Y-99088411D01* -X135357026Y-99092643D01* -X135373426Y-99098511D01* -X135389173Y-99105959D01* -X135404114Y-99114914D01* -X135418105Y-99125291D01* -X135431011Y-99136989D01* -X135442709Y-99149895D01* -X135453086Y-99163886D01* -X135462041Y-99178827D01* -X135469489Y-99194574D01* -X135475357Y-99210974D01* -X135479589Y-99227871D01* -X135482145Y-99245102D01* -X135483000Y-99262500D01* -X135483000Y-100127500D01* -X135482145Y-100144898D01* -X135479589Y-100162129D01* -X135475357Y-100179026D01* -X135469489Y-100195426D01* -X135462041Y-100211173D01* -X135453086Y-100226114D01* -X135442709Y-100240105D01* -X135431011Y-100253011D01* -X135418105Y-100264709D01* -X135404114Y-100275086D01* -X135389173Y-100284041D01* -X135373426Y-100291489D01* -X135357026Y-100297357D01* -X135340129Y-100301589D01* -X135322898Y-100304145D01* -X135305500Y-100305000D01* -X134950500Y-100305000D01* -X134933102Y-100304145D01* -X134915871Y-100301589D01* -X134898974Y-100297357D01* -X134882574Y-100291489D01* -X134866827Y-100284041D01* -X134851886Y-100275086D01* -X134837895Y-100264709D01* -X134824989Y-100253011D01* -X134813291Y-100240105D01* -X134802914Y-100226114D01* -X134793959Y-100211173D01* -X134786511Y-100195426D01* -X134780643Y-100179026D01* -X134776411Y-100162129D01* -X134773855Y-100144898D01* -X134773000Y-100127500D01* -X134773000Y-99262500D01* -X134773855Y-99245102D01* -X134776411Y-99227871D01* -X134780643Y-99210974D01* -X134786511Y-99194574D01* -X134793959Y-99178827D01* -X134802914Y-99163886D01* -X134813291Y-99149895D01* -X134824989Y-99136989D01* -X134837895Y-99125291D01* -X134851886Y-99114914D01* -X134866827Y-99105959D01* -X134882574Y-99098511D01* -X134898974Y-99092643D01* -X134915871Y-99088411D01* -X134933102Y-99085855D01* -X134950500Y-99085000D01* -X135305500Y-99085000D01* -X135322898Y-99085855D01* -G37* -G36* -X81239703Y-107400722D02* -G01* -X81254264Y-107402882D01* -X81268543Y-107406459D01* -X81282403Y-107411418D01* -X81295710Y-107417712D01* -X81308336Y-107425280D01* -X81320159Y-107434048D01* -X81331066Y-107443934D01* -X81340952Y-107454841D01* -X81349720Y-107466664D01* -X81357288Y-107479290D01* -X81363582Y-107492597D01* -X81368541Y-107506457D01* -X81372118Y-107520736D01* -X81374278Y-107535297D01* -X81375000Y-107550000D01* -X81375000Y-107850000D01* -X81374278Y-107864703D01* -X81372118Y-107879264D01* -X81368541Y-107893543D01* -X81363582Y-107907403D01* -X81357288Y-107920710D01* -X81349720Y-107933336D01* -X81340952Y-107945159D01* -X81331066Y-107956066D01* -X81320159Y-107965952D01* -X81308336Y-107974720D01* -X81295710Y-107982288D01* -X81282403Y-107988582D01* -X81268543Y-107993541D01* -X81254264Y-107997118D01* -X81239703Y-107999278D01* -X81225000Y-108000000D01* -X80675000Y-108000000D01* -X80660297Y-107999278D01* -X80645736Y-107997118D01* -X80631457Y-107993541D01* -X80617597Y-107988582D01* -X80604290Y-107982288D01* -X80591664Y-107974720D01* -X80579841Y-107965952D01* -X80568934Y-107956066D01* -X80559048Y-107945159D01* -X80550280Y-107933336D01* -X80542712Y-107920710D01* -X80536418Y-107907403D01* -X80531459Y-107893543D01* -X80527882Y-107879264D01* -X80525722Y-107864703D01* -X80525000Y-107850000D01* -X80525000Y-107550000D01* -X80525722Y-107535297D01* -X80527882Y-107520736D01* -X80531459Y-107506457D01* -X80536418Y-107492597D01* -X80542712Y-107479290D01* -X80550280Y-107466664D01* -X80559048Y-107454841D01* -X80568934Y-107443934D01* -X80579841Y-107434048D01* -X80591664Y-107425280D01* -X80604290Y-107417712D01* -X80617597Y-107411418D01* -X80631457Y-107406459D01* -X80645736Y-107402882D01* -X80660297Y-107400722D01* -X80675000Y-107400000D01* -X81225000Y-107400000D01* -X81239703Y-107400722D01* -G37* -G36* -X81239703Y-109000722D02* -G01* -X81254264Y-109002882D01* -X81268543Y-109006459D01* -X81282403Y-109011418D01* -X81295710Y-109017712D01* -X81308336Y-109025280D01* -X81320159Y-109034048D01* -X81331066Y-109043934D01* -X81340952Y-109054841D01* -X81349720Y-109066664D01* -X81357288Y-109079290D01* -X81363582Y-109092597D01* -X81368541Y-109106457D01* -X81372118Y-109120736D01* -X81374278Y-109135297D01* -X81375000Y-109150000D01* -X81375000Y-109450000D01* -X81374278Y-109464703D01* -X81372118Y-109479264D01* -X81368541Y-109493543D01* -X81363582Y-109507403D01* -X81357288Y-109520710D01* -X81349720Y-109533336D01* -X81340952Y-109545159D01* -X81331066Y-109556066D01* -X81320159Y-109565952D01* -X81308336Y-109574720D01* -X81295710Y-109582288D01* -X81282403Y-109588582D01* -X81268543Y-109593541D01* -X81254264Y-109597118D01* -X81239703Y-109599278D01* -X81225000Y-109600000D01* -X80675000Y-109600000D01* -X80660297Y-109599278D01* -X80645736Y-109597118D01* -X80631457Y-109593541D01* -X80617597Y-109588582D01* -X80604290Y-109582288D01* -X80591664Y-109574720D01* -X80579841Y-109565952D01* -X80568934Y-109556066D01* -X80559048Y-109545159D01* -X80550280Y-109533336D01* -X80542712Y-109520710D01* -X80536418Y-109507403D01* -X80531459Y-109493543D01* -X80527882Y-109479264D01* -X80525722Y-109464703D01* -X80525000Y-109450000D01* -X80525000Y-109150000D01* -X80525722Y-109135297D01* -X80527882Y-109120736D01* -X80531459Y-109106457D01* -X80536418Y-109092597D01* -X80542712Y-109079290D01* -X80550280Y-109066664D01* -X80559048Y-109054841D01* -X80568934Y-109043934D01* -X80579841Y-109034048D01* -X80591664Y-109025280D01* -X80604290Y-109017712D01* -X80617597Y-109011418D01* -X80631457Y-109006459D01* -X80645736Y-109002882D01* -X80660297Y-109000722D01* -X80675000Y-109000000D01* -X81225000Y-109000000D01* -X81239703Y-109000722D01* -G37* -G36* -X81204428Y-103536782D02* -G01* -X81220202Y-103539122D01* -X81235671Y-103542997D01* -X81250686Y-103548370D01* -X81265102Y-103555188D01* -X81278780Y-103563386D01* -X81291589Y-103572886D01* -X81303405Y-103583595D01* -X81314114Y-103595411D01* -X81323614Y-103608220D01* -X81331812Y-103621898D01* -X81338630Y-103636314D01* -X81344003Y-103651329D01* -X81347878Y-103666798D01* -X81350218Y-103682572D01* -X81351000Y-103698500D01* -X81351000Y-105023500D01* -X81350218Y-105039428D01* -X81347878Y-105055202D01* -X81344003Y-105070671D01* -X81338630Y-105085686D01* -X81331812Y-105100102D01* -X81323614Y-105113780D01* -X81314114Y-105126589D01* -X81303405Y-105138405D01* -X81291589Y-105149114D01* -X81278780Y-105158614D01* -X81265102Y-105166812D01* -X81250686Y-105173630D01* -X81235671Y-105179003D01* -X81220202Y-105182878D01* -X81204428Y-105185218D01* -X81188500Y-105186000D01* -X80863500Y-105186000D01* -X80847572Y-105185218D01* -X80831798Y-105182878D01* -X80816329Y-105179003D01* -X80801314Y-105173630D01* -X80786898Y-105166812D01* -X80773220Y-105158614D01* -X80760411Y-105149114D01* -X80748595Y-105138405D01* -X80737886Y-105126589D01* -X80728386Y-105113780D01* -X80720188Y-105100102D01* -X80713370Y-105085686D01* -X80707997Y-105070671D01* -X80704122Y-105055202D01* -X80701782Y-105039428D01* -X80701000Y-105023500D01* -X80701000Y-103698500D01* -X80701782Y-103682572D01* -X80704122Y-103666798D01* -X80707997Y-103651329D01* -X80713370Y-103636314D01* -X80720188Y-103621898D01* -X80728386Y-103608220D01* -X80737886Y-103595411D01* -X80748595Y-103583595D01* -X80760411Y-103572886D01* -X80773220Y-103563386D01* -X80786898Y-103555188D01* -X80801314Y-103548370D01* -X80816329Y-103542997D01* -X80831798Y-103539122D01* -X80847572Y-103536782D01* -X80863500Y-103536000D01* -X81188500Y-103536000D01* -X81204428Y-103536782D01* -G37* -G36* -X79934428Y-103536782D02* -G01* -X79950202Y-103539122D01* -X79965671Y-103542997D01* -X79980686Y-103548370D01* -X79995102Y-103555188D01* -X80008780Y-103563386D01* -X80021589Y-103572886D01* -X80033405Y-103583595D01* -X80044114Y-103595411D01* -X80053614Y-103608220D01* -X80061812Y-103621898D01* -X80068630Y-103636314D01* -X80074003Y-103651329D01* -X80077878Y-103666798D01* -X80080218Y-103682572D01* -X80081000Y-103698500D01* -X80081000Y-105023500D01* -X80080218Y-105039428D01* -X80077878Y-105055202D01* -X80074003Y-105070671D01* -X80068630Y-105085686D01* -X80061812Y-105100102D01* -X80053614Y-105113780D01* -X80044114Y-105126589D01* -X80033405Y-105138405D01* -X80021589Y-105149114D01* -X80008780Y-105158614D01* -X79995102Y-105166812D01* -X79980686Y-105173630D01* -X79965671Y-105179003D01* -X79950202Y-105182878D01* -X79934428Y-105185218D01* -X79918500Y-105186000D01* -X79593500Y-105186000D01* -X79577572Y-105185218D01* -X79561798Y-105182878D01* -X79546329Y-105179003D01* -X79531314Y-105173630D01* -X79516898Y-105166812D01* -X79503220Y-105158614D01* -X79490411Y-105149114D01* -X79478595Y-105138405D01* -X79467886Y-105126589D01* -X79458386Y-105113780D01* -X79450188Y-105100102D01* -X79443370Y-105085686D01* -X79437997Y-105070671D01* -X79434122Y-105055202D01* -X79431782Y-105039428D01* -X79431000Y-105023500D01* -X79431000Y-103698500D01* -X79431782Y-103682572D01* -X79434122Y-103666798D01* -X79437997Y-103651329D01* -X79443370Y-103636314D01* -X79450188Y-103621898D01* -X79458386Y-103608220D01* -X79467886Y-103595411D01* -X79478595Y-103583595D01* -X79490411Y-103572886D01* -X79503220Y-103563386D01* -X79516898Y-103555188D01* -X79531314Y-103548370D01* -X79546329Y-103542997D01* -X79561798Y-103539122D01* -X79577572Y-103536782D01* -X79593500Y-103536000D01* -X79918500Y-103536000D01* -X79934428Y-103536782D01* -G37* -G36* -X78664428Y-103536782D02* -G01* -X78680202Y-103539122D01* -X78695671Y-103542997D01* -X78710686Y-103548370D01* -X78725102Y-103555188D01* -X78738780Y-103563386D01* -X78751589Y-103572886D01* -X78763405Y-103583595D01* -X78774114Y-103595411D01* -X78783614Y-103608220D01* -X78791812Y-103621898D01* -X78798630Y-103636314D01* -X78804003Y-103651329D01* -X78807878Y-103666798D01* -X78810218Y-103682572D01* -X78811000Y-103698500D01* -X78811000Y-105023500D01* -X78810218Y-105039428D01* -X78807878Y-105055202D01* -X78804003Y-105070671D01* -X78798630Y-105085686D01* -X78791812Y-105100102D01* -X78783614Y-105113780D01* -X78774114Y-105126589D01* -X78763405Y-105138405D01* -X78751589Y-105149114D01* -X78738780Y-105158614D01* -X78725102Y-105166812D01* -X78710686Y-105173630D01* -X78695671Y-105179003D01* -X78680202Y-105182878D01* -X78664428Y-105185218D01* -X78648500Y-105186000D01* -X78323500Y-105186000D01* -X78307572Y-105185218D01* -X78291798Y-105182878D01* -X78276329Y-105179003D01* -X78261314Y-105173630D01* -X78246898Y-105166812D01* -X78233220Y-105158614D01* -X78220411Y-105149114D01* -X78208595Y-105138405D01* -X78197886Y-105126589D01* -X78188386Y-105113780D01* -X78180188Y-105100102D01* -X78173370Y-105085686D01* -X78167997Y-105070671D01* -X78164122Y-105055202D01* -X78161782Y-105039428D01* -X78161000Y-105023500D01* -X78161000Y-103698500D01* -X78161782Y-103682572D01* -X78164122Y-103666798D01* -X78167997Y-103651329D01* -X78173370Y-103636314D01* -X78180188Y-103621898D01* -X78188386Y-103608220D01* -X78197886Y-103595411D01* -X78208595Y-103583595D01* -X78220411Y-103572886D01* -X78233220Y-103563386D01* -X78246898Y-103555188D01* -X78261314Y-103548370D01* -X78276329Y-103542997D01* -X78291798Y-103539122D01* -X78307572Y-103536782D01* -X78323500Y-103536000D01* -X78648500Y-103536000D01* -X78664428Y-103536782D01* -G37* -G36* -X77394428Y-103536782D02* -G01* -X77410202Y-103539122D01* -X77425671Y-103542997D01* -X77440686Y-103548370D01* -X77455102Y-103555188D01* -X77468780Y-103563386D01* -X77481589Y-103572886D01* -X77493405Y-103583595D01* -X77504114Y-103595411D01* -X77513614Y-103608220D01* -X77521812Y-103621898D01* -X77528630Y-103636314D01* -X77534003Y-103651329D01* -X77537878Y-103666798D01* -X77540218Y-103682572D01* -X77541000Y-103698500D01* -X77541000Y-105023500D01* -X77540218Y-105039428D01* -X77537878Y-105055202D01* -X77534003Y-105070671D01* -X77528630Y-105085686D01* -X77521812Y-105100102D01* -X77513614Y-105113780D01* -X77504114Y-105126589D01* -X77493405Y-105138405D01* -X77481589Y-105149114D01* -X77468780Y-105158614D01* -X77455102Y-105166812D01* -X77440686Y-105173630D01* -X77425671Y-105179003D01* -X77410202Y-105182878D01* -X77394428Y-105185218D01* -X77378500Y-105186000D01* -X77053500Y-105186000D01* -X77037572Y-105185218D01* -X77021798Y-105182878D01* -X77006329Y-105179003D01* -X76991314Y-105173630D01* -X76976898Y-105166812D01* -X76963220Y-105158614D01* -X76950411Y-105149114D01* -X76938595Y-105138405D01* -X76927886Y-105126589D01* -X76918386Y-105113780D01* -X76910188Y-105100102D01* -X76903370Y-105085686D01* -X76897997Y-105070671D01* -X76894122Y-105055202D01* -X76891782Y-105039428D01* -X76891000Y-105023500D01* -X76891000Y-103698500D01* -X76891782Y-103682572D01* -X76894122Y-103666798D01* -X76897997Y-103651329D01* -X76903370Y-103636314D01* -X76910188Y-103621898D01* -X76918386Y-103608220D01* -X76927886Y-103595411D01* -X76938595Y-103583595D01* -X76950411Y-103572886D01* -X76963220Y-103563386D01* -X76976898Y-103555188D01* -X76991314Y-103548370D01* -X77006329Y-103542997D01* -X77021798Y-103539122D01* -X77037572Y-103536782D01* -X77053500Y-103536000D01* -X77378500Y-103536000D01* -X77394428Y-103536782D01* -G37* -G36* -X77394428Y-96236782D02* -G01* -X77410202Y-96239122D01* -X77425671Y-96242997D01* -X77440686Y-96248370D01* -X77455102Y-96255188D01* -X77468780Y-96263386D01* -X77481589Y-96272886D01* -X77493405Y-96283595D01* -X77504114Y-96295411D01* -X77513614Y-96308220D01* -X77521812Y-96321898D01* -X77528630Y-96336314D01* -X77534003Y-96351329D01* -X77537878Y-96366798D01* -X77540218Y-96382572D01* -X77541000Y-96398500D01* -X77541000Y-97723500D01* -X77540218Y-97739428D01* -X77537878Y-97755202D01* -X77534003Y-97770671D01* -X77528630Y-97785686D01* -X77521812Y-97800102D01* -X77513614Y-97813780D01* -X77504114Y-97826589D01* -X77493405Y-97838405D01* -X77481589Y-97849114D01* -X77468780Y-97858614D01* -X77455102Y-97866812D01* -X77440686Y-97873630D01* -X77425671Y-97879003D01* -X77410202Y-97882878D01* -X77394428Y-97885218D01* -X77378500Y-97886000D01* -X77053500Y-97886000D01* -X77037572Y-97885218D01* -X77021798Y-97882878D01* -X77006329Y-97879003D01* -X76991314Y-97873630D01* -X76976898Y-97866812D01* -X76963220Y-97858614D01* -X76950411Y-97849114D01* -X76938595Y-97838405D01* -X76927886Y-97826589D01* -X76918386Y-97813780D01* -X76910188Y-97800102D01* -X76903370Y-97785686D01* -X76897997Y-97770671D01* -X76894122Y-97755202D01* -X76891782Y-97739428D01* -X76891000Y-97723500D01* -X76891000Y-96398500D01* -X76891782Y-96382572D01* -X76894122Y-96366798D01* -X76897997Y-96351329D01* -X76903370Y-96336314D01* -X76910188Y-96321898D01* -X76918386Y-96308220D01* -X76927886Y-96295411D01* -X76938595Y-96283595D01* -X76950411Y-96272886D01* -X76963220Y-96263386D01* -X76976898Y-96255188D01* -X76991314Y-96248370D01* -X77006329Y-96242997D01* -X77021798Y-96239122D01* -X77037572Y-96236782D01* -X77053500Y-96236000D01* -X77378500Y-96236000D01* -X77394428Y-96236782D01* -G37* -G36* -X78664428Y-96236782D02* -G01* -X78680202Y-96239122D01* -X78695671Y-96242997D01* -X78710686Y-96248370D01* -X78725102Y-96255188D01* -X78738780Y-96263386D01* -X78751589Y-96272886D01* -X78763405Y-96283595D01* -X78774114Y-96295411D01* -X78783614Y-96308220D01* -X78791812Y-96321898D01* -X78798630Y-96336314D01* -X78804003Y-96351329D01* -X78807878Y-96366798D01* -X78810218Y-96382572D01* -X78811000Y-96398500D01* -X78811000Y-97723500D01* -X78810218Y-97739428D01* -X78807878Y-97755202D01* -X78804003Y-97770671D01* -X78798630Y-97785686D01* -X78791812Y-97800102D01* -X78783614Y-97813780D01* -X78774114Y-97826589D01* -X78763405Y-97838405D01* -X78751589Y-97849114D01* -X78738780Y-97858614D01* -X78725102Y-97866812D01* -X78710686Y-97873630D01* -X78695671Y-97879003D01* -X78680202Y-97882878D01* -X78664428Y-97885218D01* -X78648500Y-97886000D01* -X78323500Y-97886000D01* -X78307572Y-97885218D01* -X78291798Y-97882878D01* -X78276329Y-97879003D01* -X78261314Y-97873630D01* -X78246898Y-97866812D01* -X78233220Y-97858614D01* -X78220411Y-97849114D01* -X78208595Y-97838405D01* -X78197886Y-97826589D01* -X78188386Y-97813780D01* -X78180188Y-97800102D01* -X78173370Y-97785686D01* -X78167997Y-97770671D01* -X78164122Y-97755202D01* -X78161782Y-97739428D01* -X78161000Y-97723500D01* -X78161000Y-96398500D01* -X78161782Y-96382572D01* -X78164122Y-96366798D01* -X78167997Y-96351329D01* -X78173370Y-96336314D01* -X78180188Y-96321898D01* -X78188386Y-96308220D01* -X78197886Y-96295411D01* -X78208595Y-96283595D01* -X78220411Y-96272886D01* -X78233220Y-96263386D01* -X78246898Y-96255188D01* -X78261314Y-96248370D01* -X78276329Y-96242997D01* -X78291798Y-96239122D01* -X78307572Y-96236782D01* -X78323500Y-96236000D01* -X78648500Y-96236000D01* -X78664428Y-96236782D01* -G37* -G36* -X79934428Y-96236782D02* -G01* -X79950202Y-96239122D01* -X79965671Y-96242997D01* -X79980686Y-96248370D01* -X79995102Y-96255188D01* -X80008780Y-96263386D01* -X80021589Y-96272886D01* -X80033405Y-96283595D01* -X80044114Y-96295411D01* -X80053614Y-96308220D01* -X80061812Y-96321898D01* -X80068630Y-96336314D01* -X80074003Y-96351329D01* -X80077878Y-96366798D01* -X80080218Y-96382572D01* -X80081000Y-96398500D01* -X80081000Y-97723500D01* -X80080218Y-97739428D01* -X80077878Y-97755202D01* -X80074003Y-97770671D01* -X80068630Y-97785686D01* -X80061812Y-97800102D01* -X80053614Y-97813780D01* -X80044114Y-97826589D01* -X80033405Y-97838405D01* -X80021589Y-97849114D01* -X80008780Y-97858614D01* -X79995102Y-97866812D01* -X79980686Y-97873630D01* -X79965671Y-97879003D01* -X79950202Y-97882878D01* -X79934428Y-97885218D01* -X79918500Y-97886000D01* -X79593500Y-97886000D01* -X79577572Y-97885218D01* -X79561798Y-97882878D01* -X79546329Y-97879003D01* -X79531314Y-97873630D01* -X79516898Y-97866812D01* -X79503220Y-97858614D01* -X79490411Y-97849114D01* -X79478595Y-97838405D01* -X79467886Y-97826589D01* -X79458386Y-97813780D01* -X79450188Y-97800102D01* -X79443370Y-97785686D01* -X79437997Y-97770671D01* -X79434122Y-97755202D01* -X79431782Y-97739428D01* -X79431000Y-97723500D01* -X79431000Y-96398500D01* -X79431782Y-96382572D01* -X79434122Y-96366798D01* -X79437997Y-96351329D01* -X79443370Y-96336314D01* -X79450188Y-96321898D01* -X79458386Y-96308220D01* -X79467886Y-96295411D01* -X79478595Y-96283595D01* -X79490411Y-96272886D01* -X79503220Y-96263386D01* -X79516898Y-96255188D01* -X79531314Y-96248370D01* -X79546329Y-96242997D01* -X79561798Y-96239122D01* -X79577572Y-96236782D01* -X79593500Y-96236000D01* -X79918500Y-96236000D01* -X79934428Y-96236782D01* -G37* -G36* -X81204428Y-96236782D02* -G01* -X81220202Y-96239122D01* -X81235671Y-96242997D01* -X81250686Y-96248370D01* -X81265102Y-96255188D01* -X81278780Y-96263386D01* -X81291589Y-96272886D01* -X81303405Y-96283595D01* -X81314114Y-96295411D01* -X81323614Y-96308220D01* -X81331812Y-96321898D01* -X81338630Y-96336314D01* -X81344003Y-96351329D01* -X81347878Y-96366798D01* -X81350218Y-96382572D01* -X81351000Y-96398500D01* -X81351000Y-97723500D01* -X81350218Y-97739428D01* -X81347878Y-97755202D01* -X81344003Y-97770671D01* -X81338630Y-97785686D01* -X81331812Y-97800102D01* -X81323614Y-97813780D01* -X81314114Y-97826589D01* -X81303405Y-97838405D01* -X81291589Y-97849114D01* -X81278780Y-97858614D01* -X81265102Y-97866812D01* -X81250686Y-97873630D01* -X81235671Y-97879003D01* -X81220202Y-97882878D01* -X81204428Y-97885218D01* -X81188500Y-97886000D01* -X80863500Y-97886000D01* -X80847572Y-97885218D01* -X80831798Y-97882878D01* -X80816329Y-97879003D01* -X80801314Y-97873630D01* -X80786898Y-97866812D01* -X80773220Y-97858614D01* -X80760411Y-97849114D01* -X80748595Y-97838405D01* -X80737886Y-97826589D01* -X80728386Y-97813780D01* -X80720188Y-97800102D01* -X80713370Y-97785686D01* -X80707997Y-97770671D01* -X80704122Y-97755202D01* -X80701782Y-97739428D01* -X80701000Y-97723500D01* -X80701000Y-96398500D01* -X80701782Y-96382572D01* -X80704122Y-96366798D01* -X80707997Y-96351329D01* -X80713370Y-96336314D01* -X80720188Y-96321898D01* -X80728386Y-96308220D01* -X80737886Y-96295411D01* -X80748595Y-96283595D01* -X80760411Y-96272886D01* -X80773220Y-96263386D01* -X80786898Y-96255188D01* -X80801314Y-96248370D01* -X80816329Y-96242997D01* -X80831798Y-96239122D01* -X80847572Y-96236782D01* -X80863500Y-96236000D01* -X81188500Y-96236000D01* -X81204428Y-96236782D01* -G37* -G36* -X68815586Y-95485397D02* -G01* -X68823595Y-95486585D01* -X68831448Y-95488552D01* -X68839071Y-95491280D01* -X68846390Y-95494741D01* -X68853335Y-95498904D01* -X68859837Y-95503727D01* -X68865836Y-95509164D01* -X68871273Y-95515163D01* -X68876096Y-95521665D01* -X68880259Y-95528610D01* -X68883720Y-95535929D01* -X68886448Y-95543552D01* -X68888415Y-95551405D01* -X68889603Y-95559414D01* -X68890000Y-95567500D01* -X68890000Y-95732500D01* -X68889603Y-95740586D01* -X68888415Y-95748595D01* -X68886448Y-95756448D01* -X68883720Y-95764071D01* -X68880259Y-95771390D01* -X68876096Y-95778335D01* -X68871273Y-95784837D01* -X68865836Y-95790836D01* -X68859837Y-95796273D01* -X68853335Y-95801096D01* -X68846390Y-95805259D01* -X68839071Y-95808720D01* -X68831448Y-95811448D01* -X68823595Y-95813415D01* -X68815586Y-95814603D01* -X68807500Y-95815000D01* -X68392500Y-95815000D01* -X68384414Y-95814603D01* -X68376405Y-95813415D01* -X68368552Y-95811448D01* -X68360929Y-95808720D01* -X68353610Y-95805259D01* -X68346665Y-95801096D01* -X68340163Y-95796273D01* -X68334164Y-95790836D01* -X68328727Y-95784837D01* -X68323904Y-95778335D01* -X68319741Y-95771390D01* -X68316280Y-95764071D01* -X68313552Y-95756448D01* -X68311585Y-95748595D01* -X68310397Y-95740586D01* -X68310000Y-95732500D01* -X68310000Y-95567500D01* -X68310397Y-95559414D01* -X68311585Y-95551405D01* -X68313552Y-95543552D01* -X68316280Y-95535929D01* -X68319741Y-95528610D01* -X68323904Y-95521665D01* -X68328727Y-95515163D01* -X68334164Y-95509164D01* -X68340163Y-95503727D01* -X68346665Y-95498904D01* -X68353610Y-95494741D01* -X68360929Y-95491280D01* -X68368552Y-95488552D01* -X68376405Y-95486585D01* -X68384414Y-95485397D01* -X68392500Y-95485000D01* -X68807500Y-95485000D01* -X68815586Y-95485397D01* -G37* -G36* -X68840881Y-96080289D02* -G01* -X68846705Y-96081153D01* -X68852417Y-96082584D01* -X68857961Y-96084567D01* -X68863284Y-96087085D01* -X68868334Y-96090112D01* -X68873064Y-96093619D01* -X68877426Y-96097574D01* -X68881381Y-96101936D01* -X68884888Y-96106666D01* -X68887915Y-96111716D01* -X68890433Y-96117039D01* -X68892416Y-96122583D01* -X68893847Y-96128295D01* -X68894711Y-96134119D01* -X68895000Y-96140000D01* -X68895000Y-96260000D01* -X68894711Y-96265881D01* -X68893847Y-96271705D01* -X68892416Y-96277417D01* -X68890433Y-96282961D01* -X68887915Y-96288284D01* -X68884888Y-96293334D01* -X68881381Y-96298064D01* -X68877426Y-96302426D01* -X68873064Y-96306381D01* -X68868334Y-96309888D01* -X68863284Y-96312915D01* -X68857961Y-96315433D01* -X68852417Y-96317416D01* -X68846705Y-96318847D01* -X68840881Y-96319711D01* -X68835000Y-96320000D01* -X68365000Y-96320000D01* -X68359119Y-96319711D01* -X68353295Y-96318847D01* -X68347583Y-96317416D01* -X68342039Y-96315433D01* -X68336716Y-96312915D01* -X68331666Y-96309888D01* -X68326936Y-96306381D01* -X68322574Y-96302426D01* -X68318619Y-96298064D01* -X68315112Y-96293334D01* -X68312085Y-96288284D01* -X68309567Y-96282961D01* -X68307584Y-96277417D01* -X68306153Y-96271705D01* -X68305289Y-96265881D01* -X68305000Y-96260000D01* -X68305000Y-96140000D01* -X68305289Y-96134119D01* -X68306153Y-96128295D01* -X68307584Y-96122583D01* -X68309567Y-96117039D01* -X68312085Y-96111716D01* -X68315112Y-96106666D01* -X68318619Y-96101936D01* -X68322574Y-96097574D01* -X68326936Y-96093619D01* -X68331666Y-96090112D01* -X68336716Y-96087085D01* -X68342039Y-96084567D01* -X68347583Y-96082584D01* -X68353295Y-96081153D01* -X68359119Y-96080289D01* -X68365000Y-96080000D01* -X68835000Y-96080000D01* -X68840881Y-96080289D01* -G37* -G36* -X68815586Y-97085397D02* -G01* -X68823595Y-97086585D01* -X68831448Y-97088552D01* -X68839071Y-97091280D01* -X68846390Y-97094741D01* -X68853335Y-97098904D01* -X68859837Y-97103727D01* -X68865836Y-97109164D01* -X68871273Y-97115163D01* -X68876096Y-97121665D01* -X68880259Y-97128610D01* -X68883720Y-97135929D01* -X68886448Y-97143552D01* -X68888415Y-97151405D01* -X68889603Y-97159414D01* -X68890000Y-97167500D01* -X68890000Y-97332500D01* -X68889603Y-97340586D01* -X68888415Y-97348595D01* -X68886448Y-97356448D01* -X68883720Y-97364071D01* -X68880259Y-97371390D01* -X68876096Y-97378335D01* -X68871273Y-97384837D01* -X68865836Y-97390836D01* -X68859837Y-97396273D01* -X68853335Y-97401096D01* -X68846390Y-97405259D01* -X68839071Y-97408720D01* -X68831448Y-97411448D01* -X68823595Y-97413415D01* -X68815586Y-97414603D01* -X68807500Y-97415000D01* -X68392500Y-97415000D01* -X68384414Y-97414603D01* -X68376405Y-97413415D01* -X68368552Y-97411448D01* -X68360929Y-97408720D01* -X68353610Y-97405259D01* -X68346665Y-97401096D01* -X68340163Y-97396273D01* -X68334164Y-97390836D01* -X68328727Y-97384837D01* -X68323904Y-97378335D01* -X68319741Y-97371390D01* -X68316280Y-97364071D01* -X68313552Y-97356448D01* -X68311585Y-97348595D01* -X68310397Y-97340586D01* -X68310000Y-97332500D01* -X68310000Y-97167500D01* -X68310397Y-97159414D01* -X68311585Y-97151405D01* -X68313552Y-97143552D01* -X68316280Y-97135929D01* -X68319741Y-97128610D01* -X68323904Y-97121665D01* -X68328727Y-97115163D01* -X68334164Y-97109164D01* -X68340163Y-97103727D01* -X68346665Y-97098904D01* -X68353610Y-97094741D01* -X68360929Y-97091280D01* -X68368552Y-97088552D01* -X68376405Y-97086585D01* -X68384414Y-97085397D01* -X68392500Y-97085000D01* -X68807500Y-97085000D01* -X68815586Y-97085397D01* -G37* -G36* -X68840881Y-96580289D02* -G01* -X68846705Y-96581153D01* -X68852417Y-96582584D01* -X68857961Y-96584567D01* -X68863284Y-96587085D01* -X68868334Y-96590112D01* -X68873064Y-96593619D01* -X68877426Y-96597574D01* -X68881381Y-96601936D01* -X68884888Y-96606666D01* -X68887915Y-96611716D01* -X68890433Y-96617039D01* -X68892416Y-96622583D01* -X68893847Y-96628295D01* -X68894711Y-96634119D01* -X68895000Y-96640000D01* -X68895000Y-96760000D01* -X68894711Y-96765881D01* -X68893847Y-96771705D01* -X68892416Y-96777417D01* -X68890433Y-96782961D01* -X68887915Y-96788284D01* -X68884888Y-96793334D01* -X68881381Y-96798064D01* -X68877426Y-96802426D01* -X68873064Y-96806381D01* -X68868334Y-96809888D01* -X68863284Y-96812915D01* -X68857961Y-96815433D01* -X68852417Y-96817416D01* -X68846705Y-96818847D01* -X68840881Y-96819711D01* -X68835000Y-96820000D01* -X68365000Y-96820000D01* -X68359119Y-96819711D01* -X68353295Y-96818847D01* -X68347583Y-96817416D01* -X68342039Y-96815433D01* -X68336716Y-96812915D01* -X68331666Y-96809888D01* -X68326936Y-96806381D01* -X68322574Y-96802426D01* -X68318619Y-96798064D01* -X68315112Y-96793334D01* -X68312085Y-96788284D01* -X68309567Y-96782961D01* -X68307584Y-96777417D01* -X68306153Y-96771705D01* -X68305289Y-96765881D01* -X68305000Y-96760000D01* -X68305000Y-96640000D01* -X68305289Y-96634119D01* -X68306153Y-96628295D01* -X68307584Y-96622583D01* -X68309567Y-96617039D01* -X68312085Y-96611716D01* -X68315112Y-96606666D01* -X68318619Y-96601936D01* -X68322574Y-96597574D01* -X68326936Y-96593619D01* -X68331666Y-96590112D01* -X68336716Y-96587085D01* -X68342039Y-96584567D01* -X68347583Y-96582584D01* -X68353295Y-96581153D01* -X68359119Y-96580289D01* -X68365000Y-96580000D01* -X68835000Y-96580000D01* -X68840881Y-96580289D01* -G37* -G36* -X69815586Y-95485397D02* -G01* -X69823595Y-95486585D01* -X69831448Y-95488552D01* -X69839071Y-95491280D01* -X69846390Y-95494741D01* -X69853335Y-95498904D01* -X69859837Y-95503727D01* -X69865836Y-95509164D01* -X69871273Y-95515163D01* -X69876096Y-95521665D01* -X69880259Y-95528610D01* -X69883720Y-95535929D01* -X69886448Y-95543552D01* -X69888415Y-95551405D01* -X69889603Y-95559414D01* -X69890000Y-95567500D01* -X69890000Y-95732500D01* -X69889603Y-95740586D01* -X69888415Y-95748595D01* -X69886448Y-95756448D01* -X69883720Y-95764071D01* -X69880259Y-95771390D01* -X69876096Y-95778335D01* -X69871273Y-95784837D01* -X69865836Y-95790836D01* -X69859837Y-95796273D01* -X69853335Y-95801096D01* -X69846390Y-95805259D01* -X69839071Y-95808720D01* -X69831448Y-95811448D01* -X69823595Y-95813415D01* -X69815586Y-95814603D01* -X69807500Y-95815000D01* -X69392500Y-95815000D01* -X69384414Y-95814603D01* -X69376405Y-95813415D01* -X69368552Y-95811448D01* -X69360929Y-95808720D01* -X69353610Y-95805259D01* -X69346665Y-95801096D01* -X69340163Y-95796273D01* -X69334164Y-95790836D01* -X69328727Y-95784837D01* -X69323904Y-95778335D01* -X69319741Y-95771390D01* -X69316280Y-95764071D01* -X69313552Y-95756448D01* -X69311585Y-95748595D01* -X69310397Y-95740586D01* -X69310000Y-95732500D01* -X69310000Y-95567500D01* -X69310397Y-95559414D01* -X69311585Y-95551405D01* -X69313552Y-95543552D01* -X69316280Y-95535929D01* -X69319741Y-95528610D01* -X69323904Y-95521665D01* -X69328727Y-95515163D01* -X69334164Y-95509164D01* -X69340163Y-95503727D01* -X69346665Y-95498904D01* -X69353610Y-95494741D01* -X69360929Y-95491280D01* -X69368552Y-95488552D01* -X69376405Y-95486585D01* -X69384414Y-95485397D01* -X69392500Y-95485000D01* -X69807500Y-95485000D01* -X69815586Y-95485397D01* -G37* -G36* -X69840881Y-96580289D02* -G01* -X69846705Y-96581153D01* -X69852417Y-96582584D01* -X69857961Y-96584567D01* -X69863284Y-96587085D01* -X69868334Y-96590112D01* -X69873064Y-96593619D01* -X69877426Y-96597574D01* -X69881381Y-96601936D01* -X69884888Y-96606666D01* -X69887915Y-96611716D01* -X69890433Y-96617039D01* -X69892416Y-96622583D01* -X69893847Y-96628295D01* -X69894711Y-96634119D01* -X69895000Y-96640000D01* -X69895000Y-96760000D01* -X69894711Y-96765881D01* -X69893847Y-96771705D01* -X69892416Y-96777417D01* -X69890433Y-96782961D01* -X69887915Y-96788284D01* -X69884888Y-96793334D01* -X69881381Y-96798064D01* -X69877426Y-96802426D01* -X69873064Y-96806381D01* -X69868334Y-96809888D01* -X69863284Y-96812915D01* -X69857961Y-96815433D01* -X69852417Y-96817416D01* -X69846705Y-96818847D01* -X69840881Y-96819711D01* -X69835000Y-96820000D01* -X69365000Y-96820000D01* -X69359119Y-96819711D01* -X69353295Y-96818847D01* -X69347583Y-96817416D01* -X69342039Y-96815433D01* -X69336716Y-96812915D01* -X69331666Y-96809888D01* -X69326936Y-96806381D01* -X69322574Y-96802426D01* -X69318619Y-96798064D01* -X69315112Y-96793334D01* -X69312085Y-96788284D01* -X69309567Y-96782961D01* -X69307584Y-96777417D01* -X69306153Y-96771705D01* -X69305289Y-96765881D01* -X69305000Y-96760000D01* -X69305000Y-96640000D01* -X69305289Y-96634119D01* -X69306153Y-96628295D01* -X69307584Y-96622583D01* -X69309567Y-96617039D01* -X69312085Y-96611716D01* -X69315112Y-96606666D01* -X69318619Y-96601936D01* -X69322574Y-96597574D01* -X69326936Y-96593619D01* -X69331666Y-96590112D01* -X69336716Y-96587085D01* -X69342039Y-96584567D01* -X69347583Y-96582584D01* -X69353295Y-96581153D01* -X69359119Y-96580289D01* -X69365000Y-96580000D01* -X69835000Y-96580000D01* -X69840881Y-96580289D01* -G37* -G36* -X69840881Y-96080289D02* -G01* -X69846705Y-96081153D01* -X69852417Y-96082584D01* -X69857961Y-96084567D01* -X69863284Y-96087085D01* -X69868334Y-96090112D01* -X69873064Y-96093619D01* -X69877426Y-96097574D01* -X69881381Y-96101936D01* -X69884888Y-96106666D01* -X69887915Y-96111716D01* -X69890433Y-96117039D01* -X69892416Y-96122583D01* -X69893847Y-96128295D01* -X69894711Y-96134119D01* -X69895000Y-96140000D01* -X69895000Y-96260000D01* -X69894711Y-96265881D01* -X69893847Y-96271705D01* -X69892416Y-96277417D01* -X69890433Y-96282961D01* -X69887915Y-96288284D01* -X69884888Y-96293334D01* -X69881381Y-96298064D01* -X69877426Y-96302426D01* -X69873064Y-96306381D01* -X69868334Y-96309888D01* -X69863284Y-96312915D01* -X69857961Y-96315433D01* -X69852417Y-96317416D01* -X69846705Y-96318847D01* -X69840881Y-96319711D01* -X69835000Y-96320000D01* -X69365000Y-96320000D01* -X69359119Y-96319711D01* -X69353295Y-96318847D01* -X69347583Y-96317416D01* -X69342039Y-96315433D01* -X69336716Y-96312915D01* -X69331666Y-96309888D01* -X69326936Y-96306381D01* -X69322574Y-96302426D01* -X69318619Y-96298064D01* -X69315112Y-96293334D01* -X69312085Y-96288284D01* -X69309567Y-96282961D01* -X69307584Y-96277417D01* -X69306153Y-96271705D01* -X69305289Y-96265881D01* -X69305000Y-96260000D01* -X69305000Y-96140000D01* -X69305289Y-96134119D01* -X69306153Y-96128295D01* -X69307584Y-96122583D01* -X69309567Y-96117039D01* -X69312085Y-96111716D01* -X69315112Y-96106666D01* -X69318619Y-96101936D01* -X69322574Y-96097574D01* -X69326936Y-96093619D01* -X69331666Y-96090112D01* -X69336716Y-96087085D01* -X69342039Y-96084567D01* -X69347583Y-96082584D01* -X69353295Y-96081153D01* -X69359119Y-96080289D01* -X69365000Y-96080000D01* -X69835000Y-96080000D01* -X69840881Y-96080289D01* -G37* -G36* -X69815586Y-97085397D02* -G01* -X69823595Y-97086585D01* -X69831448Y-97088552D01* -X69839071Y-97091280D01* -X69846390Y-97094741D01* -X69853335Y-97098904D01* -X69859837Y-97103727D01* -X69865836Y-97109164D01* -X69871273Y-97115163D01* -X69876096Y-97121665D01* -X69880259Y-97128610D01* -X69883720Y-97135929D01* -X69886448Y-97143552D01* -X69888415Y-97151405D01* -X69889603Y-97159414D01* -X69890000Y-97167500D01* -X69890000Y-97332500D01* -X69889603Y-97340586D01* -X69888415Y-97348595D01* -X69886448Y-97356448D01* -X69883720Y-97364071D01* -X69880259Y-97371390D01* -X69876096Y-97378335D01* -X69871273Y-97384837D01* -X69865836Y-97390836D01* -X69859837Y-97396273D01* -X69853335Y-97401096D01* -X69846390Y-97405259D01* -X69839071Y-97408720D01* -X69831448Y-97411448D01* -X69823595Y-97413415D01* -X69815586Y-97414603D01* -X69807500Y-97415000D01* -X69392500Y-97415000D01* -X69384414Y-97414603D01* -X69376405Y-97413415D01* -X69368552Y-97411448D01* -X69360929Y-97408720D01* -X69353610Y-97405259D01* -X69346665Y-97401096D01* -X69340163Y-97396273D01* -X69334164Y-97390836D01* -X69328727Y-97384837D01* -X69323904Y-97378335D01* -X69319741Y-97371390D01* -X69316280Y-97364071D01* -X69313552Y-97356448D01* -X69311585Y-97348595D01* -X69310397Y-97340586D01* -X69310000Y-97332500D01* -X69310000Y-97167500D01* -X69310397Y-97159414D01* -X69311585Y-97151405D01* -X69313552Y-97143552D01* -X69316280Y-97135929D01* -X69319741Y-97128610D01* -X69323904Y-97121665D01* -X69328727Y-97115163D01* -X69334164Y-97109164D01* -X69340163Y-97103727D01* -X69346665Y-97098904D01* -X69353610Y-97094741D01* -X69360929Y-97091280D01* -X69368552Y-97088552D01* -X69376405Y-97086585D01* -X69384414Y-97085397D01* -X69392500Y-97085000D01* -X69807500Y-97085000D01* -X69815586Y-97085397D01* -G37* -G36* -X71514703Y-110225722D02* -G01* -X71529264Y-110227882D01* -X71543543Y-110231459D01* -X71557403Y-110236418D01* -X71570710Y-110242712D01* -X71583336Y-110250280D01* -X71595159Y-110259048D01* -X71606066Y-110268934D01* -X71615952Y-110279841D01* -X71624720Y-110291664D01* -X71632288Y-110304290D01* -X71638582Y-110317597D01* -X71643541Y-110331457D01* -X71647118Y-110345736D01* -X71649278Y-110360297D01* -X71650000Y-110375000D01* -X71650000Y-110925000D01* -X71649278Y-110939703D01* -X71647118Y-110954264D01* -X71643541Y-110968543D01* -X71638582Y-110982403D01* -X71632288Y-110995710D01* -X71624720Y-111008336D01* -X71615952Y-111020159D01* -X71606066Y-111031066D01* -X71595159Y-111040952D01* -X71583336Y-111049720D01* -X71570710Y-111057288D01* -X71557403Y-111063582D01* -X71543543Y-111068541D01* -X71529264Y-111072118D01* -X71514703Y-111074278D01* -X71500000Y-111075000D01* -X71200000Y-111075000D01* -X71185297Y-111074278D01* -X71170736Y-111072118D01* -X71156457Y-111068541D01* -X71142597Y-111063582D01* -X71129290Y-111057288D01* -X71116664Y-111049720D01* -X71104841Y-111040952D01* -X71093934Y-111031066D01* -X71084048Y-111020159D01* -X71075280Y-111008336D01* -X71067712Y-110995710D01* -X71061418Y-110982403D01* -X71056459Y-110968543D01* -X71052882Y-110954264D01* -X71050722Y-110939703D01* -X71050000Y-110925000D01* -X71050000Y-110375000D01* -X71050722Y-110360297D01* -X71052882Y-110345736D01* -X71056459Y-110331457D01* -X71061418Y-110317597D01* -X71067712Y-110304290D01* -X71075280Y-110291664D01* -X71084048Y-110279841D01* -X71093934Y-110268934D01* -X71104841Y-110259048D01* -X71116664Y-110250280D01* -X71129290Y-110242712D01* -X71142597Y-110236418D01* -X71156457Y-110231459D01* -X71170736Y-110227882D01* -X71185297Y-110225722D01* -X71200000Y-110225000D01* -X71500000Y-110225000D01* -X71514703Y-110225722D01* -G37* -G36* -X69914703Y-110225722D02* -G01* -X69929264Y-110227882D01* -X69943543Y-110231459D01* -X69957403Y-110236418D01* -X69970710Y-110242712D01* -X69983336Y-110250280D01* -X69995159Y-110259048D01* -X70006066Y-110268934D01* -X70015952Y-110279841D01* -X70024720Y-110291664D01* -X70032288Y-110304290D01* -X70038582Y-110317597D01* -X70043541Y-110331457D01* -X70047118Y-110345736D01* -X70049278Y-110360297D01* -X70050000Y-110375000D01* -X70050000Y-110925000D01* -X70049278Y-110939703D01* -X70047118Y-110954264D01* -X70043541Y-110968543D01* -X70038582Y-110982403D01* -X70032288Y-110995710D01* -X70024720Y-111008336D01* -X70015952Y-111020159D01* -X70006066Y-111031066D01* -X69995159Y-111040952D01* -X69983336Y-111049720D01* -X69970710Y-111057288D01* -X69957403Y-111063582D01* -X69943543Y-111068541D01* -X69929264Y-111072118D01* -X69914703Y-111074278D01* -X69900000Y-111075000D01* -X69600000Y-111075000D01* -X69585297Y-111074278D01* -X69570736Y-111072118D01* -X69556457Y-111068541D01* -X69542597Y-111063582D01* -X69529290Y-111057288D01* -X69516664Y-111049720D01* -X69504841Y-111040952D01* -X69493934Y-111031066D01* -X69484048Y-111020159D01* -X69475280Y-111008336D01* -X69467712Y-110995710D01* -X69461418Y-110982403D01* -X69456459Y-110968543D01* -X69452882Y-110954264D01* -X69450722Y-110939703D01* -X69450000Y-110925000D01* -X69450000Y-110375000D01* -X69450722Y-110360297D01* -X69452882Y-110345736D01* -X69456459Y-110331457D01* -X69461418Y-110317597D01* -X69467712Y-110304290D01* -X69475280Y-110291664D01* -X69484048Y-110279841D01* -X69493934Y-110268934D01* -X69504841Y-110259048D01* -X69516664Y-110250280D01* -X69529290Y-110242712D01* -X69542597Y-110236418D01* -X69556457Y-110231459D01* -X69570736Y-110227882D01* -X69585297Y-110225722D01* -X69600000Y-110225000D01* -X69900000Y-110225000D01* -X69914703Y-110225722D01* -G37* -G36* -X71514703Y-111675722D02* -G01* -X71529264Y-111677882D01* -X71543543Y-111681459D01* -X71557403Y-111686418D01* -X71570710Y-111692712D01* -X71583336Y-111700280D01* -X71595159Y-111709048D01* -X71606066Y-111718934D01* -X71615952Y-111729841D01* -X71624720Y-111741664D01* -X71632288Y-111754290D01* -X71638582Y-111767597D01* -X71643541Y-111781457D01* -X71647118Y-111795736D01* -X71649278Y-111810297D01* -X71650000Y-111825000D01* -X71650000Y-112375000D01* -X71649278Y-112389703D01* -X71647118Y-112404264D01* -X71643541Y-112418543D01* -X71638582Y-112432403D01* -X71632288Y-112445710D01* -X71624720Y-112458336D01* -X71615952Y-112470159D01* -X71606066Y-112481066D01* -X71595159Y-112490952D01* -X71583336Y-112499720D01* -X71570710Y-112507288D01* -X71557403Y-112513582D01* -X71543543Y-112518541D01* -X71529264Y-112522118D01* -X71514703Y-112524278D01* -X71500000Y-112525000D01* -X71200000Y-112525000D01* -X71185297Y-112524278D01* -X71170736Y-112522118D01* -X71156457Y-112518541D01* -X71142597Y-112513582D01* -X71129290Y-112507288D01* -X71116664Y-112499720D01* -X71104841Y-112490952D01* -X71093934Y-112481066D01* -X71084048Y-112470159D01* -X71075280Y-112458336D01* -X71067712Y-112445710D01* -X71061418Y-112432403D01* -X71056459Y-112418543D01* -X71052882Y-112404264D01* -X71050722Y-112389703D01* -X71050000Y-112375000D01* -X71050000Y-111825000D01* -X71050722Y-111810297D01* -X71052882Y-111795736D01* -X71056459Y-111781457D01* -X71061418Y-111767597D01* -X71067712Y-111754290D01* -X71075280Y-111741664D01* -X71084048Y-111729841D01* -X71093934Y-111718934D01* -X71104841Y-111709048D01* -X71116664Y-111700280D01* -X71129290Y-111692712D01* -X71142597Y-111686418D01* -X71156457Y-111681459D01* -X71170736Y-111677882D01* -X71185297Y-111675722D01* -X71200000Y-111675000D01* -X71500000Y-111675000D01* -X71514703Y-111675722D01* -G37* -G36* -X69914703Y-111675722D02* -G01* -X69929264Y-111677882D01* -X69943543Y-111681459D01* -X69957403Y-111686418D01* -X69970710Y-111692712D01* -X69983336Y-111700280D01* -X69995159Y-111709048D01* -X70006066Y-111718934D01* -X70015952Y-111729841D01* -X70024720Y-111741664D01* -X70032288Y-111754290D01* -X70038582Y-111767597D01* -X70043541Y-111781457D01* -X70047118Y-111795736D01* -X70049278Y-111810297D01* -X70050000Y-111825000D01* -X70050000Y-112375000D01* -X70049278Y-112389703D01* -X70047118Y-112404264D01* -X70043541Y-112418543D01* -X70038582Y-112432403D01* -X70032288Y-112445710D01* -X70024720Y-112458336D01* -X70015952Y-112470159D01* -X70006066Y-112481066D01* -X69995159Y-112490952D01* -X69983336Y-112499720D01* -X69970710Y-112507288D01* -X69957403Y-112513582D01* -X69943543Y-112518541D01* -X69929264Y-112522118D01* -X69914703Y-112524278D01* -X69900000Y-112525000D01* -X69600000Y-112525000D01* -X69585297Y-112524278D01* -X69570736Y-112522118D01* -X69556457Y-112518541D01* -X69542597Y-112513582D01* -X69529290Y-112507288D01* -X69516664Y-112499720D01* -X69504841Y-112490952D01* -X69493934Y-112481066D01* -X69484048Y-112470159D01* -X69475280Y-112458336D01* -X69467712Y-112445710D01* -X69461418Y-112432403D01* -X69456459Y-112418543D01* -X69452882Y-112404264D01* -X69450722Y-112389703D01* -X69450000Y-112375000D01* -X69450000Y-111825000D01* -X69450722Y-111810297D01* -X69452882Y-111795736D01* -X69456459Y-111781457D01* -X69461418Y-111767597D01* -X69467712Y-111754290D01* -X69475280Y-111741664D01* -X69484048Y-111729841D01* -X69493934Y-111718934D01* -X69504841Y-111709048D01* -X69516664Y-111700280D01* -X69529290Y-111692712D01* -X69542597Y-111686418D01* -X69556457Y-111681459D01* -X69570736Y-111677882D01* -X69585297Y-111675722D01* -X69600000Y-111675000D01* -X69900000Y-111675000D01* -X69914703Y-111675722D01* -G37* -G36* -X78201567Y-124265445D02* -G01* -X78210546Y-124266777D01* -X78219351Y-124268983D01* -X78227898Y-124272041D01* -X78236104Y-124275922D01* -X78243890Y-124280589D01* -X78251181Y-124285997D01* -X78257907Y-124292093D01* -X78264003Y-124298819D01* -X78269411Y-124306110D01* -X78274078Y-124313896D01* -X78277959Y-124322102D01* -X78281017Y-124330649D01* -X78283223Y-124339454D01* -X78284555Y-124348433D01* -X78285000Y-124357500D01* -X78285000Y-125542500D01* -X78284555Y-125551567D01* -X78283223Y-125560546D01* -X78281017Y-125569351D01* -X78277959Y-125577898D01* -X78274078Y-125586104D01* -X78269411Y-125593890D01* -X78264003Y-125601181D01* -X78257907Y-125607907D01* -X78251181Y-125614003D01* -X78243890Y-125619411D01* -X78236104Y-125624078D01* -X78227898Y-125627959D01* -X78219351Y-125631017D01* -X78210546Y-125633223D01* -X78201567Y-125634555D01* -X78192500Y-125635000D01* -X78007500Y-125635000D01* -X77998433Y-125634555D01* -X77989454Y-125633223D01* -X77980649Y-125631017D01* -X77972102Y-125627959D01* -X77963896Y-125624078D01* -X77956110Y-125619411D01* -X77948819Y-125614003D01* -X77942093Y-125607907D01* -X77935997Y-125601181D01* -X77930589Y-125593890D01* -X77925922Y-125586104D01* -X77922041Y-125577898D01* -X77918983Y-125569351D01* -X77916777Y-125560546D01* -X77915445Y-125551567D01* -X77915000Y-125542500D01* -X77915000Y-124357500D01* -X77915445Y-124348433D01* -X77916777Y-124339454D01* -X77918983Y-124330649D01* -X77922041Y-124322102D01* -X77925922Y-124313896D01* -X77930589Y-124306110D01* -X77935997Y-124298819D01* -X77942093Y-124292093D01* -X77948819Y-124285997D01* -X77956110Y-124280589D01* -X77963896Y-124275922D01* -X77972102Y-124272041D01* -X77980649Y-124268983D01* -X77989454Y-124266777D01* -X77998433Y-124265445D01* -X78007500Y-124265000D01* -X78192500Y-124265000D01* -X78201567Y-124265445D01* -G37* -G36* -X78851567Y-124265445D02* -G01* -X78860546Y-124266777D01* -X78869351Y-124268983D01* -X78877898Y-124272041D01* -X78886104Y-124275922D01* -X78893890Y-124280589D01* -X78901181Y-124285997D01* -X78907907Y-124292093D01* -X78914003Y-124298819D01* -X78919411Y-124306110D01* -X78924078Y-124313896D01* -X78927959Y-124322102D01* -X78931017Y-124330649D01* -X78933223Y-124339454D01* -X78934555Y-124348433D01* -X78935000Y-124357500D01* -X78935000Y-125542500D01* -X78934555Y-125551567D01* -X78933223Y-125560546D01* -X78931017Y-125569351D01* -X78927959Y-125577898D01* -X78924078Y-125586104D01* -X78919411Y-125593890D01* -X78914003Y-125601181D01* -X78907907Y-125607907D01* -X78901181Y-125614003D01* -X78893890Y-125619411D01* -X78886104Y-125624078D01* -X78877898Y-125627959D01* -X78869351Y-125631017D01* -X78860546Y-125633223D01* -X78851567Y-125634555D01* -X78842500Y-125635000D01* -X78657500Y-125635000D01* -X78648433Y-125634555D01* -X78639454Y-125633223D01* -X78630649Y-125631017D01* -X78622102Y-125627959D01* -X78613896Y-125624078D01* -X78606110Y-125619411D01* -X78598819Y-125614003D01* -X78592093Y-125607907D01* -X78585997Y-125601181D01* -X78580589Y-125593890D01* -X78575922Y-125586104D01* -X78572041Y-125577898D01* -X78568983Y-125569351D01* -X78566777Y-125560546D01* -X78565445Y-125551567D01* -X78565000Y-125542500D01* -X78565000Y-124357500D01* -X78565445Y-124348433D01* -X78566777Y-124339454D01* -X78568983Y-124330649D01* -X78572041Y-124322102D01* -X78575922Y-124313896D01* -X78580589Y-124306110D01* -X78585997Y-124298819D01* -X78592093Y-124292093D01* -X78598819Y-124285997D01* -X78606110Y-124280589D01* -X78613896Y-124275922D01* -X78622102Y-124272041D01* -X78630649Y-124268983D01* -X78639454Y-124266777D01* -X78648433Y-124265445D01* -X78657500Y-124265000D01* -X78842500Y-124265000D01* -X78851567Y-124265445D01* -G37* -G36* -X79501567Y-124265445D02* -G01* -X79510546Y-124266777D01* -X79519351Y-124268983D01* -X79527898Y-124272041D01* -X79536104Y-124275922D01* -X79543890Y-124280589D01* -X79551181Y-124285997D01* -X79557907Y-124292093D01* -X79564003Y-124298819D01* -X79569411Y-124306110D01* -X79574078Y-124313896D01* -X79577959Y-124322102D01* -X79581017Y-124330649D01* -X79583223Y-124339454D01* -X79584555Y-124348433D01* -X79585000Y-124357500D01* -X79585000Y-125542500D01* -X79584555Y-125551567D01* -X79583223Y-125560546D01* -X79581017Y-125569351D01* -X79577959Y-125577898D01* -X79574078Y-125586104D01* -X79569411Y-125593890D01* -X79564003Y-125601181D01* -X79557907Y-125607907D01* -X79551181Y-125614003D01* -X79543890Y-125619411D01* -X79536104Y-125624078D01* -X79527898Y-125627959D01* -X79519351Y-125631017D01* -X79510546Y-125633223D01* -X79501567Y-125634555D01* -X79492500Y-125635000D01* -X79307500Y-125635000D01* -X79298433Y-125634555D01* -X79289454Y-125633223D01* -X79280649Y-125631017D01* -X79272102Y-125627959D01* -X79263896Y-125624078D01* -X79256110Y-125619411D01* -X79248819Y-125614003D01* -X79242093Y-125607907D01* -X79235997Y-125601181D01* -X79230589Y-125593890D01* -X79225922Y-125586104D01* -X79222041Y-125577898D01* -X79218983Y-125569351D01* -X79216777Y-125560546D01* -X79215445Y-125551567D01* -X79215000Y-125542500D01* -X79215000Y-124357500D01* -X79215445Y-124348433D01* -X79216777Y-124339454D01* -X79218983Y-124330649D01* -X79222041Y-124322102D01* -X79225922Y-124313896D01* -X79230589Y-124306110D01* -X79235997Y-124298819D01* -X79242093Y-124292093D01* -X79248819Y-124285997D01* -X79256110Y-124280589D01* -X79263896Y-124275922D01* -X79272102Y-124272041D01* -X79280649Y-124268983D01* -X79289454Y-124266777D01* -X79298433Y-124265445D01* -X79307500Y-124265000D01* -X79492500Y-124265000D01* -X79501567Y-124265445D01* -G37* -G36* -X80151567Y-124265445D02* -G01* -X80160546Y-124266777D01* -X80169351Y-124268983D01* -X80177898Y-124272041D01* -X80186104Y-124275922D01* -X80193890Y-124280589D01* -X80201181Y-124285997D01* -X80207907Y-124292093D01* -X80214003Y-124298819D01* -X80219411Y-124306110D01* -X80224078Y-124313896D01* -X80227959Y-124322102D01* -X80231017Y-124330649D01* -X80233223Y-124339454D01* -X80234555Y-124348433D01* -X80235000Y-124357500D01* -X80235000Y-125542500D01* -X80234555Y-125551567D01* -X80233223Y-125560546D01* -X80231017Y-125569351D01* -X80227959Y-125577898D01* -X80224078Y-125586104D01* -X80219411Y-125593890D01* -X80214003Y-125601181D01* -X80207907Y-125607907D01* -X80201181Y-125614003D01* -X80193890Y-125619411D01* -X80186104Y-125624078D01* -X80177898Y-125627959D01* -X80169351Y-125631017D01* -X80160546Y-125633223D01* -X80151567Y-125634555D01* -X80142500Y-125635000D01* -X79957500Y-125635000D01* -X79948433Y-125634555D01* -X79939454Y-125633223D01* -X79930649Y-125631017D01* -X79922102Y-125627959D01* -X79913896Y-125624078D01* -X79906110Y-125619411D01* -X79898819Y-125614003D01* -X79892093Y-125607907D01* -X79885997Y-125601181D01* -X79880589Y-125593890D01* -X79875922Y-125586104D01* -X79872041Y-125577898D01* -X79868983Y-125569351D01* -X79866777Y-125560546D01* -X79865445Y-125551567D01* -X79865000Y-125542500D01* -X79865000Y-124357500D01* -X79865445Y-124348433D01* -X79866777Y-124339454D01* -X79868983Y-124330649D01* -X79872041Y-124322102D01* -X79875922Y-124313896D01* -X79880589Y-124306110D01* -X79885997Y-124298819D01* -X79892093Y-124292093D01* -X79898819Y-124285997D01* -X79906110Y-124280589D01* -X79913896Y-124275922D01* -X79922102Y-124272041D01* -X79930649Y-124268983D01* -X79939454Y-124266777D01* -X79948433Y-124265445D01* -X79957500Y-124265000D01* -X80142500Y-124265000D01* -X80151567Y-124265445D01* -G37* -G36* -X80801567Y-124265445D02* -G01* -X80810546Y-124266777D01* -X80819351Y-124268983D01* -X80827898Y-124272041D01* -X80836104Y-124275922D01* -X80843890Y-124280589D01* -X80851181Y-124285997D01* -X80857907Y-124292093D01* -X80864003Y-124298819D01* -X80869411Y-124306110D01* -X80874078Y-124313896D01* -X80877959Y-124322102D01* -X80881017Y-124330649D01* -X80883223Y-124339454D01* -X80884555Y-124348433D01* -X80885000Y-124357500D01* -X80885000Y-125542500D01* -X80884555Y-125551567D01* -X80883223Y-125560546D01* -X80881017Y-125569351D01* -X80877959Y-125577898D01* -X80874078Y-125586104D01* -X80869411Y-125593890D01* -X80864003Y-125601181D01* -X80857907Y-125607907D01* -X80851181Y-125614003D01* -X80843890Y-125619411D01* -X80836104Y-125624078D01* -X80827898Y-125627959D01* -X80819351Y-125631017D01* -X80810546Y-125633223D01* -X80801567Y-125634555D01* -X80792500Y-125635000D01* -X80607500Y-125635000D01* -X80598433Y-125634555D01* -X80589454Y-125633223D01* -X80580649Y-125631017D01* -X80572102Y-125627959D01* -X80563896Y-125624078D01* -X80556110Y-125619411D01* -X80548819Y-125614003D01* -X80542093Y-125607907D01* -X80535997Y-125601181D01* -X80530589Y-125593890D01* -X80525922Y-125586104D01* -X80522041Y-125577898D01* -X80518983Y-125569351D01* -X80516777Y-125560546D01* -X80515445Y-125551567D01* -X80515000Y-125542500D01* -X80515000Y-124357500D01* -X80515445Y-124348433D01* -X80516777Y-124339454D01* -X80518983Y-124330649D01* -X80522041Y-124322102D01* -X80525922Y-124313896D01* -X80530589Y-124306110D01* -X80535997Y-124298819D01* -X80542093Y-124292093D01* -X80548819Y-124285997D01* -X80556110Y-124280589D01* -X80563896Y-124275922D01* -X80572102Y-124272041D01* -X80580649Y-124268983D01* -X80589454Y-124266777D01* -X80598433Y-124265445D01* -X80607500Y-124265000D01* -X80792500Y-124265000D01* -X80801567Y-124265445D01* -G37* -G36* -X81451567Y-124265445D02* -G01* -X81460546Y-124266777D01* -X81469351Y-124268983D01* -X81477898Y-124272041D01* -X81486104Y-124275922D01* -X81493890Y-124280589D01* -X81501181Y-124285997D01* -X81507907Y-124292093D01* -X81514003Y-124298819D01* -X81519411Y-124306110D01* -X81524078Y-124313896D01* -X81527959Y-124322102D01* -X81531017Y-124330649D01* -X81533223Y-124339454D01* -X81534555Y-124348433D01* -X81535000Y-124357500D01* -X81535000Y-125542500D01* -X81534555Y-125551567D01* -X81533223Y-125560546D01* -X81531017Y-125569351D01* -X81527959Y-125577898D01* -X81524078Y-125586104D01* -X81519411Y-125593890D01* -X81514003Y-125601181D01* -X81507907Y-125607907D01* -X81501181Y-125614003D01* -X81493890Y-125619411D01* -X81486104Y-125624078D01* -X81477898Y-125627959D01* -X81469351Y-125631017D01* -X81460546Y-125633223D01* -X81451567Y-125634555D01* -X81442500Y-125635000D01* -X81257500Y-125635000D01* -X81248433Y-125634555D01* -X81239454Y-125633223D01* -X81230649Y-125631017D01* -X81222102Y-125627959D01* -X81213896Y-125624078D01* -X81206110Y-125619411D01* -X81198819Y-125614003D01* -X81192093Y-125607907D01* -X81185997Y-125601181D01* -X81180589Y-125593890D01* -X81175922Y-125586104D01* -X81172041Y-125577898D01* -X81168983Y-125569351D01* -X81166777Y-125560546D01* -X81165445Y-125551567D01* -X81165000Y-125542500D01* -X81165000Y-124357500D01* -X81165445Y-124348433D01* -X81166777Y-124339454D01* -X81168983Y-124330649D01* -X81172041Y-124322102D01* -X81175922Y-124313896D01* -X81180589Y-124306110D01* -X81185997Y-124298819D01* -X81192093Y-124292093D01* -X81198819Y-124285997D01* -X81206110Y-124280589D01* -X81213896Y-124275922D01* -X81222102Y-124272041D01* -X81230649Y-124268983D01* -X81239454Y-124266777D01* -X81248433Y-124265445D01* -X81257500Y-124265000D01* -X81442500Y-124265000D01* -X81451567Y-124265445D01* -G37* -G36* -X82101567Y-124265445D02* -G01* -X82110546Y-124266777D01* -X82119351Y-124268983D01* -X82127898Y-124272041D01* -X82136104Y-124275922D01* -X82143890Y-124280589D01* -X82151181Y-124285997D01* -X82157907Y-124292093D01* -X82164003Y-124298819D01* -X82169411Y-124306110D01* -X82174078Y-124313896D01* -X82177959Y-124322102D01* -X82181017Y-124330649D01* -X82183223Y-124339454D01* -X82184555Y-124348433D01* -X82185000Y-124357500D01* -X82185000Y-125542500D01* -X82184555Y-125551567D01* -X82183223Y-125560546D01* -X82181017Y-125569351D01* -X82177959Y-125577898D01* -X82174078Y-125586104D01* -X82169411Y-125593890D01* -X82164003Y-125601181D01* -X82157907Y-125607907D01* -X82151181Y-125614003D01* -X82143890Y-125619411D01* -X82136104Y-125624078D01* -X82127898Y-125627959D01* -X82119351Y-125631017D01* -X82110546Y-125633223D01* -X82101567Y-125634555D01* -X82092500Y-125635000D01* -X81907500Y-125635000D01* -X81898433Y-125634555D01* -X81889454Y-125633223D01* -X81880649Y-125631017D01* -X81872102Y-125627959D01* -X81863896Y-125624078D01* -X81856110Y-125619411D01* -X81848819Y-125614003D01* -X81842093Y-125607907D01* -X81835997Y-125601181D01* -X81830589Y-125593890D01* -X81825922Y-125586104D01* -X81822041Y-125577898D01* -X81818983Y-125569351D01* -X81816777Y-125560546D01* -X81815445Y-125551567D01* -X81815000Y-125542500D01* -X81815000Y-124357500D01* -X81815445Y-124348433D01* -X81816777Y-124339454D01* -X81818983Y-124330649D01* -X81822041Y-124322102D01* -X81825922Y-124313896D01* -X81830589Y-124306110D01* -X81835997Y-124298819D01* -X81842093Y-124292093D01* -X81848819Y-124285997D01* -X81856110Y-124280589D01* -X81863896Y-124275922D01* -X81872102Y-124272041D01* -X81880649Y-124268983D01* -X81889454Y-124266777D01* -X81898433Y-124265445D01* -X81907500Y-124265000D01* -X82092500Y-124265000D01* -X82101567Y-124265445D01* -G37* -G36* -X82751567Y-124265445D02* -G01* -X82760546Y-124266777D01* -X82769351Y-124268983D01* -X82777898Y-124272041D01* -X82786104Y-124275922D01* -X82793890Y-124280589D01* -X82801181Y-124285997D01* -X82807907Y-124292093D01* -X82814003Y-124298819D01* -X82819411Y-124306110D01* -X82824078Y-124313896D01* -X82827959Y-124322102D01* -X82831017Y-124330649D01* -X82833223Y-124339454D01* -X82834555Y-124348433D01* -X82835000Y-124357500D01* -X82835000Y-125542500D01* -X82834555Y-125551567D01* -X82833223Y-125560546D01* -X82831017Y-125569351D01* -X82827959Y-125577898D01* -X82824078Y-125586104D01* -X82819411Y-125593890D01* -X82814003Y-125601181D01* -X82807907Y-125607907D01* -X82801181Y-125614003D01* -X82793890Y-125619411D01* -X82786104Y-125624078D01* -X82777898Y-125627959D01* -X82769351Y-125631017D01* -X82760546Y-125633223D01* -X82751567Y-125634555D01* -X82742500Y-125635000D01* -X82557500Y-125635000D01* -X82548433Y-125634555D01* -X82539454Y-125633223D01* -X82530649Y-125631017D01* -X82522102Y-125627959D01* -X82513896Y-125624078D01* -X82506110Y-125619411D01* -X82498819Y-125614003D01* -X82492093Y-125607907D01* -X82485997Y-125601181D01* -X82480589Y-125593890D01* -X82475922Y-125586104D01* -X82472041Y-125577898D01* -X82468983Y-125569351D01* -X82466777Y-125560546D01* -X82465445Y-125551567D01* -X82465000Y-125542500D01* -X82465000Y-124357500D01* -X82465445Y-124348433D01* -X82466777Y-124339454D01* -X82468983Y-124330649D01* -X82472041Y-124322102D01* -X82475922Y-124313896D01* -X82480589Y-124306110D01* -X82485997Y-124298819D01* -X82492093Y-124292093D01* -X82498819Y-124285997D01* -X82506110Y-124280589D01* -X82513896Y-124275922D01* -X82522102Y-124272041D01* -X82530649Y-124268983D01* -X82539454Y-124266777D01* -X82548433Y-124265445D01* -X82557500Y-124265000D01* -X82742500Y-124265000D01* -X82751567Y-124265445D01* -G37* -G36* -X83401567Y-124265445D02* -G01* -X83410546Y-124266777D01* -X83419351Y-124268983D01* -X83427898Y-124272041D01* -X83436104Y-124275922D01* -X83443890Y-124280589D01* -X83451181Y-124285997D01* -X83457907Y-124292093D01* -X83464003Y-124298819D01* -X83469411Y-124306110D01* -X83474078Y-124313896D01* -X83477959Y-124322102D01* -X83481017Y-124330649D01* -X83483223Y-124339454D01* -X83484555Y-124348433D01* -X83485000Y-124357500D01* -X83485000Y-125542500D01* -X83484555Y-125551567D01* -X83483223Y-125560546D01* -X83481017Y-125569351D01* -X83477959Y-125577898D01* -X83474078Y-125586104D01* -X83469411Y-125593890D01* -X83464003Y-125601181D01* -X83457907Y-125607907D01* -X83451181Y-125614003D01* -X83443890Y-125619411D01* -X83436104Y-125624078D01* -X83427898Y-125627959D01* -X83419351Y-125631017D01* -X83410546Y-125633223D01* -X83401567Y-125634555D01* -X83392500Y-125635000D01* -X83207500Y-125635000D01* -X83198433Y-125634555D01* -X83189454Y-125633223D01* -X83180649Y-125631017D01* -X83172102Y-125627959D01* -X83163896Y-125624078D01* -X83156110Y-125619411D01* -X83148819Y-125614003D01* -X83142093Y-125607907D01* -X83135997Y-125601181D01* -X83130589Y-125593890D01* -X83125922Y-125586104D01* -X83122041Y-125577898D01* -X83118983Y-125569351D01* -X83116777Y-125560546D01* -X83115445Y-125551567D01* -X83115000Y-125542500D01* -X83115000Y-124357500D01* -X83115445Y-124348433D01* -X83116777Y-124339454D01* -X83118983Y-124330649D01* -X83122041Y-124322102D01* -X83125922Y-124313896D01* -X83130589Y-124306110D01* -X83135997Y-124298819D01* -X83142093Y-124292093D01* -X83148819Y-124285997D01* -X83156110Y-124280589D01* -X83163896Y-124275922D01* -X83172102Y-124272041D01* -X83180649Y-124268983D01* -X83189454Y-124266777D01* -X83198433Y-124265445D01* -X83207500Y-124265000D01* -X83392500Y-124265000D01* -X83401567Y-124265445D01* -G37* -G36* -X84051567Y-124265445D02* -G01* -X84060546Y-124266777D01* -X84069351Y-124268983D01* -X84077898Y-124272041D01* -X84086104Y-124275922D01* -X84093890Y-124280589D01* -X84101181Y-124285997D01* -X84107907Y-124292093D01* -X84114003Y-124298819D01* -X84119411Y-124306110D01* -X84124078Y-124313896D01* -X84127959Y-124322102D01* -X84131017Y-124330649D01* -X84133223Y-124339454D01* -X84134555Y-124348433D01* -X84135000Y-124357500D01* -X84135000Y-125542500D01* -X84134555Y-125551567D01* -X84133223Y-125560546D01* -X84131017Y-125569351D01* -X84127959Y-125577898D01* -X84124078Y-125586104D01* -X84119411Y-125593890D01* -X84114003Y-125601181D01* -X84107907Y-125607907D01* -X84101181Y-125614003D01* -X84093890Y-125619411D01* -X84086104Y-125624078D01* -X84077898Y-125627959D01* -X84069351Y-125631017D01* -X84060546Y-125633223D01* -X84051567Y-125634555D01* -X84042500Y-125635000D01* -X83857500Y-125635000D01* -X83848433Y-125634555D01* -X83839454Y-125633223D01* -X83830649Y-125631017D01* -X83822102Y-125627959D01* -X83813896Y-125624078D01* -X83806110Y-125619411D01* -X83798819Y-125614003D01* -X83792093Y-125607907D01* -X83785997Y-125601181D01* -X83780589Y-125593890D01* -X83775922Y-125586104D01* -X83772041Y-125577898D01* -X83768983Y-125569351D01* -X83766777Y-125560546D01* -X83765445Y-125551567D01* -X83765000Y-125542500D01* -X83765000Y-124357500D01* -X83765445Y-124348433D01* -X83766777Y-124339454D01* -X83768983Y-124330649D01* -X83772041Y-124322102D01* -X83775922Y-124313896D01* -X83780589Y-124306110D01* -X83785997Y-124298819D01* -X83792093Y-124292093D01* -X83798819Y-124285997D01* -X83806110Y-124280589D01* -X83813896Y-124275922D01* -X83822102Y-124272041D01* -X83830649Y-124268983D01* -X83839454Y-124266777D01* -X83848433Y-124265445D01* -X83857500Y-124265000D01* -X84042500Y-124265000D01* -X84051567Y-124265445D01* -G37* -G36* -X84051567Y-118365445D02* -G01* -X84060546Y-118366777D01* -X84069351Y-118368983D01* -X84077898Y-118372041D01* -X84086104Y-118375922D01* -X84093890Y-118380589D01* -X84101181Y-118385997D01* -X84107907Y-118392093D01* -X84114003Y-118398819D01* -X84119411Y-118406110D01* -X84124078Y-118413896D01* -X84127959Y-118422102D01* -X84131017Y-118430649D01* -X84133223Y-118439454D01* -X84134555Y-118448433D01* -X84135000Y-118457500D01* -X84135000Y-119642500D01* -X84134555Y-119651567D01* -X84133223Y-119660546D01* -X84131017Y-119669351D01* -X84127959Y-119677898D01* -X84124078Y-119686104D01* -X84119411Y-119693890D01* -X84114003Y-119701181D01* -X84107907Y-119707907D01* -X84101181Y-119714003D01* -X84093890Y-119719411D01* -X84086104Y-119724078D01* -X84077898Y-119727959D01* -X84069351Y-119731017D01* -X84060546Y-119733223D01* -X84051567Y-119734555D01* -X84042500Y-119735000D01* -X83857500Y-119735000D01* -X83848433Y-119734555D01* -X83839454Y-119733223D01* -X83830649Y-119731017D01* -X83822102Y-119727959D01* -X83813896Y-119724078D01* -X83806110Y-119719411D01* -X83798819Y-119714003D01* -X83792093Y-119707907D01* -X83785997Y-119701181D01* -X83780589Y-119693890D01* -X83775922Y-119686104D01* -X83772041Y-119677898D01* -X83768983Y-119669351D01* -X83766777Y-119660546D01* -X83765445Y-119651567D01* -X83765000Y-119642500D01* -X83765000Y-118457500D01* -X83765445Y-118448433D01* -X83766777Y-118439454D01* -X83768983Y-118430649D01* -X83772041Y-118422102D01* -X83775922Y-118413896D01* -X83780589Y-118406110D01* -X83785997Y-118398819D01* -X83792093Y-118392093D01* -X83798819Y-118385997D01* -X83806110Y-118380589D01* -X83813896Y-118375922D01* -X83822102Y-118372041D01* -X83830649Y-118368983D01* -X83839454Y-118366777D01* -X83848433Y-118365445D01* -X83857500Y-118365000D01* -X84042500Y-118365000D01* -X84051567Y-118365445D01* -G37* -G36* -X83401567Y-118365445D02* -G01* -X83410546Y-118366777D01* -X83419351Y-118368983D01* -X83427898Y-118372041D01* -X83436104Y-118375922D01* -X83443890Y-118380589D01* -X83451181Y-118385997D01* -X83457907Y-118392093D01* -X83464003Y-118398819D01* -X83469411Y-118406110D01* -X83474078Y-118413896D01* -X83477959Y-118422102D01* -X83481017Y-118430649D01* -X83483223Y-118439454D01* -X83484555Y-118448433D01* -X83485000Y-118457500D01* -X83485000Y-119642500D01* -X83484555Y-119651567D01* -X83483223Y-119660546D01* -X83481017Y-119669351D01* -X83477959Y-119677898D01* -X83474078Y-119686104D01* -X83469411Y-119693890D01* -X83464003Y-119701181D01* -X83457907Y-119707907D01* -X83451181Y-119714003D01* -X83443890Y-119719411D01* -X83436104Y-119724078D01* -X83427898Y-119727959D01* -X83419351Y-119731017D01* -X83410546Y-119733223D01* -X83401567Y-119734555D01* -X83392500Y-119735000D01* -X83207500Y-119735000D01* -X83198433Y-119734555D01* -X83189454Y-119733223D01* -X83180649Y-119731017D01* -X83172102Y-119727959D01* -X83163896Y-119724078D01* -X83156110Y-119719411D01* -X83148819Y-119714003D01* -X83142093Y-119707907D01* -X83135997Y-119701181D01* -X83130589Y-119693890D01* -X83125922Y-119686104D01* -X83122041Y-119677898D01* -X83118983Y-119669351D01* -X83116777Y-119660546D01* -X83115445Y-119651567D01* -X83115000Y-119642500D01* -X83115000Y-118457500D01* -X83115445Y-118448433D01* -X83116777Y-118439454D01* -X83118983Y-118430649D01* -X83122041Y-118422102D01* -X83125922Y-118413896D01* -X83130589Y-118406110D01* -X83135997Y-118398819D01* -X83142093Y-118392093D01* -X83148819Y-118385997D01* -X83156110Y-118380589D01* -X83163896Y-118375922D01* -X83172102Y-118372041D01* -X83180649Y-118368983D01* -X83189454Y-118366777D01* -X83198433Y-118365445D01* -X83207500Y-118365000D01* -X83392500Y-118365000D01* -X83401567Y-118365445D01* -G37* -G36* -X82751567Y-118365445D02* -G01* -X82760546Y-118366777D01* -X82769351Y-118368983D01* -X82777898Y-118372041D01* -X82786104Y-118375922D01* -X82793890Y-118380589D01* -X82801181Y-118385997D01* -X82807907Y-118392093D01* -X82814003Y-118398819D01* -X82819411Y-118406110D01* -X82824078Y-118413896D01* -X82827959Y-118422102D01* -X82831017Y-118430649D01* -X82833223Y-118439454D01* -X82834555Y-118448433D01* -X82835000Y-118457500D01* -X82835000Y-119642500D01* -X82834555Y-119651567D01* -X82833223Y-119660546D01* -X82831017Y-119669351D01* -X82827959Y-119677898D01* -X82824078Y-119686104D01* -X82819411Y-119693890D01* -X82814003Y-119701181D01* -X82807907Y-119707907D01* -X82801181Y-119714003D01* -X82793890Y-119719411D01* -X82786104Y-119724078D01* -X82777898Y-119727959D01* -X82769351Y-119731017D01* -X82760546Y-119733223D01* -X82751567Y-119734555D01* -X82742500Y-119735000D01* -X82557500Y-119735000D01* -X82548433Y-119734555D01* -X82539454Y-119733223D01* -X82530649Y-119731017D01* -X82522102Y-119727959D01* -X82513896Y-119724078D01* -X82506110Y-119719411D01* -X82498819Y-119714003D01* -X82492093Y-119707907D01* -X82485997Y-119701181D01* -X82480589Y-119693890D01* -X82475922Y-119686104D01* -X82472041Y-119677898D01* -X82468983Y-119669351D01* -X82466777Y-119660546D01* -X82465445Y-119651567D01* -X82465000Y-119642500D01* -X82465000Y-118457500D01* -X82465445Y-118448433D01* -X82466777Y-118439454D01* -X82468983Y-118430649D01* -X82472041Y-118422102D01* -X82475922Y-118413896D01* -X82480589Y-118406110D01* -X82485997Y-118398819D01* -X82492093Y-118392093D01* -X82498819Y-118385997D01* -X82506110Y-118380589D01* -X82513896Y-118375922D01* -X82522102Y-118372041D01* -X82530649Y-118368983D01* -X82539454Y-118366777D01* -X82548433Y-118365445D01* -X82557500Y-118365000D01* -X82742500Y-118365000D01* -X82751567Y-118365445D01* -G37* -G36* -X82101567Y-118365445D02* -G01* -X82110546Y-118366777D01* -X82119351Y-118368983D01* -X82127898Y-118372041D01* -X82136104Y-118375922D01* -X82143890Y-118380589D01* -X82151181Y-118385997D01* -X82157907Y-118392093D01* -X82164003Y-118398819D01* -X82169411Y-118406110D01* -X82174078Y-118413896D01* -X82177959Y-118422102D01* -X82181017Y-118430649D01* -X82183223Y-118439454D01* -X82184555Y-118448433D01* -X82185000Y-118457500D01* -X82185000Y-119642500D01* -X82184555Y-119651567D01* -X82183223Y-119660546D01* -X82181017Y-119669351D01* -X82177959Y-119677898D01* -X82174078Y-119686104D01* -X82169411Y-119693890D01* -X82164003Y-119701181D01* -X82157907Y-119707907D01* -X82151181Y-119714003D01* -X82143890Y-119719411D01* -X82136104Y-119724078D01* -X82127898Y-119727959D01* -X82119351Y-119731017D01* -X82110546Y-119733223D01* -X82101567Y-119734555D01* -X82092500Y-119735000D01* -X81907500Y-119735000D01* -X81898433Y-119734555D01* -X81889454Y-119733223D01* -X81880649Y-119731017D01* -X81872102Y-119727959D01* -X81863896Y-119724078D01* -X81856110Y-119719411D01* -X81848819Y-119714003D01* -X81842093Y-119707907D01* -X81835997Y-119701181D01* -X81830589Y-119693890D01* -X81825922Y-119686104D01* -X81822041Y-119677898D01* -X81818983Y-119669351D01* -X81816777Y-119660546D01* -X81815445Y-119651567D01* -X81815000Y-119642500D01* -X81815000Y-118457500D01* -X81815445Y-118448433D01* -X81816777Y-118439454D01* -X81818983Y-118430649D01* -X81822041Y-118422102D01* -X81825922Y-118413896D01* -X81830589Y-118406110D01* -X81835997Y-118398819D01* -X81842093Y-118392093D01* -X81848819Y-118385997D01* -X81856110Y-118380589D01* -X81863896Y-118375922D01* -X81872102Y-118372041D01* -X81880649Y-118368983D01* -X81889454Y-118366777D01* -X81898433Y-118365445D01* -X81907500Y-118365000D01* -X82092500Y-118365000D01* -X82101567Y-118365445D01* -G37* -G36* -X81451567Y-118365445D02* -G01* -X81460546Y-118366777D01* -X81469351Y-118368983D01* -X81477898Y-118372041D01* -X81486104Y-118375922D01* -X81493890Y-118380589D01* -X81501181Y-118385997D01* -X81507907Y-118392093D01* -X81514003Y-118398819D01* -X81519411Y-118406110D01* -X81524078Y-118413896D01* -X81527959Y-118422102D01* -X81531017Y-118430649D01* -X81533223Y-118439454D01* -X81534555Y-118448433D01* -X81535000Y-118457500D01* -X81535000Y-119642500D01* -X81534555Y-119651567D01* -X81533223Y-119660546D01* -X81531017Y-119669351D01* -X81527959Y-119677898D01* -X81524078Y-119686104D01* -X81519411Y-119693890D01* -X81514003Y-119701181D01* -X81507907Y-119707907D01* -X81501181Y-119714003D01* -X81493890Y-119719411D01* -X81486104Y-119724078D01* -X81477898Y-119727959D01* -X81469351Y-119731017D01* -X81460546Y-119733223D01* -X81451567Y-119734555D01* -X81442500Y-119735000D01* -X81257500Y-119735000D01* -X81248433Y-119734555D01* -X81239454Y-119733223D01* -X81230649Y-119731017D01* -X81222102Y-119727959D01* -X81213896Y-119724078D01* -X81206110Y-119719411D01* -X81198819Y-119714003D01* -X81192093Y-119707907D01* -X81185997Y-119701181D01* -X81180589Y-119693890D01* -X81175922Y-119686104D01* -X81172041Y-119677898D01* -X81168983Y-119669351D01* -X81166777Y-119660546D01* -X81165445Y-119651567D01* -X81165000Y-119642500D01* -X81165000Y-118457500D01* -X81165445Y-118448433D01* -X81166777Y-118439454D01* -X81168983Y-118430649D01* -X81172041Y-118422102D01* -X81175922Y-118413896D01* -X81180589Y-118406110D01* -X81185997Y-118398819D01* -X81192093Y-118392093D01* -X81198819Y-118385997D01* -X81206110Y-118380589D01* -X81213896Y-118375922D01* -X81222102Y-118372041D01* -X81230649Y-118368983D01* -X81239454Y-118366777D01* -X81248433Y-118365445D01* -X81257500Y-118365000D01* -X81442500Y-118365000D01* -X81451567Y-118365445D01* -G37* -G36* -X80801567Y-118365445D02* -G01* -X80810546Y-118366777D01* -X80819351Y-118368983D01* -X80827898Y-118372041D01* -X80836104Y-118375922D01* -X80843890Y-118380589D01* -X80851181Y-118385997D01* -X80857907Y-118392093D01* -X80864003Y-118398819D01* -X80869411Y-118406110D01* -X80874078Y-118413896D01* -X80877959Y-118422102D01* -X80881017Y-118430649D01* -X80883223Y-118439454D01* -X80884555Y-118448433D01* -X80885000Y-118457500D01* -X80885000Y-119642500D01* -X80884555Y-119651567D01* -X80883223Y-119660546D01* -X80881017Y-119669351D01* -X80877959Y-119677898D01* -X80874078Y-119686104D01* -X80869411Y-119693890D01* -X80864003Y-119701181D01* -X80857907Y-119707907D01* -X80851181Y-119714003D01* -X80843890Y-119719411D01* -X80836104Y-119724078D01* -X80827898Y-119727959D01* -X80819351Y-119731017D01* -X80810546Y-119733223D01* -X80801567Y-119734555D01* -X80792500Y-119735000D01* -X80607500Y-119735000D01* -X80598433Y-119734555D01* -X80589454Y-119733223D01* -X80580649Y-119731017D01* -X80572102Y-119727959D01* -X80563896Y-119724078D01* -X80556110Y-119719411D01* -X80548819Y-119714003D01* -X80542093Y-119707907D01* -X80535997Y-119701181D01* -X80530589Y-119693890D01* -X80525922Y-119686104D01* -X80522041Y-119677898D01* -X80518983Y-119669351D01* -X80516777Y-119660546D01* -X80515445Y-119651567D01* -X80515000Y-119642500D01* -X80515000Y-118457500D01* -X80515445Y-118448433D01* -X80516777Y-118439454D01* -X80518983Y-118430649D01* -X80522041Y-118422102D01* -X80525922Y-118413896D01* -X80530589Y-118406110D01* -X80535997Y-118398819D01* -X80542093Y-118392093D01* -X80548819Y-118385997D01* -X80556110Y-118380589D01* -X80563896Y-118375922D01* -X80572102Y-118372041D01* -X80580649Y-118368983D01* -X80589454Y-118366777D01* -X80598433Y-118365445D01* -X80607500Y-118365000D01* -X80792500Y-118365000D01* -X80801567Y-118365445D01* -G37* -G36* -X80151567Y-118365445D02* -G01* -X80160546Y-118366777D01* -X80169351Y-118368983D01* -X80177898Y-118372041D01* -X80186104Y-118375922D01* -X80193890Y-118380589D01* -X80201181Y-118385997D01* -X80207907Y-118392093D01* -X80214003Y-118398819D01* -X80219411Y-118406110D01* -X80224078Y-118413896D01* -X80227959Y-118422102D01* -X80231017Y-118430649D01* -X80233223Y-118439454D01* -X80234555Y-118448433D01* -X80235000Y-118457500D01* -X80235000Y-119642500D01* -X80234555Y-119651567D01* -X80233223Y-119660546D01* -X80231017Y-119669351D01* -X80227959Y-119677898D01* -X80224078Y-119686104D01* -X80219411Y-119693890D01* -X80214003Y-119701181D01* -X80207907Y-119707907D01* -X80201181Y-119714003D01* -X80193890Y-119719411D01* -X80186104Y-119724078D01* -X80177898Y-119727959D01* -X80169351Y-119731017D01* -X80160546Y-119733223D01* -X80151567Y-119734555D01* -X80142500Y-119735000D01* -X79957500Y-119735000D01* -X79948433Y-119734555D01* -X79939454Y-119733223D01* -X79930649Y-119731017D01* -X79922102Y-119727959D01* -X79913896Y-119724078D01* -X79906110Y-119719411D01* -X79898819Y-119714003D01* -X79892093Y-119707907D01* -X79885997Y-119701181D01* -X79880589Y-119693890D01* -X79875922Y-119686104D01* -X79872041Y-119677898D01* -X79868983Y-119669351D01* -X79866777Y-119660546D01* -X79865445Y-119651567D01* -X79865000Y-119642500D01* -X79865000Y-118457500D01* -X79865445Y-118448433D01* -X79866777Y-118439454D01* -X79868983Y-118430649D01* -X79872041Y-118422102D01* -X79875922Y-118413896D01* -X79880589Y-118406110D01* -X79885997Y-118398819D01* -X79892093Y-118392093D01* -X79898819Y-118385997D01* -X79906110Y-118380589D01* -X79913896Y-118375922D01* -X79922102Y-118372041D01* -X79930649Y-118368983D01* -X79939454Y-118366777D01* -X79948433Y-118365445D01* -X79957500Y-118365000D01* -X80142500Y-118365000D01* -X80151567Y-118365445D01* -G37* -G36* -X79501567Y-118365445D02* -G01* -X79510546Y-118366777D01* -X79519351Y-118368983D01* -X79527898Y-118372041D01* -X79536104Y-118375922D01* -X79543890Y-118380589D01* -X79551181Y-118385997D01* -X79557907Y-118392093D01* -X79564003Y-118398819D01* -X79569411Y-118406110D01* -X79574078Y-118413896D01* -X79577959Y-118422102D01* -X79581017Y-118430649D01* -X79583223Y-118439454D01* -X79584555Y-118448433D01* -X79585000Y-118457500D01* -X79585000Y-119642500D01* -X79584555Y-119651567D01* -X79583223Y-119660546D01* -X79581017Y-119669351D01* -X79577959Y-119677898D01* -X79574078Y-119686104D01* -X79569411Y-119693890D01* -X79564003Y-119701181D01* -X79557907Y-119707907D01* -X79551181Y-119714003D01* -X79543890Y-119719411D01* -X79536104Y-119724078D01* -X79527898Y-119727959D01* -X79519351Y-119731017D01* -X79510546Y-119733223D01* -X79501567Y-119734555D01* -X79492500Y-119735000D01* -X79307500Y-119735000D01* -X79298433Y-119734555D01* -X79289454Y-119733223D01* -X79280649Y-119731017D01* -X79272102Y-119727959D01* -X79263896Y-119724078D01* -X79256110Y-119719411D01* -X79248819Y-119714003D01* -X79242093Y-119707907D01* -X79235997Y-119701181D01* -X79230589Y-119693890D01* -X79225922Y-119686104D01* -X79222041Y-119677898D01* -X79218983Y-119669351D01* -X79216777Y-119660546D01* -X79215445Y-119651567D01* -X79215000Y-119642500D01* -X79215000Y-118457500D01* -X79215445Y-118448433D01* -X79216777Y-118439454D01* -X79218983Y-118430649D01* -X79222041Y-118422102D01* -X79225922Y-118413896D01* -X79230589Y-118406110D01* -X79235997Y-118398819D01* -X79242093Y-118392093D01* -X79248819Y-118385997D01* -X79256110Y-118380589D01* -X79263896Y-118375922D01* -X79272102Y-118372041D01* -X79280649Y-118368983D01* -X79289454Y-118366777D01* -X79298433Y-118365445D01* -X79307500Y-118365000D01* -X79492500Y-118365000D01* -X79501567Y-118365445D01* -G37* -G36* -X78851567Y-118365445D02* -G01* -X78860546Y-118366777D01* -X78869351Y-118368983D01* -X78877898Y-118372041D01* -X78886104Y-118375922D01* -X78893890Y-118380589D01* -X78901181Y-118385997D01* -X78907907Y-118392093D01* -X78914003Y-118398819D01* -X78919411Y-118406110D01* -X78924078Y-118413896D01* -X78927959Y-118422102D01* -X78931017Y-118430649D01* -X78933223Y-118439454D01* -X78934555Y-118448433D01* -X78935000Y-118457500D01* -X78935000Y-119642500D01* -X78934555Y-119651567D01* -X78933223Y-119660546D01* -X78931017Y-119669351D01* -X78927959Y-119677898D01* -X78924078Y-119686104D01* -X78919411Y-119693890D01* -X78914003Y-119701181D01* -X78907907Y-119707907D01* -X78901181Y-119714003D01* -X78893890Y-119719411D01* -X78886104Y-119724078D01* -X78877898Y-119727959D01* -X78869351Y-119731017D01* -X78860546Y-119733223D01* -X78851567Y-119734555D01* -X78842500Y-119735000D01* -X78657500Y-119735000D01* -X78648433Y-119734555D01* -X78639454Y-119733223D01* -X78630649Y-119731017D01* -X78622102Y-119727959D01* -X78613896Y-119724078D01* -X78606110Y-119719411D01* -X78598819Y-119714003D01* -X78592093Y-119707907D01* -X78585997Y-119701181D01* -X78580589Y-119693890D01* -X78575922Y-119686104D01* -X78572041Y-119677898D01* -X78568983Y-119669351D01* -X78566777Y-119660546D01* -X78565445Y-119651567D01* -X78565000Y-119642500D01* -X78565000Y-118457500D01* -X78565445Y-118448433D01* -X78566777Y-118439454D01* -X78568983Y-118430649D01* -X78572041Y-118422102D01* -X78575922Y-118413896D01* -X78580589Y-118406110D01* -X78585997Y-118398819D01* -X78592093Y-118392093D01* -X78598819Y-118385997D01* -X78606110Y-118380589D01* -X78613896Y-118375922D01* -X78622102Y-118372041D01* -X78630649Y-118368983D01* -X78639454Y-118366777D01* -X78648433Y-118365445D01* -X78657500Y-118365000D01* -X78842500Y-118365000D01* -X78851567Y-118365445D01* -G37* -G36* -X78201567Y-118365445D02* -G01* -X78210546Y-118366777D01* -X78219351Y-118368983D01* -X78227898Y-118372041D01* -X78236104Y-118375922D01* -X78243890Y-118380589D01* -X78251181Y-118385997D01* -X78257907Y-118392093D01* -X78264003Y-118398819D01* -X78269411Y-118406110D01* -X78274078Y-118413896D01* -X78277959Y-118422102D01* -X78281017Y-118430649D01* -X78283223Y-118439454D01* -X78284555Y-118448433D01* -X78285000Y-118457500D01* -X78285000Y-119642500D01* -X78284555Y-119651567D01* -X78283223Y-119660546D01* -X78281017Y-119669351D01* -X78277959Y-119677898D01* -X78274078Y-119686104D01* -X78269411Y-119693890D01* -X78264003Y-119701181D01* -X78257907Y-119707907D01* -X78251181Y-119714003D01* -X78243890Y-119719411D01* -X78236104Y-119724078D01* -X78227898Y-119727959D01* -X78219351Y-119731017D01* -X78210546Y-119733223D01* -X78201567Y-119734555D01* -X78192500Y-119735000D01* -X78007500Y-119735000D01* -X77998433Y-119734555D01* -X77989454Y-119733223D01* -X77980649Y-119731017D01* -X77972102Y-119727959D01* -X77963896Y-119724078D01* -X77956110Y-119719411D01* -X77948819Y-119714003D01* -X77942093Y-119707907D01* -X77935997Y-119701181D01* -X77930589Y-119693890D01* -X77925922Y-119686104D01* -X77922041Y-119677898D01* -X77918983Y-119669351D01* -X77916777Y-119660546D01* -X77915445Y-119651567D01* -X77915000Y-119642500D01* -X77915000Y-118457500D01* -X77915445Y-118448433D01* -X77916777Y-118439454D01* -X77918983Y-118430649D01* -X77922041Y-118422102D01* -X77925922Y-118413896D01* -X77930589Y-118406110D01* -X77935997Y-118398819D01* -X77942093Y-118392093D01* -X77948819Y-118385997D01* -X77956110Y-118380589D01* -X77963896Y-118375922D01* -X77972102Y-118372041D01* -X77980649Y-118368983D01* -X77989454Y-118366777D01* -X77998433Y-118365445D01* -X78007500Y-118365000D01* -X78192500Y-118365000D01* -X78201567Y-118365445D01* -G37* -G36* -X88113136Y-93035277D02* -G01* -X88118718Y-93036105D01* -X88124191Y-93037476D01* -X88129504Y-93039377D01* -X88134605Y-93041790D01* -X88139445Y-93044690D01* -X88143978Y-93048052D01* -X88148159Y-93051841D01* -X88151948Y-93056022D01* -X88155310Y-93060555D01* -X88158210Y-93065395D01* -X88160623Y-93070496D01* -X88162524Y-93075809D01* -X88163895Y-93081282D01* -X88164723Y-93086864D01* -X88165000Y-93092500D01* -X88165000Y-94382500D01* -X88164723Y-94388136D01* -X88163895Y-94393718D01* -X88162524Y-94399191D01* -X88160623Y-94404504D01* -X88158210Y-94409605D01* -X88155310Y-94414445D01* -X88151948Y-94418978D01* -X88148159Y-94423159D01* -X88143978Y-94426948D01* -X88139445Y-94430310D01* -X88134605Y-94433210D01* -X88129504Y-94435623D01* -X88124191Y-94437524D01* -X88118718Y-94438895D01* -X88113136Y-94439723D01* -X88107500Y-94440000D01* -X87992500Y-94440000D01* -X87986864Y-94439723D01* -X87981282Y-94438895D01* -X87975809Y-94437524D01* -X87970496Y-94435623D01* -X87965395Y-94433210D01* -X87960555Y-94430310D01* -X87956022Y-94426948D01* -X87951841Y-94423159D01* -X87948052Y-94418978D01* -X87944690Y-94414445D01* -X87941790Y-94409605D01* -X87939377Y-94404504D01* -X87937476Y-94399191D01* -X87936105Y-94393718D01* -X87935277Y-94388136D01* -X87935000Y-94382500D01* -X87935000Y-93092500D01* -X87935277Y-93086864D01* -X87936105Y-93081282D01* -X87937476Y-93075809D01* -X87939377Y-93070496D01* -X87941790Y-93065395D01* -X87944690Y-93060555D01* -X87948052Y-93056022D01* -X87951841Y-93051841D01* -X87956022Y-93048052D01* -X87960555Y-93044690D01* -X87965395Y-93041790D01* -X87970496Y-93039377D01* -X87975809Y-93037476D01* -X87981282Y-93036105D01* -X87986864Y-93035277D01* -X87992500Y-93035000D01* -X88107500Y-93035000D01* -X88113136Y-93035277D01* -G37* -G36* -X88613136Y-93035277D02* -G01* -X88618718Y-93036105D01* -X88624191Y-93037476D01* -X88629504Y-93039377D01* -X88634605Y-93041790D01* -X88639445Y-93044690D01* -X88643978Y-93048052D01* -X88648159Y-93051841D01* -X88651948Y-93056022D01* -X88655310Y-93060555D01* -X88658210Y-93065395D01* -X88660623Y-93070496D01* -X88662524Y-93075809D01* -X88663895Y-93081282D01* -X88664723Y-93086864D01* -X88665000Y-93092500D01* -X88665000Y-94382500D01* -X88664723Y-94388136D01* -X88663895Y-94393718D01* -X88662524Y-94399191D01* -X88660623Y-94404504D01* -X88658210Y-94409605D01* -X88655310Y-94414445D01* -X88651948Y-94418978D01* -X88648159Y-94423159D01* -X88643978Y-94426948D01* -X88639445Y-94430310D01* -X88634605Y-94433210D01* -X88629504Y-94435623D01* -X88624191Y-94437524D01* -X88618718Y-94438895D01* -X88613136Y-94439723D01* -X88607500Y-94440000D01* -X88492500Y-94440000D01* -X88486864Y-94439723D01* -X88481282Y-94438895D01* -X88475809Y-94437524D01* -X88470496Y-94435623D01* -X88465395Y-94433210D01* -X88460555Y-94430310D01* -X88456022Y-94426948D01* -X88451841Y-94423159D01* -X88448052Y-94418978D01* -X88444690Y-94414445D01* -X88441790Y-94409605D01* -X88439377Y-94404504D01* -X88437476Y-94399191D01* -X88436105Y-94393718D01* -X88435277Y-94388136D01* -X88435000Y-94382500D01* -X88435000Y-93092500D01* -X88435277Y-93086864D01* -X88436105Y-93081282D01* -X88437476Y-93075809D01* -X88439377Y-93070496D01* -X88441790Y-93065395D01* -X88444690Y-93060555D01* -X88448052Y-93056022D01* -X88451841Y-93051841D01* -X88456022Y-93048052D01* -X88460555Y-93044690D01* -X88465395Y-93041790D01* -X88470496Y-93039377D01* -X88475809Y-93037476D01* -X88481282Y-93036105D01* -X88486864Y-93035277D01* -X88492500Y-93035000D01* -X88607500Y-93035000D01* -X88613136Y-93035277D01* -G37* -G36* -X89113136Y-93035277D02* -G01* -X89118718Y-93036105D01* -X89124191Y-93037476D01* -X89129504Y-93039377D01* -X89134605Y-93041790D01* -X89139445Y-93044690D01* -X89143978Y-93048052D01* -X89148159Y-93051841D01* -X89151948Y-93056022D01* -X89155310Y-93060555D01* -X89158210Y-93065395D01* -X89160623Y-93070496D01* -X89162524Y-93075809D01* -X89163895Y-93081282D01* -X89164723Y-93086864D01* -X89165000Y-93092500D01* -X89165000Y-94382500D01* -X89164723Y-94388136D01* -X89163895Y-94393718D01* -X89162524Y-94399191D01* -X89160623Y-94404504D01* -X89158210Y-94409605D01* -X89155310Y-94414445D01* -X89151948Y-94418978D01* -X89148159Y-94423159D01* -X89143978Y-94426948D01* -X89139445Y-94430310D01* -X89134605Y-94433210D01* -X89129504Y-94435623D01* -X89124191Y-94437524D01* -X89118718Y-94438895D01* -X89113136Y-94439723D01* -X89107500Y-94440000D01* -X88992500Y-94440000D01* -X88986864Y-94439723D01* -X88981282Y-94438895D01* -X88975809Y-94437524D01* -X88970496Y-94435623D01* -X88965395Y-94433210D01* -X88960555Y-94430310D01* -X88956022Y-94426948D01* -X88951841Y-94423159D01* -X88948052Y-94418978D01* -X88944690Y-94414445D01* -X88941790Y-94409605D01* -X88939377Y-94404504D01* -X88937476Y-94399191D01* -X88936105Y-94393718D01* -X88935277Y-94388136D01* -X88935000Y-94382500D01* -X88935000Y-93092500D01* -X88935277Y-93086864D01* -X88936105Y-93081282D01* -X88937476Y-93075809D01* -X88939377Y-93070496D01* -X88941790Y-93065395D01* -X88944690Y-93060555D01* -X88948052Y-93056022D01* -X88951841Y-93051841D01* -X88956022Y-93048052D01* -X88960555Y-93044690D01* -X88965395Y-93041790D01* -X88970496Y-93039377D01* -X88975809Y-93037476D01* -X88981282Y-93036105D01* -X88986864Y-93035277D01* -X88992500Y-93035000D01* -X89107500Y-93035000D01* -X89113136Y-93035277D01* -G37* -G36* -X89613136Y-93035277D02* -G01* -X89618718Y-93036105D01* -X89624191Y-93037476D01* -X89629504Y-93039377D01* -X89634605Y-93041790D01* -X89639445Y-93044690D01* -X89643978Y-93048052D01* -X89648159Y-93051841D01* -X89651948Y-93056022D01* -X89655310Y-93060555D01* -X89658210Y-93065395D01* -X89660623Y-93070496D01* -X89662524Y-93075809D01* -X89663895Y-93081282D01* -X89664723Y-93086864D01* -X89665000Y-93092500D01* -X89665000Y-94382500D01* -X89664723Y-94388136D01* -X89663895Y-94393718D01* -X89662524Y-94399191D01* -X89660623Y-94404504D01* -X89658210Y-94409605D01* -X89655310Y-94414445D01* -X89651948Y-94418978D01* -X89648159Y-94423159D01* -X89643978Y-94426948D01* -X89639445Y-94430310D01* -X89634605Y-94433210D01* -X89629504Y-94435623D01* -X89624191Y-94437524D01* -X89618718Y-94438895D01* -X89613136Y-94439723D01* -X89607500Y-94440000D01* -X89492500Y-94440000D01* -X89486864Y-94439723D01* -X89481282Y-94438895D01* -X89475809Y-94437524D01* -X89470496Y-94435623D01* -X89465395Y-94433210D01* -X89460555Y-94430310D01* -X89456022Y-94426948D01* -X89451841Y-94423159D01* -X89448052Y-94418978D01* -X89444690Y-94414445D01* -X89441790Y-94409605D01* -X89439377Y-94404504D01* -X89437476Y-94399191D01* -X89436105Y-94393718D01* -X89435277Y-94388136D01* -X89435000Y-94382500D01* -X89435000Y-93092500D01* -X89435277Y-93086864D01* -X89436105Y-93081282D01* -X89437476Y-93075809D01* -X89439377Y-93070496D01* -X89441790Y-93065395D01* -X89444690Y-93060555D01* -X89448052Y-93056022D01* -X89451841Y-93051841D01* -X89456022Y-93048052D01* -X89460555Y-93044690D01* -X89465395Y-93041790D01* -X89470496Y-93039377D01* -X89475809Y-93037476D01* -X89481282Y-93036105D01* -X89486864Y-93035277D01* -X89492500Y-93035000D01* -X89607500Y-93035000D01* -X89613136Y-93035277D01* -G37* -G36* -X90113136Y-93035277D02* -G01* -X90118718Y-93036105D01* -X90124191Y-93037476D01* -X90129504Y-93039377D01* -X90134605Y-93041790D01* -X90139445Y-93044690D01* -X90143978Y-93048052D01* -X90148159Y-93051841D01* -X90151948Y-93056022D01* -X90155310Y-93060555D01* -X90158210Y-93065395D01* -X90160623Y-93070496D01* -X90162524Y-93075809D01* -X90163895Y-93081282D01* -X90164723Y-93086864D01* -X90165000Y-93092500D01* -X90165000Y-94382500D01* -X90164723Y-94388136D01* -X90163895Y-94393718D01* -X90162524Y-94399191D01* -X90160623Y-94404504D01* -X90158210Y-94409605D01* -X90155310Y-94414445D01* -X90151948Y-94418978D01* -X90148159Y-94423159D01* -X90143978Y-94426948D01* -X90139445Y-94430310D01* -X90134605Y-94433210D01* -X90129504Y-94435623D01* -X90124191Y-94437524D01* -X90118718Y-94438895D01* -X90113136Y-94439723D01* -X90107500Y-94440000D01* -X89992500Y-94440000D01* -X89986864Y-94439723D01* -X89981282Y-94438895D01* -X89975809Y-94437524D01* -X89970496Y-94435623D01* -X89965395Y-94433210D01* -X89960555Y-94430310D01* -X89956022Y-94426948D01* -X89951841Y-94423159D01* -X89948052Y-94418978D01* -X89944690Y-94414445D01* -X89941790Y-94409605D01* -X89939377Y-94404504D01* -X89937476Y-94399191D01* -X89936105Y-94393718D01* -X89935277Y-94388136D01* -X89935000Y-94382500D01* -X89935000Y-93092500D01* -X89935277Y-93086864D01* -X89936105Y-93081282D01* -X89937476Y-93075809D01* -X89939377Y-93070496D01* -X89941790Y-93065395D01* -X89944690Y-93060555D01* -X89948052Y-93056022D01* -X89951841Y-93051841D01* -X89956022Y-93048052D01* -X89960555Y-93044690D01* -X89965395Y-93041790D01* -X89970496Y-93039377D01* -X89975809Y-93037476D01* -X89981282Y-93036105D01* -X89986864Y-93035277D01* -X89992500Y-93035000D01* -X90107500Y-93035000D01* -X90113136Y-93035277D01* -G37* -G36* -X90613136Y-93035277D02* -G01* -X90618718Y-93036105D01* -X90624191Y-93037476D01* -X90629504Y-93039377D01* -X90634605Y-93041790D01* -X90639445Y-93044690D01* -X90643978Y-93048052D01* -X90648159Y-93051841D01* -X90651948Y-93056022D01* -X90655310Y-93060555D01* -X90658210Y-93065395D01* -X90660623Y-93070496D01* -X90662524Y-93075809D01* -X90663895Y-93081282D01* -X90664723Y-93086864D01* -X90665000Y-93092500D01* -X90665000Y-94382500D01* -X90664723Y-94388136D01* -X90663895Y-94393718D01* -X90662524Y-94399191D01* -X90660623Y-94404504D01* -X90658210Y-94409605D01* -X90655310Y-94414445D01* -X90651948Y-94418978D01* -X90648159Y-94423159D01* -X90643978Y-94426948D01* -X90639445Y-94430310D01* -X90634605Y-94433210D01* -X90629504Y-94435623D01* -X90624191Y-94437524D01* -X90618718Y-94438895D01* -X90613136Y-94439723D01* -X90607500Y-94440000D01* -X90492500Y-94440000D01* -X90486864Y-94439723D01* -X90481282Y-94438895D01* -X90475809Y-94437524D01* -X90470496Y-94435623D01* -X90465395Y-94433210D01* -X90460555Y-94430310D01* -X90456022Y-94426948D01* -X90451841Y-94423159D01* -X90448052Y-94418978D01* -X90444690Y-94414445D01* -X90441790Y-94409605D01* -X90439377Y-94404504D01* -X90437476Y-94399191D01* -X90436105Y-94393718D01* -X90435277Y-94388136D01* -X90435000Y-94382500D01* -X90435000Y-93092500D01* -X90435277Y-93086864D01* -X90436105Y-93081282D01* -X90437476Y-93075809D01* -X90439377Y-93070496D01* -X90441790Y-93065395D01* -X90444690Y-93060555D01* -X90448052Y-93056022D01* -X90451841Y-93051841D01* -X90456022Y-93048052D01* -X90460555Y-93044690D01* -X90465395Y-93041790D01* -X90470496Y-93039377D01* -X90475809Y-93037476D01* -X90481282Y-93036105D01* -X90486864Y-93035277D01* -X90492500Y-93035000D01* -X90607500Y-93035000D01* -X90613136Y-93035277D01* -G37* -G36* -X91113136Y-93035277D02* -G01* -X91118718Y-93036105D01* -X91124191Y-93037476D01* -X91129504Y-93039377D01* -X91134605Y-93041790D01* -X91139445Y-93044690D01* -X91143978Y-93048052D01* -X91148159Y-93051841D01* -X91151948Y-93056022D01* -X91155310Y-93060555D01* -X91158210Y-93065395D01* -X91160623Y-93070496D01* -X91162524Y-93075809D01* -X91163895Y-93081282D01* -X91164723Y-93086864D01* -X91165000Y-93092500D01* -X91165000Y-94382500D01* -X91164723Y-94388136D01* -X91163895Y-94393718D01* -X91162524Y-94399191D01* -X91160623Y-94404504D01* -X91158210Y-94409605D01* -X91155310Y-94414445D01* -X91151948Y-94418978D01* -X91148159Y-94423159D01* -X91143978Y-94426948D01* -X91139445Y-94430310D01* -X91134605Y-94433210D01* -X91129504Y-94435623D01* -X91124191Y-94437524D01* -X91118718Y-94438895D01* -X91113136Y-94439723D01* -X91107500Y-94440000D01* -X90992500Y-94440000D01* -X90986864Y-94439723D01* -X90981282Y-94438895D01* -X90975809Y-94437524D01* -X90970496Y-94435623D01* -X90965395Y-94433210D01* -X90960555Y-94430310D01* -X90956022Y-94426948D01* -X90951841Y-94423159D01* -X90948052Y-94418978D01* -X90944690Y-94414445D01* -X90941790Y-94409605D01* -X90939377Y-94404504D01* -X90937476Y-94399191D01* -X90936105Y-94393718D01* -X90935277Y-94388136D01* -X90935000Y-94382500D01* -X90935000Y-93092500D01* -X90935277Y-93086864D01* -X90936105Y-93081282D01* -X90937476Y-93075809D01* -X90939377Y-93070496D01* -X90941790Y-93065395D01* -X90944690Y-93060555D01* -X90948052Y-93056022D01* -X90951841Y-93051841D01* -X90956022Y-93048052D01* -X90960555Y-93044690D01* -X90965395Y-93041790D01* -X90970496Y-93039377D01* -X90975809Y-93037476D01* -X90981282Y-93036105D01* -X90986864Y-93035277D01* -X90992500Y-93035000D01* -X91107500Y-93035000D01* -X91113136Y-93035277D01* -G37* -G36* -X91613136Y-93035277D02* -G01* -X91618718Y-93036105D01* -X91624191Y-93037476D01* -X91629504Y-93039377D01* -X91634605Y-93041790D01* -X91639445Y-93044690D01* -X91643978Y-93048052D01* -X91648159Y-93051841D01* -X91651948Y-93056022D01* -X91655310Y-93060555D01* -X91658210Y-93065395D01* -X91660623Y-93070496D01* -X91662524Y-93075809D01* -X91663895Y-93081282D01* -X91664723Y-93086864D01* -X91665000Y-93092500D01* -X91665000Y-94382500D01* -X91664723Y-94388136D01* -X91663895Y-94393718D01* -X91662524Y-94399191D01* -X91660623Y-94404504D01* -X91658210Y-94409605D01* -X91655310Y-94414445D01* -X91651948Y-94418978D01* -X91648159Y-94423159D01* -X91643978Y-94426948D01* -X91639445Y-94430310D01* -X91634605Y-94433210D01* -X91629504Y-94435623D01* -X91624191Y-94437524D01* -X91618718Y-94438895D01* -X91613136Y-94439723D01* -X91607500Y-94440000D01* -X91492500Y-94440000D01* -X91486864Y-94439723D01* -X91481282Y-94438895D01* -X91475809Y-94437524D01* -X91470496Y-94435623D01* -X91465395Y-94433210D01* -X91460555Y-94430310D01* -X91456022Y-94426948D01* -X91451841Y-94423159D01* -X91448052Y-94418978D01* -X91444690Y-94414445D01* -X91441790Y-94409605D01* -X91439377Y-94404504D01* -X91437476Y-94399191D01* -X91436105Y-94393718D01* -X91435277Y-94388136D01* -X91435000Y-94382500D01* -X91435000Y-93092500D01* -X91435277Y-93086864D01* -X91436105Y-93081282D01* -X91437476Y-93075809D01* -X91439377Y-93070496D01* -X91441790Y-93065395D01* -X91444690Y-93060555D01* -X91448052Y-93056022D01* -X91451841Y-93051841D01* -X91456022Y-93048052D01* -X91460555Y-93044690D01* -X91465395Y-93041790D01* -X91470496Y-93039377D01* -X91475809Y-93037476D01* -X91481282Y-93036105D01* -X91486864Y-93035277D01* -X91492500Y-93035000D01* -X91607500Y-93035000D01* -X91613136Y-93035277D01* -G37* -G36* -X92113136Y-93035277D02* -G01* -X92118718Y-93036105D01* -X92124191Y-93037476D01* -X92129504Y-93039377D01* -X92134605Y-93041790D01* -X92139445Y-93044690D01* -X92143978Y-93048052D01* -X92148159Y-93051841D01* -X92151948Y-93056022D01* -X92155310Y-93060555D01* -X92158210Y-93065395D01* -X92160623Y-93070496D01* -X92162524Y-93075809D01* -X92163895Y-93081282D01* -X92164723Y-93086864D01* -X92165000Y-93092500D01* -X92165000Y-94382500D01* -X92164723Y-94388136D01* -X92163895Y-94393718D01* -X92162524Y-94399191D01* -X92160623Y-94404504D01* -X92158210Y-94409605D01* -X92155310Y-94414445D01* -X92151948Y-94418978D01* -X92148159Y-94423159D01* -X92143978Y-94426948D01* -X92139445Y-94430310D01* -X92134605Y-94433210D01* -X92129504Y-94435623D01* -X92124191Y-94437524D01* -X92118718Y-94438895D01* -X92113136Y-94439723D01* -X92107500Y-94440000D01* -X91992500Y-94440000D01* -X91986864Y-94439723D01* -X91981282Y-94438895D01* -X91975809Y-94437524D01* -X91970496Y-94435623D01* -X91965395Y-94433210D01* -X91960555Y-94430310D01* -X91956022Y-94426948D01* -X91951841Y-94423159D01* -X91948052Y-94418978D01* -X91944690Y-94414445D01* -X91941790Y-94409605D01* -X91939377Y-94404504D01* -X91937476Y-94399191D01* -X91936105Y-94393718D01* -X91935277Y-94388136D01* -X91935000Y-94382500D01* -X91935000Y-93092500D01* -X91935277Y-93086864D01* -X91936105Y-93081282D01* -X91937476Y-93075809D01* -X91939377Y-93070496D01* -X91941790Y-93065395D01* -X91944690Y-93060555D01* -X91948052Y-93056022D01* -X91951841Y-93051841D01* -X91956022Y-93048052D01* -X91960555Y-93044690D01* -X91965395Y-93041790D01* -X91970496Y-93039377D01* -X91975809Y-93037476D01* -X91981282Y-93036105D01* -X91986864Y-93035277D01* -X91992500Y-93035000D01* -X92107500Y-93035000D01* -X92113136Y-93035277D01* -G37* -G36* -X92613136Y-93035277D02* -G01* -X92618718Y-93036105D01* -X92624191Y-93037476D01* -X92629504Y-93039377D01* -X92634605Y-93041790D01* -X92639445Y-93044690D01* -X92643978Y-93048052D01* -X92648159Y-93051841D01* -X92651948Y-93056022D01* -X92655310Y-93060555D01* -X92658210Y-93065395D01* -X92660623Y-93070496D01* -X92662524Y-93075809D01* -X92663895Y-93081282D01* -X92664723Y-93086864D01* -X92665000Y-93092500D01* -X92665000Y-94382500D01* -X92664723Y-94388136D01* -X92663895Y-94393718D01* -X92662524Y-94399191D01* -X92660623Y-94404504D01* -X92658210Y-94409605D01* -X92655310Y-94414445D01* -X92651948Y-94418978D01* -X92648159Y-94423159D01* -X92643978Y-94426948D01* -X92639445Y-94430310D01* -X92634605Y-94433210D01* -X92629504Y-94435623D01* -X92624191Y-94437524D01* -X92618718Y-94438895D01* -X92613136Y-94439723D01* -X92607500Y-94440000D01* -X92492500Y-94440000D01* -X92486864Y-94439723D01* -X92481282Y-94438895D01* -X92475809Y-94437524D01* -X92470496Y-94435623D01* -X92465395Y-94433210D01* -X92460555Y-94430310D01* -X92456022Y-94426948D01* -X92451841Y-94423159D01* -X92448052Y-94418978D01* -X92444690Y-94414445D01* -X92441790Y-94409605D01* -X92439377Y-94404504D01* -X92437476Y-94399191D01* -X92436105Y-94393718D01* -X92435277Y-94388136D01* -X92435000Y-94382500D01* -X92435000Y-93092500D01* -X92435277Y-93086864D01* -X92436105Y-93081282D01* -X92437476Y-93075809D01* -X92439377Y-93070496D01* -X92441790Y-93065395D01* -X92444690Y-93060555D01* -X92448052Y-93056022D01* -X92451841Y-93051841D01* -X92456022Y-93048052D01* -X92460555Y-93044690D01* -X92465395Y-93041790D01* -X92470496Y-93039377D01* -X92475809Y-93037476D01* -X92481282Y-93036105D01* -X92486864Y-93035277D01* -X92492500Y-93035000D01* -X92607500Y-93035000D01* -X92613136Y-93035277D01* -G37* -G36* -X93113136Y-93035277D02* -G01* -X93118718Y-93036105D01* -X93124191Y-93037476D01* -X93129504Y-93039377D01* -X93134605Y-93041790D01* -X93139445Y-93044690D01* -X93143978Y-93048052D01* -X93148159Y-93051841D01* -X93151948Y-93056022D01* -X93155310Y-93060555D01* -X93158210Y-93065395D01* -X93160623Y-93070496D01* -X93162524Y-93075809D01* -X93163895Y-93081282D01* -X93164723Y-93086864D01* -X93165000Y-93092500D01* -X93165000Y-94382500D01* -X93164723Y-94388136D01* -X93163895Y-94393718D01* -X93162524Y-94399191D01* -X93160623Y-94404504D01* -X93158210Y-94409605D01* -X93155310Y-94414445D01* -X93151948Y-94418978D01* -X93148159Y-94423159D01* -X93143978Y-94426948D01* -X93139445Y-94430310D01* -X93134605Y-94433210D01* -X93129504Y-94435623D01* -X93124191Y-94437524D01* -X93118718Y-94438895D01* -X93113136Y-94439723D01* -X93107500Y-94440000D01* -X92992500Y-94440000D01* -X92986864Y-94439723D01* -X92981282Y-94438895D01* -X92975809Y-94437524D01* -X92970496Y-94435623D01* -X92965395Y-94433210D01* -X92960555Y-94430310D01* -X92956022Y-94426948D01* -X92951841Y-94423159D01* -X92948052Y-94418978D01* -X92944690Y-94414445D01* -X92941790Y-94409605D01* -X92939377Y-94404504D01* -X92937476Y-94399191D01* -X92936105Y-94393718D01* -X92935277Y-94388136D01* -X92935000Y-94382500D01* -X92935000Y-93092500D01* -X92935277Y-93086864D01* -X92936105Y-93081282D01* -X92937476Y-93075809D01* -X92939377Y-93070496D01* -X92941790Y-93065395D01* -X92944690Y-93060555D01* -X92948052Y-93056022D01* -X92951841Y-93051841D01* -X92956022Y-93048052D01* -X92960555Y-93044690D01* -X92965395Y-93041790D01* -X92970496Y-93039377D01* -X92975809Y-93037476D01* -X92981282Y-93036105D01* -X92986864Y-93035277D01* -X92992500Y-93035000D01* -X93107500Y-93035000D01* -X93113136Y-93035277D01* -G37* -G36* -X93613136Y-93035277D02* -G01* -X93618718Y-93036105D01* -X93624191Y-93037476D01* -X93629504Y-93039377D01* -X93634605Y-93041790D01* -X93639445Y-93044690D01* -X93643978Y-93048052D01* -X93648159Y-93051841D01* -X93651948Y-93056022D01* -X93655310Y-93060555D01* -X93658210Y-93065395D01* -X93660623Y-93070496D01* -X93662524Y-93075809D01* -X93663895Y-93081282D01* -X93664723Y-93086864D01* -X93665000Y-93092500D01* -X93665000Y-94382500D01* -X93664723Y-94388136D01* -X93663895Y-94393718D01* -X93662524Y-94399191D01* -X93660623Y-94404504D01* -X93658210Y-94409605D01* -X93655310Y-94414445D01* -X93651948Y-94418978D01* -X93648159Y-94423159D01* -X93643978Y-94426948D01* -X93639445Y-94430310D01* -X93634605Y-94433210D01* -X93629504Y-94435623D01* -X93624191Y-94437524D01* -X93618718Y-94438895D01* -X93613136Y-94439723D01* -X93607500Y-94440000D01* -X93492500Y-94440000D01* -X93486864Y-94439723D01* -X93481282Y-94438895D01* -X93475809Y-94437524D01* -X93470496Y-94435623D01* -X93465395Y-94433210D01* -X93460555Y-94430310D01* -X93456022Y-94426948D01* -X93451841Y-94423159D01* -X93448052Y-94418978D01* -X93444690Y-94414445D01* -X93441790Y-94409605D01* -X93439377Y-94404504D01* -X93437476Y-94399191D01* -X93436105Y-94393718D01* -X93435277Y-94388136D01* -X93435000Y-94382500D01* -X93435000Y-93092500D01* -X93435277Y-93086864D01* -X93436105Y-93081282D01* -X93437476Y-93075809D01* -X93439377Y-93070496D01* -X93441790Y-93065395D01* -X93444690Y-93060555D01* -X93448052Y-93056022D01* -X93451841Y-93051841D01* -X93456022Y-93048052D01* -X93460555Y-93044690D01* -X93465395Y-93041790D01* -X93470496Y-93039377D01* -X93475809Y-93037476D01* -X93481282Y-93036105D01* -X93486864Y-93035277D01* -X93492500Y-93035000D01* -X93607500Y-93035000D01* -X93613136Y-93035277D01* -G37* -G36* -X94113136Y-93035277D02* -G01* -X94118718Y-93036105D01* -X94124191Y-93037476D01* -X94129504Y-93039377D01* -X94134605Y-93041790D01* -X94139445Y-93044690D01* -X94143978Y-93048052D01* -X94148159Y-93051841D01* -X94151948Y-93056022D01* -X94155310Y-93060555D01* -X94158210Y-93065395D01* -X94160623Y-93070496D01* -X94162524Y-93075809D01* -X94163895Y-93081282D01* -X94164723Y-93086864D01* -X94165000Y-93092500D01* -X94165000Y-94382500D01* -X94164723Y-94388136D01* -X94163895Y-94393718D01* -X94162524Y-94399191D01* -X94160623Y-94404504D01* -X94158210Y-94409605D01* -X94155310Y-94414445D01* -X94151948Y-94418978D01* -X94148159Y-94423159D01* -X94143978Y-94426948D01* -X94139445Y-94430310D01* -X94134605Y-94433210D01* -X94129504Y-94435623D01* -X94124191Y-94437524D01* -X94118718Y-94438895D01* -X94113136Y-94439723D01* -X94107500Y-94440000D01* -X93992500Y-94440000D01* -X93986864Y-94439723D01* -X93981282Y-94438895D01* -X93975809Y-94437524D01* -X93970496Y-94435623D01* -X93965395Y-94433210D01* -X93960555Y-94430310D01* -X93956022Y-94426948D01* -X93951841Y-94423159D01* -X93948052Y-94418978D01* -X93944690Y-94414445D01* -X93941790Y-94409605D01* -X93939377Y-94404504D01* -X93937476Y-94399191D01* -X93936105Y-94393718D01* -X93935277Y-94388136D01* -X93935000Y-94382500D01* -X93935000Y-93092500D01* -X93935277Y-93086864D01* -X93936105Y-93081282D01* -X93937476Y-93075809D01* -X93939377Y-93070496D01* -X93941790Y-93065395D01* -X93944690Y-93060555D01* -X93948052Y-93056022D01* -X93951841Y-93051841D01* -X93956022Y-93048052D01* -X93960555Y-93044690D01* -X93965395Y-93041790D01* -X93970496Y-93039377D01* -X93975809Y-93037476D01* -X93981282Y-93036105D01* -X93986864Y-93035277D01* -X93992500Y-93035000D01* -X94107500Y-93035000D01* -X94113136Y-93035277D01* -G37* -G36* -X94613136Y-93035277D02* -G01* -X94618718Y-93036105D01* -X94624191Y-93037476D01* -X94629504Y-93039377D01* -X94634605Y-93041790D01* -X94639445Y-93044690D01* -X94643978Y-93048052D01* -X94648159Y-93051841D01* -X94651948Y-93056022D01* -X94655310Y-93060555D01* -X94658210Y-93065395D01* -X94660623Y-93070496D01* -X94662524Y-93075809D01* -X94663895Y-93081282D01* -X94664723Y-93086864D01* -X94665000Y-93092500D01* -X94665000Y-94382500D01* -X94664723Y-94388136D01* -X94663895Y-94393718D01* -X94662524Y-94399191D01* -X94660623Y-94404504D01* -X94658210Y-94409605D01* -X94655310Y-94414445D01* -X94651948Y-94418978D01* -X94648159Y-94423159D01* -X94643978Y-94426948D01* -X94639445Y-94430310D01* -X94634605Y-94433210D01* -X94629504Y-94435623D01* -X94624191Y-94437524D01* -X94618718Y-94438895D01* -X94613136Y-94439723D01* -X94607500Y-94440000D01* -X94492500Y-94440000D01* -X94486864Y-94439723D01* -X94481282Y-94438895D01* -X94475809Y-94437524D01* -X94470496Y-94435623D01* -X94465395Y-94433210D01* -X94460555Y-94430310D01* -X94456022Y-94426948D01* -X94451841Y-94423159D01* -X94448052Y-94418978D01* -X94444690Y-94414445D01* -X94441790Y-94409605D01* -X94439377Y-94404504D01* -X94437476Y-94399191D01* -X94436105Y-94393718D01* -X94435277Y-94388136D01* -X94435000Y-94382500D01* -X94435000Y-93092500D01* -X94435277Y-93086864D01* -X94436105Y-93081282D01* -X94437476Y-93075809D01* -X94439377Y-93070496D01* -X94441790Y-93065395D01* -X94444690Y-93060555D01* -X94448052Y-93056022D01* -X94451841Y-93051841D01* -X94456022Y-93048052D01* -X94460555Y-93044690D01* -X94465395Y-93041790D01* -X94470496Y-93039377D01* -X94475809Y-93037476D01* -X94481282Y-93036105D01* -X94486864Y-93035277D01* -X94492500Y-93035000D01* -X94607500Y-93035000D01* -X94613136Y-93035277D01* -G37* -G36* -X95113136Y-93035277D02* -G01* -X95118718Y-93036105D01* -X95124191Y-93037476D01* -X95129504Y-93039377D01* -X95134605Y-93041790D01* -X95139445Y-93044690D01* -X95143978Y-93048052D01* -X95148159Y-93051841D01* -X95151948Y-93056022D01* -X95155310Y-93060555D01* -X95158210Y-93065395D01* -X95160623Y-93070496D01* -X95162524Y-93075809D01* -X95163895Y-93081282D01* -X95164723Y-93086864D01* -X95165000Y-93092500D01* -X95165000Y-94382500D01* -X95164723Y-94388136D01* -X95163895Y-94393718D01* -X95162524Y-94399191D01* -X95160623Y-94404504D01* -X95158210Y-94409605D01* -X95155310Y-94414445D01* -X95151948Y-94418978D01* -X95148159Y-94423159D01* -X95143978Y-94426948D01* -X95139445Y-94430310D01* -X95134605Y-94433210D01* -X95129504Y-94435623D01* -X95124191Y-94437524D01* -X95118718Y-94438895D01* -X95113136Y-94439723D01* -X95107500Y-94440000D01* -X94992500Y-94440000D01* -X94986864Y-94439723D01* -X94981282Y-94438895D01* -X94975809Y-94437524D01* -X94970496Y-94435623D01* -X94965395Y-94433210D01* -X94960555Y-94430310D01* -X94956022Y-94426948D01* -X94951841Y-94423159D01* -X94948052Y-94418978D01* -X94944690Y-94414445D01* -X94941790Y-94409605D01* -X94939377Y-94404504D01* -X94937476Y-94399191D01* -X94936105Y-94393718D01* -X94935277Y-94388136D01* -X94935000Y-94382500D01* -X94935000Y-93092500D01* -X94935277Y-93086864D01* -X94936105Y-93081282D01* -X94937476Y-93075809D01* -X94939377Y-93070496D01* -X94941790Y-93065395D01* -X94944690Y-93060555D01* -X94948052Y-93056022D01* -X94951841Y-93051841D01* -X94956022Y-93048052D01* -X94960555Y-93044690D01* -X94965395Y-93041790D01* -X94970496Y-93039377D01* -X94975809Y-93037476D01* -X94981282Y-93036105D01* -X94986864Y-93035277D01* -X94992500Y-93035000D01* -X95107500Y-93035000D01* -X95113136Y-93035277D01* -G37* -G36* -X95613136Y-93035277D02* -G01* -X95618718Y-93036105D01* -X95624191Y-93037476D01* -X95629504Y-93039377D01* -X95634605Y-93041790D01* -X95639445Y-93044690D01* -X95643978Y-93048052D01* -X95648159Y-93051841D01* -X95651948Y-93056022D01* -X95655310Y-93060555D01* -X95658210Y-93065395D01* -X95660623Y-93070496D01* -X95662524Y-93075809D01* -X95663895Y-93081282D01* -X95664723Y-93086864D01* -X95665000Y-93092500D01* -X95665000Y-94382500D01* -X95664723Y-94388136D01* -X95663895Y-94393718D01* -X95662524Y-94399191D01* -X95660623Y-94404504D01* -X95658210Y-94409605D01* -X95655310Y-94414445D01* -X95651948Y-94418978D01* -X95648159Y-94423159D01* -X95643978Y-94426948D01* -X95639445Y-94430310D01* -X95634605Y-94433210D01* -X95629504Y-94435623D01* -X95624191Y-94437524D01* -X95618718Y-94438895D01* -X95613136Y-94439723D01* -X95607500Y-94440000D01* -X95492500Y-94440000D01* -X95486864Y-94439723D01* -X95481282Y-94438895D01* -X95475809Y-94437524D01* -X95470496Y-94435623D01* -X95465395Y-94433210D01* -X95460555Y-94430310D01* -X95456022Y-94426948D01* -X95451841Y-94423159D01* -X95448052Y-94418978D01* -X95444690Y-94414445D01* -X95441790Y-94409605D01* -X95439377Y-94404504D01* -X95437476Y-94399191D01* -X95436105Y-94393718D01* -X95435277Y-94388136D01* -X95435000Y-94382500D01* -X95435000Y-93092500D01* -X95435277Y-93086864D01* -X95436105Y-93081282D01* -X95437476Y-93075809D01* -X95439377Y-93070496D01* -X95441790Y-93065395D01* -X95444690Y-93060555D01* -X95448052Y-93056022D01* -X95451841Y-93051841D01* -X95456022Y-93048052D01* -X95460555Y-93044690D01* -X95465395Y-93041790D01* -X95470496Y-93039377D01* -X95475809Y-93037476D01* -X95481282Y-93036105D01* -X95486864Y-93035277D01* -X95492500Y-93035000D01* -X95607500Y-93035000D01* -X95613136Y-93035277D01* -G37* -G36* -X96113136Y-93035277D02* -G01* -X96118718Y-93036105D01* -X96124191Y-93037476D01* -X96129504Y-93039377D01* -X96134605Y-93041790D01* -X96139445Y-93044690D01* -X96143978Y-93048052D01* -X96148159Y-93051841D01* -X96151948Y-93056022D01* -X96155310Y-93060555D01* -X96158210Y-93065395D01* -X96160623Y-93070496D01* -X96162524Y-93075809D01* -X96163895Y-93081282D01* -X96164723Y-93086864D01* -X96165000Y-93092500D01* -X96165000Y-94382500D01* -X96164723Y-94388136D01* -X96163895Y-94393718D01* -X96162524Y-94399191D01* -X96160623Y-94404504D01* -X96158210Y-94409605D01* -X96155310Y-94414445D01* -X96151948Y-94418978D01* -X96148159Y-94423159D01* -X96143978Y-94426948D01* -X96139445Y-94430310D01* -X96134605Y-94433210D01* -X96129504Y-94435623D01* -X96124191Y-94437524D01* -X96118718Y-94438895D01* -X96113136Y-94439723D01* -X96107500Y-94440000D01* -X95992500Y-94440000D01* -X95986864Y-94439723D01* -X95981282Y-94438895D01* -X95975809Y-94437524D01* -X95970496Y-94435623D01* -X95965395Y-94433210D01* -X95960555Y-94430310D01* -X95956022Y-94426948D01* -X95951841Y-94423159D01* -X95948052Y-94418978D01* -X95944690Y-94414445D01* -X95941790Y-94409605D01* -X95939377Y-94404504D01* -X95937476Y-94399191D01* -X95936105Y-94393718D01* -X95935277Y-94388136D01* -X95935000Y-94382500D01* -X95935000Y-93092500D01* -X95935277Y-93086864D01* -X95936105Y-93081282D01* -X95937476Y-93075809D01* -X95939377Y-93070496D01* -X95941790Y-93065395D01* -X95944690Y-93060555D01* -X95948052Y-93056022D01* -X95951841Y-93051841D01* -X95956022Y-93048052D01* -X95960555Y-93044690D01* -X95965395Y-93041790D01* -X95970496Y-93039377D01* -X95975809Y-93037476D01* -X95981282Y-93036105D01* -X95986864Y-93035277D01* -X95992500Y-93035000D01* -X96107500Y-93035000D01* -X96113136Y-93035277D01* -G37* -G36* -X96613136Y-93035277D02* -G01* -X96618718Y-93036105D01* -X96624191Y-93037476D01* -X96629504Y-93039377D01* -X96634605Y-93041790D01* -X96639445Y-93044690D01* -X96643978Y-93048052D01* -X96648159Y-93051841D01* -X96651948Y-93056022D01* -X96655310Y-93060555D01* -X96658210Y-93065395D01* -X96660623Y-93070496D01* -X96662524Y-93075809D01* -X96663895Y-93081282D01* -X96664723Y-93086864D01* -X96665000Y-93092500D01* -X96665000Y-94382500D01* -X96664723Y-94388136D01* -X96663895Y-94393718D01* -X96662524Y-94399191D01* -X96660623Y-94404504D01* -X96658210Y-94409605D01* -X96655310Y-94414445D01* -X96651948Y-94418978D01* -X96648159Y-94423159D01* -X96643978Y-94426948D01* -X96639445Y-94430310D01* -X96634605Y-94433210D01* -X96629504Y-94435623D01* -X96624191Y-94437524D01* -X96618718Y-94438895D01* -X96613136Y-94439723D01* -X96607500Y-94440000D01* -X96492500Y-94440000D01* -X96486864Y-94439723D01* -X96481282Y-94438895D01* -X96475809Y-94437524D01* -X96470496Y-94435623D01* -X96465395Y-94433210D01* -X96460555Y-94430310D01* -X96456022Y-94426948D01* -X96451841Y-94423159D01* -X96448052Y-94418978D01* -X96444690Y-94414445D01* -X96441790Y-94409605D01* -X96439377Y-94404504D01* -X96437476Y-94399191D01* -X96436105Y-94393718D01* -X96435277Y-94388136D01* -X96435000Y-94382500D01* -X96435000Y-93092500D01* -X96435277Y-93086864D01* -X96436105Y-93081282D01* -X96437476Y-93075809D01* -X96439377Y-93070496D01* -X96441790Y-93065395D01* -X96444690Y-93060555D01* -X96448052Y-93056022D01* -X96451841Y-93051841D01* -X96456022Y-93048052D01* -X96460555Y-93044690D01* -X96465395Y-93041790D01* -X96470496Y-93039377D01* -X96475809Y-93037476D01* -X96481282Y-93036105D01* -X96486864Y-93035277D01* -X96492500Y-93035000D01* -X96607500Y-93035000D01* -X96613136Y-93035277D01* -G37* -G36* -X97113136Y-93035277D02* -G01* -X97118718Y-93036105D01* -X97124191Y-93037476D01* -X97129504Y-93039377D01* -X97134605Y-93041790D01* -X97139445Y-93044690D01* -X97143978Y-93048052D01* -X97148159Y-93051841D01* -X97151948Y-93056022D01* -X97155310Y-93060555D01* -X97158210Y-93065395D01* -X97160623Y-93070496D01* -X97162524Y-93075809D01* -X97163895Y-93081282D01* -X97164723Y-93086864D01* -X97165000Y-93092500D01* -X97165000Y-94382500D01* -X97164723Y-94388136D01* -X97163895Y-94393718D01* -X97162524Y-94399191D01* -X97160623Y-94404504D01* -X97158210Y-94409605D01* -X97155310Y-94414445D01* -X97151948Y-94418978D01* -X97148159Y-94423159D01* -X97143978Y-94426948D01* -X97139445Y-94430310D01* -X97134605Y-94433210D01* -X97129504Y-94435623D01* -X97124191Y-94437524D01* -X97118718Y-94438895D01* -X97113136Y-94439723D01* -X97107500Y-94440000D01* -X96992500Y-94440000D01* -X96986864Y-94439723D01* -X96981282Y-94438895D01* -X96975809Y-94437524D01* -X96970496Y-94435623D01* -X96965395Y-94433210D01* -X96960555Y-94430310D01* -X96956022Y-94426948D01* -X96951841Y-94423159D01* -X96948052Y-94418978D01* -X96944690Y-94414445D01* -X96941790Y-94409605D01* -X96939377Y-94404504D01* -X96937476Y-94399191D01* -X96936105Y-94393718D01* -X96935277Y-94388136D01* -X96935000Y-94382500D01* -X96935000Y-93092500D01* -X96935277Y-93086864D01* -X96936105Y-93081282D01* -X96937476Y-93075809D01* -X96939377Y-93070496D01* -X96941790Y-93065395D01* -X96944690Y-93060555D01* -X96948052Y-93056022D01* -X96951841Y-93051841D01* -X96956022Y-93048052D01* -X96960555Y-93044690D01* -X96965395Y-93041790D01* -X96970496Y-93039377D01* -X96975809Y-93037476D01* -X96981282Y-93036105D01* -X96986864Y-93035277D01* -X96992500Y-93035000D01* -X97107500Y-93035000D01* -X97113136Y-93035277D01* -G37* -G36* -X97613136Y-93035277D02* -G01* -X97618718Y-93036105D01* -X97624191Y-93037476D01* -X97629504Y-93039377D01* -X97634605Y-93041790D01* -X97639445Y-93044690D01* -X97643978Y-93048052D01* -X97648159Y-93051841D01* -X97651948Y-93056022D01* -X97655310Y-93060555D01* -X97658210Y-93065395D01* -X97660623Y-93070496D01* -X97662524Y-93075809D01* -X97663895Y-93081282D01* -X97664723Y-93086864D01* -X97665000Y-93092500D01* -X97665000Y-94382500D01* -X97664723Y-94388136D01* -X97663895Y-94393718D01* -X97662524Y-94399191D01* -X97660623Y-94404504D01* -X97658210Y-94409605D01* -X97655310Y-94414445D01* -X97651948Y-94418978D01* -X97648159Y-94423159D01* -X97643978Y-94426948D01* -X97639445Y-94430310D01* -X97634605Y-94433210D01* -X97629504Y-94435623D01* -X97624191Y-94437524D01* -X97618718Y-94438895D01* -X97613136Y-94439723D01* -X97607500Y-94440000D01* -X97492500Y-94440000D01* -X97486864Y-94439723D01* -X97481282Y-94438895D01* -X97475809Y-94437524D01* -X97470496Y-94435623D01* -X97465395Y-94433210D01* -X97460555Y-94430310D01* -X97456022Y-94426948D01* -X97451841Y-94423159D01* -X97448052Y-94418978D01* -X97444690Y-94414445D01* -X97441790Y-94409605D01* -X97439377Y-94404504D01* -X97437476Y-94399191D01* -X97436105Y-94393718D01* -X97435277Y-94388136D01* -X97435000Y-94382500D01* -X97435000Y-93092500D01* -X97435277Y-93086864D01* -X97436105Y-93081282D01* -X97437476Y-93075809D01* -X97439377Y-93070496D01* -X97441790Y-93065395D01* -X97444690Y-93060555D01* -X97448052Y-93056022D01* -X97451841Y-93051841D01* -X97456022Y-93048052D01* -X97460555Y-93044690D01* -X97465395Y-93041790D01* -X97470496Y-93039377D01* -X97475809Y-93037476D01* -X97481282Y-93036105D01* -X97486864Y-93035277D01* -X97492500Y-93035000D01* -X97607500Y-93035000D01* -X97613136Y-93035277D01* -G37* -G36* -X98113136Y-93035277D02* -G01* -X98118718Y-93036105D01* -X98124191Y-93037476D01* -X98129504Y-93039377D01* -X98134605Y-93041790D01* -X98139445Y-93044690D01* -X98143978Y-93048052D01* -X98148159Y-93051841D01* -X98151948Y-93056022D01* -X98155310Y-93060555D01* -X98158210Y-93065395D01* -X98160623Y-93070496D01* -X98162524Y-93075809D01* -X98163895Y-93081282D01* -X98164723Y-93086864D01* -X98165000Y-93092500D01* -X98165000Y-94382500D01* -X98164723Y-94388136D01* -X98163895Y-94393718D01* -X98162524Y-94399191D01* -X98160623Y-94404504D01* -X98158210Y-94409605D01* -X98155310Y-94414445D01* -X98151948Y-94418978D01* -X98148159Y-94423159D01* -X98143978Y-94426948D01* -X98139445Y-94430310D01* -X98134605Y-94433210D01* -X98129504Y-94435623D01* -X98124191Y-94437524D01* -X98118718Y-94438895D01* -X98113136Y-94439723D01* -X98107500Y-94440000D01* -X97992500Y-94440000D01* -X97986864Y-94439723D01* -X97981282Y-94438895D01* -X97975809Y-94437524D01* -X97970496Y-94435623D01* -X97965395Y-94433210D01* -X97960555Y-94430310D01* -X97956022Y-94426948D01* -X97951841Y-94423159D01* -X97948052Y-94418978D01* -X97944690Y-94414445D01* -X97941790Y-94409605D01* -X97939377Y-94404504D01* -X97937476Y-94399191D01* -X97936105Y-94393718D01* -X97935277Y-94388136D01* -X97935000Y-94382500D01* -X97935000Y-93092500D01* -X97935277Y-93086864D01* -X97936105Y-93081282D01* -X97937476Y-93075809D01* -X97939377Y-93070496D01* -X97941790Y-93065395D01* -X97944690Y-93060555D01* -X97948052Y-93056022D01* -X97951841Y-93051841D01* -X97956022Y-93048052D01* -X97960555Y-93044690D01* -X97965395Y-93041790D01* -X97970496Y-93039377D01* -X97975809Y-93037476D01* -X97981282Y-93036105D01* -X97986864Y-93035277D01* -X97992500Y-93035000D01* -X98107500Y-93035000D01* -X98113136Y-93035277D01* -G37* -G36* -X98613136Y-93035277D02* -G01* -X98618718Y-93036105D01* -X98624191Y-93037476D01* -X98629504Y-93039377D01* -X98634605Y-93041790D01* -X98639445Y-93044690D01* -X98643978Y-93048052D01* -X98648159Y-93051841D01* -X98651948Y-93056022D01* -X98655310Y-93060555D01* -X98658210Y-93065395D01* -X98660623Y-93070496D01* -X98662524Y-93075809D01* -X98663895Y-93081282D01* -X98664723Y-93086864D01* -X98665000Y-93092500D01* -X98665000Y-94382500D01* -X98664723Y-94388136D01* -X98663895Y-94393718D01* -X98662524Y-94399191D01* -X98660623Y-94404504D01* -X98658210Y-94409605D01* -X98655310Y-94414445D01* -X98651948Y-94418978D01* -X98648159Y-94423159D01* -X98643978Y-94426948D01* -X98639445Y-94430310D01* -X98634605Y-94433210D01* -X98629504Y-94435623D01* -X98624191Y-94437524D01* -X98618718Y-94438895D01* -X98613136Y-94439723D01* -X98607500Y-94440000D01* -X98492500Y-94440000D01* -X98486864Y-94439723D01* -X98481282Y-94438895D01* -X98475809Y-94437524D01* -X98470496Y-94435623D01* -X98465395Y-94433210D01* -X98460555Y-94430310D01* -X98456022Y-94426948D01* -X98451841Y-94423159D01* -X98448052Y-94418978D01* -X98444690Y-94414445D01* -X98441790Y-94409605D01* -X98439377Y-94404504D01* -X98437476Y-94399191D01* -X98436105Y-94393718D01* -X98435277Y-94388136D01* -X98435000Y-94382500D01* -X98435000Y-93092500D01* -X98435277Y-93086864D01* -X98436105Y-93081282D01* -X98437476Y-93075809D01* -X98439377Y-93070496D01* -X98441790Y-93065395D01* -X98444690Y-93060555D01* -X98448052Y-93056022D01* -X98451841Y-93051841D01* -X98456022Y-93048052D01* -X98460555Y-93044690D01* -X98465395Y-93041790D01* -X98470496Y-93039377D01* -X98475809Y-93037476D01* -X98481282Y-93036105D01* -X98486864Y-93035277D01* -X98492500Y-93035000D01* -X98607500Y-93035000D01* -X98613136Y-93035277D01* -G37* -G36* -X99113136Y-93035277D02* -G01* -X99118718Y-93036105D01* -X99124191Y-93037476D01* -X99129504Y-93039377D01* -X99134605Y-93041790D01* -X99139445Y-93044690D01* -X99143978Y-93048052D01* -X99148159Y-93051841D01* -X99151948Y-93056022D01* -X99155310Y-93060555D01* -X99158210Y-93065395D01* -X99160623Y-93070496D01* -X99162524Y-93075809D01* -X99163895Y-93081282D01* -X99164723Y-93086864D01* -X99165000Y-93092500D01* -X99165000Y-94382500D01* -X99164723Y-94388136D01* -X99163895Y-94393718D01* -X99162524Y-94399191D01* -X99160623Y-94404504D01* -X99158210Y-94409605D01* -X99155310Y-94414445D01* -X99151948Y-94418978D01* -X99148159Y-94423159D01* -X99143978Y-94426948D01* -X99139445Y-94430310D01* -X99134605Y-94433210D01* -X99129504Y-94435623D01* -X99124191Y-94437524D01* -X99118718Y-94438895D01* -X99113136Y-94439723D01* -X99107500Y-94440000D01* -X98992500Y-94440000D01* -X98986864Y-94439723D01* -X98981282Y-94438895D01* -X98975809Y-94437524D01* -X98970496Y-94435623D01* -X98965395Y-94433210D01* -X98960555Y-94430310D01* -X98956022Y-94426948D01* -X98951841Y-94423159D01* -X98948052Y-94418978D01* -X98944690Y-94414445D01* -X98941790Y-94409605D01* -X98939377Y-94404504D01* -X98937476Y-94399191D01* -X98936105Y-94393718D01* -X98935277Y-94388136D01* -X98935000Y-94382500D01* -X98935000Y-93092500D01* -X98935277Y-93086864D01* -X98936105Y-93081282D01* -X98937476Y-93075809D01* -X98939377Y-93070496D01* -X98941790Y-93065395D01* -X98944690Y-93060555D01* -X98948052Y-93056022D01* -X98951841Y-93051841D01* -X98956022Y-93048052D01* -X98960555Y-93044690D01* -X98965395Y-93041790D01* -X98970496Y-93039377D01* -X98975809Y-93037476D01* -X98981282Y-93036105D01* -X98986864Y-93035277D01* -X98992500Y-93035000D01* -X99107500Y-93035000D01* -X99113136Y-93035277D01* -G37* -G36* -X99613136Y-93035277D02* -G01* -X99618718Y-93036105D01* -X99624191Y-93037476D01* -X99629504Y-93039377D01* -X99634605Y-93041790D01* -X99639445Y-93044690D01* -X99643978Y-93048052D01* -X99648159Y-93051841D01* -X99651948Y-93056022D01* -X99655310Y-93060555D01* -X99658210Y-93065395D01* -X99660623Y-93070496D01* -X99662524Y-93075809D01* -X99663895Y-93081282D01* -X99664723Y-93086864D01* -X99665000Y-93092500D01* -X99665000Y-94382500D01* -X99664723Y-94388136D01* -X99663895Y-94393718D01* -X99662524Y-94399191D01* -X99660623Y-94404504D01* -X99658210Y-94409605D01* -X99655310Y-94414445D01* -X99651948Y-94418978D01* -X99648159Y-94423159D01* -X99643978Y-94426948D01* -X99639445Y-94430310D01* -X99634605Y-94433210D01* -X99629504Y-94435623D01* -X99624191Y-94437524D01* -X99618718Y-94438895D01* -X99613136Y-94439723D01* -X99607500Y-94440000D01* -X99492500Y-94440000D01* -X99486864Y-94439723D01* -X99481282Y-94438895D01* -X99475809Y-94437524D01* -X99470496Y-94435623D01* -X99465395Y-94433210D01* -X99460555Y-94430310D01* -X99456022Y-94426948D01* -X99451841Y-94423159D01* -X99448052Y-94418978D01* -X99444690Y-94414445D01* -X99441790Y-94409605D01* -X99439377Y-94404504D01* -X99437476Y-94399191D01* -X99436105Y-94393718D01* -X99435277Y-94388136D01* -X99435000Y-94382500D01* -X99435000Y-93092500D01* -X99435277Y-93086864D01* -X99436105Y-93081282D01* -X99437476Y-93075809D01* -X99439377Y-93070496D01* -X99441790Y-93065395D01* -X99444690Y-93060555D01* -X99448052Y-93056022D01* -X99451841Y-93051841D01* -X99456022Y-93048052D01* -X99460555Y-93044690D01* -X99465395Y-93041790D01* -X99470496Y-93039377D01* -X99475809Y-93037476D01* -X99481282Y-93036105D01* -X99486864Y-93035277D01* -X99492500Y-93035000D01* -X99607500Y-93035000D01* -X99613136Y-93035277D01* -G37* -G36* -X100113136Y-93035277D02* -G01* -X100118718Y-93036105D01* -X100124191Y-93037476D01* -X100129504Y-93039377D01* -X100134605Y-93041790D01* -X100139445Y-93044690D01* -X100143978Y-93048052D01* -X100148159Y-93051841D01* -X100151948Y-93056022D01* -X100155310Y-93060555D01* -X100158210Y-93065395D01* -X100160623Y-93070496D01* -X100162524Y-93075809D01* -X100163895Y-93081282D01* -X100164723Y-93086864D01* -X100165000Y-93092500D01* -X100165000Y-94382500D01* -X100164723Y-94388136D01* -X100163895Y-94393718D01* -X100162524Y-94399191D01* -X100160623Y-94404504D01* -X100158210Y-94409605D01* -X100155310Y-94414445D01* -X100151948Y-94418978D01* -X100148159Y-94423159D01* -X100143978Y-94426948D01* -X100139445Y-94430310D01* -X100134605Y-94433210D01* -X100129504Y-94435623D01* -X100124191Y-94437524D01* -X100118718Y-94438895D01* -X100113136Y-94439723D01* -X100107500Y-94440000D01* -X99992500Y-94440000D01* -X99986864Y-94439723D01* -X99981282Y-94438895D01* -X99975809Y-94437524D01* -X99970496Y-94435623D01* -X99965395Y-94433210D01* -X99960555Y-94430310D01* -X99956022Y-94426948D01* -X99951841Y-94423159D01* -X99948052Y-94418978D01* -X99944690Y-94414445D01* -X99941790Y-94409605D01* -X99939377Y-94404504D01* -X99937476Y-94399191D01* -X99936105Y-94393718D01* -X99935277Y-94388136D01* -X99935000Y-94382500D01* -X99935000Y-93092500D01* -X99935277Y-93086864D01* -X99936105Y-93081282D01* -X99937476Y-93075809D01* -X99939377Y-93070496D01* -X99941790Y-93065395D01* -X99944690Y-93060555D01* -X99948052Y-93056022D01* -X99951841Y-93051841D01* -X99956022Y-93048052D01* -X99960555Y-93044690D01* -X99965395Y-93041790D01* -X99970496Y-93039377D01* -X99975809Y-93037476D01* -X99981282Y-93036105D01* -X99986864Y-93035277D01* -X99992500Y-93035000D01* -X100107500Y-93035000D01* -X100113136Y-93035277D01* -G37* -G36* -X102363136Y-95285277D02* -G01* -X102368718Y-95286105D01* -X102374191Y-95287476D01* -X102379504Y-95289377D01* -X102384605Y-95291790D01* -X102389445Y-95294690D01* -X102393978Y-95298052D01* -X102398159Y-95301841D01* -X102401948Y-95306022D01* -X102405310Y-95310555D01* -X102408210Y-95315395D01* -X102410623Y-95320496D01* -X102412524Y-95325809D01* -X102413895Y-95331282D01* -X102414723Y-95336864D01* -X102415000Y-95342500D01* -X102415000Y-95457500D01* -X102414723Y-95463136D01* -X102413895Y-95468718D01* -X102412524Y-95474191D01* -X102410623Y-95479504D01* -X102408210Y-95484605D01* -X102405310Y-95489445D01* -X102401948Y-95493978D01* -X102398159Y-95498159D01* -X102393978Y-95501948D01* -X102389445Y-95505310D01* -X102384605Y-95508210D01* -X102379504Y-95510623D01* -X102374191Y-95512524D01* -X102368718Y-95513895D01* -X102363136Y-95514723D01* -X102357500Y-95515000D01* -X101067500Y-95515000D01* -X101061864Y-95514723D01* -X101056282Y-95513895D01* -X101050809Y-95512524D01* -X101045496Y-95510623D01* -X101040395Y-95508210D01* -X101035555Y-95505310D01* -X101031022Y-95501948D01* -X101026841Y-95498159D01* -X101023052Y-95493978D01* -X101019690Y-95489445D01* -X101016790Y-95484605D01* -X101014377Y-95479504D01* -X101012476Y-95474191D01* -X101011105Y-95468718D01* -X101010277Y-95463136D01* -X101010000Y-95457500D01* -X101010000Y-95342500D01* -X101010277Y-95336864D01* -X101011105Y-95331282D01* -X101012476Y-95325809D01* -X101014377Y-95320496D01* -X101016790Y-95315395D01* -X101019690Y-95310555D01* -X101023052Y-95306022D01* -X101026841Y-95301841D01* -X101031022Y-95298052D01* -X101035555Y-95294690D01* -X101040395Y-95291790D01* -X101045496Y-95289377D01* -X101050809Y-95287476D01* -X101056282Y-95286105D01* -X101061864Y-95285277D01* -X101067500Y-95285000D01* -X102357500Y-95285000D01* -X102363136Y-95285277D01* -G37* -G36* -X102363136Y-95785277D02* -G01* -X102368718Y-95786105D01* -X102374191Y-95787476D01* -X102379504Y-95789377D01* -X102384605Y-95791790D01* -X102389445Y-95794690D01* -X102393978Y-95798052D01* -X102398159Y-95801841D01* -X102401948Y-95806022D01* -X102405310Y-95810555D01* -X102408210Y-95815395D01* -X102410623Y-95820496D01* -X102412524Y-95825809D01* -X102413895Y-95831282D01* -X102414723Y-95836864D01* -X102415000Y-95842500D01* -X102415000Y-95957500D01* -X102414723Y-95963136D01* -X102413895Y-95968718D01* -X102412524Y-95974191D01* -X102410623Y-95979504D01* -X102408210Y-95984605D01* -X102405310Y-95989445D01* -X102401948Y-95993978D01* -X102398159Y-95998159D01* -X102393978Y-96001948D01* -X102389445Y-96005310D01* -X102384605Y-96008210D01* -X102379504Y-96010623D01* -X102374191Y-96012524D01* -X102368718Y-96013895D01* -X102363136Y-96014723D01* -X102357500Y-96015000D01* -X101067500Y-96015000D01* -X101061864Y-96014723D01* -X101056282Y-96013895D01* -X101050809Y-96012524D01* -X101045496Y-96010623D01* -X101040395Y-96008210D01* -X101035555Y-96005310D01* -X101031022Y-96001948D01* -X101026841Y-95998159D01* -X101023052Y-95993978D01* -X101019690Y-95989445D01* -X101016790Y-95984605D01* -X101014377Y-95979504D01* -X101012476Y-95974191D01* -X101011105Y-95968718D01* -X101010277Y-95963136D01* -X101010000Y-95957500D01* -X101010000Y-95842500D01* -X101010277Y-95836864D01* -X101011105Y-95831282D01* -X101012476Y-95825809D01* -X101014377Y-95820496D01* -X101016790Y-95815395D01* -X101019690Y-95810555D01* -X101023052Y-95806022D01* -X101026841Y-95801841D01* -X101031022Y-95798052D01* -X101035555Y-95794690D01* -X101040395Y-95791790D01* -X101045496Y-95789377D01* -X101050809Y-95787476D01* -X101056282Y-95786105D01* -X101061864Y-95785277D01* -X101067500Y-95785000D01* -X102357500Y-95785000D01* -X102363136Y-95785277D01* -G37* -G36* -X102363136Y-96285277D02* -G01* -X102368718Y-96286105D01* -X102374191Y-96287476D01* -X102379504Y-96289377D01* -X102384605Y-96291790D01* -X102389445Y-96294690D01* -X102393978Y-96298052D01* -X102398159Y-96301841D01* -X102401948Y-96306022D01* -X102405310Y-96310555D01* -X102408210Y-96315395D01* -X102410623Y-96320496D01* -X102412524Y-96325809D01* -X102413895Y-96331282D01* -X102414723Y-96336864D01* -X102415000Y-96342500D01* -X102415000Y-96457500D01* -X102414723Y-96463136D01* -X102413895Y-96468718D01* -X102412524Y-96474191D01* -X102410623Y-96479504D01* -X102408210Y-96484605D01* -X102405310Y-96489445D01* -X102401948Y-96493978D01* -X102398159Y-96498159D01* -X102393978Y-96501948D01* -X102389445Y-96505310D01* -X102384605Y-96508210D01* -X102379504Y-96510623D01* -X102374191Y-96512524D01* -X102368718Y-96513895D01* -X102363136Y-96514723D01* -X102357500Y-96515000D01* -X101067500Y-96515000D01* -X101061864Y-96514723D01* -X101056282Y-96513895D01* -X101050809Y-96512524D01* -X101045496Y-96510623D01* -X101040395Y-96508210D01* -X101035555Y-96505310D01* -X101031022Y-96501948D01* -X101026841Y-96498159D01* -X101023052Y-96493978D01* -X101019690Y-96489445D01* -X101016790Y-96484605D01* -X101014377Y-96479504D01* -X101012476Y-96474191D01* -X101011105Y-96468718D01* -X101010277Y-96463136D01* -X101010000Y-96457500D01* -X101010000Y-96342500D01* -X101010277Y-96336864D01* -X101011105Y-96331282D01* -X101012476Y-96325809D01* -X101014377Y-96320496D01* -X101016790Y-96315395D01* -X101019690Y-96310555D01* -X101023052Y-96306022D01* -X101026841Y-96301841D01* -X101031022Y-96298052D01* -X101035555Y-96294690D01* -X101040395Y-96291790D01* -X101045496Y-96289377D01* -X101050809Y-96287476D01* -X101056282Y-96286105D01* -X101061864Y-96285277D01* -X101067500Y-96285000D01* -X102357500Y-96285000D01* -X102363136Y-96285277D01* -G37* -G36* -X102363136Y-96785277D02* -G01* -X102368718Y-96786105D01* -X102374191Y-96787476D01* -X102379504Y-96789377D01* -X102384605Y-96791790D01* -X102389445Y-96794690D01* -X102393978Y-96798052D01* -X102398159Y-96801841D01* -X102401948Y-96806022D01* -X102405310Y-96810555D01* -X102408210Y-96815395D01* -X102410623Y-96820496D01* -X102412524Y-96825809D01* -X102413895Y-96831282D01* -X102414723Y-96836864D01* -X102415000Y-96842500D01* -X102415000Y-96957500D01* -X102414723Y-96963136D01* -X102413895Y-96968718D01* -X102412524Y-96974191D01* -X102410623Y-96979504D01* -X102408210Y-96984605D01* -X102405310Y-96989445D01* -X102401948Y-96993978D01* -X102398159Y-96998159D01* -X102393978Y-97001948D01* -X102389445Y-97005310D01* -X102384605Y-97008210D01* -X102379504Y-97010623D01* -X102374191Y-97012524D01* -X102368718Y-97013895D01* -X102363136Y-97014723D01* -X102357500Y-97015000D01* -X101067500Y-97015000D01* -X101061864Y-97014723D01* -X101056282Y-97013895D01* -X101050809Y-97012524D01* -X101045496Y-97010623D01* -X101040395Y-97008210D01* -X101035555Y-97005310D01* -X101031022Y-97001948D01* -X101026841Y-96998159D01* -X101023052Y-96993978D01* -X101019690Y-96989445D01* -X101016790Y-96984605D01* -X101014377Y-96979504D01* -X101012476Y-96974191D01* -X101011105Y-96968718D01* -X101010277Y-96963136D01* -X101010000Y-96957500D01* -X101010000Y-96842500D01* -X101010277Y-96836864D01* -X101011105Y-96831282D01* -X101012476Y-96825809D01* -X101014377Y-96820496D01* -X101016790Y-96815395D01* -X101019690Y-96810555D01* -X101023052Y-96806022D01* -X101026841Y-96801841D01* -X101031022Y-96798052D01* -X101035555Y-96794690D01* -X101040395Y-96791790D01* -X101045496Y-96789377D01* -X101050809Y-96787476D01* -X101056282Y-96786105D01* -X101061864Y-96785277D01* -X101067500Y-96785000D01* -X102357500Y-96785000D01* -X102363136Y-96785277D01* -G37* -G36* -X102363136Y-97285277D02* -G01* -X102368718Y-97286105D01* -X102374191Y-97287476D01* -X102379504Y-97289377D01* -X102384605Y-97291790D01* -X102389445Y-97294690D01* -X102393978Y-97298052D01* -X102398159Y-97301841D01* -X102401948Y-97306022D01* -X102405310Y-97310555D01* -X102408210Y-97315395D01* -X102410623Y-97320496D01* -X102412524Y-97325809D01* -X102413895Y-97331282D01* -X102414723Y-97336864D01* -X102415000Y-97342500D01* -X102415000Y-97457500D01* -X102414723Y-97463136D01* -X102413895Y-97468718D01* -X102412524Y-97474191D01* -X102410623Y-97479504D01* -X102408210Y-97484605D01* -X102405310Y-97489445D01* -X102401948Y-97493978D01* -X102398159Y-97498159D01* -X102393978Y-97501948D01* -X102389445Y-97505310D01* -X102384605Y-97508210D01* -X102379504Y-97510623D01* -X102374191Y-97512524D01* -X102368718Y-97513895D01* -X102363136Y-97514723D01* -X102357500Y-97515000D01* -X101067500Y-97515000D01* -X101061864Y-97514723D01* -X101056282Y-97513895D01* -X101050809Y-97512524D01* -X101045496Y-97510623D01* -X101040395Y-97508210D01* -X101035555Y-97505310D01* -X101031022Y-97501948D01* -X101026841Y-97498159D01* -X101023052Y-97493978D01* -X101019690Y-97489445D01* -X101016790Y-97484605D01* -X101014377Y-97479504D01* -X101012476Y-97474191D01* -X101011105Y-97468718D01* -X101010277Y-97463136D01* -X101010000Y-97457500D01* -X101010000Y-97342500D01* -X101010277Y-97336864D01* -X101011105Y-97331282D01* -X101012476Y-97325809D01* -X101014377Y-97320496D01* -X101016790Y-97315395D01* -X101019690Y-97310555D01* -X101023052Y-97306022D01* -X101026841Y-97301841D01* -X101031022Y-97298052D01* -X101035555Y-97294690D01* -X101040395Y-97291790D01* -X101045496Y-97289377D01* -X101050809Y-97287476D01* -X101056282Y-97286105D01* -X101061864Y-97285277D01* -X101067500Y-97285000D01* -X102357500Y-97285000D01* -X102363136Y-97285277D01* -G37* -G36* -X102363136Y-97785277D02* -G01* -X102368718Y-97786105D01* -X102374191Y-97787476D01* -X102379504Y-97789377D01* -X102384605Y-97791790D01* -X102389445Y-97794690D01* -X102393978Y-97798052D01* -X102398159Y-97801841D01* -X102401948Y-97806022D01* -X102405310Y-97810555D01* -X102408210Y-97815395D01* -X102410623Y-97820496D01* -X102412524Y-97825809D01* -X102413895Y-97831282D01* -X102414723Y-97836864D01* -X102415000Y-97842500D01* -X102415000Y-97957500D01* -X102414723Y-97963136D01* -X102413895Y-97968718D01* -X102412524Y-97974191D01* -X102410623Y-97979504D01* -X102408210Y-97984605D01* -X102405310Y-97989445D01* -X102401948Y-97993978D01* -X102398159Y-97998159D01* -X102393978Y-98001948D01* -X102389445Y-98005310D01* -X102384605Y-98008210D01* -X102379504Y-98010623D01* -X102374191Y-98012524D01* -X102368718Y-98013895D01* -X102363136Y-98014723D01* -X102357500Y-98015000D01* -X101067500Y-98015000D01* -X101061864Y-98014723D01* -X101056282Y-98013895D01* -X101050809Y-98012524D01* -X101045496Y-98010623D01* -X101040395Y-98008210D01* -X101035555Y-98005310D01* -X101031022Y-98001948D01* -X101026841Y-97998159D01* -X101023052Y-97993978D01* -X101019690Y-97989445D01* -X101016790Y-97984605D01* -X101014377Y-97979504D01* -X101012476Y-97974191D01* -X101011105Y-97968718D01* -X101010277Y-97963136D01* -X101010000Y-97957500D01* -X101010000Y-97842500D01* -X101010277Y-97836864D01* -X101011105Y-97831282D01* -X101012476Y-97825809D01* -X101014377Y-97820496D01* -X101016790Y-97815395D01* -X101019690Y-97810555D01* -X101023052Y-97806022D01* -X101026841Y-97801841D01* -X101031022Y-97798052D01* -X101035555Y-97794690D01* -X101040395Y-97791790D01* -X101045496Y-97789377D01* -X101050809Y-97787476D01* -X101056282Y-97786105D01* -X101061864Y-97785277D01* -X101067500Y-97785000D01* -X102357500Y-97785000D01* -X102363136Y-97785277D01* -G37* -G36* -X102363136Y-98285277D02* -G01* -X102368718Y-98286105D01* -X102374191Y-98287476D01* -X102379504Y-98289377D01* -X102384605Y-98291790D01* -X102389445Y-98294690D01* -X102393978Y-98298052D01* -X102398159Y-98301841D01* -X102401948Y-98306022D01* -X102405310Y-98310555D01* -X102408210Y-98315395D01* -X102410623Y-98320496D01* -X102412524Y-98325809D01* -X102413895Y-98331282D01* -X102414723Y-98336864D01* -X102415000Y-98342500D01* -X102415000Y-98457500D01* -X102414723Y-98463136D01* -X102413895Y-98468718D01* -X102412524Y-98474191D01* -X102410623Y-98479504D01* -X102408210Y-98484605D01* -X102405310Y-98489445D01* -X102401948Y-98493978D01* -X102398159Y-98498159D01* -X102393978Y-98501948D01* -X102389445Y-98505310D01* -X102384605Y-98508210D01* -X102379504Y-98510623D01* -X102374191Y-98512524D01* -X102368718Y-98513895D01* -X102363136Y-98514723D01* -X102357500Y-98515000D01* -X101067500Y-98515000D01* -X101061864Y-98514723D01* -X101056282Y-98513895D01* -X101050809Y-98512524D01* -X101045496Y-98510623D01* -X101040395Y-98508210D01* -X101035555Y-98505310D01* -X101031022Y-98501948D01* -X101026841Y-98498159D01* -X101023052Y-98493978D01* -X101019690Y-98489445D01* -X101016790Y-98484605D01* -X101014377Y-98479504D01* -X101012476Y-98474191D01* -X101011105Y-98468718D01* -X101010277Y-98463136D01* -X101010000Y-98457500D01* -X101010000Y-98342500D01* -X101010277Y-98336864D01* -X101011105Y-98331282D01* -X101012476Y-98325809D01* -X101014377Y-98320496D01* -X101016790Y-98315395D01* -X101019690Y-98310555D01* -X101023052Y-98306022D01* -X101026841Y-98301841D01* -X101031022Y-98298052D01* -X101035555Y-98294690D01* -X101040395Y-98291790D01* -X101045496Y-98289377D01* -X101050809Y-98287476D01* -X101056282Y-98286105D01* -X101061864Y-98285277D01* -X101067500Y-98285000D01* -X102357500Y-98285000D01* -X102363136Y-98285277D01* -G37* -G36* -X102363136Y-98785277D02* -G01* -X102368718Y-98786105D01* -X102374191Y-98787476D01* -X102379504Y-98789377D01* -X102384605Y-98791790D01* -X102389445Y-98794690D01* -X102393978Y-98798052D01* -X102398159Y-98801841D01* -X102401948Y-98806022D01* -X102405310Y-98810555D01* -X102408210Y-98815395D01* -X102410623Y-98820496D01* -X102412524Y-98825809D01* -X102413895Y-98831282D01* -X102414723Y-98836864D01* -X102415000Y-98842500D01* -X102415000Y-98957500D01* -X102414723Y-98963136D01* -X102413895Y-98968718D01* -X102412524Y-98974191D01* -X102410623Y-98979504D01* -X102408210Y-98984605D01* -X102405310Y-98989445D01* -X102401948Y-98993978D01* -X102398159Y-98998159D01* -X102393978Y-99001948D01* -X102389445Y-99005310D01* -X102384605Y-99008210D01* -X102379504Y-99010623D01* -X102374191Y-99012524D01* -X102368718Y-99013895D01* -X102363136Y-99014723D01* -X102357500Y-99015000D01* -X101067500Y-99015000D01* -X101061864Y-99014723D01* -X101056282Y-99013895D01* -X101050809Y-99012524D01* -X101045496Y-99010623D01* -X101040395Y-99008210D01* -X101035555Y-99005310D01* -X101031022Y-99001948D01* -X101026841Y-98998159D01* -X101023052Y-98993978D01* -X101019690Y-98989445D01* -X101016790Y-98984605D01* -X101014377Y-98979504D01* -X101012476Y-98974191D01* -X101011105Y-98968718D01* -X101010277Y-98963136D01* -X101010000Y-98957500D01* -X101010000Y-98842500D01* -X101010277Y-98836864D01* -X101011105Y-98831282D01* -X101012476Y-98825809D01* -X101014377Y-98820496D01* -X101016790Y-98815395D01* -X101019690Y-98810555D01* -X101023052Y-98806022D01* -X101026841Y-98801841D01* -X101031022Y-98798052D01* -X101035555Y-98794690D01* -X101040395Y-98791790D01* -X101045496Y-98789377D01* -X101050809Y-98787476D01* -X101056282Y-98786105D01* -X101061864Y-98785277D01* -X101067500Y-98785000D01* -X102357500Y-98785000D01* -X102363136Y-98785277D01* -G37* -G36* -X102363136Y-99285277D02* -G01* -X102368718Y-99286105D01* -X102374191Y-99287476D01* -X102379504Y-99289377D01* -X102384605Y-99291790D01* -X102389445Y-99294690D01* -X102393978Y-99298052D01* -X102398159Y-99301841D01* -X102401948Y-99306022D01* -X102405310Y-99310555D01* -X102408210Y-99315395D01* -X102410623Y-99320496D01* -X102412524Y-99325809D01* -X102413895Y-99331282D01* -X102414723Y-99336864D01* -X102415000Y-99342500D01* -X102415000Y-99457500D01* -X102414723Y-99463136D01* -X102413895Y-99468718D01* -X102412524Y-99474191D01* -X102410623Y-99479504D01* -X102408210Y-99484605D01* -X102405310Y-99489445D01* -X102401948Y-99493978D01* -X102398159Y-99498159D01* -X102393978Y-99501948D01* -X102389445Y-99505310D01* -X102384605Y-99508210D01* -X102379504Y-99510623D01* -X102374191Y-99512524D01* -X102368718Y-99513895D01* -X102363136Y-99514723D01* -X102357500Y-99515000D01* -X101067500Y-99515000D01* -X101061864Y-99514723D01* -X101056282Y-99513895D01* -X101050809Y-99512524D01* -X101045496Y-99510623D01* -X101040395Y-99508210D01* -X101035555Y-99505310D01* -X101031022Y-99501948D01* -X101026841Y-99498159D01* -X101023052Y-99493978D01* -X101019690Y-99489445D01* -X101016790Y-99484605D01* -X101014377Y-99479504D01* -X101012476Y-99474191D01* -X101011105Y-99468718D01* -X101010277Y-99463136D01* -X101010000Y-99457500D01* -X101010000Y-99342500D01* -X101010277Y-99336864D01* -X101011105Y-99331282D01* -X101012476Y-99325809D01* -X101014377Y-99320496D01* -X101016790Y-99315395D01* -X101019690Y-99310555D01* -X101023052Y-99306022D01* -X101026841Y-99301841D01* -X101031022Y-99298052D01* -X101035555Y-99294690D01* -X101040395Y-99291790D01* -X101045496Y-99289377D01* -X101050809Y-99287476D01* -X101056282Y-99286105D01* -X101061864Y-99285277D01* -X101067500Y-99285000D01* -X102357500Y-99285000D01* -X102363136Y-99285277D01* -G37* -G36* -X102363136Y-99785277D02* -G01* -X102368718Y-99786105D01* -X102374191Y-99787476D01* -X102379504Y-99789377D01* -X102384605Y-99791790D01* -X102389445Y-99794690D01* -X102393978Y-99798052D01* -X102398159Y-99801841D01* -X102401948Y-99806022D01* -X102405310Y-99810555D01* -X102408210Y-99815395D01* -X102410623Y-99820496D01* -X102412524Y-99825809D01* -X102413895Y-99831282D01* -X102414723Y-99836864D01* -X102415000Y-99842500D01* -X102415000Y-99957500D01* -X102414723Y-99963136D01* -X102413895Y-99968718D01* -X102412524Y-99974191D01* -X102410623Y-99979504D01* -X102408210Y-99984605D01* -X102405310Y-99989445D01* -X102401948Y-99993978D01* -X102398159Y-99998159D01* -X102393978Y-100001948D01* -X102389445Y-100005310D01* -X102384605Y-100008210D01* -X102379504Y-100010623D01* -X102374191Y-100012524D01* -X102368718Y-100013895D01* -X102363136Y-100014723D01* -X102357500Y-100015000D01* -X101067500Y-100015000D01* -X101061864Y-100014723D01* -X101056282Y-100013895D01* -X101050809Y-100012524D01* -X101045496Y-100010623D01* -X101040395Y-100008210D01* -X101035555Y-100005310D01* -X101031022Y-100001948D01* -X101026841Y-99998159D01* -X101023052Y-99993978D01* -X101019690Y-99989445D01* -X101016790Y-99984605D01* -X101014377Y-99979504D01* -X101012476Y-99974191D01* -X101011105Y-99968718D01* -X101010277Y-99963136D01* -X101010000Y-99957500D01* -X101010000Y-99842500D01* -X101010277Y-99836864D01* -X101011105Y-99831282D01* -X101012476Y-99825809D01* -X101014377Y-99820496D01* -X101016790Y-99815395D01* -X101019690Y-99810555D01* -X101023052Y-99806022D01* -X101026841Y-99801841D01* -X101031022Y-99798052D01* -X101035555Y-99794690D01* -X101040395Y-99791790D01* -X101045496Y-99789377D01* -X101050809Y-99787476D01* -X101056282Y-99786105D01* -X101061864Y-99785277D01* -X101067500Y-99785000D01* -X102357500Y-99785000D01* -X102363136Y-99785277D01* -G37* -G36* -X102363136Y-100285277D02* -G01* -X102368718Y-100286105D01* -X102374191Y-100287476D01* -X102379504Y-100289377D01* -X102384605Y-100291790D01* -X102389445Y-100294690D01* -X102393978Y-100298052D01* -X102398159Y-100301841D01* -X102401948Y-100306022D01* -X102405310Y-100310555D01* -X102408210Y-100315395D01* -X102410623Y-100320496D01* -X102412524Y-100325809D01* -X102413895Y-100331282D01* -X102414723Y-100336864D01* -X102415000Y-100342500D01* -X102415000Y-100457500D01* -X102414723Y-100463136D01* -X102413895Y-100468718D01* -X102412524Y-100474191D01* -X102410623Y-100479504D01* -X102408210Y-100484605D01* -X102405310Y-100489445D01* -X102401948Y-100493978D01* -X102398159Y-100498159D01* -X102393978Y-100501948D01* -X102389445Y-100505310D01* -X102384605Y-100508210D01* -X102379504Y-100510623D01* -X102374191Y-100512524D01* -X102368718Y-100513895D01* -X102363136Y-100514723D01* -X102357500Y-100515000D01* -X101067500Y-100515000D01* -X101061864Y-100514723D01* -X101056282Y-100513895D01* -X101050809Y-100512524D01* -X101045496Y-100510623D01* -X101040395Y-100508210D01* -X101035555Y-100505310D01* -X101031022Y-100501948D01* -X101026841Y-100498159D01* -X101023052Y-100493978D01* -X101019690Y-100489445D01* -X101016790Y-100484605D01* -X101014377Y-100479504D01* -X101012476Y-100474191D01* -X101011105Y-100468718D01* -X101010277Y-100463136D01* -X101010000Y-100457500D01* -X101010000Y-100342500D01* -X101010277Y-100336864D01* -X101011105Y-100331282D01* -X101012476Y-100325809D01* -X101014377Y-100320496D01* -X101016790Y-100315395D01* -X101019690Y-100310555D01* -X101023052Y-100306022D01* -X101026841Y-100301841D01* -X101031022Y-100298052D01* -X101035555Y-100294690D01* -X101040395Y-100291790D01* -X101045496Y-100289377D01* -X101050809Y-100287476D01* -X101056282Y-100286105D01* -X101061864Y-100285277D01* -X101067500Y-100285000D01* -X102357500Y-100285000D01* -X102363136Y-100285277D01* -G37* -G36* -X102363136Y-100785277D02* -G01* -X102368718Y-100786105D01* -X102374191Y-100787476D01* -X102379504Y-100789377D01* -X102384605Y-100791790D01* -X102389445Y-100794690D01* -X102393978Y-100798052D01* -X102398159Y-100801841D01* -X102401948Y-100806022D01* -X102405310Y-100810555D01* -X102408210Y-100815395D01* -X102410623Y-100820496D01* -X102412524Y-100825809D01* -X102413895Y-100831282D01* -X102414723Y-100836864D01* -X102415000Y-100842500D01* -X102415000Y-100957500D01* -X102414723Y-100963136D01* -X102413895Y-100968718D01* -X102412524Y-100974191D01* -X102410623Y-100979504D01* -X102408210Y-100984605D01* -X102405310Y-100989445D01* -X102401948Y-100993978D01* -X102398159Y-100998159D01* -X102393978Y-101001948D01* -X102389445Y-101005310D01* -X102384605Y-101008210D01* -X102379504Y-101010623D01* -X102374191Y-101012524D01* -X102368718Y-101013895D01* -X102363136Y-101014723D01* -X102357500Y-101015000D01* -X101067500Y-101015000D01* -X101061864Y-101014723D01* -X101056282Y-101013895D01* -X101050809Y-101012524D01* -X101045496Y-101010623D01* -X101040395Y-101008210D01* -X101035555Y-101005310D01* -X101031022Y-101001948D01* -X101026841Y-100998159D01* -X101023052Y-100993978D01* -X101019690Y-100989445D01* -X101016790Y-100984605D01* -X101014377Y-100979504D01* -X101012476Y-100974191D01* -X101011105Y-100968718D01* -X101010277Y-100963136D01* -X101010000Y-100957500D01* -X101010000Y-100842500D01* -X101010277Y-100836864D01* -X101011105Y-100831282D01* -X101012476Y-100825809D01* -X101014377Y-100820496D01* -X101016790Y-100815395D01* -X101019690Y-100810555D01* -X101023052Y-100806022D01* -X101026841Y-100801841D01* -X101031022Y-100798052D01* -X101035555Y-100794690D01* -X101040395Y-100791790D01* -X101045496Y-100789377D01* -X101050809Y-100787476D01* -X101056282Y-100786105D01* -X101061864Y-100785277D01* -X101067500Y-100785000D01* -X102357500Y-100785000D01* -X102363136Y-100785277D01* -G37* -G36* -X102363136Y-101285277D02* -G01* -X102368718Y-101286105D01* -X102374191Y-101287476D01* -X102379504Y-101289377D01* -X102384605Y-101291790D01* -X102389445Y-101294690D01* -X102393978Y-101298052D01* -X102398159Y-101301841D01* -X102401948Y-101306022D01* -X102405310Y-101310555D01* -X102408210Y-101315395D01* -X102410623Y-101320496D01* -X102412524Y-101325809D01* -X102413895Y-101331282D01* -X102414723Y-101336864D01* -X102415000Y-101342500D01* -X102415000Y-101457500D01* -X102414723Y-101463136D01* -X102413895Y-101468718D01* -X102412524Y-101474191D01* -X102410623Y-101479504D01* -X102408210Y-101484605D01* -X102405310Y-101489445D01* -X102401948Y-101493978D01* -X102398159Y-101498159D01* -X102393978Y-101501948D01* -X102389445Y-101505310D01* -X102384605Y-101508210D01* -X102379504Y-101510623D01* -X102374191Y-101512524D01* -X102368718Y-101513895D01* -X102363136Y-101514723D01* -X102357500Y-101515000D01* -X101067500Y-101515000D01* -X101061864Y-101514723D01* -X101056282Y-101513895D01* -X101050809Y-101512524D01* -X101045496Y-101510623D01* -X101040395Y-101508210D01* -X101035555Y-101505310D01* -X101031022Y-101501948D01* -X101026841Y-101498159D01* -X101023052Y-101493978D01* -X101019690Y-101489445D01* -X101016790Y-101484605D01* -X101014377Y-101479504D01* -X101012476Y-101474191D01* -X101011105Y-101468718D01* -X101010277Y-101463136D01* -X101010000Y-101457500D01* -X101010000Y-101342500D01* -X101010277Y-101336864D01* -X101011105Y-101331282D01* -X101012476Y-101325809D01* -X101014377Y-101320496D01* -X101016790Y-101315395D01* -X101019690Y-101310555D01* -X101023052Y-101306022D01* -X101026841Y-101301841D01* -X101031022Y-101298052D01* -X101035555Y-101294690D01* -X101040395Y-101291790D01* -X101045496Y-101289377D01* -X101050809Y-101287476D01* -X101056282Y-101286105D01* -X101061864Y-101285277D01* -X101067500Y-101285000D01* -X102357500Y-101285000D01* -X102363136Y-101285277D01* -G37* -G36* -X102363136Y-101785277D02* -G01* -X102368718Y-101786105D01* -X102374191Y-101787476D01* -X102379504Y-101789377D01* -X102384605Y-101791790D01* -X102389445Y-101794690D01* -X102393978Y-101798052D01* -X102398159Y-101801841D01* -X102401948Y-101806022D01* -X102405310Y-101810555D01* -X102408210Y-101815395D01* -X102410623Y-101820496D01* -X102412524Y-101825809D01* -X102413895Y-101831282D01* -X102414723Y-101836864D01* -X102415000Y-101842500D01* -X102415000Y-101957500D01* -X102414723Y-101963136D01* -X102413895Y-101968718D01* -X102412524Y-101974191D01* -X102410623Y-101979504D01* -X102408210Y-101984605D01* -X102405310Y-101989445D01* -X102401948Y-101993978D01* -X102398159Y-101998159D01* -X102393978Y-102001948D01* -X102389445Y-102005310D01* -X102384605Y-102008210D01* -X102379504Y-102010623D01* -X102374191Y-102012524D01* -X102368718Y-102013895D01* -X102363136Y-102014723D01* -X102357500Y-102015000D01* -X101067500Y-102015000D01* -X101061864Y-102014723D01* -X101056282Y-102013895D01* -X101050809Y-102012524D01* -X101045496Y-102010623D01* -X101040395Y-102008210D01* -X101035555Y-102005310D01* -X101031022Y-102001948D01* -X101026841Y-101998159D01* -X101023052Y-101993978D01* -X101019690Y-101989445D01* -X101016790Y-101984605D01* -X101014377Y-101979504D01* -X101012476Y-101974191D01* -X101011105Y-101968718D01* -X101010277Y-101963136D01* -X101010000Y-101957500D01* -X101010000Y-101842500D01* -X101010277Y-101836864D01* -X101011105Y-101831282D01* -X101012476Y-101825809D01* -X101014377Y-101820496D01* -X101016790Y-101815395D01* -X101019690Y-101810555D01* -X101023052Y-101806022D01* -X101026841Y-101801841D01* -X101031022Y-101798052D01* -X101035555Y-101794690D01* -X101040395Y-101791790D01* -X101045496Y-101789377D01* -X101050809Y-101787476D01* -X101056282Y-101786105D01* -X101061864Y-101785277D01* -X101067500Y-101785000D01* -X102357500Y-101785000D01* -X102363136Y-101785277D01* -G37* -G36* -X102363136Y-102285277D02* -G01* -X102368718Y-102286105D01* -X102374191Y-102287476D01* -X102379504Y-102289377D01* -X102384605Y-102291790D01* -X102389445Y-102294690D01* -X102393978Y-102298052D01* -X102398159Y-102301841D01* -X102401948Y-102306022D01* -X102405310Y-102310555D01* -X102408210Y-102315395D01* -X102410623Y-102320496D01* -X102412524Y-102325809D01* -X102413895Y-102331282D01* -X102414723Y-102336864D01* -X102415000Y-102342500D01* -X102415000Y-102457500D01* -X102414723Y-102463136D01* -X102413895Y-102468718D01* -X102412524Y-102474191D01* -X102410623Y-102479504D01* -X102408210Y-102484605D01* -X102405310Y-102489445D01* -X102401948Y-102493978D01* -X102398159Y-102498159D01* -X102393978Y-102501948D01* -X102389445Y-102505310D01* -X102384605Y-102508210D01* -X102379504Y-102510623D01* -X102374191Y-102512524D01* -X102368718Y-102513895D01* -X102363136Y-102514723D01* -X102357500Y-102515000D01* -X101067500Y-102515000D01* -X101061864Y-102514723D01* -X101056282Y-102513895D01* -X101050809Y-102512524D01* -X101045496Y-102510623D01* -X101040395Y-102508210D01* -X101035555Y-102505310D01* -X101031022Y-102501948D01* -X101026841Y-102498159D01* -X101023052Y-102493978D01* -X101019690Y-102489445D01* -X101016790Y-102484605D01* -X101014377Y-102479504D01* -X101012476Y-102474191D01* -X101011105Y-102468718D01* -X101010277Y-102463136D01* -X101010000Y-102457500D01* -X101010000Y-102342500D01* -X101010277Y-102336864D01* -X101011105Y-102331282D01* -X101012476Y-102325809D01* -X101014377Y-102320496D01* -X101016790Y-102315395D01* -X101019690Y-102310555D01* -X101023052Y-102306022D01* -X101026841Y-102301841D01* -X101031022Y-102298052D01* -X101035555Y-102294690D01* -X101040395Y-102291790D01* -X101045496Y-102289377D01* -X101050809Y-102287476D01* -X101056282Y-102286105D01* -X101061864Y-102285277D01* -X101067500Y-102285000D01* -X102357500Y-102285000D01* -X102363136Y-102285277D01* -G37* -G36* -X102363136Y-102785277D02* -G01* -X102368718Y-102786105D01* -X102374191Y-102787476D01* -X102379504Y-102789377D01* -X102384605Y-102791790D01* -X102389445Y-102794690D01* -X102393978Y-102798052D01* -X102398159Y-102801841D01* -X102401948Y-102806022D01* -X102405310Y-102810555D01* -X102408210Y-102815395D01* -X102410623Y-102820496D01* -X102412524Y-102825809D01* -X102413895Y-102831282D01* -X102414723Y-102836864D01* -X102415000Y-102842500D01* -X102415000Y-102957500D01* -X102414723Y-102963136D01* -X102413895Y-102968718D01* -X102412524Y-102974191D01* -X102410623Y-102979504D01* -X102408210Y-102984605D01* -X102405310Y-102989445D01* -X102401948Y-102993978D01* -X102398159Y-102998159D01* -X102393978Y-103001948D01* -X102389445Y-103005310D01* -X102384605Y-103008210D01* -X102379504Y-103010623D01* -X102374191Y-103012524D01* -X102368718Y-103013895D01* -X102363136Y-103014723D01* -X102357500Y-103015000D01* -X101067500Y-103015000D01* -X101061864Y-103014723D01* -X101056282Y-103013895D01* -X101050809Y-103012524D01* -X101045496Y-103010623D01* -X101040395Y-103008210D01* -X101035555Y-103005310D01* -X101031022Y-103001948D01* -X101026841Y-102998159D01* -X101023052Y-102993978D01* -X101019690Y-102989445D01* -X101016790Y-102984605D01* -X101014377Y-102979504D01* -X101012476Y-102974191D01* -X101011105Y-102968718D01* -X101010277Y-102963136D01* -X101010000Y-102957500D01* -X101010000Y-102842500D01* -X101010277Y-102836864D01* -X101011105Y-102831282D01* -X101012476Y-102825809D01* -X101014377Y-102820496D01* -X101016790Y-102815395D01* -X101019690Y-102810555D01* -X101023052Y-102806022D01* -X101026841Y-102801841D01* -X101031022Y-102798052D01* -X101035555Y-102794690D01* -X101040395Y-102791790D01* -X101045496Y-102789377D01* -X101050809Y-102787476D01* -X101056282Y-102786105D01* -X101061864Y-102785277D01* -X101067500Y-102785000D01* -X102357500Y-102785000D01* -X102363136Y-102785277D01* -G37* -G36* -X102363136Y-103285277D02* -G01* -X102368718Y-103286105D01* -X102374191Y-103287476D01* -X102379504Y-103289377D01* -X102384605Y-103291790D01* -X102389445Y-103294690D01* -X102393978Y-103298052D01* -X102398159Y-103301841D01* -X102401948Y-103306022D01* -X102405310Y-103310555D01* -X102408210Y-103315395D01* -X102410623Y-103320496D01* -X102412524Y-103325809D01* -X102413895Y-103331282D01* -X102414723Y-103336864D01* -X102415000Y-103342500D01* -X102415000Y-103457500D01* -X102414723Y-103463136D01* -X102413895Y-103468718D01* -X102412524Y-103474191D01* -X102410623Y-103479504D01* -X102408210Y-103484605D01* -X102405310Y-103489445D01* -X102401948Y-103493978D01* -X102398159Y-103498159D01* -X102393978Y-103501948D01* -X102389445Y-103505310D01* -X102384605Y-103508210D01* -X102379504Y-103510623D01* -X102374191Y-103512524D01* -X102368718Y-103513895D01* -X102363136Y-103514723D01* -X102357500Y-103515000D01* -X101067500Y-103515000D01* -X101061864Y-103514723D01* -X101056282Y-103513895D01* -X101050809Y-103512524D01* -X101045496Y-103510623D01* -X101040395Y-103508210D01* -X101035555Y-103505310D01* -X101031022Y-103501948D01* -X101026841Y-103498159D01* -X101023052Y-103493978D01* -X101019690Y-103489445D01* -X101016790Y-103484605D01* -X101014377Y-103479504D01* -X101012476Y-103474191D01* -X101011105Y-103468718D01* -X101010277Y-103463136D01* -X101010000Y-103457500D01* -X101010000Y-103342500D01* -X101010277Y-103336864D01* -X101011105Y-103331282D01* -X101012476Y-103325809D01* -X101014377Y-103320496D01* -X101016790Y-103315395D01* -X101019690Y-103310555D01* -X101023052Y-103306022D01* -X101026841Y-103301841D01* -X101031022Y-103298052D01* -X101035555Y-103294690D01* -X101040395Y-103291790D01* -X101045496Y-103289377D01* -X101050809Y-103287476D01* -X101056282Y-103286105D01* -X101061864Y-103285277D01* -X101067500Y-103285000D01* -X102357500Y-103285000D01* -X102363136Y-103285277D01* -G37* -G36* -X102363136Y-103785277D02* -G01* -X102368718Y-103786105D01* -X102374191Y-103787476D01* -X102379504Y-103789377D01* -X102384605Y-103791790D01* -X102389445Y-103794690D01* -X102393978Y-103798052D01* -X102398159Y-103801841D01* -X102401948Y-103806022D01* -X102405310Y-103810555D01* -X102408210Y-103815395D01* -X102410623Y-103820496D01* -X102412524Y-103825809D01* -X102413895Y-103831282D01* -X102414723Y-103836864D01* -X102415000Y-103842500D01* -X102415000Y-103957500D01* -X102414723Y-103963136D01* -X102413895Y-103968718D01* -X102412524Y-103974191D01* -X102410623Y-103979504D01* -X102408210Y-103984605D01* -X102405310Y-103989445D01* -X102401948Y-103993978D01* -X102398159Y-103998159D01* -X102393978Y-104001948D01* -X102389445Y-104005310D01* -X102384605Y-104008210D01* -X102379504Y-104010623D01* -X102374191Y-104012524D01* -X102368718Y-104013895D01* -X102363136Y-104014723D01* -X102357500Y-104015000D01* -X101067500Y-104015000D01* -X101061864Y-104014723D01* -X101056282Y-104013895D01* -X101050809Y-104012524D01* -X101045496Y-104010623D01* -X101040395Y-104008210D01* -X101035555Y-104005310D01* -X101031022Y-104001948D01* -X101026841Y-103998159D01* -X101023052Y-103993978D01* -X101019690Y-103989445D01* -X101016790Y-103984605D01* -X101014377Y-103979504D01* -X101012476Y-103974191D01* -X101011105Y-103968718D01* -X101010277Y-103963136D01* -X101010000Y-103957500D01* -X101010000Y-103842500D01* -X101010277Y-103836864D01* -X101011105Y-103831282D01* -X101012476Y-103825809D01* -X101014377Y-103820496D01* -X101016790Y-103815395D01* -X101019690Y-103810555D01* -X101023052Y-103806022D01* -X101026841Y-103801841D01* -X101031022Y-103798052D01* -X101035555Y-103794690D01* -X101040395Y-103791790D01* -X101045496Y-103789377D01* -X101050809Y-103787476D01* -X101056282Y-103786105D01* -X101061864Y-103785277D01* -X101067500Y-103785000D01* -X102357500Y-103785000D01* -X102363136Y-103785277D01* -G37* -G36* -X102363136Y-104285277D02* -G01* -X102368718Y-104286105D01* -X102374191Y-104287476D01* -X102379504Y-104289377D01* -X102384605Y-104291790D01* -X102389445Y-104294690D01* -X102393978Y-104298052D01* -X102398159Y-104301841D01* -X102401948Y-104306022D01* -X102405310Y-104310555D01* -X102408210Y-104315395D01* -X102410623Y-104320496D01* -X102412524Y-104325809D01* -X102413895Y-104331282D01* -X102414723Y-104336864D01* -X102415000Y-104342500D01* -X102415000Y-104457500D01* -X102414723Y-104463136D01* -X102413895Y-104468718D01* -X102412524Y-104474191D01* -X102410623Y-104479504D01* -X102408210Y-104484605D01* -X102405310Y-104489445D01* -X102401948Y-104493978D01* -X102398159Y-104498159D01* -X102393978Y-104501948D01* -X102389445Y-104505310D01* -X102384605Y-104508210D01* -X102379504Y-104510623D01* -X102374191Y-104512524D01* -X102368718Y-104513895D01* -X102363136Y-104514723D01* -X102357500Y-104515000D01* -X101067500Y-104515000D01* -X101061864Y-104514723D01* -X101056282Y-104513895D01* -X101050809Y-104512524D01* -X101045496Y-104510623D01* -X101040395Y-104508210D01* -X101035555Y-104505310D01* -X101031022Y-104501948D01* -X101026841Y-104498159D01* -X101023052Y-104493978D01* -X101019690Y-104489445D01* -X101016790Y-104484605D01* -X101014377Y-104479504D01* -X101012476Y-104474191D01* -X101011105Y-104468718D01* -X101010277Y-104463136D01* -X101010000Y-104457500D01* -X101010000Y-104342500D01* -X101010277Y-104336864D01* -X101011105Y-104331282D01* -X101012476Y-104325809D01* -X101014377Y-104320496D01* -X101016790Y-104315395D01* -X101019690Y-104310555D01* -X101023052Y-104306022D01* -X101026841Y-104301841D01* -X101031022Y-104298052D01* -X101035555Y-104294690D01* -X101040395Y-104291790D01* -X101045496Y-104289377D01* -X101050809Y-104287476D01* -X101056282Y-104286105D01* -X101061864Y-104285277D01* -X101067500Y-104285000D01* -X102357500Y-104285000D01* -X102363136Y-104285277D01* -G37* -G36* -X102363136Y-104785277D02* -G01* -X102368718Y-104786105D01* -X102374191Y-104787476D01* -X102379504Y-104789377D01* -X102384605Y-104791790D01* -X102389445Y-104794690D01* -X102393978Y-104798052D01* -X102398159Y-104801841D01* -X102401948Y-104806022D01* -X102405310Y-104810555D01* -X102408210Y-104815395D01* -X102410623Y-104820496D01* -X102412524Y-104825809D01* -X102413895Y-104831282D01* -X102414723Y-104836864D01* -X102415000Y-104842500D01* -X102415000Y-104957500D01* -X102414723Y-104963136D01* -X102413895Y-104968718D01* -X102412524Y-104974191D01* -X102410623Y-104979504D01* -X102408210Y-104984605D01* -X102405310Y-104989445D01* -X102401948Y-104993978D01* -X102398159Y-104998159D01* -X102393978Y-105001948D01* -X102389445Y-105005310D01* -X102384605Y-105008210D01* -X102379504Y-105010623D01* -X102374191Y-105012524D01* -X102368718Y-105013895D01* -X102363136Y-105014723D01* -X102357500Y-105015000D01* -X101067500Y-105015000D01* -X101061864Y-105014723D01* -X101056282Y-105013895D01* -X101050809Y-105012524D01* -X101045496Y-105010623D01* -X101040395Y-105008210D01* -X101035555Y-105005310D01* -X101031022Y-105001948D01* -X101026841Y-104998159D01* -X101023052Y-104993978D01* -X101019690Y-104989445D01* -X101016790Y-104984605D01* -X101014377Y-104979504D01* -X101012476Y-104974191D01* -X101011105Y-104968718D01* -X101010277Y-104963136D01* -X101010000Y-104957500D01* -X101010000Y-104842500D01* -X101010277Y-104836864D01* -X101011105Y-104831282D01* -X101012476Y-104825809D01* -X101014377Y-104820496D01* -X101016790Y-104815395D01* -X101019690Y-104810555D01* -X101023052Y-104806022D01* -X101026841Y-104801841D01* -X101031022Y-104798052D01* -X101035555Y-104794690D01* -X101040395Y-104791790D01* -X101045496Y-104789377D01* -X101050809Y-104787476D01* -X101056282Y-104786105D01* -X101061864Y-104785277D01* -X101067500Y-104785000D01* -X102357500Y-104785000D01* -X102363136Y-104785277D01* -G37* -G36* -X102363136Y-105285277D02* -G01* -X102368718Y-105286105D01* -X102374191Y-105287476D01* -X102379504Y-105289377D01* -X102384605Y-105291790D01* -X102389445Y-105294690D01* -X102393978Y-105298052D01* -X102398159Y-105301841D01* -X102401948Y-105306022D01* -X102405310Y-105310555D01* -X102408210Y-105315395D01* -X102410623Y-105320496D01* -X102412524Y-105325809D01* -X102413895Y-105331282D01* -X102414723Y-105336864D01* -X102415000Y-105342500D01* -X102415000Y-105457500D01* -X102414723Y-105463136D01* -X102413895Y-105468718D01* -X102412524Y-105474191D01* -X102410623Y-105479504D01* -X102408210Y-105484605D01* -X102405310Y-105489445D01* -X102401948Y-105493978D01* -X102398159Y-105498159D01* -X102393978Y-105501948D01* -X102389445Y-105505310D01* -X102384605Y-105508210D01* -X102379504Y-105510623D01* -X102374191Y-105512524D01* -X102368718Y-105513895D01* -X102363136Y-105514723D01* -X102357500Y-105515000D01* -X101067500Y-105515000D01* -X101061864Y-105514723D01* -X101056282Y-105513895D01* -X101050809Y-105512524D01* -X101045496Y-105510623D01* -X101040395Y-105508210D01* -X101035555Y-105505310D01* -X101031022Y-105501948D01* -X101026841Y-105498159D01* -X101023052Y-105493978D01* -X101019690Y-105489445D01* -X101016790Y-105484605D01* -X101014377Y-105479504D01* -X101012476Y-105474191D01* -X101011105Y-105468718D01* -X101010277Y-105463136D01* -X101010000Y-105457500D01* -X101010000Y-105342500D01* -X101010277Y-105336864D01* -X101011105Y-105331282D01* -X101012476Y-105325809D01* -X101014377Y-105320496D01* -X101016790Y-105315395D01* -X101019690Y-105310555D01* -X101023052Y-105306022D01* -X101026841Y-105301841D01* -X101031022Y-105298052D01* -X101035555Y-105294690D01* -X101040395Y-105291790D01* -X101045496Y-105289377D01* -X101050809Y-105287476D01* -X101056282Y-105286105D01* -X101061864Y-105285277D01* -X101067500Y-105285000D01* -X102357500Y-105285000D01* -X102363136Y-105285277D01* -G37* -G36* -X102363136Y-105785277D02* -G01* -X102368718Y-105786105D01* -X102374191Y-105787476D01* -X102379504Y-105789377D01* -X102384605Y-105791790D01* -X102389445Y-105794690D01* -X102393978Y-105798052D01* -X102398159Y-105801841D01* -X102401948Y-105806022D01* -X102405310Y-105810555D01* -X102408210Y-105815395D01* -X102410623Y-105820496D01* -X102412524Y-105825809D01* -X102413895Y-105831282D01* -X102414723Y-105836864D01* -X102415000Y-105842500D01* -X102415000Y-105957500D01* -X102414723Y-105963136D01* -X102413895Y-105968718D01* -X102412524Y-105974191D01* -X102410623Y-105979504D01* -X102408210Y-105984605D01* -X102405310Y-105989445D01* -X102401948Y-105993978D01* -X102398159Y-105998159D01* -X102393978Y-106001948D01* -X102389445Y-106005310D01* -X102384605Y-106008210D01* -X102379504Y-106010623D01* -X102374191Y-106012524D01* -X102368718Y-106013895D01* -X102363136Y-106014723D01* -X102357500Y-106015000D01* -X101067500Y-106015000D01* -X101061864Y-106014723D01* -X101056282Y-106013895D01* -X101050809Y-106012524D01* -X101045496Y-106010623D01* -X101040395Y-106008210D01* -X101035555Y-106005310D01* -X101031022Y-106001948D01* -X101026841Y-105998159D01* -X101023052Y-105993978D01* -X101019690Y-105989445D01* -X101016790Y-105984605D01* -X101014377Y-105979504D01* -X101012476Y-105974191D01* -X101011105Y-105968718D01* -X101010277Y-105963136D01* -X101010000Y-105957500D01* -X101010000Y-105842500D01* -X101010277Y-105836864D01* -X101011105Y-105831282D01* -X101012476Y-105825809D01* -X101014377Y-105820496D01* -X101016790Y-105815395D01* -X101019690Y-105810555D01* -X101023052Y-105806022D01* -X101026841Y-105801841D01* -X101031022Y-105798052D01* -X101035555Y-105794690D01* -X101040395Y-105791790D01* -X101045496Y-105789377D01* -X101050809Y-105787476D01* -X101056282Y-105786105D01* -X101061864Y-105785277D01* -X101067500Y-105785000D01* -X102357500Y-105785000D01* -X102363136Y-105785277D01* -G37* -G36* -X102363136Y-106285277D02* -G01* -X102368718Y-106286105D01* -X102374191Y-106287476D01* -X102379504Y-106289377D01* -X102384605Y-106291790D01* -X102389445Y-106294690D01* -X102393978Y-106298052D01* -X102398159Y-106301841D01* -X102401948Y-106306022D01* -X102405310Y-106310555D01* -X102408210Y-106315395D01* -X102410623Y-106320496D01* -X102412524Y-106325809D01* -X102413895Y-106331282D01* -X102414723Y-106336864D01* -X102415000Y-106342500D01* -X102415000Y-106457500D01* -X102414723Y-106463136D01* -X102413895Y-106468718D01* -X102412524Y-106474191D01* -X102410623Y-106479504D01* -X102408210Y-106484605D01* -X102405310Y-106489445D01* -X102401948Y-106493978D01* -X102398159Y-106498159D01* -X102393978Y-106501948D01* -X102389445Y-106505310D01* -X102384605Y-106508210D01* -X102379504Y-106510623D01* -X102374191Y-106512524D01* -X102368718Y-106513895D01* -X102363136Y-106514723D01* -X102357500Y-106515000D01* -X101067500Y-106515000D01* -X101061864Y-106514723D01* -X101056282Y-106513895D01* -X101050809Y-106512524D01* -X101045496Y-106510623D01* -X101040395Y-106508210D01* -X101035555Y-106505310D01* -X101031022Y-106501948D01* -X101026841Y-106498159D01* -X101023052Y-106493978D01* -X101019690Y-106489445D01* -X101016790Y-106484605D01* -X101014377Y-106479504D01* -X101012476Y-106474191D01* -X101011105Y-106468718D01* -X101010277Y-106463136D01* -X101010000Y-106457500D01* -X101010000Y-106342500D01* -X101010277Y-106336864D01* -X101011105Y-106331282D01* -X101012476Y-106325809D01* -X101014377Y-106320496D01* -X101016790Y-106315395D01* -X101019690Y-106310555D01* -X101023052Y-106306022D01* -X101026841Y-106301841D01* -X101031022Y-106298052D01* -X101035555Y-106294690D01* -X101040395Y-106291790D01* -X101045496Y-106289377D01* -X101050809Y-106287476D01* -X101056282Y-106286105D01* -X101061864Y-106285277D01* -X101067500Y-106285000D01* -X102357500Y-106285000D01* -X102363136Y-106285277D01* -G37* -G36* -X102363136Y-106785277D02* -G01* -X102368718Y-106786105D01* -X102374191Y-106787476D01* -X102379504Y-106789377D01* -X102384605Y-106791790D01* -X102389445Y-106794690D01* -X102393978Y-106798052D01* -X102398159Y-106801841D01* -X102401948Y-106806022D01* -X102405310Y-106810555D01* -X102408210Y-106815395D01* -X102410623Y-106820496D01* -X102412524Y-106825809D01* -X102413895Y-106831282D01* -X102414723Y-106836864D01* -X102415000Y-106842500D01* -X102415000Y-106957500D01* -X102414723Y-106963136D01* -X102413895Y-106968718D01* -X102412524Y-106974191D01* -X102410623Y-106979504D01* -X102408210Y-106984605D01* -X102405310Y-106989445D01* -X102401948Y-106993978D01* -X102398159Y-106998159D01* -X102393978Y-107001948D01* -X102389445Y-107005310D01* -X102384605Y-107008210D01* -X102379504Y-107010623D01* -X102374191Y-107012524D01* -X102368718Y-107013895D01* -X102363136Y-107014723D01* -X102357500Y-107015000D01* -X101067500Y-107015000D01* -X101061864Y-107014723D01* -X101056282Y-107013895D01* -X101050809Y-107012524D01* -X101045496Y-107010623D01* -X101040395Y-107008210D01* -X101035555Y-107005310D01* -X101031022Y-107001948D01* -X101026841Y-106998159D01* -X101023052Y-106993978D01* -X101019690Y-106989445D01* -X101016790Y-106984605D01* -X101014377Y-106979504D01* -X101012476Y-106974191D01* -X101011105Y-106968718D01* -X101010277Y-106963136D01* -X101010000Y-106957500D01* -X101010000Y-106842500D01* -X101010277Y-106836864D01* -X101011105Y-106831282D01* -X101012476Y-106825809D01* -X101014377Y-106820496D01* -X101016790Y-106815395D01* -X101019690Y-106810555D01* -X101023052Y-106806022D01* -X101026841Y-106801841D01* -X101031022Y-106798052D01* -X101035555Y-106794690D01* -X101040395Y-106791790D01* -X101045496Y-106789377D01* -X101050809Y-106787476D01* -X101056282Y-106786105D01* -X101061864Y-106785277D01* -X101067500Y-106785000D01* -X102357500Y-106785000D01* -X102363136Y-106785277D01* -G37* -G36* -X102363136Y-107285277D02* -G01* -X102368718Y-107286105D01* -X102374191Y-107287476D01* -X102379504Y-107289377D01* -X102384605Y-107291790D01* -X102389445Y-107294690D01* -X102393978Y-107298052D01* -X102398159Y-107301841D01* -X102401948Y-107306022D01* -X102405310Y-107310555D01* -X102408210Y-107315395D01* -X102410623Y-107320496D01* -X102412524Y-107325809D01* -X102413895Y-107331282D01* -X102414723Y-107336864D01* -X102415000Y-107342500D01* -X102415000Y-107457500D01* -X102414723Y-107463136D01* -X102413895Y-107468718D01* -X102412524Y-107474191D01* -X102410623Y-107479504D01* -X102408210Y-107484605D01* -X102405310Y-107489445D01* -X102401948Y-107493978D01* -X102398159Y-107498159D01* -X102393978Y-107501948D01* -X102389445Y-107505310D01* -X102384605Y-107508210D01* -X102379504Y-107510623D01* -X102374191Y-107512524D01* -X102368718Y-107513895D01* -X102363136Y-107514723D01* -X102357500Y-107515000D01* -X101067500Y-107515000D01* -X101061864Y-107514723D01* -X101056282Y-107513895D01* -X101050809Y-107512524D01* -X101045496Y-107510623D01* -X101040395Y-107508210D01* -X101035555Y-107505310D01* -X101031022Y-107501948D01* -X101026841Y-107498159D01* -X101023052Y-107493978D01* -X101019690Y-107489445D01* -X101016790Y-107484605D01* -X101014377Y-107479504D01* -X101012476Y-107474191D01* -X101011105Y-107468718D01* -X101010277Y-107463136D01* -X101010000Y-107457500D01* -X101010000Y-107342500D01* -X101010277Y-107336864D01* -X101011105Y-107331282D01* -X101012476Y-107325809D01* -X101014377Y-107320496D01* -X101016790Y-107315395D01* -X101019690Y-107310555D01* -X101023052Y-107306022D01* -X101026841Y-107301841D01* -X101031022Y-107298052D01* -X101035555Y-107294690D01* -X101040395Y-107291790D01* -X101045496Y-107289377D01* -X101050809Y-107287476D01* -X101056282Y-107286105D01* -X101061864Y-107285277D01* -X101067500Y-107285000D01* -X102357500Y-107285000D01* -X102363136Y-107285277D01* -G37* -G36* -X100113136Y-108360277D02* -G01* -X100118718Y-108361105D01* -X100124191Y-108362476D01* -X100129504Y-108364377D01* -X100134605Y-108366790D01* -X100139445Y-108369690D01* -X100143978Y-108373052D01* -X100148159Y-108376841D01* -X100151948Y-108381022D01* -X100155310Y-108385555D01* -X100158210Y-108390395D01* -X100160623Y-108395496D01* -X100162524Y-108400809D01* -X100163895Y-108406282D01* -X100164723Y-108411864D01* -X100165000Y-108417500D01* -X100165000Y-109707500D01* -X100164723Y-109713136D01* -X100163895Y-109718718D01* -X100162524Y-109724191D01* -X100160623Y-109729504D01* -X100158210Y-109734605D01* -X100155310Y-109739445D01* -X100151948Y-109743978D01* -X100148159Y-109748159D01* -X100143978Y-109751948D01* -X100139445Y-109755310D01* -X100134605Y-109758210D01* -X100129504Y-109760623D01* -X100124191Y-109762524D01* -X100118718Y-109763895D01* -X100113136Y-109764723D01* -X100107500Y-109765000D01* -X99992500Y-109765000D01* -X99986864Y-109764723D01* -X99981282Y-109763895D01* -X99975809Y-109762524D01* -X99970496Y-109760623D01* -X99965395Y-109758210D01* -X99960555Y-109755310D01* -X99956022Y-109751948D01* -X99951841Y-109748159D01* -X99948052Y-109743978D01* -X99944690Y-109739445D01* -X99941790Y-109734605D01* -X99939377Y-109729504D01* -X99937476Y-109724191D01* -X99936105Y-109718718D01* -X99935277Y-109713136D01* -X99935000Y-109707500D01* -X99935000Y-108417500D01* -X99935277Y-108411864D01* -X99936105Y-108406282D01* -X99937476Y-108400809D01* -X99939377Y-108395496D01* -X99941790Y-108390395D01* -X99944690Y-108385555D01* -X99948052Y-108381022D01* -X99951841Y-108376841D01* -X99956022Y-108373052D01* -X99960555Y-108369690D01* -X99965395Y-108366790D01* -X99970496Y-108364377D01* -X99975809Y-108362476D01* -X99981282Y-108361105D01* -X99986864Y-108360277D01* -X99992500Y-108360000D01* -X100107500Y-108360000D01* -X100113136Y-108360277D01* -G37* -G36* -X99613136Y-108360277D02* -G01* -X99618718Y-108361105D01* -X99624191Y-108362476D01* -X99629504Y-108364377D01* -X99634605Y-108366790D01* -X99639445Y-108369690D01* -X99643978Y-108373052D01* -X99648159Y-108376841D01* -X99651948Y-108381022D01* -X99655310Y-108385555D01* -X99658210Y-108390395D01* -X99660623Y-108395496D01* -X99662524Y-108400809D01* -X99663895Y-108406282D01* -X99664723Y-108411864D01* -X99665000Y-108417500D01* -X99665000Y-109707500D01* -X99664723Y-109713136D01* -X99663895Y-109718718D01* -X99662524Y-109724191D01* -X99660623Y-109729504D01* -X99658210Y-109734605D01* -X99655310Y-109739445D01* -X99651948Y-109743978D01* -X99648159Y-109748159D01* -X99643978Y-109751948D01* -X99639445Y-109755310D01* -X99634605Y-109758210D01* -X99629504Y-109760623D01* -X99624191Y-109762524D01* -X99618718Y-109763895D01* -X99613136Y-109764723D01* -X99607500Y-109765000D01* -X99492500Y-109765000D01* -X99486864Y-109764723D01* -X99481282Y-109763895D01* -X99475809Y-109762524D01* -X99470496Y-109760623D01* -X99465395Y-109758210D01* -X99460555Y-109755310D01* -X99456022Y-109751948D01* -X99451841Y-109748159D01* -X99448052Y-109743978D01* -X99444690Y-109739445D01* -X99441790Y-109734605D01* -X99439377Y-109729504D01* -X99437476Y-109724191D01* -X99436105Y-109718718D01* -X99435277Y-109713136D01* -X99435000Y-109707500D01* -X99435000Y-108417500D01* -X99435277Y-108411864D01* -X99436105Y-108406282D01* -X99437476Y-108400809D01* -X99439377Y-108395496D01* -X99441790Y-108390395D01* -X99444690Y-108385555D01* -X99448052Y-108381022D01* -X99451841Y-108376841D01* -X99456022Y-108373052D01* -X99460555Y-108369690D01* -X99465395Y-108366790D01* -X99470496Y-108364377D01* -X99475809Y-108362476D01* -X99481282Y-108361105D01* -X99486864Y-108360277D01* -X99492500Y-108360000D01* -X99607500Y-108360000D01* -X99613136Y-108360277D01* -G37* -G36* -X99113136Y-108360277D02* -G01* -X99118718Y-108361105D01* -X99124191Y-108362476D01* -X99129504Y-108364377D01* -X99134605Y-108366790D01* -X99139445Y-108369690D01* -X99143978Y-108373052D01* -X99148159Y-108376841D01* -X99151948Y-108381022D01* -X99155310Y-108385555D01* -X99158210Y-108390395D01* -X99160623Y-108395496D01* -X99162524Y-108400809D01* -X99163895Y-108406282D01* -X99164723Y-108411864D01* -X99165000Y-108417500D01* -X99165000Y-109707500D01* -X99164723Y-109713136D01* -X99163895Y-109718718D01* -X99162524Y-109724191D01* -X99160623Y-109729504D01* -X99158210Y-109734605D01* -X99155310Y-109739445D01* -X99151948Y-109743978D01* -X99148159Y-109748159D01* -X99143978Y-109751948D01* -X99139445Y-109755310D01* -X99134605Y-109758210D01* -X99129504Y-109760623D01* -X99124191Y-109762524D01* -X99118718Y-109763895D01* -X99113136Y-109764723D01* -X99107500Y-109765000D01* -X98992500Y-109765000D01* -X98986864Y-109764723D01* -X98981282Y-109763895D01* -X98975809Y-109762524D01* -X98970496Y-109760623D01* -X98965395Y-109758210D01* -X98960555Y-109755310D01* -X98956022Y-109751948D01* -X98951841Y-109748159D01* -X98948052Y-109743978D01* -X98944690Y-109739445D01* -X98941790Y-109734605D01* -X98939377Y-109729504D01* -X98937476Y-109724191D01* -X98936105Y-109718718D01* -X98935277Y-109713136D01* -X98935000Y-109707500D01* -X98935000Y-108417500D01* -X98935277Y-108411864D01* -X98936105Y-108406282D01* -X98937476Y-108400809D01* -X98939377Y-108395496D01* -X98941790Y-108390395D01* -X98944690Y-108385555D01* -X98948052Y-108381022D01* -X98951841Y-108376841D01* -X98956022Y-108373052D01* -X98960555Y-108369690D01* -X98965395Y-108366790D01* -X98970496Y-108364377D01* -X98975809Y-108362476D01* -X98981282Y-108361105D01* -X98986864Y-108360277D01* -X98992500Y-108360000D01* -X99107500Y-108360000D01* -X99113136Y-108360277D01* -G37* -G36* -X98613136Y-108360277D02* -G01* -X98618718Y-108361105D01* -X98624191Y-108362476D01* -X98629504Y-108364377D01* -X98634605Y-108366790D01* -X98639445Y-108369690D01* -X98643978Y-108373052D01* -X98648159Y-108376841D01* -X98651948Y-108381022D01* -X98655310Y-108385555D01* -X98658210Y-108390395D01* -X98660623Y-108395496D01* -X98662524Y-108400809D01* -X98663895Y-108406282D01* -X98664723Y-108411864D01* -X98665000Y-108417500D01* -X98665000Y-109707500D01* -X98664723Y-109713136D01* -X98663895Y-109718718D01* -X98662524Y-109724191D01* -X98660623Y-109729504D01* -X98658210Y-109734605D01* -X98655310Y-109739445D01* -X98651948Y-109743978D01* -X98648159Y-109748159D01* -X98643978Y-109751948D01* -X98639445Y-109755310D01* -X98634605Y-109758210D01* -X98629504Y-109760623D01* -X98624191Y-109762524D01* -X98618718Y-109763895D01* -X98613136Y-109764723D01* -X98607500Y-109765000D01* -X98492500Y-109765000D01* -X98486864Y-109764723D01* -X98481282Y-109763895D01* -X98475809Y-109762524D01* -X98470496Y-109760623D01* -X98465395Y-109758210D01* -X98460555Y-109755310D01* -X98456022Y-109751948D01* -X98451841Y-109748159D01* -X98448052Y-109743978D01* -X98444690Y-109739445D01* -X98441790Y-109734605D01* -X98439377Y-109729504D01* -X98437476Y-109724191D01* -X98436105Y-109718718D01* -X98435277Y-109713136D01* -X98435000Y-109707500D01* -X98435000Y-108417500D01* -X98435277Y-108411864D01* -X98436105Y-108406282D01* -X98437476Y-108400809D01* -X98439377Y-108395496D01* -X98441790Y-108390395D01* -X98444690Y-108385555D01* -X98448052Y-108381022D01* -X98451841Y-108376841D01* -X98456022Y-108373052D01* -X98460555Y-108369690D01* -X98465395Y-108366790D01* -X98470496Y-108364377D01* -X98475809Y-108362476D01* -X98481282Y-108361105D01* -X98486864Y-108360277D01* -X98492500Y-108360000D01* -X98607500Y-108360000D01* -X98613136Y-108360277D01* -G37* -G36* -X98113136Y-108360277D02* -G01* -X98118718Y-108361105D01* -X98124191Y-108362476D01* -X98129504Y-108364377D01* -X98134605Y-108366790D01* -X98139445Y-108369690D01* -X98143978Y-108373052D01* -X98148159Y-108376841D01* -X98151948Y-108381022D01* -X98155310Y-108385555D01* -X98158210Y-108390395D01* -X98160623Y-108395496D01* -X98162524Y-108400809D01* -X98163895Y-108406282D01* -X98164723Y-108411864D01* -X98165000Y-108417500D01* -X98165000Y-109707500D01* -X98164723Y-109713136D01* -X98163895Y-109718718D01* -X98162524Y-109724191D01* -X98160623Y-109729504D01* -X98158210Y-109734605D01* -X98155310Y-109739445D01* -X98151948Y-109743978D01* -X98148159Y-109748159D01* -X98143978Y-109751948D01* -X98139445Y-109755310D01* -X98134605Y-109758210D01* -X98129504Y-109760623D01* -X98124191Y-109762524D01* -X98118718Y-109763895D01* -X98113136Y-109764723D01* -X98107500Y-109765000D01* -X97992500Y-109765000D01* -X97986864Y-109764723D01* -X97981282Y-109763895D01* -X97975809Y-109762524D01* -X97970496Y-109760623D01* -X97965395Y-109758210D01* -X97960555Y-109755310D01* -X97956022Y-109751948D01* -X97951841Y-109748159D01* -X97948052Y-109743978D01* -X97944690Y-109739445D01* -X97941790Y-109734605D01* -X97939377Y-109729504D01* -X97937476Y-109724191D01* -X97936105Y-109718718D01* -X97935277Y-109713136D01* -X97935000Y-109707500D01* -X97935000Y-108417500D01* -X97935277Y-108411864D01* -X97936105Y-108406282D01* -X97937476Y-108400809D01* -X97939377Y-108395496D01* -X97941790Y-108390395D01* -X97944690Y-108385555D01* -X97948052Y-108381022D01* -X97951841Y-108376841D01* -X97956022Y-108373052D01* -X97960555Y-108369690D01* -X97965395Y-108366790D01* -X97970496Y-108364377D01* -X97975809Y-108362476D01* -X97981282Y-108361105D01* -X97986864Y-108360277D01* -X97992500Y-108360000D01* -X98107500Y-108360000D01* -X98113136Y-108360277D01* -G37* -G36* -X97613136Y-108360277D02* -G01* -X97618718Y-108361105D01* -X97624191Y-108362476D01* -X97629504Y-108364377D01* -X97634605Y-108366790D01* -X97639445Y-108369690D01* -X97643978Y-108373052D01* -X97648159Y-108376841D01* -X97651948Y-108381022D01* -X97655310Y-108385555D01* -X97658210Y-108390395D01* -X97660623Y-108395496D01* -X97662524Y-108400809D01* -X97663895Y-108406282D01* -X97664723Y-108411864D01* -X97665000Y-108417500D01* -X97665000Y-109707500D01* -X97664723Y-109713136D01* -X97663895Y-109718718D01* -X97662524Y-109724191D01* -X97660623Y-109729504D01* -X97658210Y-109734605D01* -X97655310Y-109739445D01* -X97651948Y-109743978D01* -X97648159Y-109748159D01* -X97643978Y-109751948D01* -X97639445Y-109755310D01* -X97634605Y-109758210D01* -X97629504Y-109760623D01* -X97624191Y-109762524D01* -X97618718Y-109763895D01* -X97613136Y-109764723D01* -X97607500Y-109765000D01* -X97492500Y-109765000D01* -X97486864Y-109764723D01* -X97481282Y-109763895D01* -X97475809Y-109762524D01* -X97470496Y-109760623D01* -X97465395Y-109758210D01* -X97460555Y-109755310D01* -X97456022Y-109751948D01* -X97451841Y-109748159D01* -X97448052Y-109743978D01* -X97444690Y-109739445D01* -X97441790Y-109734605D01* -X97439377Y-109729504D01* -X97437476Y-109724191D01* -X97436105Y-109718718D01* -X97435277Y-109713136D01* -X97435000Y-109707500D01* -X97435000Y-108417500D01* -X97435277Y-108411864D01* -X97436105Y-108406282D01* -X97437476Y-108400809D01* -X97439377Y-108395496D01* -X97441790Y-108390395D01* -X97444690Y-108385555D01* -X97448052Y-108381022D01* -X97451841Y-108376841D01* -X97456022Y-108373052D01* -X97460555Y-108369690D01* -X97465395Y-108366790D01* -X97470496Y-108364377D01* -X97475809Y-108362476D01* -X97481282Y-108361105D01* -X97486864Y-108360277D01* -X97492500Y-108360000D01* -X97607500Y-108360000D01* -X97613136Y-108360277D01* -G37* -G36* -X97113136Y-108360277D02* -G01* -X97118718Y-108361105D01* -X97124191Y-108362476D01* -X97129504Y-108364377D01* -X97134605Y-108366790D01* -X97139445Y-108369690D01* -X97143978Y-108373052D01* -X97148159Y-108376841D01* -X97151948Y-108381022D01* -X97155310Y-108385555D01* -X97158210Y-108390395D01* -X97160623Y-108395496D01* -X97162524Y-108400809D01* -X97163895Y-108406282D01* -X97164723Y-108411864D01* -X97165000Y-108417500D01* -X97165000Y-109707500D01* -X97164723Y-109713136D01* -X97163895Y-109718718D01* -X97162524Y-109724191D01* -X97160623Y-109729504D01* -X97158210Y-109734605D01* -X97155310Y-109739445D01* -X97151948Y-109743978D01* -X97148159Y-109748159D01* -X97143978Y-109751948D01* -X97139445Y-109755310D01* -X97134605Y-109758210D01* -X97129504Y-109760623D01* -X97124191Y-109762524D01* -X97118718Y-109763895D01* -X97113136Y-109764723D01* -X97107500Y-109765000D01* -X96992500Y-109765000D01* -X96986864Y-109764723D01* -X96981282Y-109763895D01* -X96975809Y-109762524D01* -X96970496Y-109760623D01* -X96965395Y-109758210D01* -X96960555Y-109755310D01* -X96956022Y-109751948D01* -X96951841Y-109748159D01* -X96948052Y-109743978D01* -X96944690Y-109739445D01* -X96941790Y-109734605D01* -X96939377Y-109729504D01* -X96937476Y-109724191D01* -X96936105Y-109718718D01* -X96935277Y-109713136D01* -X96935000Y-109707500D01* -X96935000Y-108417500D01* -X96935277Y-108411864D01* -X96936105Y-108406282D01* -X96937476Y-108400809D01* -X96939377Y-108395496D01* -X96941790Y-108390395D01* -X96944690Y-108385555D01* -X96948052Y-108381022D01* -X96951841Y-108376841D01* -X96956022Y-108373052D01* -X96960555Y-108369690D01* -X96965395Y-108366790D01* -X96970496Y-108364377D01* -X96975809Y-108362476D01* -X96981282Y-108361105D01* -X96986864Y-108360277D01* -X96992500Y-108360000D01* -X97107500Y-108360000D01* -X97113136Y-108360277D01* -G37* -G36* -X96613136Y-108360277D02* -G01* -X96618718Y-108361105D01* -X96624191Y-108362476D01* -X96629504Y-108364377D01* -X96634605Y-108366790D01* -X96639445Y-108369690D01* -X96643978Y-108373052D01* -X96648159Y-108376841D01* -X96651948Y-108381022D01* -X96655310Y-108385555D01* -X96658210Y-108390395D01* -X96660623Y-108395496D01* -X96662524Y-108400809D01* -X96663895Y-108406282D01* -X96664723Y-108411864D01* -X96665000Y-108417500D01* -X96665000Y-109707500D01* -X96664723Y-109713136D01* -X96663895Y-109718718D01* -X96662524Y-109724191D01* -X96660623Y-109729504D01* -X96658210Y-109734605D01* -X96655310Y-109739445D01* -X96651948Y-109743978D01* -X96648159Y-109748159D01* -X96643978Y-109751948D01* -X96639445Y-109755310D01* -X96634605Y-109758210D01* -X96629504Y-109760623D01* -X96624191Y-109762524D01* -X96618718Y-109763895D01* -X96613136Y-109764723D01* -X96607500Y-109765000D01* -X96492500Y-109765000D01* -X96486864Y-109764723D01* -X96481282Y-109763895D01* -X96475809Y-109762524D01* -X96470496Y-109760623D01* -X96465395Y-109758210D01* -X96460555Y-109755310D01* -X96456022Y-109751948D01* -X96451841Y-109748159D01* -X96448052Y-109743978D01* -X96444690Y-109739445D01* -X96441790Y-109734605D01* -X96439377Y-109729504D01* -X96437476Y-109724191D01* -X96436105Y-109718718D01* -X96435277Y-109713136D01* -X96435000Y-109707500D01* -X96435000Y-108417500D01* -X96435277Y-108411864D01* -X96436105Y-108406282D01* -X96437476Y-108400809D01* -X96439377Y-108395496D01* -X96441790Y-108390395D01* -X96444690Y-108385555D01* -X96448052Y-108381022D01* -X96451841Y-108376841D01* -X96456022Y-108373052D01* -X96460555Y-108369690D01* -X96465395Y-108366790D01* -X96470496Y-108364377D01* -X96475809Y-108362476D01* -X96481282Y-108361105D01* -X96486864Y-108360277D01* -X96492500Y-108360000D01* -X96607500Y-108360000D01* -X96613136Y-108360277D01* -G37* -G36* -X96113136Y-108360277D02* -G01* -X96118718Y-108361105D01* -X96124191Y-108362476D01* -X96129504Y-108364377D01* -X96134605Y-108366790D01* -X96139445Y-108369690D01* -X96143978Y-108373052D01* -X96148159Y-108376841D01* -X96151948Y-108381022D01* -X96155310Y-108385555D01* -X96158210Y-108390395D01* -X96160623Y-108395496D01* -X96162524Y-108400809D01* -X96163895Y-108406282D01* -X96164723Y-108411864D01* -X96165000Y-108417500D01* -X96165000Y-109707500D01* -X96164723Y-109713136D01* -X96163895Y-109718718D01* -X96162524Y-109724191D01* -X96160623Y-109729504D01* -X96158210Y-109734605D01* -X96155310Y-109739445D01* -X96151948Y-109743978D01* -X96148159Y-109748159D01* -X96143978Y-109751948D01* -X96139445Y-109755310D01* -X96134605Y-109758210D01* -X96129504Y-109760623D01* -X96124191Y-109762524D01* -X96118718Y-109763895D01* -X96113136Y-109764723D01* -X96107500Y-109765000D01* -X95992500Y-109765000D01* -X95986864Y-109764723D01* -X95981282Y-109763895D01* -X95975809Y-109762524D01* -X95970496Y-109760623D01* -X95965395Y-109758210D01* -X95960555Y-109755310D01* -X95956022Y-109751948D01* -X95951841Y-109748159D01* -X95948052Y-109743978D01* -X95944690Y-109739445D01* -X95941790Y-109734605D01* -X95939377Y-109729504D01* -X95937476Y-109724191D01* -X95936105Y-109718718D01* -X95935277Y-109713136D01* -X95935000Y-109707500D01* -X95935000Y-108417500D01* -X95935277Y-108411864D01* -X95936105Y-108406282D01* -X95937476Y-108400809D01* -X95939377Y-108395496D01* -X95941790Y-108390395D01* -X95944690Y-108385555D01* -X95948052Y-108381022D01* -X95951841Y-108376841D01* -X95956022Y-108373052D01* -X95960555Y-108369690D01* -X95965395Y-108366790D01* -X95970496Y-108364377D01* -X95975809Y-108362476D01* -X95981282Y-108361105D01* -X95986864Y-108360277D01* -X95992500Y-108360000D01* -X96107500Y-108360000D01* -X96113136Y-108360277D01* -G37* -G36* -X95613136Y-108360277D02* -G01* -X95618718Y-108361105D01* -X95624191Y-108362476D01* -X95629504Y-108364377D01* -X95634605Y-108366790D01* -X95639445Y-108369690D01* -X95643978Y-108373052D01* -X95648159Y-108376841D01* -X95651948Y-108381022D01* -X95655310Y-108385555D01* -X95658210Y-108390395D01* -X95660623Y-108395496D01* -X95662524Y-108400809D01* -X95663895Y-108406282D01* -X95664723Y-108411864D01* -X95665000Y-108417500D01* -X95665000Y-109707500D01* -X95664723Y-109713136D01* -X95663895Y-109718718D01* -X95662524Y-109724191D01* -X95660623Y-109729504D01* -X95658210Y-109734605D01* -X95655310Y-109739445D01* -X95651948Y-109743978D01* -X95648159Y-109748159D01* -X95643978Y-109751948D01* -X95639445Y-109755310D01* -X95634605Y-109758210D01* -X95629504Y-109760623D01* -X95624191Y-109762524D01* -X95618718Y-109763895D01* -X95613136Y-109764723D01* -X95607500Y-109765000D01* -X95492500Y-109765000D01* -X95486864Y-109764723D01* -X95481282Y-109763895D01* -X95475809Y-109762524D01* -X95470496Y-109760623D01* -X95465395Y-109758210D01* -X95460555Y-109755310D01* -X95456022Y-109751948D01* -X95451841Y-109748159D01* -X95448052Y-109743978D01* -X95444690Y-109739445D01* -X95441790Y-109734605D01* -X95439377Y-109729504D01* -X95437476Y-109724191D01* -X95436105Y-109718718D01* -X95435277Y-109713136D01* -X95435000Y-109707500D01* -X95435000Y-108417500D01* -X95435277Y-108411864D01* -X95436105Y-108406282D01* -X95437476Y-108400809D01* -X95439377Y-108395496D01* -X95441790Y-108390395D01* -X95444690Y-108385555D01* -X95448052Y-108381022D01* -X95451841Y-108376841D01* -X95456022Y-108373052D01* -X95460555Y-108369690D01* -X95465395Y-108366790D01* -X95470496Y-108364377D01* -X95475809Y-108362476D01* -X95481282Y-108361105D01* -X95486864Y-108360277D01* -X95492500Y-108360000D01* -X95607500Y-108360000D01* -X95613136Y-108360277D01* -G37* -G36* -X95113136Y-108360277D02* -G01* -X95118718Y-108361105D01* -X95124191Y-108362476D01* -X95129504Y-108364377D01* -X95134605Y-108366790D01* -X95139445Y-108369690D01* -X95143978Y-108373052D01* -X95148159Y-108376841D01* -X95151948Y-108381022D01* -X95155310Y-108385555D01* -X95158210Y-108390395D01* -X95160623Y-108395496D01* -X95162524Y-108400809D01* -X95163895Y-108406282D01* -X95164723Y-108411864D01* -X95165000Y-108417500D01* -X95165000Y-109707500D01* -X95164723Y-109713136D01* -X95163895Y-109718718D01* -X95162524Y-109724191D01* -X95160623Y-109729504D01* -X95158210Y-109734605D01* -X95155310Y-109739445D01* -X95151948Y-109743978D01* -X95148159Y-109748159D01* -X95143978Y-109751948D01* -X95139445Y-109755310D01* -X95134605Y-109758210D01* -X95129504Y-109760623D01* -X95124191Y-109762524D01* -X95118718Y-109763895D01* -X95113136Y-109764723D01* -X95107500Y-109765000D01* -X94992500Y-109765000D01* -X94986864Y-109764723D01* -X94981282Y-109763895D01* -X94975809Y-109762524D01* -X94970496Y-109760623D01* -X94965395Y-109758210D01* -X94960555Y-109755310D01* -X94956022Y-109751948D01* -X94951841Y-109748159D01* -X94948052Y-109743978D01* -X94944690Y-109739445D01* -X94941790Y-109734605D01* -X94939377Y-109729504D01* -X94937476Y-109724191D01* -X94936105Y-109718718D01* -X94935277Y-109713136D01* -X94935000Y-109707500D01* -X94935000Y-108417500D01* -X94935277Y-108411864D01* -X94936105Y-108406282D01* -X94937476Y-108400809D01* -X94939377Y-108395496D01* -X94941790Y-108390395D01* -X94944690Y-108385555D01* -X94948052Y-108381022D01* -X94951841Y-108376841D01* -X94956022Y-108373052D01* -X94960555Y-108369690D01* -X94965395Y-108366790D01* -X94970496Y-108364377D01* -X94975809Y-108362476D01* -X94981282Y-108361105D01* -X94986864Y-108360277D01* -X94992500Y-108360000D01* -X95107500Y-108360000D01* -X95113136Y-108360277D01* -G37* -G36* -X94613136Y-108360277D02* -G01* -X94618718Y-108361105D01* -X94624191Y-108362476D01* -X94629504Y-108364377D01* -X94634605Y-108366790D01* -X94639445Y-108369690D01* -X94643978Y-108373052D01* -X94648159Y-108376841D01* -X94651948Y-108381022D01* -X94655310Y-108385555D01* -X94658210Y-108390395D01* -X94660623Y-108395496D01* -X94662524Y-108400809D01* -X94663895Y-108406282D01* -X94664723Y-108411864D01* -X94665000Y-108417500D01* -X94665000Y-109707500D01* -X94664723Y-109713136D01* -X94663895Y-109718718D01* -X94662524Y-109724191D01* -X94660623Y-109729504D01* -X94658210Y-109734605D01* -X94655310Y-109739445D01* -X94651948Y-109743978D01* -X94648159Y-109748159D01* -X94643978Y-109751948D01* -X94639445Y-109755310D01* -X94634605Y-109758210D01* -X94629504Y-109760623D01* -X94624191Y-109762524D01* -X94618718Y-109763895D01* -X94613136Y-109764723D01* -X94607500Y-109765000D01* -X94492500Y-109765000D01* -X94486864Y-109764723D01* -X94481282Y-109763895D01* -X94475809Y-109762524D01* -X94470496Y-109760623D01* -X94465395Y-109758210D01* -X94460555Y-109755310D01* -X94456022Y-109751948D01* -X94451841Y-109748159D01* -X94448052Y-109743978D01* -X94444690Y-109739445D01* -X94441790Y-109734605D01* -X94439377Y-109729504D01* -X94437476Y-109724191D01* -X94436105Y-109718718D01* -X94435277Y-109713136D01* -X94435000Y-109707500D01* -X94435000Y-108417500D01* -X94435277Y-108411864D01* -X94436105Y-108406282D01* -X94437476Y-108400809D01* -X94439377Y-108395496D01* -X94441790Y-108390395D01* -X94444690Y-108385555D01* -X94448052Y-108381022D01* -X94451841Y-108376841D01* -X94456022Y-108373052D01* -X94460555Y-108369690D01* -X94465395Y-108366790D01* -X94470496Y-108364377D01* -X94475809Y-108362476D01* -X94481282Y-108361105D01* -X94486864Y-108360277D01* -X94492500Y-108360000D01* -X94607500Y-108360000D01* -X94613136Y-108360277D01* -G37* -G36* -X94113136Y-108360277D02* -G01* -X94118718Y-108361105D01* -X94124191Y-108362476D01* -X94129504Y-108364377D01* -X94134605Y-108366790D01* -X94139445Y-108369690D01* -X94143978Y-108373052D01* -X94148159Y-108376841D01* -X94151948Y-108381022D01* -X94155310Y-108385555D01* -X94158210Y-108390395D01* -X94160623Y-108395496D01* -X94162524Y-108400809D01* -X94163895Y-108406282D01* -X94164723Y-108411864D01* -X94165000Y-108417500D01* -X94165000Y-109707500D01* -X94164723Y-109713136D01* -X94163895Y-109718718D01* -X94162524Y-109724191D01* -X94160623Y-109729504D01* -X94158210Y-109734605D01* -X94155310Y-109739445D01* -X94151948Y-109743978D01* -X94148159Y-109748159D01* -X94143978Y-109751948D01* -X94139445Y-109755310D01* -X94134605Y-109758210D01* -X94129504Y-109760623D01* -X94124191Y-109762524D01* -X94118718Y-109763895D01* -X94113136Y-109764723D01* -X94107500Y-109765000D01* -X93992500Y-109765000D01* -X93986864Y-109764723D01* -X93981282Y-109763895D01* -X93975809Y-109762524D01* -X93970496Y-109760623D01* -X93965395Y-109758210D01* -X93960555Y-109755310D01* -X93956022Y-109751948D01* -X93951841Y-109748159D01* -X93948052Y-109743978D01* -X93944690Y-109739445D01* -X93941790Y-109734605D01* -X93939377Y-109729504D01* -X93937476Y-109724191D01* -X93936105Y-109718718D01* -X93935277Y-109713136D01* -X93935000Y-109707500D01* -X93935000Y-108417500D01* -X93935277Y-108411864D01* -X93936105Y-108406282D01* -X93937476Y-108400809D01* -X93939377Y-108395496D01* -X93941790Y-108390395D01* -X93944690Y-108385555D01* -X93948052Y-108381022D01* -X93951841Y-108376841D01* -X93956022Y-108373052D01* -X93960555Y-108369690D01* -X93965395Y-108366790D01* -X93970496Y-108364377D01* -X93975809Y-108362476D01* -X93981282Y-108361105D01* -X93986864Y-108360277D01* -X93992500Y-108360000D01* -X94107500Y-108360000D01* -X94113136Y-108360277D01* -G37* -G36* -X93613136Y-108360277D02* -G01* -X93618718Y-108361105D01* -X93624191Y-108362476D01* -X93629504Y-108364377D01* -X93634605Y-108366790D01* -X93639445Y-108369690D01* -X93643978Y-108373052D01* -X93648159Y-108376841D01* -X93651948Y-108381022D01* -X93655310Y-108385555D01* -X93658210Y-108390395D01* -X93660623Y-108395496D01* -X93662524Y-108400809D01* -X93663895Y-108406282D01* -X93664723Y-108411864D01* -X93665000Y-108417500D01* -X93665000Y-109707500D01* -X93664723Y-109713136D01* -X93663895Y-109718718D01* -X93662524Y-109724191D01* -X93660623Y-109729504D01* -X93658210Y-109734605D01* -X93655310Y-109739445D01* -X93651948Y-109743978D01* -X93648159Y-109748159D01* -X93643978Y-109751948D01* -X93639445Y-109755310D01* -X93634605Y-109758210D01* -X93629504Y-109760623D01* -X93624191Y-109762524D01* -X93618718Y-109763895D01* -X93613136Y-109764723D01* -X93607500Y-109765000D01* -X93492500Y-109765000D01* -X93486864Y-109764723D01* -X93481282Y-109763895D01* -X93475809Y-109762524D01* -X93470496Y-109760623D01* -X93465395Y-109758210D01* -X93460555Y-109755310D01* -X93456022Y-109751948D01* -X93451841Y-109748159D01* -X93448052Y-109743978D01* -X93444690Y-109739445D01* -X93441790Y-109734605D01* -X93439377Y-109729504D01* -X93437476Y-109724191D01* -X93436105Y-109718718D01* -X93435277Y-109713136D01* -X93435000Y-109707500D01* -X93435000Y-108417500D01* -X93435277Y-108411864D01* -X93436105Y-108406282D01* -X93437476Y-108400809D01* -X93439377Y-108395496D01* -X93441790Y-108390395D01* -X93444690Y-108385555D01* -X93448052Y-108381022D01* -X93451841Y-108376841D01* -X93456022Y-108373052D01* -X93460555Y-108369690D01* -X93465395Y-108366790D01* -X93470496Y-108364377D01* -X93475809Y-108362476D01* -X93481282Y-108361105D01* -X93486864Y-108360277D01* -X93492500Y-108360000D01* -X93607500Y-108360000D01* -X93613136Y-108360277D01* -G37* -G36* -X93113136Y-108360277D02* -G01* -X93118718Y-108361105D01* -X93124191Y-108362476D01* -X93129504Y-108364377D01* -X93134605Y-108366790D01* -X93139445Y-108369690D01* -X93143978Y-108373052D01* -X93148159Y-108376841D01* -X93151948Y-108381022D01* -X93155310Y-108385555D01* -X93158210Y-108390395D01* -X93160623Y-108395496D01* -X93162524Y-108400809D01* -X93163895Y-108406282D01* -X93164723Y-108411864D01* -X93165000Y-108417500D01* -X93165000Y-109707500D01* -X93164723Y-109713136D01* -X93163895Y-109718718D01* -X93162524Y-109724191D01* -X93160623Y-109729504D01* -X93158210Y-109734605D01* -X93155310Y-109739445D01* -X93151948Y-109743978D01* -X93148159Y-109748159D01* -X93143978Y-109751948D01* -X93139445Y-109755310D01* -X93134605Y-109758210D01* -X93129504Y-109760623D01* -X93124191Y-109762524D01* -X93118718Y-109763895D01* -X93113136Y-109764723D01* -X93107500Y-109765000D01* -X92992500Y-109765000D01* -X92986864Y-109764723D01* -X92981282Y-109763895D01* -X92975809Y-109762524D01* -X92970496Y-109760623D01* -X92965395Y-109758210D01* -X92960555Y-109755310D01* -X92956022Y-109751948D01* -X92951841Y-109748159D01* -X92948052Y-109743978D01* -X92944690Y-109739445D01* -X92941790Y-109734605D01* -X92939377Y-109729504D01* -X92937476Y-109724191D01* -X92936105Y-109718718D01* -X92935277Y-109713136D01* -X92935000Y-109707500D01* -X92935000Y-108417500D01* -X92935277Y-108411864D01* -X92936105Y-108406282D01* -X92937476Y-108400809D01* -X92939377Y-108395496D01* -X92941790Y-108390395D01* -X92944690Y-108385555D01* -X92948052Y-108381022D01* -X92951841Y-108376841D01* -X92956022Y-108373052D01* -X92960555Y-108369690D01* -X92965395Y-108366790D01* -X92970496Y-108364377D01* -X92975809Y-108362476D01* -X92981282Y-108361105D01* -X92986864Y-108360277D01* -X92992500Y-108360000D01* -X93107500Y-108360000D01* -X93113136Y-108360277D01* -G37* -G36* -X92613136Y-108360277D02* -G01* -X92618718Y-108361105D01* -X92624191Y-108362476D01* -X92629504Y-108364377D01* -X92634605Y-108366790D01* -X92639445Y-108369690D01* -X92643978Y-108373052D01* -X92648159Y-108376841D01* -X92651948Y-108381022D01* -X92655310Y-108385555D01* -X92658210Y-108390395D01* -X92660623Y-108395496D01* -X92662524Y-108400809D01* -X92663895Y-108406282D01* -X92664723Y-108411864D01* -X92665000Y-108417500D01* -X92665000Y-109707500D01* -X92664723Y-109713136D01* -X92663895Y-109718718D01* -X92662524Y-109724191D01* -X92660623Y-109729504D01* -X92658210Y-109734605D01* -X92655310Y-109739445D01* -X92651948Y-109743978D01* -X92648159Y-109748159D01* -X92643978Y-109751948D01* -X92639445Y-109755310D01* -X92634605Y-109758210D01* -X92629504Y-109760623D01* -X92624191Y-109762524D01* -X92618718Y-109763895D01* -X92613136Y-109764723D01* -X92607500Y-109765000D01* -X92492500Y-109765000D01* -X92486864Y-109764723D01* -X92481282Y-109763895D01* -X92475809Y-109762524D01* -X92470496Y-109760623D01* -X92465395Y-109758210D01* -X92460555Y-109755310D01* -X92456022Y-109751948D01* -X92451841Y-109748159D01* -X92448052Y-109743978D01* -X92444690Y-109739445D01* -X92441790Y-109734605D01* -X92439377Y-109729504D01* -X92437476Y-109724191D01* -X92436105Y-109718718D01* -X92435277Y-109713136D01* -X92435000Y-109707500D01* -X92435000Y-108417500D01* -X92435277Y-108411864D01* -X92436105Y-108406282D01* -X92437476Y-108400809D01* -X92439377Y-108395496D01* -X92441790Y-108390395D01* -X92444690Y-108385555D01* -X92448052Y-108381022D01* -X92451841Y-108376841D01* -X92456022Y-108373052D01* -X92460555Y-108369690D01* -X92465395Y-108366790D01* -X92470496Y-108364377D01* -X92475809Y-108362476D01* -X92481282Y-108361105D01* -X92486864Y-108360277D01* -X92492500Y-108360000D01* -X92607500Y-108360000D01* -X92613136Y-108360277D01* -G37* -G36* -X92113136Y-108360277D02* -G01* -X92118718Y-108361105D01* -X92124191Y-108362476D01* -X92129504Y-108364377D01* -X92134605Y-108366790D01* -X92139445Y-108369690D01* -X92143978Y-108373052D01* -X92148159Y-108376841D01* -X92151948Y-108381022D01* -X92155310Y-108385555D01* -X92158210Y-108390395D01* -X92160623Y-108395496D01* -X92162524Y-108400809D01* -X92163895Y-108406282D01* -X92164723Y-108411864D01* -X92165000Y-108417500D01* -X92165000Y-109707500D01* -X92164723Y-109713136D01* -X92163895Y-109718718D01* -X92162524Y-109724191D01* -X92160623Y-109729504D01* -X92158210Y-109734605D01* -X92155310Y-109739445D01* -X92151948Y-109743978D01* -X92148159Y-109748159D01* -X92143978Y-109751948D01* -X92139445Y-109755310D01* -X92134605Y-109758210D01* -X92129504Y-109760623D01* -X92124191Y-109762524D01* -X92118718Y-109763895D01* -X92113136Y-109764723D01* -X92107500Y-109765000D01* -X91992500Y-109765000D01* -X91986864Y-109764723D01* -X91981282Y-109763895D01* -X91975809Y-109762524D01* -X91970496Y-109760623D01* -X91965395Y-109758210D01* -X91960555Y-109755310D01* -X91956022Y-109751948D01* -X91951841Y-109748159D01* -X91948052Y-109743978D01* -X91944690Y-109739445D01* -X91941790Y-109734605D01* -X91939377Y-109729504D01* -X91937476Y-109724191D01* -X91936105Y-109718718D01* -X91935277Y-109713136D01* -X91935000Y-109707500D01* -X91935000Y-108417500D01* -X91935277Y-108411864D01* -X91936105Y-108406282D01* -X91937476Y-108400809D01* -X91939377Y-108395496D01* -X91941790Y-108390395D01* -X91944690Y-108385555D01* -X91948052Y-108381022D01* -X91951841Y-108376841D01* -X91956022Y-108373052D01* -X91960555Y-108369690D01* -X91965395Y-108366790D01* -X91970496Y-108364377D01* -X91975809Y-108362476D01* -X91981282Y-108361105D01* -X91986864Y-108360277D01* -X91992500Y-108360000D01* -X92107500Y-108360000D01* -X92113136Y-108360277D01* -G37* -G36* -X91613136Y-108360277D02* -G01* -X91618718Y-108361105D01* -X91624191Y-108362476D01* -X91629504Y-108364377D01* -X91634605Y-108366790D01* -X91639445Y-108369690D01* -X91643978Y-108373052D01* -X91648159Y-108376841D01* -X91651948Y-108381022D01* -X91655310Y-108385555D01* -X91658210Y-108390395D01* -X91660623Y-108395496D01* -X91662524Y-108400809D01* -X91663895Y-108406282D01* -X91664723Y-108411864D01* -X91665000Y-108417500D01* -X91665000Y-109707500D01* -X91664723Y-109713136D01* -X91663895Y-109718718D01* -X91662524Y-109724191D01* -X91660623Y-109729504D01* -X91658210Y-109734605D01* -X91655310Y-109739445D01* -X91651948Y-109743978D01* -X91648159Y-109748159D01* -X91643978Y-109751948D01* -X91639445Y-109755310D01* -X91634605Y-109758210D01* -X91629504Y-109760623D01* -X91624191Y-109762524D01* -X91618718Y-109763895D01* -X91613136Y-109764723D01* -X91607500Y-109765000D01* -X91492500Y-109765000D01* -X91486864Y-109764723D01* -X91481282Y-109763895D01* -X91475809Y-109762524D01* -X91470496Y-109760623D01* -X91465395Y-109758210D01* -X91460555Y-109755310D01* -X91456022Y-109751948D01* -X91451841Y-109748159D01* -X91448052Y-109743978D01* -X91444690Y-109739445D01* -X91441790Y-109734605D01* -X91439377Y-109729504D01* -X91437476Y-109724191D01* -X91436105Y-109718718D01* -X91435277Y-109713136D01* -X91435000Y-109707500D01* -X91435000Y-108417500D01* -X91435277Y-108411864D01* -X91436105Y-108406282D01* -X91437476Y-108400809D01* -X91439377Y-108395496D01* -X91441790Y-108390395D01* -X91444690Y-108385555D01* -X91448052Y-108381022D01* -X91451841Y-108376841D01* -X91456022Y-108373052D01* -X91460555Y-108369690D01* -X91465395Y-108366790D01* -X91470496Y-108364377D01* -X91475809Y-108362476D01* -X91481282Y-108361105D01* -X91486864Y-108360277D01* -X91492500Y-108360000D01* -X91607500Y-108360000D01* -X91613136Y-108360277D01* -G37* -G36* -X91113136Y-108360277D02* -G01* -X91118718Y-108361105D01* -X91124191Y-108362476D01* -X91129504Y-108364377D01* -X91134605Y-108366790D01* -X91139445Y-108369690D01* -X91143978Y-108373052D01* -X91148159Y-108376841D01* -X91151948Y-108381022D01* -X91155310Y-108385555D01* -X91158210Y-108390395D01* -X91160623Y-108395496D01* -X91162524Y-108400809D01* -X91163895Y-108406282D01* -X91164723Y-108411864D01* -X91165000Y-108417500D01* -X91165000Y-109707500D01* -X91164723Y-109713136D01* -X91163895Y-109718718D01* -X91162524Y-109724191D01* -X91160623Y-109729504D01* -X91158210Y-109734605D01* -X91155310Y-109739445D01* -X91151948Y-109743978D01* -X91148159Y-109748159D01* -X91143978Y-109751948D01* -X91139445Y-109755310D01* -X91134605Y-109758210D01* -X91129504Y-109760623D01* -X91124191Y-109762524D01* -X91118718Y-109763895D01* -X91113136Y-109764723D01* -X91107500Y-109765000D01* -X90992500Y-109765000D01* -X90986864Y-109764723D01* -X90981282Y-109763895D01* -X90975809Y-109762524D01* -X90970496Y-109760623D01* -X90965395Y-109758210D01* -X90960555Y-109755310D01* -X90956022Y-109751948D01* -X90951841Y-109748159D01* -X90948052Y-109743978D01* -X90944690Y-109739445D01* -X90941790Y-109734605D01* -X90939377Y-109729504D01* -X90937476Y-109724191D01* -X90936105Y-109718718D01* -X90935277Y-109713136D01* -X90935000Y-109707500D01* -X90935000Y-108417500D01* -X90935277Y-108411864D01* -X90936105Y-108406282D01* -X90937476Y-108400809D01* -X90939377Y-108395496D01* -X90941790Y-108390395D01* -X90944690Y-108385555D01* -X90948052Y-108381022D01* -X90951841Y-108376841D01* -X90956022Y-108373052D01* -X90960555Y-108369690D01* -X90965395Y-108366790D01* -X90970496Y-108364377D01* -X90975809Y-108362476D01* -X90981282Y-108361105D01* -X90986864Y-108360277D01* -X90992500Y-108360000D01* -X91107500Y-108360000D01* -X91113136Y-108360277D01* -G37* -G36* -X90613136Y-108360277D02* -G01* -X90618718Y-108361105D01* -X90624191Y-108362476D01* -X90629504Y-108364377D01* -X90634605Y-108366790D01* -X90639445Y-108369690D01* -X90643978Y-108373052D01* -X90648159Y-108376841D01* -X90651948Y-108381022D01* -X90655310Y-108385555D01* -X90658210Y-108390395D01* -X90660623Y-108395496D01* -X90662524Y-108400809D01* -X90663895Y-108406282D01* -X90664723Y-108411864D01* -X90665000Y-108417500D01* -X90665000Y-109707500D01* -X90664723Y-109713136D01* -X90663895Y-109718718D01* -X90662524Y-109724191D01* -X90660623Y-109729504D01* -X90658210Y-109734605D01* -X90655310Y-109739445D01* -X90651948Y-109743978D01* -X90648159Y-109748159D01* -X90643978Y-109751948D01* -X90639445Y-109755310D01* -X90634605Y-109758210D01* -X90629504Y-109760623D01* -X90624191Y-109762524D01* -X90618718Y-109763895D01* -X90613136Y-109764723D01* -X90607500Y-109765000D01* -X90492500Y-109765000D01* -X90486864Y-109764723D01* -X90481282Y-109763895D01* -X90475809Y-109762524D01* -X90470496Y-109760623D01* -X90465395Y-109758210D01* -X90460555Y-109755310D01* -X90456022Y-109751948D01* -X90451841Y-109748159D01* -X90448052Y-109743978D01* -X90444690Y-109739445D01* -X90441790Y-109734605D01* -X90439377Y-109729504D01* -X90437476Y-109724191D01* -X90436105Y-109718718D01* -X90435277Y-109713136D01* -X90435000Y-109707500D01* -X90435000Y-108417500D01* -X90435277Y-108411864D01* -X90436105Y-108406282D01* -X90437476Y-108400809D01* -X90439377Y-108395496D01* -X90441790Y-108390395D01* -X90444690Y-108385555D01* -X90448052Y-108381022D01* -X90451841Y-108376841D01* -X90456022Y-108373052D01* -X90460555Y-108369690D01* -X90465395Y-108366790D01* -X90470496Y-108364377D01* -X90475809Y-108362476D01* -X90481282Y-108361105D01* -X90486864Y-108360277D01* -X90492500Y-108360000D01* -X90607500Y-108360000D01* -X90613136Y-108360277D01* -G37* -G36* -X90113136Y-108360277D02* -G01* -X90118718Y-108361105D01* -X90124191Y-108362476D01* -X90129504Y-108364377D01* -X90134605Y-108366790D01* -X90139445Y-108369690D01* -X90143978Y-108373052D01* -X90148159Y-108376841D01* -X90151948Y-108381022D01* -X90155310Y-108385555D01* -X90158210Y-108390395D01* -X90160623Y-108395496D01* -X90162524Y-108400809D01* -X90163895Y-108406282D01* -X90164723Y-108411864D01* -X90165000Y-108417500D01* -X90165000Y-109707500D01* -X90164723Y-109713136D01* -X90163895Y-109718718D01* -X90162524Y-109724191D01* -X90160623Y-109729504D01* -X90158210Y-109734605D01* -X90155310Y-109739445D01* -X90151948Y-109743978D01* -X90148159Y-109748159D01* -X90143978Y-109751948D01* -X90139445Y-109755310D01* -X90134605Y-109758210D01* -X90129504Y-109760623D01* -X90124191Y-109762524D01* -X90118718Y-109763895D01* -X90113136Y-109764723D01* -X90107500Y-109765000D01* -X89992500Y-109765000D01* -X89986864Y-109764723D01* -X89981282Y-109763895D01* -X89975809Y-109762524D01* -X89970496Y-109760623D01* -X89965395Y-109758210D01* -X89960555Y-109755310D01* -X89956022Y-109751948D01* -X89951841Y-109748159D01* -X89948052Y-109743978D01* -X89944690Y-109739445D01* -X89941790Y-109734605D01* -X89939377Y-109729504D01* -X89937476Y-109724191D01* -X89936105Y-109718718D01* -X89935277Y-109713136D01* -X89935000Y-109707500D01* -X89935000Y-108417500D01* -X89935277Y-108411864D01* -X89936105Y-108406282D01* -X89937476Y-108400809D01* -X89939377Y-108395496D01* -X89941790Y-108390395D01* -X89944690Y-108385555D01* -X89948052Y-108381022D01* -X89951841Y-108376841D01* -X89956022Y-108373052D01* -X89960555Y-108369690D01* -X89965395Y-108366790D01* -X89970496Y-108364377D01* -X89975809Y-108362476D01* -X89981282Y-108361105D01* -X89986864Y-108360277D01* -X89992500Y-108360000D01* -X90107500Y-108360000D01* -X90113136Y-108360277D01* -G37* -G36* -X89613136Y-108360277D02* -G01* -X89618718Y-108361105D01* -X89624191Y-108362476D01* -X89629504Y-108364377D01* -X89634605Y-108366790D01* -X89639445Y-108369690D01* -X89643978Y-108373052D01* -X89648159Y-108376841D01* -X89651948Y-108381022D01* -X89655310Y-108385555D01* -X89658210Y-108390395D01* -X89660623Y-108395496D01* -X89662524Y-108400809D01* -X89663895Y-108406282D01* -X89664723Y-108411864D01* -X89665000Y-108417500D01* -X89665000Y-109707500D01* -X89664723Y-109713136D01* -X89663895Y-109718718D01* -X89662524Y-109724191D01* -X89660623Y-109729504D01* -X89658210Y-109734605D01* -X89655310Y-109739445D01* -X89651948Y-109743978D01* -X89648159Y-109748159D01* -X89643978Y-109751948D01* -X89639445Y-109755310D01* -X89634605Y-109758210D01* -X89629504Y-109760623D01* -X89624191Y-109762524D01* -X89618718Y-109763895D01* -X89613136Y-109764723D01* -X89607500Y-109765000D01* -X89492500Y-109765000D01* -X89486864Y-109764723D01* -X89481282Y-109763895D01* -X89475809Y-109762524D01* -X89470496Y-109760623D01* -X89465395Y-109758210D01* -X89460555Y-109755310D01* -X89456022Y-109751948D01* -X89451841Y-109748159D01* -X89448052Y-109743978D01* -X89444690Y-109739445D01* -X89441790Y-109734605D01* -X89439377Y-109729504D01* -X89437476Y-109724191D01* -X89436105Y-109718718D01* -X89435277Y-109713136D01* -X89435000Y-109707500D01* -X89435000Y-108417500D01* -X89435277Y-108411864D01* -X89436105Y-108406282D01* -X89437476Y-108400809D01* -X89439377Y-108395496D01* -X89441790Y-108390395D01* -X89444690Y-108385555D01* -X89448052Y-108381022D01* -X89451841Y-108376841D01* -X89456022Y-108373052D01* -X89460555Y-108369690D01* -X89465395Y-108366790D01* -X89470496Y-108364377D01* -X89475809Y-108362476D01* -X89481282Y-108361105D01* -X89486864Y-108360277D01* -X89492500Y-108360000D01* -X89607500Y-108360000D01* -X89613136Y-108360277D01* -G37* -G36* -X89113136Y-108360277D02* -G01* -X89118718Y-108361105D01* -X89124191Y-108362476D01* -X89129504Y-108364377D01* -X89134605Y-108366790D01* -X89139445Y-108369690D01* -X89143978Y-108373052D01* -X89148159Y-108376841D01* -X89151948Y-108381022D01* -X89155310Y-108385555D01* -X89158210Y-108390395D01* -X89160623Y-108395496D01* -X89162524Y-108400809D01* -X89163895Y-108406282D01* -X89164723Y-108411864D01* -X89165000Y-108417500D01* -X89165000Y-109707500D01* -X89164723Y-109713136D01* -X89163895Y-109718718D01* -X89162524Y-109724191D01* -X89160623Y-109729504D01* -X89158210Y-109734605D01* -X89155310Y-109739445D01* -X89151948Y-109743978D01* -X89148159Y-109748159D01* -X89143978Y-109751948D01* -X89139445Y-109755310D01* -X89134605Y-109758210D01* -X89129504Y-109760623D01* -X89124191Y-109762524D01* -X89118718Y-109763895D01* -X89113136Y-109764723D01* -X89107500Y-109765000D01* -X88992500Y-109765000D01* -X88986864Y-109764723D01* -X88981282Y-109763895D01* -X88975809Y-109762524D01* -X88970496Y-109760623D01* -X88965395Y-109758210D01* -X88960555Y-109755310D01* -X88956022Y-109751948D01* -X88951841Y-109748159D01* -X88948052Y-109743978D01* -X88944690Y-109739445D01* -X88941790Y-109734605D01* -X88939377Y-109729504D01* -X88937476Y-109724191D01* -X88936105Y-109718718D01* -X88935277Y-109713136D01* -X88935000Y-109707500D01* -X88935000Y-108417500D01* -X88935277Y-108411864D01* -X88936105Y-108406282D01* -X88937476Y-108400809D01* -X88939377Y-108395496D01* -X88941790Y-108390395D01* -X88944690Y-108385555D01* -X88948052Y-108381022D01* -X88951841Y-108376841D01* -X88956022Y-108373052D01* -X88960555Y-108369690D01* -X88965395Y-108366790D01* -X88970496Y-108364377D01* -X88975809Y-108362476D01* -X88981282Y-108361105D01* -X88986864Y-108360277D01* -X88992500Y-108360000D01* -X89107500Y-108360000D01* -X89113136Y-108360277D01* -G37* -G36* -X88613136Y-108360277D02* -G01* -X88618718Y-108361105D01* -X88624191Y-108362476D01* -X88629504Y-108364377D01* -X88634605Y-108366790D01* -X88639445Y-108369690D01* -X88643978Y-108373052D01* -X88648159Y-108376841D01* -X88651948Y-108381022D01* -X88655310Y-108385555D01* -X88658210Y-108390395D01* -X88660623Y-108395496D01* -X88662524Y-108400809D01* -X88663895Y-108406282D01* -X88664723Y-108411864D01* -X88665000Y-108417500D01* -X88665000Y-109707500D01* -X88664723Y-109713136D01* -X88663895Y-109718718D01* -X88662524Y-109724191D01* -X88660623Y-109729504D01* -X88658210Y-109734605D01* -X88655310Y-109739445D01* -X88651948Y-109743978D01* -X88648159Y-109748159D01* -X88643978Y-109751948D01* -X88639445Y-109755310D01* -X88634605Y-109758210D01* -X88629504Y-109760623D01* -X88624191Y-109762524D01* -X88618718Y-109763895D01* -X88613136Y-109764723D01* -X88607500Y-109765000D01* -X88492500Y-109765000D01* -X88486864Y-109764723D01* -X88481282Y-109763895D01* -X88475809Y-109762524D01* -X88470496Y-109760623D01* -X88465395Y-109758210D01* -X88460555Y-109755310D01* -X88456022Y-109751948D01* -X88451841Y-109748159D01* -X88448052Y-109743978D01* -X88444690Y-109739445D01* -X88441790Y-109734605D01* -X88439377Y-109729504D01* -X88437476Y-109724191D01* -X88436105Y-109718718D01* -X88435277Y-109713136D01* -X88435000Y-109707500D01* -X88435000Y-108417500D01* -X88435277Y-108411864D01* -X88436105Y-108406282D01* -X88437476Y-108400809D01* -X88439377Y-108395496D01* -X88441790Y-108390395D01* -X88444690Y-108385555D01* -X88448052Y-108381022D01* -X88451841Y-108376841D01* -X88456022Y-108373052D01* -X88460555Y-108369690D01* -X88465395Y-108366790D01* -X88470496Y-108364377D01* -X88475809Y-108362476D01* -X88481282Y-108361105D01* -X88486864Y-108360277D01* -X88492500Y-108360000D01* -X88607500Y-108360000D01* -X88613136Y-108360277D01* -G37* -G36* -X88113136Y-108360277D02* -G01* -X88118718Y-108361105D01* -X88124191Y-108362476D01* -X88129504Y-108364377D01* -X88134605Y-108366790D01* -X88139445Y-108369690D01* -X88143978Y-108373052D01* -X88148159Y-108376841D01* -X88151948Y-108381022D01* -X88155310Y-108385555D01* -X88158210Y-108390395D01* -X88160623Y-108395496D01* -X88162524Y-108400809D01* -X88163895Y-108406282D01* -X88164723Y-108411864D01* -X88165000Y-108417500D01* -X88165000Y-109707500D01* -X88164723Y-109713136D01* -X88163895Y-109718718D01* -X88162524Y-109724191D01* -X88160623Y-109729504D01* -X88158210Y-109734605D01* -X88155310Y-109739445D01* -X88151948Y-109743978D01* -X88148159Y-109748159D01* -X88143978Y-109751948D01* -X88139445Y-109755310D01* -X88134605Y-109758210D01* -X88129504Y-109760623D01* -X88124191Y-109762524D01* -X88118718Y-109763895D01* -X88113136Y-109764723D01* -X88107500Y-109765000D01* -X87992500Y-109765000D01* -X87986864Y-109764723D01* -X87981282Y-109763895D01* -X87975809Y-109762524D01* -X87970496Y-109760623D01* -X87965395Y-109758210D01* -X87960555Y-109755310D01* -X87956022Y-109751948D01* -X87951841Y-109748159D01* -X87948052Y-109743978D01* -X87944690Y-109739445D01* -X87941790Y-109734605D01* -X87939377Y-109729504D01* -X87937476Y-109724191D01* -X87936105Y-109718718D01* -X87935277Y-109713136D01* -X87935000Y-109707500D01* -X87935000Y-108417500D01* -X87935277Y-108411864D01* -X87936105Y-108406282D01* -X87937476Y-108400809D01* -X87939377Y-108395496D01* -X87941790Y-108390395D01* -X87944690Y-108385555D01* -X87948052Y-108381022D01* -X87951841Y-108376841D01* -X87956022Y-108373052D01* -X87960555Y-108369690D01* -X87965395Y-108366790D01* -X87970496Y-108364377D01* -X87975809Y-108362476D01* -X87981282Y-108361105D01* -X87986864Y-108360277D01* -X87992500Y-108360000D01* -X88107500Y-108360000D01* -X88113136Y-108360277D01* -G37* -G36* -X87038136Y-107285277D02* -G01* -X87043718Y-107286105D01* -X87049191Y-107287476D01* -X87054504Y-107289377D01* -X87059605Y-107291790D01* -X87064445Y-107294690D01* -X87068978Y-107298052D01* -X87073159Y-107301841D01* -X87076948Y-107306022D01* -X87080310Y-107310555D01* -X87083210Y-107315395D01* -X87085623Y-107320496D01* -X87087524Y-107325809D01* -X87088895Y-107331282D01* -X87089723Y-107336864D01* -X87090000Y-107342500D01* -X87090000Y-107457500D01* -X87089723Y-107463136D01* -X87088895Y-107468718D01* -X87087524Y-107474191D01* -X87085623Y-107479504D01* -X87083210Y-107484605D01* -X87080310Y-107489445D01* -X87076948Y-107493978D01* -X87073159Y-107498159D01* -X87068978Y-107501948D01* -X87064445Y-107505310D01* -X87059605Y-107508210D01* -X87054504Y-107510623D01* -X87049191Y-107512524D01* -X87043718Y-107513895D01* -X87038136Y-107514723D01* -X87032500Y-107515000D01* -X85742500Y-107515000D01* -X85736864Y-107514723D01* -X85731282Y-107513895D01* -X85725809Y-107512524D01* -X85720496Y-107510623D01* -X85715395Y-107508210D01* -X85710555Y-107505310D01* -X85706022Y-107501948D01* -X85701841Y-107498159D01* -X85698052Y-107493978D01* -X85694690Y-107489445D01* -X85691790Y-107484605D01* -X85689377Y-107479504D01* -X85687476Y-107474191D01* -X85686105Y-107468718D01* -X85685277Y-107463136D01* -X85685000Y-107457500D01* -X85685000Y-107342500D01* -X85685277Y-107336864D01* -X85686105Y-107331282D01* -X85687476Y-107325809D01* -X85689377Y-107320496D01* -X85691790Y-107315395D01* -X85694690Y-107310555D01* -X85698052Y-107306022D01* -X85701841Y-107301841D01* -X85706022Y-107298052D01* -X85710555Y-107294690D01* -X85715395Y-107291790D01* -X85720496Y-107289377D01* -X85725809Y-107287476D01* -X85731282Y-107286105D01* -X85736864Y-107285277D01* -X85742500Y-107285000D01* -X87032500Y-107285000D01* -X87038136Y-107285277D01* -G37* -G36* -X87038136Y-106785277D02* -G01* -X87043718Y-106786105D01* -X87049191Y-106787476D01* -X87054504Y-106789377D01* -X87059605Y-106791790D01* -X87064445Y-106794690D01* -X87068978Y-106798052D01* -X87073159Y-106801841D01* -X87076948Y-106806022D01* -X87080310Y-106810555D01* -X87083210Y-106815395D01* -X87085623Y-106820496D01* -X87087524Y-106825809D01* -X87088895Y-106831282D01* -X87089723Y-106836864D01* -X87090000Y-106842500D01* -X87090000Y-106957500D01* -X87089723Y-106963136D01* -X87088895Y-106968718D01* -X87087524Y-106974191D01* -X87085623Y-106979504D01* -X87083210Y-106984605D01* -X87080310Y-106989445D01* -X87076948Y-106993978D01* -X87073159Y-106998159D01* -X87068978Y-107001948D01* -X87064445Y-107005310D01* -X87059605Y-107008210D01* -X87054504Y-107010623D01* -X87049191Y-107012524D01* -X87043718Y-107013895D01* -X87038136Y-107014723D01* -X87032500Y-107015000D01* -X85742500Y-107015000D01* -X85736864Y-107014723D01* -X85731282Y-107013895D01* -X85725809Y-107012524D01* -X85720496Y-107010623D01* -X85715395Y-107008210D01* -X85710555Y-107005310D01* -X85706022Y-107001948D01* -X85701841Y-106998159D01* -X85698052Y-106993978D01* -X85694690Y-106989445D01* -X85691790Y-106984605D01* -X85689377Y-106979504D01* -X85687476Y-106974191D01* -X85686105Y-106968718D01* -X85685277Y-106963136D01* -X85685000Y-106957500D01* -X85685000Y-106842500D01* -X85685277Y-106836864D01* -X85686105Y-106831282D01* -X85687476Y-106825809D01* -X85689377Y-106820496D01* -X85691790Y-106815395D01* -X85694690Y-106810555D01* -X85698052Y-106806022D01* -X85701841Y-106801841D01* -X85706022Y-106798052D01* -X85710555Y-106794690D01* -X85715395Y-106791790D01* -X85720496Y-106789377D01* -X85725809Y-106787476D01* -X85731282Y-106786105D01* -X85736864Y-106785277D01* -X85742500Y-106785000D01* -X87032500Y-106785000D01* -X87038136Y-106785277D01* -G37* -G36* -X87038136Y-106285277D02* -G01* -X87043718Y-106286105D01* -X87049191Y-106287476D01* -X87054504Y-106289377D01* -X87059605Y-106291790D01* -X87064445Y-106294690D01* -X87068978Y-106298052D01* -X87073159Y-106301841D01* -X87076948Y-106306022D01* -X87080310Y-106310555D01* -X87083210Y-106315395D01* -X87085623Y-106320496D01* -X87087524Y-106325809D01* -X87088895Y-106331282D01* -X87089723Y-106336864D01* -X87090000Y-106342500D01* -X87090000Y-106457500D01* -X87089723Y-106463136D01* -X87088895Y-106468718D01* -X87087524Y-106474191D01* -X87085623Y-106479504D01* -X87083210Y-106484605D01* -X87080310Y-106489445D01* -X87076948Y-106493978D01* -X87073159Y-106498159D01* -X87068978Y-106501948D01* -X87064445Y-106505310D01* -X87059605Y-106508210D01* -X87054504Y-106510623D01* -X87049191Y-106512524D01* -X87043718Y-106513895D01* -X87038136Y-106514723D01* -X87032500Y-106515000D01* -X85742500Y-106515000D01* -X85736864Y-106514723D01* -X85731282Y-106513895D01* -X85725809Y-106512524D01* -X85720496Y-106510623D01* -X85715395Y-106508210D01* -X85710555Y-106505310D01* -X85706022Y-106501948D01* -X85701841Y-106498159D01* -X85698052Y-106493978D01* -X85694690Y-106489445D01* -X85691790Y-106484605D01* -X85689377Y-106479504D01* -X85687476Y-106474191D01* -X85686105Y-106468718D01* -X85685277Y-106463136D01* -X85685000Y-106457500D01* -X85685000Y-106342500D01* -X85685277Y-106336864D01* -X85686105Y-106331282D01* -X85687476Y-106325809D01* -X85689377Y-106320496D01* -X85691790Y-106315395D01* -X85694690Y-106310555D01* -X85698052Y-106306022D01* -X85701841Y-106301841D01* -X85706022Y-106298052D01* -X85710555Y-106294690D01* -X85715395Y-106291790D01* -X85720496Y-106289377D01* -X85725809Y-106287476D01* -X85731282Y-106286105D01* -X85736864Y-106285277D01* -X85742500Y-106285000D01* -X87032500Y-106285000D01* -X87038136Y-106285277D01* -G37* -G36* -X87038136Y-105785277D02* -G01* -X87043718Y-105786105D01* -X87049191Y-105787476D01* -X87054504Y-105789377D01* -X87059605Y-105791790D01* -X87064445Y-105794690D01* -X87068978Y-105798052D01* -X87073159Y-105801841D01* -X87076948Y-105806022D01* -X87080310Y-105810555D01* -X87083210Y-105815395D01* -X87085623Y-105820496D01* -X87087524Y-105825809D01* -X87088895Y-105831282D01* -X87089723Y-105836864D01* -X87090000Y-105842500D01* -X87090000Y-105957500D01* -X87089723Y-105963136D01* -X87088895Y-105968718D01* -X87087524Y-105974191D01* -X87085623Y-105979504D01* -X87083210Y-105984605D01* -X87080310Y-105989445D01* -X87076948Y-105993978D01* -X87073159Y-105998159D01* -X87068978Y-106001948D01* -X87064445Y-106005310D01* -X87059605Y-106008210D01* -X87054504Y-106010623D01* -X87049191Y-106012524D01* -X87043718Y-106013895D01* -X87038136Y-106014723D01* -X87032500Y-106015000D01* -X85742500Y-106015000D01* -X85736864Y-106014723D01* -X85731282Y-106013895D01* -X85725809Y-106012524D01* -X85720496Y-106010623D01* -X85715395Y-106008210D01* -X85710555Y-106005310D01* -X85706022Y-106001948D01* -X85701841Y-105998159D01* -X85698052Y-105993978D01* -X85694690Y-105989445D01* -X85691790Y-105984605D01* -X85689377Y-105979504D01* -X85687476Y-105974191D01* -X85686105Y-105968718D01* -X85685277Y-105963136D01* -X85685000Y-105957500D01* -X85685000Y-105842500D01* -X85685277Y-105836864D01* -X85686105Y-105831282D01* -X85687476Y-105825809D01* -X85689377Y-105820496D01* -X85691790Y-105815395D01* -X85694690Y-105810555D01* -X85698052Y-105806022D01* -X85701841Y-105801841D01* -X85706022Y-105798052D01* -X85710555Y-105794690D01* -X85715395Y-105791790D01* -X85720496Y-105789377D01* -X85725809Y-105787476D01* -X85731282Y-105786105D01* -X85736864Y-105785277D01* -X85742500Y-105785000D01* -X87032500Y-105785000D01* -X87038136Y-105785277D01* -G37* -G36* -X87038136Y-105285277D02* -G01* -X87043718Y-105286105D01* -X87049191Y-105287476D01* -X87054504Y-105289377D01* -X87059605Y-105291790D01* -X87064445Y-105294690D01* -X87068978Y-105298052D01* -X87073159Y-105301841D01* -X87076948Y-105306022D01* -X87080310Y-105310555D01* -X87083210Y-105315395D01* -X87085623Y-105320496D01* -X87087524Y-105325809D01* -X87088895Y-105331282D01* -X87089723Y-105336864D01* -X87090000Y-105342500D01* -X87090000Y-105457500D01* -X87089723Y-105463136D01* -X87088895Y-105468718D01* -X87087524Y-105474191D01* -X87085623Y-105479504D01* -X87083210Y-105484605D01* -X87080310Y-105489445D01* -X87076948Y-105493978D01* -X87073159Y-105498159D01* -X87068978Y-105501948D01* -X87064445Y-105505310D01* -X87059605Y-105508210D01* -X87054504Y-105510623D01* -X87049191Y-105512524D01* -X87043718Y-105513895D01* -X87038136Y-105514723D01* -X87032500Y-105515000D01* -X85742500Y-105515000D01* -X85736864Y-105514723D01* -X85731282Y-105513895D01* -X85725809Y-105512524D01* -X85720496Y-105510623D01* -X85715395Y-105508210D01* -X85710555Y-105505310D01* -X85706022Y-105501948D01* -X85701841Y-105498159D01* -X85698052Y-105493978D01* -X85694690Y-105489445D01* -X85691790Y-105484605D01* -X85689377Y-105479504D01* -X85687476Y-105474191D01* -X85686105Y-105468718D01* -X85685277Y-105463136D01* -X85685000Y-105457500D01* -X85685000Y-105342500D01* -X85685277Y-105336864D01* -X85686105Y-105331282D01* -X85687476Y-105325809D01* -X85689377Y-105320496D01* -X85691790Y-105315395D01* -X85694690Y-105310555D01* -X85698052Y-105306022D01* -X85701841Y-105301841D01* -X85706022Y-105298052D01* -X85710555Y-105294690D01* -X85715395Y-105291790D01* -X85720496Y-105289377D01* -X85725809Y-105287476D01* -X85731282Y-105286105D01* -X85736864Y-105285277D01* -X85742500Y-105285000D01* -X87032500Y-105285000D01* -X87038136Y-105285277D01* -G37* -G36* -X87038136Y-104785277D02* -G01* -X87043718Y-104786105D01* -X87049191Y-104787476D01* -X87054504Y-104789377D01* -X87059605Y-104791790D01* -X87064445Y-104794690D01* -X87068978Y-104798052D01* -X87073159Y-104801841D01* -X87076948Y-104806022D01* -X87080310Y-104810555D01* -X87083210Y-104815395D01* -X87085623Y-104820496D01* -X87087524Y-104825809D01* -X87088895Y-104831282D01* -X87089723Y-104836864D01* -X87090000Y-104842500D01* -X87090000Y-104957500D01* -X87089723Y-104963136D01* -X87088895Y-104968718D01* -X87087524Y-104974191D01* -X87085623Y-104979504D01* -X87083210Y-104984605D01* -X87080310Y-104989445D01* -X87076948Y-104993978D01* -X87073159Y-104998159D01* -X87068978Y-105001948D01* -X87064445Y-105005310D01* -X87059605Y-105008210D01* -X87054504Y-105010623D01* -X87049191Y-105012524D01* -X87043718Y-105013895D01* -X87038136Y-105014723D01* -X87032500Y-105015000D01* -X85742500Y-105015000D01* -X85736864Y-105014723D01* -X85731282Y-105013895D01* -X85725809Y-105012524D01* -X85720496Y-105010623D01* -X85715395Y-105008210D01* -X85710555Y-105005310D01* -X85706022Y-105001948D01* -X85701841Y-104998159D01* -X85698052Y-104993978D01* -X85694690Y-104989445D01* -X85691790Y-104984605D01* -X85689377Y-104979504D01* -X85687476Y-104974191D01* -X85686105Y-104968718D01* -X85685277Y-104963136D01* -X85685000Y-104957500D01* -X85685000Y-104842500D01* -X85685277Y-104836864D01* -X85686105Y-104831282D01* -X85687476Y-104825809D01* -X85689377Y-104820496D01* -X85691790Y-104815395D01* -X85694690Y-104810555D01* -X85698052Y-104806022D01* -X85701841Y-104801841D01* -X85706022Y-104798052D01* -X85710555Y-104794690D01* -X85715395Y-104791790D01* -X85720496Y-104789377D01* -X85725809Y-104787476D01* -X85731282Y-104786105D01* -X85736864Y-104785277D01* -X85742500Y-104785000D01* -X87032500Y-104785000D01* -X87038136Y-104785277D01* -G37* -G36* -X87038136Y-104285277D02* -G01* -X87043718Y-104286105D01* -X87049191Y-104287476D01* -X87054504Y-104289377D01* -X87059605Y-104291790D01* -X87064445Y-104294690D01* -X87068978Y-104298052D01* -X87073159Y-104301841D01* -X87076948Y-104306022D01* -X87080310Y-104310555D01* -X87083210Y-104315395D01* -X87085623Y-104320496D01* -X87087524Y-104325809D01* -X87088895Y-104331282D01* -X87089723Y-104336864D01* -X87090000Y-104342500D01* -X87090000Y-104457500D01* -X87089723Y-104463136D01* -X87088895Y-104468718D01* -X87087524Y-104474191D01* -X87085623Y-104479504D01* -X87083210Y-104484605D01* -X87080310Y-104489445D01* -X87076948Y-104493978D01* -X87073159Y-104498159D01* -X87068978Y-104501948D01* -X87064445Y-104505310D01* -X87059605Y-104508210D01* -X87054504Y-104510623D01* -X87049191Y-104512524D01* -X87043718Y-104513895D01* -X87038136Y-104514723D01* -X87032500Y-104515000D01* -X85742500Y-104515000D01* -X85736864Y-104514723D01* -X85731282Y-104513895D01* -X85725809Y-104512524D01* -X85720496Y-104510623D01* -X85715395Y-104508210D01* -X85710555Y-104505310D01* -X85706022Y-104501948D01* -X85701841Y-104498159D01* -X85698052Y-104493978D01* -X85694690Y-104489445D01* -X85691790Y-104484605D01* -X85689377Y-104479504D01* -X85687476Y-104474191D01* -X85686105Y-104468718D01* -X85685277Y-104463136D01* -X85685000Y-104457500D01* -X85685000Y-104342500D01* -X85685277Y-104336864D01* -X85686105Y-104331282D01* -X85687476Y-104325809D01* -X85689377Y-104320496D01* -X85691790Y-104315395D01* -X85694690Y-104310555D01* -X85698052Y-104306022D01* -X85701841Y-104301841D01* -X85706022Y-104298052D01* -X85710555Y-104294690D01* -X85715395Y-104291790D01* -X85720496Y-104289377D01* -X85725809Y-104287476D01* -X85731282Y-104286105D01* -X85736864Y-104285277D01* -X85742500Y-104285000D01* -X87032500Y-104285000D01* -X87038136Y-104285277D01* -G37* -G36* -X87038136Y-103785277D02* -G01* -X87043718Y-103786105D01* -X87049191Y-103787476D01* -X87054504Y-103789377D01* -X87059605Y-103791790D01* -X87064445Y-103794690D01* -X87068978Y-103798052D01* -X87073159Y-103801841D01* -X87076948Y-103806022D01* -X87080310Y-103810555D01* -X87083210Y-103815395D01* -X87085623Y-103820496D01* -X87087524Y-103825809D01* -X87088895Y-103831282D01* -X87089723Y-103836864D01* -X87090000Y-103842500D01* -X87090000Y-103957500D01* -X87089723Y-103963136D01* -X87088895Y-103968718D01* -X87087524Y-103974191D01* -X87085623Y-103979504D01* -X87083210Y-103984605D01* -X87080310Y-103989445D01* -X87076948Y-103993978D01* -X87073159Y-103998159D01* -X87068978Y-104001948D01* -X87064445Y-104005310D01* -X87059605Y-104008210D01* -X87054504Y-104010623D01* -X87049191Y-104012524D01* -X87043718Y-104013895D01* -X87038136Y-104014723D01* -X87032500Y-104015000D01* -X85742500Y-104015000D01* -X85736864Y-104014723D01* -X85731282Y-104013895D01* -X85725809Y-104012524D01* -X85720496Y-104010623D01* -X85715395Y-104008210D01* -X85710555Y-104005310D01* -X85706022Y-104001948D01* -X85701841Y-103998159D01* -X85698052Y-103993978D01* -X85694690Y-103989445D01* -X85691790Y-103984605D01* -X85689377Y-103979504D01* -X85687476Y-103974191D01* -X85686105Y-103968718D01* -X85685277Y-103963136D01* -X85685000Y-103957500D01* -X85685000Y-103842500D01* -X85685277Y-103836864D01* -X85686105Y-103831282D01* -X85687476Y-103825809D01* -X85689377Y-103820496D01* -X85691790Y-103815395D01* -X85694690Y-103810555D01* -X85698052Y-103806022D01* -X85701841Y-103801841D01* -X85706022Y-103798052D01* -X85710555Y-103794690D01* -X85715395Y-103791790D01* -X85720496Y-103789377D01* -X85725809Y-103787476D01* -X85731282Y-103786105D01* -X85736864Y-103785277D01* -X85742500Y-103785000D01* -X87032500Y-103785000D01* -X87038136Y-103785277D01* -G37* -G36* -X87038136Y-103285277D02* -G01* -X87043718Y-103286105D01* -X87049191Y-103287476D01* -X87054504Y-103289377D01* -X87059605Y-103291790D01* -X87064445Y-103294690D01* -X87068978Y-103298052D01* -X87073159Y-103301841D01* -X87076948Y-103306022D01* -X87080310Y-103310555D01* -X87083210Y-103315395D01* -X87085623Y-103320496D01* -X87087524Y-103325809D01* -X87088895Y-103331282D01* -X87089723Y-103336864D01* -X87090000Y-103342500D01* -X87090000Y-103457500D01* -X87089723Y-103463136D01* -X87088895Y-103468718D01* -X87087524Y-103474191D01* -X87085623Y-103479504D01* -X87083210Y-103484605D01* -X87080310Y-103489445D01* -X87076948Y-103493978D01* -X87073159Y-103498159D01* -X87068978Y-103501948D01* -X87064445Y-103505310D01* -X87059605Y-103508210D01* -X87054504Y-103510623D01* -X87049191Y-103512524D01* -X87043718Y-103513895D01* -X87038136Y-103514723D01* -X87032500Y-103515000D01* -X85742500Y-103515000D01* -X85736864Y-103514723D01* -X85731282Y-103513895D01* -X85725809Y-103512524D01* -X85720496Y-103510623D01* -X85715395Y-103508210D01* -X85710555Y-103505310D01* -X85706022Y-103501948D01* -X85701841Y-103498159D01* -X85698052Y-103493978D01* -X85694690Y-103489445D01* -X85691790Y-103484605D01* -X85689377Y-103479504D01* -X85687476Y-103474191D01* -X85686105Y-103468718D01* -X85685277Y-103463136D01* -X85685000Y-103457500D01* -X85685000Y-103342500D01* -X85685277Y-103336864D01* -X85686105Y-103331282D01* -X85687476Y-103325809D01* -X85689377Y-103320496D01* -X85691790Y-103315395D01* -X85694690Y-103310555D01* -X85698052Y-103306022D01* -X85701841Y-103301841D01* -X85706022Y-103298052D01* -X85710555Y-103294690D01* -X85715395Y-103291790D01* -X85720496Y-103289377D01* -X85725809Y-103287476D01* -X85731282Y-103286105D01* -X85736864Y-103285277D01* -X85742500Y-103285000D01* -X87032500Y-103285000D01* -X87038136Y-103285277D01* -G37* -G36* -X87038136Y-102785277D02* -G01* -X87043718Y-102786105D01* -X87049191Y-102787476D01* -X87054504Y-102789377D01* -X87059605Y-102791790D01* -X87064445Y-102794690D01* -X87068978Y-102798052D01* -X87073159Y-102801841D01* -X87076948Y-102806022D01* -X87080310Y-102810555D01* -X87083210Y-102815395D01* -X87085623Y-102820496D01* -X87087524Y-102825809D01* -X87088895Y-102831282D01* -X87089723Y-102836864D01* -X87090000Y-102842500D01* -X87090000Y-102957500D01* -X87089723Y-102963136D01* -X87088895Y-102968718D01* -X87087524Y-102974191D01* -X87085623Y-102979504D01* -X87083210Y-102984605D01* -X87080310Y-102989445D01* -X87076948Y-102993978D01* -X87073159Y-102998159D01* -X87068978Y-103001948D01* -X87064445Y-103005310D01* -X87059605Y-103008210D01* -X87054504Y-103010623D01* -X87049191Y-103012524D01* -X87043718Y-103013895D01* -X87038136Y-103014723D01* -X87032500Y-103015000D01* -X85742500Y-103015000D01* -X85736864Y-103014723D01* -X85731282Y-103013895D01* -X85725809Y-103012524D01* -X85720496Y-103010623D01* -X85715395Y-103008210D01* -X85710555Y-103005310D01* -X85706022Y-103001948D01* -X85701841Y-102998159D01* -X85698052Y-102993978D01* -X85694690Y-102989445D01* -X85691790Y-102984605D01* -X85689377Y-102979504D01* -X85687476Y-102974191D01* -X85686105Y-102968718D01* -X85685277Y-102963136D01* -X85685000Y-102957500D01* -X85685000Y-102842500D01* -X85685277Y-102836864D01* -X85686105Y-102831282D01* -X85687476Y-102825809D01* -X85689377Y-102820496D01* -X85691790Y-102815395D01* -X85694690Y-102810555D01* -X85698052Y-102806022D01* -X85701841Y-102801841D01* -X85706022Y-102798052D01* -X85710555Y-102794690D01* -X85715395Y-102791790D01* -X85720496Y-102789377D01* -X85725809Y-102787476D01* -X85731282Y-102786105D01* -X85736864Y-102785277D01* -X85742500Y-102785000D01* -X87032500Y-102785000D01* -X87038136Y-102785277D01* -G37* -G36* -X87038136Y-102285277D02* -G01* -X87043718Y-102286105D01* -X87049191Y-102287476D01* -X87054504Y-102289377D01* -X87059605Y-102291790D01* -X87064445Y-102294690D01* -X87068978Y-102298052D01* -X87073159Y-102301841D01* -X87076948Y-102306022D01* -X87080310Y-102310555D01* -X87083210Y-102315395D01* -X87085623Y-102320496D01* -X87087524Y-102325809D01* -X87088895Y-102331282D01* -X87089723Y-102336864D01* -X87090000Y-102342500D01* -X87090000Y-102457500D01* -X87089723Y-102463136D01* -X87088895Y-102468718D01* -X87087524Y-102474191D01* -X87085623Y-102479504D01* -X87083210Y-102484605D01* -X87080310Y-102489445D01* -X87076948Y-102493978D01* -X87073159Y-102498159D01* -X87068978Y-102501948D01* -X87064445Y-102505310D01* -X87059605Y-102508210D01* -X87054504Y-102510623D01* -X87049191Y-102512524D01* -X87043718Y-102513895D01* -X87038136Y-102514723D01* -X87032500Y-102515000D01* -X85742500Y-102515000D01* -X85736864Y-102514723D01* -X85731282Y-102513895D01* -X85725809Y-102512524D01* -X85720496Y-102510623D01* -X85715395Y-102508210D01* -X85710555Y-102505310D01* -X85706022Y-102501948D01* -X85701841Y-102498159D01* -X85698052Y-102493978D01* -X85694690Y-102489445D01* -X85691790Y-102484605D01* -X85689377Y-102479504D01* -X85687476Y-102474191D01* -X85686105Y-102468718D01* -X85685277Y-102463136D01* -X85685000Y-102457500D01* -X85685000Y-102342500D01* -X85685277Y-102336864D01* -X85686105Y-102331282D01* -X85687476Y-102325809D01* -X85689377Y-102320496D01* -X85691790Y-102315395D01* -X85694690Y-102310555D01* -X85698052Y-102306022D01* -X85701841Y-102301841D01* -X85706022Y-102298052D01* -X85710555Y-102294690D01* -X85715395Y-102291790D01* -X85720496Y-102289377D01* -X85725809Y-102287476D01* -X85731282Y-102286105D01* -X85736864Y-102285277D01* -X85742500Y-102285000D01* -X87032500Y-102285000D01* -X87038136Y-102285277D01* -G37* -G36* -X87038136Y-101785277D02* -G01* -X87043718Y-101786105D01* -X87049191Y-101787476D01* -X87054504Y-101789377D01* -X87059605Y-101791790D01* -X87064445Y-101794690D01* -X87068978Y-101798052D01* -X87073159Y-101801841D01* -X87076948Y-101806022D01* -X87080310Y-101810555D01* -X87083210Y-101815395D01* -X87085623Y-101820496D01* -X87087524Y-101825809D01* -X87088895Y-101831282D01* -X87089723Y-101836864D01* -X87090000Y-101842500D01* -X87090000Y-101957500D01* -X87089723Y-101963136D01* -X87088895Y-101968718D01* -X87087524Y-101974191D01* -X87085623Y-101979504D01* -X87083210Y-101984605D01* -X87080310Y-101989445D01* -X87076948Y-101993978D01* -X87073159Y-101998159D01* -X87068978Y-102001948D01* -X87064445Y-102005310D01* -X87059605Y-102008210D01* -X87054504Y-102010623D01* -X87049191Y-102012524D01* -X87043718Y-102013895D01* -X87038136Y-102014723D01* -X87032500Y-102015000D01* -X85742500Y-102015000D01* -X85736864Y-102014723D01* -X85731282Y-102013895D01* -X85725809Y-102012524D01* -X85720496Y-102010623D01* -X85715395Y-102008210D01* -X85710555Y-102005310D01* -X85706022Y-102001948D01* -X85701841Y-101998159D01* -X85698052Y-101993978D01* -X85694690Y-101989445D01* -X85691790Y-101984605D01* -X85689377Y-101979504D01* -X85687476Y-101974191D01* -X85686105Y-101968718D01* -X85685277Y-101963136D01* -X85685000Y-101957500D01* -X85685000Y-101842500D01* -X85685277Y-101836864D01* -X85686105Y-101831282D01* -X85687476Y-101825809D01* -X85689377Y-101820496D01* -X85691790Y-101815395D01* -X85694690Y-101810555D01* -X85698052Y-101806022D01* -X85701841Y-101801841D01* -X85706022Y-101798052D01* -X85710555Y-101794690D01* -X85715395Y-101791790D01* -X85720496Y-101789377D01* -X85725809Y-101787476D01* -X85731282Y-101786105D01* -X85736864Y-101785277D01* -X85742500Y-101785000D01* -X87032500Y-101785000D01* -X87038136Y-101785277D01* -G37* -G36* -X87038136Y-101285277D02* -G01* -X87043718Y-101286105D01* -X87049191Y-101287476D01* -X87054504Y-101289377D01* -X87059605Y-101291790D01* -X87064445Y-101294690D01* -X87068978Y-101298052D01* -X87073159Y-101301841D01* -X87076948Y-101306022D01* -X87080310Y-101310555D01* -X87083210Y-101315395D01* -X87085623Y-101320496D01* -X87087524Y-101325809D01* -X87088895Y-101331282D01* -X87089723Y-101336864D01* -X87090000Y-101342500D01* -X87090000Y-101457500D01* -X87089723Y-101463136D01* -X87088895Y-101468718D01* -X87087524Y-101474191D01* -X87085623Y-101479504D01* -X87083210Y-101484605D01* -X87080310Y-101489445D01* -X87076948Y-101493978D01* -X87073159Y-101498159D01* -X87068978Y-101501948D01* -X87064445Y-101505310D01* -X87059605Y-101508210D01* -X87054504Y-101510623D01* -X87049191Y-101512524D01* -X87043718Y-101513895D01* -X87038136Y-101514723D01* -X87032500Y-101515000D01* -X85742500Y-101515000D01* -X85736864Y-101514723D01* -X85731282Y-101513895D01* -X85725809Y-101512524D01* -X85720496Y-101510623D01* -X85715395Y-101508210D01* -X85710555Y-101505310D01* -X85706022Y-101501948D01* -X85701841Y-101498159D01* -X85698052Y-101493978D01* -X85694690Y-101489445D01* -X85691790Y-101484605D01* -X85689377Y-101479504D01* -X85687476Y-101474191D01* -X85686105Y-101468718D01* -X85685277Y-101463136D01* -X85685000Y-101457500D01* -X85685000Y-101342500D01* -X85685277Y-101336864D01* -X85686105Y-101331282D01* -X85687476Y-101325809D01* -X85689377Y-101320496D01* -X85691790Y-101315395D01* -X85694690Y-101310555D01* -X85698052Y-101306022D01* -X85701841Y-101301841D01* -X85706022Y-101298052D01* -X85710555Y-101294690D01* -X85715395Y-101291790D01* -X85720496Y-101289377D01* -X85725809Y-101287476D01* -X85731282Y-101286105D01* -X85736864Y-101285277D01* -X85742500Y-101285000D01* -X87032500Y-101285000D01* -X87038136Y-101285277D01* -G37* -G36* -X87038136Y-100785277D02* -G01* -X87043718Y-100786105D01* -X87049191Y-100787476D01* -X87054504Y-100789377D01* -X87059605Y-100791790D01* -X87064445Y-100794690D01* -X87068978Y-100798052D01* -X87073159Y-100801841D01* -X87076948Y-100806022D01* -X87080310Y-100810555D01* -X87083210Y-100815395D01* -X87085623Y-100820496D01* -X87087524Y-100825809D01* -X87088895Y-100831282D01* -X87089723Y-100836864D01* -X87090000Y-100842500D01* -X87090000Y-100957500D01* -X87089723Y-100963136D01* -X87088895Y-100968718D01* -X87087524Y-100974191D01* -X87085623Y-100979504D01* -X87083210Y-100984605D01* -X87080310Y-100989445D01* -X87076948Y-100993978D01* -X87073159Y-100998159D01* -X87068978Y-101001948D01* -X87064445Y-101005310D01* -X87059605Y-101008210D01* -X87054504Y-101010623D01* -X87049191Y-101012524D01* -X87043718Y-101013895D01* -X87038136Y-101014723D01* -X87032500Y-101015000D01* -X85742500Y-101015000D01* -X85736864Y-101014723D01* -X85731282Y-101013895D01* -X85725809Y-101012524D01* -X85720496Y-101010623D01* -X85715395Y-101008210D01* -X85710555Y-101005310D01* -X85706022Y-101001948D01* -X85701841Y-100998159D01* -X85698052Y-100993978D01* -X85694690Y-100989445D01* -X85691790Y-100984605D01* -X85689377Y-100979504D01* -X85687476Y-100974191D01* -X85686105Y-100968718D01* -X85685277Y-100963136D01* -X85685000Y-100957500D01* -X85685000Y-100842500D01* -X85685277Y-100836864D01* -X85686105Y-100831282D01* -X85687476Y-100825809D01* -X85689377Y-100820496D01* -X85691790Y-100815395D01* -X85694690Y-100810555D01* -X85698052Y-100806022D01* -X85701841Y-100801841D01* -X85706022Y-100798052D01* -X85710555Y-100794690D01* -X85715395Y-100791790D01* -X85720496Y-100789377D01* -X85725809Y-100787476D01* -X85731282Y-100786105D01* -X85736864Y-100785277D01* -X85742500Y-100785000D01* -X87032500Y-100785000D01* -X87038136Y-100785277D01* -G37* -G36* -X87038136Y-100285277D02* -G01* -X87043718Y-100286105D01* -X87049191Y-100287476D01* -X87054504Y-100289377D01* -X87059605Y-100291790D01* -X87064445Y-100294690D01* -X87068978Y-100298052D01* -X87073159Y-100301841D01* -X87076948Y-100306022D01* -X87080310Y-100310555D01* -X87083210Y-100315395D01* -X87085623Y-100320496D01* -X87087524Y-100325809D01* -X87088895Y-100331282D01* -X87089723Y-100336864D01* -X87090000Y-100342500D01* -X87090000Y-100457500D01* -X87089723Y-100463136D01* -X87088895Y-100468718D01* -X87087524Y-100474191D01* -X87085623Y-100479504D01* -X87083210Y-100484605D01* -X87080310Y-100489445D01* -X87076948Y-100493978D01* -X87073159Y-100498159D01* -X87068978Y-100501948D01* -X87064445Y-100505310D01* -X87059605Y-100508210D01* -X87054504Y-100510623D01* -X87049191Y-100512524D01* -X87043718Y-100513895D01* -X87038136Y-100514723D01* -X87032500Y-100515000D01* -X85742500Y-100515000D01* -X85736864Y-100514723D01* -X85731282Y-100513895D01* -X85725809Y-100512524D01* -X85720496Y-100510623D01* -X85715395Y-100508210D01* -X85710555Y-100505310D01* -X85706022Y-100501948D01* -X85701841Y-100498159D01* -X85698052Y-100493978D01* -X85694690Y-100489445D01* -X85691790Y-100484605D01* -X85689377Y-100479504D01* -X85687476Y-100474191D01* -X85686105Y-100468718D01* -X85685277Y-100463136D01* -X85685000Y-100457500D01* -X85685000Y-100342500D01* -X85685277Y-100336864D01* -X85686105Y-100331282D01* -X85687476Y-100325809D01* -X85689377Y-100320496D01* -X85691790Y-100315395D01* -X85694690Y-100310555D01* -X85698052Y-100306022D01* -X85701841Y-100301841D01* -X85706022Y-100298052D01* -X85710555Y-100294690D01* -X85715395Y-100291790D01* -X85720496Y-100289377D01* -X85725809Y-100287476D01* -X85731282Y-100286105D01* -X85736864Y-100285277D01* -X85742500Y-100285000D01* -X87032500Y-100285000D01* -X87038136Y-100285277D01* -G37* -G36* -X87038136Y-99785277D02* -G01* -X87043718Y-99786105D01* -X87049191Y-99787476D01* -X87054504Y-99789377D01* -X87059605Y-99791790D01* -X87064445Y-99794690D01* -X87068978Y-99798052D01* -X87073159Y-99801841D01* -X87076948Y-99806022D01* -X87080310Y-99810555D01* -X87083210Y-99815395D01* -X87085623Y-99820496D01* -X87087524Y-99825809D01* -X87088895Y-99831282D01* -X87089723Y-99836864D01* -X87090000Y-99842500D01* -X87090000Y-99957500D01* -X87089723Y-99963136D01* -X87088895Y-99968718D01* -X87087524Y-99974191D01* -X87085623Y-99979504D01* -X87083210Y-99984605D01* -X87080310Y-99989445D01* -X87076948Y-99993978D01* -X87073159Y-99998159D01* -X87068978Y-100001948D01* -X87064445Y-100005310D01* -X87059605Y-100008210D01* -X87054504Y-100010623D01* -X87049191Y-100012524D01* -X87043718Y-100013895D01* -X87038136Y-100014723D01* -X87032500Y-100015000D01* -X85742500Y-100015000D01* -X85736864Y-100014723D01* -X85731282Y-100013895D01* -X85725809Y-100012524D01* -X85720496Y-100010623D01* -X85715395Y-100008210D01* -X85710555Y-100005310D01* -X85706022Y-100001948D01* -X85701841Y-99998159D01* -X85698052Y-99993978D01* -X85694690Y-99989445D01* -X85691790Y-99984605D01* -X85689377Y-99979504D01* -X85687476Y-99974191D01* -X85686105Y-99968718D01* -X85685277Y-99963136D01* -X85685000Y-99957500D01* -X85685000Y-99842500D01* -X85685277Y-99836864D01* -X85686105Y-99831282D01* -X85687476Y-99825809D01* -X85689377Y-99820496D01* -X85691790Y-99815395D01* -X85694690Y-99810555D01* -X85698052Y-99806022D01* -X85701841Y-99801841D01* -X85706022Y-99798052D01* -X85710555Y-99794690D01* -X85715395Y-99791790D01* -X85720496Y-99789377D01* -X85725809Y-99787476D01* -X85731282Y-99786105D01* -X85736864Y-99785277D01* -X85742500Y-99785000D01* -X87032500Y-99785000D01* -X87038136Y-99785277D01* -G37* -G36* -X87038136Y-99285277D02* -G01* -X87043718Y-99286105D01* -X87049191Y-99287476D01* -X87054504Y-99289377D01* -X87059605Y-99291790D01* -X87064445Y-99294690D01* -X87068978Y-99298052D01* -X87073159Y-99301841D01* -X87076948Y-99306022D01* -X87080310Y-99310555D01* -X87083210Y-99315395D01* -X87085623Y-99320496D01* -X87087524Y-99325809D01* -X87088895Y-99331282D01* -X87089723Y-99336864D01* -X87090000Y-99342500D01* -X87090000Y-99457500D01* -X87089723Y-99463136D01* -X87088895Y-99468718D01* -X87087524Y-99474191D01* -X87085623Y-99479504D01* -X87083210Y-99484605D01* -X87080310Y-99489445D01* -X87076948Y-99493978D01* -X87073159Y-99498159D01* -X87068978Y-99501948D01* -X87064445Y-99505310D01* -X87059605Y-99508210D01* -X87054504Y-99510623D01* -X87049191Y-99512524D01* -X87043718Y-99513895D01* -X87038136Y-99514723D01* -X87032500Y-99515000D01* -X85742500Y-99515000D01* -X85736864Y-99514723D01* -X85731282Y-99513895D01* -X85725809Y-99512524D01* -X85720496Y-99510623D01* -X85715395Y-99508210D01* -X85710555Y-99505310D01* -X85706022Y-99501948D01* -X85701841Y-99498159D01* -X85698052Y-99493978D01* -X85694690Y-99489445D01* -X85691790Y-99484605D01* -X85689377Y-99479504D01* -X85687476Y-99474191D01* -X85686105Y-99468718D01* -X85685277Y-99463136D01* -X85685000Y-99457500D01* -X85685000Y-99342500D01* -X85685277Y-99336864D01* -X85686105Y-99331282D01* -X85687476Y-99325809D01* -X85689377Y-99320496D01* -X85691790Y-99315395D01* -X85694690Y-99310555D01* -X85698052Y-99306022D01* -X85701841Y-99301841D01* -X85706022Y-99298052D01* -X85710555Y-99294690D01* -X85715395Y-99291790D01* -X85720496Y-99289377D01* -X85725809Y-99287476D01* -X85731282Y-99286105D01* -X85736864Y-99285277D01* -X85742500Y-99285000D01* -X87032500Y-99285000D01* -X87038136Y-99285277D01* -G37* -G36* -X87038136Y-98785277D02* -G01* -X87043718Y-98786105D01* -X87049191Y-98787476D01* -X87054504Y-98789377D01* -X87059605Y-98791790D01* -X87064445Y-98794690D01* -X87068978Y-98798052D01* -X87073159Y-98801841D01* -X87076948Y-98806022D01* -X87080310Y-98810555D01* -X87083210Y-98815395D01* -X87085623Y-98820496D01* -X87087524Y-98825809D01* -X87088895Y-98831282D01* -X87089723Y-98836864D01* -X87090000Y-98842500D01* -X87090000Y-98957500D01* -X87089723Y-98963136D01* -X87088895Y-98968718D01* -X87087524Y-98974191D01* -X87085623Y-98979504D01* -X87083210Y-98984605D01* -X87080310Y-98989445D01* -X87076948Y-98993978D01* -X87073159Y-98998159D01* -X87068978Y-99001948D01* -X87064445Y-99005310D01* -X87059605Y-99008210D01* -X87054504Y-99010623D01* -X87049191Y-99012524D01* -X87043718Y-99013895D01* -X87038136Y-99014723D01* -X87032500Y-99015000D01* -X85742500Y-99015000D01* -X85736864Y-99014723D01* -X85731282Y-99013895D01* -X85725809Y-99012524D01* -X85720496Y-99010623D01* -X85715395Y-99008210D01* -X85710555Y-99005310D01* -X85706022Y-99001948D01* -X85701841Y-98998159D01* -X85698052Y-98993978D01* -X85694690Y-98989445D01* -X85691790Y-98984605D01* -X85689377Y-98979504D01* -X85687476Y-98974191D01* -X85686105Y-98968718D01* -X85685277Y-98963136D01* -X85685000Y-98957500D01* -X85685000Y-98842500D01* -X85685277Y-98836864D01* -X85686105Y-98831282D01* -X85687476Y-98825809D01* -X85689377Y-98820496D01* -X85691790Y-98815395D01* -X85694690Y-98810555D01* -X85698052Y-98806022D01* -X85701841Y-98801841D01* -X85706022Y-98798052D01* -X85710555Y-98794690D01* -X85715395Y-98791790D01* -X85720496Y-98789377D01* -X85725809Y-98787476D01* -X85731282Y-98786105D01* -X85736864Y-98785277D01* -X85742500Y-98785000D01* -X87032500Y-98785000D01* -X87038136Y-98785277D01* -G37* -G36* -X87038136Y-98285277D02* -G01* -X87043718Y-98286105D01* -X87049191Y-98287476D01* -X87054504Y-98289377D01* -X87059605Y-98291790D01* -X87064445Y-98294690D01* -X87068978Y-98298052D01* -X87073159Y-98301841D01* -X87076948Y-98306022D01* -X87080310Y-98310555D01* -X87083210Y-98315395D01* -X87085623Y-98320496D01* -X87087524Y-98325809D01* -X87088895Y-98331282D01* -X87089723Y-98336864D01* -X87090000Y-98342500D01* -X87090000Y-98457500D01* -X87089723Y-98463136D01* -X87088895Y-98468718D01* -X87087524Y-98474191D01* -X87085623Y-98479504D01* -X87083210Y-98484605D01* -X87080310Y-98489445D01* -X87076948Y-98493978D01* -X87073159Y-98498159D01* -X87068978Y-98501948D01* -X87064445Y-98505310D01* -X87059605Y-98508210D01* -X87054504Y-98510623D01* -X87049191Y-98512524D01* -X87043718Y-98513895D01* -X87038136Y-98514723D01* -X87032500Y-98515000D01* -X85742500Y-98515000D01* -X85736864Y-98514723D01* -X85731282Y-98513895D01* -X85725809Y-98512524D01* -X85720496Y-98510623D01* -X85715395Y-98508210D01* -X85710555Y-98505310D01* -X85706022Y-98501948D01* -X85701841Y-98498159D01* -X85698052Y-98493978D01* -X85694690Y-98489445D01* -X85691790Y-98484605D01* -X85689377Y-98479504D01* -X85687476Y-98474191D01* -X85686105Y-98468718D01* -X85685277Y-98463136D01* -X85685000Y-98457500D01* -X85685000Y-98342500D01* -X85685277Y-98336864D01* -X85686105Y-98331282D01* -X85687476Y-98325809D01* -X85689377Y-98320496D01* -X85691790Y-98315395D01* -X85694690Y-98310555D01* -X85698052Y-98306022D01* -X85701841Y-98301841D01* -X85706022Y-98298052D01* -X85710555Y-98294690D01* -X85715395Y-98291790D01* -X85720496Y-98289377D01* -X85725809Y-98287476D01* -X85731282Y-98286105D01* -X85736864Y-98285277D01* -X85742500Y-98285000D01* -X87032500Y-98285000D01* -X87038136Y-98285277D01* -G37* -G36* -X87038136Y-97785277D02* -G01* -X87043718Y-97786105D01* -X87049191Y-97787476D01* -X87054504Y-97789377D01* -X87059605Y-97791790D01* -X87064445Y-97794690D01* -X87068978Y-97798052D01* -X87073159Y-97801841D01* -X87076948Y-97806022D01* -X87080310Y-97810555D01* -X87083210Y-97815395D01* -X87085623Y-97820496D01* -X87087524Y-97825809D01* -X87088895Y-97831282D01* -X87089723Y-97836864D01* -X87090000Y-97842500D01* -X87090000Y-97957500D01* -X87089723Y-97963136D01* -X87088895Y-97968718D01* -X87087524Y-97974191D01* -X87085623Y-97979504D01* -X87083210Y-97984605D01* -X87080310Y-97989445D01* -X87076948Y-97993978D01* -X87073159Y-97998159D01* -X87068978Y-98001948D01* -X87064445Y-98005310D01* -X87059605Y-98008210D01* -X87054504Y-98010623D01* -X87049191Y-98012524D01* -X87043718Y-98013895D01* -X87038136Y-98014723D01* -X87032500Y-98015000D01* -X85742500Y-98015000D01* -X85736864Y-98014723D01* -X85731282Y-98013895D01* -X85725809Y-98012524D01* -X85720496Y-98010623D01* -X85715395Y-98008210D01* -X85710555Y-98005310D01* -X85706022Y-98001948D01* -X85701841Y-97998159D01* -X85698052Y-97993978D01* -X85694690Y-97989445D01* -X85691790Y-97984605D01* -X85689377Y-97979504D01* -X85687476Y-97974191D01* -X85686105Y-97968718D01* -X85685277Y-97963136D01* -X85685000Y-97957500D01* -X85685000Y-97842500D01* -X85685277Y-97836864D01* -X85686105Y-97831282D01* -X85687476Y-97825809D01* -X85689377Y-97820496D01* -X85691790Y-97815395D01* -X85694690Y-97810555D01* -X85698052Y-97806022D01* -X85701841Y-97801841D01* -X85706022Y-97798052D01* -X85710555Y-97794690D01* -X85715395Y-97791790D01* -X85720496Y-97789377D01* -X85725809Y-97787476D01* -X85731282Y-97786105D01* -X85736864Y-97785277D01* -X85742500Y-97785000D01* -X87032500Y-97785000D01* -X87038136Y-97785277D01* -G37* -G36* -X87038136Y-97285277D02* -G01* -X87043718Y-97286105D01* -X87049191Y-97287476D01* -X87054504Y-97289377D01* -X87059605Y-97291790D01* -X87064445Y-97294690D01* -X87068978Y-97298052D01* -X87073159Y-97301841D01* -X87076948Y-97306022D01* -X87080310Y-97310555D01* -X87083210Y-97315395D01* -X87085623Y-97320496D01* -X87087524Y-97325809D01* -X87088895Y-97331282D01* -X87089723Y-97336864D01* -X87090000Y-97342500D01* -X87090000Y-97457500D01* -X87089723Y-97463136D01* -X87088895Y-97468718D01* -X87087524Y-97474191D01* -X87085623Y-97479504D01* -X87083210Y-97484605D01* -X87080310Y-97489445D01* -X87076948Y-97493978D01* -X87073159Y-97498159D01* -X87068978Y-97501948D01* -X87064445Y-97505310D01* -X87059605Y-97508210D01* -X87054504Y-97510623D01* -X87049191Y-97512524D01* -X87043718Y-97513895D01* -X87038136Y-97514723D01* -X87032500Y-97515000D01* -X85742500Y-97515000D01* -X85736864Y-97514723D01* -X85731282Y-97513895D01* -X85725809Y-97512524D01* -X85720496Y-97510623D01* -X85715395Y-97508210D01* -X85710555Y-97505310D01* -X85706022Y-97501948D01* -X85701841Y-97498159D01* -X85698052Y-97493978D01* -X85694690Y-97489445D01* -X85691790Y-97484605D01* -X85689377Y-97479504D01* -X85687476Y-97474191D01* -X85686105Y-97468718D01* -X85685277Y-97463136D01* -X85685000Y-97457500D01* -X85685000Y-97342500D01* -X85685277Y-97336864D01* -X85686105Y-97331282D01* -X85687476Y-97325809D01* -X85689377Y-97320496D01* -X85691790Y-97315395D01* -X85694690Y-97310555D01* -X85698052Y-97306022D01* -X85701841Y-97301841D01* -X85706022Y-97298052D01* -X85710555Y-97294690D01* -X85715395Y-97291790D01* -X85720496Y-97289377D01* -X85725809Y-97287476D01* -X85731282Y-97286105D01* -X85736864Y-97285277D01* -X85742500Y-97285000D01* -X87032500Y-97285000D01* -X87038136Y-97285277D01* -G37* -G36* -X87038136Y-96785277D02* -G01* -X87043718Y-96786105D01* -X87049191Y-96787476D01* -X87054504Y-96789377D01* -X87059605Y-96791790D01* -X87064445Y-96794690D01* -X87068978Y-96798052D01* -X87073159Y-96801841D01* -X87076948Y-96806022D01* -X87080310Y-96810555D01* -X87083210Y-96815395D01* -X87085623Y-96820496D01* -X87087524Y-96825809D01* -X87088895Y-96831282D01* -X87089723Y-96836864D01* -X87090000Y-96842500D01* -X87090000Y-96957500D01* -X87089723Y-96963136D01* -X87088895Y-96968718D01* -X87087524Y-96974191D01* -X87085623Y-96979504D01* -X87083210Y-96984605D01* -X87080310Y-96989445D01* -X87076948Y-96993978D01* -X87073159Y-96998159D01* -X87068978Y-97001948D01* -X87064445Y-97005310D01* -X87059605Y-97008210D01* -X87054504Y-97010623D01* -X87049191Y-97012524D01* -X87043718Y-97013895D01* -X87038136Y-97014723D01* -X87032500Y-97015000D01* -X85742500Y-97015000D01* -X85736864Y-97014723D01* -X85731282Y-97013895D01* -X85725809Y-97012524D01* -X85720496Y-97010623D01* -X85715395Y-97008210D01* -X85710555Y-97005310D01* -X85706022Y-97001948D01* -X85701841Y-96998159D01* -X85698052Y-96993978D01* -X85694690Y-96989445D01* -X85691790Y-96984605D01* -X85689377Y-96979504D01* -X85687476Y-96974191D01* -X85686105Y-96968718D01* -X85685277Y-96963136D01* -X85685000Y-96957500D01* -X85685000Y-96842500D01* -X85685277Y-96836864D01* -X85686105Y-96831282D01* -X85687476Y-96825809D01* -X85689377Y-96820496D01* -X85691790Y-96815395D01* -X85694690Y-96810555D01* -X85698052Y-96806022D01* -X85701841Y-96801841D01* -X85706022Y-96798052D01* -X85710555Y-96794690D01* -X85715395Y-96791790D01* -X85720496Y-96789377D01* -X85725809Y-96787476D01* -X85731282Y-96786105D01* -X85736864Y-96785277D01* -X85742500Y-96785000D01* -X87032500Y-96785000D01* -X87038136Y-96785277D01* -G37* -G36* -X87038136Y-96285277D02* -G01* -X87043718Y-96286105D01* -X87049191Y-96287476D01* -X87054504Y-96289377D01* -X87059605Y-96291790D01* -X87064445Y-96294690D01* -X87068978Y-96298052D01* -X87073159Y-96301841D01* -X87076948Y-96306022D01* -X87080310Y-96310555D01* -X87083210Y-96315395D01* -X87085623Y-96320496D01* -X87087524Y-96325809D01* -X87088895Y-96331282D01* -X87089723Y-96336864D01* -X87090000Y-96342500D01* -X87090000Y-96457500D01* -X87089723Y-96463136D01* -X87088895Y-96468718D01* -X87087524Y-96474191D01* -X87085623Y-96479504D01* -X87083210Y-96484605D01* -X87080310Y-96489445D01* -X87076948Y-96493978D01* -X87073159Y-96498159D01* -X87068978Y-96501948D01* -X87064445Y-96505310D01* -X87059605Y-96508210D01* -X87054504Y-96510623D01* -X87049191Y-96512524D01* -X87043718Y-96513895D01* -X87038136Y-96514723D01* -X87032500Y-96515000D01* -X85742500Y-96515000D01* -X85736864Y-96514723D01* -X85731282Y-96513895D01* -X85725809Y-96512524D01* -X85720496Y-96510623D01* -X85715395Y-96508210D01* -X85710555Y-96505310D01* -X85706022Y-96501948D01* -X85701841Y-96498159D01* -X85698052Y-96493978D01* -X85694690Y-96489445D01* -X85691790Y-96484605D01* -X85689377Y-96479504D01* -X85687476Y-96474191D01* -X85686105Y-96468718D01* -X85685277Y-96463136D01* -X85685000Y-96457500D01* -X85685000Y-96342500D01* -X85685277Y-96336864D01* -X85686105Y-96331282D01* -X85687476Y-96325809D01* -X85689377Y-96320496D01* -X85691790Y-96315395D01* -X85694690Y-96310555D01* -X85698052Y-96306022D01* -X85701841Y-96301841D01* -X85706022Y-96298052D01* -X85710555Y-96294690D01* -X85715395Y-96291790D01* -X85720496Y-96289377D01* -X85725809Y-96287476D01* -X85731282Y-96286105D01* -X85736864Y-96285277D01* -X85742500Y-96285000D01* -X87032500Y-96285000D01* -X87038136Y-96285277D01* -G37* -G36* -X87038136Y-95785277D02* -G01* -X87043718Y-95786105D01* -X87049191Y-95787476D01* -X87054504Y-95789377D01* -X87059605Y-95791790D01* -X87064445Y-95794690D01* -X87068978Y-95798052D01* -X87073159Y-95801841D01* -X87076948Y-95806022D01* -X87080310Y-95810555D01* -X87083210Y-95815395D01* -X87085623Y-95820496D01* -X87087524Y-95825809D01* -X87088895Y-95831282D01* -X87089723Y-95836864D01* -X87090000Y-95842500D01* -X87090000Y-95957500D01* -X87089723Y-95963136D01* -X87088895Y-95968718D01* -X87087524Y-95974191D01* -X87085623Y-95979504D01* -X87083210Y-95984605D01* -X87080310Y-95989445D01* -X87076948Y-95993978D01* -X87073159Y-95998159D01* -X87068978Y-96001948D01* -X87064445Y-96005310D01* -X87059605Y-96008210D01* -X87054504Y-96010623D01* -X87049191Y-96012524D01* -X87043718Y-96013895D01* -X87038136Y-96014723D01* -X87032500Y-96015000D01* -X85742500Y-96015000D01* -X85736864Y-96014723D01* -X85731282Y-96013895D01* -X85725809Y-96012524D01* -X85720496Y-96010623D01* -X85715395Y-96008210D01* -X85710555Y-96005310D01* -X85706022Y-96001948D01* -X85701841Y-95998159D01* -X85698052Y-95993978D01* -X85694690Y-95989445D01* -X85691790Y-95984605D01* -X85689377Y-95979504D01* -X85687476Y-95974191D01* -X85686105Y-95968718D01* -X85685277Y-95963136D01* -X85685000Y-95957500D01* -X85685000Y-95842500D01* -X85685277Y-95836864D01* -X85686105Y-95831282D01* -X85687476Y-95825809D01* -X85689377Y-95820496D01* -X85691790Y-95815395D01* -X85694690Y-95810555D01* -X85698052Y-95806022D01* -X85701841Y-95801841D01* -X85706022Y-95798052D01* -X85710555Y-95794690D01* -X85715395Y-95791790D01* -X85720496Y-95789377D01* -X85725809Y-95787476D01* -X85731282Y-95786105D01* -X85736864Y-95785277D01* -X85742500Y-95785000D01* -X87032500Y-95785000D01* -X87038136Y-95785277D01* -G37* -G36* -X87038136Y-95285277D02* -G01* -X87043718Y-95286105D01* -X87049191Y-95287476D01* -X87054504Y-95289377D01* -X87059605Y-95291790D01* -X87064445Y-95294690D01* -X87068978Y-95298052D01* -X87073159Y-95301841D01* -X87076948Y-95306022D01* -X87080310Y-95310555D01* -X87083210Y-95315395D01* -X87085623Y-95320496D01* -X87087524Y-95325809D01* -X87088895Y-95331282D01* -X87089723Y-95336864D01* -X87090000Y-95342500D01* -X87090000Y-95457500D01* -X87089723Y-95463136D01* -X87088895Y-95468718D01* -X87087524Y-95474191D01* -X87085623Y-95479504D01* -X87083210Y-95484605D01* -X87080310Y-95489445D01* -X87076948Y-95493978D01* -X87073159Y-95498159D01* -X87068978Y-95501948D01* -X87064445Y-95505310D01* -X87059605Y-95508210D01* -X87054504Y-95510623D01* -X87049191Y-95512524D01* -X87043718Y-95513895D01* -X87038136Y-95514723D01* -X87032500Y-95515000D01* -X85742500Y-95515000D01* -X85736864Y-95514723D01* -X85731282Y-95513895D01* -X85725809Y-95512524D01* -X85720496Y-95510623D01* -X85715395Y-95508210D01* -X85710555Y-95505310D01* -X85706022Y-95501948D01* -X85701841Y-95498159D01* -X85698052Y-95493978D01* -X85694690Y-95489445D01* -X85691790Y-95484605D01* -X85689377Y-95479504D01* -X85687476Y-95474191D01* -X85686105Y-95468718D01* -X85685277Y-95463136D01* -X85685000Y-95457500D01* -X85685000Y-95342500D01* -X85685277Y-95336864D01* -X85686105Y-95331282D01* -X85687476Y-95325809D01* -X85689377Y-95320496D01* -X85691790Y-95315395D01* -X85694690Y-95310555D01* -X85698052Y-95306022D01* -X85701841Y-95301841D01* -X85706022Y-95298052D01* -X85710555Y-95294690D01* -X85715395Y-95291790D01* -X85720496Y-95289377D01* -X85725809Y-95287476D01* -X85731282Y-95286105D01* -X85736864Y-95285277D01* -X85742500Y-95285000D01* -X87032500Y-95285000D01* -X87038136Y-95285277D01* -G37* -G36* -X116764703Y-123775722D02* -G01* -X116779264Y-123777882D01* -X116793543Y-123781459D01* -X116807403Y-123786418D01* -X116820710Y-123792712D01* -X116833336Y-123800280D01* -X116845159Y-123809048D01* -X116856066Y-123818934D01* -X116865952Y-123829841D01* -X116874720Y-123841664D01* -X116882288Y-123854290D01* -X116888582Y-123867597D01* -X116893541Y-123881457D01* -X116897118Y-123895736D01* -X116899278Y-123910297D01* -X116900000Y-123925000D01* -X116900000Y-124475000D01* -X116899278Y-124489703D01* -X116897118Y-124504264D01* -X116893541Y-124518543D01* -X116888582Y-124532403D01* -X116882288Y-124545710D01* -X116874720Y-124558336D01* -X116865952Y-124570159D01* -X116856066Y-124581066D01* -X116845159Y-124590952D01* -X116833336Y-124599720D01* -X116820710Y-124607288D01* -X116807403Y-124613582D01* -X116793543Y-124618541D01* -X116779264Y-124622118D01* -X116764703Y-124624278D01* -X116750000Y-124625000D01* -X116450000Y-124625000D01* -X116435297Y-124624278D01* -X116420736Y-124622118D01* -X116406457Y-124618541D01* -X116392597Y-124613582D01* -X116379290Y-124607288D01* -X116366664Y-124599720D01* -X116354841Y-124590952D01* -X116343934Y-124581066D01* -X116334048Y-124570159D01* -X116325280Y-124558336D01* -X116317712Y-124545710D01* -X116311418Y-124532403D01* -X116306459Y-124518543D01* -X116302882Y-124504264D01* -X116300722Y-124489703D01* -X116300000Y-124475000D01* -X116300000Y-123925000D01* -X116300722Y-123910297D01* -X116302882Y-123895736D01* -X116306459Y-123881457D01* -X116311418Y-123867597D01* -X116317712Y-123854290D01* -X116325280Y-123841664D01* -X116334048Y-123829841D01* -X116343934Y-123818934D01* -X116354841Y-123809048D01* -X116366664Y-123800280D01* -X116379290Y-123792712D01* -X116392597Y-123786418D01* -X116406457Y-123781459D01* -X116420736Y-123777882D01* -X116435297Y-123775722D01* -X116450000Y-123775000D01* -X116750000Y-123775000D01* -X116764703Y-123775722D01* -G37* -G36* -X115164703Y-123775722D02* -G01* -X115179264Y-123777882D01* -X115193543Y-123781459D01* -X115207403Y-123786418D01* -X115220710Y-123792712D01* -X115233336Y-123800280D01* -X115245159Y-123809048D01* -X115256066Y-123818934D01* -X115265952Y-123829841D01* -X115274720Y-123841664D01* -X115282288Y-123854290D01* -X115288582Y-123867597D01* -X115293541Y-123881457D01* -X115297118Y-123895736D01* -X115299278Y-123910297D01* -X115300000Y-123925000D01* -X115300000Y-124475000D01* -X115299278Y-124489703D01* -X115297118Y-124504264D01* -X115293541Y-124518543D01* -X115288582Y-124532403D01* -X115282288Y-124545710D01* -X115274720Y-124558336D01* -X115265952Y-124570159D01* -X115256066Y-124581066D01* -X115245159Y-124590952D01* -X115233336Y-124599720D01* -X115220710Y-124607288D01* -X115207403Y-124613582D01* -X115193543Y-124618541D01* -X115179264Y-124622118D01* -X115164703Y-124624278D01* -X115150000Y-124625000D01* -X114850000Y-124625000D01* -X114835297Y-124624278D01* -X114820736Y-124622118D01* -X114806457Y-124618541D01* -X114792597Y-124613582D01* -X114779290Y-124607288D01* -X114766664Y-124599720D01* -X114754841Y-124590952D01* -X114743934Y-124581066D01* -X114734048Y-124570159D01* -X114725280Y-124558336D01* -X114717712Y-124545710D01* -X114711418Y-124532403D01* -X114706459Y-124518543D01* -X114702882Y-124504264D01* -X114700722Y-124489703D01* -X114700000Y-124475000D01* -X114700000Y-123925000D01* -X114700722Y-123910297D01* -X114702882Y-123895736D01* -X114706459Y-123881457D01* -X114711418Y-123867597D01* -X114717712Y-123854290D01* -X114725280Y-123841664D01* -X114734048Y-123829841D01* -X114743934Y-123818934D01* -X114754841Y-123809048D01* -X114766664Y-123800280D01* -X114779290Y-123792712D01* -X114792597Y-123786418D01* -X114806457Y-123781459D01* -X114820736Y-123777882D01* -X114835297Y-123775722D01* -X114850000Y-123775000D01* -X115150000Y-123775000D01* -X115164703Y-123775722D01* -G37* -G36* -X69261368Y-99515927D02* -G01* -X69280055Y-99518699D01* -X69298380Y-99523289D01* -X69316167Y-99529653D01* -X69333244Y-99537730D01* -X69349447Y-99547442D01* -X69364621Y-99558695D01* -X69378618Y-99571382D01* -X69391305Y-99585379D01* -X69402558Y-99600553D01* -X69412270Y-99616756D01* -X69420347Y-99633833D01* -X69426711Y-99651620D01* -X69431301Y-99669945D01* -X69434073Y-99688632D01* -X69435000Y-99707500D01* -X69435000Y-100092500D01* -X69434073Y-100111368D01* -X69431301Y-100130055D01* -X69426711Y-100148380D01* -X69420347Y-100166167D01* -X69412270Y-100183244D01* -X69402558Y-100199447D01* -X69391305Y-100214621D01* -X69378618Y-100228618D01* -X69364621Y-100241305D01* -X69349447Y-100252558D01* -X69333244Y-100262270D01* -X69316167Y-100270347D01* -X69298380Y-100276711D01* -X69280055Y-100281301D01* -X69261368Y-100284073D01* -X69242500Y-100285000D01* -X68757500Y-100285000D01* -X68738632Y-100284073D01* -X68719945Y-100281301D01* -X68701620Y-100276711D01* -X68683833Y-100270347D01* -X68666756Y-100262270D01* -X68650553Y-100252558D01* -X68635379Y-100241305D01* -X68621382Y-100228618D01* -X68608695Y-100214621D01* -X68597442Y-100199447D01* -X68587730Y-100183244D01* -X68579653Y-100166167D01* -X68573289Y-100148380D01* -X68568699Y-100130055D01* -X68565927Y-100111368D01* -X68565000Y-100092500D01* -X68565000Y-99707500D01* -X68565927Y-99688632D01* -X68568699Y-99669945D01* -X68573289Y-99651620D01* -X68579653Y-99633833D01* -X68587730Y-99616756D01* -X68597442Y-99600553D01* -X68608695Y-99585379D01* -X68621382Y-99571382D01* -X68635379Y-99558695D01* -X68650553Y-99547442D01* -X68666756Y-99537730D01* -X68683833Y-99529653D01* -X68701620Y-99523289D01* -X68719945Y-99518699D01* -X68738632Y-99515927D01* -X68757500Y-99515000D01* -X69242500Y-99515000D01* -X69261368Y-99515927D01* -G37* -G36* -X69261368Y-101015927D02* -G01* -X69280055Y-101018699D01* -X69298380Y-101023289D01* -X69316167Y-101029653D01* -X69333244Y-101037730D01* -X69349447Y-101047442D01* -X69364621Y-101058695D01* -X69378618Y-101071382D01* -X69391305Y-101085379D01* -X69402558Y-101100553D01* -X69412270Y-101116756D01* -X69420347Y-101133833D01* -X69426711Y-101151620D01* -X69431301Y-101169945D01* -X69434073Y-101188632D01* -X69435000Y-101207500D01* -X69435000Y-101592500D01* -X69434073Y-101611368D01* -X69431301Y-101630055D01* -X69426711Y-101648380D01* -X69420347Y-101666167D01* -X69412270Y-101683244D01* -X69402558Y-101699447D01* -X69391305Y-101714621D01* -X69378618Y-101728618D01* -X69364621Y-101741305D01* -X69349447Y-101752558D01* -X69333244Y-101762270D01* -X69316167Y-101770347D01* -X69298380Y-101776711D01* -X69280055Y-101781301D01* -X69261368Y-101784073D01* -X69242500Y-101785000D01* -X68757500Y-101785000D01* -X68738632Y-101784073D01* -X68719945Y-101781301D01* -X68701620Y-101776711D01* -X68683833Y-101770347D01* -X68666756Y-101762270D01* -X68650553Y-101752558D01* -X68635379Y-101741305D01* -X68621382Y-101728618D01* -X68608695Y-101714621D01* -X68597442Y-101699447D01* -X68587730Y-101683244D01* -X68579653Y-101666167D01* -X68573289Y-101648380D01* -X68568699Y-101630055D01* -X68565927Y-101611368D01* -X68565000Y-101592500D01* -X68565000Y-101207500D01* -X68565927Y-101188632D01* -X68568699Y-101169945D01* -X68573289Y-101151620D01* -X68579653Y-101133833D01* -X68587730Y-101116756D01* -X68597442Y-101100553D01* -X68608695Y-101085379D01* -X68621382Y-101071382D01* -X68635379Y-101058695D01* -X68650553Y-101047442D01* -X68666756Y-101037730D01* -X68683833Y-101029653D01* -X68701620Y-101023289D01* -X68719945Y-101018699D01* -X68738632Y-101015927D01* -X68757500Y-101015000D01* -X69242500Y-101015000D01* -X69261368Y-101015927D01* -G37* -G36* -X105761368Y-111815927D02* -G01* -X105780055Y-111818699D01* -X105798380Y-111823289D01* -X105816167Y-111829653D01* -X105833244Y-111837730D01* -X105849447Y-111847442D01* -X105864621Y-111858695D01* -X105878618Y-111871382D01* -X105891305Y-111885379D01* -X105902558Y-111900553D01* -X105912270Y-111916756D01* -X105920347Y-111933833D01* -X105926711Y-111951620D01* -X105931301Y-111969945D01* -X105934073Y-111988632D01* -X105935000Y-112007500D01* -X105935000Y-112492500D01* -X105934073Y-112511368D01* -X105931301Y-112530055D01* -X105926711Y-112548380D01* -X105920347Y-112566167D01* -X105912270Y-112583244D01* -X105902558Y-112599447D01* -X105891305Y-112614621D01* -X105878618Y-112628618D01* -X105864621Y-112641305D01* -X105849447Y-112652558D01* -X105833244Y-112662270D01* -X105816167Y-112670347D01* -X105798380Y-112676711D01* -X105780055Y-112681301D01* -X105761368Y-112684073D01* -X105742500Y-112685000D01* -X105357500Y-112685000D01* -X105338632Y-112684073D01* -X105319945Y-112681301D01* -X105301620Y-112676711D01* -X105283833Y-112670347D01* -X105266756Y-112662270D01* -X105250553Y-112652558D01* -X105235379Y-112641305D01* -X105221382Y-112628618D01* -X105208695Y-112614621D01* -X105197442Y-112599447D01* -X105187730Y-112583244D01* -X105179653Y-112566167D01* -X105173289Y-112548380D01* -X105168699Y-112530055D01* -X105165927Y-112511368D01* -X105165000Y-112492500D01* -X105165000Y-112007500D01* -X105165927Y-111988632D01* -X105168699Y-111969945D01* -X105173289Y-111951620D01* -X105179653Y-111933833D01* -X105187730Y-111916756D01* -X105197442Y-111900553D01* -X105208695Y-111885379D01* -X105221382Y-111871382D01* -X105235379Y-111858695D01* -X105250553Y-111847442D01* -X105266756Y-111837730D01* -X105283833Y-111829653D01* -X105301620Y-111823289D01* -X105319945Y-111818699D01* -X105338632Y-111815927D01* -X105357500Y-111815000D01* -X105742500Y-111815000D01* -X105761368Y-111815927D01* -G37* -G36* -X104261368Y-111815927D02* -G01* -X104280055Y-111818699D01* -X104298380Y-111823289D01* -X104316167Y-111829653D01* -X104333244Y-111837730D01* -X104349447Y-111847442D01* -X104364621Y-111858695D01* -X104378618Y-111871382D01* -X104391305Y-111885379D01* -X104402558Y-111900553D01* -X104412270Y-111916756D01* -X104420347Y-111933833D01* -X104426711Y-111951620D01* -X104431301Y-111969945D01* -X104434073Y-111988632D01* -X104435000Y-112007500D01* -X104435000Y-112492500D01* -X104434073Y-112511368D01* -X104431301Y-112530055D01* -X104426711Y-112548380D01* -X104420347Y-112566167D01* -X104412270Y-112583244D01* -X104402558Y-112599447D01* -X104391305Y-112614621D01* -X104378618Y-112628618D01* -X104364621Y-112641305D01* -X104349447Y-112652558D01* -X104333244Y-112662270D01* -X104316167Y-112670347D01* -X104298380Y-112676711D01* -X104280055Y-112681301D01* -X104261368Y-112684073D01* -X104242500Y-112685000D01* -X103857500Y-112685000D01* -X103838632Y-112684073D01* -X103819945Y-112681301D01* -X103801620Y-112676711D01* -X103783833Y-112670347D01* -X103766756Y-112662270D01* -X103750553Y-112652558D01* -X103735379Y-112641305D01* -X103721382Y-112628618D01* -X103708695Y-112614621D01* -X103697442Y-112599447D01* -X103687730Y-112583244D01* -X103679653Y-112566167D01* -X103673289Y-112548380D01* -X103668699Y-112530055D01* -X103665927Y-112511368D01* -X103665000Y-112492500D01* -X103665000Y-112007500D01* -X103665927Y-111988632D01* -X103668699Y-111969945D01* -X103673289Y-111951620D01* -X103679653Y-111933833D01* -X103687730Y-111916756D01* -X103697442Y-111900553D01* -X103708695Y-111885379D01* -X103721382Y-111871382D01* -X103735379Y-111858695D01* -X103750553Y-111847442D01* -X103766756Y-111837730D01* -X103783833Y-111829653D01* -X103801620Y-111823289D01* -X103819945Y-111818699D01* -X103838632Y-111815927D01* -X103857500Y-111815000D01* -X104242500Y-111815000D01* -X104261368Y-111815927D01* -G37* -G36* -X107637841Y-97540385D02* -G01* -X107645607Y-97541537D01* -X107653223Y-97543445D01* -X107660615Y-97546090D01* -X107667712Y-97549446D01* -X107674446Y-97553482D01* -X107680751Y-97558159D01* -X107686569Y-97563431D01* -X107691841Y-97569249D01* -X107696518Y-97575554D01* -X107700554Y-97582288D01* -X107703910Y-97589385D01* -X107706555Y-97596777D01* -X107708463Y-97604393D01* -X107709615Y-97612159D01* -X107710000Y-97620000D01* -X107710000Y-98380000D01* -X107709615Y-98387841D01* -X107708463Y-98395607D01* -X107706555Y-98403223D01* -X107703910Y-98410615D01* -X107700554Y-98417712D01* -X107696518Y-98424446D01* -X107691841Y-98430751D01* -X107686569Y-98436569D01* -X107680751Y-98441841D01* -X107674446Y-98446518D01* -X107667712Y-98450554D01* -X107660615Y-98453910D01* -X107653223Y-98456555D01* -X107645607Y-98458463D01* -X107637841Y-98459615D01* -X107630000Y-98460000D01* -X107470000Y-98460000D01* -X107462159Y-98459615D01* -X107454393Y-98458463D01* -X107446777Y-98456555D01* -X107439385Y-98453910D01* -X107432288Y-98450554D01* -X107425554Y-98446518D01* -X107419249Y-98441841D01* -X107413431Y-98436569D01* -X107408159Y-98430751D01* -X107403482Y-98424446D01* -X107399446Y-98417712D01* -X107396090Y-98410615D01* -X107393445Y-98403223D01* -X107391537Y-98395607D01* -X107390385Y-98387841D01* -X107390000Y-98380000D01* -X107390000Y-97620000D01* -X107390385Y-97612159D01* -X107391537Y-97604393D01* -X107393445Y-97596777D01* -X107396090Y-97589385D01* -X107399446Y-97582288D01* -X107403482Y-97575554D01* -X107408159Y-97569249D01* -X107413431Y-97563431D01* -X107419249Y-97558159D01* -X107425554Y-97553482D01* -X107432288Y-97549446D01* -X107439385Y-97546090D01* -X107446777Y-97543445D01* -X107454393Y-97541537D01* -X107462159Y-97540385D01* -X107470000Y-97540000D01* -X107630000Y-97540000D01* -X107637841Y-97540385D01* -G37* -G36* -X108937841Y-97540385D02* -G01* -X108945607Y-97541537D01* -X108953223Y-97543445D01* -X108960615Y-97546090D01* -X108967712Y-97549446D01* -X108974446Y-97553482D01* -X108980751Y-97558159D01* -X108986569Y-97563431D01* -X108991841Y-97569249D01* -X108996518Y-97575554D01* -X109000554Y-97582288D01* -X109003910Y-97589385D01* -X109006555Y-97596777D01* -X109008463Y-97604393D01* -X109009615Y-97612159D01* -X109010000Y-97620000D01* -X109010000Y-98380000D01* -X109009615Y-98387841D01* -X109008463Y-98395607D01* -X109006555Y-98403223D01* -X109003910Y-98410615D01* -X109000554Y-98417712D01* -X108996518Y-98424446D01* -X108991841Y-98430751D01* -X108986569Y-98436569D01* -X108980751Y-98441841D01* -X108974446Y-98446518D01* -X108967712Y-98450554D01* -X108960615Y-98453910D01* -X108953223Y-98456555D01* -X108945607Y-98458463D01* -X108937841Y-98459615D01* -X108930000Y-98460000D01* -X108770000Y-98460000D01* -X108762159Y-98459615D01* -X108754393Y-98458463D01* -X108746777Y-98456555D01* -X108739385Y-98453910D01* -X108732288Y-98450554D01* -X108725554Y-98446518D01* -X108719249Y-98441841D01* -X108713431Y-98436569D01* -X108708159Y-98430751D01* -X108703482Y-98424446D01* -X108699446Y-98417712D01* -X108696090Y-98410615D01* -X108693445Y-98403223D01* -X108691537Y-98395607D01* -X108690385Y-98387841D01* -X108690000Y-98380000D01* -X108690000Y-97620000D01* -X108690385Y-97612159D01* -X108691537Y-97604393D01* -X108693445Y-97596777D01* -X108696090Y-97589385D01* -X108699446Y-97582288D01* -X108703482Y-97575554D01* -X108708159Y-97569249D01* -X108713431Y-97563431D01* -X108719249Y-97558159D01* -X108725554Y-97553482D01* -X108732288Y-97549446D01* -X108739385Y-97546090D01* -X108746777Y-97543445D01* -X108754393Y-97541537D01* -X108762159Y-97540385D01* -X108770000Y-97540000D01* -X108930000Y-97540000D01* -X108937841Y-97540385D01* -G37* -G36* -X108287841Y-99240385D02* -G01* -X108295607Y-99241537D01* -X108303223Y-99243445D01* -X108310615Y-99246090D01* -X108317712Y-99249446D01* -X108324446Y-99253482D01* -X108330751Y-99258159D01* -X108336569Y-99263431D01* -X108341841Y-99269249D01* -X108346518Y-99275554D01* -X108350554Y-99282288D01* -X108353910Y-99289385D01* -X108356555Y-99296777D01* -X108358463Y-99304393D01* -X108359615Y-99312159D01* -X108360000Y-99320000D01* -X108360000Y-100080000D01* -X108359615Y-100087841D01* -X108358463Y-100095607D01* -X108356555Y-100103223D01* -X108353910Y-100110615D01* -X108350554Y-100117712D01* -X108346518Y-100124446D01* -X108341841Y-100130751D01* -X108336569Y-100136569D01* -X108330751Y-100141841D01* -X108324446Y-100146518D01* -X108317712Y-100150554D01* -X108310615Y-100153910D01* -X108303223Y-100156555D01* -X108295607Y-100158463D01* -X108287841Y-100159615D01* -X108280000Y-100160000D01* -X108120000Y-100160000D01* -X108112159Y-100159615D01* -X108104393Y-100158463D01* -X108096777Y-100156555D01* -X108089385Y-100153910D01* -X108082288Y-100150554D01* -X108075554Y-100146518D01* -X108069249Y-100141841D01* -X108063431Y-100136569D01* -X108058159Y-100130751D01* -X108053482Y-100124446D01* -X108049446Y-100117712D01* -X108046090Y-100110615D01* -X108043445Y-100103223D01* -X108041537Y-100095607D01* -X108040385Y-100087841D01* -X108040000Y-100080000D01* -X108040000Y-99320000D01* -X108040385Y-99312159D01* -X108041537Y-99304393D01* -X108043445Y-99296777D01* -X108046090Y-99289385D01* -X108049446Y-99282288D01* -X108053482Y-99275554D01* -X108058159Y-99269249D01* -X108063431Y-99263431D01* -X108069249Y-99258159D01* -X108075554Y-99253482D01* -X108082288Y-99249446D01* -X108089385Y-99246090D01* -X108096777Y-99243445D01* -X108104393Y-99241537D01* -X108112159Y-99240385D01* -X108120000Y-99240000D01* -X108280000Y-99240000D01* -X108287841Y-99240385D01* -G37* -G36* -X107637841Y-99240385D02* -G01* -X107645607Y-99241537D01* -X107653223Y-99243445D01* -X107660615Y-99246090D01* -X107667712Y-99249446D01* -X107674446Y-99253482D01* -X107680751Y-99258159D01* -X107686569Y-99263431D01* -X107691841Y-99269249D01* -X107696518Y-99275554D01* -X107700554Y-99282288D01* -X107703910Y-99289385D01* -X107706555Y-99296777D01* -X107708463Y-99304393D01* -X107709615Y-99312159D01* -X107710000Y-99320000D01* -X107710000Y-100080000D01* -X107709615Y-100087841D01* -X107708463Y-100095607D01* -X107706555Y-100103223D01* -X107703910Y-100110615D01* -X107700554Y-100117712D01* -X107696518Y-100124446D01* -X107691841Y-100130751D01* -X107686569Y-100136569D01* -X107680751Y-100141841D01* -X107674446Y-100146518D01* -X107667712Y-100150554D01* -X107660615Y-100153910D01* -X107653223Y-100156555D01* -X107645607Y-100158463D01* -X107637841Y-100159615D01* -X107630000Y-100160000D01* -X107470000Y-100160000D01* -X107462159Y-100159615D01* -X107454393Y-100158463D01* -X107446777Y-100156555D01* -X107439385Y-100153910D01* -X107432288Y-100150554D01* -X107425554Y-100146518D01* -X107419249Y-100141841D01* -X107413431Y-100136569D01* -X107408159Y-100130751D01* -X107403482Y-100124446D01* -X107399446Y-100117712D01* -X107396090Y-100110615D01* -X107393445Y-100103223D01* -X107391537Y-100095607D01* -X107390385Y-100087841D01* -X107390000Y-100080000D01* -X107390000Y-99320000D01* -X107390385Y-99312159D01* -X107391537Y-99304393D01* -X107393445Y-99296777D01* -X107396090Y-99289385D01* -X107399446Y-99282288D01* -X107403482Y-99275554D01* -X107408159Y-99269249D01* -X107413431Y-99263431D01* -X107419249Y-99258159D01* -X107425554Y-99253482D01* -X107432288Y-99249446D01* -X107439385Y-99246090D01* -X107446777Y-99243445D01* -X107454393Y-99241537D01* -X107462159Y-99240385D01* -X107470000Y-99240000D01* -X107630000Y-99240000D01* -X107637841Y-99240385D01* -G37* -G36* -X108937841Y-99240385D02* -G01* -X108945607Y-99241537D01* -X108953223Y-99243445D01* -X108960615Y-99246090D01* -X108967712Y-99249446D01* -X108974446Y-99253482D01* -X108980751Y-99258159D01* -X108986569Y-99263431D01* -X108991841Y-99269249D01* -X108996518Y-99275554D01* -X109000554Y-99282288D01* -X109003910Y-99289385D01* -X109006555Y-99296777D01* -X109008463Y-99304393D01* -X109009615Y-99312159D01* -X109010000Y-99320000D01* -X109010000Y-100080000D01* -X109009615Y-100087841D01* -X109008463Y-100095607D01* -X109006555Y-100103223D01* -X109003910Y-100110615D01* -X109000554Y-100117712D01* -X108996518Y-100124446D01* -X108991841Y-100130751D01* -X108986569Y-100136569D01* -X108980751Y-100141841D01* -X108974446Y-100146518D01* -X108967712Y-100150554D01* -X108960615Y-100153910D01* -X108953223Y-100156555D01* -X108945607Y-100158463D01* -X108937841Y-100159615D01* -X108930000Y-100160000D01* -X108770000Y-100160000D01* -X108762159Y-100159615D01* -X108754393Y-100158463D01* -X108746777Y-100156555D01* -X108739385Y-100153910D01* -X108732288Y-100150554D01* -X108725554Y-100146518D01* -X108719249Y-100141841D01* -X108713431Y-100136569D01* -X108708159Y-100130751D01* -X108703482Y-100124446D01* -X108699446Y-100117712D01* -X108696090Y-100110615D01* -X108693445Y-100103223D01* -X108691537Y-100095607D01* -X108690385Y-100087841D01* -X108690000Y-100080000D01* -X108690000Y-99320000D01* -X108690385Y-99312159D01* -X108691537Y-99304393D01* -X108693445Y-99296777D01* -X108696090Y-99289385D01* -X108699446Y-99282288D01* -X108703482Y-99275554D01* -X108708159Y-99269249D01* -X108713431Y-99263431D01* -X108719249Y-99258159D01* -X108725554Y-99253482D01* -X108732288Y-99249446D01* -X108739385Y-99246090D01* -X108746777Y-99243445D01* -X108754393Y-99241537D01* -X108762159Y-99240385D01* -X108770000Y-99240000D01* -X108930000Y-99240000D01* -X108937841Y-99240385D01* -G37* -G36* -X125030806Y-113259560D02* -G01* -X125040071Y-113260934D01* -X125049158Y-113263210D01* -X125057977Y-113266366D01* -X125066445Y-113270371D01* -X125074479Y-113275186D01* -X125082003Y-113280766D01* -X125088943Y-113287057D01* -X125095234Y-113293997D01* -X125100814Y-113301521D01* -X125105629Y-113309555D01* -X125109634Y-113318023D01* -X125112790Y-113326842D01* -X125115066Y-113335929D01* -X125116440Y-113345194D01* -X125116900Y-113354550D01* -X125116900Y-113545450D01* -X125116440Y-113554806D01* -X125115066Y-113564071D01* -X125112790Y-113573158D01* -X125109634Y-113581977D01* -X125105629Y-113590445D01* -X125100814Y-113598479D01* -X125095234Y-113606003D01* -X125088943Y-113612943D01* -X125082003Y-113619234D01* -X125074479Y-113624814D01* -X125066445Y-113629629D01* -X125057977Y-113633634D01* -X125049158Y-113636790D01* -X125040071Y-113639066D01* -X125030806Y-113640440D01* -X125021450Y-113640900D01* -X123778550Y-113640900D01* -X123769194Y-113640440D01* -X123759929Y-113639066D01* -X123750842Y-113636790D01* -X123742023Y-113633634D01* -X123733555Y-113629629D01* -X123725521Y-113624814D01* -X123717997Y-113619234D01* -X123711057Y-113612943D01* -X123704766Y-113606003D01* -X123699186Y-113598479D01* -X123694371Y-113590445D01* -X123690366Y-113581977D01* -X123687210Y-113573158D01* -X123684934Y-113564071D01* -X123683560Y-113554806D01* -X123683100Y-113545450D01* -X123683100Y-113354550D01* -X123683560Y-113345194D01* -X123684934Y-113335929D01* -X123687210Y-113326842D01* -X123690366Y-113318023D01* -X123694371Y-113309555D01* -X123699186Y-113301521D01* -X123704766Y-113293997D01* -X123711057Y-113287057D01* -X123717997Y-113280766D01* -X123725521Y-113275186D01* -X123733555Y-113270371D01* -X123742023Y-113266366D01* -X123750842Y-113263210D01* -X123759929Y-113260934D01* -X123769194Y-113259560D01* -X123778550Y-113259100D01* -X125021450Y-113259100D01* -X125030806Y-113259560D01* -G37* -G36* -X125030806Y-112459560D02* -G01* -X125040071Y-112460934D01* -X125049158Y-112463210D01* -X125057977Y-112466366D01* -X125066445Y-112470371D01* -X125074479Y-112475186D01* -X125082003Y-112480766D01* -X125088943Y-112487057D01* -X125095234Y-112493997D01* -X125100814Y-112501521D01* -X125105629Y-112509555D01* -X125109634Y-112518023D01* -X125112790Y-112526842D01* -X125115066Y-112535929D01* -X125116440Y-112545194D01* -X125116900Y-112554550D01* -X125116900Y-112745450D01* -X125116440Y-112754806D01* -X125115066Y-112764071D01* -X125112790Y-112773158D01* -X125109634Y-112781977D01* -X125105629Y-112790445D01* -X125100814Y-112798479D01* -X125095234Y-112806003D01* -X125088943Y-112812943D01* -X125082003Y-112819234D01* -X125074479Y-112824814D01* -X125066445Y-112829629D01* -X125057977Y-112833634D01* -X125049158Y-112836790D01* -X125040071Y-112839066D01* -X125030806Y-112840440D01* -X125021450Y-112840900D01* -X123778550Y-112840900D01* -X123769194Y-112840440D01* -X123759929Y-112839066D01* -X123750842Y-112836790D01* -X123742023Y-112833634D01* -X123733555Y-112829629D01* -X123725521Y-112824814D01* -X123717997Y-112819234D01* -X123711057Y-112812943D01* -X123704766Y-112806003D01* -X123699186Y-112798479D01* -X123694371Y-112790445D01* -X123690366Y-112781977D01* -X123687210Y-112773158D01* -X123684934Y-112764071D01* -X123683560Y-112754806D01* -X123683100Y-112745450D01* -X123683100Y-112554550D01* -X123683560Y-112545194D01* -X123684934Y-112535929D01* -X123687210Y-112526842D01* -X123690366Y-112518023D01* -X123694371Y-112509555D01* -X123699186Y-112501521D01* -X123704766Y-112493997D01* -X123711057Y-112487057D01* -X123717997Y-112480766D01* -X123725521Y-112475186D01* -X123733555Y-112470371D01* -X123742023Y-112466366D01* -X123750842Y-112463210D01* -X123759929Y-112460934D01* -X123769194Y-112459560D01* -X123778550Y-112459100D01* -X125021450Y-112459100D01* -X125030806Y-112459560D01* -G37* -G36* -X125030806Y-111659560D02* -G01* -X125040071Y-111660934D01* -X125049158Y-111663210D01* -X125057977Y-111666366D01* -X125066445Y-111670371D01* -X125074479Y-111675186D01* -X125082003Y-111680766D01* -X125088943Y-111687057D01* -X125095234Y-111693997D01* -X125100814Y-111701521D01* -X125105629Y-111709555D01* -X125109634Y-111718023D01* -X125112790Y-111726842D01* -X125115066Y-111735929D01* -X125116440Y-111745194D01* -X125116900Y-111754550D01* -X125116900Y-111945450D01* -X125116440Y-111954806D01* -X125115066Y-111964071D01* -X125112790Y-111973158D01* -X125109634Y-111981977D01* -X125105629Y-111990445D01* -X125100814Y-111998479D01* -X125095234Y-112006003D01* -X125088943Y-112012943D01* -X125082003Y-112019234D01* -X125074479Y-112024814D01* -X125066445Y-112029629D01* -X125057977Y-112033634D01* -X125049158Y-112036790D01* -X125040071Y-112039066D01* -X125030806Y-112040440D01* -X125021450Y-112040900D01* -X123778550Y-112040900D01* -X123769194Y-112040440D01* -X123759929Y-112039066D01* -X123750842Y-112036790D01* -X123742023Y-112033634D01* -X123733555Y-112029629D01* -X123725521Y-112024814D01* -X123717997Y-112019234D01* -X123711057Y-112012943D01* -X123704766Y-112006003D01* -X123699186Y-111998479D01* -X123694371Y-111990445D01* -X123690366Y-111981977D01* -X123687210Y-111973158D01* -X123684934Y-111964071D01* -X123683560Y-111954806D01* -X123683100Y-111945450D01* -X123683100Y-111754550D01* -X123683560Y-111745194D01* -X123684934Y-111735929D01* -X123687210Y-111726842D01* -X123690366Y-111718023D01* -X123694371Y-111709555D01* -X123699186Y-111701521D01* -X123704766Y-111693997D01* -X123711057Y-111687057D01* -X123717997Y-111680766D01* -X123725521Y-111675186D01* -X123733555Y-111670371D01* -X123742023Y-111666366D01* -X123750842Y-111663210D01* -X123759929Y-111660934D01* -X123769194Y-111659560D01* -X123778550Y-111659100D01* -X125021450Y-111659100D01* -X125030806Y-111659560D01* -G37* -G36* -X125030806Y-110859560D02* -G01* -X125040071Y-110860934D01* -X125049158Y-110863210D01* -X125057977Y-110866366D01* -X125066445Y-110870371D01* -X125074479Y-110875186D01* -X125082003Y-110880766D01* -X125088943Y-110887057D01* -X125095234Y-110893997D01* -X125100814Y-110901521D01* -X125105629Y-110909555D01* -X125109634Y-110918023D01* -X125112790Y-110926842D01* -X125115066Y-110935929D01* -X125116440Y-110945194D01* -X125116900Y-110954550D01* -X125116900Y-111145450D01* -X125116440Y-111154806D01* -X125115066Y-111164071D01* -X125112790Y-111173158D01* -X125109634Y-111181977D01* -X125105629Y-111190445D01* -X125100814Y-111198479D01* -X125095234Y-111206003D01* -X125088943Y-111212943D01* -X125082003Y-111219234D01* -X125074479Y-111224814D01* -X125066445Y-111229629D01* -X125057977Y-111233634D01* -X125049158Y-111236790D01* -X125040071Y-111239066D01* -X125030806Y-111240440D01* -X125021450Y-111240900D01* -X123778550Y-111240900D01* -X123769194Y-111240440D01* -X123759929Y-111239066D01* -X123750842Y-111236790D01* -X123742023Y-111233634D01* -X123733555Y-111229629D01* -X123725521Y-111224814D01* -X123717997Y-111219234D01* -X123711057Y-111212943D01* -X123704766Y-111206003D01* -X123699186Y-111198479D01* -X123694371Y-111190445D01* -X123690366Y-111181977D01* -X123687210Y-111173158D01* -X123684934Y-111164071D01* -X123683560Y-111154806D01* -X123683100Y-111145450D01* -X123683100Y-110954550D01* -X123683560Y-110945194D01* -X123684934Y-110935929D01* -X123687210Y-110926842D01* -X123690366Y-110918023D01* -X123694371Y-110909555D01* -X123699186Y-110901521D01* -X123704766Y-110893997D01* -X123711057Y-110887057D01* -X123717997Y-110880766D01* -X123725521Y-110875186D01* -X123733555Y-110870371D01* -X123742023Y-110866366D01* -X123750842Y-110863210D01* -X123759929Y-110860934D01* -X123769194Y-110859560D01* -X123778550Y-110859100D01* -X125021450Y-110859100D01* -X125030806Y-110859560D01* -G37* -G36* -X125030806Y-110059560D02* -G01* -X125040071Y-110060934D01* -X125049158Y-110063210D01* -X125057977Y-110066366D01* -X125066445Y-110070371D01* -X125074479Y-110075186D01* -X125082003Y-110080766D01* -X125088943Y-110087057D01* -X125095234Y-110093997D01* -X125100814Y-110101521D01* -X125105629Y-110109555D01* -X125109634Y-110118023D01* -X125112790Y-110126842D01* -X125115066Y-110135929D01* -X125116440Y-110145194D01* -X125116900Y-110154550D01* -X125116900Y-110345450D01* -X125116440Y-110354806D01* -X125115066Y-110364071D01* -X125112790Y-110373158D01* -X125109634Y-110381977D01* -X125105629Y-110390445D01* -X125100814Y-110398479D01* -X125095234Y-110406003D01* -X125088943Y-110412943D01* -X125082003Y-110419234D01* -X125074479Y-110424814D01* -X125066445Y-110429629D01* -X125057977Y-110433634D01* -X125049158Y-110436790D01* -X125040071Y-110439066D01* -X125030806Y-110440440D01* -X125021450Y-110440900D01* -X123778550Y-110440900D01* -X123769194Y-110440440D01* -X123759929Y-110439066D01* -X123750842Y-110436790D01* -X123742023Y-110433634D01* -X123733555Y-110429629D01* -X123725521Y-110424814D01* -X123717997Y-110419234D01* -X123711057Y-110412943D01* -X123704766Y-110406003D01* -X123699186Y-110398479D01* -X123694371Y-110390445D01* -X123690366Y-110381977D01* -X123687210Y-110373158D01* -X123684934Y-110364071D01* -X123683560Y-110354806D01* -X123683100Y-110345450D01* -X123683100Y-110154550D01* -X123683560Y-110145194D01* -X123684934Y-110135929D01* -X123687210Y-110126842D01* -X123690366Y-110118023D01* -X123694371Y-110109555D01* -X123699186Y-110101521D01* -X123704766Y-110093997D01* -X123711057Y-110087057D01* -X123717997Y-110080766D01* -X123725521Y-110075186D01* -X123733555Y-110070371D01* -X123742023Y-110066366D01* -X123750842Y-110063210D01* -X123759929Y-110060934D01* -X123769194Y-110059560D01* -X123778550Y-110059100D01* -X125021450Y-110059100D01* -X125030806Y-110059560D01* -G37* -G36* -X125030806Y-109259560D02* -G01* -X125040071Y-109260934D01* -X125049158Y-109263210D01* -X125057977Y-109266366D01* -X125066445Y-109270371D01* -X125074479Y-109275186D01* -X125082003Y-109280766D01* -X125088943Y-109287057D01* -X125095234Y-109293997D01* -X125100814Y-109301521D01* -X125105629Y-109309555D01* -X125109634Y-109318023D01* -X125112790Y-109326842D01* -X125115066Y-109335929D01* -X125116440Y-109345194D01* -X125116900Y-109354550D01* -X125116900Y-109545450D01* -X125116440Y-109554806D01* -X125115066Y-109564071D01* -X125112790Y-109573158D01* -X125109634Y-109581977D01* -X125105629Y-109590445D01* -X125100814Y-109598479D01* -X125095234Y-109606003D01* -X125088943Y-109612943D01* -X125082003Y-109619234D01* -X125074479Y-109624814D01* -X125066445Y-109629629D01* -X125057977Y-109633634D01* -X125049158Y-109636790D01* -X125040071Y-109639066D01* -X125030806Y-109640440D01* -X125021450Y-109640900D01* -X123778550Y-109640900D01* -X123769194Y-109640440D01* -X123759929Y-109639066D01* -X123750842Y-109636790D01* -X123742023Y-109633634D01* -X123733555Y-109629629D01* -X123725521Y-109624814D01* -X123717997Y-109619234D01* -X123711057Y-109612943D01* -X123704766Y-109606003D01* -X123699186Y-109598479D01* -X123694371Y-109590445D01* -X123690366Y-109581977D01* -X123687210Y-109573158D01* -X123684934Y-109564071D01* -X123683560Y-109554806D01* -X123683100Y-109545450D01* -X123683100Y-109354550D01* -X123683560Y-109345194D01* -X123684934Y-109335929D01* -X123687210Y-109326842D01* -X123690366Y-109318023D01* -X123694371Y-109309555D01* -X123699186Y-109301521D01* -X123704766Y-109293997D01* -X123711057Y-109287057D01* -X123717997Y-109280766D01* -X123725521Y-109275186D01* -X123733555Y-109270371D01* -X123742023Y-109266366D01* -X123750842Y-109263210D01* -X123759929Y-109260934D01* -X123769194Y-109259560D01* -X123778550Y-109259100D01* -X125021450Y-109259100D01* -X125030806Y-109259560D01* -G37* -G36* -X125030806Y-108459560D02* -G01* -X125040071Y-108460934D01* -X125049158Y-108463210D01* -X125057977Y-108466366D01* -X125066445Y-108470371D01* -X125074479Y-108475186D01* -X125082003Y-108480766D01* -X125088943Y-108487057D01* -X125095234Y-108493997D01* -X125100814Y-108501521D01* -X125105629Y-108509555D01* -X125109634Y-108518023D01* -X125112790Y-108526842D01* -X125115066Y-108535929D01* -X125116440Y-108545194D01* -X125116900Y-108554550D01* -X125116900Y-108745450D01* -X125116440Y-108754806D01* -X125115066Y-108764071D01* -X125112790Y-108773158D01* -X125109634Y-108781977D01* -X125105629Y-108790445D01* -X125100814Y-108798479D01* -X125095234Y-108806003D01* -X125088943Y-108812943D01* -X125082003Y-108819234D01* -X125074479Y-108824814D01* -X125066445Y-108829629D01* -X125057977Y-108833634D01* -X125049158Y-108836790D01* -X125040071Y-108839066D01* -X125030806Y-108840440D01* -X125021450Y-108840900D01* -X123778550Y-108840900D01* -X123769194Y-108840440D01* -X123759929Y-108839066D01* -X123750842Y-108836790D01* -X123742023Y-108833634D01* -X123733555Y-108829629D01* -X123725521Y-108824814D01* -X123717997Y-108819234D01* -X123711057Y-108812943D01* -X123704766Y-108806003D01* -X123699186Y-108798479D01* -X123694371Y-108790445D01* -X123690366Y-108781977D01* -X123687210Y-108773158D01* -X123684934Y-108764071D01* -X123683560Y-108754806D01* -X123683100Y-108745450D01* -X123683100Y-108554550D01* -X123683560Y-108545194D01* -X123684934Y-108535929D01* -X123687210Y-108526842D01* -X123690366Y-108518023D01* -X123694371Y-108509555D01* -X123699186Y-108501521D01* -X123704766Y-108493997D01* -X123711057Y-108487057D01* -X123717997Y-108480766D01* -X123725521Y-108475186D01* -X123733555Y-108470371D01* -X123742023Y-108466366D01* -X123750842Y-108463210D01* -X123759929Y-108460934D01* -X123769194Y-108459560D01* -X123778550Y-108459100D01* -X125021450Y-108459100D01* -X125030806Y-108459560D01* -G37* -G36* -X125030806Y-107659560D02* -G01* -X125040071Y-107660934D01* -X125049158Y-107663210D01* -X125057977Y-107666366D01* -X125066445Y-107670371D01* -X125074479Y-107675186D01* -X125082003Y-107680766D01* -X125088943Y-107687057D01* -X125095234Y-107693997D01* -X125100814Y-107701521D01* -X125105629Y-107709555D01* -X125109634Y-107718023D01* -X125112790Y-107726842D01* -X125115066Y-107735929D01* -X125116440Y-107745194D01* -X125116900Y-107754550D01* -X125116900Y-107945450D01* -X125116440Y-107954806D01* -X125115066Y-107964071D01* -X125112790Y-107973158D01* -X125109634Y-107981977D01* -X125105629Y-107990445D01* -X125100814Y-107998479D01* -X125095234Y-108006003D01* -X125088943Y-108012943D01* -X125082003Y-108019234D01* -X125074479Y-108024814D01* -X125066445Y-108029629D01* -X125057977Y-108033634D01* -X125049158Y-108036790D01* -X125040071Y-108039066D01* -X125030806Y-108040440D01* -X125021450Y-108040900D01* -X123778550Y-108040900D01* -X123769194Y-108040440D01* -X123759929Y-108039066D01* -X123750842Y-108036790D01* -X123742023Y-108033634D01* -X123733555Y-108029629D01* -X123725521Y-108024814D01* -X123717997Y-108019234D01* -X123711057Y-108012943D01* -X123704766Y-108006003D01* -X123699186Y-107998479D01* -X123694371Y-107990445D01* -X123690366Y-107981977D01* -X123687210Y-107973158D01* -X123684934Y-107964071D01* -X123683560Y-107954806D01* -X123683100Y-107945450D01* -X123683100Y-107754550D01* -X123683560Y-107745194D01* -X123684934Y-107735929D01* -X123687210Y-107726842D01* -X123690366Y-107718023D01* -X123694371Y-107709555D01* -X123699186Y-107701521D01* -X123704766Y-107693997D01* -X123711057Y-107687057D01* -X123717997Y-107680766D01* -X123725521Y-107675186D01* -X123733555Y-107670371D01* -X123742023Y-107666366D01* -X123750842Y-107663210D01* -X123759929Y-107660934D01* -X123769194Y-107659560D01* -X123778550Y-107659100D01* -X125021450Y-107659100D01* -X125030806Y-107659560D01* -G37* -G36* -X125030806Y-106859560D02* -G01* -X125040071Y-106860934D01* -X125049158Y-106863210D01* -X125057977Y-106866366D01* -X125066445Y-106870371D01* -X125074479Y-106875186D01* -X125082003Y-106880766D01* -X125088943Y-106887057D01* -X125095234Y-106893997D01* -X125100814Y-106901521D01* -X125105629Y-106909555D01* -X125109634Y-106918023D01* -X125112790Y-106926842D01* -X125115066Y-106935929D01* -X125116440Y-106945194D01* -X125116900Y-106954550D01* -X125116900Y-107145450D01* -X125116440Y-107154806D01* -X125115066Y-107164071D01* -X125112790Y-107173158D01* -X125109634Y-107181977D01* -X125105629Y-107190445D01* -X125100814Y-107198479D01* -X125095234Y-107206003D01* -X125088943Y-107212943D01* -X125082003Y-107219234D01* -X125074479Y-107224814D01* -X125066445Y-107229629D01* -X125057977Y-107233634D01* -X125049158Y-107236790D01* -X125040071Y-107239066D01* -X125030806Y-107240440D01* -X125021450Y-107240900D01* -X123778550Y-107240900D01* -X123769194Y-107240440D01* -X123759929Y-107239066D01* -X123750842Y-107236790D01* -X123742023Y-107233634D01* -X123733555Y-107229629D01* -X123725521Y-107224814D01* -X123717997Y-107219234D01* -X123711057Y-107212943D01* -X123704766Y-107206003D01* -X123699186Y-107198479D01* -X123694371Y-107190445D01* -X123690366Y-107181977D01* -X123687210Y-107173158D01* -X123684934Y-107164071D01* -X123683560Y-107154806D01* -X123683100Y-107145450D01* -X123683100Y-106954550D01* -X123683560Y-106945194D01* -X123684934Y-106935929D01* -X123687210Y-106926842D01* -X123690366Y-106918023D01* -X123694371Y-106909555D01* -X123699186Y-106901521D01* -X123704766Y-106893997D01* -X123711057Y-106887057D01* -X123717997Y-106880766D01* -X123725521Y-106875186D01* -X123733555Y-106870371D01* -X123742023Y-106866366D01* -X123750842Y-106863210D01* -X123759929Y-106860934D01* -X123769194Y-106859560D01* -X123778550Y-106859100D01* -X125021450Y-106859100D01* -X125030806Y-106859560D01* -G37* -G36* -X125030806Y-106059560D02* -G01* -X125040071Y-106060934D01* -X125049158Y-106063210D01* -X125057977Y-106066366D01* -X125066445Y-106070371D01* -X125074479Y-106075186D01* -X125082003Y-106080766D01* -X125088943Y-106087057D01* -X125095234Y-106093997D01* -X125100814Y-106101521D01* -X125105629Y-106109555D01* -X125109634Y-106118023D01* -X125112790Y-106126842D01* -X125115066Y-106135929D01* -X125116440Y-106145194D01* -X125116900Y-106154550D01* -X125116900Y-106345450D01* -X125116440Y-106354806D01* -X125115066Y-106364071D01* -X125112790Y-106373158D01* -X125109634Y-106381977D01* -X125105629Y-106390445D01* -X125100814Y-106398479D01* -X125095234Y-106406003D01* -X125088943Y-106412943D01* -X125082003Y-106419234D01* -X125074479Y-106424814D01* -X125066445Y-106429629D01* -X125057977Y-106433634D01* -X125049158Y-106436790D01* -X125040071Y-106439066D01* -X125030806Y-106440440D01* -X125021450Y-106440900D01* -X123778550Y-106440900D01* -X123769194Y-106440440D01* -X123759929Y-106439066D01* -X123750842Y-106436790D01* -X123742023Y-106433634D01* -X123733555Y-106429629D01* -X123725521Y-106424814D01* -X123717997Y-106419234D01* -X123711057Y-106412943D01* -X123704766Y-106406003D01* -X123699186Y-106398479D01* -X123694371Y-106390445D01* -X123690366Y-106381977D01* -X123687210Y-106373158D01* -X123684934Y-106364071D01* -X123683560Y-106354806D01* -X123683100Y-106345450D01* -X123683100Y-106154550D01* -X123683560Y-106145194D01* -X123684934Y-106135929D01* -X123687210Y-106126842D01* -X123690366Y-106118023D01* -X123694371Y-106109555D01* -X123699186Y-106101521D01* -X123704766Y-106093997D01* -X123711057Y-106087057D01* -X123717997Y-106080766D01* -X123725521Y-106075186D01* -X123733555Y-106070371D01* -X123742023Y-106066366D01* -X123750842Y-106063210D01* -X123759929Y-106060934D01* -X123769194Y-106059560D01* -X123778550Y-106059100D01* -X125021450Y-106059100D01* -X125030806Y-106059560D01* -G37* -G36* -X125030806Y-105259560D02* -G01* -X125040071Y-105260934D01* -X125049158Y-105263210D01* -X125057977Y-105266366D01* -X125066445Y-105270371D01* -X125074479Y-105275186D01* -X125082003Y-105280766D01* -X125088943Y-105287057D01* -X125095234Y-105293997D01* -X125100814Y-105301521D01* -X125105629Y-105309555D01* -X125109634Y-105318023D01* -X125112790Y-105326842D01* -X125115066Y-105335929D01* -X125116440Y-105345194D01* -X125116900Y-105354550D01* -X125116900Y-105545450D01* -X125116440Y-105554806D01* -X125115066Y-105564071D01* -X125112790Y-105573158D01* -X125109634Y-105581977D01* -X125105629Y-105590445D01* -X125100814Y-105598479D01* -X125095234Y-105606003D01* -X125088943Y-105612943D01* -X125082003Y-105619234D01* -X125074479Y-105624814D01* -X125066445Y-105629629D01* -X125057977Y-105633634D01* -X125049158Y-105636790D01* -X125040071Y-105639066D01* -X125030806Y-105640440D01* -X125021450Y-105640900D01* -X123778550Y-105640900D01* -X123769194Y-105640440D01* -X123759929Y-105639066D01* -X123750842Y-105636790D01* -X123742023Y-105633634D01* -X123733555Y-105629629D01* -X123725521Y-105624814D01* -X123717997Y-105619234D01* -X123711057Y-105612943D01* -X123704766Y-105606003D01* -X123699186Y-105598479D01* -X123694371Y-105590445D01* -X123690366Y-105581977D01* -X123687210Y-105573158D01* -X123684934Y-105564071D01* -X123683560Y-105554806D01* -X123683100Y-105545450D01* -X123683100Y-105354550D01* -X123683560Y-105345194D01* -X123684934Y-105335929D01* -X123687210Y-105326842D01* -X123690366Y-105318023D01* -X123694371Y-105309555D01* -X123699186Y-105301521D01* -X123704766Y-105293997D01* -X123711057Y-105287057D01* -X123717997Y-105280766D01* -X123725521Y-105275186D01* -X123733555Y-105270371D01* -X123742023Y-105266366D01* -X123750842Y-105263210D01* -X123759929Y-105260934D01* -X123769194Y-105259560D01* -X123778550Y-105259100D01* -X125021450Y-105259100D01* -X125030806Y-105259560D01* -G37* -G36* -X125030806Y-104459560D02* -G01* -X125040071Y-104460934D01* -X125049158Y-104463210D01* -X125057977Y-104466366D01* -X125066445Y-104470371D01* -X125074479Y-104475186D01* -X125082003Y-104480766D01* -X125088943Y-104487057D01* -X125095234Y-104493997D01* -X125100814Y-104501521D01* -X125105629Y-104509555D01* -X125109634Y-104518023D01* -X125112790Y-104526842D01* -X125115066Y-104535929D01* -X125116440Y-104545194D01* -X125116900Y-104554550D01* -X125116900Y-104745450D01* -X125116440Y-104754806D01* -X125115066Y-104764071D01* -X125112790Y-104773158D01* -X125109634Y-104781977D01* -X125105629Y-104790445D01* -X125100814Y-104798479D01* -X125095234Y-104806003D01* -X125088943Y-104812943D01* -X125082003Y-104819234D01* -X125074479Y-104824814D01* -X125066445Y-104829629D01* -X125057977Y-104833634D01* -X125049158Y-104836790D01* -X125040071Y-104839066D01* -X125030806Y-104840440D01* -X125021450Y-104840900D01* -X123778550Y-104840900D01* -X123769194Y-104840440D01* -X123759929Y-104839066D01* -X123750842Y-104836790D01* -X123742023Y-104833634D01* -X123733555Y-104829629D01* -X123725521Y-104824814D01* -X123717997Y-104819234D01* -X123711057Y-104812943D01* -X123704766Y-104806003D01* -X123699186Y-104798479D01* -X123694371Y-104790445D01* -X123690366Y-104781977D01* -X123687210Y-104773158D01* -X123684934Y-104764071D01* -X123683560Y-104754806D01* -X123683100Y-104745450D01* -X123683100Y-104554550D01* -X123683560Y-104545194D01* -X123684934Y-104535929D01* -X123687210Y-104526842D01* -X123690366Y-104518023D01* -X123694371Y-104509555D01* -X123699186Y-104501521D01* -X123704766Y-104493997D01* -X123711057Y-104487057D01* -X123717997Y-104480766D01* -X123725521Y-104475186D01* -X123733555Y-104470371D01* -X123742023Y-104466366D01* -X123750842Y-104463210D01* -X123759929Y-104460934D01* -X123769194Y-104459560D01* -X123778550Y-104459100D01* -X125021450Y-104459100D01* -X125030806Y-104459560D01* -G37* -G36* -X125030806Y-103659560D02* -G01* -X125040071Y-103660934D01* -X125049158Y-103663210D01* -X125057977Y-103666366D01* -X125066445Y-103670371D01* -X125074479Y-103675186D01* -X125082003Y-103680766D01* -X125088943Y-103687057D01* -X125095234Y-103693997D01* -X125100814Y-103701521D01* -X125105629Y-103709555D01* -X125109634Y-103718023D01* -X125112790Y-103726842D01* -X125115066Y-103735929D01* -X125116440Y-103745194D01* -X125116900Y-103754550D01* -X125116900Y-103945450D01* -X125116440Y-103954806D01* -X125115066Y-103964071D01* -X125112790Y-103973158D01* -X125109634Y-103981977D01* -X125105629Y-103990445D01* -X125100814Y-103998479D01* -X125095234Y-104006003D01* -X125088943Y-104012943D01* -X125082003Y-104019234D01* -X125074479Y-104024814D01* -X125066445Y-104029629D01* -X125057977Y-104033634D01* -X125049158Y-104036790D01* -X125040071Y-104039066D01* -X125030806Y-104040440D01* -X125021450Y-104040900D01* -X123778550Y-104040900D01* -X123769194Y-104040440D01* -X123759929Y-104039066D01* -X123750842Y-104036790D01* -X123742023Y-104033634D01* -X123733555Y-104029629D01* -X123725521Y-104024814D01* -X123717997Y-104019234D01* -X123711057Y-104012943D01* -X123704766Y-104006003D01* -X123699186Y-103998479D01* -X123694371Y-103990445D01* -X123690366Y-103981977D01* -X123687210Y-103973158D01* -X123684934Y-103964071D01* -X123683560Y-103954806D01* -X123683100Y-103945450D01* -X123683100Y-103754550D01* -X123683560Y-103745194D01* -X123684934Y-103735929D01* -X123687210Y-103726842D01* -X123690366Y-103718023D01* -X123694371Y-103709555D01* -X123699186Y-103701521D01* -X123704766Y-103693997D01* -X123711057Y-103687057D01* -X123717997Y-103680766D01* -X123725521Y-103675186D01* -X123733555Y-103670371D01* -X123742023Y-103666366D01* -X123750842Y-103663210D01* -X123759929Y-103660934D01* -X123769194Y-103659560D01* -X123778550Y-103659100D01* -X125021450Y-103659100D01* -X125030806Y-103659560D01* -G37* -G36* -X125030806Y-102859560D02* -G01* -X125040071Y-102860934D01* -X125049158Y-102863210D01* -X125057977Y-102866366D01* -X125066445Y-102870371D01* -X125074479Y-102875186D01* -X125082003Y-102880766D01* -X125088943Y-102887057D01* -X125095234Y-102893997D01* -X125100814Y-102901521D01* -X125105629Y-102909555D01* -X125109634Y-102918023D01* -X125112790Y-102926842D01* -X125115066Y-102935929D01* -X125116440Y-102945194D01* -X125116900Y-102954550D01* -X125116900Y-103145450D01* -X125116440Y-103154806D01* -X125115066Y-103164071D01* -X125112790Y-103173158D01* -X125109634Y-103181977D01* -X125105629Y-103190445D01* -X125100814Y-103198479D01* -X125095234Y-103206003D01* -X125088943Y-103212943D01* -X125082003Y-103219234D01* -X125074479Y-103224814D01* -X125066445Y-103229629D01* -X125057977Y-103233634D01* -X125049158Y-103236790D01* -X125040071Y-103239066D01* -X125030806Y-103240440D01* -X125021450Y-103240900D01* -X123778550Y-103240900D01* -X123769194Y-103240440D01* -X123759929Y-103239066D01* -X123750842Y-103236790D01* -X123742023Y-103233634D01* -X123733555Y-103229629D01* -X123725521Y-103224814D01* -X123717997Y-103219234D01* -X123711057Y-103212943D01* -X123704766Y-103206003D01* -X123699186Y-103198479D01* -X123694371Y-103190445D01* -X123690366Y-103181977D01* -X123687210Y-103173158D01* -X123684934Y-103164071D01* -X123683560Y-103154806D01* -X123683100Y-103145450D01* -X123683100Y-102954550D01* -X123683560Y-102945194D01* -X123684934Y-102935929D01* -X123687210Y-102926842D01* -X123690366Y-102918023D01* -X123694371Y-102909555D01* -X123699186Y-102901521D01* -X123704766Y-102893997D01* -X123711057Y-102887057D01* -X123717997Y-102880766D01* -X123725521Y-102875186D01* -X123733555Y-102870371D01* -X123742023Y-102866366D01* -X123750842Y-102863210D01* -X123759929Y-102860934D01* -X123769194Y-102859560D01* -X123778550Y-102859100D01* -X125021450Y-102859100D01* -X125030806Y-102859560D01* -G37* -G36* -X125030806Y-102059560D02* -G01* -X125040071Y-102060934D01* -X125049158Y-102063210D01* -X125057977Y-102066366D01* -X125066445Y-102070371D01* -X125074479Y-102075186D01* -X125082003Y-102080766D01* -X125088943Y-102087057D01* -X125095234Y-102093997D01* -X125100814Y-102101521D01* -X125105629Y-102109555D01* -X125109634Y-102118023D01* -X125112790Y-102126842D01* -X125115066Y-102135929D01* -X125116440Y-102145194D01* -X125116900Y-102154550D01* -X125116900Y-102345450D01* -X125116440Y-102354806D01* -X125115066Y-102364071D01* -X125112790Y-102373158D01* -X125109634Y-102381977D01* -X125105629Y-102390445D01* -X125100814Y-102398479D01* -X125095234Y-102406003D01* -X125088943Y-102412943D01* -X125082003Y-102419234D01* -X125074479Y-102424814D01* -X125066445Y-102429629D01* -X125057977Y-102433634D01* -X125049158Y-102436790D01* -X125040071Y-102439066D01* -X125030806Y-102440440D01* -X125021450Y-102440900D01* -X123778550Y-102440900D01* -X123769194Y-102440440D01* -X123759929Y-102439066D01* -X123750842Y-102436790D01* -X123742023Y-102433634D01* -X123733555Y-102429629D01* -X123725521Y-102424814D01* -X123717997Y-102419234D01* -X123711057Y-102412943D01* -X123704766Y-102406003D01* -X123699186Y-102398479D01* -X123694371Y-102390445D01* -X123690366Y-102381977D01* -X123687210Y-102373158D01* -X123684934Y-102364071D01* -X123683560Y-102354806D01* -X123683100Y-102345450D01* -X123683100Y-102154550D01* -X123683560Y-102145194D01* -X123684934Y-102135929D01* -X123687210Y-102126842D01* -X123690366Y-102118023D01* -X123694371Y-102109555D01* -X123699186Y-102101521D01* -X123704766Y-102093997D01* -X123711057Y-102087057D01* -X123717997Y-102080766D01* -X123725521Y-102075186D01* -X123733555Y-102070371D01* -X123742023Y-102066366D01* -X123750842Y-102063210D01* -X123759929Y-102060934D01* -X123769194Y-102059560D01* -X123778550Y-102059100D01* -X125021450Y-102059100D01* -X125030806Y-102059560D01* -G37* -G36* -X125030806Y-101259560D02* -G01* -X125040071Y-101260934D01* -X125049158Y-101263210D01* -X125057977Y-101266366D01* -X125066445Y-101270371D01* -X125074479Y-101275186D01* -X125082003Y-101280766D01* -X125088943Y-101287057D01* -X125095234Y-101293997D01* -X125100814Y-101301521D01* -X125105629Y-101309555D01* -X125109634Y-101318023D01* -X125112790Y-101326842D01* -X125115066Y-101335929D01* -X125116440Y-101345194D01* -X125116900Y-101354550D01* -X125116900Y-101545450D01* -X125116440Y-101554806D01* -X125115066Y-101564071D01* -X125112790Y-101573158D01* -X125109634Y-101581977D01* -X125105629Y-101590445D01* -X125100814Y-101598479D01* -X125095234Y-101606003D01* -X125088943Y-101612943D01* -X125082003Y-101619234D01* -X125074479Y-101624814D01* -X125066445Y-101629629D01* -X125057977Y-101633634D01* -X125049158Y-101636790D01* -X125040071Y-101639066D01* -X125030806Y-101640440D01* -X125021450Y-101640900D01* -X123778550Y-101640900D01* -X123769194Y-101640440D01* -X123759929Y-101639066D01* -X123750842Y-101636790D01* -X123742023Y-101633634D01* -X123733555Y-101629629D01* -X123725521Y-101624814D01* -X123717997Y-101619234D01* -X123711057Y-101612943D01* -X123704766Y-101606003D01* -X123699186Y-101598479D01* -X123694371Y-101590445D01* -X123690366Y-101581977D01* -X123687210Y-101573158D01* -X123684934Y-101564071D01* -X123683560Y-101554806D01* -X123683100Y-101545450D01* -X123683100Y-101354550D01* -X123683560Y-101345194D01* -X123684934Y-101335929D01* -X123687210Y-101326842D01* -X123690366Y-101318023D01* -X123694371Y-101309555D01* -X123699186Y-101301521D01* -X123704766Y-101293997D01* -X123711057Y-101287057D01* -X123717997Y-101280766D01* -X123725521Y-101275186D01* -X123733555Y-101270371D01* -X123742023Y-101266366D01* -X123750842Y-101263210D01* -X123759929Y-101260934D01* -X123769194Y-101259560D01* -X123778550Y-101259100D01* -X125021450Y-101259100D01* -X125030806Y-101259560D01* -G37* -G36* -X125030806Y-100459560D02* -G01* -X125040071Y-100460934D01* -X125049158Y-100463210D01* -X125057977Y-100466366D01* -X125066445Y-100470371D01* -X125074479Y-100475186D01* -X125082003Y-100480766D01* -X125088943Y-100487057D01* -X125095234Y-100493997D01* -X125100814Y-100501521D01* -X125105629Y-100509555D01* -X125109634Y-100518023D01* -X125112790Y-100526842D01* -X125115066Y-100535929D01* -X125116440Y-100545194D01* -X125116900Y-100554550D01* -X125116900Y-100745450D01* -X125116440Y-100754806D01* -X125115066Y-100764071D01* -X125112790Y-100773158D01* -X125109634Y-100781977D01* -X125105629Y-100790445D01* -X125100814Y-100798479D01* -X125095234Y-100806003D01* -X125088943Y-100812943D01* -X125082003Y-100819234D01* -X125074479Y-100824814D01* -X125066445Y-100829629D01* -X125057977Y-100833634D01* -X125049158Y-100836790D01* -X125040071Y-100839066D01* -X125030806Y-100840440D01* -X125021450Y-100840900D01* -X123778550Y-100840900D01* -X123769194Y-100840440D01* -X123759929Y-100839066D01* -X123750842Y-100836790D01* -X123742023Y-100833634D01* -X123733555Y-100829629D01* -X123725521Y-100824814D01* -X123717997Y-100819234D01* -X123711057Y-100812943D01* -X123704766Y-100806003D01* -X123699186Y-100798479D01* -X123694371Y-100790445D01* -X123690366Y-100781977D01* -X123687210Y-100773158D01* -X123684934Y-100764071D01* -X123683560Y-100754806D01* -X123683100Y-100745450D01* -X123683100Y-100554550D01* -X123683560Y-100545194D01* -X123684934Y-100535929D01* -X123687210Y-100526842D01* -X123690366Y-100518023D01* -X123694371Y-100509555D01* -X123699186Y-100501521D01* -X123704766Y-100493997D01* -X123711057Y-100487057D01* -X123717997Y-100480766D01* -X123725521Y-100475186D01* -X123733555Y-100470371D01* -X123742023Y-100466366D01* -X123750842Y-100463210D01* -X123759929Y-100460934D01* -X123769194Y-100459560D01* -X123778550Y-100459100D01* -X125021450Y-100459100D01* -X125030806Y-100459560D01* -G37* -G36* -X125030806Y-99659560D02* -G01* -X125040071Y-99660934D01* -X125049158Y-99663210D01* -X125057977Y-99666366D01* -X125066445Y-99670371D01* -X125074479Y-99675186D01* -X125082003Y-99680766D01* -X125088943Y-99687057D01* -X125095234Y-99693997D01* -X125100814Y-99701521D01* -X125105629Y-99709555D01* -X125109634Y-99718023D01* -X125112790Y-99726842D01* -X125115066Y-99735929D01* -X125116440Y-99745194D01* -X125116900Y-99754550D01* -X125116900Y-99945450D01* -X125116440Y-99954806D01* -X125115066Y-99964071D01* -X125112790Y-99973158D01* -X125109634Y-99981977D01* -X125105629Y-99990445D01* -X125100814Y-99998479D01* -X125095234Y-100006003D01* -X125088943Y-100012943D01* -X125082003Y-100019234D01* -X125074479Y-100024814D01* -X125066445Y-100029629D01* -X125057977Y-100033634D01* -X125049158Y-100036790D01* -X125040071Y-100039066D01* -X125030806Y-100040440D01* -X125021450Y-100040900D01* -X123778550Y-100040900D01* -X123769194Y-100040440D01* -X123759929Y-100039066D01* -X123750842Y-100036790D01* -X123742023Y-100033634D01* -X123733555Y-100029629D01* -X123725521Y-100024814D01* -X123717997Y-100019234D01* -X123711057Y-100012943D01* -X123704766Y-100006003D01* -X123699186Y-99998479D01* -X123694371Y-99990445D01* -X123690366Y-99981977D01* -X123687210Y-99973158D01* -X123684934Y-99964071D01* -X123683560Y-99954806D01* -X123683100Y-99945450D01* -X123683100Y-99754550D01* -X123683560Y-99745194D01* -X123684934Y-99735929D01* -X123687210Y-99726842D01* -X123690366Y-99718023D01* -X123694371Y-99709555D01* -X123699186Y-99701521D01* -X123704766Y-99693997D01* -X123711057Y-99687057D01* -X123717997Y-99680766D01* -X123725521Y-99675186D01* -X123733555Y-99670371D01* -X123742023Y-99666366D01* -X123750842Y-99663210D01* -X123759929Y-99660934D01* -X123769194Y-99659560D01* -X123778550Y-99659100D01* -X125021450Y-99659100D01* -X125030806Y-99659560D01* -G37* -G36* -X125030806Y-98859560D02* -G01* -X125040071Y-98860934D01* -X125049158Y-98863210D01* -X125057977Y-98866366D01* -X125066445Y-98870371D01* -X125074479Y-98875186D01* -X125082003Y-98880766D01* -X125088943Y-98887057D01* -X125095234Y-98893997D01* -X125100814Y-98901521D01* -X125105629Y-98909555D01* -X125109634Y-98918023D01* -X125112790Y-98926842D01* -X125115066Y-98935929D01* -X125116440Y-98945194D01* -X125116900Y-98954550D01* -X125116900Y-99145450D01* -X125116440Y-99154806D01* -X125115066Y-99164071D01* -X125112790Y-99173158D01* -X125109634Y-99181977D01* -X125105629Y-99190445D01* -X125100814Y-99198479D01* -X125095234Y-99206003D01* -X125088943Y-99212943D01* -X125082003Y-99219234D01* -X125074479Y-99224814D01* -X125066445Y-99229629D01* -X125057977Y-99233634D01* -X125049158Y-99236790D01* -X125040071Y-99239066D01* -X125030806Y-99240440D01* -X125021450Y-99240900D01* -X123778550Y-99240900D01* -X123769194Y-99240440D01* -X123759929Y-99239066D01* -X123750842Y-99236790D01* -X123742023Y-99233634D01* -X123733555Y-99229629D01* -X123725521Y-99224814D01* -X123717997Y-99219234D01* -X123711057Y-99212943D01* -X123704766Y-99206003D01* -X123699186Y-99198479D01* -X123694371Y-99190445D01* -X123690366Y-99181977D01* -X123687210Y-99173158D01* -X123684934Y-99164071D01* -X123683560Y-99154806D01* -X123683100Y-99145450D01* -X123683100Y-98954550D01* -X123683560Y-98945194D01* -X123684934Y-98935929D01* -X123687210Y-98926842D01* -X123690366Y-98918023D01* -X123694371Y-98909555D01* -X123699186Y-98901521D01* -X123704766Y-98893997D01* -X123711057Y-98887057D01* -X123717997Y-98880766D01* -X123725521Y-98875186D01* -X123733555Y-98870371D01* -X123742023Y-98866366D01* -X123750842Y-98863210D01* -X123759929Y-98860934D01* -X123769194Y-98859560D01* -X123778550Y-98859100D01* -X125021450Y-98859100D01* -X125030806Y-98859560D01* -G37* -G36* -X125030806Y-98059560D02* -G01* -X125040071Y-98060934D01* -X125049158Y-98063210D01* -X125057977Y-98066366D01* -X125066445Y-98070371D01* -X125074479Y-98075186D01* -X125082003Y-98080766D01* -X125088943Y-98087057D01* -X125095234Y-98093997D01* -X125100814Y-98101521D01* -X125105629Y-98109555D01* -X125109634Y-98118023D01* -X125112790Y-98126842D01* -X125115066Y-98135929D01* -X125116440Y-98145194D01* -X125116900Y-98154550D01* -X125116900Y-98345450D01* -X125116440Y-98354806D01* -X125115066Y-98364071D01* -X125112790Y-98373158D01* -X125109634Y-98381977D01* -X125105629Y-98390445D01* -X125100814Y-98398479D01* -X125095234Y-98406003D01* -X125088943Y-98412943D01* -X125082003Y-98419234D01* -X125074479Y-98424814D01* -X125066445Y-98429629D01* -X125057977Y-98433634D01* -X125049158Y-98436790D01* -X125040071Y-98439066D01* -X125030806Y-98440440D01* -X125021450Y-98440900D01* -X123778550Y-98440900D01* -X123769194Y-98440440D01* -X123759929Y-98439066D01* -X123750842Y-98436790D01* -X123742023Y-98433634D01* -X123733555Y-98429629D01* -X123725521Y-98424814D01* -X123717997Y-98419234D01* -X123711057Y-98412943D01* -X123704766Y-98406003D01* -X123699186Y-98398479D01* -X123694371Y-98390445D01* -X123690366Y-98381977D01* -X123687210Y-98373158D01* -X123684934Y-98364071D01* -X123683560Y-98354806D01* -X123683100Y-98345450D01* -X123683100Y-98154550D01* -X123683560Y-98145194D01* -X123684934Y-98135929D01* -X123687210Y-98126842D01* -X123690366Y-98118023D01* -X123694371Y-98109555D01* -X123699186Y-98101521D01* -X123704766Y-98093997D01* -X123711057Y-98087057D01* -X123717997Y-98080766D01* -X123725521Y-98075186D01* -X123733555Y-98070371D01* -X123742023Y-98066366D01* -X123750842Y-98063210D01* -X123759929Y-98060934D01* -X123769194Y-98059560D01* -X123778550Y-98059100D01* -X125021450Y-98059100D01* -X125030806Y-98059560D01* -G37* -G36* -X125030806Y-97259560D02* -G01* -X125040071Y-97260934D01* -X125049158Y-97263210D01* -X125057977Y-97266366D01* -X125066445Y-97270371D01* -X125074479Y-97275186D01* -X125082003Y-97280766D01* -X125088943Y-97287057D01* -X125095234Y-97293997D01* -X125100814Y-97301521D01* -X125105629Y-97309555D01* -X125109634Y-97318023D01* -X125112790Y-97326842D01* -X125115066Y-97335929D01* -X125116440Y-97345194D01* -X125116900Y-97354550D01* -X125116900Y-97545450D01* -X125116440Y-97554806D01* -X125115066Y-97564071D01* -X125112790Y-97573158D01* -X125109634Y-97581977D01* -X125105629Y-97590445D01* -X125100814Y-97598479D01* -X125095234Y-97606003D01* -X125088943Y-97612943D01* -X125082003Y-97619234D01* -X125074479Y-97624814D01* -X125066445Y-97629629D01* -X125057977Y-97633634D01* -X125049158Y-97636790D01* -X125040071Y-97639066D01* -X125030806Y-97640440D01* -X125021450Y-97640900D01* -X123778550Y-97640900D01* -X123769194Y-97640440D01* -X123759929Y-97639066D01* -X123750842Y-97636790D01* -X123742023Y-97633634D01* -X123733555Y-97629629D01* -X123725521Y-97624814D01* -X123717997Y-97619234D01* -X123711057Y-97612943D01* -X123704766Y-97606003D01* -X123699186Y-97598479D01* -X123694371Y-97590445D01* -X123690366Y-97581977D01* -X123687210Y-97573158D01* -X123684934Y-97564071D01* -X123683560Y-97554806D01* -X123683100Y-97545450D01* -X123683100Y-97354550D01* -X123683560Y-97345194D01* -X123684934Y-97335929D01* -X123687210Y-97326842D01* -X123690366Y-97318023D01* -X123694371Y-97309555D01* -X123699186Y-97301521D01* -X123704766Y-97293997D01* -X123711057Y-97287057D01* -X123717997Y-97280766D01* -X123725521Y-97275186D01* -X123733555Y-97270371D01* -X123742023Y-97266366D01* -X123750842Y-97263210D01* -X123759929Y-97260934D01* -X123769194Y-97259560D01* -X123778550Y-97259100D01* -X125021450Y-97259100D01* -X125030806Y-97259560D01* -G37* -G36* -X125030806Y-96459560D02* -G01* -X125040071Y-96460934D01* -X125049158Y-96463210D01* -X125057977Y-96466366D01* -X125066445Y-96470371D01* -X125074479Y-96475186D01* -X125082003Y-96480766D01* -X125088943Y-96487057D01* -X125095234Y-96493997D01* -X125100814Y-96501521D01* -X125105629Y-96509555D01* -X125109634Y-96518023D01* -X125112790Y-96526842D01* -X125115066Y-96535929D01* -X125116440Y-96545194D01* -X125116900Y-96554550D01* -X125116900Y-96745450D01* -X125116440Y-96754806D01* -X125115066Y-96764071D01* -X125112790Y-96773158D01* -X125109634Y-96781977D01* -X125105629Y-96790445D01* -X125100814Y-96798479D01* -X125095234Y-96806003D01* -X125088943Y-96812943D01* -X125082003Y-96819234D01* -X125074479Y-96824814D01* -X125066445Y-96829629D01* -X125057977Y-96833634D01* -X125049158Y-96836790D01* -X125040071Y-96839066D01* -X125030806Y-96840440D01* -X125021450Y-96840900D01* -X123778550Y-96840900D01* -X123769194Y-96840440D01* -X123759929Y-96839066D01* -X123750842Y-96836790D01* -X123742023Y-96833634D01* -X123733555Y-96829629D01* -X123725521Y-96824814D01* -X123717997Y-96819234D01* -X123711057Y-96812943D01* -X123704766Y-96806003D01* -X123699186Y-96798479D01* -X123694371Y-96790445D01* -X123690366Y-96781977D01* -X123687210Y-96773158D01* -X123684934Y-96764071D01* -X123683560Y-96754806D01* -X123683100Y-96745450D01* -X123683100Y-96554550D01* -X123683560Y-96545194D01* -X123684934Y-96535929D01* -X123687210Y-96526842D01* -X123690366Y-96518023D01* -X123694371Y-96509555D01* -X123699186Y-96501521D01* -X123704766Y-96493997D01* -X123711057Y-96487057D01* -X123717997Y-96480766D01* -X123725521Y-96475186D01* -X123733555Y-96470371D01* -X123742023Y-96466366D01* -X123750842Y-96463210D01* -X123759929Y-96460934D01* -X123769194Y-96459560D01* -X123778550Y-96459100D01* -X125021450Y-96459100D01* -X125030806Y-96459560D01* -G37* -G36* -X125030806Y-95659560D02* -G01* -X125040071Y-95660934D01* -X125049158Y-95663210D01* -X125057977Y-95666366D01* -X125066445Y-95670371D01* -X125074479Y-95675186D01* -X125082003Y-95680766D01* -X125088943Y-95687057D01* -X125095234Y-95693997D01* -X125100814Y-95701521D01* -X125105629Y-95709555D01* -X125109634Y-95718023D01* -X125112790Y-95726842D01* -X125115066Y-95735929D01* -X125116440Y-95745194D01* -X125116900Y-95754550D01* -X125116900Y-95945450D01* -X125116440Y-95954806D01* -X125115066Y-95964071D01* -X125112790Y-95973158D01* -X125109634Y-95981977D01* -X125105629Y-95990445D01* -X125100814Y-95998479D01* -X125095234Y-96006003D01* -X125088943Y-96012943D01* -X125082003Y-96019234D01* -X125074479Y-96024814D01* -X125066445Y-96029629D01* -X125057977Y-96033634D01* -X125049158Y-96036790D01* -X125040071Y-96039066D01* -X125030806Y-96040440D01* -X125021450Y-96040900D01* -X123778550Y-96040900D01* -X123769194Y-96040440D01* -X123759929Y-96039066D01* -X123750842Y-96036790D01* -X123742023Y-96033634D01* -X123733555Y-96029629D01* -X123725521Y-96024814D01* -X123717997Y-96019234D01* -X123711057Y-96012943D01* -X123704766Y-96006003D01* -X123699186Y-95998479D01* -X123694371Y-95990445D01* -X123690366Y-95981977D01* -X123687210Y-95973158D01* -X123684934Y-95964071D01* -X123683560Y-95954806D01* -X123683100Y-95945450D01* -X123683100Y-95754550D01* -X123683560Y-95745194D01* -X123684934Y-95735929D01* -X123687210Y-95726842D01* -X123690366Y-95718023D01* -X123694371Y-95709555D01* -X123699186Y-95701521D01* -X123704766Y-95693997D01* -X123711057Y-95687057D01* -X123717997Y-95680766D01* -X123725521Y-95675186D01* -X123733555Y-95670371D01* -X123742023Y-95666366D01* -X123750842Y-95663210D01* -X123759929Y-95660934D01* -X123769194Y-95659560D01* -X123778550Y-95659100D01* -X125021450Y-95659100D01* -X125030806Y-95659560D01* -G37* -G36* -X125030806Y-94859560D02* -G01* -X125040071Y-94860934D01* -X125049158Y-94863210D01* -X125057977Y-94866366D01* -X125066445Y-94870371D01* -X125074479Y-94875186D01* -X125082003Y-94880766D01* -X125088943Y-94887057D01* -X125095234Y-94893997D01* -X125100814Y-94901521D01* -X125105629Y-94909555D01* -X125109634Y-94918023D01* -X125112790Y-94926842D01* -X125115066Y-94935929D01* -X125116440Y-94945194D01* -X125116900Y-94954550D01* -X125116900Y-95145450D01* -X125116440Y-95154806D01* -X125115066Y-95164071D01* -X125112790Y-95173158D01* -X125109634Y-95181977D01* -X125105629Y-95190445D01* -X125100814Y-95198479D01* -X125095234Y-95206003D01* -X125088943Y-95212943D01* -X125082003Y-95219234D01* -X125074479Y-95224814D01* -X125066445Y-95229629D01* -X125057977Y-95233634D01* -X125049158Y-95236790D01* -X125040071Y-95239066D01* -X125030806Y-95240440D01* -X125021450Y-95240900D01* -X123778550Y-95240900D01* -X123769194Y-95240440D01* -X123759929Y-95239066D01* -X123750842Y-95236790D01* -X123742023Y-95233634D01* -X123733555Y-95229629D01* -X123725521Y-95224814D01* -X123717997Y-95219234D01* -X123711057Y-95212943D01* -X123704766Y-95206003D01* -X123699186Y-95198479D01* -X123694371Y-95190445D01* -X123690366Y-95181977D01* -X123687210Y-95173158D01* -X123684934Y-95164071D01* -X123683560Y-95154806D01* -X123683100Y-95145450D01* -X123683100Y-94954550D01* -X123683560Y-94945194D01* -X123684934Y-94935929D01* -X123687210Y-94926842D01* -X123690366Y-94918023D01* -X123694371Y-94909555D01* -X123699186Y-94901521D01* -X123704766Y-94893997D01* -X123711057Y-94887057D01* -X123717997Y-94880766D01* -X123725521Y-94875186D01* -X123733555Y-94870371D01* -X123742023Y-94866366D01* -X123750842Y-94863210D01* -X123759929Y-94860934D01* -X123769194Y-94859560D01* -X123778550Y-94859100D01* -X125021450Y-94859100D01* -X125030806Y-94859560D01* -G37* -G36* -X125030806Y-94059560D02* -G01* -X125040071Y-94060934D01* -X125049158Y-94063210D01* -X125057977Y-94066366D01* -X125066445Y-94070371D01* -X125074479Y-94075186D01* -X125082003Y-94080766D01* -X125088943Y-94087057D01* -X125095234Y-94093997D01* -X125100814Y-94101521D01* -X125105629Y-94109555D01* -X125109634Y-94118023D01* -X125112790Y-94126842D01* -X125115066Y-94135929D01* -X125116440Y-94145194D01* -X125116900Y-94154550D01* -X125116900Y-94345450D01* -X125116440Y-94354806D01* -X125115066Y-94364071D01* -X125112790Y-94373158D01* -X125109634Y-94381977D01* -X125105629Y-94390445D01* -X125100814Y-94398479D01* -X125095234Y-94406003D01* -X125088943Y-94412943D01* -X125082003Y-94419234D01* -X125074479Y-94424814D01* -X125066445Y-94429629D01* -X125057977Y-94433634D01* -X125049158Y-94436790D01* -X125040071Y-94439066D01* -X125030806Y-94440440D01* -X125021450Y-94440900D01* -X123778550Y-94440900D01* -X123769194Y-94440440D01* -X123759929Y-94439066D01* -X123750842Y-94436790D01* -X123742023Y-94433634D01* -X123733555Y-94429629D01* -X123725521Y-94424814D01* -X123717997Y-94419234D01* -X123711057Y-94412943D01* -X123704766Y-94406003D01* -X123699186Y-94398479D01* -X123694371Y-94390445D01* -X123690366Y-94381977D01* -X123687210Y-94373158D01* -X123684934Y-94364071D01* -X123683560Y-94354806D01* -X123683100Y-94345450D01* -X123683100Y-94154550D01* -X123683560Y-94145194D01* -X123684934Y-94135929D01* -X123687210Y-94126842D01* -X123690366Y-94118023D01* -X123694371Y-94109555D01* -X123699186Y-94101521D01* -X123704766Y-94093997D01* -X123711057Y-94087057D01* -X123717997Y-94080766D01* -X123725521Y-94075186D01* -X123733555Y-94070371D01* -X123742023Y-94066366D01* -X123750842Y-94063210D01* -X123759929Y-94060934D01* -X123769194Y-94059560D01* -X123778550Y-94059100D01* -X125021450Y-94059100D01* -X125030806Y-94059560D01* -G37* -G36* -X125030806Y-93259560D02* -G01* -X125040071Y-93260934D01* -X125049158Y-93263210D01* -X125057977Y-93266366D01* -X125066445Y-93270371D01* -X125074479Y-93275186D01* -X125082003Y-93280766D01* -X125088943Y-93287057D01* -X125095234Y-93293997D01* -X125100814Y-93301521D01* -X125105629Y-93309555D01* -X125109634Y-93318023D01* -X125112790Y-93326842D01* -X125115066Y-93335929D01* -X125116440Y-93345194D01* -X125116900Y-93354550D01* -X125116900Y-93545450D01* -X125116440Y-93554806D01* -X125115066Y-93564071D01* -X125112790Y-93573158D01* -X125109634Y-93581977D01* -X125105629Y-93590445D01* -X125100814Y-93598479D01* -X125095234Y-93606003D01* -X125088943Y-93612943D01* -X125082003Y-93619234D01* -X125074479Y-93624814D01* -X125066445Y-93629629D01* -X125057977Y-93633634D01* -X125049158Y-93636790D01* -X125040071Y-93639066D01* -X125030806Y-93640440D01* -X125021450Y-93640900D01* -X123778550Y-93640900D01* -X123769194Y-93640440D01* -X123759929Y-93639066D01* -X123750842Y-93636790D01* -X123742023Y-93633634D01* -X123733555Y-93629629D01* -X123725521Y-93624814D01* -X123717997Y-93619234D01* -X123711057Y-93612943D01* -X123704766Y-93606003D01* -X123699186Y-93598479D01* -X123694371Y-93590445D01* -X123690366Y-93581977D01* -X123687210Y-93573158D01* -X123684934Y-93564071D01* -X123683560Y-93554806D01* -X123683100Y-93545450D01* -X123683100Y-93354550D01* -X123683560Y-93345194D01* -X123684934Y-93335929D01* -X123687210Y-93326842D01* -X123690366Y-93318023D01* -X123694371Y-93309555D01* -X123699186Y-93301521D01* -X123704766Y-93293997D01* -X123711057Y-93287057D01* -X123717997Y-93280766D01* -X123725521Y-93275186D01* -X123733555Y-93270371D01* -X123742023Y-93266366D01* -X123750842Y-93263210D01* -X123759929Y-93260934D01* -X123769194Y-93259560D01* -X123778550Y-93259100D01* -X125021450Y-93259100D01* -X125030806Y-93259560D01* -G37* -G36* -X125030806Y-92459560D02* -G01* -X125040071Y-92460934D01* -X125049158Y-92463210D01* -X125057977Y-92466366D01* -X125066445Y-92470371D01* -X125074479Y-92475186D01* -X125082003Y-92480766D01* -X125088943Y-92487057D01* -X125095234Y-92493997D01* -X125100814Y-92501521D01* -X125105629Y-92509555D01* -X125109634Y-92518023D01* -X125112790Y-92526842D01* -X125115066Y-92535929D01* -X125116440Y-92545194D01* -X125116900Y-92554550D01* -X125116900Y-92745450D01* -X125116440Y-92754806D01* -X125115066Y-92764071D01* -X125112790Y-92773158D01* -X125109634Y-92781977D01* -X125105629Y-92790445D01* -X125100814Y-92798479D01* -X125095234Y-92806003D01* -X125088943Y-92812943D01* -X125082003Y-92819234D01* -X125074479Y-92824814D01* -X125066445Y-92829629D01* -X125057977Y-92833634D01* -X125049158Y-92836790D01* -X125040071Y-92839066D01* -X125030806Y-92840440D01* -X125021450Y-92840900D01* -X123778550Y-92840900D01* -X123769194Y-92840440D01* -X123759929Y-92839066D01* -X123750842Y-92836790D01* -X123742023Y-92833634D01* -X123733555Y-92829629D01* -X123725521Y-92824814D01* -X123717997Y-92819234D01* -X123711057Y-92812943D01* -X123704766Y-92806003D01* -X123699186Y-92798479D01* -X123694371Y-92790445D01* -X123690366Y-92781977D01* -X123687210Y-92773158D01* -X123684934Y-92764071D01* -X123683560Y-92754806D01* -X123683100Y-92745450D01* -X123683100Y-92554550D01* -X123683560Y-92545194D01* -X123684934Y-92535929D01* -X123687210Y-92526842D01* -X123690366Y-92518023D01* -X123694371Y-92509555D01* -X123699186Y-92501521D01* -X123704766Y-92493997D01* -X123711057Y-92487057D01* -X123717997Y-92480766D01* -X123725521Y-92475186D01* -X123733555Y-92470371D01* -X123742023Y-92466366D01* -X123750842Y-92463210D01* -X123759929Y-92460934D01* -X123769194Y-92459560D01* -X123778550Y-92459100D01* -X125021450Y-92459100D01* -X125030806Y-92459560D01* -G37* -G36* -X113530806Y-92459560D02* -G01* -X113540071Y-92460934D01* -X113549158Y-92463210D01* -X113557977Y-92466366D01* -X113566445Y-92470371D01* -X113574479Y-92475186D01* -X113582003Y-92480766D01* -X113588943Y-92487057D01* -X113595234Y-92493997D01* -X113600814Y-92501521D01* -X113605629Y-92509555D01* -X113609634Y-92518023D01* -X113612790Y-92526842D01* -X113615066Y-92535929D01* -X113616440Y-92545194D01* -X113616900Y-92554550D01* -X113616900Y-92745450D01* -X113616440Y-92754806D01* -X113615066Y-92764071D01* -X113612790Y-92773158D01* -X113609634Y-92781977D01* -X113605629Y-92790445D01* -X113600814Y-92798479D01* -X113595234Y-92806003D01* -X113588943Y-92812943D01* -X113582003Y-92819234D01* -X113574479Y-92824814D01* -X113566445Y-92829629D01* -X113557977Y-92833634D01* -X113549158Y-92836790D01* -X113540071Y-92839066D01* -X113530806Y-92840440D01* -X113521450Y-92840900D01* -X112278550Y-92840900D01* -X112269194Y-92840440D01* -X112259929Y-92839066D01* -X112250842Y-92836790D01* -X112242023Y-92833634D01* -X112233555Y-92829629D01* -X112225521Y-92824814D01* -X112217997Y-92819234D01* -X112211057Y-92812943D01* -X112204766Y-92806003D01* -X112199186Y-92798479D01* -X112194371Y-92790445D01* -X112190366Y-92781977D01* -X112187210Y-92773158D01* -X112184934Y-92764071D01* -X112183560Y-92754806D01* -X112183100Y-92745450D01* -X112183100Y-92554550D01* -X112183560Y-92545194D01* -X112184934Y-92535929D01* -X112187210Y-92526842D01* -X112190366Y-92518023D01* -X112194371Y-92509555D01* -X112199186Y-92501521D01* -X112204766Y-92493997D01* -X112211057Y-92487057D01* -X112217997Y-92480766D01* -X112225521Y-92475186D01* -X112233555Y-92470371D01* -X112242023Y-92466366D01* -X112250842Y-92463210D01* -X112259929Y-92460934D01* -X112269194Y-92459560D01* -X112278550Y-92459100D01* -X113521450Y-92459100D01* -X113530806Y-92459560D01* -G37* -G36* -X113530806Y-93259560D02* -G01* -X113540071Y-93260934D01* -X113549158Y-93263210D01* -X113557977Y-93266366D01* -X113566445Y-93270371D01* -X113574479Y-93275186D01* -X113582003Y-93280766D01* -X113588943Y-93287057D01* -X113595234Y-93293997D01* -X113600814Y-93301521D01* -X113605629Y-93309555D01* -X113609634Y-93318023D01* -X113612790Y-93326842D01* -X113615066Y-93335929D01* -X113616440Y-93345194D01* -X113616900Y-93354550D01* -X113616900Y-93545450D01* -X113616440Y-93554806D01* -X113615066Y-93564071D01* -X113612790Y-93573158D01* -X113609634Y-93581977D01* -X113605629Y-93590445D01* -X113600814Y-93598479D01* -X113595234Y-93606003D01* -X113588943Y-93612943D01* -X113582003Y-93619234D01* -X113574479Y-93624814D01* -X113566445Y-93629629D01* -X113557977Y-93633634D01* -X113549158Y-93636790D01* -X113540071Y-93639066D01* -X113530806Y-93640440D01* -X113521450Y-93640900D01* -X112278550Y-93640900D01* -X112269194Y-93640440D01* -X112259929Y-93639066D01* -X112250842Y-93636790D01* -X112242023Y-93633634D01* -X112233555Y-93629629D01* -X112225521Y-93624814D01* -X112217997Y-93619234D01* -X112211057Y-93612943D01* -X112204766Y-93606003D01* -X112199186Y-93598479D01* -X112194371Y-93590445D01* -X112190366Y-93581977D01* -X112187210Y-93573158D01* -X112184934Y-93564071D01* -X112183560Y-93554806D01* -X112183100Y-93545450D01* -X112183100Y-93354550D01* -X112183560Y-93345194D01* -X112184934Y-93335929D01* -X112187210Y-93326842D01* -X112190366Y-93318023D01* -X112194371Y-93309555D01* -X112199186Y-93301521D01* -X112204766Y-93293997D01* -X112211057Y-93287057D01* -X112217997Y-93280766D01* -X112225521Y-93275186D01* -X112233555Y-93270371D01* -X112242023Y-93266366D01* -X112250842Y-93263210D01* -X112259929Y-93260934D01* -X112269194Y-93259560D01* -X112278550Y-93259100D01* -X113521450Y-93259100D01* -X113530806Y-93259560D01* -G37* -G36* -X113530806Y-94059560D02* -G01* -X113540071Y-94060934D01* -X113549158Y-94063210D01* -X113557977Y-94066366D01* -X113566445Y-94070371D01* -X113574479Y-94075186D01* -X113582003Y-94080766D01* -X113588943Y-94087057D01* -X113595234Y-94093997D01* -X113600814Y-94101521D01* -X113605629Y-94109555D01* -X113609634Y-94118023D01* -X113612790Y-94126842D01* -X113615066Y-94135929D01* -X113616440Y-94145194D01* -X113616900Y-94154550D01* -X113616900Y-94345450D01* -X113616440Y-94354806D01* -X113615066Y-94364071D01* -X113612790Y-94373158D01* -X113609634Y-94381977D01* -X113605629Y-94390445D01* -X113600814Y-94398479D01* -X113595234Y-94406003D01* -X113588943Y-94412943D01* -X113582003Y-94419234D01* -X113574479Y-94424814D01* -X113566445Y-94429629D01* -X113557977Y-94433634D01* -X113549158Y-94436790D01* -X113540071Y-94439066D01* -X113530806Y-94440440D01* -X113521450Y-94440900D01* -X112278550Y-94440900D01* -X112269194Y-94440440D01* -X112259929Y-94439066D01* -X112250842Y-94436790D01* -X112242023Y-94433634D01* -X112233555Y-94429629D01* -X112225521Y-94424814D01* -X112217997Y-94419234D01* -X112211057Y-94412943D01* -X112204766Y-94406003D01* -X112199186Y-94398479D01* -X112194371Y-94390445D01* -X112190366Y-94381977D01* -X112187210Y-94373158D01* -X112184934Y-94364071D01* -X112183560Y-94354806D01* -X112183100Y-94345450D01* -X112183100Y-94154550D01* -X112183560Y-94145194D01* -X112184934Y-94135929D01* -X112187210Y-94126842D01* -X112190366Y-94118023D01* -X112194371Y-94109555D01* -X112199186Y-94101521D01* -X112204766Y-94093997D01* -X112211057Y-94087057D01* -X112217997Y-94080766D01* -X112225521Y-94075186D01* -X112233555Y-94070371D01* -X112242023Y-94066366D01* -X112250842Y-94063210D01* -X112259929Y-94060934D01* -X112269194Y-94059560D01* -X112278550Y-94059100D01* -X113521450Y-94059100D01* -X113530806Y-94059560D01* -G37* -G36* -X113530806Y-94859560D02* -G01* -X113540071Y-94860934D01* -X113549158Y-94863210D01* -X113557977Y-94866366D01* -X113566445Y-94870371D01* -X113574479Y-94875186D01* -X113582003Y-94880766D01* -X113588943Y-94887057D01* -X113595234Y-94893997D01* -X113600814Y-94901521D01* -X113605629Y-94909555D01* -X113609634Y-94918023D01* -X113612790Y-94926842D01* -X113615066Y-94935929D01* -X113616440Y-94945194D01* -X113616900Y-94954550D01* -X113616900Y-95145450D01* -X113616440Y-95154806D01* -X113615066Y-95164071D01* -X113612790Y-95173158D01* -X113609634Y-95181977D01* -X113605629Y-95190445D01* -X113600814Y-95198479D01* -X113595234Y-95206003D01* -X113588943Y-95212943D01* -X113582003Y-95219234D01* -X113574479Y-95224814D01* -X113566445Y-95229629D01* -X113557977Y-95233634D01* -X113549158Y-95236790D01* -X113540071Y-95239066D01* -X113530806Y-95240440D01* -X113521450Y-95240900D01* -X112278550Y-95240900D01* -X112269194Y-95240440D01* -X112259929Y-95239066D01* -X112250842Y-95236790D01* -X112242023Y-95233634D01* -X112233555Y-95229629D01* -X112225521Y-95224814D01* -X112217997Y-95219234D01* -X112211057Y-95212943D01* -X112204766Y-95206003D01* -X112199186Y-95198479D01* -X112194371Y-95190445D01* -X112190366Y-95181977D01* -X112187210Y-95173158D01* -X112184934Y-95164071D01* -X112183560Y-95154806D01* -X112183100Y-95145450D01* -X112183100Y-94954550D01* -X112183560Y-94945194D01* -X112184934Y-94935929D01* -X112187210Y-94926842D01* -X112190366Y-94918023D01* -X112194371Y-94909555D01* -X112199186Y-94901521D01* -X112204766Y-94893997D01* -X112211057Y-94887057D01* -X112217997Y-94880766D01* -X112225521Y-94875186D01* -X112233555Y-94870371D01* -X112242023Y-94866366D01* -X112250842Y-94863210D01* -X112259929Y-94860934D01* -X112269194Y-94859560D01* -X112278550Y-94859100D01* -X113521450Y-94859100D01* -X113530806Y-94859560D01* -G37* -G36* -X113530806Y-95659560D02* -G01* -X113540071Y-95660934D01* -X113549158Y-95663210D01* -X113557977Y-95666366D01* -X113566445Y-95670371D01* -X113574479Y-95675186D01* -X113582003Y-95680766D01* -X113588943Y-95687057D01* -X113595234Y-95693997D01* -X113600814Y-95701521D01* -X113605629Y-95709555D01* -X113609634Y-95718023D01* -X113612790Y-95726842D01* -X113615066Y-95735929D01* -X113616440Y-95745194D01* -X113616900Y-95754550D01* -X113616900Y-95945450D01* -X113616440Y-95954806D01* -X113615066Y-95964071D01* -X113612790Y-95973158D01* -X113609634Y-95981977D01* -X113605629Y-95990445D01* -X113600814Y-95998479D01* -X113595234Y-96006003D01* -X113588943Y-96012943D01* -X113582003Y-96019234D01* -X113574479Y-96024814D01* -X113566445Y-96029629D01* -X113557977Y-96033634D01* -X113549158Y-96036790D01* -X113540071Y-96039066D01* -X113530806Y-96040440D01* -X113521450Y-96040900D01* -X112278550Y-96040900D01* -X112269194Y-96040440D01* -X112259929Y-96039066D01* -X112250842Y-96036790D01* -X112242023Y-96033634D01* -X112233555Y-96029629D01* -X112225521Y-96024814D01* -X112217997Y-96019234D01* -X112211057Y-96012943D01* -X112204766Y-96006003D01* -X112199186Y-95998479D01* -X112194371Y-95990445D01* -X112190366Y-95981977D01* -X112187210Y-95973158D01* -X112184934Y-95964071D01* -X112183560Y-95954806D01* -X112183100Y-95945450D01* -X112183100Y-95754550D01* -X112183560Y-95745194D01* -X112184934Y-95735929D01* -X112187210Y-95726842D01* -X112190366Y-95718023D01* -X112194371Y-95709555D01* -X112199186Y-95701521D01* -X112204766Y-95693997D01* -X112211057Y-95687057D01* -X112217997Y-95680766D01* -X112225521Y-95675186D01* -X112233555Y-95670371D01* -X112242023Y-95666366D01* -X112250842Y-95663210D01* -X112259929Y-95660934D01* -X112269194Y-95659560D01* -X112278550Y-95659100D01* -X113521450Y-95659100D01* -X113530806Y-95659560D01* -G37* -G36* -X113530806Y-96459560D02* -G01* -X113540071Y-96460934D01* -X113549158Y-96463210D01* -X113557977Y-96466366D01* -X113566445Y-96470371D01* -X113574479Y-96475186D01* -X113582003Y-96480766D01* -X113588943Y-96487057D01* -X113595234Y-96493997D01* -X113600814Y-96501521D01* -X113605629Y-96509555D01* -X113609634Y-96518023D01* -X113612790Y-96526842D01* -X113615066Y-96535929D01* -X113616440Y-96545194D01* -X113616900Y-96554550D01* -X113616900Y-96745450D01* -X113616440Y-96754806D01* -X113615066Y-96764071D01* -X113612790Y-96773158D01* -X113609634Y-96781977D01* -X113605629Y-96790445D01* -X113600814Y-96798479D01* -X113595234Y-96806003D01* -X113588943Y-96812943D01* -X113582003Y-96819234D01* -X113574479Y-96824814D01* -X113566445Y-96829629D01* -X113557977Y-96833634D01* -X113549158Y-96836790D01* -X113540071Y-96839066D01* -X113530806Y-96840440D01* -X113521450Y-96840900D01* -X112278550Y-96840900D01* -X112269194Y-96840440D01* -X112259929Y-96839066D01* -X112250842Y-96836790D01* -X112242023Y-96833634D01* -X112233555Y-96829629D01* -X112225521Y-96824814D01* -X112217997Y-96819234D01* -X112211057Y-96812943D01* -X112204766Y-96806003D01* -X112199186Y-96798479D01* -X112194371Y-96790445D01* -X112190366Y-96781977D01* -X112187210Y-96773158D01* -X112184934Y-96764071D01* -X112183560Y-96754806D01* -X112183100Y-96745450D01* -X112183100Y-96554550D01* -X112183560Y-96545194D01* -X112184934Y-96535929D01* -X112187210Y-96526842D01* -X112190366Y-96518023D01* -X112194371Y-96509555D01* -X112199186Y-96501521D01* -X112204766Y-96493997D01* -X112211057Y-96487057D01* -X112217997Y-96480766D01* -X112225521Y-96475186D01* -X112233555Y-96470371D01* -X112242023Y-96466366D01* -X112250842Y-96463210D01* -X112259929Y-96460934D01* -X112269194Y-96459560D01* -X112278550Y-96459100D01* -X113521450Y-96459100D01* -X113530806Y-96459560D01* -G37* -G36* -X113530806Y-97259560D02* -G01* -X113540071Y-97260934D01* -X113549158Y-97263210D01* -X113557977Y-97266366D01* -X113566445Y-97270371D01* -X113574479Y-97275186D01* -X113582003Y-97280766D01* -X113588943Y-97287057D01* -X113595234Y-97293997D01* -X113600814Y-97301521D01* -X113605629Y-97309555D01* -X113609634Y-97318023D01* -X113612790Y-97326842D01* -X113615066Y-97335929D01* -X113616440Y-97345194D01* -X113616900Y-97354550D01* -X113616900Y-97545450D01* -X113616440Y-97554806D01* -X113615066Y-97564071D01* -X113612790Y-97573158D01* -X113609634Y-97581977D01* -X113605629Y-97590445D01* -X113600814Y-97598479D01* -X113595234Y-97606003D01* -X113588943Y-97612943D01* -X113582003Y-97619234D01* -X113574479Y-97624814D01* -X113566445Y-97629629D01* -X113557977Y-97633634D01* -X113549158Y-97636790D01* -X113540071Y-97639066D01* -X113530806Y-97640440D01* -X113521450Y-97640900D01* -X112278550Y-97640900D01* -X112269194Y-97640440D01* -X112259929Y-97639066D01* -X112250842Y-97636790D01* -X112242023Y-97633634D01* -X112233555Y-97629629D01* -X112225521Y-97624814D01* -X112217997Y-97619234D01* -X112211057Y-97612943D01* -X112204766Y-97606003D01* -X112199186Y-97598479D01* -X112194371Y-97590445D01* -X112190366Y-97581977D01* -X112187210Y-97573158D01* -X112184934Y-97564071D01* -X112183560Y-97554806D01* -X112183100Y-97545450D01* -X112183100Y-97354550D01* -X112183560Y-97345194D01* -X112184934Y-97335929D01* -X112187210Y-97326842D01* -X112190366Y-97318023D01* -X112194371Y-97309555D01* -X112199186Y-97301521D01* -X112204766Y-97293997D01* -X112211057Y-97287057D01* -X112217997Y-97280766D01* -X112225521Y-97275186D01* -X112233555Y-97270371D01* -X112242023Y-97266366D01* -X112250842Y-97263210D01* -X112259929Y-97260934D01* -X112269194Y-97259560D01* -X112278550Y-97259100D01* -X113521450Y-97259100D01* -X113530806Y-97259560D01* -G37* -G36* -X113530806Y-98059560D02* -G01* -X113540071Y-98060934D01* -X113549158Y-98063210D01* -X113557977Y-98066366D01* -X113566445Y-98070371D01* -X113574479Y-98075186D01* -X113582003Y-98080766D01* -X113588943Y-98087057D01* -X113595234Y-98093997D01* -X113600814Y-98101521D01* -X113605629Y-98109555D01* -X113609634Y-98118023D01* -X113612790Y-98126842D01* -X113615066Y-98135929D01* -X113616440Y-98145194D01* -X113616900Y-98154550D01* -X113616900Y-98345450D01* -X113616440Y-98354806D01* -X113615066Y-98364071D01* -X113612790Y-98373158D01* -X113609634Y-98381977D01* -X113605629Y-98390445D01* -X113600814Y-98398479D01* -X113595234Y-98406003D01* -X113588943Y-98412943D01* -X113582003Y-98419234D01* -X113574479Y-98424814D01* -X113566445Y-98429629D01* -X113557977Y-98433634D01* -X113549158Y-98436790D01* -X113540071Y-98439066D01* -X113530806Y-98440440D01* -X113521450Y-98440900D01* -X112278550Y-98440900D01* -X112269194Y-98440440D01* -X112259929Y-98439066D01* -X112250842Y-98436790D01* -X112242023Y-98433634D01* -X112233555Y-98429629D01* -X112225521Y-98424814D01* -X112217997Y-98419234D01* -X112211057Y-98412943D01* -X112204766Y-98406003D01* -X112199186Y-98398479D01* -X112194371Y-98390445D01* -X112190366Y-98381977D01* -X112187210Y-98373158D01* -X112184934Y-98364071D01* -X112183560Y-98354806D01* -X112183100Y-98345450D01* -X112183100Y-98154550D01* -X112183560Y-98145194D01* -X112184934Y-98135929D01* -X112187210Y-98126842D01* -X112190366Y-98118023D01* -X112194371Y-98109555D01* -X112199186Y-98101521D01* -X112204766Y-98093997D01* -X112211057Y-98087057D01* -X112217997Y-98080766D01* -X112225521Y-98075186D01* -X112233555Y-98070371D01* -X112242023Y-98066366D01* -X112250842Y-98063210D01* -X112259929Y-98060934D01* -X112269194Y-98059560D01* -X112278550Y-98059100D01* -X113521450Y-98059100D01* -X113530806Y-98059560D01* -G37* -G36* -X113530806Y-98859560D02* -G01* -X113540071Y-98860934D01* -X113549158Y-98863210D01* -X113557977Y-98866366D01* -X113566445Y-98870371D01* -X113574479Y-98875186D01* -X113582003Y-98880766D01* -X113588943Y-98887057D01* -X113595234Y-98893997D01* -X113600814Y-98901521D01* -X113605629Y-98909555D01* -X113609634Y-98918023D01* -X113612790Y-98926842D01* -X113615066Y-98935929D01* -X113616440Y-98945194D01* -X113616900Y-98954550D01* -X113616900Y-99145450D01* -X113616440Y-99154806D01* -X113615066Y-99164071D01* -X113612790Y-99173158D01* -X113609634Y-99181977D01* -X113605629Y-99190445D01* -X113600814Y-99198479D01* -X113595234Y-99206003D01* -X113588943Y-99212943D01* -X113582003Y-99219234D01* -X113574479Y-99224814D01* -X113566445Y-99229629D01* -X113557977Y-99233634D01* -X113549158Y-99236790D01* -X113540071Y-99239066D01* -X113530806Y-99240440D01* -X113521450Y-99240900D01* -X112278550Y-99240900D01* -X112269194Y-99240440D01* -X112259929Y-99239066D01* -X112250842Y-99236790D01* -X112242023Y-99233634D01* -X112233555Y-99229629D01* -X112225521Y-99224814D01* -X112217997Y-99219234D01* -X112211057Y-99212943D01* -X112204766Y-99206003D01* -X112199186Y-99198479D01* -X112194371Y-99190445D01* -X112190366Y-99181977D01* -X112187210Y-99173158D01* -X112184934Y-99164071D01* -X112183560Y-99154806D01* -X112183100Y-99145450D01* -X112183100Y-98954550D01* -X112183560Y-98945194D01* -X112184934Y-98935929D01* -X112187210Y-98926842D01* -X112190366Y-98918023D01* -X112194371Y-98909555D01* -X112199186Y-98901521D01* -X112204766Y-98893997D01* -X112211057Y-98887057D01* -X112217997Y-98880766D01* -X112225521Y-98875186D01* -X112233555Y-98870371D01* -X112242023Y-98866366D01* -X112250842Y-98863210D01* -X112259929Y-98860934D01* -X112269194Y-98859560D01* -X112278550Y-98859100D01* -X113521450Y-98859100D01* -X113530806Y-98859560D01* -G37* -G36* -X113530806Y-99659560D02* -G01* -X113540071Y-99660934D01* -X113549158Y-99663210D01* -X113557977Y-99666366D01* -X113566445Y-99670371D01* -X113574479Y-99675186D01* -X113582003Y-99680766D01* -X113588943Y-99687057D01* -X113595234Y-99693997D01* -X113600814Y-99701521D01* -X113605629Y-99709555D01* -X113609634Y-99718023D01* -X113612790Y-99726842D01* -X113615066Y-99735929D01* -X113616440Y-99745194D01* -X113616900Y-99754550D01* -X113616900Y-99945450D01* -X113616440Y-99954806D01* -X113615066Y-99964071D01* -X113612790Y-99973158D01* -X113609634Y-99981977D01* -X113605629Y-99990445D01* -X113600814Y-99998479D01* -X113595234Y-100006003D01* -X113588943Y-100012943D01* -X113582003Y-100019234D01* -X113574479Y-100024814D01* -X113566445Y-100029629D01* -X113557977Y-100033634D01* -X113549158Y-100036790D01* -X113540071Y-100039066D01* -X113530806Y-100040440D01* -X113521450Y-100040900D01* -X112278550Y-100040900D01* -X112269194Y-100040440D01* -X112259929Y-100039066D01* -X112250842Y-100036790D01* -X112242023Y-100033634D01* -X112233555Y-100029629D01* -X112225521Y-100024814D01* -X112217997Y-100019234D01* -X112211057Y-100012943D01* -X112204766Y-100006003D01* -X112199186Y-99998479D01* -X112194371Y-99990445D01* -X112190366Y-99981977D01* -X112187210Y-99973158D01* -X112184934Y-99964071D01* -X112183560Y-99954806D01* -X112183100Y-99945450D01* -X112183100Y-99754550D01* -X112183560Y-99745194D01* -X112184934Y-99735929D01* -X112187210Y-99726842D01* -X112190366Y-99718023D01* -X112194371Y-99709555D01* -X112199186Y-99701521D01* -X112204766Y-99693997D01* -X112211057Y-99687057D01* -X112217997Y-99680766D01* -X112225521Y-99675186D01* -X112233555Y-99670371D01* -X112242023Y-99666366D01* -X112250842Y-99663210D01* -X112259929Y-99660934D01* -X112269194Y-99659560D01* -X112278550Y-99659100D01* -X113521450Y-99659100D01* -X113530806Y-99659560D01* -G37* -G36* -X113530806Y-100459560D02* -G01* -X113540071Y-100460934D01* -X113549158Y-100463210D01* -X113557977Y-100466366D01* -X113566445Y-100470371D01* -X113574479Y-100475186D01* -X113582003Y-100480766D01* -X113588943Y-100487057D01* -X113595234Y-100493997D01* -X113600814Y-100501521D01* -X113605629Y-100509555D01* -X113609634Y-100518023D01* -X113612790Y-100526842D01* -X113615066Y-100535929D01* -X113616440Y-100545194D01* -X113616900Y-100554550D01* -X113616900Y-100745450D01* -X113616440Y-100754806D01* -X113615066Y-100764071D01* -X113612790Y-100773158D01* -X113609634Y-100781977D01* -X113605629Y-100790445D01* -X113600814Y-100798479D01* -X113595234Y-100806003D01* -X113588943Y-100812943D01* -X113582003Y-100819234D01* -X113574479Y-100824814D01* -X113566445Y-100829629D01* -X113557977Y-100833634D01* -X113549158Y-100836790D01* -X113540071Y-100839066D01* -X113530806Y-100840440D01* -X113521450Y-100840900D01* -X112278550Y-100840900D01* -X112269194Y-100840440D01* -X112259929Y-100839066D01* -X112250842Y-100836790D01* -X112242023Y-100833634D01* -X112233555Y-100829629D01* -X112225521Y-100824814D01* -X112217997Y-100819234D01* -X112211057Y-100812943D01* -X112204766Y-100806003D01* -X112199186Y-100798479D01* -X112194371Y-100790445D01* -X112190366Y-100781977D01* -X112187210Y-100773158D01* -X112184934Y-100764071D01* -X112183560Y-100754806D01* -X112183100Y-100745450D01* -X112183100Y-100554550D01* -X112183560Y-100545194D01* -X112184934Y-100535929D01* -X112187210Y-100526842D01* -X112190366Y-100518023D01* -X112194371Y-100509555D01* -X112199186Y-100501521D01* -X112204766Y-100493997D01* -X112211057Y-100487057D01* -X112217997Y-100480766D01* -X112225521Y-100475186D01* -X112233555Y-100470371D01* -X112242023Y-100466366D01* -X112250842Y-100463210D01* -X112259929Y-100460934D01* -X112269194Y-100459560D01* -X112278550Y-100459100D01* -X113521450Y-100459100D01* -X113530806Y-100459560D01* -G37* -G36* -X113530806Y-101259560D02* -G01* -X113540071Y-101260934D01* -X113549158Y-101263210D01* -X113557977Y-101266366D01* -X113566445Y-101270371D01* -X113574479Y-101275186D01* -X113582003Y-101280766D01* -X113588943Y-101287057D01* -X113595234Y-101293997D01* -X113600814Y-101301521D01* -X113605629Y-101309555D01* -X113609634Y-101318023D01* -X113612790Y-101326842D01* -X113615066Y-101335929D01* -X113616440Y-101345194D01* -X113616900Y-101354550D01* -X113616900Y-101545450D01* -X113616440Y-101554806D01* -X113615066Y-101564071D01* -X113612790Y-101573158D01* -X113609634Y-101581977D01* -X113605629Y-101590445D01* -X113600814Y-101598479D01* -X113595234Y-101606003D01* -X113588943Y-101612943D01* -X113582003Y-101619234D01* -X113574479Y-101624814D01* -X113566445Y-101629629D01* -X113557977Y-101633634D01* -X113549158Y-101636790D01* -X113540071Y-101639066D01* -X113530806Y-101640440D01* -X113521450Y-101640900D01* -X112278550Y-101640900D01* -X112269194Y-101640440D01* -X112259929Y-101639066D01* -X112250842Y-101636790D01* -X112242023Y-101633634D01* -X112233555Y-101629629D01* -X112225521Y-101624814D01* -X112217997Y-101619234D01* -X112211057Y-101612943D01* -X112204766Y-101606003D01* -X112199186Y-101598479D01* -X112194371Y-101590445D01* -X112190366Y-101581977D01* -X112187210Y-101573158D01* -X112184934Y-101564071D01* -X112183560Y-101554806D01* -X112183100Y-101545450D01* -X112183100Y-101354550D01* -X112183560Y-101345194D01* -X112184934Y-101335929D01* -X112187210Y-101326842D01* -X112190366Y-101318023D01* -X112194371Y-101309555D01* -X112199186Y-101301521D01* -X112204766Y-101293997D01* -X112211057Y-101287057D01* -X112217997Y-101280766D01* -X112225521Y-101275186D01* -X112233555Y-101270371D01* -X112242023Y-101266366D01* -X112250842Y-101263210D01* -X112259929Y-101260934D01* -X112269194Y-101259560D01* -X112278550Y-101259100D01* -X113521450Y-101259100D01* -X113530806Y-101259560D01* -G37* -G36* -X113530806Y-102059560D02* -G01* -X113540071Y-102060934D01* -X113549158Y-102063210D01* -X113557977Y-102066366D01* -X113566445Y-102070371D01* -X113574479Y-102075186D01* -X113582003Y-102080766D01* -X113588943Y-102087057D01* -X113595234Y-102093997D01* -X113600814Y-102101521D01* -X113605629Y-102109555D01* -X113609634Y-102118023D01* -X113612790Y-102126842D01* -X113615066Y-102135929D01* -X113616440Y-102145194D01* -X113616900Y-102154550D01* -X113616900Y-102345450D01* -X113616440Y-102354806D01* -X113615066Y-102364071D01* -X113612790Y-102373158D01* -X113609634Y-102381977D01* -X113605629Y-102390445D01* -X113600814Y-102398479D01* -X113595234Y-102406003D01* -X113588943Y-102412943D01* -X113582003Y-102419234D01* -X113574479Y-102424814D01* -X113566445Y-102429629D01* -X113557977Y-102433634D01* -X113549158Y-102436790D01* -X113540071Y-102439066D01* -X113530806Y-102440440D01* -X113521450Y-102440900D01* -X112278550Y-102440900D01* -X112269194Y-102440440D01* -X112259929Y-102439066D01* -X112250842Y-102436790D01* -X112242023Y-102433634D01* -X112233555Y-102429629D01* -X112225521Y-102424814D01* -X112217997Y-102419234D01* -X112211057Y-102412943D01* -X112204766Y-102406003D01* -X112199186Y-102398479D01* -X112194371Y-102390445D01* -X112190366Y-102381977D01* -X112187210Y-102373158D01* -X112184934Y-102364071D01* -X112183560Y-102354806D01* -X112183100Y-102345450D01* -X112183100Y-102154550D01* -X112183560Y-102145194D01* -X112184934Y-102135929D01* -X112187210Y-102126842D01* -X112190366Y-102118023D01* -X112194371Y-102109555D01* -X112199186Y-102101521D01* -X112204766Y-102093997D01* -X112211057Y-102087057D01* -X112217997Y-102080766D01* -X112225521Y-102075186D01* -X112233555Y-102070371D01* -X112242023Y-102066366D01* -X112250842Y-102063210D01* -X112259929Y-102060934D01* -X112269194Y-102059560D01* -X112278550Y-102059100D01* -X113521450Y-102059100D01* -X113530806Y-102059560D01* -G37* -G36* -X113530806Y-102859560D02* -G01* -X113540071Y-102860934D01* -X113549158Y-102863210D01* -X113557977Y-102866366D01* -X113566445Y-102870371D01* -X113574479Y-102875186D01* -X113582003Y-102880766D01* -X113588943Y-102887057D01* -X113595234Y-102893997D01* -X113600814Y-102901521D01* -X113605629Y-102909555D01* -X113609634Y-102918023D01* -X113612790Y-102926842D01* -X113615066Y-102935929D01* -X113616440Y-102945194D01* -X113616900Y-102954550D01* -X113616900Y-103145450D01* -X113616440Y-103154806D01* -X113615066Y-103164071D01* -X113612790Y-103173158D01* -X113609634Y-103181977D01* -X113605629Y-103190445D01* -X113600814Y-103198479D01* -X113595234Y-103206003D01* -X113588943Y-103212943D01* -X113582003Y-103219234D01* -X113574479Y-103224814D01* -X113566445Y-103229629D01* -X113557977Y-103233634D01* -X113549158Y-103236790D01* -X113540071Y-103239066D01* -X113530806Y-103240440D01* -X113521450Y-103240900D01* -X112278550Y-103240900D01* -X112269194Y-103240440D01* -X112259929Y-103239066D01* -X112250842Y-103236790D01* -X112242023Y-103233634D01* -X112233555Y-103229629D01* -X112225521Y-103224814D01* -X112217997Y-103219234D01* -X112211057Y-103212943D01* -X112204766Y-103206003D01* -X112199186Y-103198479D01* -X112194371Y-103190445D01* -X112190366Y-103181977D01* -X112187210Y-103173158D01* -X112184934Y-103164071D01* -X112183560Y-103154806D01* -X112183100Y-103145450D01* -X112183100Y-102954550D01* -X112183560Y-102945194D01* -X112184934Y-102935929D01* -X112187210Y-102926842D01* -X112190366Y-102918023D01* -X112194371Y-102909555D01* -X112199186Y-102901521D01* -X112204766Y-102893997D01* -X112211057Y-102887057D01* -X112217997Y-102880766D01* -X112225521Y-102875186D01* -X112233555Y-102870371D01* -X112242023Y-102866366D01* -X112250842Y-102863210D01* -X112259929Y-102860934D01* -X112269194Y-102859560D01* -X112278550Y-102859100D01* -X113521450Y-102859100D01* -X113530806Y-102859560D01* -G37* -G36* -X113530806Y-103659560D02* -G01* -X113540071Y-103660934D01* -X113549158Y-103663210D01* -X113557977Y-103666366D01* -X113566445Y-103670371D01* -X113574479Y-103675186D01* -X113582003Y-103680766D01* -X113588943Y-103687057D01* -X113595234Y-103693997D01* -X113600814Y-103701521D01* -X113605629Y-103709555D01* -X113609634Y-103718023D01* -X113612790Y-103726842D01* -X113615066Y-103735929D01* -X113616440Y-103745194D01* -X113616900Y-103754550D01* -X113616900Y-103945450D01* -X113616440Y-103954806D01* -X113615066Y-103964071D01* -X113612790Y-103973158D01* -X113609634Y-103981977D01* -X113605629Y-103990445D01* -X113600814Y-103998479D01* -X113595234Y-104006003D01* -X113588943Y-104012943D01* -X113582003Y-104019234D01* -X113574479Y-104024814D01* -X113566445Y-104029629D01* -X113557977Y-104033634D01* -X113549158Y-104036790D01* -X113540071Y-104039066D01* -X113530806Y-104040440D01* -X113521450Y-104040900D01* -X112278550Y-104040900D01* -X112269194Y-104040440D01* -X112259929Y-104039066D01* -X112250842Y-104036790D01* -X112242023Y-104033634D01* -X112233555Y-104029629D01* -X112225521Y-104024814D01* -X112217997Y-104019234D01* -X112211057Y-104012943D01* -X112204766Y-104006003D01* -X112199186Y-103998479D01* -X112194371Y-103990445D01* -X112190366Y-103981977D01* -X112187210Y-103973158D01* -X112184934Y-103964071D01* -X112183560Y-103954806D01* -X112183100Y-103945450D01* -X112183100Y-103754550D01* -X112183560Y-103745194D01* -X112184934Y-103735929D01* -X112187210Y-103726842D01* -X112190366Y-103718023D01* -X112194371Y-103709555D01* -X112199186Y-103701521D01* -X112204766Y-103693997D01* -X112211057Y-103687057D01* -X112217997Y-103680766D01* -X112225521Y-103675186D01* -X112233555Y-103670371D01* -X112242023Y-103666366D01* -X112250842Y-103663210D01* -X112259929Y-103660934D01* -X112269194Y-103659560D01* -X112278550Y-103659100D01* -X113521450Y-103659100D01* -X113530806Y-103659560D01* -G37* -G36* -X113530806Y-104459560D02* -G01* -X113540071Y-104460934D01* -X113549158Y-104463210D01* -X113557977Y-104466366D01* -X113566445Y-104470371D01* -X113574479Y-104475186D01* -X113582003Y-104480766D01* -X113588943Y-104487057D01* -X113595234Y-104493997D01* -X113600814Y-104501521D01* -X113605629Y-104509555D01* -X113609634Y-104518023D01* -X113612790Y-104526842D01* -X113615066Y-104535929D01* -X113616440Y-104545194D01* -X113616900Y-104554550D01* -X113616900Y-104745450D01* -X113616440Y-104754806D01* -X113615066Y-104764071D01* -X113612790Y-104773158D01* -X113609634Y-104781977D01* -X113605629Y-104790445D01* -X113600814Y-104798479D01* -X113595234Y-104806003D01* -X113588943Y-104812943D01* -X113582003Y-104819234D01* -X113574479Y-104824814D01* -X113566445Y-104829629D01* -X113557977Y-104833634D01* -X113549158Y-104836790D01* -X113540071Y-104839066D01* -X113530806Y-104840440D01* -X113521450Y-104840900D01* -X112278550Y-104840900D01* -X112269194Y-104840440D01* -X112259929Y-104839066D01* -X112250842Y-104836790D01* -X112242023Y-104833634D01* -X112233555Y-104829629D01* -X112225521Y-104824814D01* -X112217997Y-104819234D01* -X112211057Y-104812943D01* -X112204766Y-104806003D01* -X112199186Y-104798479D01* -X112194371Y-104790445D01* -X112190366Y-104781977D01* -X112187210Y-104773158D01* -X112184934Y-104764071D01* -X112183560Y-104754806D01* -X112183100Y-104745450D01* -X112183100Y-104554550D01* -X112183560Y-104545194D01* -X112184934Y-104535929D01* -X112187210Y-104526842D01* -X112190366Y-104518023D01* -X112194371Y-104509555D01* -X112199186Y-104501521D01* -X112204766Y-104493997D01* -X112211057Y-104487057D01* -X112217997Y-104480766D01* -X112225521Y-104475186D01* -X112233555Y-104470371D01* -X112242023Y-104466366D01* -X112250842Y-104463210D01* -X112259929Y-104460934D01* -X112269194Y-104459560D01* -X112278550Y-104459100D01* -X113521450Y-104459100D01* -X113530806Y-104459560D01* -G37* -G36* -X113530806Y-105259560D02* -G01* -X113540071Y-105260934D01* -X113549158Y-105263210D01* -X113557977Y-105266366D01* -X113566445Y-105270371D01* -X113574479Y-105275186D01* -X113582003Y-105280766D01* -X113588943Y-105287057D01* -X113595234Y-105293997D01* -X113600814Y-105301521D01* -X113605629Y-105309555D01* -X113609634Y-105318023D01* -X113612790Y-105326842D01* -X113615066Y-105335929D01* -X113616440Y-105345194D01* -X113616900Y-105354550D01* -X113616900Y-105545450D01* -X113616440Y-105554806D01* -X113615066Y-105564071D01* -X113612790Y-105573158D01* -X113609634Y-105581977D01* -X113605629Y-105590445D01* -X113600814Y-105598479D01* -X113595234Y-105606003D01* -X113588943Y-105612943D01* -X113582003Y-105619234D01* -X113574479Y-105624814D01* -X113566445Y-105629629D01* -X113557977Y-105633634D01* -X113549158Y-105636790D01* -X113540071Y-105639066D01* -X113530806Y-105640440D01* -X113521450Y-105640900D01* -X112278550Y-105640900D01* -X112269194Y-105640440D01* -X112259929Y-105639066D01* -X112250842Y-105636790D01* -X112242023Y-105633634D01* -X112233555Y-105629629D01* -X112225521Y-105624814D01* -X112217997Y-105619234D01* -X112211057Y-105612943D01* -X112204766Y-105606003D01* -X112199186Y-105598479D01* -X112194371Y-105590445D01* -X112190366Y-105581977D01* -X112187210Y-105573158D01* -X112184934Y-105564071D01* -X112183560Y-105554806D01* -X112183100Y-105545450D01* -X112183100Y-105354550D01* -X112183560Y-105345194D01* -X112184934Y-105335929D01* -X112187210Y-105326842D01* -X112190366Y-105318023D01* -X112194371Y-105309555D01* -X112199186Y-105301521D01* -X112204766Y-105293997D01* -X112211057Y-105287057D01* -X112217997Y-105280766D01* -X112225521Y-105275186D01* -X112233555Y-105270371D01* -X112242023Y-105266366D01* -X112250842Y-105263210D01* -X112259929Y-105260934D01* -X112269194Y-105259560D01* -X112278550Y-105259100D01* -X113521450Y-105259100D01* -X113530806Y-105259560D01* -G37* -G36* -X113530806Y-106059560D02* -G01* -X113540071Y-106060934D01* -X113549158Y-106063210D01* -X113557977Y-106066366D01* -X113566445Y-106070371D01* -X113574479Y-106075186D01* -X113582003Y-106080766D01* -X113588943Y-106087057D01* -X113595234Y-106093997D01* -X113600814Y-106101521D01* -X113605629Y-106109555D01* -X113609634Y-106118023D01* -X113612790Y-106126842D01* -X113615066Y-106135929D01* -X113616440Y-106145194D01* -X113616900Y-106154550D01* -X113616900Y-106345450D01* -X113616440Y-106354806D01* -X113615066Y-106364071D01* -X113612790Y-106373158D01* -X113609634Y-106381977D01* -X113605629Y-106390445D01* -X113600814Y-106398479D01* -X113595234Y-106406003D01* -X113588943Y-106412943D01* -X113582003Y-106419234D01* -X113574479Y-106424814D01* -X113566445Y-106429629D01* -X113557977Y-106433634D01* -X113549158Y-106436790D01* -X113540071Y-106439066D01* -X113530806Y-106440440D01* -X113521450Y-106440900D01* -X112278550Y-106440900D01* -X112269194Y-106440440D01* -X112259929Y-106439066D01* -X112250842Y-106436790D01* -X112242023Y-106433634D01* -X112233555Y-106429629D01* -X112225521Y-106424814D01* -X112217997Y-106419234D01* -X112211057Y-106412943D01* -X112204766Y-106406003D01* -X112199186Y-106398479D01* -X112194371Y-106390445D01* -X112190366Y-106381977D01* -X112187210Y-106373158D01* -X112184934Y-106364071D01* -X112183560Y-106354806D01* -X112183100Y-106345450D01* -X112183100Y-106154550D01* -X112183560Y-106145194D01* -X112184934Y-106135929D01* -X112187210Y-106126842D01* -X112190366Y-106118023D01* -X112194371Y-106109555D01* -X112199186Y-106101521D01* -X112204766Y-106093997D01* -X112211057Y-106087057D01* -X112217997Y-106080766D01* -X112225521Y-106075186D01* -X112233555Y-106070371D01* -X112242023Y-106066366D01* -X112250842Y-106063210D01* -X112259929Y-106060934D01* -X112269194Y-106059560D01* -X112278550Y-106059100D01* -X113521450Y-106059100D01* -X113530806Y-106059560D01* -G37* -G36* -X113530806Y-106859560D02* -G01* -X113540071Y-106860934D01* -X113549158Y-106863210D01* -X113557977Y-106866366D01* -X113566445Y-106870371D01* -X113574479Y-106875186D01* -X113582003Y-106880766D01* -X113588943Y-106887057D01* -X113595234Y-106893997D01* -X113600814Y-106901521D01* -X113605629Y-106909555D01* -X113609634Y-106918023D01* -X113612790Y-106926842D01* -X113615066Y-106935929D01* -X113616440Y-106945194D01* -X113616900Y-106954550D01* -X113616900Y-107145450D01* -X113616440Y-107154806D01* -X113615066Y-107164071D01* -X113612790Y-107173158D01* -X113609634Y-107181977D01* -X113605629Y-107190445D01* -X113600814Y-107198479D01* -X113595234Y-107206003D01* -X113588943Y-107212943D01* -X113582003Y-107219234D01* -X113574479Y-107224814D01* -X113566445Y-107229629D01* -X113557977Y-107233634D01* -X113549158Y-107236790D01* -X113540071Y-107239066D01* -X113530806Y-107240440D01* -X113521450Y-107240900D01* -X112278550Y-107240900D01* -X112269194Y-107240440D01* -X112259929Y-107239066D01* -X112250842Y-107236790D01* -X112242023Y-107233634D01* -X112233555Y-107229629D01* -X112225521Y-107224814D01* -X112217997Y-107219234D01* -X112211057Y-107212943D01* -X112204766Y-107206003D01* -X112199186Y-107198479D01* -X112194371Y-107190445D01* -X112190366Y-107181977D01* -X112187210Y-107173158D01* -X112184934Y-107164071D01* -X112183560Y-107154806D01* -X112183100Y-107145450D01* -X112183100Y-106954550D01* -X112183560Y-106945194D01* -X112184934Y-106935929D01* -X112187210Y-106926842D01* -X112190366Y-106918023D01* -X112194371Y-106909555D01* -X112199186Y-106901521D01* -X112204766Y-106893997D01* -X112211057Y-106887057D01* -X112217997Y-106880766D01* -X112225521Y-106875186D01* -X112233555Y-106870371D01* -X112242023Y-106866366D01* -X112250842Y-106863210D01* -X112259929Y-106860934D01* -X112269194Y-106859560D01* -X112278550Y-106859100D01* -X113521450Y-106859100D01* -X113530806Y-106859560D01* -G37* -G36* -X113530806Y-107659560D02* -G01* -X113540071Y-107660934D01* -X113549158Y-107663210D01* -X113557977Y-107666366D01* -X113566445Y-107670371D01* -X113574479Y-107675186D01* -X113582003Y-107680766D01* -X113588943Y-107687057D01* -X113595234Y-107693997D01* -X113600814Y-107701521D01* -X113605629Y-107709555D01* -X113609634Y-107718023D01* -X113612790Y-107726842D01* -X113615066Y-107735929D01* -X113616440Y-107745194D01* -X113616900Y-107754550D01* -X113616900Y-107945450D01* -X113616440Y-107954806D01* -X113615066Y-107964071D01* -X113612790Y-107973158D01* -X113609634Y-107981977D01* -X113605629Y-107990445D01* -X113600814Y-107998479D01* -X113595234Y-108006003D01* -X113588943Y-108012943D01* -X113582003Y-108019234D01* -X113574479Y-108024814D01* -X113566445Y-108029629D01* -X113557977Y-108033634D01* -X113549158Y-108036790D01* -X113540071Y-108039066D01* -X113530806Y-108040440D01* -X113521450Y-108040900D01* -X112278550Y-108040900D01* -X112269194Y-108040440D01* -X112259929Y-108039066D01* -X112250842Y-108036790D01* -X112242023Y-108033634D01* -X112233555Y-108029629D01* -X112225521Y-108024814D01* -X112217997Y-108019234D01* -X112211057Y-108012943D01* -X112204766Y-108006003D01* -X112199186Y-107998479D01* -X112194371Y-107990445D01* -X112190366Y-107981977D01* -X112187210Y-107973158D01* -X112184934Y-107964071D01* -X112183560Y-107954806D01* -X112183100Y-107945450D01* -X112183100Y-107754550D01* -X112183560Y-107745194D01* -X112184934Y-107735929D01* -X112187210Y-107726842D01* -X112190366Y-107718023D01* -X112194371Y-107709555D01* -X112199186Y-107701521D01* -X112204766Y-107693997D01* -X112211057Y-107687057D01* -X112217997Y-107680766D01* -X112225521Y-107675186D01* -X112233555Y-107670371D01* -X112242023Y-107666366D01* -X112250842Y-107663210D01* -X112259929Y-107660934D01* -X112269194Y-107659560D01* -X112278550Y-107659100D01* -X113521450Y-107659100D01* -X113530806Y-107659560D01* -G37* -G36* -X113530806Y-108459560D02* -G01* -X113540071Y-108460934D01* -X113549158Y-108463210D01* -X113557977Y-108466366D01* -X113566445Y-108470371D01* -X113574479Y-108475186D01* -X113582003Y-108480766D01* -X113588943Y-108487057D01* -X113595234Y-108493997D01* -X113600814Y-108501521D01* -X113605629Y-108509555D01* -X113609634Y-108518023D01* -X113612790Y-108526842D01* -X113615066Y-108535929D01* -X113616440Y-108545194D01* -X113616900Y-108554550D01* -X113616900Y-108745450D01* -X113616440Y-108754806D01* -X113615066Y-108764071D01* -X113612790Y-108773158D01* -X113609634Y-108781977D01* -X113605629Y-108790445D01* -X113600814Y-108798479D01* -X113595234Y-108806003D01* -X113588943Y-108812943D01* -X113582003Y-108819234D01* -X113574479Y-108824814D01* -X113566445Y-108829629D01* -X113557977Y-108833634D01* -X113549158Y-108836790D01* -X113540071Y-108839066D01* -X113530806Y-108840440D01* -X113521450Y-108840900D01* -X112278550Y-108840900D01* -X112269194Y-108840440D01* -X112259929Y-108839066D01* -X112250842Y-108836790D01* -X112242023Y-108833634D01* -X112233555Y-108829629D01* -X112225521Y-108824814D01* -X112217997Y-108819234D01* -X112211057Y-108812943D01* -X112204766Y-108806003D01* -X112199186Y-108798479D01* -X112194371Y-108790445D01* -X112190366Y-108781977D01* -X112187210Y-108773158D01* -X112184934Y-108764071D01* -X112183560Y-108754806D01* -X112183100Y-108745450D01* -X112183100Y-108554550D01* -X112183560Y-108545194D01* -X112184934Y-108535929D01* -X112187210Y-108526842D01* -X112190366Y-108518023D01* -X112194371Y-108509555D01* -X112199186Y-108501521D01* -X112204766Y-108493997D01* -X112211057Y-108487057D01* -X112217997Y-108480766D01* -X112225521Y-108475186D01* -X112233555Y-108470371D01* -X112242023Y-108466366D01* -X112250842Y-108463210D01* -X112259929Y-108460934D01* -X112269194Y-108459560D01* -X112278550Y-108459100D01* -X113521450Y-108459100D01* -X113530806Y-108459560D01* -G37* -G36* -X113530806Y-109259560D02* -G01* -X113540071Y-109260934D01* -X113549158Y-109263210D01* -X113557977Y-109266366D01* -X113566445Y-109270371D01* -X113574479Y-109275186D01* -X113582003Y-109280766D01* -X113588943Y-109287057D01* -X113595234Y-109293997D01* -X113600814Y-109301521D01* -X113605629Y-109309555D01* -X113609634Y-109318023D01* -X113612790Y-109326842D01* -X113615066Y-109335929D01* -X113616440Y-109345194D01* -X113616900Y-109354550D01* -X113616900Y-109545450D01* -X113616440Y-109554806D01* -X113615066Y-109564071D01* -X113612790Y-109573158D01* -X113609634Y-109581977D01* -X113605629Y-109590445D01* -X113600814Y-109598479D01* -X113595234Y-109606003D01* -X113588943Y-109612943D01* -X113582003Y-109619234D01* -X113574479Y-109624814D01* -X113566445Y-109629629D01* -X113557977Y-109633634D01* -X113549158Y-109636790D01* -X113540071Y-109639066D01* -X113530806Y-109640440D01* -X113521450Y-109640900D01* -X112278550Y-109640900D01* -X112269194Y-109640440D01* -X112259929Y-109639066D01* -X112250842Y-109636790D01* -X112242023Y-109633634D01* -X112233555Y-109629629D01* -X112225521Y-109624814D01* -X112217997Y-109619234D01* -X112211057Y-109612943D01* -X112204766Y-109606003D01* -X112199186Y-109598479D01* -X112194371Y-109590445D01* -X112190366Y-109581977D01* -X112187210Y-109573158D01* -X112184934Y-109564071D01* -X112183560Y-109554806D01* -X112183100Y-109545450D01* -X112183100Y-109354550D01* -X112183560Y-109345194D01* -X112184934Y-109335929D01* -X112187210Y-109326842D01* -X112190366Y-109318023D01* -X112194371Y-109309555D01* -X112199186Y-109301521D01* -X112204766Y-109293997D01* -X112211057Y-109287057D01* -X112217997Y-109280766D01* -X112225521Y-109275186D01* -X112233555Y-109270371D01* -X112242023Y-109266366D01* -X112250842Y-109263210D01* -X112259929Y-109260934D01* -X112269194Y-109259560D01* -X112278550Y-109259100D01* -X113521450Y-109259100D01* -X113530806Y-109259560D01* -G37* -G36* -X113530806Y-110059560D02* -G01* -X113540071Y-110060934D01* -X113549158Y-110063210D01* -X113557977Y-110066366D01* -X113566445Y-110070371D01* -X113574479Y-110075186D01* -X113582003Y-110080766D01* -X113588943Y-110087057D01* -X113595234Y-110093997D01* -X113600814Y-110101521D01* -X113605629Y-110109555D01* -X113609634Y-110118023D01* -X113612790Y-110126842D01* -X113615066Y-110135929D01* -X113616440Y-110145194D01* -X113616900Y-110154550D01* -X113616900Y-110345450D01* -X113616440Y-110354806D01* -X113615066Y-110364071D01* -X113612790Y-110373158D01* -X113609634Y-110381977D01* -X113605629Y-110390445D01* -X113600814Y-110398479D01* -X113595234Y-110406003D01* -X113588943Y-110412943D01* -X113582003Y-110419234D01* -X113574479Y-110424814D01* -X113566445Y-110429629D01* -X113557977Y-110433634D01* -X113549158Y-110436790D01* -X113540071Y-110439066D01* -X113530806Y-110440440D01* -X113521450Y-110440900D01* -X112278550Y-110440900D01* -X112269194Y-110440440D01* -X112259929Y-110439066D01* -X112250842Y-110436790D01* -X112242023Y-110433634D01* -X112233555Y-110429629D01* -X112225521Y-110424814D01* -X112217997Y-110419234D01* -X112211057Y-110412943D01* -X112204766Y-110406003D01* -X112199186Y-110398479D01* -X112194371Y-110390445D01* -X112190366Y-110381977D01* -X112187210Y-110373158D01* -X112184934Y-110364071D01* -X112183560Y-110354806D01* -X112183100Y-110345450D01* -X112183100Y-110154550D01* -X112183560Y-110145194D01* -X112184934Y-110135929D01* -X112187210Y-110126842D01* -X112190366Y-110118023D01* -X112194371Y-110109555D01* -X112199186Y-110101521D01* -X112204766Y-110093997D01* -X112211057Y-110087057D01* -X112217997Y-110080766D01* -X112225521Y-110075186D01* -X112233555Y-110070371D01* -X112242023Y-110066366D01* -X112250842Y-110063210D01* -X112259929Y-110060934D01* -X112269194Y-110059560D01* -X112278550Y-110059100D01* -X113521450Y-110059100D01* -X113530806Y-110059560D01* -G37* -G36* -X113530806Y-110859560D02* -G01* -X113540071Y-110860934D01* -X113549158Y-110863210D01* -X113557977Y-110866366D01* -X113566445Y-110870371D01* -X113574479Y-110875186D01* -X113582003Y-110880766D01* -X113588943Y-110887057D01* -X113595234Y-110893997D01* -X113600814Y-110901521D01* -X113605629Y-110909555D01* -X113609634Y-110918023D01* -X113612790Y-110926842D01* -X113615066Y-110935929D01* -X113616440Y-110945194D01* -X113616900Y-110954550D01* -X113616900Y-111145450D01* -X113616440Y-111154806D01* -X113615066Y-111164071D01* -X113612790Y-111173158D01* -X113609634Y-111181977D01* -X113605629Y-111190445D01* -X113600814Y-111198479D01* -X113595234Y-111206003D01* -X113588943Y-111212943D01* -X113582003Y-111219234D01* -X113574479Y-111224814D01* -X113566445Y-111229629D01* -X113557977Y-111233634D01* -X113549158Y-111236790D01* -X113540071Y-111239066D01* -X113530806Y-111240440D01* -X113521450Y-111240900D01* -X112278550Y-111240900D01* -X112269194Y-111240440D01* -X112259929Y-111239066D01* -X112250842Y-111236790D01* -X112242023Y-111233634D01* -X112233555Y-111229629D01* -X112225521Y-111224814D01* -X112217997Y-111219234D01* -X112211057Y-111212943D01* -X112204766Y-111206003D01* -X112199186Y-111198479D01* -X112194371Y-111190445D01* -X112190366Y-111181977D01* -X112187210Y-111173158D01* -X112184934Y-111164071D01* -X112183560Y-111154806D01* -X112183100Y-111145450D01* -X112183100Y-110954550D01* -X112183560Y-110945194D01* -X112184934Y-110935929D01* -X112187210Y-110926842D01* -X112190366Y-110918023D01* -X112194371Y-110909555D01* -X112199186Y-110901521D01* -X112204766Y-110893997D01* -X112211057Y-110887057D01* -X112217997Y-110880766D01* -X112225521Y-110875186D01* -X112233555Y-110870371D01* -X112242023Y-110866366D01* -X112250842Y-110863210D01* -X112259929Y-110860934D01* -X112269194Y-110859560D01* -X112278550Y-110859100D01* -X113521450Y-110859100D01* -X113530806Y-110859560D01* -G37* -G36* -X113530806Y-111659560D02* -G01* -X113540071Y-111660934D01* -X113549158Y-111663210D01* -X113557977Y-111666366D01* -X113566445Y-111670371D01* -X113574479Y-111675186D01* -X113582003Y-111680766D01* -X113588943Y-111687057D01* -X113595234Y-111693997D01* -X113600814Y-111701521D01* -X113605629Y-111709555D01* -X113609634Y-111718023D01* -X113612790Y-111726842D01* -X113615066Y-111735929D01* -X113616440Y-111745194D01* -X113616900Y-111754550D01* -X113616900Y-111945450D01* -X113616440Y-111954806D01* -X113615066Y-111964071D01* -X113612790Y-111973158D01* -X113609634Y-111981977D01* -X113605629Y-111990445D01* -X113600814Y-111998479D01* -X113595234Y-112006003D01* -X113588943Y-112012943D01* -X113582003Y-112019234D01* -X113574479Y-112024814D01* -X113566445Y-112029629D01* -X113557977Y-112033634D01* -X113549158Y-112036790D01* -X113540071Y-112039066D01* -X113530806Y-112040440D01* -X113521450Y-112040900D01* -X112278550Y-112040900D01* -X112269194Y-112040440D01* -X112259929Y-112039066D01* -X112250842Y-112036790D01* -X112242023Y-112033634D01* -X112233555Y-112029629D01* -X112225521Y-112024814D01* -X112217997Y-112019234D01* -X112211057Y-112012943D01* -X112204766Y-112006003D01* -X112199186Y-111998479D01* -X112194371Y-111990445D01* -X112190366Y-111981977D01* -X112187210Y-111973158D01* -X112184934Y-111964071D01* -X112183560Y-111954806D01* -X112183100Y-111945450D01* -X112183100Y-111754550D01* -X112183560Y-111745194D01* -X112184934Y-111735929D01* -X112187210Y-111726842D01* -X112190366Y-111718023D01* -X112194371Y-111709555D01* -X112199186Y-111701521D01* -X112204766Y-111693997D01* -X112211057Y-111687057D01* -X112217997Y-111680766D01* -X112225521Y-111675186D01* -X112233555Y-111670371D01* -X112242023Y-111666366D01* -X112250842Y-111663210D01* -X112259929Y-111660934D01* -X112269194Y-111659560D01* -X112278550Y-111659100D01* -X113521450Y-111659100D01* -X113530806Y-111659560D01* -G37* -G36* -X113530806Y-112459560D02* -G01* -X113540071Y-112460934D01* -X113549158Y-112463210D01* -X113557977Y-112466366D01* -X113566445Y-112470371D01* -X113574479Y-112475186D01* -X113582003Y-112480766D01* -X113588943Y-112487057D01* -X113595234Y-112493997D01* -X113600814Y-112501521D01* -X113605629Y-112509555D01* -X113609634Y-112518023D01* -X113612790Y-112526842D01* -X113615066Y-112535929D01* -X113616440Y-112545194D01* -X113616900Y-112554550D01* -X113616900Y-112745450D01* -X113616440Y-112754806D01* -X113615066Y-112764071D01* -X113612790Y-112773158D01* -X113609634Y-112781977D01* -X113605629Y-112790445D01* -X113600814Y-112798479D01* -X113595234Y-112806003D01* -X113588943Y-112812943D01* -X113582003Y-112819234D01* -X113574479Y-112824814D01* -X113566445Y-112829629D01* -X113557977Y-112833634D01* -X113549158Y-112836790D01* -X113540071Y-112839066D01* -X113530806Y-112840440D01* -X113521450Y-112840900D01* -X112278550Y-112840900D01* -X112269194Y-112840440D01* -X112259929Y-112839066D01* -X112250842Y-112836790D01* -X112242023Y-112833634D01* -X112233555Y-112829629D01* -X112225521Y-112824814D01* -X112217997Y-112819234D01* -X112211057Y-112812943D01* -X112204766Y-112806003D01* -X112199186Y-112798479D01* -X112194371Y-112790445D01* -X112190366Y-112781977D01* -X112187210Y-112773158D01* -X112184934Y-112764071D01* -X112183560Y-112754806D01* -X112183100Y-112745450D01* -X112183100Y-112554550D01* -X112183560Y-112545194D01* -X112184934Y-112535929D01* -X112187210Y-112526842D01* -X112190366Y-112518023D01* -X112194371Y-112509555D01* -X112199186Y-112501521D01* -X112204766Y-112493997D01* -X112211057Y-112487057D01* -X112217997Y-112480766D01* -X112225521Y-112475186D01* -X112233555Y-112470371D01* -X112242023Y-112466366D01* -X112250842Y-112463210D01* -X112259929Y-112460934D01* -X112269194Y-112459560D01* -X112278550Y-112459100D01* -X113521450Y-112459100D01* -X113530806Y-112459560D01* -G37* -G36* -X113530806Y-113259560D02* -G01* -X113540071Y-113260934D01* -X113549158Y-113263210D01* -X113557977Y-113266366D01* -X113566445Y-113270371D01* -X113574479Y-113275186D01* -X113582003Y-113280766D01* -X113588943Y-113287057D01* -X113595234Y-113293997D01* -X113600814Y-113301521D01* -X113605629Y-113309555D01* -X113609634Y-113318023D01* -X113612790Y-113326842D01* -X113615066Y-113335929D01* -X113616440Y-113345194D01* -X113616900Y-113354550D01* -X113616900Y-113545450D01* -X113616440Y-113554806D01* -X113615066Y-113564071D01* -X113612790Y-113573158D01* -X113609634Y-113581977D01* -X113605629Y-113590445D01* -X113600814Y-113598479D01* -X113595234Y-113606003D01* -X113588943Y-113612943D01* -X113582003Y-113619234D01* -X113574479Y-113624814D01* -X113566445Y-113629629D01* -X113557977Y-113633634D01* -X113549158Y-113636790D01* -X113540071Y-113639066D01* -X113530806Y-113640440D01* -X113521450Y-113640900D01* -X112278550Y-113640900D01* -X112269194Y-113640440D01* -X112259929Y-113639066D01* -X112250842Y-113636790D01* -X112242023Y-113633634D01* -X112233555Y-113629629D01* -X112225521Y-113624814D01* -X112217997Y-113619234D01* -X112211057Y-113612943D01* -X112204766Y-113606003D01* -X112199186Y-113598479D01* -X112194371Y-113590445D01* -X112190366Y-113581977D01* -X112187210Y-113573158D01* -X112184934Y-113564071D01* -X112183560Y-113554806D01* -X112183100Y-113545450D01* -X112183100Y-113354550D01* -X112183560Y-113345194D01* -X112184934Y-113335929D01* -X112187210Y-113326842D01* -X112190366Y-113318023D01* -X112194371Y-113309555D01* -X112199186Y-113301521D01* -X112204766Y-113293997D01* -X112211057Y-113287057D01* -X112217997Y-113280766D01* -X112225521Y-113275186D01* -X112233555Y-113270371D01* -X112242023Y-113266366D01* -X112250842Y-113263210D01* -X112259929Y-113260934D01* -X112269194Y-113259560D01* -X112278550Y-113259100D01* -X113521450Y-113259100D01* -X113530806Y-113259560D01* -G37* -G36* -X133199504Y-124551204D02* -G01* -X133223773Y-124554804D01* -X133247571Y-124560765D01* -X133270671Y-124569030D01* -X133292849Y-124579520D01* -X133313893Y-124592133D01* -X133333598Y-124606747D01* -X133351777Y-124623223D01* -X133368253Y-124641402D01* -X133382867Y-124661107D01* -X133395480Y-124682151D01* -X133405970Y-124704329D01* -X133414235Y-124727429D01* -X133420196Y-124751227D01* -X133423796Y-124775496D01* -X133425000Y-124800000D01* -X133425000Y-125300000D01* -X133423796Y-125324504D01* -X133420196Y-125348773D01* -X133414235Y-125372571D01* -X133405970Y-125395671D01* -X133395480Y-125417849D01* -X133382867Y-125438893D01* -X133368253Y-125458598D01* -X133351777Y-125476777D01* -X133333598Y-125493253D01* -X133313893Y-125507867D01* -X133292849Y-125520480D01* -X133270671Y-125530970D01* -X133247571Y-125539235D01* -X133223773Y-125545196D01* -X133199504Y-125548796D01* -X133175000Y-125550000D01* -X132325000Y-125550000D01* -X132300496Y-125548796D01* -X132276227Y-125545196D01* -X132252429Y-125539235D01* -X132229329Y-125530970D01* -X132207151Y-125520480D01* -X132186107Y-125507867D01* -X132166402Y-125493253D01* -X132148223Y-125476777D01* -X132131747Y-125458598D01* -X132117133Y-125438893D01* -X132104520Y-125417849D01* -X132094030Y-125395671D01* -X132085765Y-125372571D01* -X132079804Y-125348773D01* -X132076204Y-125324504D01* -X132075000Y-125300000D01* -X132075000Y-124800000D01* -X132076204Y-124775496D01* -X132079804Y-124751227D01* -X132085765Y-124727429D01* -X132094030Y-124704329D01* -X132104520Y-124682151D01* -X132117133Y-124661107D01* -X132131747Y-124641402D01* -X132148223Y-124623223D01* -X132166402Y-124606747D01* -X132186107Y-124592133D01* -X132207151Y-124579520D01* -X132229329Y-124569030D01* -X132252429Y-124560765D01* -X132276227Y-124554804D01* -X132300496Y-124551204D01* -X132325000Y-124550000D01* -X133175000Y-124550000D01* -X133199504Y-124551204D01* -G37* -G36* -X133199504Y-122851204D02* -G01* -X133223773Y-122854804D01* -X133247571Y-122860765D01* -X133270671Y-122869030D01* -X133292849Y-122879520D01* -X133313893Y-122892133D01* -X133333598Y-122906747D01* -X133351777Y-122923223D01* -X133368253Y-122941402D01* -X133382867Y-122961107D01* -X133395480Y-122982151D01* -X133405970Y-123004329D01* -X133414235Y-123027429D01* -X133420196Y-123051227D01* -X133423796Y-123075496D01* -X133425000Y-123100000D01* -X133425000Y-123600000D01* -X133423796Y-123624504D01* -X133420196Y-123648773D01* -X133414235Y-123672571D01* -X133405970Y-123695671D01* -X133395480Y-123717849D01* -X133382867Y-123738893D01* -X133368253Y-123758598D01* -X133351777Y-123776777D01* -X133333598Y-123793253D01* -X133313893Y-123807867D01* -X133292849Y-123820480D01* -X133270671Y-123830970D01* -X133247571Y-123839235D01* -X133223773Y-123845196D01* -X133199504Y-123848796D01* -X133175000Y-123850000D01* -X132325000Y-123850000D01* -X132300496Y-123848796D01* -X132276227Y-123845196D01* -X132252429Y-123839235D01* -X132229329Y-123830970D01* -X132207151Y-123820480D01* -X132186107Y-123807867D01* -X132166402Y-123793253D01* -X132148223Y-123776777D01* -X132131747Y-123758598D01* -X132117133Y-123738893D01* -X132104520Y-123717849D01* -X132094030Y-123695671D01* -X132085765Y-123672571D01* -X132079804Y-123648773D01* -X132076204Y-123624504D01* -X132075000Y-123600000D01* -X132075000Y-123100000D01* -X132076204Y-123075496D01* -X132079804Y-123051227D01* -X132085765Y-123027429D01* -X132094030Y-123004329D01* -X132104520Y-122982151D01* -X132117133Y-122961107D01* -X132131747Y-122941402D01* -X132148223Y-122923223D01* -X132166402Y-122906747D01* -X132186107Y-122892133D01* -X132207151Y-122879520D01* -X132229329Y-122869030D01* -X132252429Y-122860765D01* -X132276227Y-122854804D01* -X132300496Y-122851204D01* -X132325000Y-122850000D01* -X133175000Y-122850000D01* -X133199504Y-122851204D01* -G37* -G36* -X123112411Y-114914032D02* -G01* -X123131190Y-114916817D01* -X123149606Y-114921430D01* -X123167480Y-114927826D01* -X123184642Y-114935942D01* -X123200925Y-114945702D01* -X123216173Y-114957011D01* -X123230240Y-114969760D01* -X123242989Y-114983827D01* -X123254298Y-114999075D01* -X123264058Y-115015358D01* -X123272174Y-115032520D01* -X123278570Y-115050394D01* -X123283183Y-115068810D01* -X123285968Y-115087589D01* -X123286900Y-115106550D01* -X123286900Y-115593450D01* -X123285968Y-115612411D01* -X123283183Y-115631190D01* -X123278570Y-115649606D01* -X123272174Y-115667480D01* -X123264058Y-115684642D01* -X123254298Y-115700925D01* -X123242989Y-115716173D01* -X123230240Y-115730240D01* -X123216173Y-115742989D01* -X123200925Y-115754298D01* -X123184642Y-115764058D01* -X123167480Y-115772174D01* -X123149606Y-115778570D01* -X123131190Y-115783183D01* -X123112411Y-115785968D01* -X123093450Y-115786900D01* -X122706550Y-115786900D01* -X122687589Y-115785968D01* -X122668810Y-115783183D01* -X122650394Y-115778570D01* -X122632520Y-115772174D01* -X122615358Y-115764058D01* -X122599075Y-115754298D01* -X122583827Y-115742989D01* -X122569760Y-115730240D01* -X122557011Y-115716173D01* -X122545702Y-115700925D01* -X122535942Y-115684642D01* -X122527826Y-115667480D01* -X122521430Y-115649606D01* -X122516817Y-115631190D01* -X122514032Y-115612411D01* -X122513100Y-115593450D01* -X122513100Y-115106550D01* -X122514032Y-115087589D01* -X122516817Y-115068810D01* -X122521430Y-115050394D01* -X122527826Y-115032520D01* -X122535942Y-115015358D01* -X122545702Y-114999075D01* -X122557011Y-114983827D01* -X122569760Y-114969760D01* -X122583827Y-114957011D01* -X122599075Y-114945702D01* -X122615358Y-114935942D01* -X122632520Y-114927826D01* -X122650394Y-114921430D01* -X122668810Y-114916817D01* -X122687589Y-114914032D01* -X122706550Y-114913100D01* -X123093450Y-114913100D01* -X123112411Y-114914032D01* -G37* -G36* -X124612411Y-114914032D02* -G01* -X124631190Y-114916817D01* -X124649606Y-114921430D01* -X124667480Y-114927826D01* -X124684642Y-114935942D01* -X124700925Y-114945702D01* -X124716173Y-114957011D01* -X124730240Y-114969760D01* -X124742989Y-114983827D01* -X124754298Y-114999075D01* -X124764058Y-115015358D01* -X124772174Y-115032520D01* -X124778570Y-115050394D01* -X124783183Y-115068810D01* -X124785968Y-115087589D01* -X124786900Y-115106550D01* -X124786900Y-115593450D01* -X124785968Y-115612411D01* -X124783183Y-115631190D01* -X124778570Y-115649606D01* -X124772174Y-115667480D01* -X124764058Y-115684642D01* -X124754298Y-115700925D01* -X124742989Y-115716173D01* -X124730240Y-115730240D01* -X124716173Y-115742989D01* -X124700925Y-115754298D01* -X124684642Y-115764058D01* -X124667480Y-115772174D01* -X124649606Y-115778570D01* -X124631190Y-115783183D01* -X124612411Y-115785968D01* -X124593450Y-115786900D01* -X124206550Y-115786900D01* -X124187589Y-115785968D01* -X124168810Y-115783183D01* -X124150394Y-115778570D01* -X124132520Y-115772174D01* -X124115358Y-115764058D01* -X124099075Y-115754298D01* -X124083827Y-115742989D01* -X124069760Y-115730240D01* -X124057011Y-115716173D01* -X124045702Y-115700925D01* -X124035942Y-115684642D01* -X124027826Y-115667480D01* -X124021430Y-115649606D01* -X124016817Y-115631190D01* -X124014032Y-115612411D01* -X124013100Y-115593450D01* -X124013100Y-115106550D01* -X124014032Y-115087589D01* -X124016817Y-115068810D01* -X124021430Y-115050394D01* -X124027826Y-115032520D01* -X124035942Y-115015358D01* -X124045702Y-114999075D01* -X124057011Y-114983827D01* -X124069760Y-114969760D01* -X124083827Y-114957011D01* -X124099075Y-114945702D01* -X124115358Y-114935942D01* -X124132520Y-114927826D01* -X124150394Y-114921430D01* -X124168810Y-114916817D01* -X124187589Y-114914032D01* -X124206550Y-114913100D01* -X124593450Y-114913100D01* -X124612411Y-114914032D01* -G37* -G36* -X105801567Y-124265445D02* -G01* -X105810546Y-124266777D01* -X105819351Y-124268983D01* -X105827898Y-124272041D01* -X105836104Y-124275922D01* -X105843890Y-124280589D01* -X105851181Y-124285997D01* -X105857907Y-124292093D01* -X105864003Y-124298819D01* -X105869411Y-124306110D01* -X105874078Y-124313896D01* -X105877959Y-124322102D01* -X105881017Y-124330649D01* -X105883223Y-124339454D01* -X105884555Y-124348433D01* -X105885000Y-124357500D01* -X105885000Y-125542500D01* -X105884555Y-125551567D01* -X105883223Y-125560546D01* -X105881017Y-125569351D01* -X105877959Y-125577898D01* -X105874078Y-125586104D01* -X105869411Y-125593890D01* -X105864003Y-125601181D01* -X105857907Y-125607907D01* -X105851181Y-125614003D01* -X105843890Y-125619411D01* -X105836104Y-125624078D01* -X105827898Y-125627959D01* -X105819351Y-125631017D01* -X105810546Y-125633223D01* -X105801567Y-125634555D01* -X105792500Y-125635000D01* -X105607500Y-125635000D01* -X105598433Y-125634555D01* -X105589454Y-125633223D01* -X105580649Y-125631017D01* -X105572102Y-125627959D01* -X105563896Y-125624078D01* -X105556110Y-125619411D01* -X105548819Y-125614003D01* -X105542093Y-125607907D01* -X105535997Y-125601181D01* -X105530589Y-125593890D01* -X105525922Y-125586104D01* -X105522041Y-125577898D01* -X105518983Y-125569351D01* -X105516777Y-125560546D01* -X105515445Y-125551567D01* -X105515000Y-125542500D01* -X105515000Y-124357500D01* -X105515445Y-124348433D01* -X105516777Y-124339454D01* -X105518983Y-124330649D01* -X105522041Y-124322102D01* -X105525922Y-124313896D01* -X105530589Y-124306110D01* -X105535997Y-124298819D01* -X105542093Y-124292093D01* -X105548819Y-124285997D01* -X105556110Y-124280589D01* -X105563896Y-124275922D01* -X105572102Y-124272041D01* -X105580649Y-124268983D01* -X105589454Y-124266777D01* -X105598433Y-124265445D01* -X105607500Y-124265000D01* -X105792500Y-124265000D01* -X105801567Y-124265445D01* -G37* -G36* -X106451567Y-124265445D02* -G01* -X106460546Y-124266777D01* -X106469351Y-124268983D01* -X106477898Y-124272041D01* -X106486104Y-124275922D01* -X106493890Y-124280589D01* -X106501181Y-124285997D01* -X106507907Y-124292093D01* -X106514003Y-124298819D01* -X106519411Y-124306110D01* -X106524078Y-124313896D01* -X106527959Y-124322102D01* -X106531017Y-124330649D01* -X106533223Y-124339454D01* -X106534555Y-124348433D01* -X106535000Y-124357500D01* -X106535000Y-125542500D01* -X106534555Y-125551567D01* -X106533223Y-125560546D01* -X106531017Y-125569351D01* -X106527959Y-125577898D01* -X106524078Y-125586104D01* -X106519411Y-125593890D01* -X106514003Y-125601181D01* -X106507907Y-125607907D01* -X106501181Y-125614003D01* -X106493890Y-125619411D01* -X106486104Y-125624078D01* -X106477898Y-125627959D01* -X106469351Y-125631017D01* -X106460546Y-125633223D01* -X106451567Y-125634555D01* -X106442500Y-125635000D01* -X106257500Y-125635000D01* -X106248433Y-125634555D01* -X106239454Y-125633223D01* -X106230649Y-125631017D01* -X106222102Y-125627959D01* -X106213896Y-125624078D01* -X106206110Y-125619411D01* -X106198819Y-125614003D01* -X106192093Y-125607907D01* -X106185997Y-125601181D01* -X106180589Y-125593890D01* -X106175922Y-125586104D01* -X106172041Y-125577898D01* -X106168983Y-125569351D01* -X106166777Y-125560546D01* -X106165445Y-125551567D01* -X106165000Y-125542500D01* -X106165000Y-124357500D01* -X106165445Y-124348433D01* -X106166777Y-124339454D01* -X106168983Y-124330649D01* -X106172041Y-124322102D01* -X106175922Y-124313896D01* -X106180589Y-124306110D01* -X106185997Y-124298819D01* -X106192093Y-124292093D01* -X106198819Y-124285997D01* -X106206110Y-124280589D01* -X106213896Y-124275922D01* -X106222102Y-124272041D01* -X106230649Y-124268983D01* -X106239454Y-124266777D01* -X106248433Y-124265445D01* -X106257500Y-124265000D01* -X106442500Y-124265000D01* -X106451567Y-124265445D01* -G37* -G36* -X107101567Y-124265445D02* -G01* -X107110546Y-124266777D01* -X107119351Y-124268983D01* -X107127898Y-124272041D01* -X107136104Y-124275922D01* -X107143890Y-124280589D01* -X107151181Y-124285997D01* -X107157907Y-124292093D01* -X107164003Y-124298819D01* -X107169411Y-124306110D01* -X107174078Y-124313896D01* -X107177959Y-124322102D01* -X107181017Y-124330649D01* -X107183223Y-124339454D01* -X107184555Y-124348433D01* -X107185000Y-124357500D01* -X107185000Y-125542500D01* -X107184555Y-125551567D01* -X107183223Y-125560546D01* -X107181017Y-125569351D01* -X107177959Y-125577898D01* -X107174078Y-125586104D01* -X107169411Y-125593890D01* -X107164003Y-125601181D01* -X107157907Y-125607907D01* -X107151181Y-125614003D01* -X107143890Y-125619411D01* -X107136104Y-125624078D01* -X107127898Y-125627959D01* -X107119351Y-125631017D01* -X107110546Y-125633223D01* -X107101567Y-125634555D01* -X107092500Y-125635000D01* -X106907500Y-125635000D01* -X106898433Y-125634555D01* -X106889454Y-125633223D01* -X106880649Y-125631017D01* -X106872102Y-125627959D01* -X106863896Y-125624078D01* -X106856110Y-125619411D01* -X106848819Y-125614003D01* -X106842093Y-125607907D01* -X106835997Y-125601181D01* -X106830589Y-125593890D01* -X106825922Y-125586104D01* -X106822041Y-125577898D01* -X106818983Y-125569351D01* -X106816777Y-125560546D01* -X106815445Y-125551567D01* -X106815000Y-125542500D01* -X106815000Y-124357500D01* -X106815445Y-124348433D01* -X106816777Y-124339454D01* -X106818983Y-124330649D01* -X106822041Y-124322102D01* -X106825922Y-124313896D01* -X106830589Y-124306110D01* -X106835997Y-124298819D01* -X106842093Y-124292093D01* -X106848819Y-124285997D01* -X106856110Y-124280589D01* -X106863896Y-124275922D01* -X106872102Y-124272041D01* -X106880649Y-124268983D01* -X106889454Y-124266777D01* -X106898433Y-124265445D01* -X106907500Y-124265000D01* -X107092500Y-124265000D01* -X107101567Y-124265445D01* -G37* -G36* -X107751567Y-124265445D02* -G01* -X107760546Y-124266777D01* -X107769351Y-124268983D01* -X107777898Y-124272041D01* -X107786104Y-124275922D01* -X107793890Y-124280589D01* -X107801181Y-124285997D01* -X107807907Y-124292093D01* -X107814003Y-124298819D01* -X107819411Y-124306110D01* -X107824078Y-124313896D01* -X107827959Y-124322102D01* -X107831017Y-124330649D01* -X107833223Y-124339454D01* -X107834555Y-124348433D01* -X107835000Y-124357500D01* -X107835000Y-125542500D01* -X107834555Y-125551567D01* -X107833223Y-125560546D01* -X107831017Y-125569351D01* -X107827959Y-125577898D01* -X107824078Y-125586104D01* -X107819411Y-125593890D01* -X107814003Y-125601181D01* -X107807907Y-125607907D01* -X107801181Y-125614003D01* -X107793890Y-125619411D01* -X107786104Y-125624078D01* -X107777898Y-125627959D01* -X107769351Y-125631017D01* -X107760546Y-125633223D01* -X107751567Y-125634555D01* -X107742500Y-125635000D01* -X107557500Y-125635000D01* -X107548433Y-125634555D01* -X107539454Y-125633223D01* -X107530649Y-125631017D01* -X107522102Y-125627959D01* -X107513896Y-125624078D01* -X107506110Y-125619411D01* -X107498819Y-125614003D01* -X107492093Y-125607907D01* -X107485997Y-125601181D01* -X107480589Y-125593890D01* -X107475922Y-125586104D01* -X107472041Y-125577898D01* -X107468983Y-125569351D01* -X107466777Y-125560546D01* -X107465445Y-125551567D01* -X107465000Y-125542500D01* -X107465000Y-124357500D01* -X107465445Y-124348433D01* -X107466777Y-124339454D01* -X107468983Y-124330649D01* -X107472041Y-124322102D01* -X107475922Y-124313896D01* -X107480589Y-124306110D01* -X107485997Y-124298819D01* -X107492093Y-124292093D01* -X107498819Y-124285997D01* -X107506110Y-124280589D01* -X107513896Y-124275922D01* -X107522102Y-124272041D01* -X107530649Y-124268983D01* -X107539454Y-124266777D01* -X107548433Y-124265445D01* -X107557500Y-124265000D01* -X107742500Y-124265000D01* -X107751567Y-124265445D01* -G37* -G36* -X108401567Y-124265445D02* -G01* -X108410546Y-124266777D01* -X108419351Y-124268983D01* -X108427898Y-124272041D01* -X108436104Y-124275922D01* -X108443890Y-124280589D01* -X108451181Y-124285997D01* -X108457907Y-124292093D01* -X108464003Y-124298819D01* -X108469411Y-124306110D01* -X108474078Y-124313896D01* -X108477959Y-124322102D01* -X108481017Y-124330649D01* -X108483223Y-124339454D01* -X108484555Y-124348433D01* -X108485000Y-124357500D01* -X108485000Y-125542500D01* -X108484555Y-125551567D01* -X108483223Y-125560546D01* -X108481017Y-125569351D01* -X108477959Y-125577898D01* -X108474078Y-125586104D01* -X108469411Y-125593890D01* -X108464003Y-125601181D01* -X108457907Y-125607907D01* -X108451181Y-125614003D01* -X108443890Y-125619411D01* -X108436104Y-125624078D01* -X108427898Y-125627959D01* -X108419351Y-125631017D01* -X108410546Y-125633223D01* -X108401567Y-125634555D01* -X108392500Y-125635000D01* -X108207500Y-125635000D01* -X108198433Y-125634555D01* -X108189454Y-125633223D01* -X108180649Y-125631017D01* -X108172102Y-125627959D01* -X108163896Y-125624078D01* -X108156110Y-125619411D01* -X108148819Y-125614003D01* -X108142093Y-125607907D01* -X108135997Y-125601181D01* -X108130589Y-125593890D01* -X108125922Y-125586104D01* -X108122041Y-125577898D01* -X108118983Y-125569351D01* -X108116777Y-125560546D01* -X108115445Y-125551567D01* -X108115000Y-125542500D01* -X108115000Y-124357500D01* -X108115445Y-124348433D01* -X108116777Y-124339454D01* -X108118983Y-124330649D01* -X108122041Y-124322102D01* -X108125922Y-124313896D01* -X108130589Y-124306110D01* -X108135997Y-124298819D01* -X108142093Y-124292093D01* -X108148819Y-124285997D01* -X108156110Y-124280589D01* -X108163896Y-124275922D01* -X108172102Y-124272041D01* -X108180649Y-124268983D01* -X108189454Y-124266777D01* -X108198433Y-124265445D01* -X108207500Y-124265000D01* -X108392500Y-124265000D01* -X108401567Y-124265445D01* -G37* -G36* -X109051567Y-124265445D02* -G01* -X109060546Y-124266777D01* -X109069351Y-124268983D01* -X109077898Y-124272041D01* -X109086104Y-124275922D01* -X109093890Y-124280589D01* -X109101181Y-124285997D01* -X109107907Y-124292093D01* -X109114003Y-124298819D01* -X109119411Y-124306110D01* -X109124078Y-124313896D01* -X109127959Y-124322102D01* -X109131017Y-124330649D01* -X109133223Y-124339454D01* -X109134555Y-124348433D01* -X109135000Y-124357500D01* -X109135000Y-125542500D01* -X109134555Y-125551567D01* -X109133223Y-125560546D01* -X109131017Y-125569351D01* -X109127959Y-125577898D01* -X109124078Y-125586104D01* -X109119411Y-125593890D01* -X109114003Y-125601181D01* -X109107907Y-125607907D01* -X109101181Y-125614003D01* -X109093890Y-125619411D01* -X109086104Y-125624078D01* -X109077898Y-125627959D01* -X109069351Y-125631017D01* -X109060546Y-125633223D01* -X109051567Y-125634555D01* -X109042500Y-125635000D01* -X108857500Y-125635000D01* -X108848433Y-125634555D01* -X108839454Y-125633223D01* -X108830649Y-125631017D01* -X108822102Y-125627959D01* -X108813896Y-125624078D01* -X108806110Y-125619411D01* -X108798819Y-125614003D01* -X108792093Y-125607907D01* -X108785997Y-125601181D01* -X108780589Y-125593890D01* -X108775922Y-125586104D01* -X108772041Y-125577898D01* -X108768983Y-125569351D01* -X108766777Y-125560546D01* -X108765445Y-125551567D01* -X108765000Y-125542500D01* -X108765000Y-124357500D01* -X108765445Y-124348433D01* -X108766777Y-124339454D01* -X108768983Y-124330649D01* -X108772041Y-124322102D01* -X108775922Y-124313896D01* -X108780589Y-124306110D01* -X108785997Y-124298819D01* -X108792093Y-124292093D01* -X108798819Y-124285997D01* -X108806110Y-124280589D01* -X108813896Y-124275922D01* -X108822102Y-124272041D01* -X108830649Y-124268983D01* -X108839454Y-124266777D01* -X108848433Y-124265445D01* -X108857500Y-124265000D01* -X109042500Y-124265000D01* -X109051567Y-124265445D01* -G37* -G36* -X109701567Y-124265445D02* -G01* -X109710546Y-124266777D01* -X109719351Y-124268983D01* -X109727898Y-124272041D01* -X109736104Y-124275922D01* -X109743890Y-124280589D01* -X109751181Y-124285997D01* -X109757907Y-124292093D01* -X109764003Y-124298819D01* -X109769411Y-124306110D01* -X109774078Y-124313896D01* -X109777959Y-124322102D01* -X109781017Y-124330649D01* -X109783223Y-124339454D01* -X109784555Y-124348433D01* -X109785000Y-124357500D01* -X109785000Y-125542500D01* -X109784555Y-125551567D01* -X109783223Y-125560546D01* -X109781017Y-125569351D01* -X109777959Y-125577898D01* -X109774078Y-125586104D01* -X109769411Y-125593890D01* -X109764003Y-125601181D01* -X109757907Y-125607907D01* -X109751181Y-125614003D01* -X109743890Y-125619411D01* -X109736104Y-125624078D01* -X109727898Y-125627959D01* -X109719351Y-125631017D01* -X109710546Y-125633223D01* -X109701567Y-125634555D01* -X109692500Y-125635000D01* -X109507500Y-125635000D01* -X109498433Y-125634555D01* -X109489454Y-125633223D01* -X109480649Y-125631017D01* -X109472102Y-125627959D01* -X109463896Y-125624078D01* -X109456110Y-125619411D01* -X109448819Y-125614003D01* -X109442093Y-125607907D01* -X109435997Y-125601181D01* -X109430589Y-125593890D01* -X109425922Y-125586104D01* -X109422041Y-125577898D01* -X109418983Y-125569351D01* -X109416777Y-125560546D01* -X109415445Y-125551567D01* -X109415000Y-125542500D01* -X109415000Y-124357500D01* -X109415445Y-124348433D01* -X109416777Y-124339454D01* -X109418983Y-124330649D01* -X109422041Y-124322102D01* -X109425922Y-124313896D01* -X109430589Y-124306110D01* -X109435997Y-124298819D01* -X109442093Y-124292093D01* -X109448819Y-124285997D01* -X109456110Y-124280589D01* -X109463896Y-124275922D01* -X109472102Y-124272041D01* -X109480649Y-124268983D01* -X109489454Y-124266777D01* -X109498433Y-124265445D01* -X109507500Y-124265000D01* -X109692500Y-124265000D01* -X109701567Y-124265445D01* -G37* -G36* -X110351567Y-124265445D02* -G01* -X110360546Y-124266777D01* -X110369351Y-124268983D01* -X110377898Y-124272041D01* -X110386104Y-124275922D01* -X110393890Y-124280589D01* -X110401181Y-124285997D01* -X110407907Y-124292093D01* -X110414003Y-124298819D01* -X110419411Y-124306110D01* -X110424078Y-124313896D01* -X110427959Y-124322102D01* -X110431017Y-124330649D01* -X110433223Y-124339454D01* -X110434555Y-124348433D01* -X110435000Y-124357500D01* -X110435000Y-125542500D01* -X110434555Y-125551567D01* -X110433223Y-125560546D01* -X110431017Y-125569351D01* -X110427959Y-125577898D01* -X110424078Y-125586104D01* -X110419411Y-125593890D01* -X110414003Y-125601181D01* -X110407907Y-125607907D01* -X110401181Y-125614003D01* -X110393890Y-125619411D01* -X110386104Y-125624078D01* -X110377898Y-125627959D01* -X110369351Y-125631017D01* -X110360546Y-125633223D01* -X110351567Y-125634555D01* -X110342500Y-125635000D01* -X110157500Y-125635000D01* -X110148433Y-125634555D01* -X110139454Y-125633223D01* -X110130649Y-125631017D01* -X110122102Y-125627959D01* -X110113896Y-125624078D01* -X110106110Y-125619411D01* -X110098819Y-125614003D01* -X110092093Y-125607907D01* -X110085997Y-125601181D01* -X110080589Y-125593890D01* -X110075922Y-125586104D01* -X110072041Y-125577898D01* -X110068983Y-125569351D01* -X110066777Y-125560546D01* -X110065445Y-125551567D01* -X110065000Y-125542500D01* -X110065000Y-124357500D01* -X110065445Y-124348433D01* -X110066777Y-124339454D01* -X110068983Y-124330649D01* -X110072041Y-124322102D01* -X110075922Y-124313896D01* -X110080589Y-124306110D01* -X110085997Y-124298819D01* -X110092093Y-124292093D01* -X110098819Y-124285997D01* -X110106110Y-124280589D01* -X110113896Y-124275922D01* -X110122102Y-124272041D01* -X110130649Y-124268983D01* -X110139454Y-124266777D01* -X110148433Y-124265445D01* -X110157500Y-124265000D01* -X110342500Y-124265000D01* -X110351567Y-124265445D01* -G37* -G36* -X111001567Y-124265445D02* -G01* -X111010546Y-124266777D01* -X111019351Y-124268983D01* -X111027898Y-124272041D01* -X111036104Y-124275922D01* -X111043890Y-124280589D01* -X111051181Y-124285997D01* -X111057907Y-124292093D01* -X111064003Y-124298819D01* -X111069411Y-124306110D01* -X111074078Y-124313896D01* -X111077959Y-124322102D01* -X111081017Y-124330649D01* -X111083223Y-124339454D01* -X111084555Y-124348433D01* -X111085000Y-124357500D01* -X111085000Y-125542500D01* -X111084555Y-125551567D01* -X111083223Y-125560546D01* -X111081017Y-125569351D01* -X111077959Y-125577898D01* -X111074078Y-125586104D01* -X111069411Y-125593890D01* -X111064003Y-125601181D01* -X111057907Y-125607907D01* -X111051181Y-125614003D01* -X111043890Y-125619411D01* -X111036104Y-125624078D01* -X111027898Y-125627959D01* -X111019351Y-125631017D01* -X111010546Y-125633223D01* -X111001567Y-125634555D01* -X110992500Y-125635000D01* -X110807500Y-125635000D01* -X110798433Y-125634555D01* -X110789454Y-125633223D01* -X110780649Y-125631017D01* -X110772102Y-125627959D01* -X110763896Y-125624078D01* -X110756110Y-125619411D01* -X110748819Y-125614003D01* -X110742093Y-125607907D01* -X110735997Y-125601181D01* -X110730589Y-125593890D01* -X110725922Y-125586104D01* -X110722041Y-125577898D01* -X110718983Y-125569351D01* -X110716777Y-125560546D01* -X110715445Y-125551567D01* -X110715000Y-125542500D01* -X110715000Y-124357500D01* -X110715445Y-124348433D01* -X110716777Y-124339454D01* -X110718983Y-124330649D01* -X110722041Y-124322102D01* -X110725922Y-124313896D01* -X110730589Y-124306110D01* -X110735997Y-124298819D01* -X110742093Y-124292093D01* -X110748819Y-124285997D01* -X110756110Y-124280589D01* -X110763896Y-124275922D01* -X110772102Y-124272041D01* -X110780649Y-124268983D01* -X110789454Y-124266777D01* -X110798433Y-124265445D01* -X110807500Y-124265000D01* -X110992500Y-124265000D01* -X111001567Y-124265445D01* -G37* -G36* -X111651567Y-124265445D02* -G01* -X111660546Y-124266777D01* -X111669351Y-124268983D01* -X111677898Y-124272041D01* -X111686104Y-124275922D01* -X111693890Y-124280589D01* -X111701181Y-124285997D01* -X111707907Y-124292093D01* -X111714003Y-124298819D01* -X111719411Y-124306110D01* -X111724078Y-124313896D01* -X111727959Y-124322102D01* -X111731017Y-124330649D01* -X111733223Y-124339454D01* -X111734555Y-124348433D01* -X111735000Y-124357500D01* -X111735000Y-125542500D01* -X111734555Y-125551567D01* -X111733223Y-125560546D01* -X111731017Y-125569351D01* -X111727959Y-125577898D01* -X111724078Y-125586104D01* -X111719411Y-125593890D01* -X111714003Y-125601181D01* -X111707907Y-125607907D01* -X111701181Y-125614003D01* -X111693890Y-125619411D01* -X111686104Y-125624078D01* -X111677898Y-125627959D01* -X111669351Y-125631017D01* -X111660546Y-125633223D01* -X111651567Y-125634555D01* -X111642500Y-125635000D01* -X111457500Y-125635000D01* -X111448433Y-125634555D01* -X111439454Y-125633223D01* -X111430649Y-125631017D01* -X111422102Y-125627959D01* -X111413896Y-125624078D01* -X111406110Y-125619411D01* -X111398819Y-125614003D01* -X111392093Y-125607907D01* -X111385997Y-125601181D01* -X111380589Y-125593890D01* -X111375922Y-125586104D01* -X111372041Y-125577898D01* -X111368983Y-125569351D01* -X111366777Y-125560546D01* -X111365445Y-125551567D01* -X111365000Y-125542500D01* -X111365000Y-124357500D01* -X111365445Y-124348433D01* -X111366777Y-124339454D01* -X111368983Y-124330649D01* -X111372041Y-124322102D01* -X111375922Y-124313896D01* -X111380589Y-124306110D01* -X111385997Y-124298819D01* -X111392093Y-124292093D01* -X111398819Y-124285997D01* -X111406110Y-124280589D01* -X111413896Y-124275922D01* -X111422102Y-124272041D01* -X111430649Y-124268983D01* -X111439454Y-124266777D01* -X111448433Y-124265445D01* -X111457500Y-124265000D01* -X111642500Y-124265000D01* -X111651567Y-124265445D01* -G37* -G36* -X111651567Y-118365445D02* -G01* -X111660546Y-118366777D01* -X111669351Y-118368983D01* -X111677898Y-118372041D01* -X111686104Y-118375922D01* -X111693890Y-118380589D01* -X111701181Y-118385997D01* -X111707907Y-118392093D01* -X111714003Y-118398819D01* -X111719411Y-118406110D01* -X111724078Y-118413896D01* -X111727959Y-118422102D01* -X111731017Y-118430649D01* -X111733223Y-118439454D01* -X111734555Y-118448433D01* -X111735000Y-118457500D01* -X111735000Y-119642500D01* -X111734555Y-119651567D01* -X111733223Y-119660546D01* -X111731017Y-119669351D01* -X111727959Y-119677898D01* -X111724078Y-119686104D01* -X111719411Y-119693890D01* -X111714003Y-119701181D01* -X111707907Y-119707907D01* -X111701181Y-119714003D01* -X111693890Y-119719411D01* -X111686104Y-119724078D01* -X111677898Y-119727959D01* -X111669351Y-119731017D01* -X111660546Y-119733223D01* -X111651567Y-119734555D01* -X111642500Y-119735000D01* -X111457500Y-119735000D01* -X111448433Y-119734555D01* -X111439454Y-119733223D01* -X111430649Y-119731017D01* -X111422102Y-119727959D01* -X111413896Y-119724078D01* -X111406110Y-119719411D01* -X111398819Y-119714003D01* -X111392093Y-119707907D01* -X111385997Y-119701181D01* -X111380589Y-119693890D01* -X111375922Y-119686104D01* -X111372041Y-119677898D01* -X111368983Y-119669351D01* -X111366777Y-119660546D01* -X111365445Y-119651567D01* -X111365000Y-119642500D01* -X111365000Y-118457500D01* -X111365445Y-118448433D01* -X111366777Y-118439454D01* -X111368983Y-118430649D01* -X111372041Y-118422102D01* -X111375922Y-118413896D01* -X111380589Y-118406110D01* -X111385997Y-118398819D01* -X111392093Y-118392093D01* -X111398819Y-118385997D01* -X111406110Y-118380589D01* -X111413896Y-118375922D01* -X111422102Y-118372041D01* -X111430649Y-118368983D01* -X111439454Y-118366777D01* -X111448433Y-118365445D01* -X111457500Y-118365000D01* -X111642500Y-118365000D01* -X111651567Y-118365445D01* -G37* -G36* -X111001567Y-118365445D02* -G01* -X111010546Y-118366777D01* -X111019351Y-118368983D01* -X111027898Y-118372041D01* -X111036104Y-118375922D01* -X111043890Y-118380589D01* -X111051181Y-118385997D01* -X111057907Y-118392093D01* -X111064003Y-118398819D01* -X111069411Y-118406110D01* -X111074078Y-118413896D01* -X111077959Y-118422102D01* -X111081017Y-118430649D01* -X111083223Y-118439454D01* -X111084555Y-118448433D01* -X111085000Y-118457500D01* -X111085000Y-119642500D01* -X111084555Y-119651567D01* -X111083223Y-119660546D01* -X111081017Y-119669351D01* -X111077959Y-119677898D01* -X111074078Y-119686104D01* -X111069411Y-119693890D01* -X111064003Y-119701181D01* -X111057907Y-119707907D01* -X111051181Y-119714003D01* -X111043890Y-119719411D01* -X111036104Y-119724078D01* -X111027898Y-119727959D01* -X111019351Y-119731017D01* -X111010546Y-119733223D01* -X111001567Y-119734555D01* -X110992500Y-119735000D01* -X110807500Y-119735000D01* -X110798433Y-119734555D01* -X110789454Y-119733223D01* -X110780649Y-119731017D01* -X110772102Y-119727959D01* -X110763896Y-119724078D01* -X110756110Y-119719411D01* -X110748819Y-119714003D01* -X110742093Y-119707907D01* -X110735997Y-119701181D01* -X110730589Y-119693890D01* -X110725922Y-119686104D01* -X110722041Y-119677898D01* -X110718983Y-119669351D01* -X110716777Y-119660546D01* -X110715445Y-119651567D01* -X110715000Y-119642500D01* -X110715000Y-118457500D01* -X110715445Y-118448433D01* -X110716777Y-118439454D01* -X110718983Y-118430649D01* -X110722041Y-118422102D01* -X110725922Y-118413896D01* -X110730589Y-118406110D01* -X110735997Y-118398819D01* -X110742093Y-118392093D01* -X110748819Y-118385997D01* -X110756110Y-118380589D01* -X110763896Y-118375922D01* -X110772102Y-118372041D01* -X110780649Y-118368983D01* -X110789454Y-118366777D01* -X110798433Y-118365445D01* -X110807500Y-118365000D01* -X110992500Y-118365000D01* -X111001567Y-118365445D01* -G37* -G36* -X110351567Y-118365445D02* -G01* -X110360546Y-118366777D01* -X110369351Y-118368983D01* -X110377898Y-118372041D01* -X110386104Y-118375922D01* -X110393890Y-118380589D01* -X110401181Y-118385997D01* -X110407907Y-118392093D01* -X110414003Y-118398819D01* -X110419411Y-118406110D01* -X110424078Y-118413896D01* -X110427959Y-118422102D01* -X110431017Y-118430649D01* -X110433223Y-118439454D01* -X110434555Y-118448433D01* -X110435000Y-118457500D01* -X110435000Y-119642500D01* -X110434555Y-119651567D01* -X110433223Y-119660546D01* -X110431017Y-119669351D01* -X110427959Y-119677898D01* -X110424078Y-119686104D01* -X110419411Y-119693890D01* -X110414003Y-119701181D01* -X110407907Y-119707907D01* -X110401181Y-119714003D01* -X110393890Y-119719411D01* -X110386104Y-119724078D01* -X110377898Y-119727959D01* -X110369351Y-119731017D01* -X110360546Y-119733223D01* -X110351567Y-119734555D01* -X110342500Y-119735000D01* -X110157500Y-119735000D01* -X110148433Y-119734555D01* -X110139454Y-119733223D01* -X110130649Y-119731017D01* -X110122102Y-119727959D01* -X110113896Y-119724078D01* -X110106110Y-119719411D01* -X110098819Y-119714003D01* -X110092093Y-119707907D01* -X110085997Y-119701181D01* -X110080589Y-119693890D01* -X110075922Y-119686104D01* -X110072041Y-119677898D01* -X110068983Y-119669351D01* -X110066777Y-119660546D01* -X110065445Y-119651567D01* -X110065000Y-119642500D01* -X110065000Y-118457500D01* -X110065445Y-118448433D01* -X110066777Y-118439454D01* -X110068983Y-118430649D01* -X110072041Y-118422102D01* -X110075922Y-118413896D01* -X110080589Y-118406110D01* -X110085997Y-118398819D01* -X110092093Y-118392093D01* -X110098819Y-118385997D01* -X110106110Y-118380589D01* -X110113896Y-118375922D01* -X110122102Y-118372041D01* -X110130649Y-118368983D01* -X110139454Y-118366777D01* -X110148433Y-118365445D01* -X110157500Y-118365000D01* -X110342500Y-118365000D01* -X110351567Y-118365445D01* -G37* -G36* -X109701567Y-118365445D02* -G01* -X109710546Y-118366777D01* -X109719351Y-118368983D01* -X109727898Y-118372041D01* -X109736104Y-118375922D01* -X109743890Y-118380589D01* -X109751181Y-118385997D01* -X109757907Y-118392093D01* -X109764003Y-118398819D01* -X109769411Y-118406110D01* -X109774078Y-118413896D01* -X109777959Y-118422102D01* -X109781017Y-118430649D01* -X109783223Y-118439454D01* -X109784555Y-118448433D01* -X109785000Y-118457500D01* -X109785000Y-119642500D01* -X109784555Y-119651567D01* -X109783223Y-119660546D01* -X109781017Y-119669351D01* -X109777959Y-119677898D01* -X109774078Y-119686104D01* -X109769411Y-119693890D01* -X109764003Y-119701181D01* -X109757907Y-119707907D01* -X109751181Y-119714003D01* -X109743890Y-119719411D01* -X109736104Y-119724078D01* -X109727898Y-119727959D01* -X109719351Y-119731017D01* -X109710546Y-119733223D01* -X109701567Y-119734555D01* -X109692500Y-119735000D01* -X109507500Y-119735000D01* -X109498433Y-119734555D01* -X109489454Y-119733223D01* -X109480649Y-119731017D01* -X109472102Y-119727959D01* -X109463896Y-119724078D01* -X109456110Y-119719411D01* -X109448819Y-119714003D01* -X109442093Y-119707907D01* -X109435997Y-119701181D01* -X109430589Y-119693890D01* -X109425922Y-119686104D01* -X109422041Y-119677898D01* -X109418983Y-119669351D01* -X109416777Y-119660546D01* -X109415445Y-119651567D01* -X109415000Y-119642500D01* -X109415000Y-118457500D01* -X109415445Y-118448433D01* -X109416777Y-118439454D01* -X109418983Y-118430649D01* -X109422041Y-118422102D01* -X109425922Y-118413896D01* -X109430589Y-118406110D01* -X109435997Y-118398819D01* -X109442093Y-118392093D01* -X109448819Y-118385997D01* -X109456110Y-118380589D01* -X109463896Y-118375922D01* -X109472102Y-118372041D01* -X109480649Y-118368983D01* -X109489454Y-118366777D01* -X109498433Y-118365445D01* -X109507500Y-118365000D01* -X109692500Y-118365000D01* -X109701567Y-118365445D01* -G37* -G36* -X109051567Y-118365445D02* -G01* -X109060546Y-118366777D01* -X109069351Y-118368983D01* -X109077898Y-118372041D01* -X109086104Y-118375922D01* -X109093890Y-118380589D01* -X109101181Y-118385997D01* -X109107907Y-118392093D01* -X109114003Y-118398819D01* -X109119411Y-118406110D01* -X109124078Y-118413896D01* -X109127959Y-118422102D01* -X109131017Y-118430649D01* -X109133223Y-118439454D01* -X109134555Y-118448433D01* -X109135000Y-118457500D01* -X109135000Y-119642500D01* -X109134555Y-119651567D01* -X109133223Y-119660546D01* -X109131017Y-119669351D01* -X109127959Y-119677898D01* -X109124078Y-119686104D01* -X109119411Y-119693890D01* -X109114003Y-119701181D01* -X109107907Y-119707907D01* -X109101181Y-119714003D01* -X109093890Y-119719411D01* -X109086104Y-119724078D01* -X109077898Y-119727959D01* -X109069351Y-119731017D01* -X109060546Y-119733223D01* -X109051567Y-119734555D01* -X109042500Y-119735000D01* -X108857500Y-119735000D01* -X108848433Y-119734555D01* -X108839454Y-119733223D01* -X108830649Y-119731017D01* -X108822102Y-119727959D01* -X108813896Y-119724078D01* -X108806110Y-119719411D01* -X108798819Y-119714003D01* -X108792093Y-119707907D01* -X108785997Y-119701181D01* -X108780589Y-119693890D01* -X108775922Y-119686104D01* -X108772041Y-119677898D01* -X108768983Y-119669351D01* -X108766777Y-119660546D01* -X108765445Y-119651567D01* -X108765000Y-119642500D01* -X108765000Y-118457500D01* -X108765445Y-118448433D01* -X108766777Y-118439454D01* -X108768983Y-118430649D01* -X108772041Y-118422102D01* -X108775922Y-118413896D01* -X108780589Y-118406110D01* -X108785997Y-118398819D01* -X108792093Y-118392093D01* -X108798819Y-118385997D01* -X108806110Y-118380589D01* -X108813896Y-118375922D01* -X108822102Y-118372041D01* -X108830649Y-118368983D01* -X108839454Y-118366777D01* -X108848433Y-118365445D01* -X108857500Y-118365000D01* -X109042500Y-118365000D01* -X109051567Y-118365445D01* -G37* -G36* -X108401567Y-118365445D02* -G01* -X108410546Y-118366777D01* -X108419351Y-118368983D01* -X108427898Y-118372041D01* -X108436104Y-118375922D01* -X108443890Y-118380589D01* -X108451181Y-118385997D01* -X108457907Y-118392093D01* -X108464003Y-118398819D01* -X108469411Y-118406110D01* -X108474078Y-118413896D01* -X108477959Y-118422102D01* -X108481017Y-118430649D01* -X108483223Y-118439454D01* -X108484555Y-118448433D01* -X108485000Y-118457500D01* -X108485000Y-119642500D01* -X108484555Y-119651567D01* -X108483223Y-119660546D01* -X108481017Y-119669351D01* -X108477959Y-119677898D01* -X108474078Y-119686104D01* -X108469411Y-119693890D01* -X108464003Y-119701181D01* -X108457907Y-119707907D01* -X108451181Y-119714003D01* -X108443890Y-119719411D01* -X108436104Y-119724078D01* -X108427898Y-119727959D01* -X108419351Y-119731017D01* -X108410546Y-119733223D01* -X108401567Y-119734555D01* -X108392500Y-119735000D01* -X108207500Y-119735000D01* -X108198433Y-119734555D01* -X108189454Y-119733223D01* -X108180649Y-119731017D01* -X108172102Y-119727959D01* -X108163896Y-119724078D01* -X108156110Y-119719411D01* -X108148819Y-119714003D01* -X108142093Y-119707907D01* -X108135997Y-119701181D01* -X108130589Y-119693890D01* -X108125922Y-119686104D01* -X108122041Y-119677898D01* -X108118983Y-119669351D01* -X108116777Y-119660546D01* -X108115445Y-119651567D01* -X108115000Y-119642500D01* -X108115000Y-118457500D01* -X108115445Y-118448433D01* -X108116777Y-118439454D01* -X108118983Y-118430649D01* -X108122041Y-118422102D01* -X108125922Y-118413896D01* -X108130589Y-118406110D01* -X108135997Y-118398819D01* -X108142093Y-118392093D01* -X108148819Y-118385997D01* -X108156110Y-118380589D01* -X108163896Y-118375922D01* -X108172102Y-118372041D01* -X108180649Y-118368983D01* -X108189454Y-118366777D01* -X108198433Y-118365445D01* -X108207500Y-118365000D01* -X108392500Y-118365000D01* -X108401567Y-118365445D01* -G37* -G36* -X107751567Y-118365445D02* -G01* -X107760546Y-118366777D01* -X107769351Y-118368983D01* -X107777898Y-118372041D01* -X107786104Y-118375922D01* -X107793890Y-118380589D01* -X107801181Y-118385997D01* -X107807907Y-118392093D01* -X107814003Y-118398819D01* -X107819411Y-118406110D01* -X107824078Y-118413896D01* -X107827959Y-118422102D01* -X107831017Y-118430649D01* -X107833223Y-118439454D01* -X107834555Y-118448433D01* -X107835000Y-118457500D01* -X107835000Y-119642500D01* -X107834555Y-119651567D01* -X107833223Y-119660546D01* -X107831017Y-119669351D01* -X107827959Y-119677898D01* -X107824078Y-119686104D01* -X107819411Y-119693890D01* -X107814003Y-119701181D01* -X107807907Y-119707907D01* -X107801181Y-119714003D01* -X107793890Y-119719411D01* -X107786104Y-119724078D01* -X107777898Y-119727959D01* -X107769351Y-119731017D01* -X107760546Y-119733223D01* -X107751567Y-119734555D01* -X107742500Y-119735000D01* -X107557500Y-119735000D01* -X107548433Y-119734555D01* -X107539454Y-119733223D01* -X107530649Y-119731017D01* -X107522102Y-119727959D01* -X107513896Y-119724078D01* -X107506110Y-119719411D01* -X107498819Y-119714003D01* -X107492093Y-119707907D01* -X107485997Y-119701181D01* -X107480589Y-119693890D01* -X107475922Y-119686104D01* -X107472041Y-119677898D01* -X107468983Y-119669351D01* -X107466777Y-119660546D01* -X107465445Y-119651567D01* -X107465000Y-119642500D01* -X107465000Y-118457500D01* -X107465445Y-118448433D01* -X107466777Y-118439454D01* -X107468983Y-118430649D01* -X107472041Y-118422102D01* -X107475922Y-118413896D01* -X107480589Y-118406110D01* -X107485997Y-118398819D01* -X107492093Y-118392093D01* -X107498819Y-118385997D01* -X107506110Y-118380589D01* -X107513896Y-118375922D01* -X107522102Y-118372041D01* -X107530649Y-118368983D01* -X107539454Y-118366777D01* -X107548433Y-118365445D01* -X107557500Y-118365000D01* -X107742500Y-118365000D01* -X107751567Y-118365445D01* -G37* -G36* -X107101567Y-118365445D02* -G01* -X107110546Y-118366777D01* -X107119351Y-118368983D01* -X107127898Y-118372041D01* -X107136104Y-118375922D01* -X107143890Y-118380589D01* -X107151181Y-118385997D01* -X107157907Y-118392093D01* -X107164003Y-118398819D01* -X107169411Y-118406110D01* -X107174078Y-118413896D01* -X107177959Y-118422102D01* -X107181017Y-118430649D01* -X107183223Y-118439454D01* -X107184555Y-118448433D01* -X107185000Y-118457500D01* -X107185000Y-119642500D01* -X107184555Y-119651567D01* -X107183223Y-119660546D01* -X107181017Y-119669351D01* -X107177959Y-119677898D01* -X107174078Y-119686104D01* -X107169411Y-119693890D01* -X107164003Y-119701181D01* -X107157907Y-119707907D01* -X107151181Y-119714003D01* -X107143890Y-119719411D01* -X107136104Y-119724078D01* -X107127898Y-119727959D01* -X107119351Y-119731017D01* -X107110546Y-119733223D01* -X107101567Y-119734555D01* -X107092500Y-119735000D01* -X106907500Y-119735000D01* -X106898433Y-119734555D01* -X106889454Y-119733223D01* -X106880649Y-119731017D01* -X106872102Y-119727959D01* -X106863896Y-119724078D01* -X106856110Y-119719411D01* -X106848819Y-119714003D01* -X106842093Y-119707907D01* -X106835997Y-119701181D01* -X106830589Y-119693890D01* -X106825922Y-119686104D01* -X106822041Y-119677898D01* -X106818983Y-119669351D01* -X106816777Y-119660546D01* -X106815445Y-119651567D01* -X106815000Y-119642500D01* -X106815000Y-118457500D01* -X106815445Y-118448433D01* -X106816777Y-118439454D01* -X106818983Y-118430649D01* -X106822041Y-118422102D01* -X106825922Y-118413896D01* -X106830589Y-118406110D01* -X106835997Y-118398819D01* -X106842093Y-118392093D01* -X106848819Y-118385997D01* -X106856110Y-118380589D01* -X106863896Y-118375922D01* -X106872102Y-118372041D01* -X106880649Y-118368983D01* -X106889454Y-118366777D01* -X106898433Y-118365445D01* -X106907500Y-118365000D01* -X107092500Y-118365000D01* -X107101567Y-118365445D01* -G37* -G36* -X106451567Y-118365445D02* -G01* -X106460546Y-118366777D01* -X106469351Y-118368983D01* -X106477898Y-118372041D01* -X106486104Y-118375922D01* -X106493890Y-118380589D01* -X106501181Y-118385997D01* -X106507907Y-118392093D01* -X106514003Y-118398819D01* -X106519411Y-118406110D01* -X106524078Y-118413896D01* -X106527959Y-118422102D01* -X106531017Y-118430649D01* -X106533223Y-118439454D01* -X106534555Y-118448433D01* -X106535000Y-118457500D01* -X106535000Y-119642500D01* -X106534555Y-119651567D01* -X106533223Y-119660546D01* -X106531017Y-119669351D01* -X106527959Y-119677898D01* -X106524078Y-119686104D01* -X106519411Y-119693890D01* -X106514003Y-119701181D01* -X106507907Y-119707907D01* -X106501181Y-119714003D01* -X106493890Y-119719411D01* -X106486104Y-119724078D01* -X106477898Y-119727959D01* -X106469351Y-119731017D01* -X106460546Y-119733223D01* -X106451567Y-119734555D01* -X106442500Y-119735000D01* -X106257500Y-119735000D01* -X106248433Y-119734555D01* -X106239454Y-119733223D01* -X106230649Y-119731017D01* -X106222102Y-119727959D01* -X106213896Y-119724078D01* -X106206110Y-119719411D01* -X106198819Y-119714003D01* -X106192093Y-119707907D01* -X106185997Y-119701181D01* -X106180589Y-119693890D01* -X106175922Y-119686104D01* -X106172041Y-119677898D01* -X106168983Y-119669351D01* -X106166777Y-119660546D01* -X106165445Y-119651567D01* -X106165000Y-119642500D01* -X106165000Y-118457500D01* -X106165445Y-118448433D01* -X106166777Y-118439454D01* -X106168983Y-118430649D01* -X106172041Y-118422102D01* -X106175922Y-118413896D01* -X106180589Y-118406110D01* -X106185997Y-118398819D01* -X106192093Y-118392093D01* -X106198819Y-118385997D01* -X106206110Y-118380589D01* -X106213896Y-118375922D01* -X106222102Y-118372041D01* -X106230649Y-118368983D01* -X106239454Y-118366777D01* -X106248433Y-118365445D01* -X106257500Y-118365000D01* -X106442500Y-118365000D01* -X106451567Y-118365445D01* -G37* -G36* -X105801567Y-118365445D02* -G01* -X105810546Y-118366777D01* -X105819351Y-118368983D01* -X105827898Y-118372041D01* -X105836104Y-118375922D01* -X105843890Y-118380589D01* -X105851181Y-118385997D01* -X105857907Y-118392093D01* -X105864003Y-118398819D01* -X105869411Y-118406110D01* -X105874078Y-118413896D01* -X105877959Y-118422102D01* -X105881017Y-118430649D01* -X105883223Y-118439454D01* -X105884555Y-118448433D01* -X105885000Y-118457500D01* -X105885000Y-119642500D01* -X105884555Y-119651567D01* -X105883223Y-119660546D01* -X105881017Y-119669351D01* -X105877959Y-119677898D01* -X105874078Y-119686104D01* -X105869411Y-119693890D01* -X105864003Y-119701181D01* -X105857907Y-119707907D01* -X105851181Y-119714003D01* -X105843890Y-119719411D01* -X105836104Y-119724078D01* -X105827898Y-119727959D01* -X105819351Y-119731017D01* -X105810546Y-119733223D01* -X105801567Y-119734555D01* -X105792500Y-119735000D01* -X105607500Y-119735000D01* -X105598433Y-119734555D01* -X105589454Y-119733223D01* -X105580649Y-119731017D01* -X105572102Y-119727959D01* -X105563896Y-119724078D01* -X105556110Y-119719411D01* -X105548819Y-119714003D01* -X105542093Y-119707907D01* -X105535997Y-119701181D01* -X105530589Y-119693890D01* -X105525922Y-119686104D01* -X105522041Y-119677898D01* -X105518983Y-119669351D01* -X105516777Y-119660546D01* -X105515445Y-119651567D01* -X105515000Y-119642500D01* -X105515000Y-118457500D01* -X105515445Y-118448433D01* -X105516777Y-118439454D01* -X105518983Y-118430649D01* -X105522041Y-118422102D01* -X105525922Y-118413896D01* -X105530589Y-118406110D01* -X105535997Y-118398819D01* -X105542093Y-118392093D01* -X105548819Y-118385997D01* -X105556110Y-118380589D01* -X105563896Y-118375922D01* -X105572102Y-118372041D01* -X105580649Y-118368983D01* -X105589454Y-118366777D01* -X105598433Y-118365445D01* -X105607500Y-118365000D01* -X105792500Y-118365000D01* -X105801567Y-118365445D01* -G37* -G36* -X106401955Y-102801324D02* -G01* -X106428650Y-102805284D01* -X106454828Y-102811841D01* -X106480238Y-102820933D01* -X106504634Y-102832472D01* -X106527782Y-102846346D01* -X106549458Y-102862422D01* -X106569454Y-102880546D01* -X106587578Y-102900542D01* -X106603654Y-102922218D01* -X106617528Y-102945366D01* -X106629067Y-102969762D01* -X106638159Y-102995172D01* -X106644716Y-103021350D01* -X106648676Y-103048045D01* -X106650000Y-103075000D01* -X106650000Y-103625000D01* -X106648676Y-103651955D01* -X106644716Y-103678650D01* -X106638159Y-103704828D01* -X106629067Y-103730238D01* -X106617528Y-103754634D01* -X106603654Y-103777782D01* -X106587578Y-103799458D01* -X106569454Y-103819454D01* -X106549458Y-103837578D01* -X106527782Y-103853654D01* -X106504634Y-103867528D01* -X106480238Y-103879067D01* -X106454828Y-103888159D01* -X106428650Y-103894716D01* -X106401955Y-103898676D01* -X106375000Y-103900000D01* -X105625000Y-103900000D01* -X105598045Y-103898676D01* -X105571350Y-103894716D01* -X105545172Y-103888159D01* -X105519762Y-103879067D01* -X105495366Y-103867528D01* -X105472218Y-103853654D01* -X105450542Y-103837578D01* -X105430546Y-103819454D01* -X105412422Y-103799458D01* -X105396346Y-103777782D01* -X105382472Y-103754634D01* -X105370933Y-103730238D01* -X105361841Y-103704828D01* -X105355284Y-103678650D01* -X105351324Y-103651955D01* -X105350000Y-103625000D01* -X105350000Y-103075000D01* -X105351324Y-103048045D01* -X105355284Y-103021350D01* -X105361841Y-102995172D01* -X105370933Y-102969762D01* -X105382472Y-102945366D01* -X105396346Y-102922218D01* -X105412422Y-102900542D01* -X105430546Y-102880546D01* -X105450542Y-102862422D01* -X105472218Y-102846346D01* -X105495366Y-102832472D01* -X105519762Y-102820933D01* -X105545172Y-102811841D01* -X105571350Y-102805284D01* -X105598045Y-102801324D01* -X105625000Y-102800000D01* -X106375000Y-102800000D01* -X106401955Y-102801324D01* -G37* -G36* -X108601955Y-102801324D02* -G01* -X108628650Y-102805284D01* -X108654828Y-102811841D01* -X108680238Y-102820933D01* -X108704634Y-102832472D01* -X108727782Y-102846346D01* -X108749458Y-102862422D01* -X108769454Y-102880546D01* -X108787578Y-102900542D01* -X108803654Y-102922218D01* -X108817528Y-102945366D01* -X108829067Y-102969762D01* -X108838159Y-102995172D01* -X108844716Y-103021350D01* -X108848676Y-103048045D01* -X108850000Y-103075000D01* -X108850000Y-103625000D01* -X108848676Y-103651955D01* -X108844716Y-103678650D01* -X108838159Y-103704828D01* -X108829067Y-103730238D01* -X108817528Y-103754634D01* -X108803654Y-103777782D01* -X108787578Y-103799458D01* -X108769454Y-103819454D01* -X108749458Y-103837578D01* -X108727782Y-103853654D01* -X108704634Y-103867528D01* -X108680238Y-103879067D01* -X108654828Y-103888159D01* -X108628650Y-103894716D01* -X108601955Y-103898676D01* -X108575000Y-103900000D01* -X107825000Y-103900000D01* -X107798045Y-103898676D01* -X107771350Y-103894716D01* -X107745172Y-103888159D01* -X107719762Y-103879067D01* -X107695366Y-103867528D01* -X107672218Y-103853654D01* -X107650542Y-103837578D01* -X107630546Y-103819454D01* -X107612422Y-103799458D01* -X107596346Y-103777782D01* -X107582472Y-103754634D01* -X107570933Y-103730238D01* -X107561841Y-103704828D01* -X107555284Y-103678650D01* -X107551324Y-103651955D01* -X107550000Y-103625000D01* -X107550000Y-103075000D01* -X107551324Y-103048045D01* -X107555284Y-103021350D01* -X107561841Y-102995172D01* -X107570933Y-102969762D01* -X107582472Y-102945366D01* -X107596346Y-102922218D01* -X107612422Y-102900542D01* -X107630546Y-102880546D01* -X107650542Y-102862422D01* -X107672218Y-102846346D01* -X107695366Y-102832472D01* -X107719762Y-102820933D01* -X107745172Y-102811841D01* -X107771350Y-102805284D01* -X107798045Y-102801324D01* -X107825000Y-102800000D01* -X108575000Y-102800000D01* -X108601955Y-102801324D01* -G37* -G36* -X108601955Y-101101324D02* -G01* -X108628650Y-101105284D01* -X108654828Y-101111841D01* -X108680238Y-101120933D01* -X108704634Y-101132472D01* -X108727782Y-101146346D01* -X108749458Y-101162422D01* -X108769454Y-101180546D01* -X108787578Y-101200542D01* -X108803654Y-101222218D01* -X108817528Y-101245366D01* -X108829067Y-101269762D01* -X108838159Y-101295172D01* -X108844716Y-101321350D01* -X108848676Y-101348045D01* -X108850000Y-101375000D01* -X108850000Y-101925000D01* -X108848676Y-101951955D01* -X108844716Y-101978650D01* -X108838159Y-102004828D01* -X108829067Y-102030238D01* -X108817528Y-102054634D01* -X108803654Y-102077782D01* -X108787578Y-102099458D01* -X108769454Y-102119454D01* -X108749458Y-102137578D01* -X108727782Y-102153654D01* -X108704634Y-102167528D01* -X108680238Y-102179067D01* -X108654828Y-102188159D01* -X108628650Y-102194716D01* -X108601955Y-102198676D01* -X108575000Y-102200000D01* -X107825000Y-102200000D01* -X107798045Y-102198676D01* -X107771350Y-102194716D01* -X107745172Y-102188159D01* -X107719762Y-102179067D01* -X107695366Y-102167528D01* -X107672218Y-102153654D01* -X107650542Y-102137578D01* -X107630546Y-102119454D01* -X107612422Y-102099458D01* -X107596346Y-102077782D01* -X107582472Y-102054634D01* -X107570933Y-102030238D01* -X107561841Y-102004828D01* -X107555284Y-101978650D01* -X107551324Y-101951955D01* -X107550000Y-101925000D01* -X107550000Y-101375000D01* -X107551324Y-101348045D01* -X107555284Y-101321350D01* -X107561841Y-101295172D01* -X107570933Y-101269762D01* -X107582472Y-101245366D01* -X107596346Y-101222218D01* -X107612422Y-101200542D01* -X107630546Y-101180546D01* -X107650542Y-101162422D01* -X107672218Y-101146346D01* -X107695366Y-101132472D01* -X107719762Y-101120933D01* -X107745172Y-101111841D01* -X107771350Y-101105284D01* -X107798045Y-101101324D01* -X107825000Y-101100000D01* -X108575000Y-101100000D01* -X108601955Y-101101324D01* -G37* -G36* -X106401955Y-101101324D02* -G01* -X106428650Y-101105284D01* -X106454828Y-101111841D01* -X106480238Y-101120933D01* -X106504634Y-101132472D01* -X106527782Y-101146346D01* -X106549458Y-101162422D01* -X106569454Y-101180546D01* -X106587578Y-101200542D01* -X106603654Y-101222218D01* -X106617528Y-101245366D01* -X106629067Y-101269762D01* -X106638159Y-101295172D01* -X106644716Y-101321350D01* -X106648676Y-101348045D01* -X106650000Y-101375000D01* -X106650000Y-101925000D01* -X106648676Y-101951955D01* -X106644716Y-101978650D01* -X106638159Y-102004828D01* -X106629067Y-102030238D01* -X106617528Y-102054634D01* -X106603654Y-102077782D01* -X106587578Y-102099458D01* -X106569454Y-102119454D01* -X106549458Y-102137578D01* -X106527782Y-102153654D01* -X106504634Y-102167528D01* -X106480238Y-102179067D01* -X106454828Y-102188159D01* -X106428650Y-102194716D01* -X106401955Y-102198676D01* -X106375000Y-102200000D01* -X105625000Y-102200000D01* -X105598045Y-102198676D01* -X105571350Y-102194716D01* -X105545172Y-102188159D01* -X105519762Y-102179067D01* -X105495366Y-102167528D01* -X105472218Y-102153654D01* -X105450542Y-102137578D01* -X105430546Y-102119454D01* -X105412422Y-102099458D01* -X105396346Y-102077782D01* -X105382472Y-102054634D01* -X105370933Y-102030238D01* -X105361841Y-102004828D01* -X105355284Y-101978650D01* -X105351324Y-101951955D01* -X105350000Y-101925000D01* -X105350000Y-101375000D01* -X105351324Y-101348045D01* -X105355284Y-101321350D01* -X105361841Y-101295172D01* -X105370933Y-101269762D01* -X105382472Y-101245366D01* -X105396346Y-101222218D01* -X105412422Y-101200542D01* -X105430546Y-101180546D01* -X105450542Y-101162422D01* -X105472218Y-101146346D01* -X105495366Y-101132472D01* -X105519762Y-101120933D01* -X105545172Y-101111841D01* -X105571350Y-101105284D01* -X105598045Y-101101324D01* -X105625000Y-101100000D01* -X106375000Y-101100000D01* -X106401955Y-101101324D01* -G37* -G36* -X106211368Y-97615927D02* -G01* -X106230055Y-97618699D01* -X106248380Y-97623289D01* -X106266167Y-97629653D01* -X106283244Y-97637730D01* -X106299447Y-97647442D01* -X106314621Y-97658695D01* -X106328618Y-97671382D01* -X106341305Y-97685379D01* -X106352558Y-97700553D01* -X106362270Y-97716756D01* -X106370347Y-97733833D01* -X106376711Y-97751620D01* -X106381301Y-97769945D01* -X106384073Y-97788632D01* -X106385000Y-97807500D01* -X106385000Y-98192500D01* -X106384073Y-98211368D01* -X106381301Y-98230055D01* -X106376711Y-98248380D01* -X106370347Y-98266167D01* -X106362270Y-98283244D01* -X106352558Y-98299447D01* -X106341305Y-98314621D01* -X106328618Y-98328618D01* -X106314621Y-98341305D01* -X106299447Y-98352558D01* -X106283244Y-98362270D01* -X106266167Y-98370347D01* -X106248380Y-98376711D01* -X106230055Y-98381301D01* -X106211368Y-98384073D01* -X106192500Y-98385000D01* -X105707500Y-98385000D01* -X105688632Y-98384073D01* -X105669945Y-98381301D01* -X105651620Y-98376711D01* -X105633833Y-98370347D01* -X105616756Y-98362270D01* -X105600553Y-98352558D01* -X105585379Y-98341305D01* -X105571382Y-98328618D01* -X105558695Y-98314621D01* -X105547442Y-98299447D01* -X105537730Y-98283244D01* -X105529653Y-98266167D01* -X105523289Y-98248380D01* -X105518699Y-98230055D01* -X105515927Y-98211368D01* -X105515000Y-98192500D01* -X105515000Y-97807500D01* -X105515927Y-97788632D01* -X105518699Y-97769945D01* -X105523289Y-97751620D01* -X105529653Y-97733833D01* -X105537730Y-97716756D01* -X105547442Y-97700553D01* -X105558695Y-97685379D01* -X105571382Y-97671382D01* -X105585379Y-97658695D01* -X105600553Y-97647442D01* -X105616756Y-97637730D01* -X105633833Y-97629653D01* -X105651620Y-97623289D01* -X105669945Y-97618699D01* -X105688632Y-97615927D01* -X105707500Y-97615000D01* -X106192500Y-97615000D01* -X106211368Y-97615927D01* -G37* -G36* -X106211368Y-99115927D02* -G01* -X106230055Y-99118699D01* -X106248380Y-99123289D01* -X106266167Y-99129653D01* -X106283244Y-99137730D01* -X106299447Y-99147442D01* -X106314621Y-99158695D01* -X106328618Y-99171382D01* -X106341305Y-99185379D01* -X106352558Y-99200553D01* -X106362270Y-99216756D01* -X106370347Y-99233833D01* -X106376711Y-99251620D01* -X106381301Y-99269945D01* -X106384073Y-99288632D01* -X106385000Y-99307500D01* -X106385000Y-99692500D01* -X106384073Y-99711368D01* -X106381301Y-99730055D01* -X106376711Y-99748380D01* -X106370347Y-99766167D01* -X106362270Y-99783244D01* -X106352558Y-99799447D01* -X106341305Y-99814621D01* -X106328618Y-99828618D01* -X106314621Y-99841305D01* -X106299447Y-99852558D01* -X106283244Y-99862270D01* -X106266167Y-99870347D01* -X106248380Y-99876711D01* -X106230055Y-99881301D01* -X106211368Y-99884073D01* -X106192500Y-99885000D01* -X105707500Y-99885000D01* -X105688632Y-99884073D01* -X105669945Y-99881301D01* -X105651620Y-99876711D01* -X105633833Y-99870347D01* -X105616756Y-99862270D01* -X105600553Y-99852558D01* -X105585379Y-99841305D01* -X105571382Y-99828618D01* -X105558695Y-99814621D01* -X105547442Y-99799447D01* -X105537730Y-99783244D01* -X105529653Y-99766167D01* -X105523289Y-99748380D01* -X105518699Y-99730055D01* -X105515927Y-99711368D01* -X105515000Y-99692500D01* -X105515000Y-99307500D01* -X105515927Y-99288632D01* -X105518699Y-99269945D01* -X105523289Y-99251620D01* -X105529653Y-99233833D01* -X105537730Y-99216756D01* -X105547442Y-99200553D01* -X105558695Y-99185379D01* -X105571382Y-99171382D01* -X105585379Y-99158695D01* -X105600553Y-99147442D01* -X105616756Y-99137730D01* -X105633833Y-99129653D01* -X105651620Y-99123289D01* -X105669945Y-99118699D01* -X105688632Y-99115927D01* -X105707500Y-99115000D01* -X106192500Y-99115000D01* -X106211368Y-99115927D01* -G37* -G36* -X111112411Y-109064032D02* -G01* -X111131190Y-109066817D01* -X111149606Y-109071430D01* -X111167480Y-109077826D01* -X111184642Y-109085942D01* -X111200925Y-109095702D01* -X111216173Y-109107011D01* -X111230240Y-109119760D01* -X111242989Y-109133827D01* -X111254298Y-109149075D01* -X111264058Y-109165358D01* -X111272174Y-109182520D01* -X111278570Y-109200394D01* -X111283183Y-109218810D01* -X111285968Y-109237589D01* -X111286900Y-109256550D01* -X111286900Y-109643450D01* -X111285968Y-109662411D01* -X111283183Y-109681190D01* -X111278570Y-109699606D01* -X111272174Y-109717480D01* -X111264058Y-109734642D01* -X111254298Y-109750925D01* -X111242989Y-109766173D01* -X111230240Y-109780240D01* -X111216173Y-109792989D01* -X111200925Y-109804298D01* -X111184642Y-109814058D01* -X111167480Y-109822174D01* -X111149606Y-109828570D01* -X111131190Y-109833183D01* -X111112411Y-109835968D01* -X111093450Y-109836900D01* -X110606550Y-109836900D01* -X110587589Y-109835968D01* -X110568810Y-109833183D01* -X110550394Y-109828570D01* -X110532520Y-109822174D01* -X110515358Y-109814058D01* -X110499075Y-109804298D01* -X110483827Y-109792989D01* -X110469760Y-109780240D01* -X110457011Y-109766173D01* -X110445702Y-109750925D01* -X110435942Y-109734642D01* -X110427826Y-109717480D01* -X110421430Y-109699606D01* -X110416817Y-109681190D01* -X110414032Y-109662411D01* -X110413100Y-109643450D01* -X110413100Y-109256550D01* -X110414032Y-109237589D01* -X110416817Y-109218810D01* -X110421430Y-109200394D01* -X110427826Y-109182520D01* -X110435942Y-109165358D01* -X110445702Y-109149075D01* -X110457011Y-109133827D01* -X110469760Y-109119760D01* -X110483827Y-109107011D01* -X110499075Y-109095702D01* -X110515358Y-109085942D01* -X110532520Y-109077826D01* -X110550394Y-109071430D01* -X110568810Y-109066817D01* -X110587589Y-109064032D01* -X110606550Y-109063100D01* -X111093450Y-109063100D01* -X111112411Y-109064032D01* -G37* -G36* -X111112411Y-107564032D02* -G01* -X111131190Y-107566817D01* -X111149606Y-107571430D01* -X111167480Y-107577826D01* -X111184642Y-107585942D01* -X111200925Y-107595702D01* -X111216173Y-107607011D01* -X111230240Y-107619760D01* -X111242989Y-107633827D01* -X111254298Y-107649075D01* -X111264058Y-107665358D01* -X111272174Y-107682520D01* -X111278570Y-107700394D01* -X111283183Y-107718810D01* -X111285968Y-107737589D01* -X111286900Y-107756550D01* -X111286900Y-108143450D01* -X111285968Y-108162411D01* -X111283183Y-108181190D01* -X111278570Y-108199606D01* -X111272174Y-108217480D01* -X111264058Y-108234642D01* -X111254298Y-108250925D01* -X111242989Y-108266173D01* -X111230240Y-108280240D01* -X111216173Y-108292989D01* -X111200925Y-108304298D01* -X111184642Y-108314058D01* -X111167480Y-108322174D01* -X111149606Y-108328570D01* -X111131190Y-108333183D01* -X111112411Y-108335968D01* -X111093450Y-108336900D01* -X110606550Y-108336900D01* -X110587589Y-108335968D01* -X110568810Y-108333183D01* -X110550394Y-108328570D01* -X110532520Y-108322174D01* -X110515358Y-108314058D01* -X110499075Y-108304298D01* -X110483827Y-108292989D01* -X110469760Y-108280240D01* -X110457011Y-108266173D01* -X110445702Y-108250925D01* -X110435942Y-108234642D01* -X110427826Y-108217480D01* -X110421430Y-108199606D01* -X110416817Y-108181190D01* -X110414032Y-108162411D01* -X110413100Y-108143450D01* -X110413100Y-107756550D01* -X110414032Y-107737589D01* -X110416817Y-107718810D01* -X110421430Y-107700394D01* -X110427826Y-107682520D01* -X110435942Y-107665358D01* -X110445702Y-107649075D01* -X110457011Y-107633827D01* -X110469760Y-107619760D01* -X110483827Y-107607011D01* -X110499075Y-107595702D01* -X110515358Y-107585942D01* -X110532520Y-107577826D01* -X110550394Y-107571430D01* -X110568810Y-107566817D01* -X110587589Y-107564032D01* -X110606550Y-107563100D01* -X111093450Y-107563100D01* -X111112411Y-107564032D01* -G37* -G36* -X114612411Y-114914032D02* -G01* -X114631190Y-114916817D01* -X114649606Y-114921430D01* -X114667480Y-114927826D01* -X114684642Y-114935942D01* -X114700925Y-114945702D01* -X114716173Y-114957011D01* -X114730240Y-114969760D01* -X114742989Y-114983827D01* -X114754298Y-114999075D01* -X114764058Y-115015358D01* -X114772174Y-115032520D01* -X114778570Y-115050394D01* -X114783183Y-115068810D01* -X114785968Y-115087589D01* -X114786900Y-115106550D01* -X114786900Y-115593450D01* -X114785968Y-115612411D01* -X114783183Y-115631190D01* -X114778570Y-115649606D01* -X114772174Y-115667480D01* -X114764058Y-115684642D01* -X114754298Y-115700925D01* -X114742989Y-115716173D01* -X114730240Y-115730240D01* -X114716173Y-115742989D01* -X114700925Y-115754298D01* -X114684642Y-115764058D01* -X114667480Y-115772174D01* -X114649606Y-115778570D01* -X114631190Y-115783183D01* -X114612411Y-115785968D01* -X114593450Y-115786900D01* -X114206550Y-115786900D01* -X114187589Y-115785968D01* -X114168810Y-115783183D01* -X114150394Y-115778570D01* -X114132520Y-115772174D01* -X114115358Y-115764058D01* -X114099075Y-115754298D01* -X114083827Y-115742989D01* -X114069760Y-115730240D01* -X114057011Y-115716173D01* -X114045702Y-115700925D01* -X114035942Y-115684642D01* -X114027826Y-115667480D01* -X114021430Y-115649606D01* -X114016817Y-115631190D01* -X114014032Y-115612411D01* -X114013100Y-115593450D01* -X114013100Y-115106550D01* -X114014032Y-115087589D01* -X114016817Y-115068810D01* -X114021430Y-115050394D01* -X114027826Y-115032520D01* -X114035942Y-115015358D01* -X114045702Y-114999075D01* -X114057011Y-114983827D01* -X114069760Y-114969760D01* -X114083827Y-114957011D01* -X114099075Y-114945702D01* -X114115358Y-114935942D01* -X114132520Y-114927826D01* -X114150394Y-114921430D01* -X114168810Y-114916817D01* -X114187589Y-114914032D01* -X114206550Y-114913100D01* -X114593450Y-114913100D01* -X114612411Y-114914032D01* -G37* -G36* -X113112411Y-114914032D02* -G01* -X113131190Y-114916817D01* -X113149606Y-114921430D01* -X113167480Y-114927826D01* -X113184642Y-114935942D01* -X113200925Y-114945702D01* -X113216173Y-114957011D01* -X113230240Y-114969760D01* -X113242989Y-114983827D01* -X113254298Y-114999075D01* -X113264058Y-115015358D01* -X113272174Y-115032520D01* -X113278570Y-115050394D01* -X113283183Y-115068810D01* -X113285968Y-115087589D01* -X113286900Y-115106550D01* -X113286900Y-115593450D01* -X113285968Y-115612411D01* -X113283183Y-115631190D01* -X113278570Y-115649606D01* -X113272174Y-115667480D01* -X113264058Y-115684642D01* -X113254298Y-115700925D01* -X113242989Y-115716173D01* -X113230240Y-115730240D01* -X113216173Y-115742989D01* -X113200925Y-115754298D01* -X113184642Y-115764058D01* -X113167480Y-115772174D01* -X113149606Y-115778570D01* -X113131190Y-115783183D01* -X113112411Y-115785968D01* -X113093450Y-115786900D01* -X112706550Y-115786900D01* -X112687589Y-115785968D01* -X112668810Y-115783183D01* -X112650394Y-115778570D01* -X112632520Y-115772174D01* -X112615358Y-115764058D01* -X112599075Y-115754298D01* -X112583827Y-115742989D01* -X112569760Y-115730240D01* -X112557011Y-115716173D01* -X112545702Y-115700925D01* -X112535942Y-115684642D01* -X112527826Y-115667480D01* -X112521430Y-115649606D01* -X112516817Y-115631190D01* -X112514032Y-115612411D01* -X112513100Y-115593450D01* -X112513100Y-115106550D01* -X112514032Y-115087589D01* -X112516817Y-115068810D01* -X112521430Y-115050394D01* -X112527826Y-115032520D01* -X112535942Y-115015358D01* -X112545702Y-114999075D01* -X112557011Y-114983827D01* -X112569760Y-114969760D01* -X112583827Y-114957011D01* -X112599075Y-114945702D01* -X112615358Y-114935942D01* -X112632520Y-114927826D01* -X112650394Y-114921430D01* -X112668810Y-114916817D01* -X112687589Y-114914032D01* -X112706550Y-114913100D01* -X113093450Y-114913100D01* -X113112411Y-114914032D01* -G37* -G36* -X126712411Y-93764032D02* -G01* -X126731190Y-93766817D01* -X126749606Y-93771430D01* -X126767480Y-93777826D01* -X126784642Y-93785942D01* -X126800925Y-93795702D01* -X126816173Y-93807011D01* -X126830240Y-93819760D01* -X126842989Y-93833827D01* -X126854298Y-93849075D01* -X126864058Y-93865358D01* -X126872174Y-93882520D01* -X126878570Y-93900394D01* -X126883183Y-93918810D01* -X126885968Y-93937589D01* -X126886900Y-93956550D01* -X126886900Y-94343450D01* -X126885968Y-94362411D01* -X126883183Y-94381190D01* -X126878570Y-94399606D01* -X126872174Y-94417480D01* -X126864058Y-94434642D01* -X126854298Y-94450925D01* -X126842989Y-94466173D01* -X126830240Y-94480240D01* -X126816173Y-94492989D01* -X126800925Y-94504298D01* -X126784642Y-94514058D01* -X126767480Y-94522174D01* -X126749606Y-94528570D01* -X126731190Y-94533183D01* -X126712411Y-94535968D01* -X126693450Y-94536900D01* -X126206550Y-94536900D01* -X126187589Y-94535968D01* -X126168810Y-94533183D01* -X126150394Y-94528570D01* -X126132520Y-94522174D01* -X126115358Y-94514058D01* -X126099075Y-94504298D01* -X126083827Y-94492989D01* -X126069760Y-94480240D01* -X126057011Y-94466173D01* -X126045702Y-94450925D01* -X126035942Y-94434642D01* -X126027826Y-94417480D01* -X126021430Y-94399606D01* -X126016817Y-94381190D01* -X126014032Y-94362411D01* -X126013100Y-94343450D01* -X126013100Y-93956550D01* -X126014032Y-93937589D01* -X126016817Y-93918810D01* -X126021430Y-93900394D01* -X126027826Y-93882520D01* -X126035942Y-93865358D01* -X126045702Y-93849075D01* -X126057011Y-93833827D01* -X126069760Y-93819760D01* -X126083827Y-93807011D01* -X126099075Y-93795702D01* -X126115358Y-93785942D01* -X126132520Y-93777826D01* -X126150394Y-93771430D01* -X126168810Y-93766817D01* -X126187589Y-93764032D01* -X126206550Y-93763100D01* -X126693450Y-93763100D01* -X126712411Y-93764032D01* -G37* -G36* -X126712411Y-92264032D02* -G01* -X126731190Y-92266817D01* -X126749606Y-92271430D01* -X126767480Y-92277826D01* -X126784642Y-92285942D01* -X126800925Y-92295702D01* -X126816173Y-92307011D01* -X126830240Y-92319760D01* -X126842989Y-92333827D01* -X126854298Y-92349075D01* -X126864058Y-92365358D01* -X126872174Y-92382520D01* -X126878570Y-92400394D01* -X126883183Y-92418810D01* -X126885968Y-92437589D01* -X126886900Y-92456550D01* -X126886900Y-92843450D01* -X126885968Y-92862411D01* -X126883183Y-92881190D01* -X126878570Y-92899606D01* -X126872174Y-92917480D01* -X126864058Y-92934642D01* -X126854298Y-92950925D01* -X126842989Y-92966173D01* -X126830240Y-92980240D01* -X126816173Y-92992989D01* -X126800925Y-93004298D01* -X126784642Y-93014058D01* -X126767480Y-93022174D01* -X126749606Y-93028570D01* -X126731190Y-93033183D01* -X126712411Y-93035968D01* -X126693450Y-93036900D01* -X126206550Y-93036900D01* -X126187589Y-93035968D01* -X126168810Y-93033183D01* -X126150394Y-93028570D01* -X126132520Y-93022174D01* -X126115358Y-93014058D01* -X126099075Y-93004298D01* -X126083827Y-92992989D01* -X126069760Y-92980240D01* -X126057011Y-92966173D01* -X126045702Y-92950925D01* -X126035942Y-92934642D01* -X126027826Y-92917480D01* -X126021430Y-92899606D01* -X126016817Y-92881190D01* -X126014032Y-92862411D01* -X126013100Y-92843450D01* -X126013100Y-92456550D01* -X126014032Y-92437589D01* -X126016817Y-92418810D01* -X126021430Y-92400394D01* -X126027826Y-92382520D01* -X126035942Y-92365358D01* -X126045702Y-92349075D01* -X126057011Y-92333827D01* -X126069760Y-92319760D01* -X126083827Y-92307011D01* -X126099075Y-92295702D01* -X126115358Y-92285942D01* -X126132520Y-92277826D01* -X126150394Y-92271430D01* -X126168810Y-92266817D01* -X126187589Y-92264032D01* -X126206550Y-92263100D01* -X126693450Y-92263100D01* -X126712411Y-92264032D01* -G37* -G36* -X111062411Y-104414032D02* -G01* -X111081190Y-104416817D01* -X111099606Y-104421430D01* -X111117480Y-104427826D01* -X111134642Y-104435942D01* -X111150925Y-104445702D01* -X111166173Y-104457011D01* -X111180240Y-104469760D01* -X111192989Y-104483827D01* -X111204298Y-104499075D01* -X111214058Y-104515358D01* -X111222174Y-104532520D01* -X111228570Y-104550394D01* -X111233183Y-104568810D01* -X111235968Y-104587589D01* -X111236900Y-104606550D01* -X111236900Y-104993450D01* -X111235968Y-105012411D01* -X111233183Y-105031190D01* -X111228570Y-105049606D01* -X111222174Y-105067480D01* -X111214058Y-105084642D01* -X111204298Y-105100925D01* -X111192989Y-105116173D01* -X111180240Y-105130240D01* -X111166173Y-105142989D01* -X111150925Y-105154298D01* -X111134642Y-105164058D01* -X111117480Y-105172174D01* -X111099606Y-105178570D01* -X111081190Y-105183183D01* -X111062411Y-105185968D01* -X111043450Y-105186900D01* -X110556550Y-105186900D01* -X110537589Y-105185968D01* -X110518810Y-105183183D01* -X110500394Y-105178570D01* -X110482520Y-105172174D01* -X110465358Y-105164058D01* -X110449075Y-105154298D01* -X110433827Y-105142989D01* -X110419760Y-105130240D01* -X110407011Y-105116173D01* -X110395702Y-105100925D01* -X110385942Y-105084642D01* -X110377826Y-105067480D01* -X110371430Y-105049606D01* -X110366817Y-105031190D01* -X110364032Y-105012411D01* -X110363100Y-104993450D01* -X110363100Y-104606550D01* -X110364032Y-104587589D01* -X110366817Y-104568810D01* -X110371430Y-104550394D01* -X110377826Y-104532520D01* -X110385942Y-104515358D01* -X110395702Y-104499075D01* -X110407011Y-104483827D01* -X110419760Y-104469760D01* -X110433827Y-104457011D01* -X110449075Y-104445702D01* -X110465358Y-104435942D01* -X110482520Y-104427826D01* -X110500394Y-104421430D01* -X110518810Y-104416817D01* -X110537589Y-104414032D01* -X110556550Y-104413100D01* -X111043450Y-104413100D01* -X111062411Y-104414032D01* -G37* -G36* -X111062411Y-102914032D02* -G01* -X111081190Y-102916817D01* -X111099606Y-102921430D01* -X111117480Y-102927826D01* -X111134642Y-102935942D01* -X111150925Y-102945702D01* -X111166173Y-102957011D01* -X111180240Y-102969760D01* -X111192989Y-102983827D01* -X111204298Y-102999075D01* -X111214058Y-103015358D01* -X111222174Y-103032520D01* -X111228570Y-103050394D01* -X111233183Y-103068810D01* -X111235968Y-103087589D01* -X111236900Y-103106550D01* -X111236900Y-103493450D01* -X111235968Y-103512411D01* -X111233183Y-103531190D01* -X111228570Y-103549606D01* -X111222174Y-103567480D01* -X111214058Y-103584642D01* -X111204298Y-103600925D01* -X111192989Y-103616173D01* -X111180240Y-103630240D01* -X111166173Y-103642989D01* -X111150925Y-103654298D01* -X111134642Y-103664058D01* -X111117480Y-103672174D01* -X111099606Y-103678570D01* -X111081190Y-103683183D01* -X111062411Y-103685968D01* -X111043450Y-103686900D01* -X110556550Y-103686900D01* -X110537589Y-103685968D01* -X110518810Y-103683183D01* -X110500394Y-103678570D01* -X110482520Y-103672174D01* -X110465358Y-103664058D01* -X110449075Y-103654298D01* -X110433827Y-103642989D01* -X110419760Y-103630240D01* -X110407011Y-103616173D01* -X110395702Y-103600925D01* -X110385942Y-103584642D01* -X110377826Y-103567480D01* -X110371430Y-103549606D01* -X110366817Y-103531190D01* -X110364032Y-103512411D01* -X110363100Y-103493450D01* -X110363100Y-103106550D01* -X110364032Y-103087589D01* -X110366817Y-103068810D01* -X110371430Y-103050394D01* -X110377826Y-103032520D01* -X110385942Y-103015358D01* -X110395702Y-102999075D01* -X110407011Y-102983827D01* -X110419760Y-102969760D01* -X110433827Y-102957011D01* -X110449075Y-102945702D01* -X110465358Y-102935942D01* -X110482520Y-102927826D01* -X110500394Y-102921430D01* -X110518810Y-102916817D01* -X110537589Y-102914032D01* -X110556550Y-102913100D01* -X111043450Y-102913100D01* -X111062411Y-102914032D01* -G37* -G36* -X126712411Y-106664032D02* -G01* -X126731190Y-106666817D01* -X126749606Y-106671430D01* -X126767480Y-106677826D01* -X126784642Y-106685942D01* -X126800925Y-106695702D01* -X126816173Y-106707011D01* -X126830240Y-106719760D01* -X126842989Y-106733827D01* -X126854298Y-106749075D01* -X126864058Y-106765358D01* -X126872174Y-106782520D01* -X126878570Y-106800394D01* -X126883183Y-106818810D01* -X126885968Y-106837589D01* -X126886900Y-106856550D01* -X126886900Y-107243450D01* -X126885968Y-107262411D01* -X126883183Y-107281190D01* -X126878570Y-107299606D01* -X126872174Y-107317480D01* -X126864058Y-107334642D01* -X126854298Y-107350925D01* -X126842989Y-107366173D01* -X126830240Y-107380240D01* -X126816173Y-107392989D01* -X126800925Y-107404298D01* -X126784642Y-107414058D01* -X126767480Y-107422174D01* -X126749606Y-107428570D01* -X126731190Y-107433183D01* -X126712411Y-107435968D01* -X126693450Y-107436900D01* -X126206550Y-107436900D01* -X126187589Y-107435968D01* -X126168810Y-107433183D01* -X126150394Y-107428570D01* -X126132520Y-107422174D01* -X126115358Y-107414058D01* -X126099075Y-107404298D01* -X126083827Y-107392989D01* -X126069760Y-107380240D01* -X126057011Y-107366173D01* -X126045702Y-107350925D01* -X126035942Y-107334642D01* -X126027826Y-107317480D01* -X126021430Y-107299606D01* -X126016817Y-107281190D01* -X126014032Y-107262411D01* -X126013100Y-107243450D01* -X126013100Y-106856550D01* -X126014032Y-106837589D01* -X126016817Y-106818810D01* -X126021430Y-106800394D01* -X126027826Y-106782520D01* -X126035942Y-106765358D01* -X126045702Y-106749075D01* -X126057011Y-106733827D01* -X126069760Y-106719760D01* -X126083827Y-106707011D01* -X126099075Y-106695702D01* -X126115358Y-106685942D01* -X126132520Y-106677826D01* -X126150394Y-106671430D01* -X126168810Y-106666817D01* -X126187589Y-106664032D01* -X126206550Y-106663100D01* -X126693450Y-106663100D01* -X126712411Y-106664032D01* -G37* -G36* -X126712411Y-108164032D02* -G01* -X126731190Y-108166817D01* -X126749606Y-108171430D01* -X126767480Y-108177826D01* -X126784642Y-108185942D01* -X126800925Y-108195702D01* -X126816173Y-108207011D01* -X126830240Y-108219760D01* -X126842989Y-108233827D01* -X126854298Y-108249075D01* -X126864058Y-108265358D01* -X126872174Y-108282520D01* -X126878570Y-108300394D01* -X126883183Y-108318810D01* -X126885968Y-108337589D01* -X126886900Y-108356550D01* -X126886900Y-108743450D01* -X126885968Y-108762411D01* -X126883183Y-108781190D01* -X126878570Y-108799606D01* -X126872174Y-108817480D01* -X126864058Y-108834642D01* -X126854298Y-108850925D01* -X126842989Y-108866173D01* -X126830240Y-108880240D01* -X126816173Y-108892989D01* -X126800925Y-108904298D01* -X126784642Y-108914058D01* -X126767480Y-108922174D01* -X126749606Y-108928570D01* -X126731190Y-108933183D01* -X126712411Y-108935968D01* -X126693450Y-108936900D01* -X126206550Y-108936900D01* -X126187589Y-108935968D01* -X126168810Y-108933183D01* -X126150394Y-108928570D01* -X126132520Y-108922174D01* -X126115358Y-108914058D01* -X126099075Y-108904298D01* -X126083827Y-108892989D01* -X126069760Y-108880240D01* -X126057011Y-108866173D01* -X126045702Y-108850925D01* -X126035942Y-108834642D01* -X126027826Y-108817480D01* -X126021430Y-108799606D01* -X126016817Y-108781190D01* -X126014032Y-108762411D01* -X126013100Y-108743450D01* -X126013100Y-108356550D01* -X126014032Y-108337589D01* -X126016817Y-108318810D01* -X126021430Y-108300394D01* -X126027826Y-108282520D01* -X126035942Y-108265358D01* -X126045702Y-108249075D01* -X126057011Y-108233827D01* -X126069760Y-108219760D01* -X126083827Y-108207011D01* -X126099075Y-108195702D01* -X126115358Y-108185942D01* -X126132520Y-108177826D01* -X126150394Y-108171430D01* -X126168810Y-108166817D01* -X126187589Y-108164032D01* -X126206550Y-108163100D01* -X126693450Y-108163100D01* -X126712411Y-108164032D01* -G37* -G36* -X126712411Y-111464032D02* -G01* -X126731190Y-111466817D01* -X126749606Y-111471430D01* -X126767480Y-111477826D01* -X126784642Y-111485942D01* -X126800925Y-111495702D01* -X126816173Y-111507011D01* -X126830240Y-111519760D01* -X126842989Y-111533827D01* -X126854298Y-111549075D01* -X126864058Y-111565358D01* -X126872174Y-111582520D01* -X126878570Y-111600394D01* -X126883183Y-111618810D01* -X126885968Y-111637589D01* -X126886900Y-111656550D01* -X126886900Y-112043450D01* -X126885968Y-112062411D01* -X126883183Y-112081190D01* -X126878570Y-112099606D01* -X126872174Y-112117480D01* -X126864058Y-112134642D01* -X126854298Y-112150925D01* -X126842989Y-112166173D01* -X126830240Y-112180240D01* -X126816173Y-112192989D01* -X126800925Y-112204298D01* -X126784642Y-112214058D01* -X126767480Y-112222174D01* -X126749606Y-112228570D01* -X126731190Y-112233183D01* -X126712411Y-112235968D01* -X126693450Y-112236900D01* -X126206550Y-112236900D01* -X126187589Y-112235968D01* -X126168810Y-112233183D01* -X126150394Y-112228570D01* -X126132520Y-112222174D01* -X126115358Y-112214058D01* -X126099075Y-112204298D01* -X126083827Y-112192989D01* -X126069760Y-112180240D01* -X126057011Y-112166173D01* -X126045702Y-112150925D01* -X126035942Y-112134642D01* -X126027826Y-112117480D01* -X126021430Y-112099606D01* -X126016817Y-112081190D01* -X126014032Y-112062411D01* -X126013100Y-112043450D01* -X126013100Y-111656550D01* -X126014032Y-111637589D01* -X126016817Y-111618810D01* -X126021430Y-111600394D01* -X126027826Y-111582520D01* -X126035942Y-111565358D01* -X126045702Y-111549075D01* -X126057011Y-111533827D01* -X126069760Y-111519760D01* -X126083827Y-111507011D01* -X126099075Y-111495702D01* -X126115358Y-111485942D01* -X126132520Y-111477826D01* -X126150394Y-111471430D01* -X126168810Y-111466817D01* -X126187589Y-111464032D01* -X126206550Y-111463100D01* -X126693450Y-111463100D01* -X126712411Y-111464032D01* -G37* -G36* -X126712411Y-112964032D02* -G01* -X126731190Y-112966817D01* -X126749606Y-112971430D01* -X126767480Y-112977826D01* -X126784642Y-112985942D01* -X126800925Y-112995702D01* -X126816173Y-113007011D01* -X126830240Y-113019760D01* -X126842989Y-113033827D01* -X126854298Y-113049075D01* -X126864058Y-113065358D01* -X126872174Y-113082520D01* -X126878570Y-113100394D01* -X126883183Y-113118810D01* -X126885968Y-113137589D01* -X126886900Y-113156550D01* -X126886900Y-113543450D01* -X126885968Y-113562411D01* -X126883183Y-113581190D01* -X126878570Y-113599606D01* -X126872174Y-113617480D01* -X126864058Y-113634642D01* -X126854298Y-113650925D01* -X126842989Y-113666173D01* -X126830240Y-113680240D01* -X126816173Y-113692989D01* -X126800925Y-113704298D01* -X126784642Y-113714058D01* -X126767480Y-113722174D01* -X126749606Y-113728570D01* -X126731190Y-113733183D01* -X126712411Y-113735968D01* -X126693450Y-113736900D01* -X126206550Y-113736900D01* -X126187589Y-113735968D01* -X126168810Y-113733183D01* -X126150394Y-113728570D01* -X126132520Y-113722174D01* -X126115358Y-113714058D01* -X126099075Y-113704298D01* -X126083827Y-113692989D01* -X126069760Y-113680240D01* -X126057011Y-113666173D01* -X126045702Y-113650925D01* -X126035942Y-113634642D01* -X126027826Y-113617480D01* -X126021430Y-113599606D01* -X126016817Y-113581190D01* -X126014032Y-113562411D01* -X126013100Y-113543450D01* -X126013100Y-113156550D01* -X126014032Y-113137589D01* -X126016817Y-113118810D01* -X126021430Y-113100394D01* -X126027826Y-113082520D01* -X126035942Y-113065358D01* -X126045702Y-113049075D01* -X126057011Y-113033827D01* -X126069760Y-113019760D01* -X126083827Y-113007011D01* -X126099075Y-112995702D01* -X126115358Y-112985942D01* -X126132520Y-112977826D01* -X126150394Y-112971430D01* -X126168810Y-112966817D01* -X126187589Y-112964032D01* -X126206550Y-112963100D01* -X126693450Y-112963100D01* -X126712411Y-112964032D01* -G37* -G36* -X126712411Y-102664032D02* -G01* -X126731190Y-102666817D01* -X126749606Y-102671430D01* -X126767480Y-102677826D01* -X126784642Y-102685942D01* -X126800925Y-102695702D01* -X126816173Y-102707011D01* -X126830240Y-102719760D01* -X126842989Y-102733827D01* -X126854298Y-102749075D01* -X126864058Y-102765358D01* -X126872174Y-102782520D01* -X126878570Y-102800394D01* -X126883183Y-102818810D01* -X126885968Y-102837589D01* -X126886900Y-102856550D01* -X126886900Y-103243450D01* -X126885968Y-103262411D01* -X126883183Y-103281190D01* -X126878570Y-103299606D01* -X126872174Y-103317480D01* -X126864058Y-103334642D01* -X126854298Y-103350925D01* -X126842989Y-103366173D01* -X126830240Y-103380240D01* -X126816173Y-103392989D01* -X126800925Y-103404298D01* -X126784642Y-103414058D01* -X126767480Y-103422174D01* -X126749606Y-103428570D01* -X126731190Y-103433183D01* -X126712411Y-103435968D01* -X126693450Y-103436900D01* -X126206550Y-103436900D01* -X126187589Y-103435968D01* -X126168810Y-103433183D01* -X126150394Y-103428570D01* -X126132520Y-103422174D01* -X126115358Y-103414058D01* -X126099075Y-103404298D01* -X126083827Y-103392989D01* -X126069760Y-103380240D01* -X126057011Y-103366173D01* -X126045702Y-103350925D01* -X126035942Y-103334642D01* -X126027826Y-103317480D01* -X126021430Y-103299606D01* -X126016817Y-103281190D01* -X126014032Y-103262411D01* -X126013100Y-103243450D01* -X126013100Y-102856550D01* -X126014032Y-102837589D01* -X126016817Y-102818810D01* -X126021430Y-102800394D01* -X126027826Y-102782520D01* -X126035942Y-102765358D01* -X126045702Y-102749075D01* -X126057011Y-102733827D01* -X126069760Y-102719760D01* -X126083827Y-102707011D01* -X126099075Y-102695702D01* -X126115358Y-102685942D01* -X126132520Y-102677826D01* -X126150394Y-102671430D01* -X126168810Y-102666817D01* -X126187589Y-102664032D01* -X126206550Y-102663100D01* -X126693450Y-102663100D01* -X126712411Y-102664032D01* -G37* -G36* -X126712411Y-104164032D02* -G01* -X126731190Y-104166817D01* -X126749606Y-104171430D01* -X126767480Y-104177826D01* -X126784642Y-104185942D01* -X126800925Y-104195702D01* -X126816173Y-104207011D01* -X126830240Y-104219760D01* -X126842989Y-104233827D01* -X126854298Y-104249075D01* -X126864058Y-104265358D01* -X126872174Y-104282520D01* -X126878570Y-104300394D01* -X126883183Y-104318810D01* -X126885968Y-104337589D01* -X126886900Y-104356550D01* -X126886900Y-104743450D01* -X126885968Y-104762411D01* -X126883183Y-104781190D01* -X126878570Y-104799606D01* -X126872174Y-104817480D01* -X126864058Y-104834642D01* -X126854298Y-104850925D01* -X126842989Y-104866173D01* -X126830240Y-104880240D01* -X126816173Y-104892989D01* -X126800925Y-104904298D01* -X126784642Y-104914058D01* -X126767480Y-104922174D01* -X126749606Y-104928570D01* -X126731190Y-104933183D01* -X126712411Y-104935968D01* -X126693450Y-104936900D01* -X126206550Y-104936900D01* -X126187589Y-104935968D01* -X126168810Y-104933183D01* -X126150394Y-104928570D01* -X126132520Y-104922174D01* -X126115358Y-104914058D01* -X126099075Y-104904298D01* -X126083827Y-104892989D01* -X126069760Y-104880240D01* -X126057011Y-104866173D01* -X126045702Y-104850925D01* -X126035942Y-104834642D01* -X126027826Y-104817480D01* -X126021430Y-104799606D01* -X126016817Y-104781190D01* -X126014032Y-104762411D01* -X126013100Y-104743450D01* -X126013100Y-104356550D01* -X126014032Y-104337589D01* -X126016817Y-104318810D01* -X126021430Y-104300394D01* -X126027826Y-104282520D01* -X126035942Y-104265358D01* -X126045702Y-104249075D01* -X126057011Y-104233827D01* -X126069760Y-104219760D01* -X126083827Y-104207011D01* -X126099075Y-104195702D01* -X126115358Y-104185942D01* -X126132520Y-104177826D01* -X126150394Y-104171430D01* -X126168810Y-104166817D01* -X126187589Y-104164032D01* -X126206550Y-104163100D01* -X126693450Y-104163100D01* -X126712411Y-104164032D01* -G37* -G36* -X117361312Y-127609272D02* -G01* -X117384945Y-127612778D01* -X117408120Y-127618583D01* -X117430614Y-127626632D01* -X117452212Y-127636846D01* -X117472704Y-127649129D01* -X117491893Y-127663361D01* -X117509595Y-127679405D01* -X117525639Y-127697107D01* -X117539871Y-127716296D01* -X117552154Y-127736788D01* -X117562368Y-127758386D01* -X117570417Y-127780880D01* -X117576222Y-127804055D01* -X117579728Y-127827688D01* -X117580900Y-127851550D01* -X117580900Y-128688450D01* -X117579728Y-128712312D01* -X117576222Y-128735945D01* -X117570417Y-128759120D01* -X117562368Y-128781614D01* -X117552154Y-128803212D01* -X117539871Y-128823704D01* -X117525639Y-128842893D01* -X117509595Y-128860595D01* -X117491893Y-128876639D01* -X117472704Y-128890871D01* -X117452212Y-128903154D01* -X117430614Y-128913368D01* -X117408120Y-128921417D01* -X117384945Y-128927222D01* -X117361312Y-128930728D01* -X117337450Y-128931900D01* -X116850550Y-128931900D01* -X116826688Y-128930728D01* -X116803055Y-128927222D01* -X116779880Y-128921417D01* -X116757386Y-128913368D01* -X116735788Y-128903154D01* -X116715296Y-128890871D01* -X116696107Y-128876639D01* -X116678405Y-128860595D01* -X116662361Y-128842893D01* -X116648129Y-128823704D01* -X116635846Y-128803212D01* -X116625632Y-128781614D01* -X116617583Y-128759120D01* -X116611778Y-128735945D01* -X116608272Y-128712312D01* -X116607100Y-128688450D01* -X116607100Y-127851550D01* -X116608272Y-127827688D01* -X116611778Y-127804055D01* -X116617583Y-127780880D01* -X116625632Y-127758386D01* -X116635846Y-127736788D01* -X116648129Y-127716296D01* -X116662361Y-127697107D01* -X116678405Y-127679405D01* -X116696107Y-127663361D01* -X116715296Y-127649129D01* -X116735788Y-127636846D01* -X116757386Y-127626632D01* -X116779880Y-127618583D01* -X116803055Y-127612778D01* -X116826688Y-127609272D01* -X116850550Y-127608100D01* -X117337450Y-127608100D01* -X117361312Y-127609272D01* -G37* -G36* -X115661312Y-127609272D02* -G01* -X115684945Y-127612778D01* -X115708120Y-127618583D01* -X115730614Y-127626632D01* -X115752212Y-127636846D01* -X115772704Y-127649129D01* -X115791893Y-127663361D01* -X115809595Y-127679405D01* -X115825639Y-127697107D01* -X115839871Y-127716296D01* -X115852154Y-127736788D01* -X115862368Y-127758386D01* -X115870417Y-127780880D01* -X115876222Y-127804055D01* -X115879728Y-127827688D01* -X115880900Y-127851550D01* -X115880900Y-128688450D01* -X115879728Y-128712312D01* -X115876222Y-128735945D01* -X115870417Y-128759120D01* -X115862368Y-128781614D01* -X115852154Y-128803212D01* -X115839871Y-128823704D01* -X115825639Y-128842893D01* -X115809595Y-128860595D01* -X115791893Y-128876639D01* -X115772704Y-128890871D01* -X115752212Y-128903154D01* -X115730614Y-128913368D01* -X115708120Y-128921417D01* -X115684945Y-128927222D01* -X115661312Y-128930728D01* -X115637450Y-128931900D01* -X115150550Y-128931900D01* -X115126688Y-128930728D01* -X115103055Y-128927222D01* -X115079880Y-128921417D01* -X115057386Y-128913368D01* -X115035788Y-128903154D01* -X115015296Y-128890871D01* -X114996107Y-128876639D01* -X114978405Y-128860595D01* -X114962361Y-128842893D01* -X114948129Y-128823704D01* -X114935846Y-128803212D01* -X114925632Y-128781614D01* -X114917583Y-128759120D01* -X114911778Y-128735945D01* -X114908272Y-128712312D01* -X114907100Y-128688450D01* -X114907100Y-127851550D01* -X114908272Y-127827688D01* -X114911778Y-127804055D01* -X114917583Y-127780880D01* -X114925632Y-127758386D01* -X114935846Y-127736788D01* -X114948129Y-127716296D01* -X114962361Y-127697107D01* -X114978405Y-127679405D01* -X114996107Y-127663361D01* -X115015296Y-127649129D01* -X115035788Y-127636846D01* -X115057386Y-127626632D01* -X115079880Y-127618583D01* -X115103055Y-127612778D01* -X115126688Y-127609272D01* -X115150550Y-127608100D01* -X115637450Y-127608100D01* -X115661312Y-127609272D01* -G37* -G36* -X119393312Y-127609272D02* -G01* -X119416945Y-127612778D01* -X119440120Y-127618583D01* -X119462614Y-127626632D01* -X119484212Y-127636846D01* -X119504704Y-127649129D01* -X119523893Y-127663361D01* -X119541595Y-127679405D01* -X119557639Y-127697107D01* -X119571871Y-127716296D01* -X119584154Y-127736788D01* -X119594368Y-127758386D01* -X119602417Y-127780880D01* -X119608222Y-127804055D01* -X119611728Y-127827688D01* -X119612900Y-127851550D01* -X119612900Y-128688450D01* -X119611728Y-128712312D01* -X119608222Y-128735945D01* -X119602417Y-128759120D01* -X119594368Y-128781614D01* -X119584154Y-128803212D01* -X119571871Y-128823704D01* -X119557639Y-128842893D01* -X119541595Y-128860595D01* -X119523893Y-128876639D01* -X119504704Y-128890871D01* -X119484212Y-128903154D01* -X119462614Y-128913368D01* -X119440120Y-128921417D01* -X119416945Y-128927222D01* -X119393312Y-128930728D01* -X119369450Y-128931900D01* -X118882550Y-128931900D01* -X118858688Y-128930728D01* -X118835055Y-128927222D01* -X118811880Y-128921417D01* -X118789386Y-128913368D01* -X118767788Y-128903154D01* -X118747296Y-128890871D01* -X118728107Y-128876639D01* -X118710405Y-128860595D01* -X118694361Y-128842893D01* -X118680129Y-128823704D01* -X118667846Y-128803212D01* -X118657632Y-128781614D01* -X118649583Y-128759120D01* -X118643778Y-128735945D01* -X118640272Y-128712312D01* -X118639100Y-128688450D01* -X118639100Y-127851550D01* -X118640272Y-127827688D01* -X118643778Y-127804055D01* -X118649583Y-127780880D01* -X118657632Y-127758386D01* -X118667846Y-127736788D01* -X118680129Y-127716296D01* -X118694361Y-127697107D01* -X118710405Y-127679405D01* -X118728107Y-127663361D01* -X118747296Y-127649129D01* -X118767788Y-127636846D01* -X118789386Y-127626632D01* -X118811880Y-127618583D01* -X118835055Y-127612778D01* -X118858688Y-127609272D01* -X118882550Y-127608100D01* -X119369450Y-127608100D01* -X119393312Y-127609272D01* -G37* -G36* -X121093312Y-127609272D02* -G01* -X121116945Y-127612778D01* -X121140120Y-127618583D01* -X121162614Y-127626632D01* -X121184212Y-127636846D01* -X121204704Y-127649129D01* -X121223893Y-127663361D01* -X121241595Y-127679405D01* -X121257639Y-127697107D01* -X121271871Y-127716296D01* -X121284154Y-127736788D01* -X121294368Y-127758386D01* -X121302417Y-127780880D01* -X121308222Y-127804055D01* -X121311728Y-127827688D01* -X121312900Y-127851550D01* -X121312900Y-128688450D01* -X121311728Y-128712312D01* -X121308222Y-128735945D01* -X121302417Y-128759120D01* -X121294368Y-128781614D01* -X121284154Y-128803212D01* -X121271871Y-128823704D01* -X121257639Y-128842893D01* -X121241595Y-128860595D01* -X121223893Y-128876639D01* -X121204704Y-128890871D01* -X121184212Y-128903154D01* -X121162614Y-128913368D01* -X121140120Y-128921417D01* -X121116945Y-128927222D01* -X121093312Y-128930728D01* -X121069450Y-128931900D01* -X120582550Y-128931900D01* -X120558688Y-128930728D01* -X120535055Y-128927222D01* -X120511880Y-128921417D01* -X120489386Y-128913368D01* -X120467788Y-128903154D01* -X120447296Y-128890871D01* -X120428107Y-128876639D01* -X120410405Y-128860595D01* -X120394361Y-128842893D01* -X120380129Y-128823704D01* -X120367846Y-128803212D01* -X120357632Y-128781614D01* -X120349583Y-128759120D01* -X120343778Y-128735945D01* -X120340272Y-128712312D01* -X120339100Y-128688450D01* -X120339100Y-127851550D01* -X120340272Y-127827688D01* -X120343778Y-127804055D01* -X120349583Y-127780880D01* -X120357632Y-127758386D01* -X120367846Y-127736788D01* -X120380129Y-127716296D01* -X120394361Y-127697107D01* -X120410405Y-127679405D01* -X120428107Y-127663361D01* -X120447296Y-127649129D01* -X120467788Y-127636846D01* -X120489386Y-127626632D01* -X120511880Y-127618583D01* -X120535055Y-127612778D01* -X120558688Y-127609272D01* -X120582550Y-127608100D01* -X121069450Y-127608100D01* -X121093312Y-127609272D01* -G37* -G36* -X76467312Y-127609272D02* -G01* -X76490945Y-127612778D01* -X76514120Y-127618583D01* -X76536614Y-127626632D01* -X76558212Y-127636846D01* -X76578704Y-127649129D01* -X76597893Y-127663361D01* -X76615595Y-127679405D01* -X76631639Y-127697107D01* -X76645871Y-127716296D01* -X76658154Y-127736788D01* -X76668368Y-127758386D01* -X76676417Y-127780880D01* -X76682222Y-127804055D01* -X76685728Y-127827688D01* -X76686900Y-127851550D01* -X76686900Y-128688450D01* -X76685728Y-128712312D01* -X76682222Y-128735945D01* -X76676417Y-128759120D01* -X76668368Y-128781614D01* -X76658154Y-128803212D01* -X76645871Y-128823704D01* -X76631639Y-128842893D01* -X76615595Y-128860595D01* -X76597893Y-128876639D01* -X76578704Y-128890871D01* -X76558212Y-128903154D01* -X76536614Y-128913368D01* -X76514120Y-128921417D01* -X76490945Y-128927222D01* -X76467312Y-128930728D01* -X76443450Y-128931900D01* -X75956550Y-128931900D01* -X75932688Y-128930728D01* -X75909055Y-128927222D01* -X75885880Y-128921417D01* -X75863386Y-128913368D01* -X75841788Y-128903154D01* -X75821296Y-128890871D01* -X75802107Y-128876639D01* -X75784405Y-128860595D01* -X75768361Y-128842893D01* -X75754129Y-128823704D01* -X75741846Y-128803212D01* -X75731632Y-128781614D01* -X75723583Y-128759120D01* -X75717778Y-128735945D01* -X75714272Y-128712312D01* -X75713100Y-128688450D01* -X75713100Y-127851550D01* -X75714272Y-127827688D01* -X75717778Y-127804055D01* -X75723583Y-127780880D01* -X75731632Y-127758386D01* -X75741846Y-127736788D01* -X75754129Y-127716296D01* -X75768361Y-127697107D01* -X75784405Y-127679405D01* -X75802107Y-127663361D01* -X75821296Y-127649129D01* -X75841788Y-127636846D01* -X75863386Y-127626632D01* -X75885880Y-127618583D01* -X75909055Y-127612778D01* -X75932688Y-127609272D01* -X75956550Y-127608100D01* -X76443450Y-127608100D01* -X76467312Y-127609272D01* -G37* -G36* -X74767312Y-127609272D02* -G01* -X74790945Y-127612778D01* -X74814120Y-127618583D01* -X74836614Y-127626632D01* -X74858212Y-127636846D01* -X74878704Y-127649129D01* -X74897893Y-127663361D01* -X74915595Y-127679405D01* -X74931639Y-127697107D01* -X74945871Y-127716296D01* -X74958154Y-127736788D01* -X74968368Y-127758386D01* -X74976417Y-127780880D01* -X74982222Y-127804055D01* -X74985728Y-127827688D01* -X74986900Y-127851550D01* -X74986900Y-128688450D01* -X74985728Y-128712312D01* -X74982222Y-128735945D01* -X74976417Y-128759120D01* -X74968368Y-128781614D01* -X74958154Y-128803212D01* -X74945871Y-128823704D01* -X74931639Y-128842893D01* -X74915595Y-128860595D01* -X74897893Y-128876639D01* -X74878704Y-128890871D01* -X74858212Y-128903154D01* -X74836614Y-128913368D01* -X74814120Y-128921417D01* -X74790945Y-128927222D01* -X74767312Y-128930728D01* -X74743450Y-128931900D01* -X74256550Y-128931900D01* -X74232688Y-128930728D01* -X74209055Y-128927222D01* -X74185880Y-128921417D01* -X74163386Y-128913368D01* -X74141788Y-128903154D01* -X74121296Y-128890871D01* -X74102107Y-128876639D01* -X74084405Y-128860595D01* -X74068361Y-128842893D01* -X74054129Y-128823704D01* -X74041846Y-128803212D01* -X74031632Y-128781614D01* -X74023583Y-128759120D01* -X74017778Y-128735945D01* -X74014272Y-128712312D01* -X74013100Y-128688450D01* -X74013100Y-127851550D01* -X74014272Y-127827688D01* -X74017778Y-127804055D01* -X74023583Y-127780880D01* -X74031632Y-127758386D01* -X74041846Y-127736788D01* -X74054129Y-127716296D01* -X74068361Y-127697107D01* -X74084405Y-127679405D01* -X74102107Y-127663361D01* -X74121296Y-127649129D01* -X74141788Y-127636846D01* -X74163386Y-127626632D01* -X74185880Y-127618583D01* -X74209055Y-127612778D01* -X74232688Y-127609272D01* -X74256550Y-127608100D01* -X74743450Y-127608100D01* -X74767312Y-127609272D01* -G37* -G36* -X104011368Y-103165927D02* -G01* -X104030055Y-103168699D01* -X104048380Y-103173289D01* -X104066167Y-103179653D01* -X104083244Y-103187730D01* -X104099447Y-103197442D01* -X104114621Y-103208695D01* -X104128618Y-103221382D01* -X104141305Y-103235379D01* -X104152558Y-103250553D01* -X104162270Y-103266756D01* -X104170347Y-103283833D01* -X104176711Y-103301620D01* -X104181301Y-103319945D01* -X104184073Y-103338632D01* -X104185000Y-103357500D01* -X104185000Y-103742500D01* -X104184073Y-103761368D01* -X104181301Y-103780055D01* -X104176711Y-103798380D01* -X104170347Y-103816167D01* -X104162270Y-103833244D01* -X104152558Y-103849447D01* -X104141305Y-103864621D01* -X104128618Y-103878618D01* -X104114621Y-103891305D01* -X104099447Y-103902558D01* -X104083244Y-103912270D01* -X104066167Y-103920347D01* -X104048380Y-103926711D01* -X104030055Y-103931301D01* -X104011368Y-103934073D01* -X103992500Y-103935000D01* -X103507500Y-103935000D01* -X103488632Y-103934073D01* -X103469945Y-103931301D01* -X103451620Y-103926711D01* -X103433833Y-103920347D01* -X103416756Y-103912270D01* -X103400553Y-103902558D01* -X103385379Y-103891305D01* -X103371382Y-103878618D01* -X103358695Y-103864621D01* -X103347442Y-103849447D01* -X103337730Y-103833244D01* -X103329653Y-103816167D01* -X103323289Y-103798380D01* -X103318699Y-103780055D01* -X103315927Y-103761368D01* -X103315000Y-103742500D01* -X103315000Y-103357500D01* -X103315927Y-103338632D01* -X103318699Y-103319945D01* -X103323289Y-103301620D01* -X103329653Y-103283833D01* -X103337730Y-103266756D01* -X103347442Y-103250553D01* -X103358695Y-103235379D01* -X103371382Y-103221382D01* -X103385379Y-103208695D01* -X103400553Y-103197442D01* -X103416756Y-103187730D01* -X103433833Y-103179653D01* -X103451620Y-103173289D01* -X103469945Y-103168699D01* -X103488632Y-103165927D01* -X103507500Y-103165000D01* -X103992500Y-103165000D01* -X104011368Y-103165927D01* -G37* -G36* -X104011368Y-104665927D02* -G01* -X104030055Y-104668699D01* -X104048380Y-104673289D01* -X104066167Y-104679653D01* -X104083244Y-104687730D01* -X104099447Y-104697442D01* -X104114621Y-104708695D01* -X104128618Y-104721382D01* -X104141305Y-104735379D01* -X104152558Y-104750553D01* -X104162270Y-104766756D01* -X104170347Y-104783833D01* -X104176711Y-104801620D01* -X104181301Y-104819945D01* -X104184073Y-104838632D01* -X104185000Y-104857500D01* -X104185000Y-105242500D01* -X104184073Y-105261368D01* -X104181301Y-105280055D01* -X104176711Y-105298380D01* -X104170347Y-105316167D01* -X104162270Y-105333244D01* -X104152558Y-105349447D01* -X104141305Y-105364621D01* -X104128618Y-105378618D01* -X104114621Y-105391305D01* -X104099447Y-105402558D01* -X104083244Y-105412270D01* -X104066167Y-105420347D01* -X104048380Y-105426711D01* -X104030055Y-105431301D01* -X104011368Y-105434073D01* -X103992500Y-105435000D01* -X103507500Y-105435000D01* -X103488632Y-105434073D01* -X103469945Y-105431301D01* -X103451620Y-105426711D01* -X103433833Y-105420347D01* -X103416756Y-105412270D01* -X103400553Y-105402558D01* -X103385379Y-105391305D01* -X103371382Y-105378618D01* -X103358695Y-105364621D01* -X103347442Y-105349447D01* -X103337730Y-105333244D01* -X103329653Y-105316167D01* -X103323289Y-105298380D01* -X103318699Y-105280055D01* -X103315927Y-105261368D01* -X103315000Y-105242500D01* -X103315000Y-104857500D01* -X103315927Y-104838632D01* -X103318699Y-104819945D01* -X103323289Y-104801620D01* -X103329653Y-104783833D01* -X103337730Y-104766756D01* -X103347442Y-104750553D01* -X103358695Y-104735379D01* -X103371382Y-104721382D01* -X103385379Y-104708695D01* -X103400553Y-104697442D01* -X103416756Y-104687730D01* -X103433833Y-104679653D01* -X103451620Y-104673289D01* -X103469945Y-104668699D01* -X103488632Y-104665927D01* -X103507500Y-104665000D01* -X103992500Y-104665000D01* -X104011368Y-104665927D01* -G37* -G36* -X98761368Y-110665927D02* -G01* -X98780055Y-110668699D01* -X98798380Y-110673289D01* -X98816167Y-110679653D01* -X98833244Y-110687730D01* -X98849447Y-110697442D01* -X98864621Y-110708695D01* -X98878618Y-110721382D01* -X98891305Y-110735379D01* -X98902558Y-110750553D01* -X98912270Y-110766756D01* -X98920347Y-110783833D01* -X98926711Y-110801620D01* -X98931301Y-110819945D01* -X98934073Y-110838632D01* -X98935000Y-110857500D01* -X98935000Y-111342500D01* -X98934073Y-111361368D01* -X98931301Y-111380055D01* -X98926711Y-111398380D01* -X98920347Y-111416167D01* -X98912270Y-111433244D01* -X98902558Y-111449447D01* -X98891305Y-111464621D01* -X98878618Y-111478618D01* -X98864621Y-111491305D01* -X98849447Y-111502558D01* -X98833244Y-111512270D01* -X98816167Y-111520347D01* -X98798380Y-111526711D01* -X98780055Y-111531301D01* -X98761368Y-111534073D01* -X98742500Y-111535000D01* -X98357500Y-111535000D01* -X98338632Y-111534073D01* -X98319945Y-111531301D01* -X98301620Y-111526711D01* -X98283833Y-111520347D01* -X98266756Y-111512270D01* -X98250553Y-111502558D01* -X98235379Y-111491305D01* -X98221382Y-111478618D01* -X98208695Y-111464621D01* -X98197442Y-111449447D01* -X98187730Y-111433244D01* -X98179653Y-111416167D01* -X98173289Y-111398380D01* -X98168699Y-111380055D01* -X98165927Y-111361368D01* -X98165000Y-111342500D01* -X98165000Y-110857500D01* -X98165927Y-110838632D01* -X98168699Y-110819945D01* -X98173289Y-110801620D01* -X98179653Y-110783833D01* -X98187730Y-110766756D01* -X98197442Y-110750553D01* -X98208695Y-110735379D01* -X98221382Y-110721382D01* -X98235379Y-110708695D01* -X98250553Y-110697442D01* -X98266756Y-110687730D01* -X98283833Y-110679653D01* -X98301620Y-110673289D01* -X98319945Y-110668699D01* -X98338632Y-110665927D01* -X98357500Y-110665000D01* -X98742500Y-110665000D01* -X98761368Y-110665927D01* -G37* -G36* -X97261368Y-110665927D02* -G01* -X97280055Y-110668699D01* -X97298380Y-110673289D01* -X97316167Y-110679653D01* -X97333244Y-110687730D01* -X97349447Y-110697442D01* -X97364621Y-110708695D01* -X97378618Y-110721382D01* -X97391305Y-110735379D01* -X97402558Y-110750553D01* -X97412270Y-110766756D01* -X97420347Y-110783833D01* -X97426711Y-110801620D01* -X97431301Y-110819945D01* -X97434073Y-110838632D01* -X97435000Y-110857500D01* -X97435000Y-111342500D01* -X97434073Y-111361368D01* -X97431301Y-111380055D01* -X97426711Y-111398380D01* -X97420347Y-111416167D01* -X97412270Y-111433244D01* -X97402558Y-111449447D01* -X97391305Y-111464621D01* -X97378618Y-111478618D01* -X97364621Y-111491305D01* -X97349447Y-111502558D01* -X97333244Y-111512270D01* -X97316167Y-111520347D01* -X97298380Y-111526711D01* -X97280055Y-111531301D01* -X97261368Y-111534073D01* -X97242500Y-111535000D01* -X96857500Y-111535000D01* -X96838632Y-111534073D01* -X96819945Y-111531301D01* -X96801620Y-111526711D01* -X96783833Y-111520347D01* -X96766756Y-111512270D01* -X96750553Y-111502558D01* -X96735379Y-111491305D01* -X96721382Y-111478618D01* -X96708695Y-111464621D01* -X96697442Y-111449447D01* -X96687730Y-111433244D01* -X96679653Y-111416167D01* -X96673289Y-111398380D01* -X96668699Y-111380055D01* -X96665927Y-111361368D01* -X96665000Y-111342500D01* -X96665000Y-110857500D01* -X96665927Y-110838632D01* -X96668699Y-110819945D01* -X96673289Y-110801620D01* -X96679653Y-110783833D01* -X96687730Y-110766756D01* -X96697442Y-110750553D01* -X96708695Y-110735379D01* -X96721382Y-110721382D01* -X96735379Y-110708695D01* -X96750553Y-110697442D01* -X96766756Y-110687730D01* -X96783833Y-110679653D01* -X96801620Y-110673289D01* -X96819945Y-110668699D01* -X96838632Y-110665927D01* -X96857500Y-110665000D01* -X97242500Y-110665000D01* -X97261368Y-110665927D01* -G37* -G36* -X84611368Y-101265927D02* -G01* -X84630055Y-101268699D01* -X84648380Y-101273289D01* -X84666167Y-101279653D01* -X84683244Y-101287730D01* -X84699447Y-101297442D01* -X84714621Y-101308695D01* -X84728618Y-101321382D01* -X84741305Y-101335379D01* -X84752558Y-101350553D01* -X84762270Y-101366756D01* -X84770347Y-101383833D01* -X84776711Y-101401620D01* -X84781301Y-101419945D01* -X84784073Y-101438632D01* -X84785000Y-101457500D01* -X84785000Y-101842500D01* -X84784073Y-101861368D01* -X84781301Y-101880055D01* -X84776711Y-101898380D01* -X84770347Y-101916167D01* -X84762270Y-101933244D01* -X84752558Y-101949447D01* -X84741305Y-101964621D01* -X84728618Y-101978618D01* -X84714621Y-101991305D01* -X84699447Y-102002558D01* -X84683244Y-102012270D01* -X84666167Y-102020347D01* -X84648380Y-102026711D01* -X84630055Y-102031301D01* -X84611368Y-102034073D01* -X84592500Y-102035000D01* -X84107500Y-102035000D01* -X84088632Y-102034073D01* -X84069945Y-102031301D01* -X84051620Y-102026711D01* -X84033833Y-102020347D01* -X84016756Y-102012270D01* -X84000553Y-102002558D01* -X83985379Y-101991305D01* -X83971382Y-101978618D01* -X83958695Y-101964621D01* -X83947442Y-101949447D01* -X83937730Y-101933244D01* -X83929653Y-101916167D01* -X83923289Y-101898380D01* -X83918699Y-101880055D01* -X83915927Y-101861368D01* -X83915000Y-101842500D01* -X83915000Y-101457500D01* -X83915927Y-101438632D01* -X83918699Y-101419945D01* -X83923289Y-101401620D01* -X83929653Y-101383833D01* -X83937730Y-101366756D01* -X83947442Y-101350553D01* -X83958695Y-101335379D01* -X83971382Y-101321382D01* -X83985379Y-101308695D01* -X84000553Y-101297442D01* -X84016756Y-101287730D01* -X84033833Y-101279653D01* -X84051620Y-101273289D01* -X84069945Y-101268699D01* -X84088632Y-101265927D01* -X84107500Y-101265000D01* -X84592500Y-101265000D01* -X84611368Y-101265927D01* -G37* -G36* -X84611368Y-99765927D02* -G01* -X84630055Y-99768699D01* -X84648380Y-99773289D01* -X84666167Y-99779653D01* -X84683244Y-99787730D01* -X84699447Y-99797442D01* -X84714621Y-99808695D01* -X84728618Y-99821382D01* -X84741305Y-99835379D01* -X84752558Y-99850553D01* -X84762270Y-99866756D01* -X84770347Y-99883833D01* -X84776711Y-99901620D01* -X84781301Y-99919945D01* -X84784073Y-99938632D01* -X84785000Y-99957500D01* -X84785000Y-100342500D01* -X84784073Y-100361368D01* -X84781301Y-100380055D01* -X84776711Y-100398380D01* -X84770347Y-100416167D01* -X84762270Y-100433244D01* -X84752558Y-100449447D01* -X84741305Y-100464621D01* -X84728618Y-100478618D01* -X84714621Y-100491305D01* -X84699447Y-100502558D01* -X84683244Y-100512270D01* -X84666167Y-100520347D01* -X84648380Y-100526711D01* -X84630055Y-100531301D01* -X84611368Y-100534073D01* -X84592500Y-100535000D01* -X84107500Y-100535000D01* -X84088632Y-100534073D01* -X84069945Y-100531301D01* -X84051620Y-100526711D01* -X84033833Y-100520347D01* -X84016756Y-100512270D01* -X84000553Y-100502558D01* -X83985379Y-100491305D01* -X83971382Y-100478618D01* -X83958695Y-100464621D01* -X83947442Y-100449447D01* -X83937730Y-100433244D01* -X83929653Y-100416167D01* -X83923289Y-100398380D01* -X83918699Y-100380055D01* -X83915927Y-100361368D01* -X83915000Y-100342500D01* -X83915000Y-99957500D01* -X83915927Y-99938632D01* -X83918699Y-99919945D01* -X83923289Y-99901620D01* -X83929653Y-99883833D01* -X83937730Y-99866756D01* -X83947442Y-99850553D01* -X83958695Y-99835379D01* -X83971382Y-99821382D01* -X83985379Y-99808695D01* -X84000553Y-99797442D01* -X84016756Y-99787730D01* -X84033833Y-99779653D01* -X84051620Y-99773289D01* -X84069945Y-99768699D01* -X84088632Y-99765927D01* -X84107500Y-99765000D01* -X84592500Y-99765000D01* -X84611368Y-99765927D01* -G37* -G36* -X98111368Y-91265927D02* -G01* -X98130055Y-91268699D01* -X98148380Y-91273289D01* -X98166167Y-91279653D01* -X98183244Y-91287730D01* -X98199447Y-91297442D01* -X98214621Y-91308695D01* -X98228618Y-91321382D01* -X98241305Y-91335379D01* -X98252558Y-91350553D01* -X98262270Y-91366756D01* -X98270347Y-91383833D01* -X98276711Y-91401620D01* -X98281301Y-91419945D01* -X98284073Y-91438632D01* -X98285000Y-91457500D01* -X98285000Y-91942500D01* -X98284073Y-91961368D01* -X98281301Y-91980055D01* -X98276711Y-91998380D01* -X98270347Y-92016167D01* -X98262270Y-92033244D01* -X98252558Y-92049447D01* -X98241305Y-92064621D01* -X98228618Y-92078618D01* -X98214621Y-92091305D01* -X98199447Y-92102558D01* -X98183244Y-92112270D01* -X98166167Y-92120347D01* -X98148380Y-92126711D01* -X98130055Y-92131301D01* -X98111368Y-92134073D01* -X98092500Y-92135000D01* -X97707500Y-92135000D01* -X97688632Y-92134073D01* -X97669945Y-92131301D01* -X97651620Y-92126711D01* -X97633833Y-92120347D01* -X97616756Y-92112270D01* -X97600553Y-92102558D01* -X97585379Y-92091305D01* -X97571382Y-92078618D01* -X97558695Y-92064621D01* -X97547442Y-92049447D01* -X97537730Y-92033244D01* -X97529653Y-92016167D01* -X97523289Y-91998380D01* -X97518699Y-91980055D01* -X97515927Y-91961368D01* -X97515000Y-91942500D01* -X97515000Y-91457500D01* -X97515927Y-91438632D01* -X97518699Y-91419945D01* -X97523289Y-91401620D01* -X97529653Y-91383833D01* -X97537730Y-91366756D01* -X97547442Y-91350553D01* -X97558695Y-91335379D01* -X97571382Y-91321382D01* -X97585379Y-91308695D01* -X97600553Y-91297442D01* -X97616756Y-91287730D01* -X97633833Y-91279653D01* -X97651620Y-91273289D01* -X97669945Y-91268699D01* -X97688632Y-91265927D01* -X97707500Y-91265000D01* -X98092500Y-91265000D01* -X98111368Y-91265927D01* -G37* -G36* -X96611368Y-91265927D02* -G01* -X96630055Y-91268699D01* -X96648380Y-91273289D01* -X96666167Y-91279653D01* -X96683244Y-91287730D01* -X96699447Y-91297442D01* -X96714621Y-91308695D01* -X96728618Y-91321382D01* -X96741305Y-91335379D01* -X96752558Y-91350553D01* -X96762270Y-91366756D01* -X96770347Y-91383833D01* -X96776711Y-91401620D01* -X96781301Y-91419945D01* -X96784073Y-91438632D01* -X96785000Y-91457500D01* -X96785000Y-91942500D01* -X96784073Y-91961368D01* -X96781301Y-91980055D01* -X96776711Y-91998380D01* -X96770347Y-92016167D01* -X96762270Y-92033244D01* -X96752558Y-92049447D01* -X96741305Y-92064621D01* -X96728618Y-92078618D01* -X96714621Y-92091305D01* -X96699447Y-92102558D01* -X96683244Y-92112270D01* -X96666167Y-92120347D01* -X96648380Y-92126711D01* -X96630055Y-92131301D01* -X96611368Y-92134073D01* -X96592500Y-92135000D01* -X96207500Y-92135000D01* -X96188632Y-92134073D01* -X96169945Y-92131301D01* -X96151620Y-92126711D01* -X96133833Y-92120347D01* -X96116756Y-92112270D01* -X96100553Y-92102558D01* -X96085379Y-92091305D01* -X96071382Y-92078618D01* -X96058695Y-92064621D01* -X96047442Y-92049447D01* -X96037730Y-92033244D01* -X96029653Y-92016167D01* -X96023289Y-91998380D01* -X96018699Y-91980055D01* -X96015927Y-91961368D01* -X96015000Y-91942500D01* -X96015000Y-91457500D01* -X96015927Y-91438632D01* -X96018699Y-91419945D01* -X96023289Y-91401620D01* -X96029653Y-91383833D01* -X96037730Y-91366756D01* -X96047442Y-91350553D01* -X96058695Y-91335379D01* -X96071382Y-91321382D01* -X96085379Y-91308695D01* -X96100553Y-91297442D01* -X96116756Y-91287730D01* -X96133833Y-91279653D01* -X96151620Y-91273289D01* -X96169945Y-91268699D01* -X96188632Y-91265927D01* -X96207500Y-91265000D01* -X96592500Y-91265000D01* -X96611368Y-91265927D01* -G37* -G36* -X89611368Y-91265927D02* -G01* -X89630055Y-91268699D01* -X89648380Y-91273289D01* -X89666167Y-91279653D01* -X89683244Y-91287730D01* -X89699447Y-91297442D01* -X89714621Y-91308695D01* -X89728618Y-91321382D01* -X89741305Y-91335379D01* -X89752558Y-91350553D01* -X89762270Y-91366756D01* -X89770347Y-91383833D01* -X89776711Y-91401620D01* -X89781301Y-91419945D01* -X89784073Y-91438632D01* -X89785000Y-91457500D01* -X89785000Y-91942500D01* -X89784073Y-91961368D01* -X89781301Y-91980055D01* -X89776711Y-91998380D01* -X89770347Y-92016167D01* -X89762270Y-92033244D01* -X89752558Y-92049447D01* -X89741305Y-92064621D01* -X89728618Y-92078618D01* -X89714621Y-92091305D01* -X89699447Y-92102558D01* -X89683244Y-92112270D01* -X89666167Y-92120347D01* -X89648380Y-92126711D01* -X89630055Y-92131301D01* -X89611368Y-92134073D01* -X89592500Y-92135000D01* -X89207500Y-92135000D01* -X89188632Y-92134073D01* -X89169945Y-92131301D01* -X89151620Y-92126711D01* -X89133833Y-92120347D01* -X89116756Y-92112270D01* -X89100553Y-92102558D01* -X89085379Y-92091305D01* -X89071382Y-92078618D01* -X89058695Y-92064621D01* -X89047442Y-92049447D01* -X89037730Y-92033244D01* -X89029653Y-92016167D01* -X89023289Y-91998380D01* -X89018699Y-91980055D01* -X89015927Y-91961368D01* -X89015000Y-91942500D01* -X89015000Y-91457500D01* -X89015927Y-91438632D01* -X89018699Y-91419945D01* -X89023289Y-91401620D01* -X89029653Y-91383833D01* -X89037730Y-91366756D01* -X89047442Y-91350553D01* -X89058695Y-91335379D01* -X89071382Y-91321382D01* -X89085379Y-91308695D01* -X89100553Y-91297442D01* -X89116756Y-91287730D01* -X89133833Y-91279653D01* -X89151620Y-91273289D01* -X89169945Y-91268699D01* -X89188632Y-91265927D01* -X89207500Y-91265000D01* -X89592500Y-91265000D01* -X89611368Y-91265927D01* -G37* -G36* -X91111368Y-91265927D02* -G01* -X91130055Y-91268699D01* -X91148380Y-91273289D01* -X91166167Y-91279653D01* -X91183244Y-91287730D01* -X91199447Y-91297442D01* -X91214621Y-91308695D01* -X91228618Y-91321382D01* -X91241305Y-91335379D01* -X91252558Y-91350553D01* -X91262270Y-91366756D01* -X91270347Y-91383833D01* -X91276711Y-91401620D01* -X91281301Y-91419945D01* -X91284073Y-91438632D01* -X91285000Y-91457500D01* -X91285000Y-91942500D01* -X91284073Y-91961368D01* -X91281301Y-91980055D01* -X91276711Y-91998380D01* -X91270347Y-92016167D01* -X91262270Y-92033244D01* -X91252558Y-92049447D01* -X91241305Y-92064621D01* -X91228618Y-92078618D01* -X91214621Y-92091305D01* -X91199447Y-92102558D01* -X91183244Y-92112270D01* -X91166167Y-92120347D01* -X91148380Y-92126711D01* -X91130055Y-92131301D01* -X91111368Y-92134073D01* -X91092500Y-92135000D01* -X90707500Y-92135000D01* -X90688632Y-92134073D01* -X90669945Y-92131301D01* -X90651620Y-92126711D01* -X90633833Y-92120347D01* -X90616756Y-92112270D01* -X90600553Y-92102558D01* -X90585379Y-92091305D01* -X90571382Y-92078618D01* -X90558695Y-92064621D01* -X90547442Y-92049447D01* -X90537730Y-92033244D01* -X90529653Y-92016167D01* -X90523289Y-91998380D01* -X90518699Y-91980055D01* -X90515927Y-91961368D01* -X90515000Y-91942500D01* -X90515000Y-91457500D01* -X90515927Y-91438632D01* -X90518699Y-91419945D01* -X90523289Y-91401620D01* -X90529653Y-91383833D01* -X90537730Y-91366756D01* -X90547442Y-91350553D01* -X90558695Y-91335379D01* -X90571382Y-91321382D01* -X90585379Y-91308695D01* -X90600553Y-91297442D01* -X90616756Y-91287730D01* -X90633833Y-91279653D01* -X90651620Y-91273289D01* -X90669945Y-91268699D01* -X90688632Y-91265927D01* -X90707500Y-91265000D01* -X91092500Y-91265000D01* -X91111368Y-91265927D01* -G37* -G36* -X84611368Y-96865927D02* -G01* -X84630055Y-96868699D01* -X84648380Y-96873289D01* -X84666167Y-96879653D01* -X84683244Y-96887730D01* -X84699447Y-96897442D01* -X84714621Y-96908695D01* -X84728618Y-96921382D01* -X84741305Y-96935379D01* -X84752558Y-96950553D01* -X84762270Y-96966756D01* -X84770347Y-96983833D01* -X84776711Y-97001620D01* -X84781301Y-97019945D01* -X84784073Y-97038632D01* -X84785000Y-97057500D01* -X84785000Y-97442500D01* -X84784073Y-97461368D01* -X84781301Y-97480055D01* -X84776711Y-97498380D01* -X84770347Y-97516167D01* -X84762270Y-97533244D01* -X84752558Y-97549447D01* -X84741305Y-97564621D01* -X84728618Y-97578618D01* -X84714621Y-97591305D01* -X84699447Y-97602558D01* -X84683244Y-97612270D01* -X84666167Y-97620347D01* -X84648380Y-97626711D01* -X84630055Y-97631301D01* -X84611368Y-97634073D01* -X84592500Y-97635000D01* -X84107500Y-97635000D01* -X84088632Y-97634073D01* -X84069945Y-97631301D01* -X84051620Y-97626711D01* -X84033833Y-97620347D01* -X84016756Y-97612270D01* -X84000553Y-97602558D01* -X83985379Y-97591305D01* -X83971382Y-97578618D01* -X83958695Y-97564621D01* -X83947442Y-97549447D01* -X83937730Y-97533244D01* -X83929653Y-97516167D01* -X83923289Y-97498380D01* -X83918699Y-97480055D01* -X83915927Y-97461368D01* -X83915000Y-97442500D01* -X83915000Y-97057500D01* -X83915927Y-97038632D01* -X83918699Y-97019945D01* -X83923289Y-97001620D01* -X83929653Y-96983833D01* -X83937730Y-96966756D01* -X83947442Y-96950553D01* -X83958695Y-96935379D01* -X83971382Y-96921382D01* -X83985379Y-96908695D01* -X84000553Y-96897442D01* -X84016756Y-96887730D01* -X84033833Y-96879653D01* -X84051620Y-96873289D01* -X84069945Y-96868699D01* -X84088632Y-96865927D01* -X84107500Y-96865000D01* -X84592500Y-96865000D01* -X84611368Y-96865927D01* -G37* -G36* -X84611368Y-98365927D02* -G01* -X84630055Y-98368699D01* -X84648380Y-98373289D01* -X84666167Y-98379653D01* -X84683244Y-98387730D01* -X84699447Y-98397442D01* -X84714621Y-98408695D01* -X84728618Y-98421382D01* -X84741305Y-98435379D01* -X84752558Y-98450553D01* -X84762270Y-98466756D01* -X84770347Y-98483833D01* -X84776711Y-98501620D01* -X84781301Y-98519945D01* -X84784073Y-98538632D01* -X84785000Y-98557500D01* -X84785000Y-98942500D01* -X84784073Y-98961368D01* -X84781301Y-98980055D01* -X84776711Y-98998380D01* -X84770347Y-99016167D01* -X84762270Y-99033244D01* -X84752558Y-99049447D01* -X84741305Y-99064621D01* -X84728618Y-99078618D01* -X84714621Y-99091305D01* -X84699447Y-99102558D01* -X84683244Y-99112270D01* -X84666167Y-99120347D01* -X84648380Y-99126711D01* -X84630055Y-99131301D01* -X84611368Y-99134073D01* -X84592500Y-99135000D01* -X84107500Y-99135000D01* -X84088632Y-99134073D01* -X84069945Y-99131301D01* -X84051620Y-99126711D01* -X84033833Y-99120347D01* -X84016756Y-99112270D01* -X84000553Y-99102558D01* -X83985379Y-99091305D01* -X83971382Y-99078618D01* -X83958695Y-99064621D01* -X83947442Y-99049447D01* -X83937730Y-99033244D01* -X83929653Y-99016167D01* -X83923289Y-98998380D01* -X83918699Y-98980055D01* -X83915927Y-98961368D01* -X83915000Y-98942500D01* -X83915000Y-98557500D01* -X83915927Y-98538632D01* -X83918699Y-98519945D01* -X83923289Y-98501620D01* -X83929653Y-98483833D01* -X83937730Y-98466756D01* -X83947442Y-98450553D01* -X83958695Y-98435379D01* -X83971382Y-98421382D01* -X83985379Y-98408695D01* -X84000553Y-98397442D01* -X84016756Y-98387730D01* -X84033833Y-98379653D01* -X84051620Y-98373289D01* -X84069945Y-98368699D01* -X84088632Y-98365927D01* -X84107500Y-98365000D01* -X84592500Y-98365000D01* -X84611368Y-98365927D01* -G37* -G36* -X86061368Y-120165927D02* -G01* -X86080055Y-120168699D01* -X86098380Y-120173289D01* -X86116167Y-120179653D01* -X86133244Y-120187730D01* -X86149447Y-120197442D01* -X86164621Y-120208695D01* -X86178618Y-120221382D01* -X86191305Y-120235379D01* -X86202558Y-120250553D01* -X86212270Y-120266756D01* -X86220347Y-120283833D01* -X86226711Y-120301620D01* -X86231301Y-120319945D01* -X86234073Y-120338632D01* -X86235000Y-120357500D01* -X86235000Y-120742500D01* -X86234073Y-120761368D01* -X86231301Y-120780055D01* -X86226711Y-120798380D01* -X86220347Y-120816167D01* -X86212270Y-120833244D01* -X86202558Y-120849447D01* -X86191305Y-120864621D01* -X86178618Y-120878618D01* -X86164621Y-120891305D01* -X86149447Y-120902558D01* -X86133244Y-120912270D01* -X86116167Y-120920347D01* -X86098380Y-120926711D01* -X86080055Y-120931301D01* -X86061368Y-120934073D01* -X86042500Y-120935000D01* -X85557500Y-120935000D01* -X85538632Y-120934073D01* -X85519945Y-120931301D01* -X85501620Y-120926711D01* -X85483833Y-120920347D01* -X85466756Y-120912270D01* -X85450553Y-120902558D01* -X85435379Y-120891305D01* -X85421382Y-120878618D01* -X85408695Y-120864621D01* -X85397442Y-120849447D01* -X85387730Y-120833244D01* -X85379653Y-120816167D01* -X85373289Y-120798380D01* -X85368699Y-120780055D01* -X85365927Y-120761368D01* -X85365000Y-120742500D01* -X85365000Y-120357500D01* -X85365927Y-120338632D01* -X85368699Y-120319945D01* -X85373289Y-120301620D01* -X85379653Y-120283833D01* -X85387730Y-120266756D01* -X85397442Y-120250553D01* -X85408695Y-120235379D01* -X85421382Y-120221382D01* -X85435379Y-120208695D01* -X85450553Y-120197442D01* -X85466756Y-120187730D01* -X85483833Y-120179653D01* -X85501620Y-120173289D01* -X85519945Y-120168699D01* -X85538632Y-120165927D01* -X85557500Y-120165000D01* -X86042500Y-120165000D01* -X86061368Y-120165927D01* -G37* -G36* -X86061368Y-118665927D02* -G01* -X86080055Y-118668699D01* -X86098380Y-118673289D01* -X86116167Y-118679653D01* -X86133244Y-118687730D01* -X86149447Y-118697442D01* -X86164621Y-118708695D01* -X86178618Y-118721382D01* -X86191305Y-118735379D01* -X86202558Y-118750553D01* -X86212270Y-118766756D01* -X86220347Y-118783833D01* -X86226711Y-118801620D01* -X86231301Y-118819945D01* -X86234073Y-118838632D01* -X86235000Y-118857500D01* -X86235000Y-119242500D01* -X86234073Y-119261368D01* -X86231301Y-119280055D01* -X86226711Y-119298380D01* -X86220347Y-119316167D01* -X86212270Y-119333244D01* -X86202558Y-119349447D01* -X86191305Y-119364621D01* -X86178618Y-119378618D01* -X86164621Y-119391305D01* -X86149447Y-119402558D01* -X86133244Y-119412270D01* -X86116167Y-119420347D01* -X86098380Y-119426711D01* -X86080055Y-119431301D01* -X86061368Y-119434073D01* -X86042500Y-119435000D01* -X85557500Y-119435000D01* -X85538632Y-119434073D01* -X85519945Y-119431301D01* -X85501620Y-119426711D01* -X85483833Y-119420347D01* -X85466756Y-119412270D01* -X85450553Y-119402558D01* -X85435379Y-119391305D01* -X85421382Y-119378618D01* -X85408695Y-119364621D01* -X85397442Y-119349447D01* -X85387730Y-119333244D01* -X85379653Y-119316167D01* -X85373289Y-119298380D01* -X85368699Y-119280055D01* -X85365927Y-119261368D01* -X85365000Y-119242500D01* -X85365000Y-118857500D01* -X85365927Y-118838632D01* -X85368699Y-118819945D01* -X85373289Y-118801620D01* -X85379653Y-118783833D01* -X85387730Y-118766756D01* -X85397442Y-118750553D01* -X85408695Y-118735379D01* -X85421382Y-118721382D01* -X85435379Y-118708695D01* -X85450553Y-118697442D01* -X85466756Y-118687730D01* -X85483833Y-118679653D01* -X85501620Y-118673289D01* -X85519945Y-118668699D01* -X85538632Y-118665927D01* -X85557500Y-118665000D01* -X86042500Y-118665000D01* -X86061368Y-118665927D01* -G37* -G36* -X104461368Y-120165927D02* -G01* -X104480055Y-120168699D01* -X104498380Y-120173289D01* -X104516167Y-120179653D01* -X104533244Y-120187730D01* -X104549447Y-120197442D01* -X104564621Y-120208695D01* -X104578618Y-120221382D01* -X104591305Y-120235379D01* -X104602558Y-120250553D01* -X104612270Y-120266756D01* -X104620347Y-120283833D01* -X104626711Y-120301620D01* -X104631301Y-120319945D01* -X104634073Y-120338632D01* -X104635000Y-120357500D01* -X104635000Y-120742500D01* -X104634073Y-120761368D01* -X104631301Y-120780055D01* -X104626711Y-120798380D01* -X104620347Y-120816167D01* -X104612270Y-120833244D01* -X104602558Y-120849447D01* -X104591305Y-120864621D01* -X104578618Y-120878618D01* -X104564621Y-120891305D01* -X104549447Y-120902558D01* -X104533244Y-120912270D01* -X104516167Y-120920347D01* -X104498380Y-120926711D01* -X104480055Y-120931301D01* -X104461368Y-120934073D01* -X104442500Y-120935000D01* -X103957500Y-120935000D01* -X103938632Y-120934073D01* -X103919945Y-120931301D01* -X103901620Y-120926711D01* -X103883833Y-120920347D01* -X103866756Y-120912270D01* -X103850553Y-120902558D01* -X103835379Y-120891305D01* -X103821382Y-120878618D01* -X103808695Y-120864621D01* -X103797442Y-120849447D01* -X103787730Y-120833244D01* -X103779653Y-120816167D01* -X103773289Y-120798380D01* -X103768699Y-120780055D01* -X103765927Y-120761368D01* -X103765000Y-120742500D01* -X103765000Y-120357500D01* -X103765927Y-120338632D01* -X103768699Y-120319945D01* -X103773289Y-120301620D01* -X103779653Y-120283833D01* -X103787730Y-120266756D01* -X103797442Y-120250553D01* -X103808695Y-120235379D01* -X103821382Y-120221382D01* -X103835379Y-120208695D01* -X103850553Y-120197442D01* -X103866756Y-120187730D01* -X103883833Y-120179653D01* -X103901620Y-120173289D01* -X103919945Y-120168699D01* -X103938632Y-120165927D01* -X103957500Y-120165000D01* -X104442500Y-120165000D01* -X104461368Y-120165927D01* -G37* -G36* -X104461368Y-118665927D02* -G01* -X104480055Y-118668699D01* -X104498380Y-118673289D01* -X104516167Y-118679653D01* -X104533244Y-118687730D01* -X104549447Y-118697442D01* -X104564621Y-118708695D01* -X104578618Y-118721382D01* -X104591305Y-118735379D01* -X104602558Y-118750553D01* -X104612270Y-118766756D01* -X104620347Y-118783833D01* -X104626711Y-118801620D01* -X104631301Y-118819945D01* -X104634073Y-118838632D01* -X104635000Y-118857500D01* -X104635000Y-119242500D01* -X104634073Y-119261368D01* -X104631301Y-119280055D01* -X104626711Y-119298380D01* -X104620347Y-119316167D01* -X104612270Y-119333244D01* -X104602558Y-119349447D01* -X104591305Y-119364621D01* -X104578618Y-119378618D01* -X104564621Y-119391305D01* -X104549447Y-119402558D01* -X104533244Y-119412270D01* -X104516167Y-119420347D01* -X104498380Y-119426711D01* -X104480055Y-119431301D01* -X104461368Y-119434073D01* -X104442500Y-119435000D01* -X103957500Y-119435000D01* -X103938632Y-119434073D01* -X103919945Y-119431301D01* -X103901620Y-119426711D01* -X103883833Y-119420347D01* -X103866756Y-119412270D01* -X103850553Y-119402558D01* -X103835379Y-119391305D01* -X103821382Y-119378618D01* -X103808695Y-119364621D01* -X103797442Y-119349447D01* -X103787730Y-119333244D01* -X103779653Y-119316167D01* -X103773289Y-119298380D01* -X103768699Y-119280055D01* -X103765927Y-119261368D01* -X103765000Y-119242500D01* -X103765000Y-118857500D01* -X103765927Y-118838632D01* -X103768699Y-118819945D01* -X103773289Y-118801620D01* -X103779653Y-118783833D01* -X103787730Y-118766756D01* -X103797442Y-118750553D01* -X103808695Y-118735379D01* -X103821382Y-118721382D01* -X103835379Y-118708695D01* -X103850553Y-118697442D01* -X103866756Y-118687730D01* -X103883833Y-118679653D01* -X103901620Y-118673289D01* -X103919945Y-118668699D01* -X103938632Y-118665927D01* -X103957500Y-118665000D01* -X104442500Y-118665000D01* -X104461368Y-118665927D01* -G37* -G36* -X95261368Y-118665927D02* -G01* -X95280055Y-118668699D01* -X95298380Y-118673289D01* -X95316167Y-118679653D01* -X95333244Y-118687730D01* -X95349447Y-118697442D01* -X95364621Y-118708695D01* -X95378618Y-118721382D01* -X95391305Y-118735379D01* -X95402558Y-118750553D01* -X95412270Y-118766756D01* -X95420347Y-118783833D01* -X95426711Y-118801620D01* -X95431301Y-118819945D01* -X95434073Y-118838632D01* -X95435000Y-118857500D01* -X95435000Y-119242500D01* -X95434073Y-119261368D01* -X95431301Y-119280055D01* -X95426711Y-119298380D01* -X95420347Y-119316167D01* -X95412270Y-119333244D01* -X95402558Y-119349447D01* -X95391305Y-119364621D01* -X95378618Y-119378618D01* -X95364621Y-119391305D01* -X95349447Y-119402558D01* -X95333244Y-119412270D01* -X95316167Y-119420347D01* -X95298380Y-119426711D01* -X95280055Y-119431301D01* -X95261368Y-119434073D01* -X95242500Y-119435000D01* -X94757500Y-119435000D01* -X94738632Y-119434073D01* -X94719945Y-119431301D01* -X94701620Y-119426711D01* -X94683833Y-119420347D01* -X94666756Y-119412270D01* -X94650553Y-119402558D01* -X94635379Y-119391305D01* -X94621382Y-119378618D01* -X94608695Y-119364621D01* -X94597442Y-119349447D01* -X94587730Y-119333244D01* -X94579653Y-119316167D01* -X94573289Y-119298380D01* -X94568699Y-119280055D01* -X94565927Y-119261368D01* -X94565000Y-119242500D01* -X94565000Y-118857500D01* -X94565927Y-118838632D01* -X94568699Y-118819945D01* -X94573289Y-118801620D01* -X94579653Y-118783833D01* -X94587730Y-118766756D01* -X94597442Y-118750553D01* -X94608695Y-118735379D01* -X94621382Y-118721382D01* -X94635379Y-118708695D01* -X94650553Y-118697442D01* -X94666756Y-118687730D01* -X94683833Y-118679653D01* -X94701620Y-118673289D01* -X94719945Y-118668699D01* -X94738632Y-118665927D01* -X94757500Y-118665000D01* -X95242500Y-118665000D01* -X95261368Y-118665927D01* -G37* -G36* -X95261368Y-120165927D02* -G01* -X95280055Y-120168699D01* -X95298380Y-120173289D01* -X95316167Y-120179653D01* -X95333244Y-120187730D01* -X95349447Y-120197442D01* -X95364621Y-120208695D01* -X95378618Y-120221382D01* -X95391305Y-120235379D01* -X95402558Y-120250553D01* -X95412270Y-120266756D01* -X95420347Y-120283833D01* -X95426711Y-120301620D01* -X95431301Y-120319945D01* -X95434073Y-120338632D01* -X95435000Y-120357500D01* -X95435000Y-120742500D01* -X95434073Y-120761368D01* -X95431301Y-120780055D01* -X95426711Y-120798380D01* -X95420347Y-120816167D01* -X95412270Y-120833244D01* -X95402558Y-120849447D01* -X95391305Y-120864621D01* -X95378618Y-120878618D01* -X95364621Y-120891305D01* -X95349447Y-120902558D01* -X95333244Y-120912270D01* -X95316167Y-120920347D01* -X95298380Y-120926711D01* -X95280055Y-120931301D01* -X95261368Y-120934073D01* -X95242500Y-120935000D01* -X94757500Y-120935000D01* -X94738632Y-120934073D01* -X94719945Y-120931301D01* -X94701620Y-120926711D01* -X94683833Y-120920347D01* -X94666756Y-120912270D01* -X94650553Y-120902558D01* -X94635379Y-120891305D01* -X94621382Y-120878618D01* -X94608695Y-120864621D01* -X94597442Y-120849447D01* -X94587730Y-120833244D01* -X94579653Y-120816167D01* -X94573289Y-120798380D01* -X94568699Y-120780055D01* -X94565927Y-120761368D01* -X94565000Y-120742500D01* -X94565000Y-120357500D01* -X94565927Y-120338632D01* -X94568699Y-120319945D01* -X94573289Y-120301620D01* -X94579653Y-120283833D01* -X94587730Y-120266756D01* -X94597442Y-120250553D01* -X94608695Y-120235379D01* -X94621382Y-120221382D01* -X94635379Y-120208695D01* -X94650553Y-120197442D01* -X94666756Y-120187730D01* -X94683833Y-120179653D01* -X94701620Y-120173289D01* -X94719945Y-120168699D01* -X94738632Y-120165927D01* -X94757500Y-120165000D01* -X95242500Y-120165000D01* -X95261368Y-120165927D01* -G37* -G36* -X137434504Y-127596204D02* -G01* -X137458773Y-127599804D01* -X137482571Y-127605765D01* -X137505671Y-127614030D01* -X137527849Y-127624520D01* -X137548893Y-127637133D01* -X137568598Y-127651747D01* -X137586777Y-127668223D01* -X137603253Y-127686402D01* -X137617867Y-127706107D01* -X137630480Y-127727151D01* -X137640970Y-127749329D01* -X137649235Y-127772429D01* -X137655196Y-127796227D01* -X137658796Y-127820496D01* -X137660000Y-127845000D01* -X137660000Y-128695000D01* -X137658796Y-128719504D01* -X137655196Y-128743773D01* -X137649235Y-128767571D01* -X137640970Y-128790671D01* -X137630480Y-128812849D01* -X137617867Y-128833893D01* -X137603253Y-128853598D01* -X137586777Y-128871777D01* -X137568598Y-128888253D01* -X137548893Y-128902867D01* -X137527849Y-128915480D01* -X137505671Y-128925970D01* -X137482571Y-128934235D01* -X137458773Y-128940196D01* -X137434504Y-128943796D01* -X137410000Y-128945000D01* -X136910000Y-128945000D01* -X136885496Y-128943796D01* -X136861227Y-128940196D01* -X136837429Y-128934235D01* -X136814329Y-128925970D01* -X136792151Y-128915480D01* -X136771107Y-128902867D01* -X136751402Y-128888253D01* -X136733223Y-128871777D01* -X136716747Y-128853598D01* -X136702133Y-128833893D01* -X136689520Y-128812849D01* -X136679030Y-128790671D01* -X136670765Y-128767571D01* -X136664804Y-128743773D01* -X136661204Y-128719504D01* -X136660000Y-128695000D01* -X136660000Y-127845000D01* -X136661204Y-127820496D01* -X136664804Y-127796227D01* -X136670765Y-127772429D01* -X136679030Y-127749329D01* -X136689520Y-127727151D01* -X136702133Y-127706107D01* -X136716747Y-127686402D01* -X136733223Y-127668223D01* -X136751402Y-127651747D01* -X136771107Y-127637133D01* -X136792151Y-127624520D01* -X136814329Y-127614030D01* -X136837429Y-127605765D01* -X136861227Y-127599804D01* -X136885496Y-127596204D01* -X136910000Y-127595000D01* -X137410000Y-127595000D01* -X137434504Y-127596204D01* -G37* -G36* -X135734504Y-127596204D02* -G01* -X135758773Y-127599804D01* -X135782571Y-127605765D01* -X135805671Y-127614030D01* -X135827849Y-127624520D01* -X135848893Y-127637133D01* -X135868598Y-127651747D01* -X135886777Y-127668223D01* -X135903253Y-127686402D01* -X135917867Y-127706107D01* -X135930480Y-127727151D01* -X135940970Y-127749329D01* -X135949235Y-127772429D01* -X135955196Y-127796227D01* -X135958796Y-127820496D01* -X135960000Y-127845000D01* -X135960000Y-128695000D01* -X135958796Y-128719504D01* -X135955196Y-128743773D01* -X135949235Y-128767571D01* -X135940970Y-128790671D01* -X135930480Y-128812849D01* -X135917867Y-128833893D01* -X135903253Y-128853598D01* -X135886777Y-128871777D01* -X135868598Y-128888253D01* -X135848893Y-128902867D01* -X135827849Y-128915480D01* -X135805671Y-128925970D01* -X135782571Y-128934235D01* -X135758773Y-128940196D01* -X135734504Y-128943796D01* -X135710000Y-128945000D01* -X135210000Y-128945000D01* -X135185496Y-128943796D01* -X135161227Y-128940196D01* -X135137429Y-128934235D01* -X135114329Y-128925970D01* -X135092151Y-128915480D01* -X135071107Y-128902867D01* -X135051402Y-128888253D01* -X135033223Y-128871777D01* -X135016747Y-128853598D01* -X135002133Y-128833893D01* -X134989520Y-128812849D01* -X134979030Y-128790671D01* -X134970765Y-128767571D01* -X134964804Y-128743773D01* -X134961204Y-128719504D01* -X134960000Y-128695000D01* -X134960000Y-127845000D01* -X134961204Y-127820496D01* -X134964804Y-127796227D01* -X134970765Y-127772429D01* -X134979030Y-127749329D01* -X134989520Y-127727151D01* -X135002133Y-127706107D01* -X135016747Y-127686402D01* -X135033223Y-127668223D01* -X135051402Y-127651747D01* -X135071107Y-127637133D01* -X135092151Y-127624520D01* -X135114329Y-127614030D01* -X135137429Y-127605765D01* -X135161227Y-127599804D01* -X135185496Y-127596204D01* -X135210000Y-127595000D01* -X135710000Y-127595000D01* -X135734504Y-127596204D01* -G37* -G36* -X96601567Y-118365445D02* -G01* -X96610546Y-118366777D01* -X96619351Y-118368983D01* -X96627898Y-118372041D01* -X96636104Y-118375922D01* -X96643890Y-118380589D01* -X96651181Y-118385997D01* -X96657907Y-118392093D01* -X96664003Y-118398819D01* -X96669411Y-118406110D01* -X96674078Y-118413896D01* -X96677959Y-118422102D01* -X96681017Y-118430649D01* -X96683223Y-118439454D01* -X96684555Y-118448433D01* -X96685000Y-118457500D01* -X96685000Y-119642500D01* -X96684555Y-119651567D01* -X96683223Y-119660546D01* -X96681017Y-119669351D01* -X96677959Y-119677898D01* -X96674078Y-119686104D01* -X96669411Y-119693890D01* -X96664003Y-119701181D01* -X96657907Y-119707907D01* -X96651181Y-119714003D01* -X96643890Y-119719411D01* -X96636104Y-119724078D01* -X96627898Y-119727959D01* -X96619351Y-119731017D01* -X96610546Y-119733223D01* -X96601567Y-119734555D01* -X96592500Y-119735000D01* -X96407500Y-119735000D01* -X96398433Y-119734555D01* -X96389454Y-119733223D01* -X96380649Y-119731017D01* -X96372102Y-119727959D01* -X96363896Y-119724078D01* -X96356110Y-119719411D01* -X96348819Y-119714003D01* -X96342093Y-119707907D01* -X96335997Y-119701181D01* -X96330589Y-119693890D01* -X96325922Y-119686104D01* -X96322041Y-119677898D01* -X96318983Y-119669351D01* -X96316777Y-119660546D01* -X96315445Y-119651567D01* -X96315000Y-119642500D01* -X96315000Y-118457500D01* -X96315445Y-118448433D01* -X96316777Y-118439454D01* -X96318983Y-118430649D01* -X96322041Y-118422102D01* -X96325922Y-118413896D01* -X96330589Y-118406110D01* -X96335997Y-118398819D01* -X96342093Y-118392093D01* -X96348819Y-118385997D01* -X96356110Y-118380589D01* -X96363896Y-118375922D01* -X96372102Y-118372041D01* -X96380649Y-118368983D01* -X96389454Y-118366777D01* -X96398433Y-118365445D01* -X96407500Y-118365000D01* -X96592500Y-118365000D01* -X96601567Y-118365445D01* -G37* -G36* -X97251567Y-118365445D02* -G01* -X97260546Y-118366777D01* -X97269351Y-118368983D01* -X97277898Y-118372041D01* -X97286104Y-118375922D01* -X97293890Y-118380589D01* -X97301181Y-118385997D01* -X97307907Y-118392093D01* -X97314003Y-118398819D01* -X97319411Y-118406110D01* -X97324078Y-118413896D01* -X97327959Y-118422102D01* -X97331017Y-118430649D01* -X97333223Y-118439454D01* -X97334555Y-118448433D01* -X97335000Y-118457500D01* -X97335000Y-119642500D01* -X97334555Y-119651567D01* -X97333223Y-119660546D01* -X97331017Y-119669351D01* -X97327959Y-119677898D01* -X97324078Y-119686104D01* -X97319411Y-119693890D01* -X97314003Y-119701181D01* -X97307907Y-119707907D01* -X97301181Y-119714003D01* -X97293890Y-119719411D01* -X97286104Y-119724078D01* -X97277898Y-119727959D01* -X97269351Y-119731017D01* -X97260546Y-119733223D01* -X97251567Y-119734555D01* -X97242500Y-119735000D01* -X97057500Y-119735000D01* -X97048433Y-119734555D01* -X97039454Y-119733223D01* -X97030649Y-119731017D01* -X97022102Y-119727959D01* -X97013896Y-119724078D01* -X97006110Y-119719411D01* -X96998819Y-119714003D01* -X96992093Y-119707907D01* -X96985997Y-119701181D01* -X96980589Y-119693890D01* -X96975922Y-119686104D01* -X96972041Y-119677898D01* -X96968983Y-119669351D01* -X96966777Y-119660546D01* -X96965445Y-119651567D01* -X96965000Y-119642500D01* -X96965000Y-118457500D01* -X96965445Y-118448433D01* -X96966777Y-118439454D01* -X96968983Y-118430649D01* -X96972041Y-118422102D01* -X96975922Y-118413896D01* -X96980589Y-118406110D01* -X96985997Y-118398819D01* -X96992093Y-118392093D01* -X96998819Y-118385997D01* -X97006110Y-118380589D01* -X97013896Y-118375922D01* -X97022102Y-118372041D01* -X97030649Y-118368983D01* -X97039454Y-118366777D01* -X97048433Y-118365445D01* -X97057500Y-118365000D01* -X97242500Y-118365000D01* -X97251567Y-118365445D01* -G37* -G36* -X97901567Y-118365445D02* -G01* -X97910546Y-118366777D01* -X97919351Y-118368983D01* -X97927898Y-118372041D01* -X97936104Y-118375922D01* -X97943890Y-118380589D01* -X97951181Y-118385997D01* -X97957907Y-118392093D01* -X97964003Y-118398819D01* -X97969411Y-118406110D01* -X97974078Y-118413896D01* -X97977959Y-118422102D01* -X97981017Y-118430649D01* -X97983223Y-118439454D01* -X97984555Y-118448433D01* -X97985000Y-118457500D01* -X97985000Y-119642500D01* -X97984555Y-119651567D01* -X97983223Y-119660546D01* -X97981017Y-119669351D01* -X97977959Y-119677898D01* -X97974078Y-119686104D01* -X97969411Y-119693890D01* -X97964003Y-119701181D01* -X97957907Y-119707907D01* -X97951181Y-119714003D01* -X97943890Y-119719411D01* -X97936104Y-119724078D01* -X97927898Y-119727959D01* -X97919351Y-119731017D01* -X97910546Y-119733223D01* -X97901567Y-119734555D01* -X97892500Y-119735000D01* -X97707500Y-119735000D01* -X97698433Y-119734555D01* -X97689454Y-119733223D01* -X97680649Y-119731017D01* -X97672102Y-119727959D01* -X97663896Y-119724078D01* -X97656110Y-119719411D01* -X97648819Y-119714003D01* -X97642093Y-119707907D01* -X97635997Y-119701181D01* -X97630589Y-119693890D01* -X97625922Y-119686104D01* -X97622041Y-119677898D01* -X97618983Y-119669351D01* -X97616777Y-119660546D01* -X97615445Y-119651567D01* -X97615000Y-119642500D01* -X97615000Y-118457500D01* -X97615445Y-118448433D01* -X97616777Y-118439454D01* -X97618983Y-118430649D01* -X97622041Y-118422102D01* -X97625922Y-118413896D01* -X97630589Y-118406110D01* -X97635997Y-118398819D01* -X97642093Y-118392093D01* -X97648819Y-118385997D01* -X97656110Y-118380589D01* -X97663896Y-118375922D01* -X97672102Y-118372041D01* -X97680649Y-118368983D01* -X97689454Y-118366777D01* -X97698433Y-118365445D01* -X97707500Y-118365000D01* -X97892500Y-118365000D01* -X97901567Y-118365445D01* -G37* -G36* -X98551567Y-118365445D02* -G01* -X98560546Y-118366777D01* -X98569351Y-118368983D01* -X98577898Y-118372041D01* -X98586104Y-118375922D01* -X98593890Y-118380589D01* -X98601181Y-118385997D01* -X98607907Y-118392093D01* -X98614003Y-118398819D01* -X98619411Y-118406110D01* -X98624078Y-118413896D01* -X98627959Y-118422102D01* -X98631017Y-118430649D01* -X98633223Y-118439454D01* -X98634555Y-118448433D01* -X98635000Y-118457500D01* -X98635000Y-119642500D01* -X98634555Y-119651567D01* -X98633223Y-119660546D01* -X98631017Y-119669351D01* -X98627959Y-119677898D01* -X98624078Y-119686104D01* -X98619411Y-119693890D01* -X98614003Y-119701181D01* -X98607907Y-119707907D01* -X98601181Y-119714003D01* -X98593890Y-119719411D01* -X98586104Y-119724078D01* -X98577898Y-119727959D01* -X98569351Y-119731017D01* -X98560546Y-119733223D01* -X98551567Y-119734555D01* -X98542500Y-119735000D01* -X98357500Y-119735000D01* -X98348433Y-119734555D01* -X98339454Y-119733223D01* -X98330649Y-119731017D01* -X98322102Y-119727959D01* -X98313896Y-119724078D01* -X98306110Y-119719411D01* -X98298819Y-119714003D01* -X98292093Y-119707907D01* -X98285997Y-119701181D01* -X98280589Y-119693890D01* -X98275922Y-119686104D01* -X98272041Y-119677898D01* -X98268983Y-119669351D01* -X98266777Y-119660546D01* -X98265445Y-119651567D01* -X98265000Y-119642500D01* -X98265000Y-118457500D01* -X98265445Y-118448433D01* -X98266777Y-118439454D01* -X98268983Y-118430649D01* -X98272041Y-118422102D01* -X98275922Y-118413896D01* -X98280589Y-118406110D01* -X98285997Y-118398819D01* -X98292093Y-118392093D01* -X98298819Y-118385997D01* -X98306110Y-118380589D01* -X98313896Y-118375922D01* -X98322102Y-118372041D01* -X98330649Y-118368983D01* -X98339454Y-118366777D01* -X98348433Y-118365445D01* -X98357500Y-118365000D01* -X98542500Y-118365000D01* -X98551567Y-118365445D01* -G37* -G36* -X99201567Y-118365445D02* -G01* -X99210546Y-118366777D01* -X99219351Y-118368983D01* -X99227898Y-118372041D01* -X99236104Y-118375922D01* -X99243890Y-118380589D01* -X99251181Y-118385997D01* -X99257907Y-118392093D01* -X99264003Y-118398819D01* -X99269411Y-118406110D01* -X99274078Y-118413896D01* -X99277959Y-118422102D01* -X99281017Y-118430649D01* -X99283223Y-118439454D01* -X99284555Y-118448433D01* -X99285000Y-118457500D01* -X99285000Y-119642500D01* -X99284555Y-119651567D01* -X99283223Y-119660546D01* -X99281017Y-119669351D01* -X99277959Y-119677898D01* -X99274078Y-119686104D01* -X99269411Y-119693890D01* -X99264003Y-119701181D01* -X99257907Y-119707907D01* -X99251181Y-119714003D01* -X99243890Y-119719411D01* -X99236104Y-119724078D01* -X99227898Y-119727959D01* -X99219351Y-119731017D01* -X99210546Y-119733223D01* -X99201567Y-119734555D01* -X99192500Y-119735000D01* -X99007500Y-119735000D01* -X98998433Y-119734555D01* -X98989454Y-119733223D01* -X98980649Y-119731017D01* -X98972102Y-119727959D01* -X98963896Y-119724078D01* -X98956110Y-119719411D01* -X98948819Y-119714003D01* -X98942093Y-119707907D01* -X98935997Y-119701181D01* -X98930589Y-119693890D01* -X98925922Y-119686104D01* -X98922041Y-119677898D01* -X98918983Y-119669351D01* -X98916777Y-119660546D01* -X98915445Y-119651567D01* -X98915000Y-119642500D01* -X98915000Y-118457500D01* -X98915445Y-118448433D01* -X98916777Y-118439454D01* -X98918983Y-118430649D01* -X98922041Y-118422102D01* -X98925922Y-118413896D01* -X98930589Y-118406110D01* -X98935997Y-118398819D01* -X98942093Y-118392093D01* -X98948819Y-118385997D01* -X98956110Y-118380589D01* -X98963896Y-118375922D01* -X98972102Y-118372041D01* -X98980649Y-118368983D01* -X98989454Y-118366777D01* -X98998433Y-118365445D01* -X99007500Y-118365000D01* -X99192500Y-118365000D01* -X99201567Y-118365445D01* -G37* -G36* -X99851567Y-118365445D02* -G01* -X99860546Y-118366777D01* -X99869351Y-118368983D01* -X99877898Y-118372041D01* -X99886104Y-118375922D01* -X99893890Y-118380589D01* -X99901181Y-118385997D01* -X99907907Y-118392093D01* -X99914003Y-118398819D01* -X99919411Y-118406110D01* -X99924078Y-118413896D01* -X99927959Y-118422102D01* -X99931017Y-118430649D01* -X99933223Y-118439454D01* -X99934555Y-118448433D01* -X99935000Y-118457500D01* -X99935000Y-119642500D01* -X99934555Y-119651567D01* -X99933223Y-119660546D01* -X99931017Y-119669351D01* -X99927959Y-119677898D01* -X99924078Y-119686104D01* -X99919411Y-119693890D01* -X99914003Y-119701181D01* -X99907907Y-119707907D01* -X99901181Y-119714003D01* -X99893890Y-119719411D01* -X99886104Y-119724078D01* -X99877898Y-119727959D01* -X99869351Y-119731017D01* -X99860546Y-119733223D01* -X99851567Y-119734555D01* -X99842500Y-119735000D01* -X99657500Y-119735000D01* -X99648433Y-119734555D01* -X99639454Y-119733223D01* -X99630649Y-119731017D01* -X99622102Y-119727959D01* -X99613896Y-119724078D01* -X99606110Y-119719411D01* -X99598819Y-119714003D01* -X99592093Y-119707907D01* -X99585997Y-119701181D01* -X99580589Y-119693890D01* -X99575922Y-119686104D01* -X99572041Y-119677898D01* -X99568983Y-119669351D01* -X99566777Y-119660546D01* -X99565445Y-119651567D01* -X99565000Y-119642500D01* -X99565000Y-118457500D01* -X99565445Y-118448433D01* -X99566777Y-118439454D01* -X99568983Y-118430649D01* -X99572041Y-118422102D01* -X99575922Y-118413896D01* -X99580589Y-118406110D01* -X99585997Y-118398819D01* -X99592093Y-118392093D01* -X99598819Y-118385997D01* -X99606110Y-118380589D01* -X99613896Y-118375922D01* -X99622102Y-118372041D01* -X99630649Y-118368983D01* -X99639454Y-118366777D01* -X99648433Y-118365445D01* -X99657500Y-118365000D01* -X99842500Y-118365000D01* -X99851567Y-118365445D01* -G37* -G36* -X100501567Y-118365445D02* -G01* -X100510546Y-118366777D01* -X100519351Y-118368983D01* -X100527898Y-118372041D01* -X100536104Y-118375922D01* -X100543890Y-118380589D01* -X100551181Y-118385997D01* -X100557907Y-118392093D01* -X100564003Y-118398819D01* -X100569411Y-118406110D01* -X100574078Y-118413896D01* -X100577959Y-118422102D01* -X100581017Y-118430649D01* -X100583223Y-118439454D01* -X100584555Y-118448433D01* -X100585000Y-118457500D01* -X100585000Y-119642500D01* -X100584555Y-119651567D01* -X100583223Y-119660546D01* -X100581017Y-119669351D01* -X100577959Y-119677898D01* -X100574078Y-119686104D01* -X100569411Y-119693890D01* -X100564003Y-119701181D01* -X100557907Y-119707907D01* -X100551181Y-119714003D01* -X100543890Y-119719411D01* -X100536104Y-119724078D01* -X100527898Y-119727959D01* -X100519351Y-119731017D01* -X100510546Y-119733223D01* -X100501567Y-119734555D01* -X100492500Y-119735000D01* -X100307500Y-119735000D01* -X100298433Y-119734555D01* -X100289454Y-119733223D01* -X100280649Y-119731017D01* -X100272102Y-119727959D01* -X100263896Y-119724078D01* -X100256110Y-119719411D01* -X100248819Y-119714003D01* -X100242093Y-119707907D01* -X100235997Y-119701181D01* -X100230589Y-119693890D01* -X100225922Y-119686104D01* -X100222041Y-119677898D01* -X100218983Y-119669351D01* -X100216777Y-119660546D01* -X100215445Y-119651567D01* -X100215000Y-119642500D01* -X100215000Y-118457500D01* -X100215445Y-118448433D01* -X100216777Y-118439454D01* -X100218983Y-118430649D01* -X100222041Y-118422102D01* -X100225922Y-118413896D01* -X100230589Y-118406110D01* -X100235997Y-118398819D01* -X100242093Y-118392093D01* -X100248819Y-118385997D01* -X100256110Y-118380589D01* -X100263896Y-118375922D01* -X100272102Y-118372041D01* -X100280649Y-118368983D01* -X100289454Y-118366777D01* -X100298433Y-118365445D01* -X100307500Y-118365000D01* -X100492500Y-118365000D01* -X100501567Y-118365445D01* -G37* -G36* -X101151567Y-118365445D02* -G01* -X101160546Y-118366777D01* -X101169351Y-118368983D01* -X101177898Y-118372041D01* -X101186104Y-118375922D01* -X101193890Y-118380589D01* -X101201181Y-118385997D01* -X101207907Y-118392093D01* -X101214003Y-118398819D01* -X101219411Y-118406110D01* -X101224078Y-118413896D01* -X101227959Y-118422102D01* -X101231017Y-118430649D01* -X101233223Y-118439454D01* -X101234555Y-118448433D01* -X101235000Y-118457500D01* -X101235000Y-119642500D01* -X101234555Y-119651567D01* -X101233223Y-119660546D01* -X101231017Y-119669351D01* -X101227959Y-119677898D01* -X101224078Y-119686104D01* -X101219411Y-119693890D01* -X101214003Y-119701181D01* -X101207907Y-119707907D01* -X101201181Y-119714003D01* -X101193890Y-119719411D01* -X101186104Y-119724078D01* -X101177898Y-119727959D01* -X101169351Y-119731017D01* -X101160546Y-119733223D01* -X101151567Y-119734555D01* -X101142500Y-119735000D01* -X100957500Y-119735000D01* -X100948433Y-119734555D01* -X100939454Y-119733223D01* -X100930649Y-119731017D01* -X100922102Y-119727959D01* -X100913896Y-119724078D01* -X100906110Y-119719411D01* -X100898819Y-119714003D01* -X100892093Y-119707907D01* -X100885997Y-119701181D01* -X100880589Y-119693890D01* -X100875922Y-119686104D01* -X100872041Y-119677898D01* -X100868983Y-119669351D01* -X100866777Y-119660546D01* -X100865445Y-119651567D01* -X100865000Y-119642500D01* -X100865000Y-118457500D01* -X100865445Y-118448433D01* -X100866777Y-118439454D01* -X100868983Y-118430649D01* -X100872041Y-118422102D01* -X100875922Y-118413896D01* -X100880589Y-118406110D01* -X100885997Y-118398819D01* -X100892093Y-118392093D01* -X100898819Y-118385997D01* -X100906110Y-118380589D01* -X100913896Y-118375922D01* -X100922102Y-118372041D01* -X100930649Y-118368983D01* -X100939454Y-118366777D01* -X100948433Y-118365445D01* -X100957500Y-118365000D01* -X101142500Y-118365000D01* -X101151567Y-118365445D01* -G37* -G36* -X101801567Y-118365445D02* -G01* -X101810546Y-118366777D01* -X101819351Y-118368983D01* -X101827898Y-118372041D01* -X101836104Y-118375922D01* -X101843890Y-118380589D01* -X101851181Y-118385997D01* -X101857907Y-118392093D01* -X101864003Y-118398819D01* -X101869411Y-118406110D01* -X101874078Y-118413896D01* -X101877959Y-118422102D01* -X101881017Y-118430649D01* -X101883223Y-118439454D01* -X101884555Y-118448433D01* -X101885000Y-118457500D01* -X101885000Y-119642500D01* -X101884555Y-119651567D01* -X101883223Y-119660546D01* -X101881017Y-119669351D01* -X101877959Y-119677898D01* -X101874078Y-119686104D01* -X101869411Y-119693890D01* -X101864003Y-119701181D01* -X101857907Y-119707907D01* -X101851181Y-119714003D01* -X101843890Y-119719411D01* -X101836104Y-119724078D01* -X101827898Y-119727959D01* -X101819351Y-119731017D01* -X101810546Y-119733223D01* -X101801567Y-119734555D01* -X101792500Y-119735000D01* -X101607500Y-119735000D01* -X101598433Y-119734555D01* -X101589454Y-119733223D01* -X101580649Y-119731017D01* -X101572102Y-119727959D01* -X101563896Y-119724078D01* -X101556110Y-119719411D01* -X101548819Y-119714003D01* -X101542093Y-119707907D01* -X101535997Y-119701181D01* -X101530589Y-119693890D01* -X101525922Y-119686104D01* -X101522041Y-119677898D01* -X101518983Y-119669351D01* -X101516777Y-119660546D01* -X101515445Y-119651567D01* -X101515000Y-119642500D01* -X101515000Y-118457500D01* -X101515445Y-118448433D01* -X101516777Y-118439454D01* -X101518983Y-118430649D01* -X101522041Y-118422102D01* -X101525922Y-118413896D01* -X101530589Y-118406110D01* -X101535997Y-118398819D01* -X101542093Y-118392093D01* -X101548819Y-118385997D01* -X101556110Y-118380589D01* -X101563896Y-118375922D01* -X101572102Y-118372041D01* -X101580649Y-118368983D01* -X101589454Y-118366777D01* -X101598433Y-118365445D01* -X101607500Y-118365000D01* -X101792500Y-118365000D01* -X101801567Y-118365445D01* -G37* -G36* -X102451567Y-118365445D02* -G01* -X102460546Y-118366777D01* -X102469351Y-118368983D01* -X102477898Y-118372041D01* -X102486104Y-118375922D01* -X102493890Y-118380589D01* -X102501181Y-118385997D01* -X102507907Y-118392093D01* -X102514003Y-118398819D01* -X102519411Y-118406110D01* -X102524078Y-118413896D01* -X102527959Y-118422102D01* -X102531017Y-118430649D01* -X102533223Y-118439454D01* -X102534555Y-118448433D01* -X102535000Y-118457500D01* -X102535000Y-119642500D01* -X102534555Y-119651567D01* -X102533223Y-119660546D01* -X102531017Y-119669351D01* -X102527959Y-119677898D01* -X102524078Y-119686104D01* -X102519411Y-119693890D01* -X102514003Y-119701181D01* -X102507907Y-119707907D01* -X102501181Y-119714003D01* -X102493890Y-119719411D01* -X102486104Y-119724078D01* -X102477898Y-119727959D01* -X102469351Y-119731017D01* -X102460546Y-119733223D01* -X102451567Y-119734555D01* -X102442500Y-119735000D01* -X102257500Y-119735000D01* -X102248433Y-119734555D01* -X102239454Y-119733223D01* -X102230649Y-119731017D01* -X102222102Y-119727959D01* -X102213896Y-119724078D01* -X102206110Y-119719411D01* -X102198819Y-119714003D01* -X102192093Y-119707907D01* -X102185997Y-119701181D01* -X102180589Y-119693890D01* -X102175922Y-119686104D01* -X102172041Y-119677898D01* -X102168983Y-119669351D01* -X102166777Y-119660546D01* -X102165445Y-119651567D01* -X102165000Y-119642500D01* -X102165000Y-118457500D01* -X102165445Y-118448433D01* -X102166777Y-118439454D01* -X102168983Y-118430649D01* -X102172041Y-118422102D01* -X102175922Y-118413896D01* -X102180589Y-118406110D01* -X102185997Y-118398819D01* -X102192093Y-118392093D01* -X102198819Y-118385997D01* -X102206110Y-118380589D01* -X102213896Y-118375922D01* -X102222102Y-118372041D01* -X102230649Y-118368983D01* -X102239454Y-118366777D01* -X102248433Y-118365445D01* -X102257500Y-118365000D01* -X102442500Y-118365000D01* -X102451567Y-118365445D01* -G37* -G36* -X102451567Y-124265445D02* -G01* -X102460546Y-124266777D01* -X102469351Y-124268983D01* -X102477898Y-124272041D01* -X102486104Y-124275922D01* -X102493890Y-124280589D01* -X102501181Y-124285997D01* -X102507907Y-124292093D01* -X102514003Y-124298819D01* -X102519411Y-124306110D01* -X102524078Y-124313896D01* -X102527959Y-124322102D01* -X102531017Y-124330649D01* -X102533223Y-124339454D01* -X102534555Y-124348433D01* -X102535000Y-124357500D01* -X102535000Y-125542500D01* -X102534555Y-125551567D01* -X102533223Y-125560546D01* -X102531017Y-125569351D01* -X102527959Y-125577898D01* -X102524078Y-125586104D01* -X102519411Y-125593890D01* -X102514003Y-125601181D01* -X102507907Y-125607907D01* -X102501181Y-125614003D01* -X102493890Y-125619411D01* -X102486104Y-125624078D01* -X102477898Y-125627959D01* -X102469351Y-125631017D01* -X102460546Y-125633223D01* -X102451567Y-125634555D01* -X102442500Y-125635000D01* -X102257500Y-125635000D01* -X102248433Y-125634555D01* -X102239454Y-125633223D01* -X102230649Y-125631017D01* -X102222102Y-125627959D01* -X102213896Y-125624078D01* -X102206110Y-125619411D01* -X102198819Y-125614003D01* -X102192093Y-125607907D01* -X102185997Y-125601181D01* -X102180589Y-125593890D01* -X102175922Y-125586104D01* -X102172041Y-125577898D01* -X102168983Y-125569351D01* -X102166777Y-125560546D01* -X102165445Y-125551567D01* -X102165000Y-125542500D01* -X102165000Y-124357500D01* -X102165445Y-124348433D01* -X102166777Y-124339454D01* -X102168983Y-124330649D01* -X102172041Y-124322102D01* -X102175922Y-124313896D01* -X102180589Y-124306110D01* -X102185997Y-124298819D01* -X102192093Y-124292093D01* -X102198819Y-124285997D01* -X102206110Y-124280589D01* -X102213896Y-124275922D01* -X102222102Y-124272041D01* -X102230649Y-124268983D01* -X102239454Y-124266777D01* -X102248433Y-124265445D01* -X102257500Y-124265000D01* -X102442500Y-124265000D01* -X102451567Y-124265445D01* -G37* -G36* -X101801567Y-124265445D02* -G01* -X101810546Y-124266777D01* -X101819351Y-124268983D01* -X101827898Y-124272041D01* -X101836104Y-124275922D01* -X101843890Y-124280589D01* -X101851181Y-124285997D01* -X101857907Y-124292093D01* -X101864003Y-124298819D01* -X101869411Y-124306110D01* -X101874078Y-124313896D01* -X101877959Y-124322102D01* -X101881017Y-124330649D01* -X101883223Y-124339454D01* -X101884555Y-124348433D01* -X101885000Y-124357500D01* -X101885000Y-125542500D01* -X101884555Y-125551567D01* -X101883223Y-125560546D01* -X101881017Y-125569351D01* -X101877959Y-125577898D01* -X101874078Y-125586104D01* -X101869411Y-125593890D01* -X101864003Y-125601181D01* -X101857907Y-125607907D01* -X101851181Y-125614003D01* -X101843890Y-125619411D01* -X101836104Y-125624078D01* -X101827898Y-125627959D01* -X101819351Y-125631017D01* -X101810546Y-125633223D01* -X101801567Y-125634555D01* -X101792500Y-125635000D01* -X101607500Y-125635000D01* -X101598433Y-125634555D01* -X101589454Y-125633223D01* -X101580649Y-125631017D01* -X101572102Y-125627959D01* -X101563896Y-125624078D01* -X101556110Y-125619411D01* -X101548819Y-125614003D01* -X101542093Y-125607907D01* -X101535997Y-125601181D01* -X101530589Y-125593890D01* -X101525922Y-125586104D01* -X101522041Y-125577898D01* -X101518983Y-125569351D01* -X101516777Y-125560546D01* -X101515445Y-125551567D01* -X101515000Y-125542500D01* -X101515000Y-124357500D01* -X101515445Y-124348433D01* -X101516777Y-124339454D01* -X101518983Y-124330649D01* -X101522041Y-124322102D01* -X101525922Y-124313896D01* -X101530589Y-124306110D01* -X101535997Y-124298819D01* -X101542093Y-124292093D01* -X101548819Y-124285997D01* -X101556110Y-124280589D01* -X101563896Y-124275922D01* -X101572102Y-124272041D01* -X101580649Y-124268983D01* -X101589454Y-124266777D01* -X101598433Y-124265445D01* -X101607500Y-124265000D01* -X101792500Y-124265000D01* -X101801567Y-124265445D01* -G37* -G36* -X101151567Y-124265445D02* -G01* -X101160546Y-124266777D01* -X101169351Y-124268983D01* -X101177898Y-124272041D01* -X101186104Y-124275922D01* -X101193890Y-124280589D01* -X101201181Y-124285997D01* -X101207907Y-124292093D01* -X101214003Y-124298819D01* -X101219411Y-124306110D01* -X101224078Y-124313896D01* -X101227959Y-124322102D01* -X101231017Y-124330649D01* -X101233223Y-124339454D01* -X101234555Y-124348433D01* -X101235000Y-124357500D01* -X101235000Y-125542500D01* -X101234555Y-125551567D01* -X101233223Y-125560546D01* -X101231017Y-125569351D01* -X101227959Y-125577898D01* -X101224078Y-125586104D01* -X101219411Y-125593890D01* -X101214003Y-125601181D01* -X101207907Y-125607907D01* -X101201181Y-125614003D01* -X101193890Y-125619411D01* -X101186104Y-125624078D01* -X101177898Y-125627959D01* -X101169351Y-125631017D01* -X101160546Y-125633223D01* -X101151567Y-125634555D01* -X101142500Y-125635000D01* -X100957500Y-125635000D01* -X100948433Y-125634555D01* -X100939454Y-125633223D01* -X100930649Y-125631017D01* -X100922102Y-125627959D01* -X100913896Y-125624078D01* -X100906110Y-125619411D01* -X100898819Y-125614003D01* -X100892093Y-125607907D01* -X100885997Y-125601181D01* -X100880589Y-125593890D01* -X100875922Y-125586104D01* -X100872041Y-125577898D01* -X100868983Y-125569351D01* -X100866777Y-125560546D01* -X100865445Y-125551567D01* -X100865000Y-125542500D01* -X100865000Y-124357500D01* -X100865445Y-124348433D01* -X100866777Y-124339454D01* -X100868983Y-124330649D01* -X100872041Y-124322102D01* -X100875922Y-124313896D01* -X100880589Y-124306110D01* -X100885997Y-124298819D01* -X100892093Y-124292093D01* -X100898819Y-124285997D01* -X100906110Y-124280589D01* -X100913896Y-124275922D01* -X100922102Y-124272041D01* -X100930649Y-124268983D01* -X100939454Y-124266777D01* -X100948433Y-124265445D01* -X100957500Y-124265000D01* -X101142500Y-124265000D01* -X101151567Y-124265445D01* -G37* -G36* -X100501567Y-124265445D02* -G01* -X100510546Y-124266777D01* -X100519351Y-124268983D01* -X100527898Y-124272041D01* -X100536104Y-124275922D01* -X100543890Y-124280589D01* -X100551181Y-124285997D01* -X100557907Y-124292093D01* -X100564003Y-124298819D01* -X100569411Y-124306110D01* -X100574078Y-124313896D01* -X100577959Y-124322102D01* -X100581017Y-124330649D01* -X100583223Y-124339454D01* -X100584555Y-124348433D01* -X100585000Y-124357500D01* -X100585000Y-125542500D01* -X100584555Y-125551567D01* -X100583223Y-125560546D01* -X100581017Y-125569351D01* -X100577959Y-125577898D01* -X100574078Y-125586104D01* -X100569411Y-125593890D01* -X100564003Y-125601181D01* -X100557907Y-125607907D01* -X100551181Y-125614003D01* -X100543890Y-125619411D01* -X100536104Y-125624078D01* -X100527898Y-125627959D01* -X100519351Y-125631017D01* -X100510546Y-125633223D01* -X100501567Y-125634555D01* -X100492500Y-125635000D01* -X100307500Y-125635000D01* -X100298433Y-125634555D01* -X100289454Y-125633223D01* -X100280649Y-125631017D01* -X100272102Y-125627959D01* -X100263896Y-125624078D01* -X100256110Y-125619411D01* -X100248819Y-125614003D01* -X100242093Y-125607907D01* -X100235997Y-125601181D01* -X100230589Y-125593890D01* -X100225922Y-125586104D01* -X100222041Y-125577898D01* -X100218983Y-125569351D01* -X100216777Y-125560546D01* -X100215445Y-125551567D01* -X100215000Y-125542500D01* -X100215000Y-124357500D01* -X100215445Y-124348433D01* -X100216777Y-124339454D01* -X100218983Y-124330649D01* -X100222041Y-124322102D01* -X100225922Y-124313896D01* -X100230589Y-124306110D01* -X100235997Y-124298819D01* -X100242093Y-124292093D01* -X100248819Y-124285997D01* -X100256110Y-124280589D01* -X100263896Y-124275922D01* -X100272102Y-124272041D01* -X100280649Y-124268983D01* -X100289454Y-124266777D01* -X100298433Y-124265445D01* -X100307500Y-124265000D01* -X100492500Y-124265000D01* -X100501567Y-124265445D01* -G37* -G36* -X99851567Y-124265445D02* -G01* -X99860546Y-124266777D01* -X99869351Y-124268983D01* -X99877898Y-124272041D01* -X99886104Y-124275922D01* -X99893890Y-124280589D01* -X99901181Y-124285997D01* -X99907907Y-124292093D01* -X99914003Y-124298819D01* -X99919411Y-124306110D01* -X99924078Y-124313896D01* -X99927959Y-124322102D01* -X99931017Y-124330649D01* -X99933223Y-124339454D01* -X99934555Y-124348433D01* -X99935000Y-124357500D01* -X99935000Y-125542500D01* -X99934555Y-125551567D01* -X99933223Y-125560546D01* -X99931017Y-125569351D01* -X99927959Y-125577898D01* -X99924078Y-125586104D01* -X99919411Y-125593890D01* -X99914003Y-125601181D01* -X99907907Y-125607907D01* -X99901181Y-125614003D01* -X99893890Y-125619411D01* -X99886104Y-125624078D01* -X99877898Y-125627959D01* -X99869351Y-125631017D01* -X99860546Y-125633223D01* -X99851567Y-125634555D01* -X99842500Y-125635000D01* -X99657500Y-125635000D01* -X99648433Y-125634555D01* -X99639454Y-125633223D01* -X99630649Y-125631017D01* -X99622102Y-125627959D01* -X99613896Y-125624078D01* -X99606110Y-125619411D01* -X99598819Y-125614003D01* -X99592093Y-125607907D01* -X99585997Y-125601181D01* -X99580589Y-125593890D01* -X99575922Y-125586104D01* -X99572041Y-125577898D01* -X99568983Y-125569351D01* -X99566777Y-125560546D01* -X99565445Y-125551567D01* -X99565000Y-125542500D01* -X99565000Y-124357500D01* -X99565445Y-124348433D01* -X99566777Y-124339454D01* -X99568983Y-124330649D01* -X99572041Y-124322102D01* -X99575922Y-124313896D01* -X99580589Y-124306110D01* -X99585997Y-124298819D01* -X99592093Y-124292093D01* -X99598819Y-124285997D01* -X99606110Y-124280589D01* -X99613896Y-124275922D01* -X99622102Y-124272041D01* -X99630649Y-124268983D01* -X99639454Y-124266777D01* -X99648433Y-124265445D01* -X99657500Y-124265000D01* -X99842500Y-124265000D01* -X99851567Y-124265445D01* -G37* -G36* -X99201567Y-124265445D02* -G01* -X99210546Y-124266777D01* -X99219351Y-124268983D01* -X99227898Y-124272041D01* -X99236104Y-124275922D01* -X99243890Y-124280589D01* -X99251181Y-124285997D01* -X99257907Y-124292093D01* -X99264003Y-124298819D01* -X99269411Y-124306110D01* -X99274078Y-124313896D01* -X99277959Y-124322102D01* -X99281017Y-124330649D01* -X99283223Y-124339454D01* -X99284555Y-124348433D01* -X99285000Y-124357500D01* -X99285000Y-125542500D01* -X99284555Y-125551567D01* -X99283223Y-125560546D01* -X99281017Y-125569351D01* -X99277959Y-125577898D01* -X99274078Y-125586104D01* -X99269411Y-125593890D01* -X99264003Y-125601181D01* -X99257907Y-125607907D01* -X99251181Y-125614003D01* -X99243890Y-125619411D01* -X99236104Y-125624078D01* -X99227898Y-125627959D01* -X99219351Y-125631017D01* -X99210546Y-125633223D01* -X99201567Y-125634555D01* -X99192500Y-125635000D01* -X99007500Y-125635000D01* -X98998433Y-125634555D01* -X98989454Y-125633223D01* -X98980649Y-125631017D01* -X98972102Y-125627959D01* -X98963896Y-125624078D01* -X98956110Y-125619411D01* -X98948819Y-125614003D01* -X98942093Y-125607907D01* -X98935997Y-125601181D01* -X98930589Y-125593890D01* -X98925922Y-125586104D01* -X98922041Y-125577898D01* -X98918983Y-125569351D01* -X98916777Y-125560546D01* -X98915445Y-125551567D01* -X98915000Y-125542500D01* -X98915000Y-124357500D01* -X98915445Y-124348433D01* -X98916777Y-124339454D01* -X98918983Y-124330649D01* -X98922041Y-124322102D01* -X98925922Y-124313896D01* -X98930589Y-124306110D01* -X98935997Y-124298819D01* -X98942093Y-124292093D01* -X98948819Y-124285997D01* -X98956110Y-124280589D01* -X98963896Y-124275922D01* -X98972102Y-124272041D01* -X98980649Y-124268983D01* -X98989454Y-124266777D01* -X98998433Y-124265445D01* -X99007500Y-124265000D01* -X99192500Y-124265000D01* -X99201567Y-124265445D01* -G37* -G36* -X98551567Y-124265445D02* -G01* -X98560546Y-124266777D01* -X98569351Y-124268983D01* -X98577898Y-124272041D01* -X98586104Y-124275922D01* -X98593890Y-124280589D01* -X98601181Y-124285997D01* -X98607907Y-124292093D01* -X98614003Y-124298819D01* -X98619411Y-124306110D01* -X98624078Y-124313896D01* -X98627959Y-124322102D01* -X98631017Y-124330649D01* -X98633223Y-124339454D01* -X98634555Y-124348433D01* -X98635000Y-124357500D01* -X98635000Y-125542500D01* -X98634555Y-125551567D01* -X98633223Y-125560546D01* -X98631017Y-125569351D01* -X98627959Y-125577898D01* -X98624078Y-125586104D01* -X98619411Y-125593890D01* -X98614003Y-125601181D01* -X98607907Y-125607907D01* -X98601181Y-125614003D01* -X98593890Y-125619411D01* -X98586104Y-125624078D01* -X98577898Y-125627959D01* -X98569351Y-125631017D01* -X98560546Y-125633223D01* -X98551567Y-125634555D01* -X98542500Y-125635000D01* -X98357500Y-125635000D01* -X98348433Y-125634555D01* -X98339454Y-125633223D01* -X98330649Y-125631017D01* -X98322102Y-125627959D01* -X98313896Y-125624078D01* -X98306110Y-125619411D01* -X98298819Y-125614003D01* -X98292093Y-125607907D01* -X98285997Y-125601181D01* -X98280589Y-125593890D01* -X98275922Y-125586104D01* -X98272041Y-125577898D01* -X98268983Y-125569351D01* -X98266777Y-125560546D01* -X98265445Y-125551567D01* -X98265000Y-125542500D01* -X98265000Y-124357500D01* -X98265445Y-124348433D01* -X98266777Y-124339454D01* -X98268983Y-124330649D01* -X98272041Y-124322102D01* -X98275922Y-124313896D01* -X98280589Y-124306110D01* -X98285997Y-124298819D01* -X98292093Y-124292093D01* -X98298819Y-124285997D01* -X98306110Y-124280589D01* -X98313896Y-124275922D01* -X98322102Y-124272041D01* -X98330649Y-124268983D01* -X98339454Y-124266777D01* -X98348433Y-124265445D01* -X98357500Y-124265000D01* -X98542500Y-124265000D01* -X98551567Y-124265445D01* -G37* -G36* -X97901567Y-124265445D02* -G01* -X97910546Y-124266777D01* -X97919351Y-124268983D01* -X97927898Y-124272041D01* -X97936104Y-124275922D01* -X97943890Y-124280589D01* -X97951181Y-124285997D01* -X97957907Y-124292093D01* -X97964003Y-124298819D01* -X97969411Y-124306110D01* -X97974078Y-124313896D01* -X97977959Y-124322102D01* -X97981017Y-124330649D01* -X97983223Y-124339454D01* -X97984555Y-124348433D01* -X97985000Y-124357500D01* -X97985000Y-125542500D01* -X97984555Y-125551567D01* -X97983223Y-125560546D01* -X97981017Y-125569351D01* -X97977959Y-125577898D01* -X97974078Y-125586104D01* -X97969411Y-125593890D01* -X97964003Y-125601181D01* -X97957907Y-125607907D01* -X97951181Y-125614003D01* -X97943890Y-125619411D01* -X97936104Y-125624078D01* -X97927898Y-125627959D01* -X97919351Y-125631017D01* -X97910546Y-125633223D01* -X97901567Y-125634555D01* -X97892500Y-125635000D01* -X97707500Y-125635000D01* -X97698433Y-125634555D01* -X97689454Y-125633223D01* -X97680649Y-125631017D01* -X97672102Y-125627959D01* -X97663896Y-125624078D01* -X97656110Y-125619411D01* -X97648819Y-125614003D01* -X97642093Y-125607907D01* -X97635997Y-125601181D01* -X97630589Y-125593890D01* -X97625922Y-125586104D01* -X97622041Y-125577898D01* -X97618983Y-125569351D01* -X97616777Y-125560546D01* -X97615445Y-125551567D01* -X97615000Y-125542500D01* -X97615000Y-124357500D01* -X97615445Y-124348433D01* -X97616777Y-124339454D01* -X97618983Y-124330649D01* -X97622041Y-124322102D01* -X97625922Y-124313896D01* -X97630589Y-124306110D01* -X97635997Y-124298819D01* -X97642093Y-124292093D01* -X97648819Y-124285997D01* -X97656110Y-124280589D01* -X97663896Y-124275922D01* -X97672102Y-124272041D01* -X97680649Y-124268983D01* -X97689454Y-124266777D01* -X97698433Y-124265445D01* -X97707500Y-124265000D01* -X97892500Y-124265000D01* -X97901567Y-124265445D01* -G37* -G36* -X97251567Y-124265445D02* -G01* -X97260546Y-124266777D01* -X97269351Y-124268983D01* -X97277898Y-124272041D01* -X97286104Y-124275922D01* -X97293890Y-124280589D01* -X97301181Y-124285997D01* -X97307907Y-124292093D01* -X97314003Y-124298819D01* -X97319411Y-124306110D01* -X97324078Y-124313896D01* -X97327959Y-124322102D01* -X97331017Y-124330649D01* -X97333223Y-124339454D01* -X97334555Y-124348433D01* -X97335000Y-124357500D01* -X97335000Y-125542500D01* -X97334555Y-125551567D01* -X97333223Y-125560546D01* -X97331017Y-125569351D01* -X97327959Y-125577898D01* -X97324078Y-125586104D01* -X97319411Y-125593890D01* -X97314003Y-125601181D01* -X97307907Y-125607907D01* -X97301181Y-125614003D01* -X97293890Y-125619411D01* -X97286104Y-125624078D01* -X97277898Y-125627959D01* -X97269351Y-125631017D01* -X97260546Y-125633223D01* -X97251567Y-125634555D01* -X97242500Y-125635000D01* -X97057500Y-125635000D01* -X97048433Y-125634555D01* -X97039454Y-125633223D01* -X97030649Y-125631017D01* -X97022102Y-125627959D01* -X97013896Y-125624078D01* -X97006110Y-125619411D01* -X96998819Y-125614003D01* -X96992093Y-125607907D01* -X96985997Y-125601181D01* -X96980589Y-125593890D01* -X96975922Y-125586104D01* -X96972041Y-125577898D01* -X96968983Y-125569351D01* -X96966777Y-125560546D01* -X96965445Y-125551567D01* -X96965000Y-125542500D01* -X96965000Y-124357500D01* -X96965445Y-124348433D01* -X96966777Y-124339454D01* -X96968983Y-124330649D01* -X96972041Y-124322102D01* -X96975922Y-124313896D01* -X96980589Y-124306110D01* -X96985997Y-124298819D01* -X96992093Y-124292093D01* -X96998819Y-124285997D01* -X97006110Y-124280589D01* -X97013896Y-124275922D01* -X97022102Y-124272041D01* -X97030649Y-124268983D01* -X97039454Y-124266777D01* -X97048433Y-124265445D01* -X97057500Y-124265000D01* -X97242500Y-124265000D01* -X97251567Y-124265445D01* -G37* -G36* -X96601567Y-124265445D02* -G01* -X96610546Y-124266777D01* -X96619351Y-124268983D01* -X96627898Y-124272041D01* -X96636104Y-124275922D01* -X96643890Y-124280589D01* -X96651181Y-124285997D01* -X96657907Y-124292093D01* -X96664003Y-124298819D01* -X96669411Y-124306110D01* -X96674078Y-124313896D01* -X96677959Y-124322102D01* -X96681017Y-124330649D01* -X96683223Y-124339454D01* -X96684555Y-124348433D01* -X96685000Y-124357500D01* -X96685000Y-125542500D01* -X96684555Y-125551567D01* -X96683223Y-125560546D01* -X96681017Y-125569351D01* -X96677959Y-125577898D01* -X96674078Y-125586104D01* -X96669411Y-125593890D01* -X96664003Y-125601181D01* -X96657907Y-125607907D01* -X96651181Y-125614003D01* -X96643890Y-125619411D01* -X96636104Y-125624078D01* -X96627898Y-125627959D01* -X96619351Y-125631017D01* -X96610546Y-125633223D01* -X96601567Y-125634555D01* -X96592500Y-125635000D01* -X96407500Y-125635000D01* -X96398433Y-125634555D01* -X96389454Y-125633223D01* -X96380649Y-125631017D01* -X96372102Y-125627959D01* -X96363896Y-125624078D01* -X96356110Y-125619411D01* -X96348819Y-125614003D01* -X96342093Y-125607907D01* -X96335997Y-125601181D01* -X96330589Y-125593890D01* -X96325922Y-125586104D01* -X96322041Y-125577898D01* -X96318983Y-125569351D01* -X96316777Y-125560546D01* -X96315445Y-125551567D01* -X96315000Y-125542500D01* -X96315000Y-124357500D01* -X96315445Y-124348433D01* -X96316777Y-124339454D01* -X96318983Y-124330649D01* -X96322041Y-124322102D01* -X96325922Y-124313896D01* -X96330589Y-124306110D01* -X96335997Y-124298819D01* -X96342093Y-124292093D01* -X96348819Y-124285997D01* -X96356110Y-124280589D01* -X96363896Y-124275922D01* -X96372102Y-124272041D01* -X96380649Y-124268983D01* -X96389454Y-124266777D01* -X96398433Y-124265445D01* -X96407500Y-124265000D01* -X96592500Y-124265000D01* -X96601567Y-124265445D01* -G37* -G36* -X104011368Y-99765927D02* -G01* -X104030055Y-99768699D01* -X104048380Y-99773289D01* -X104066167Y-99779653D01* -X104083244Y-99787730D01* -X104099447Y-99797442D01* -X104114621Y-99808695D01* -X104128618Y-99821382D01* -X104141305Y-99835379D01* -X104152558Y-99850553D01* -X104162270Y-99866756D01* -X104170347Y-99883833D01* -X104176711Y-99901620D01* -X104181301Y-99919945D01* -X104184073Y-99938632D01* -X104185000Y-99957500D01* -X104185000Y-100342500D01* -X104184073Y-100361368D01* -X104181301Y-100380055D01* -X104176711Y-100398380D01* -X104170347Y-100416167D01* -X104162270Y-100433244D01* -X104152558Y-100449447D01* -X104141305Y-100464621D01* -X104128618Y-100478618D01* -X104114621Y-100491305D01* -X104099447Y-100502558D01* -X104083244Y-100512270D01* -X104066167Y-100520347D01* -X104048380Y-100526711D01* -X104030055Y-100531301D01* -X104011368Y-100534073D01* -X103992500Y-100535000D01* -X103507500Y-100535000D01* -X103488632Y-100534073D01* -X103469945Y-100531301D01* -X103451620Y-100526711D01* -X103433833Y-100520347D01* -X103416756Y-100512270D01* -X103400553Y-100502558D01* -X103385379Y-100491305D01* -X103371382Y-100478618D01* -X103358695Y-100464621D01* -X103347442Y-100449447D01* -X103337730Y-100433244D01* -X103329653Y-100416167D01* -X103323289Y-100398380D01* -X103318699Y-100380055D01* -X103315927Y-100361368D01* -X103315000Y-100342500D01* -X103315000Y-99957500D01* -X103315927Y-99938632D01* -X103318699Y-99919945D01* -X103323289Y-99901620D01* -X103329653Y-99883833D01* -X103337730Y-99866756D01* -X103347442Y-99850553D01* -X103358695Y-99835379D01* -X103371382Y-99821382D01* -X103385379Y-99808695D01* -X103400553Y-99797442D01* -X103416756Y-99787730D01* -X103433833Y-99779653D01* -X103451620Y-99773289D01* -X103469945Y-99768699D01* -X103488632Y-99765927D01* -X103507500Y-99765000D01* -X103992500Y-99765000D01* -X104011368Y-99765927D01* -G37* -G36* -X104011368Y-101265927D02* -G01* -X104030055Y-101268699D01* -X104048380Y-101273289D01* -X104066167Y-101279653D01* -X104083244Y-101287730D01* -X104099447Y-101297442D01* -X104114621Y-101308695D01* -X104128618Y-101321382D01* -X104141305Y-101335379D01* -X104152558Y-101350553D01* -X104162270Y-101366756D01* -X104170347Y-101383833D01* -X104176711Y-101401620D01* -X104181301Y-101419945D01* -X104184073Y-101438632D01* -X104185000Y-101457500D01* -X104185000Y-101842500D01* -X104184073Y-101861368D01* -X104181301Y-101880055D01* -X104176711Y-101898380D01* -X104170347Y-101916167D01* -X104162270Y-101933244D01* -X104152558Y-101949447D01* -X104141305Y-101964621D01* -X104128618Y-101978618D01* -X104114621Y-101991305D01* -X104099447Y-102002558D01* -X104083244Y-102012270D01* -X104066167Y-102020347D01* -X104048380Y-102026711D01* -X104030055Y-102031301D01* -X104011368Y-102034073D01* -X103992500Y-102035000D01* -X103507500Y-102035000D01* -X103488632Y-102034073D01* -X103469945Y-102031301D01* -X103451620Y-102026711D01* -X103433833Y-102020347D01* -X103416756Y-102012270D01* -X103400553Y-102002558D01* -X103385379Y-101991305D01* -X103371382Y-101978618D01* -X103358695Y-101964621D01* -X103347442Y-101949447D01* -X103337730Y-101933244D01* -X103329653Y-101916167D01* -X103323289Y-101898380D01* -X103318699Y-101880055D01* -X103315927Y-101861368D01* -X103315000Y-101842500D01* -X103315000Y-101457500D01* -X103315927Y-101438632D01* -X103318699Y-101419945D01* -X103323289Y-101401620D01* -X103329653Y-101383833D01* -X103337730Y-101366756D01* -X103347442Y-101350553D01* -X103358695Y-101335379D01* -X103371382Y-101321382D01* -X103385379Y-101308695D01* -X103400553Y-101297442D01* -X103416756Y-101287730D01* -X103433833Y-101279653D01* -X103451620Y-101273289D01* -X103469945Y-101268699D01* -X103488632Y-101265927D01* -X103507500Y-101265000D01* -X103992500Y-101265000D01* -X104011368Y-101265927D01* -G37* -G36* -X87401567Y-118365445D02* -G01* -X87410546Y-118366777D01* -X87419351Y-118368983D01* -X87427898Y-118372041D01* -X87436104Y-118375922D01* -X87443890Y-118380589D01* -X87451181Y-118385997D01* -X87457907Y-118392093D01* -X87464003Y-118398819D01* -X87469411Y-118406110D01* -X87474078Y-118413896D01* -X87477959Y-118422102D01* -X87481017Y-118430649D01* -X87483223Y-118439454D01* -X87484555Y-118448433D01* -X87485000Y-118457500D01* -X87485000Y-119642500D01* -X87484555Y-119651567D01* -X87483223Y-119660546D01* -X87481017Y-119669351D01* -X87477959Y-119677898D01* -X87474078Y-119686104D01* -X87469411Y-119693890D01* -X87464003Y-119701181D01* -X87457907Y-119707907D01* -X87451181Y-119714003D01* -X87443890Y-119719411D01* -X87436104Y-119724078D01* -X87427898Y-119727959D01* -X87419351Y-119731017D01* -X87410546Y-119733223D01* -X87401567Y-119734555D01* -X87392500Y-119735000D01* -X87207500Y-119735000D01* -X87198433Y-119734555D01* -X87189454Y-119733223D01* -X87180649Y-119731017D01* -X87172102Y-119727959D01* -X87163896Y-119724078D01* -X87156110Y-119719411D01* -X87148819Y-119714003D01* -X87142093Y-119707907D01* -X87135997Y-119701181D01* -X87130589Y-119693890D01* -X87125922Y-119686104D01* -X87122041Y-119677898D01* -X87118983Y-119669351D01* -X87116777Y-119660546D01* -X87115445Y-119651567D01* -X87115000Y-119642500D01* -X87115000Y-118457500D01* -X87115445Y-118448433D01* -X87116777Y-118439454D01* -X87118983Y-118430649D01* -X87122041Y-118422102D01* -X87125922Y-118413896D01* -X87130589Y-118406110D01* -X87135997Y-118398819D01* -X87142093Y-118392093D01* -X87148819Y-118385997D01* -X87156110Y-118380589D01* -X87163896Y-118375922D01* -X87172102Y-118372041D01* -X87180649Y-118368983D01* -X87189454Y-118366777D01* -X87198433Y-118365445D01* -X87207500Y-118365000D01* -X87392500Y-118365000D01* -X87401567Y-118365445D01* -G37* -G36* -X88051567Y-118365445D02* -G01* -X88060546Y-118366777D01* -X88069351Y-118368983D01* -X88077898Y-118372041D01* -X88086104Y-118375922D01* -X88093890Y-118380589D01* -X88101181Y-118385997D01* -X88107907Y-118392093D01* -X88114003Y-118398819D01* -X88119411Y-118406110D01* -X88124078Y-118413896D01* -X88127959Y-118422102D01* -X88131017Y-118430649D01* -X88133223Y-118439454D01* -X88134555Y-118448433D01* -X88135000Y-118457500D01* -X88135000Y-119642500D01* -X88134555Y-119651567D01* -X88133223Y-119660546D01* -X88131017Y-119669351D01* -X88127959Y-119677898D01* -X88124078Y-119686104D01* -X88119411Y-119693890D01* -X88114003Y-119701181D01* -X88107907Y-119707907D01* -X88101181Y-119714003D01* -X88093890Y-119719411D01* -X88086104Y-119724078D01* -X88077898Y-119727959D01* -X88069351Y-119731017D01* -X88060546Y-119733223D01* -X88051567Y-119734555D01* -X88042500Y-119735000D01* -X87857500Y-119735000D01* -X87848433Y-119734555D01* -X87839454Y-119733223D01* -X87830649Y-119731017D01* -X87822102Y-119727959D01* -X87813896Y-119724078D01* -X87806110Y-119719411D01* -X87798819Y-119714003D01* -X87792093Y-119707907D01* -X87785997Y-119701181D01* -X87780589Y-119693890D01* -X87775922Y-119686104D01* -X87772041Y-119677898D01* -X87768983Y-119669351D01* -X87766777Y-119660546D01* -X87765445Y-119651567D01* -X87765000Y-119642500D01* -X87765000Y-118457500D01* -X87765445Y-118448433D01* -X87766777Y-118439454D01* -X87768983Y-118430649D01* -X87772041Y-118422102D01* -X87775922Y-118413896D01* -X87780589Y-118406110D01* -X87785997Y-118398819D01* -X87792093Y-118392093D01* -X87798819Y-118385997D01* -X87806110Y-118380589D01* -X87813896Y-118375922D01* -X87822102Y-118372041D01* -X87830649Y-118368983D01* -X87839454Y-118366777D01* -X87848433Y-118365445D01* -X87857500Y-118365000D01* -X88042500Y-118365000D01* -X88051567Y-118365445D01* -G37* -G36* -X88701567Y-118365445D02* -G01* -X88710546Y-118366777D01* -X88719351Y-118368983D01* -X88727898Y-118372041D01* -X88736104Y-118375922D01* -X88743890Y-118380589D01* -X88751181Y-118385997D01* -X88757907Y-118392093D01* -X88764003Y-118398819D01* -X88769411Y-118406110D01* -X88774078Y-118413896D01* -X88777959Y-118422102D01* -X88781017Y-118430649D01* -X88783223Y-118439454D01* -X88784555Y-118448433D01* -X88785000Y-118457500D01* -X88785000Y-119642500D01* -X88784555Y-119651567D01* -X88783223Y-119660546D01* -X88781017Y-119669351D01* -X88777959Y-119677898D01* -X88774078Y-119686104D01* -X88769411Y-119693890D01* -X88764003Y-119701181D01* -X88757907Y-119707907D01* -X88751181Y-119714003D01* -X88743890Y-119719411D01* -X88736104Y-119724078D01* -X88727898Y-119727959D01* -X88719351Y-119731017D01* -X88710546Y-119733223D01* -X88701567Y-119734555D01* -X88692500Y-119735000D01* -X88507500Y-119735000D01* -X88498433Y-119734555D01* -X88489454Y-119733223D01* -X88480649Y-119731017D01* -X88472102Y-119727959D01* -X88463896Y-119724078D01* -X88456110Y-119719411D01* -X88448819Y-119714003D01* -X88442093Y-119707907D01* -X88435997Y-119701181D01* -X88430589Y-119693890D01* -X88425922Y-119686104D01* -X88422041Y-119677898D01* -X88418983Y-119669351D01* -X88416777Y-119660546D01* -X88415445Y-119651567D01* -X88415000Y-119642500D01* -X88415000Y-118457500D01* -X88415445Y-118448433D01* -X88416777Y-118439454D01* -X88418983Y-118430649D01* -X88422041Y-118422102D01* -X88425922Y-118413896D01* -X88430589Y-118406110D01* -X88435997Y-118398819D01* -X88442093Y-118392093D01* -X88448819Y-118385997D01* -X88456110Y-118380589D01* -X88463896Y-118375922D01* -X88472102Y-118372041D01* -X88480649Y-118368983D01* -X88489454Y-118366777D01* -X88498433Y-118365445D01* -X88507500Y-118365000D01* -X88692500Y-118365000D01* -X88701567Y-118365445D01* -G37* -G36* -X89351567Y-118365445D02* -G01* -X89360546Y-118366777D01* -X89369351Y-118368983D01* -X89377898Y-118372041D01* -X89386104Y-118375922D01* -X89393890Y-118380589D01* -X89401181Y-118385997D01* -X89407907Y-118392093D01* -X89414003Y-118398819D01* -X89419411Y-118406110D01* -X89424078Y-118413896D01* -X89427959Y-118422102D01* -X89431017Y-118430649D01* -X89433223Y-118439454D01* -X89434555Y-118448433D01* -X89435000Y-118457500D01* -X89435000Y-119642500D01* -X89434555Y-119651567D01* -X89433223Y-119660546D01* -X89431017Y-119669351D01* -X89427959Y-119677898D01* -X89424078Y-119686104D01* -X89419411Y-119693890D01* -X89414003Y-119701181D01* -X89407907Y-119707907D01* -X89401181Y-119714003D01* -X89393890Y-119719411D01* -X89386104Y-119724078D01* -X89377898Y-119727959D01* -X89369351Y-119731017D01* -X89360546Y-119733223D01* -X89351567Y-119734555D01* -X89342500Y-119735000D01* -X89157500Y-119735000D01* -X89148433Y-119734555D01* -X89139454Y-119733223D01* -X89130649Y-119731017D01* -X89122102Y-119727959D01* -X89113896Y-119724078D01* -X89106110Y-119719411D01* -X89098819Y-119714003D01* -X89092093Y-119707907D01* -X89085997Y-119701181D01* -X89080589Y-119693890D01* -X89075922Y-119686104D01* -X89072041Y-119677898D01* -X89068983Y-119669351D01* -X89066777Y-119660546D01* -X89065445Y-119651567D01* -X89065000Y-119642500D01* -X89065000Y-118457500D01* -X89065445Y-118448433D01* -X89066777Y-118439454D01* -X89068983Y-118430649D01* -X89072041Y-118422102D01* -X89075922Y-118413896D01* -X89080589Y-118406110D01* -X89085997Y-118398819D01* -X89092093Y-118392093D01* -X89098819Y-118385997D01* -X89106110Y-118380589D01* -X89113896Y-118375922D01* -X89122102Y-118372041D01* -X89130649Y-118368983D01* -X89139454Y-118366777D01* -X89148433Y-118365445D01* -X89157500Y-118365000D01* -X89342500Y-118365000D01* -X89351567Y-118365445D01* -G37* -G36* -X90001567Y-118365445D02* -G01* -X90010546Y-118366777D01* -X90019351Y-118368983D01* -X90027898Y-118372041D01* -X90036104Y-118375922D01* -X90043890Y-118380589D01* -X90051181Y-118385997D01* -X90057907Y-118392093D01* -X90064003Y-118398819D01* -X90069411Y-118406110D01* -X90074078Y-118413896D01* -X90077959Y-118422102D01* -X90081017Y-118430649D01* -X90083223Y-118439454D01* -X90084555Y-118448433D01* -X90085000Y-118457500D01* -X90085000Y-119642500D01* -X90084555Y-119651567D01* -X90083223Y-119660546D01* -X90081017Y-119669351D01* -X90077959Y-119677898D01* -X90074078Y-119686104D01* -X90069411Y-119693890D01* -X90064003Y-119701181D01* -X90057907Y-119707907D01* -X90051181Y-119714003D01* -X90043890Y-119719411D01* -X90036104Y-119724078D01* -X90027898Y-119727959D01* -X90019351Y-119731017D01* -X90010546Y-119733223D01* -X90001567Y-119734555D01* -X89992500Y-119735000D01* -X89807500Y-119735000D01* -X89798433Y-119734555D01* -X89789454Y-119733223D01* -X89780649Y-119731017D01* -X89772102Y-119727959D01* -X89763896Y-119724078D01* -X89756110Y-119719411D01* -X89748819Y-119714003D01* -X89742093Y-119707907D01* -X89735997Y-119701181D01* -X89730589Y-119693890D01* -X89725922Y-119686104D01* -X89722041Y-119677898D01* -X89718983Y-119669351D01* -X89716777Y-119660546D01* -X89715445Y-119651567D01* -X89715000Y-119642500D01* -X89715000Y-118457500D01* -X89715445Y-118448433D01* -X89716777Y-118439454D01* -X89718983Y-118430649D01* -X89722041Y-118422102D01* -X89725922Y-118413896D01* -X89730589Y-118406110D01* -X89735997Y-118398819D01* -X89742093Y-118392093D01* -X89748819Y-118385997D01* -X89756110Y-118380589D01* -X89763896Y-118375922D01* -X89772102Y-118372041D01* -X89780649Y-118368983D01* -X89789454Y-118366777D01* -X89798433Y-118365445D01* -X89807500Y-118365000D01* -X89992500Y-118365000D01* -X90001567Y-118365445D01* -G37* -G36* -X90651567Y-118365445D02* -G01* -X90660546Y-118366777D01* -X90669351Y-118368983D01* -X90677898Y-118372041D01* -X90686104Y-118375922D01* -X90693890Y-118380589D01* -X90701181Y-118385997D01* -X90707907Y-118392093D01* -X90714003Y-118398819D01* -X90719411Y-118406110D01* -X90724078Y-118413896D01* -X90727959Y-118422102D01* -X90731017Y-118430649D01* -X90733223Y-118439454D01* -X90734555Y-118448433D01* -X90735000Y-118457500D01* -X90735000Y-119642500D01* -X90734555Y-119651567D01* -X90733223Y-119660546D01* -X90731017Y-119669351D01* -X90727959Y-119677898D01* -X90724078Y-119686104D01* -X90719411Y-119693890D01* -X90714003Y-119701181D01* -X90707907Y-119707907D01* -X90701181Y-119714003D01* -X90693890Y-119719411D01* -X90686104Y-119724078D01* -X90677898Y-119727959D01* -X90669351Y-119731017D01* -X90660546Y-119733223D01* -X90651567Y-119734555D01* -X90642500Y-119735000D01* -X90457500Y-119735000D01* -X90448433Y-119734555D01* -X90439454Y-119733223D01* -X90430649Y-119731017D01* -X90422102Y-119727959D01* -X90413896Y-119724078D01* -X90406110Y-119719411D01* -X90398819Y-119714003D01* -X90392093Y-119707907D01* -X90385997Y-119701181D01* -X90380589Y-119693890D01* -X90375922Y-119686104D01* -X90372041Y-119677898D01* -X90368983Y-119669351D01* -X90366777Y-119660546D01* -X90365445Y-119651567D01* -X90365000Y-119642500D01* -X90365000Y-118457500D01* -X90365445Y-118448433D01* -X90366777Y-118439454D01* -X90368983Y-118430649D01* -X90372041Y-118422102D01* -X90375922Y-118413896D01* -X90380589Y-118406110D01* -X90385997Y-118398819D01* -X90392093Y-118392093D01* -X90398819Y-118385997D01* -X90406110Y-118380589D01* -X90413896Y-118375922D01* -X90422102Y-118372041D01* -X90430649Y-118368983D01* -X90439454Y-118366777D01* -X90448433Y-118365445D01* -X90457500Y-118365000D01* -X90642500Y-118365000D01* -X90651567Y-118365445D01* -G37* -G36* -X91301567Y-118365445D02* -G01* -X91310546Y-118366777D01* -X91319351Y-118368983D01* -X91327898Y-118372041D01* -X91336104Y-118375922D01* -X91343890Y-118380589D01* -X91351181Y-118385997D01* -X91357907Y-118392093D01* -X91364003Y-118398819D01* -X91369411Y-118406110D01* -X91374078Y-118413896D01* -X91377959Y-118422102D01* -X91381017Y-118430649D01* -X91383223Y-118439454D01* -X91384555Y-118448433D01* -X91385000Y-118457500D01* -X91385000Y-119642500D01* -X91384555Y-119651567D01* -X91383223Y-119660546D01* -X91381017Y-119669351D01* -X91377959Y-119677898D01* -X91374078Y-119686104D01* -X91369411Y-119693890D01* -X91364003Y-119701181D01* -X91357907Y-119707907D01* -X91351181Y-119714003D01* -X91343890Y-119719411D01* -X91336104Y-119724078D01* -X91327898Y-119727959D01* -X91319351Y-119731017D01* -X91310546Y-119733223D01* -X91301567Y-119734555D01* -X91292500Y-119735000D01* -X91107500Y-119735000D01* -X91098433Y-119734555D01* -X91089454Y-119733223D01* -X91080649Y-119731017D01* -X91072102Y-119727959D01* -X91063896Y-119724078D01* -X91056110Y-119719411D01* -X91048819Y-119714003D01* -X91042093Y-119707907D01* -X91035997Y-119701181D01* -X91030589Y-119693890D01* -X91025922Y-119686104D01* -X91022041Y-119677898D01* -X91018983Y-119669351D01* -X91016777Y-119660546D01* -X91015445Y-119651567D01* -X91015000Y-119642500D01* -X91015000Y-118457500D01* -X91015445Y-118448433D01* -X91016777Y-118439454D01* -X91018983Y-118430649D01* -X91022041Y-118422102D01* -X91025922Y-118413896D01* -X91030589Y-118406110D01* -X91035997Y-118398819D01* -X91042093Y-118392093D01* -X91048819Y-118385997D01* -X91056110Y-118380589D01* -X91063896Y-118375922D01* -X91072102Y-118372041D01* -X91080649Y-118368983D01* -X91089454Y-118366777D01* -X91098433Y-118365445D01* -X91107500Y-118365000D01* -X91292500Y-118365000D01* -X91301567Y-118365445D01* -G37* -G36* -X91951567Y-118365445D02* -G01* -X91960546Y-118366777D01* -X91969351Y-118368983D01* -X91977898Y-118372041D01* -X91986104Y-118375922D01* -X91993890Y-118380589D01* -X92001181Y-118385997D01* -X92007907Y-118392093D01* -X92014003Y-118398819D01* -X92019411Y-118406110D01* -X92024078Y-118413896D01* -X92027959Y-118422102D01* -X92031017Y-118430649D01* -X92033223Y-118439454D01* -X92034555Y-118448433D01* -X92035000Y-118457500D01* -X92035000Y-119642500D01* -X92034555Y-119651567D01* -X92033223Y-119660546D01* -X92031017Y-119669351D01* -X92027959Y-119677898D01* -X92024078Y-119686104D01* -X92019411Y-119693890D01* -X92014003Y-119701181D01* -X92007907Y-119707907D01* -X92001181Y-119714003D01* -X91993890Y-119719411D01* -X91986104Y-119724078D01* -X91977898Y-119727959D01* -X91969351Y-119731017D01* -X91960546Y-119733223D01* -X91951567Y-119734555D01* -X91942500Y-119735000D01* -X91757500Y-119735000D01* -X91748433Y-119734555D01* -X91739454Y-119733223D01* -X91730649Y-119731017D01* -X91722102Y-119727959D01* -X91713896Y-119724078D01* -X91706110Y-119719411D01* -X91698819Y-119714003D01* -X91692093Y-119707907D01* -X91685997Y-119701181D01* -X91680589Y-119693890D01* -X91675922Y-119686104D01* -X91672041Y-119677898D01* -X91668983Y-119669351D01* -X91666777Y-119660546D01* -X91665445Y-119651567D01* -X91665000Y-119642500D01* -X91665000Y-118457500D01* -X91665445Y-118448433D01* -X91666777Y-118439454D01* -X91668983Y-118430649D01* -X91672041Y-118422102D01* -X91675922Y-118413896D01* -X91680589Y-118406110D01* -X91685997Y-118398819D01* -X91692093Y-118392093D01* -X91698819Y-118385997D01* -X91706110Y-118380589D01* -X91713896Y-118375922D01* -X91722102Y-118372041D01* -X91730649Y-118368983D01* -X91739454Y-118366777D01* -X91748433Y-118365445D01* -X91757500Y-118365000D01* -X91942500Y-118365000D01* -X91951567Y-118365445D01* -G37* -G36* -X92601567Y-118365445D02* -G01* -X92610546Y-118366777D01* -X92619351Y-118368983D01* -X92627898Y-118372041D01* -X92636104Y-118375922D01* -X92643890Y-118380589D01* -X92651181Y-118385997D01* -X92657907Y-118392093D01* -X92664003Y-118398819D01* -X92669411Y-118406110D01* -X92674078Y-118413896D01* -X92677959Y-118422102D01* -X92681017Y-118430649D01* -X92683223Y-118439454D01* -X92684555Y-118448433D01* -X92685000Y-118457500D01* -X92685000Y-119642500D01* -X92684555Y-119651567D01* -X92683223Y-119660546D01* -X92681017Y-119669351D01* -X92677959Y-119677898D01* -X92674078Y-119686104D01* -X92669411Y-119693890D01* -X92664003Y-119701181D01* -X92657907Y-119707907D01* -X92651181Y-119714003D01* -X92643890Y-119719411D01* -X92636104Y-119724078D01* -X92627898Y-119727959D01* -X92619351Y-119731017D01* -X92610546Y-119733223D01* -X92601567Y-119734555D01* -X92592500Y-119735000D01* -X92407500Y-119735000D01* -X92398433Y-119734555D01* -X92389454Y-119733223D01* -X92380649Y-119731017D01* -X92372102Y-119727959D01* -X92363896Y-119724078D01* -X92356110Y-119719411D01* -X92348819Y-119714003D01* -X92342093Y-119707907D01* -X92335997Y-119701181D01* -X92330589Y-119693890D01* -X92325922Y-119686104D01* -X92322041Y-119677898D01* -X92318983Y-119669351D01* -X92316777Y-119660546D01* -X92315445Y-119651567D01* -X92315000Y-119642500D01* -X92315000Y-118457500D01* -X92315445Y-118448433D01* -X92316777Y-118439454D01* -X92318983Y-118430649D01* -X92322041Y-118422102D01* -X92325922Y-118413896D01* -X92330589Y-118406110D01* -X92335997Y-118398819D01* -X92342093Y-118392093D01* -X92348819Y-118385997D01* -X92356110Y-118380589D01* -X92363896Y-118375922D01* -X92372102Y-118372041D01* -X92380649Y-118368983D01* -X92389454Y-118366777D01* -X92398433Y-118365445D01* -X92407500Y-118365000D01* -X92592500Y-118365000D01* -X92601567Y-118365445D01* -G37* -G36* -X93251567Y-118365445D02* -G01* -X93260546Y-118366777D01* -X93269351Y-118368983D01* -X93277898Y-118372041D01* -X93286104Y-118375922D01* -X93293890Y-118380589D01* -X93301181Y-118385997D01* -X93307907Y-118392093D01* -X93314003Y-118398819D01* -X93319411Y-118406110D01* -X93324078Y-118413896D01* -X93327959Y-118422102D01* -X93331017Y-118430649D01* -X93333223Y-118439454D01* -X93334555Y-118448433D01* -X93335000Y-118457500D01* -X93335000Y-119642500D01* -X93334555Y-119651567D01* -X93333223Y-119660546D01* -X93331017Y-119669351D01* -X93327959Y-119677898D01* -X93324078Y-119686104D01* -X93319411Y-119693890D01* -X93314003Y-119701181D01* -X93307907Y-119707907D01* -X93301181Y-119714003D01* -X93293890Y-119719411D01* -X93286104Y-119724078D01* -X93277898Y-119727959D01* -X93269351Y-119731017D01* -X93260546Y-119733223D01* -X93251567Y-119734555D01* -X93242500Y-119735000D01* -X93057500Y-119735000D01* -X93048433Y-119734555D01* -X93039454Y-119733223D01* -X93030649Y-119731017D01* -X93022102Y-119727959D01* -X93013896Y-119724078D01* -X93006110Y-119719411D01* -X92998819Y-119714003D01* -X92992093Y-119707907D01* -X92985997Y-119701181D01* -X92980589Y-119693890D01* -X92975922Y-119686104D01* -X92972041Y-119677898D01* -X92968983Y-119669351D01* -X92966777Y-119660546D01* -X92965445Y-119651567D01* -X92965000Y-119642500D01* -X92965000Y-118457500D01* -X92965445Y-118448433D01* -X92966777Y-118439454D01* -X92968983Y-118430649D01* -X92972041Y-118422102D01* -X92975922Y-118413896D01* -X92980589Y-118406110D01* -X92985997Y-118398819D01* -X92992093Y-118392093D01* -X92998819Y-118385997D01* -X93006110Y-118380589D01* -X93013896Y-118375922D01* -X93022102Y-118372041D01* -X93030649Y-118368983D01* -X93039454Y-118366777D01* -X93048433Y-118365445D01* -X93057500Y-118365000D01* -X93242500Y-118365000D01* -X93251567Y-118365445D01* -G37* -G36* -X93251567Y-124265445D02* -G01* -X93260546Y-124266777D01* -X93269351Y-124268983D01* -X93277898Y-124272041D01* -X93286104Y-124275922D01* -X93293890Y-124280589D01* -X93301181Y-124285997D01* -X93307907Y-124292093D01* -X93314003Y-124298819D01* -X93319411Y-124306110D01* -X93324078Y-124313896D01* -X93327959Y-124322102D01* -X93331017Y-124330649D01* -X93333223Y-124339454D01* -X93334555Y-124348433D01* -X93335000Y-124357500D01* -X93335000Y-125542500D01* -X93334555Y-125551567D01* -X93333223Y-125560546D01* -X93331017Y-125569351D01* -X93327959Y-125577898D01* -X93324078Y-125586104D01* -X93319411Y-125593890D01* -X93314003Y-125601181D01* -X93307907Y-125607907D01* -X93301181Y-125614003D01* -X93293890Y-125619411D01* -X93286104Y-125624078D01* -X93277898Y-125627959D01* -X93269351Y-125631017D01* -X93260546Y-125633223D01* -X93251567Y-125634555D01* -X93242500Y-125635000D01* -X93057500Y-125635000D01* -X93048433Y-125634555D01* -X93039454Y-125633223D01* -X93030649Y-125631017D01* -X93022102Y-125627959D01* -X93013896Y-125624078D01* -X93006110Y-125619411D01* -X92998819Y-125614003D01* -X92992093Y-125607907D01* -X92985997Y-125601181D01* -X92980589Y-125593890D01* -X92975922Y-125586104D01* -X92972041Y-125577898D01* -X92968983Y-125569351D01* -X92966777Y-125560546D01* -X92965445Y-125551567D01* -X92965000Y-125542500D01* -X92965000Y-124357500D01* -X92965445Y-124348433D01* -X92966777Y-124339454D01* -X92968983Y-124330649D01* -X92972041Y-124322102D01* -X92975922Y-124313896D01* -X92980589Y-124306110D01* -X92985997Y-124298819D01* -X92992093Y-124292093D01* -X92998819Y-124285997D01* -X93006110Y-124280589D01* -X93013896Y-124275922D01* -X93022102Y-124272041D01* -X93030649Y-124268983D01* -X93039454Y-124266777D01* -X93048433Y-124265445D01* -X93057500Y-124265000D01* -X93242500Y-124265000D01* -X93251567Y-124265445D01* -G37* -G36* -X92601567Y-124265445D02* -G01* -X92610546Y-124266777D01* -X92619351Y-124268983D01* -X92627898Y-124272041D01* -X92636104Y-124275922D01* -X92643890Y-124280589D01* -X92651181Y-124285997D01* -X92657907Y-124292093D01* -X92664003Y-124298819D01* -X92669411Y-124306110D01* -X92674078Y-124313896D01* -X92677959Y-124322102D01* -X92681017Y-124330649D01* -X92683223Y-124339454D01* -X92684555Y-124348433D01* -X92685000Y-124357500D01* -X92685000Y-125542500D01* -X92684555Y-125551567D01* -X92683223Y-125560546D01* -X92681017Y-125569351D01* -X92677959Y-125577898D01* -X92674078Y-125586104D01* -X92669411Y-125593890D01* -X92664003Y-125601181D01* -X92657907Y-125607907D01* -X92651181Y-125614003D01* -X92643890Y-125619411D01* -X92636104Y-125624078D01* -X92627898Y-125627959D01* -X92619351Y-125631017D01* -X92610546Y-125633223D01* -X92601567Y-125634555D01* -X92592500Y-125635000D01* -X92407500Y-125635000D01* -X92398433Y-125634555D01* -X92389454Y-125633223D01* -X92380649Y-125631017D01* -X92372102Y-125627959D01* -X92363896Y-125624078D01* -X92356110Y-125619411D01* -X92348819Y-125614003D01* -X92342093Y-125607907D01* -X92335997Y-125601181D01* -X92330589Y-125593890D01* -X92325922Y-125586104D01* -X92322041Y-125577898D01* -X92318983Y-125569351D01* -X92316777Y-125560546D01* -X92315445Y-125551567D01* -X92315000Y-125542500D01* -X92315000Y-124357500D01* -X92315445Y-124348433D01* -X92316777Y-124339454D01* -X92318983Y-124330649D01* -X92322041Y-124322102D01* -X92325922Y-124313896D01* -X92330589Y-124306110D01* -X92335997Y-124298819D01* -X92342093Y-124292093D01* -X92348819Y-124285997D01* -X92356110Y-124280589D01* -X92363896Y-124275922D01* -X92372102Y-124272041D01* -X92380649Y-124268983D01* -X92389454Y-124266777D01* -X92398433Y-124265445D01* -X92407500Y-124265000D01* -X92592500Y-124265000D01* -X92601567Y-124265445D01* -G37* -G36* -X91951567Y-124265445D02* -G01* -X91960546Y-124266777D01* -X91969351Y-124268983D01* -X91977898Y-124272041D01* -X91986104Y-124275922D01* -X91993890Y-124280589D01* -X92001181Y-124285997D01* -X92007907Y-124292093D01* -X92014003Y-124298819D01* -X92019411Y-124306110D01* -X92024078Y-124313896D01* -X92027959Y-124322102D01* -X92031017Y-124330649D01* -X92033223Y-124339454D01* -X92034555Y-124348433D01* -X92035000Y-124357500D01* -X92035000Y-125542500D01* -X92034555Y-125551567D01* -X92033223Y-125560546D01* -X92031017Y-125569351D01* -X92027959Y-125577898D01* -X92024078Y-125586104D01* -X92019411Y-125593890D01* -X92014003Y-125601181D01* -X92007907Y-125607907D01* -X92001181Y-125614003D01* -X91993890Y-125619411D01* -X91986104Y-125624078D01* -X91977898Y-125627959D01* -X91969351Y-125631017D01* -X91960546Y-125633223D01* -X91951567Y-125634555D01* -X91942500Y-125635000D01* -X91757500Y-125635000D01* -X91748433Y-125634555D01* -X91739454Y-125633223D01* -X91730649Y-125631017D01* -X91722102Y-125627959D01* -X91713896Y-125624078D01* -X91706110Y-125619411D01* -X91698819Y-125614003D01* -X91692093Y-125607907D01* -X91685997Y-125601181D01* -X91680589Y-125593890D01* -X91675922Y-125586104D01* -X91672041Y-125577898D01* -X91668983Y-125569351D01* -X91666777Y-125560546D01* -X91665445Y-125551567D01* -X91665000Y-125542500D01* -X91665000Y-124357500D01* -X91665445Y-124348433D01* -X91666777Y-124339454D01* -X91668983Y-124330649D01* -X91672041Y-124322102D01* -X91675922Y-124313896D01* -X91680589Y-124306110D01* -X91685997Y-124298819D01* -X91692093Y-124292093D01* -X91698819Y-124285997D01* -X91706110Y-124280589D01* -X91713896Y-124275922D01* -X91722102Y-124272041D01* -X91730649Y-124268983D01* -X91739454Y-124266777D01* -X91748433Y-124265445D01* -X91757500Y-124265000D01* -X91942500Y-124265000D01* -X91951567Y-124265445D01* -G37* -G36* -X91301567Y-124265445D02* -G01* -X91310546Y-124266777D01* -X91319351Y-124268983D01* -X91327898Y-124272041D01* -X91336104Y-124275922D01* -X91343890Y-124280589D01* -X91351181Y-124285997D01* -X91357907Y-124292093D01* -X91364003Y-124298819D01* -X91369411Y-124306110D01* -X91374078Y-124313896D01* -X91377959Y-124322102D01* -X91381017Y-124330649D01* -X91383223Y-124339454D01* -X91384555Y-124348433D01* -X91385000Y-124357500D01* -X91385000Y-125542500D01* -X91384555Y-125551567D01* -X91383223Y-125560546D01* -X91381017Y-125569351D01* -X91377959Y-125577898D01* -X91374078Y-125586104D01* -X91369411Y-125593890D01* -X91364003Y-125601181D01* -X91357907Y-125607907D01* -X91351181Y-125614003D01* -X91343890Y-125619411D01* -X91336104Y-125624078D01* -X91327898Y-125627959D01* -X91319351Y-125631017D01* -X91310546Y-125633223D01* -X91301567Y-125634555D01* -X91292500Y-125635000D01* -X91107500Y-125635000D01* -X91098433Y-125634555D01* -X91089454Y-125633223D01* -X91080649Y-125631017D01* -X91072102Y-125627959D01* -X91063896Y-125624078D01* -X91056110Y-125619411D01* -X91048819Y-125614003D01* -X91042093Y-125607907D01* -X91035997Y-125601181D01* -X91030589Y-125593890D01* -X91025922Y-125586104D01* -X91022041Y-125577898D01* -X91018983Y-125569351D01* -X91016777Y-125560546D01* -X91015445Y-125551567D01* -X91015000Y-125542500D01* -X91015000Y-124357500D01* -X91015445Y-124348433D01* -X91016777Y-124339454D01* -X91018983Y-124330649D01* -X91022041Y-124322102D01* -X91025922Y-124313896D01* -X91030589Y-124306110D01* -X91035997Y-124298819D01* -X91042093Y-124292093D01* -X91048819Y-124285997D01* -X91056110Y-124280589D01* -X91063896Y-124275922D01* -X91072102Y-124272041D01* -X91080649Y-124268983D01* -X91089454Y-124266777D01* -X91098433Y-124265445D01* -X91107500Y-124265000D01* -X91292500Y-124265000D01* -X91301567Y-124265445D01* -G37* -G36* -X90651567Y-124265445D02* -G01* -X90660546Y-124266777D01* -X90669351Y-124268983D01* -X90677898Y-124272041D01* -X90686104Y-124275922D01* -X90693890Y-124280589D01* -X90701181Y-124285997D01* -X90707907Y-124292093D01* -X90714003Y-124298819D01* -X90719411Y-124306110D01* -X90724078Y-124313896D01* -X90727959Y-124322102D01* -X90731017Y-124330649D01* -X90733223Y-124339454D01* -X90734555Y-124348433D01* -X90735000Y-124357500D01* -X90735000Y-125542500D01* -X90734555Y-125551567D01* -X90733223Y-125560546D01* -X90731017Y-125569351D01* -X90727959Y-125577898D01* -X90724078Y-125586104D01* -X90719411Y-125593890D01* -X90714003Y-125601181D01* -X90707907Y-125607907D01* -X90701181Y-125614003D01* -X90693890Y-125619411D01* -X90686104Y-125624078D01* -X90677898Y-125627959D01* -X90669351Y-125631017D01* -X90660546Y-125633223D01* -X90651567Y-125634555D01* -X90642500Y-125635000D01* -X90457500Y-125635000D01* -X90448433Y-125634555D01* -X90439454Y-125633223D01* -X90430649Y-125631017D01* -X90422102Y-125627959D01* -X90413896Y-125624078D01* -X90406110Y-125619411D01* -X90398819Y-125614003D01* -X90392093Y-125607907D01* -X90385997Y-125601181D01* -X90380589Y-125593890D01* -X90375922Y-125586104D01* -X90372041Y-125577898D01* -X90368983Y-125569351D01* -X90366777Y-125560546D01* -X90365445Y-125551567D01* -X90365000Y-125542500D01* -X90365000Y-124357500D01* -X90365445Y-124348433D01* -X90366777Y-124339454D01* -X90368983Y-124330649D01* -X90372041Y-124322102D01* -X90375922Y-124313896D01* -X90380589Y-124306110D01* -X90385997Y-124298819D01* -X90392093Y-124292093D01* -X90398819Y-124285997D01* -X90406110Y-124280589D01* -X90413896Y-124275922D01* -X90422102Y-124272041D01* -X90430649Y-124268983D01* -X90439454Y-124266777D01* -X90448433Y-124265445D01* -X90457500Y-124265000D01* -X90642500Y-124265000D01* -X90651567Y-124265445D01* -G37* -G36* -X90001567Y-124265445D02* -G01* -X90010546Y-124266777D01* -X90019351Y-124268983D01* -X90027898Y-124272041D01* -X90036104Y-124275922D01* -X90043890Y-124280589D01* -X90051181Y-124285997D01* -X90057907Y-124292093D01* -X90064003Y-124298819D01* -X90069411Y-124306110D01* -X90074078Y-124313896D01* -X90077959Y-124322102D01* -X90081017Y-124330649D01* -X90083223Y-124339454D01* -X90084555Y-124348433D01* -X90085000Y-124357500D01* -X90085000Y-125542500D01* -X90084555Y-125551567D01* -X90083223Y-125560546D01* -X90081017Y-125569351D01* -X90077959Y-125577898D01* -X90074078Y-125586104D01* -X90069411Y-125593890D01* -X90064003Y-125601181D01* -X90057907Y-125607907D01* -X90051181Y-125614003D01* -X90043890Y-125619411D01* -X90036104Y-125624078D01* -X90027898Y-125627959D01* -X90019351Y-125631017D01* -X90010546Y-125633223D01* -X90001567Y-125634555D01* -X89992500Y-125635000D01* -X89807500Y-125635000D01* -X89798433Y-125634555D01* -X89789454Y-125633223D01* -X89780649Y-125631017D01* -X89772102Y-125627959D01* -X89763896Y-125624078D01* -X89756110Y-125619411D01* -X89748819Y-125614003D01* -X89742093Y-125607907D01* -X89735997Y-125601181D01* -X89730589Y-125593890D01* -X89725922Y-125586104D01* -X89722041Y-125577898D01* -X89718983Y-125569351D01* -X89716777Y-125560546D01* -X89715445Y-125551567D01* -X89715000Y-125542500D01* -X89715000Y-124357500D01* -X89715445Y-124348433D01* -X89716777Y-124339454D01* -X89718983Y-124330649D01* -X89722041Y-124322102D01* -X89725922Y-124313896D01* -X89730589Y-124306110D01* -X89735997Y-124298819D01* -X89742093Y-124292093D01* -X89748819Y-124285997D01* -X89756110Y-124280589D01* -X89763896Y-124275922D01* -X89772102Y-124272041D01* -X89780649Y-124268983D01* -X89789454Y-124266777D01* -X89798433Y-124265445D01* -X89807500Y-124265000D01* -X89992500Y-124265000D01* -X90001567Y-124265445D01* -G37* -G36* -X89351567Y-124265445D02* -G01* -X89360546Y-124266777D01* -X89369351Y-124268983D01* -X89377898Y-124272041D01* -X89386104Y-124275922D01* -X89393890Y-124280589D01* -X89401181Y-124285997D01* -X89407907Y-124292093D01* -X89414003Y-124298819D01* -X89419411Y-124306110D01* -X89424078Y-124313896D01* -X89427959Y-124322102D01* -X89431017Y-124330649D01* -X89433223Y-124339454D01* -X89434555Y-124348433D01* -X89435000Y-124357500D01* -X89435000Y-125542500D01* -X89434555Y-125551567D01* -X89433223Y-125560546D01* -X89431017Y-125569351D01* -X89427959Y-125577898D01* -X89424078Y-125586104D01* -X89419411Y-125593890D01* -X89414003Y-125601181D01* -X89407907Y-125607907D01* -X89401181Y-125614003D01* -X89393890Y-125619411D01* -X89386104Y-125624078D01* -X89377898Y-125627959D01* -X89369351Y-125631017D01* -X89360546Y-125633223D01* -X89351567Y-125634555D01* -X89342500Y-125635000D01* -X89157500Y-125635000D01* -X89148433Y-125634555D01* -X89139454Y-125633223D01* -X89130649Y-125631017D01* -X89122102Y-125627959D01* -X89113896Y-125624078D01* -X89106110Y-125619411D01* -X89098819Y-125614003D01* -X89092093Y-125607907D01* -X89085997Y-125601181D01* -X89080589Y-125593890D01* -X89075922Y-125586104D01* -X89072041Y-125577898D01* -X89068983Y-125569351D01* -X89066777Y-125560546D01* -X89065445Y-125551567D01* -X89065000Y-125542500D01* -X89065000Y-124357500D01* -X89065445Y-124348433D01* -X89066777Y-124339454D01* -X89068983Y-124330649D01* -X89072041Y-124322102D01* -X89075922Y-124313896D01* -X89080589Y-124306110D01* -X89085997Y-124298819D01* -X89092093Y-124292093D01* -X89098819Y-124285997D01* -X89106110Y-124280589D01* -X89113896Y-124275922D01* -X89122102Y-124272041D01* -X89130649Y-124268983D01* -X89139454Y-124266777D01* -X89148433Y-124265445D01* -X89157500Y-124265000D01* -X89342500Y-124265000D01* -X89351567Y-124265445D01* -G37* -G36* -X88701567Y-124265445D02* -G01* -X88710546Y-124266777D01* -X88719351Y-124268983D01* -X88727898Y-124272041D01* -X88736104Y-124275922D01* -X88743890Y-124280589D01* -X88751181Y-124285997D01* -X88757907Y-124292093D01* -X88764003Y-124298819D01* -X88769411Y-124306110D01* -X88774078Y-124313896D01* -X88777959Y-124322102D01* -X88781017Y-124330649D01* -X88783223Y-124339454D01* -X88784555Y-124348433D01* -X88785000Y-124357500D01* -X88785000Y-125542500D01* -X88784555Y-125551567D01* -X88783223Y-125560546D01* -X88781017Y-125569351D01* -X88777959Y-125577898D01* -X88774078Y-125586104D01* -X88769411Y-125593890D01* -X88764003Y-125601181D01* -X88757907Y-125607907D01* -X88751181Y-125614003D01* -X88743890Y-125619411D01* -X88736104Y-125624078D01* -X88727898Y-125627959D01* -X88719351Y-125631017D01* -X88710546Y-125633223D01* -X88701567Y-125634555D01* -X88692500Y-125635000D01* -X88507500Y-125635000D01* -X88498433Y-125634555D01* -X88489454Y-125633223D01* -X88480649Y-125631017D01* -X88472102Y-125627959D01* -X88463896Y-125624078D01* -X88456110Y-125619411D01* -X88448819Y-125614003D01* -X88442093Y-125607907D01* -X88435997Y-125601181D01* -X88430589Y-125593890D01* -X88425922Y-125586104D01* -X88422041Y-125577898D01* -X88418983Y-125569351D01* -X88416777Y-125560546D01* -X88415445Y-125551567D01* -X88415000Y-125542500D01* -X88415000Y-124357500D01* -X88415445Y-124348433D01* -X88416777Y-124339454D01* -X88418983Y-124330649D01* -X88422041Y-124322102D01* -X88425922Y-124313896D01* -X88430589Y-124306110D01* -X88435997Y-124298819D01* -X88442093Y-124292093D01* -X88448819Y-124285997D01* -X88456110Y-124280589D01* -X88463896Y-124275922D01* -X88472102Y-124272041D01* -X88480649Y-124268983D01* -X88489454Y-124266777D01* -X88498433Y-124265445D01* -X88507500Y-124265000D01* -X88692500Y-124265000D01* -X88701567Y-124265445D01* -G37* -G36* -X88051567Y-124265445D02* -G01* -X88060546Y-124266777D01* -X88069351Y-124268983D01* -X88077898Y-124272041D01* -X88086104Y-124275922D01* -X88093890Y-124280589D01* -X88101181Y-124285997D01* -X88107907Y-124292093D01* -X88114003Y-124298819D01* -X88119411Y-124306110D01* -X88124078Y-124313896D01* -X88127959Y-124322102D01* -X88131017Y-124330649D01* -X88133223Y-124339454D01* -X88134555Y-124348433D01* -X88135000Y-124357500D01* -X88135000Y-125542500D01* -X88134555Y-125551567D01* -X88133223Y-125560546D01* -X88131017Y-125569351D01* -X88127959Y-125577898D01* -X88124078Y-125586104D01* -X88119411Y-125593890D01* -X88114003Y-125601181D01* -X88107907Y-125607907D01* -X88101181Y-125614003D01* -X88093890Y-125619411D01* -X88086104Y-125624078D01* -X88077898Y-125627959D01* -X88069351Y-125631017D01* -X88060546Y-125633223D01* -X88051567Y-125634555D01* -X88042500Y-125635000D01* -X87857500Y-125635000D01* -X87848433Y-125634555D01* -X87839454Y-125633223D01* -X87830649Y-125631017D01* -X87822102Y-125627959D01* -X87813896Y-125624078D01* -X87806110Y-125619411D01* -X87798819Y-125614003D01* -X87792093Y-125607907D01* -X87785997Y-125601181D01* -X87780589Y-125593890D01* -X87775922Y-125586104D01* -X87772041Y-125577898D01* -X87768983Y-125569351D01* -X87766777Y-125560546D01* -X87765445Y-125551567D01* -X87765000Y-125542500D01* -X87765000Y-124357500D01* -X87765445Y-124348433D01* -X87766777Y-124339454D01* -X87768983Y-124330649D01* -X87772041Y-124322102D01* -X87775922Y-124313896D01* -X87780589Y-124306110D01* -X87785997Y-124298819D01* -X87792093Y-124292093D01* -X87798819Y-124285997D01* -X87806110Y-124280589D01* -X87813896Y-124275922D01* -X87822102Y-124272041D01* -X87830649Y-124268983D01* -X87839454Y-124266777D01* -X87848433Y-124265445D01* -X87857500Y-124265000D01* -X88042500Y-124265000D01* -X88051567Y-124265445D01* -G37* -G36* -X87401567Y-124265445D02* -G01* -X87410546Y-124266777D01* -X87419351Y-124268983D01* -X87427898Y-124272041D01* -X87436104Y-124275922D01* -X87443890Y-124280589D01* -X87451181Y-124285997D01* -X87457907Y-124292093D01* -X87464003Y-124298819D01* -X87469411Y-124306110D01* -X87474078Y-124313896D01* -X87477959Y-124322102D01* -X87481017Y-124330649D01* -X87483223Y-124339454D01* -X87484555Y-124348433D01* -X87485000Y-124357500D01* -X87485000Y-125542500D01* -X87484555Y-125551567D01* -X87483223Y-125560546D01* -X87481017Y-125569351D01* -X87477959Y-125577898D01* -X87474078Y-125586104D01* -X87469411Y-125593890D01* -X87464003Y-125601181D01* -X87457907Y-125607907D01* -X87451181Y-125614003D01* -X87443890Y-125619411D01* -X87436104Y-125624078D01* -X87427898Y-125627959D01* -X87419351Y-125631017D01* -X87410546Y-125633223D01* -X87401567Y-125634555D01* -X87392500Y-125635000D01* -X87207500Y-125635000D01* -X87198433Y-125634555D01* -X87189454Y-125633223D01* -X87180649Y-125631017D01* -X87172102Y-125627959D01* -X87163896Y-125624078D01* -X87156110Y-125619411D01* -X87148819Y-125614003D01* -X87142093Y-125607907D01* -X87135997Y-125601181D01* -X87130589Y-125593890D01* -X87125922Y-125586104D01* -X87122041Y-125577898D01* -X87118983Y-125569351D01* -X87116777Y-125560546D01* -X87115445Y-125551567D01* -X87115000Y-125542500D01* -X87115000Y-124357500D01* -X87115445Y-124348433D01* -X87116777Y-124339454D01* -X87118983Y-124330649D01* -X87122041Y-124322102D01* -X87125922Y-124313896D01* -X87130589Y-124306110D01* -X87135997Y-124298819D01* -X87142093Y-124292093D01* -X87148819Y-124285997D01* -X87156110Y-124280589D01* -X87163896Y-124275922D01* -X87172102Y-124272041D01* -X87180649Y-124268983D01* -X87189454Y-124266777D01* -X87198433Y-124265445D01* -X87207500Y-124265000D01* -X87392500Y-124265000D01* -X87401567Y-124265445D01* -G37* -G36* -X135667153Y-122900843D02* -G01* -X135684141Y-122903363D01* -X135700800Y-122907535D01* -X135716970Y-122913321D01* -X135732494Y-122920664D01* -X135747225Y-122929493D01* -X135761019Y-122939723D01* -X135773744Y-122951256D01* -X135785277Y-122963981D01* -X135795507Y-122977775D01* -X135804336Y-122992506D01* -X135811679Y-123008030D01* -X135817465Y-123024200D01* -X135821637Y-123040859D01* -X135824157Y-123057847D01* -X135825000Y-123075000D01* -X135825000Y-123425000D01* -X135824157Y-123442153D01* -X135821637Y-123459141D01* -X135817465Y-123475800D01* -X135811679Y-123491970D01* -X135804336Y-123507494D01* -X135795507Y-123522225D01* -X135785277Y-123536019D01* -X135773744Y-123548744D01* -X135761019Y-123560277D01* -X135747225Y-123570507D01* -X135732494Y-123579336D01* -X135716970Y-123586679D01* -X135700800Y-123592465D01* -X135684141Y-123596637D01* -X135667153Y-123599157D01* -X135650000Y-123600000D01* -X134750000Y-123600000D01* -X134732847Y-123599157D01* -X134715859Y-123596637D01* -X134699200Y-123592465D01* -X134683030Y-123586679D01* -X134667506Y-123579336D01* -X134652775Y-123570507D01* -X134638981Y-123560277D01* -X134626256Y-123548744D01* -X134614723Y-123536019D01* -X134604493Y-123522225D01* -X134595664Y-123507494D01* -X134588321Y-123491970D01* -X134582535Y-123475800D01* -X134578363Y-123459141D01* -X134575843Y-123442153D01* -X134575000Y-123425000D01* -X134575000Y-123075000D01* -X134575843Y-123057847D01* -X134578363Y-123040859D01* -X134582535Y-123024200D01* -X134588321Y-123008030D01* -X134595664Y-122992506D01* -X134604493Y-122977775D01* -X134614723Y-122963981D01* -X134626256Y-122951256D01* -X134638981Y-122939723D01* -X134652775Y-122929493D01* -X134667506Y-122920664D01* -X134683030Y-122913321D01* -X134699200Y-122907535D01* -X134715859Y-122903363D01* -X134732847Y-122900843D01* -X134750000Y-122900000D01* -X135650000Y-122900000D01* -X135667153Y-122900843D01* -G37* -G36* -X135667153Y-124800843D02* -G01* -X135684141Y-124803363D01* -X135700800Y-124807535D01* -X135716970Y-124813321D01* -X135732494Y-124820664D01* -X135747225Y-124829493D01* -X135761019Y-124839723D01* -X135773744Y-124851256D01* -X135785277Y-124863981D01* -X135795507Y-124877775D01* -X135804336Y-124892506D01* -X135811679Y-124908030D01* -X135817465Y-124924200D01* -X135821637Y-124940859D01* -X135824157Y-124957847D01* -X135825000Y-124975000D01* -X135825000Y-125325000D01* -X135824157Y-125342153D01* -X135821637Y-125359141D01* -X135817465Y-125375800D01* -X135811679Y-125391970D01* -X135804336Y-125407494D01* -X135795507Y-125422225D01* -X135785277Y-125436019D01* -X135773744Y-125448744D01* -X135761019Y-125460277D01* -X135747225Y-125470507D01* -X135732494Y-125479336D01* -X135716970Y-125486679D01* -X135700800Y-125492465D01* -X135684141Y-125496637D01* -X135667153Y-125499157D01* -X135650000Y-125500000D01* -X134750000Y-125500000D01* -X134732847Y-125499157D01* -X134715859Y-125496637D01* -X134699200Y-125492465D01* -X134683030Y-125486679D01* -X134667506Y-125479336D01* -X134652775Y-125470507D01* -X134638981Y-125460277D01* -X134626256Y-125448744D01* -X134614723Y-125436019D01* -X134604493Y-125422225D01* -X134595664Y-125407494D01* -X134588321Y-125391970D01* -X134582535Y-125375800D01* -X134578363Y-125359141D01* -X134575843Y-125342153D01* -X134575000Y-125325000D01* -X134575000Y-124975000D01* -X134575843Y-124957847D01* -X134578363Y-124940859D01* -X134582535Y-124924200D01* -X134588321Y-124908030D01* -X134595664Y-124892506D01* -X134604493Y-124877775D01* -X134614723Y-124863981D01* -X134626256Y-124851256D01* -X134638981Y-124839723D01* -X134652775Y-124829493D01* -X134667506Y-124820664D01* -X134683030Y-124813321D01* -X134699200Y-124807535D01* -X134715859Y-124803363D01* -X134732847Y-124800843D01* -X134750000Y-124800000D01* -X135650000Y-124800000D01* -X135667153Y-124800843D01* -G37* -G36* -X137767153Y-123850843D02* -G01* -X137784141Y-123853363D01* -X137800800Y-123857535D01* -X137816970Y-123863321D01* -X137832494Y-123870664D01* -X137847225Y-123879493D01* -X137861019Y-123889723D01* -X137873744Y-123901256D01* -X137885277Y-123913981D01* -X137895507Y-123927775D01* -X137904336Y-123942506D01* -X137911679Y-123958030D01* -X137917465Y-123974200D01* -X137921637Y-123990859D01* -X137924157Y-124007847D01* -X137925000Y-124025000D01* -X137925000Y-124375000D01* -X137924157Y-124392153D01* -X137921637Y-124409141D01* -X137917465Y-124425800D01* -X137911679Y-124441970D01* -X137904336Y-124457494D01* -X137895507Y-124472225D01* -X137885277Y-124486019D01* -X137873744Y-124498744D01* -X137861019Y-124510277D01* -X137847225Y-124520507D01* -X137832494Y-124529336D01* -X137816970Y-124536679D01* -X137800800Y-124542465D01* -X137784141Y-124546637D01* -X137767153Y-124549157D01* -X137750000Y-124550000D01* -X136850000Y-124550000D01* -X136832847Y-124549157D01* -X136815859Y-124546637D01* -X136799200Y-124542465D01* -X136783030Y-124536679D01* -X136767506Y-124529336D01* -X136752775Y-124520507D01* -X136738981Y-124510277D01* -X136726256Y-124498744D01* -X136714723Y-124486019D01* -X136704493Y-124472225D01* -X136695664Y-124457494D01* -X136688321Y-124441970D01* -X136682535Y-124425800D01* -X136678363Y-124409141D01* -X136675843Y-124392153D01* -X136675000Y-124375000D01* -X136675000Y-124025000D01* -X136675843Y-124007847D01* -X136678363Y-123990859D01* -X136682535Y-123974200D01* -X136688321Y-123958030D01* -X136695664Y-123942506D01* -X136704493Y-123927775D01* -X136714723Y-123913981D01* -X136726256Y-123901256D01* -X136738981Y-123889723D01* -X136752775Y-123879493D01* -X136767506Y-123870664D01* -X136783030Y-123863321D01* -X136799200Y-123857535D01* -X136815859Y-123853363D01* -X136832847Y-123850843D01* -X136850000Y-123850000D01* -X137750000Y-123850000D01* -X137767153Y-123850843D01* -G37* -G36* -X140549504Y-122851204D02* -G01* -X140573773Y-122854804D01* -X140597571Y-122860765D01* -X140620671Y-122869030D01* -X140642849Y-122879520D01* -X140663893Y-122892133D01* -X140683598Y-122906747D01* -X140701777Y-122923223D01* -X140718253Y-122941402D01* -X140732867Y-122961107D01* -X140745480Y-122982151D01* -X140755970Y-123004329D01* -X140764235Y-123027429D01* -X140770196Y-123051227D01* -X140773796Y-123075496D01* -X140775000Y-123100000D01* -X140775000Y-123600000D01* -X140773796Y-123624504D01* -X140770196Y-123648773D01* -X140764235Y-123672571D01* -X140755970Y-123695671D01* -X140745480Y-123717849D01* -X140732867Y-123738893D01* -X140718253Y-123758598D01* -X140701777Y-123776777D01* -X140683598Y-123793253D01* -X140663893Y-123807867D01* -X140642849Y-123820480D01* -X140620671Y-123830970D01* -X140597571Y-123839235D01* -X140573773Y-123845196D01* -X140549504Y-123848796D01* -X140525000Y-123850000D01* -X139675000Y-123850000D01* -X139650496Y-123848796D01* -X139626227Y-123845196D01* -X139602429Y-123839235D01* -X139579329Y-123830970D01* -X139557151Y-123820480D01* -X139536107Y-123807867D01* -X139516402Y-123793253D01* -X139498223Y-123776777D01* -X139481747Y-123758598D01* -X139467133Y-123738893D01* -X139454520Y-123717849D01* -X139444030Y-123695671D01* -X139435765Y-123672571D01* -X139429804Y-123648773D01* -X139426204Y-123624504D01* -X139425000Y-123600000D01* -X139425000Y-123100000D01* -X139426204Y-123075496D01* -X139429804Y-123051227D01* -X139435765Y-123027429D01* -X139444030Y-123004329D01* -X139454520Y-122982151D01* -X139467133Y-122961107D01* -X139481747Y-122941402D01* -X139498223Y-122923223D01* -X139516402Y-122906747D01* -X139536107Y-122892133D01* -X139557151Y-122879520D01* -X139579329Y-122869030D01* -X139602429Y-122860765D01* -X139626227Y-122854804D01* -X139650496Y-122851204D01* -X139675000Y-122850000D01* -X140525000Y-122850000D01* -X140549504Y-122851204D01* -G37* -G36* -X140549504Y-124551204D02* -G01* -X140573773Y-124554804D01* -X140597571Y-124560765D01* -X140620671Y-124569030D01* -X140642849Y-124579520D01* -X140663893Y-124592133D01* -X140683598Y-124606747D01* -X140701777Y-124623223D01* -X140718253Y-124641402D01* -X140732867Y-124661107D01* -X140745480Y-124682151D01* -X140755970Y-124704329D01* -X140764235Y-124727429D01* -X140770196Y-124751227D01* -X140773796Y-124775496D01* -X140775000Y-124800000D01* -X140775000Y-125300000D01* -X140773796Y-125324504D01* -X140770196Y-125348773D01* -X140764235Y-125372571D01* -X140755970Y-125395671D01* -X140745480Y-125417849D01* -X140732867Y-125438893D01* -X140718253Y-125458598D01* -X140701777Y-125476777D01* -X140683598Y-125493253D01* -X140663893Y-125507867D01* -X140642849Y-125520480D01* -X140620671Y-125530970D01* -X140597571Y-125539235D01* -X140573773Y-125545196D01* -X140549504Y-125548796D01* -X140525000Y-125550000D01* -X139675000Y-125550000D01* -X139650496Y-125548796D01* -X139626227Y-125545196D01* -X139602429Y-125539235D01* -X139579329Y-125530970D01* -X139557151Y-125520480D01* -X139536107Y-125507867D01* -X139516402Y-125493253D01* -X139498223Y-125476777D01* -X139481747Y-125458598D01* -X139467133Y-125438893D01* -X139454520Y-125417849D01* -X139444030Y-125395671D01* -X139435765Y-125372571D01* -X139429804Y-125348773D01* -X139426204Y-125324504D01* -X139425000Y-125300000D01* -X139425000Y-124800000D01* -X139426204Y-124775496D01* -X139429804Y-124751227D01* -X139435765Y-124727429D01* -X139444030Y-124704329D01* -X139454520Y-124682151D01* -X139467133Y-124661107D01* -X139481747Y-124641402D01* -X139498223Y-124623223D01* -X139516402Y-124606747D01* -X139536107Y-124592133D01* -X139557151Y-124579520D01* -X139579329Y-124569030D01* -X139602429Y-124560765D01* -X139626227Y-124554804D01* -X139650496Y-124551204D01* -X139675000Y-124550000D01* -X140525000Y-124550000D01* -X140549504Y-124551204D01* -G37* -G36* -X76861368Y-118665927D02* -G01* -X76880055Y-118668699D01* -X76898380Y-118673289D01* -X76916167Y-118679653D01* -X76933244Y-118687730D01* -X76949447Y-118697442D01* -X76964621Y-118708695D01* -X76978618Y-118721382D01* -X76991305Y-118735379D01* -X77002558Y-118750553D01* -X77012270Y-118766756D01* -X77020347Y-118783833D01* -X77026711Y-118801620D01* -X77031301Y-118819945D01* -X77034073Y-118838632D01* -X77035000Y-118857500D01* -X77035000Y-119242500D01* -X77034073Y-119261368D01* -X77031301Y-119280055D01* -X77026711Y-119298380D01* -X77020347Y-119316167D01* -X77012270Y-119333244D01* -X77002558Y-119349447D01* -X76991305Y-119364621D01* -X76978618Y-119378618D01* -X76964621Y-119391305D01* -X76949447Y-119402558D01* -X76933244Y-119412270D01* -X76916167Y-119420347D01* -X76898380Y-119426711D01* -X76880055Y-119431301D01* -X76861368Y-119434073D01* -X76842500Y-119435000D01* -X76357500Y-119435000D01* -X76338632Y-119434073D01* -X76319945Y-119431301D01* -X76301620Y-119426711D01* -X76283833Y-119420347D01* -X76266756Y-119412270D01* -X76250553Y-119402558D01* -X76235379Y-119391305D01* -X76221382Y-119378618D01* -X76208695Y-119364621D01* -X76197442Y-119349447D01* -X76187730Y-119333244D01* -X76179653Y-119316167D01* -X76173289Y-119298380D01* -X76168699Y-119280055D01* -X76165927Y-119261368D01* -X76165000Y-119242500D01* -X76165000Y-118857500D01* -X76165927Y-118838632D01* -X76168699Y-118819945D01* -X76173289Y-118801620D01* -X76179653Y-118783833D01* -X76187730Y-118766756D01* -X76197442Y-118750553D01* -X76208695Y-118735379D01* -X76221382Y-118721382D01* -X76235379Y-118708695D01* -X76250553Y-118697442D01* -X76266756Y-118687730D01* -X76283833Y-118679653D01* -X76301620Y-118673289D01* -X76319945Y-118668699D01* -X76338632Y-118665927D01* -X76357500Y-118665000D01* -X76842500Y-118665000D01* -X76861368Y-118665927D01* -G37* -G36* -X76861368Y-120165927D02* -G01* -X76880055Y-120168699D01* -X76898380Y-120173289D01* -X76916167Y-120179653D01* -X76933244Y-120187730D01* -X76949447Y-120197442D01* -X76964621Y-120208695D01* -X76978618Y-120221382D01* -X76991305Y-120235379D01* -X77002558Y-120250553D01* -X77012270Y-120266756D01* -X77020347Y-120283833D01* -X77026711Y-120301620D01* -X77031301Y-120319945D01* -X77034073Y-120338632D01* -X77035000Y-120357500D01* -X77035000Y-120742500D01* -X77034073Y-120761368D01* -X77031301Y-120780055D01* -X77026711Y-120798380D01* -X77020347Y-120816167D01* -X77012270Y-120833244D01* -X77002558Y-120849447D01* -X76991305Y-120864621D01* -X76978618Y-120878618D01* -X76964621Y-120891305D01* -X76949447Y-120902558D01* -X76933244Y-120912270D01* -X76916167Y-120920347D01* -X76898380Y-120926711D01* -X76880055Y-120931301D01* -X76861368Y-120934073D01* -X76842500Y-120935000D01* -X76357500Y-120935000D01* -X76338632Y-120934073D01* -X76319945Y-120931301D01* -X76301620Y-120926711D01* -X76283833Y-120920347D01* -X76266756Y-120912270D01* -X76250553Y-120902558D01* -X76235379Y-120891305D01* -X76221382Y-120878618D01* -X76208695Y-120864621D01* -X76197442Y-120849447D01* -X76187730Y-120833244D01* -X76179653Y-120816167D01* -X76173289Y-120798380D01* -X76168699Y-120780055D01* -X76165927Y-120761368D01* -X76165000Y-120742500D01* -X76165000Y-120357500D01* -X76165927Y-120338632D01* -X76168699Y-120319945D01* -X76173289Y-120301620D01* -X76179653Y-120283833D01* -X76187730Y-120266756D01* -X76197442Y-120250553D01* -X76208695Y-120235379D01* -X76221382Y-120221382D01* -X76235379Y-120208695D01* -X76250553Y-120197442D01* -X76266756Y-120187730D01* -X76283833Y-120179653D01* -X76301620Y-120173289D01* -X76319945Y-120168699D01* -X76338632Y-120165927D01* -X76357500Y-120165000D01* -X76842500Y-120165000D01* -X76861368Y-120165927D01* -G37* -G36* -X109090586Y-94360397D02* -G01* -X109098595Y-94361585D01* -X109106448Y-94363552D01* -X109114071Y-94366280D01* -X109121390Y-94369741D01* -X109128335Y-94373904D01* -X109134837Y-94378727D01* -X109140836Y-94384164D01* -X109146273Y-94390163D01* -X109151096Y-94396665D01* -X109155259Y-94403610D01* -X109158720Y-94410929D01* -X109161448Y-94418552D01* -X109163415Y-94426405D01* -X109164603Y-94434414D01* -X109165000Y-94442500D01* -X109165000Y-94857500D01* -X109164603Y-94865586D01* -X109163415Y-94873595D01* -X109161448Y-94881448D01* -X109158720Y-94889071D01* -X109155259Y-94896390D01* -X109151096Y-94903335D01* -X109146273Y-94909837D01* -X109140836Y-94915836D01* -X109134837Y-94921273D01* -X109128335Y-94926096D01* -X109121390Y-94930259D01* -X109114071Y-94933720D01* -X109106448Y-94936448D01* -X109098595Y-94938415D01* -X109090586Y-94939603D01* -X109082500Y-94940000D01* -X108917500Y-94940000D01* -X108909414Y-94939603D01* -X108901405Y-94938415D01* -X108893552Y-94936448D01* -X108885929Y-94933720D01* -X108878610Y-94930259D01* -X108871665Y-94926096D01* -X108865163Y-94921273D01* -X108859164Y-94915836D01* -X108853727Y-94909837D01* -X108848904Y-94903335D01* -X108844741Y-94896390D01* -X108841280Y-94889071D01* -X108838552Y-94881448D01* -X108836585Y-94873595D01* -X108835397Y-94865586D01* -X108835000Y-94857500D01* -X108835000Y-94442500D01* -X108835397Y-94434414D01* -X108836585Y-94426405D01* -X108838552Y-94418552D01* -X108841280Y-94410929D01* -X108844741Y-94403610D01* -X108848904Y-94396665D01* -X108853727Y-94390163D01* -X108859164Y-94384164D01* -X108865163Y-94378727D01* -X108871665Y-94373904D01* -X108878610Y-94369741D01* -X108885929Y-94366280D01* -X108893552Y-94363552D01* -X108901405Y-94361585D01* -X108909414Y-94360397D01* -X108917500Y-94360000D01* -X109082500Y-94360000D01* -X109090586Y-94360397D01* -G37* -G36* -X108515881Y-94355289D02* -G01* -X108521705Y-94356153D01* -X108527417Y-94357584D01* -X108532961Y-94359567D01* -X108538284Y-94362085D01* -X108543334Y-94365112D01* -X108548064Y-94368619D01* -X108552426Y-94372574D01* -X108556381Y-94376936D01* -X108559888Y-94381666D01* -X108562915Y-94386716D01* -X108565433Y-94392039D01* -X108567416Y-94397583D01* -X108568847Y-94403295D01* -X108569711Y-94409119D01* -X108570000Y-94415000D01* -X108570000Y-94885000D01* -X108569711Y-94890881D01* -X108568847Y-94896705D01* -X108567416Y-94902417D01* -X108565433Y-94907961D01* -X108562915Y-94913284D01* -X108559888Y-94918334D01* -X108556381Y-94923064D01* -X108552426Y-94927426D01* -X108548064Y-94931381D01* -X108543334Y-94934888D01* -X108538284Y-94937915D01* -X108532961Y-94940433D01* -X108527417Y-94942416D01* -X108521705Y-94943847D01* -X108515881Y-94944711D01* -X108510000Y-94945000D01* -X108390000Y-94945000D01* -X108384119Y-94944711D01* -X108378295Y-94943847D01* -X108372583Y-94942416D01* -X108367039Y-94940433D01* -X108361716Y-94937915D01* -X108356666Y-94934888D01* -X108351936Y-94931381D01* -X108347574Y-94927426D01* -X108343619Y-94923064D01* -X108340112Y-94918334D01* -X108337085Y-94913284D01* -X108334567Y-94907961D01* -X108332584Y-94902417D01* -X108331153Y-94896705D01* -X108330289Y-94890881D01* -X108330000Y-94885000D01* -X108330000Y-94415000D01* -X108330289Y-94409119D01* -X108331153Y-94403295D01* -X108332584Y-94397583D01* -X108334567Y-94392039D01* -X108337085Y-94386716D01* -X108340112Y-94381666D01* -X108343619Y-94376936D01* -X108347574Y-94372574D01* -X108351936Y-94368619D01* -X108356666Y-94365112D01* -X108361716Y-94362085D01* -X108367039Y-94359567D01* -X108372583Y-94357584D01* -X108378295Y-94356153D01* -X108384119Y-94355289D01* -X108390000Y-94355000D01* -X108510000Y-94355000D01* -X108515881Y-94355289D01* -G37* -G36* -X107490586Y-94360397D02* -G01* -X107498595Y-94361585D01* -X107506448Y-94363552D01* -X107514071Y-94366280D01* -X107521390Y-94369741D01* -X107528335Y-94373904D01* -X107534837Y-94378727D01* -X107540836Y-94384164D01* -X107546273Y-94390163D01* -X107551096Y-94396665D01* -X107555259Y-94403610D01* -X107558720Y-94410929D01* -X107561448Y-94418552D01* -X107563415Y-94426405D01* -X107564603Y-94434414D01* -X107565000Y-94442500D01* -X107565000Y-94857500D01* -X107564603Y-94865586D01* -X107563415Y-94873595D01* -X107561448Y-94881448D01* -X107558720Y-94889071D01* -X107555259Y-94896390D01* -X107551096Y-94903335D01* -X107546273Y-94909837D01* -X107540836Y-94915836D01* -X107534837Y-94921273D01* -X107528335Y-94926096D01* -X107521390Y-94930259D01* -X107514071Y-94933720D01* -X107506448Y-94936448D01* -X107498595Y-94938415D01* -X107490586Y-94939603D01* -X107482500Y-94940000D01* -X107317500Y-94940000D01* -X107309414Y-94939603D01* -X107301405Y-94938415D01* -X107293552Y-94936448D01* -X107285929Y-94933720D01* -X107278610Y-94930259D01* -X107271665Y-94926096D01* -X107265163Y-94921273D01* -X107259164Y-94915836D01* -X107253727Y-94909837D01* -X107248904Y-94903335D01* -X107244741Y-94896390D01* -X107241280Y-94889071D01* -X107238552Y-94881448D01* -X107236585Y-94873595D01* -X107235397Y-94865586D01* -X107235000Y-94857500D01* -X107235000Y-94442500D01* -X107235397Y-94434414D01* -X107236585Y-94426405D01* -X107238552Y-94418552D01* -X107241280Y-94410929D01* -X107244741Y-94403610D01* -X107248904Y-94396665D01* -X107253727Y-94390163D01* -X107259164Y-94384164D01* -X107265163Y-94378727D01* -X107271665Y-94373904D01* -X107278610Y-94369741D01* -X107285929Y-94366280D01* -X107293552Y-94363552D01* -X107301405Y-94361585D01* -X107309414Y-94360397D01* -X107317500Y-94360000D01* -X107482500Y-94360000D01* -X107490586Y-94360397D01* -G37* -G36* -X108015881Y-94355289D02* -G01* -X108021705Y-94356153D01* -X108027417Y-94357584D01* -X108032961Y-94359567D01* -X108038284Y-94362085D01* -X108043334Y-94365112D01* -X108048064Y-94368619D01* -X108052426Y-94372574D01* -X108056381Y-94376936D01* -X108059888Y-94381666D01* -X108062915Y-94386716D01* -X108065433Y-94392039D01* -X108067416Y-94397583D01* -X108068847Y-94403295D01* -X108069711Y-94409119D01* -X108070000Y-94415000D01* -X108070000Y-94885000D01* -X108069711Y-94890881D01* -X108068847Y-94896705D01* -X108067416Y-94902417D01* -X108065433Y-94907961D01* -X108062915Y-94913284D01* -X108059888Y-94918334D01* -X108056381Y-94923064D01* -X108052426Y-94927426D01* -X108048064Y-94931381D01* -X108043334Y-94934888D01* -X108038284Y-94937915D01* -X108032961Y-94940433D01* -X108027417Y-94942416D01* -X108021705Y-94943847D01* -X108015881Y-94944711D01* -X108010000Y-94945000D01* -X107890000Y-94945000D01* -X107884119Y-94944711D01* -X107878295Y-94943847D01* -X107872583Y-94942416D01* -X107867039Y-94940433D01* -X107861716Y-94937915D01* -X107856666Y-94934888D01* -X107851936Y-94931381D01* -X107847574Y-94927426D01* -X107843619Y-94923064D01* -X107840112Y-94918334D01* -X107837085Y-94913284D01* -X107834567Y-94907961D01* -X107832584Y-94902417D01* -X107831153Y-94896705D01* -X107830289Y-94890881D01* -X107830000Y-94885000D01* -X107830000Y-94415000D01* -X107830289Y-94409119D01* -X107831153Y-94403295D01* -X107832584Y-94397583D01* -X107834567Y-94392039D01* -X107837085Y-94386716D01* -X107840112Y-94381666D01* -X107843619Y-94376936D01* -X107847574Y-94372574D01* -X107851936Y-94368619D01* -X107856666Y-94365112D01* -X107861716Y-94362085D01* -X107867039Y-94359567D01* -X107872583Y-94357584D01* -X107878295Y-94356153D01* -X107884119Y-94355289D01* -X107890000Y-94355000D01* -X108010000Y-94355000D01* -X108015881Y-94355289D01* -G37* -G36* -X109090586Y-95360397D02* -G01* -X109098595Y-95361585D01* -X109106448Y-95363552D01* -X109114071Y-95366280D01* -X109121390Y-95369741D01* -X109128335Y-95373904D01* -X109134837Y-95378727D01* -X109140836Y-95384164D01* -X109146273Y-95390163D01* -X109151096Y-95396665D01* -X109155259Y-95403610D01* -X109158720Y-95410929D01* -X109161448Y-95418552D01* -X109163415Y-95426405D01* -X109164603Y-95434414D01* -X109165000Y-95442500D01* -X109165000Y-95857500D01* -X109164603Y-95865586D01* -X109163415Y-95873595D01* -X109161448Y-95881448D01* -X109158720Y-95889071D01* -X109155259Y-95896390D01* -X109151096Y-95903335D01* -X109146273Y-95909837D01* -X109140836Y-95915836D01* -X109134837Y-95921273D01* -X109128335Y-95926096D01* -X109121390Y-95930259D01* -X109114071Y-95933720D01* -X109106448Y-95936448D01* -X109098595Y-95938415D01* -X109090586Y-95939603D01* -X109082500Y-95940000D01* -X108917500Y-95940000D01* -X108909414Y-95939603D01* -X108901405Y-95938415D01* -X108893552Y-95936448D01* -X108885929Y-95933720D01* -X108878610Y-95930259D01* -X108871665Y-95926096D01* -X108865163Y-95921273D01* -X108859164Y-95915836D01* -X108853727Y-95909837D01* -X108848904Y-95903335D01* -X108844741Y-95896390D01* -X108841280Y-95889071D01* -X108838552Y-95881448D01* -X108836585Y-95873595D01* -X108835397Y-95865586D01* -X108835000Y-95857500D01* -X108835000Y-95442500D01* -X108835397Y-95434414D01* -X108836585Y-95426405D01* -X108838552Y-95418552D01* -X108841280Y-95410929D01* -X108844741Y-95403610D01* -X108848904Y-95396665D01* -X108853727Y-95390163D01* -X108859164Y-95384164D01* -X108865163Y-95378727D01* -X108871665Y-95373904D01* -X108878610Y-95369741D01* -X108885929Y-95366280D01* -X108893552Y-95363552D01* -X108901405Y-95361585D01* -X108909414Y-95360397D01* -X108917500Y-95360000D01* -X109082500Y-95360000D01* -X109090586Y-95360397D01* -G37* -G36* -X108015881Y-95355289D02* -G01* -X108021705Y-95356153D01* -X108027417Y-95357584D01* -X108032961Y-95359567D01* -X108038284Y-95362085D01* -X108043334Y-95365112D01* -X108048064Y-95368619D01* -X108052426Y-95372574D01* -X108056381Y-95376936D01* -X108059888Y-95381666D01* -X108062915Y-95386716D01* -X108065433Y-95392039D01* -X108067416Y-95397583D01* -X108068847Y-95403295D01* -X108069711Y-95409119D01* -X108070000Y-95415000D01* -X108070000Y-95885000D01* -X108069711Y-95890881D01* -X108068847Y-95896705D01* -X108067416Y-95902417D01* -X108065433Y-95907961D01* -X108062915Y-95913284D01* -X108059888Y-95918334D01* -X108056381Y-95923064D01* -X108052426Y-95927426D01* -X108048064Y-95931381D01* -X108043334Y-95934888D01* -X108038284Y-95937915D01* -X108032961Y-95940433D01* -X108027417Y-95942416D01* -X108021705Y-95943847D01* -X108015881Y-95944711D01* -X108010000Y-95945000D01* -X107890000Y-95945000D01* -X107884119Y-95944711D01* -X107878295Y-95943847D01* -X107872583Y-95942416D01* -X107867039Y-95940433D01* -X107861716Y-95937915D01* -X107856666Y-95934888D01* -X107851936Y-95931381D01* -X107847574Y-95927426D01* -X107843619Y-95923064D01* -X107840112Y-95918334D01* -X107837085Y-95913284D01* -X107834567Y-95907961D01* -X107832584Y-95902417D01* -X107831153Y-95896705D01* -X107830289Y-95890881D01* -X107830000Y-95885000D01* -X107830000Y-95415000D01* -X107830289Y-95409119D01* -X107831153Y-95403295D01* -X107832584Y-95397583D01* -X107834567Y-95392039D01* -X107837085Y-95386716D01* -X107840112Y-95381666D01* -X107843619Y-95376936D01* -X107847574Y-95372574D01* -X107851936Y-95368619D01* -X107856666Y-95365112D01* -X107861716Y-95362085D01* -X107867039Y-95359567D01* -X107872583Y-95357584D01* -X107878295Y-95356153D01* -X107884119Y-95355289D01* -X107890000Y-95355000D01* -X108010000Y-95355000D01* -X108015881Y-95355289D01* -G37* -G36* -X108515881Y-95355289D02* -G01* -X108521705Y-95356153D01* -X108527417Y-95357584D01* -X108532961Y-95359567D01* -X108538284Y-95362085D01* -X108543334Y-95365112D01* -X108548064Y-95368619D01* -X108552426Y-95372574D01* -X108556381Y-95376936D01* -X108559888Y-95381666D01* -X108562915Y-95386716D01* -X108565433Y-95392039D01* -X108567416Y-95397583D01* -X108568847Y-95403295D01* -X108569711Y-95409119D01* -X108570000Y-95415000D01* -X108570000Y-95885000D01* -X108569711Y-95890881D01* -X108568847Y-95896705D01* -X108567416Y-95902417D01* -X108565433Y-95907961D01* -X108562915Y-95913284D01* -X108559888Y-95918334D01* -X108556381Y-95923064D01* -X108552426Y-95927426D01* -X108548064Y-95931381D01* -X108543334Y-95934888D01* -X108538284Y-95937915D01* -X108532961Y-95940433D01* -X108527417Y-95942416D01* -X108521705Y-95943847D01* -X108515881Y-95944711D01* -X108510000Y-95945000D01* -X108390000Y-95945000D01* -X108384119Y-95944711D01* -X108378295Y-95943847D01* -X108372583Y-95942416D01* -X108367039Y-95940433D01* -X108361716Y-95937915D01* -X108356666Y-95934888D01* -X108351936Y-95931381D01* -X108347574Y-95927426D01* -X108343619Y-95923064D01* -X108340112Y-95918334D01* -X108337085Y-95913284D01* -X108334567Y-95907961D01* -X108332584Y-95902417D01* -X108331153Y-95896705D01* -X108330289Y-95890881D01* -X108330000Y-95885000D01* -X108330000Y-95415000D01* -X108330289Y-95409119D01* -X108331153Y-95403295D01* -X108332584Y-95397583D01* -X108334567Y-95392039D01* -X108337085Y-95386716D01* -X108340112Y-95381666D01* -X108343619Y-95376936D01* -X108347574Y-95372574D01* -X108351936Y-95368619D01* -X108356666Y-95365112D01* -X108361716Y-95362085D01* -X108367039Y-95359567D01* -X108372583Y-95357584D01* -X108378295Y-95356153D01* -X108384119Y-95355289D01* -X108390000Y-95355000D01* -X108510000Y-95355000D01* -X108515881Y-95355289D01* -G37* -G36* -X107490586Y-95360397D02* -G01* -X107498595Y-95361585D01* -X107506448Y-95363552D01* -X107514071Y-95366280D01* -X107521390Y-95369741D01* -X107528335Y-95373904D01* -X107534837Y-95378727D01* -X107540836Y-95384164D01* -X107546273Y-95390163D01* -X107551096Y-95396665D01* -X107555259Y-95403610D01* -X107558720Y-95410929D01* -X107561448Y-95418552D01* -X107563415Y-95426405D01* -X107564603Y-95434414D01* -X107565000Y-95442500D01* -X107565000Y-95857500D01* -X107564603Y-95865586D01* -X107563415Y-95873595D01* -X107561448Y-95881448D01* -X107558720Y-95889071D01* -X107555259Y-95896390D01* -X107551096Y-95903335D01* -X107546273Y-95909837D01* -X107540836Y-95915836D01* -X107534837Y-95921273D01* -X107528335Y-95926096D01* -X107521390Y-95930259D01* -X107514071Y-95933720D01* -X107506448Y-95936448D01* -X107498595Y-95938415D01* -X107490586Y-95939603D01* -X107482500Y-95940000D01* -X107317500Y-95940000D01* -X107309414Y-95939603D01* -X107301405Y-95938415D01* -X107293552Y-95936448D01* -X107285929Y-95933720D01* -X107278610Y-95930259D01* -X107271665Y-95926096D01* -X107265163Y-95921273D01* -X107259164Y-95915836D01* -X107253727Y-95909837D01* -X107248904Y-95903335D01* -X107244741Y-95896390D01* -X107241280Y-95889071D01* -X107238552Y-95881448D01* -X107236585Y-95873595D01* -X107235397Y-95865586D01* -X107235000Y-95857500D01* -X107235000Y-95442500D01* -X107235397Y-95434414D01* -X107236585Y-95426405D01* -X107238552Y-95418552D01* -X107241280Y-95410929D01* -X107244741Y-95403610D01* -X107248904Y-95396665D01* -X107253727Y-95390163D01* -X107259164Y-95384164D01* -X107265163Y-95378727D01* -X107271665Y-95373904D01* -X107278610Y-95369741D01* -X107285929Y-95366280D01* -X107293552Y-95363552D01* -X107301405Y-95361585D01* -X107309414Y-95360397D01* -X107317500Y-95360000D01* -X107482500Y-95360000D01* -X107490586Y-95360397D01* -G37* -G36* -X108165586Y-105285397D02* -G01* -X108173595Y-105286585D01* -X108181448Y-105288552D01* -X108189071Y-105291280D01* -X108196390Y-105294741D01* -X108203335Y-105298904D01* -X108209837Y-105303727D01* -X108215836Y-105309164D01* -X108221273Y-105315163D01* -X108226096Y-105321665D01* -X108230259Y-105328610D01* -X108233720Y-105335929D01* -X108236448Y-105343552D01* -X108238415Y-105351405D01* -X108239603Y-105359414D01* -X108240000Y-105367500D01* -X108240000Y-105532500D01* -X108239603Y-105540586D01* -X108238415Y-105548595D01* -X108236448Y-105556448D01* -X108233720Y-105564071D01* -X108230259Y-105571390D01* -X108226096Y-105578335D01* -X108221273Y-105584837D01* -X108215836Y-105590836D01* -X108209837Y-105596273D01* -X108203335Y-105601096D01* -X108196390Y-105605259D01* -X108189071Y-105608720D01* -X108181448Y-105611448D01* -X108173595Y-105613415D01* -X108165586Y-105614603D01* -X108157500Y-105615000D01* -X107742500Y-105615000D01* -X107734414Y-105614603D01* -X107726405Y-105613415D01* -X107718552Y-105611448D01* -X107710929Y-105608720D01* -X107703610Y-105605259D01* -X107696665Y-105601096D01* -X107690163Y-105596273D01* -X107684164Y-105590836D01* -X107678727Y-105584837D01* -X107673904Y-105578335D01* -X107669741Y-105571390D01* -X107666280Y-105564071D01* -X107663552Y-105556448D01* -X107661585Y-105548595D01* -X107660397Y-105540586D01* -X107660000Y-105532500D01* -X107660000Y-105367500D01* -X107660397Y-105359414D01* -X107661585Y-105351405D01* -X107663552Y-105343552D01* -X107666280Y-105335929D01* -X107669741Y-105328610D01* -X107673904Y-105321665D01* -X107678727Y-105315163D01* -X107684164Y-105309164D01* -X107690163Y-105303727D01* -X107696665Y-105298904D01* -X107703610Y-105294741D01* -X107710929Y-105291280D01* -X107718552Y-105288552D01* -X107726405Y-105286585D01* -X107734414Y-105285397D01* -X107742500Y-105285000D01* -X108157500Y-105285000D01* -X108165586Y-105285397D01* -G37* -G36* -X108190881Y-106380289D02* -G01* -X108196705Y-106381153D01* -X108202417Y-106382584D01* -X108207961Y-106384567D01* -X108213284Y-106387085D01* -X108218334Y-106390112D01* -X108223064Y-106393619D01* -X108227426Y-106397574D01* -X108231381Y-106401936D01* -X108234888Y-106406666D01* -X108237915Y-106411716D01* -X108240433Y-106417039D01* -X108242416Y-106422583D01* -X108243847Y-106428295D01* -X108244711Y-106434119D01* -X108245000Y-106440000D01* -X108245000Y-106560000D01* -X108244711Y-106565881D01* -X108243847Y-106571705D01* -X108242416Y-106577417D01* -X108240433Y-106582961D01* -X108237915Y-106588284D01* -X108234888Y-106593334D01* -X108231381Y-106598064D01* -X108227426Y-106602426D01* -X108223064Y-106606381D01* -X108218334Y-106609888D01* -X108213284Y-106612915D01* -X108207961Y-106615433D01* -X108202417Y-106617416D01* -X108196705Y-106618847D01* -X108190881Y-106619711D01* -X108185000Y-106620000D01* -X107715000Y-106620000D01* -X107709119Y-106619711D01* -X107703295Y-106618847D01* -X107697583Y-106617416D01* -X107692039Y-106615433D01* -X107686716Y-106612915D01* -X107681666Y-106609888D01* -X107676936Y-106606381D01* -X107672574Y-106602426D01* -X107668619Y-106598064D01* -X107665112Y-106593334D01* -X107662085Y-106588284D01* -X107659567Y-106582961D01* -X107657584Y-106577417D01* -X107656153Y-106571705D01* -X107655289Y-106565881D01* -X107655000Y-106560000D01* -X107655000Y-106440000D01* -X107655289Y-106434119D01* -X107656153Y-106428295D01* -X107657584Y-106422583D01* -X107659567Y-106417039D01* -X107662085Y-106411716D01* -X107665112Y-106406666D01* -X107668619Y-106401936D01* -X107672574Y-106397574D01* -X107676936Y-106393619D01* -X107681666Y-106390112D01* -X107686716Y-106387085D01* -X107692039Y-106384567D01* -X107697583Y-106382584D01* -X107703295Y-106381153D01* -X107709119Y-106380289D01* -X107715000Y-106380000D01* -X108185000Y-106380000D01* -X108190881Y-106380289D01* -G37* -G36* -X108190881Y-105880289D02* -G01* -X108196705Y-105881153D01* -X108202417Y-105882584D01* -X108207961Y-105884567D01* -X108213284Y-105887085D01* -X108218334Y-105890112D01* -X108223064Y-105893619D01* -X108227426Y-105897574D01* -X108231381Y-105901936D01* -X108234888Y-105906666D01* -X108237915Y-105911716D01* -X108240433Y-105917039D01* -X108242416Y-105922583D01* -X108243847Y-105928295D01* -X108244711Y-105934119D01* -X108245000Y-105940000D01* -X108245000Y-106060000D01* -X108244711Y-106065881D01* -X108243847Y-106071705D01* -X108242416Y-106077417D01* -X108240433Y-106082961D01* -X108237915Y-106088284D01* -X108234888Y-106093334D01* -X108231381Y-106098064D01* -X108227426Y-106102426D01* -X108223064Y-106106381D01* -X108218334Y-106109888D01* -X108213284Y-106112915D01* -X108207961Y-106115433D01* -X108202417Y-106117416D01* -X108196705Y-106118847D01* -X108190881Y-106119711D01* -X108185000Y-106120000D01* -X107715000Y-106120000D01* -X107709119Y-106119711D01* -X107703295Y-106118847D01* -X107697583Y-106117416D01* -X107692039Y-106115433D01* -X107686716Y-106112915D01* -X107681666Y-106109888D01* -X107676936Y-106106381D01* -X107672574Y-106102426D01* -X107668619Y-106098064D01* -X107665112Y-106093334D01* -X107662085Y-106088284D01* -X107659567Y-106082961D01* -X107657584Y-106077417D01* -X107656153Y-106071705D01* -X107655289Y-106065881D01* -X107655000Y-106060000D01* -X107655000Y-105940000D01* -X107655289Y-105934119D01* -X107656153Y-105928295D01* -X107657584Y-105922583D01* -X107659567Y-105917039D01* -X107662085Y-105911716D01* -X107665112Y-105906666D01* -X107668619Y-105901936D01* -X107672574Y-105897574D01* -X107676936Y-105893619D01* -X107681666Y-105890112D01* -X107686716Y-105887085D01* -X107692039Y-105884567D01* -X107697583Y-105882584D01* -X107703295Y-105881153D01* -X107709119Y-105880289D01* -X107715000Y-105880000D01* -X108185000Y-105880000D01* -X108190881Y-105880289D01* -G37* -G36* -X108165586Y-106885397D02* -G01* -X108173595Y-106886585D01* -X108181448Y-106888552D01* -X108189071Y-106891280D01* -X108196390Y-106894741D01* -X108203335Y-106898904D01* -X108209837Y-106903727D01* -X108215836Y-106909164D01* -X108221273Y-106915163D01* -X108226096Y-106921665D01* -X108230259Y-106928610D01* -X108233720Y-106935929D01* -X108236448Y-106943552D01* -X108238415Y-106951405D01* -X108239603Y-106959414D01* -X108240000Y-106967500D01* -X108240000Y-107132500D01* -X108239603Y-107140586D01* -X108238415Y-107148595D01* -X108236448Y-107156448D01* -X108233720Y-107164071D01* -X108230259Y-107171390D01* -X108226096Y-107178335D01* -X108221273Y-107184837D01* -X108215836Y-107190836D01* -X108209837Y-107196273D01* -X108203335Y-107201096D01* -X108196390Y-107205259D01* -X108189071Y-107208720D01* -X108181448Y-107211448D01* -X108173595Y-107213415D01* -X108165586Y-107214603D01* -X108157500Y-107215000D01* -X107742500Y-107215000D01* -X107734414Y-107214603D01* -X107726405Y-107213415D01* -X107718552Y-107211448D01* -X107710929Y-107208720D01* -X107703610Y-107205259D01* -X107696665Y-107201096D01* -X107690163Y-107196273D01* -X107684164Y-107190836D01* -X107678727Y-107184837D01* -X107673904Y-107178335D01* -X107669741Y-107171390D01* -X107666280Y-107164071D01* -X107663552Y-107156448D01* -X107661585Y-107148595D01* -X107660397Y-107140586D01* -X107660000Y-107132500D01* -X107660000Y-106967500D01* -X107660397Y-106959414D01* -X107661585Y-106951405D01* -X107663552Y-106943552D01* -X107666280Y-106935929D01* -X107669741Y-106928610D01* -X107673904Y-106921665D01* -X107678727Y-106915163D01* -X107684164Y-106909164D01* -X107690163Y-106903727D01* -X107696665Y-106898904D01* -X107703610Y-106894741D01* -X107710929Y-106891280D01* -X107718552Y-106888552D01* -X107726405Y-106886585D01* -X107734414Y-106885397D01* -X107742500Y-106885000D01* -X108157500Y-106885000D01* -X108165586Y-106885397D01* -G37* -G36* -X109190881Y-105880289D02* -G01* -X109196705Y-105881153D01* -X109202417Y-105882584D01* -X109207961Y-105884567D01* -X109213284Y-105887085D01* -X109218334Y-105890112D01* -X109223064Y-105893619D01* -X109227426Y-105897574D01* -X109231381Y-105901936D01* -X109234888Y-105906666D01* -X109237915Y-105911716D01* -X109240433Y-105917039D01* -X109242416Y-105922583D01* -X109243847Y-105928295D01* -X109244711Y-105934119D01* -X109245000Y-105940000D01* -X109245000Y-106060000D01* -X109244711Y-106065881D01* -X109243847Y-106071705D01* -X109242416Y-106077417D01* -X109240433Y-106082961D01* -X109237915Y-106088284D01* -X109234888Y-106093334D01* -X109231381Y-106098064D01* -X109227426Y-106102426D01* -X109223064Y-106106381D01* -X109218334Y-106109888D01* -X109213284Y-106112915D01* -X109207961Y-106115433D01* -X109202417Y-106117416D01* -X109196705Y-106118847D01* -X109190881Y-106119711D01* -X109185000Y-106120000D01* -X108715000Y-106120000D01* -X108709119Y-106119711D01* -X108703295Y-106118847D01* -X108697583Y-106117416D01* -X108692039Y-106115433D01* -X108686716Y-106112915D01* -X108681666Y-106109888D01* -X108676936Y-106106381D01* -X108672574Y-106102426D01* -X108668619Y-106098064D01* -X108665112Y-106093334D01* -X108662085Y-106088284D01* -X108659567Y-106082961D01* -X108657584Y-106077417D01* -X108656153Y-106071705D01* -X108655289Y-106065881D01* -X108655000Y-106060000D01* -X108655000Y-105940000D01* -X108655289Y-105934119D01* -X108656153Y-105928295D01* -X108657584Y-105922583D01* -X108659567Y-105917039D01* -X108662085Y-105911716D01* -X108665112Y-105906666D01* -X108668619Y-105901936D01* -X108672574Y-105897574D01* -X108676936Y-105893619D01* -X108681666Y-105890112D01* -X108686716Y-105887085D01* -X108692039Y-105884567D01* -X108697583Y-105882584D01* -X108703295Y-105881153D01* -X108709119Y-105880289D01* -X108715000Y-105880000D01* -X109185000Y-105880000D01* -X109190881Y-105880289D01* -G37* -G36* -X109165586Y-105285397D02* -G01* -X109173595Y-105286585D01* -X109181448Y-105288552D01* -X109189071Y-105291280D01* -X109196390Y-105294741D01* -X109203335Y-105298904D01* -X109209837Y-105303727D01* -X109215836Y-105309164D01* -X109221273Y-105315163D01* -X109226096Y-105321665D01* -X109230259Y-105328610D01* -X109233720Y-105335929D01* -X109236448Y-105343552D01* -X109238415Y-105351405D01* -X109239603Y-105359414D01* -X109240000Y-105367500D01* -X109240000Y-105532500D01* -X109239603Y-105540586D01* -X109238415Y-105548595D01* -X109236448Y-105556448D01* -X109233720Y-105564071D01* -X109230259Y-105571390D01* -X109226096Y-105578335D01* -X109221273Y-105584837D01* -X109215836Y-105590836D01* -X109209837Y-105596273D01* -X109203335Y-105601096D01* -X109196390Y-105605259D01* -X109189071Y-105608720D01* -X109181448Y-105611448D01* -X109173595Y-105613415D01* -X109165586Y-105614603D01* -X109157500Y-105615000D01* -X108742500Y-105615000D01* -X108734414Y-105614603D01* -X108726405Y-105613415D01* -X108718552Y-105611448D01* -X108710929Y-105608720D01* -X108703610Y-105605259D01* -X108696665Y-105601096D01* -X108690163Y-105596273D01* -X108684164Y-105590836D01* -X108678727Y-105584837D01* -X108673904Y-105578335D01* -X108669741Y-105571390D01* -X108666280Y-105564071D01* -X108663552Y-105556448D01* -X108661585Y-105548595D01* -X108660397Y-105540586D01* -X108660000Y-105532500D01* -X108660000Y-105367500D01* -X108660397Y-105359414D01* -X108661585Y-105351405D01* -X108663552Y-105343552D01* -X108666280Y-105335929D01* -X108669741Y-105328610D01* -X108673904Y-105321665D01* -X108678727Y-105315163D01* -X108684164Y-105309164D01* -X108690163Y-105303727D01* -X108696665Y-105298904D01* -X108703610Y-105294741D01* -X108710929Y-105291280D01* -X108718552Y-105288552D01* -X108726405Y-105286585D01* -X108734414Y-105285397D01* -X108742500Y-105285000D01* -X109157500Y-105285000D01* -X109165586Y-105285397D01* -G37* -G36* -X109190881Y-106380289D02* -G01* -X109196705Y-106381153D01* -X109202417Y-106382584D01* -X109207961Y-106384567D01* -X109213284Y-106387085D01* -X109218334Y-106390112D01* -X109223064Y-106393619D01* -X109227426Y-106397574D01* -X109231381Y-106401936D01* -X109234888Y-106406666D01* -X109237915Y-106411716D01* -X109240433Y-106417039D01* -X109242416Y-106422583D01* -X109243847Y-106428295D01* -X109244711Y-106434119D01* -X109245000Y-106440000D01* -X109245000Y-106560000D01* -X109244711Y-106565881D01* -X109243847Y-106571705D01* -X109242416Y-106577417D01* -X109240433Y-106582961D01* -X109237915Y-106588284D01* -X109234888Y-106593334D01* -X109231381Y-106598064D01* -X109227426Y-106602426D01* -X109223064Y-106606381D01* -X109218334Y-106609888D01* -X109213284Y-106612915D01* -X109207961Y-106615433D01* -X109202417Y-106617416D01* -X109196705Y-106618847D01* -X109190881Y-106619711D01* -X109185000Y-106620000D01* -X108715000Y-106620000D01* -X108709119Y-106619711D01* -X108703295Y-106618847D01* -X108697583Y-106617416D01* -X108692039Y-106615433D01* -X108686716Y-106612915D01* -X108681666Y-106609888D01* -X108676936Y-106606381D01* -X108672574Y-106602426D01* -X108668619Y-106598064D01* -X108665112Y-106593334D01* -X108662085Y-106588284D01* -X108659567Y-106582961D01* -X108657584Y-106577417D01* -X108656153Y-106571705D01* -X108655289Y-106565881D01* -X108655000Y-106560000D01* -X108655000Y-106440000D01* -X108655289Y-106434119D01* -X108656153Y-106428295D01* -X108657584Y-106422583D01* -X108659567Y-106417039D01* -X108662085Y-106411716D01* -X108665112Y-106406666D01* -X108668619Y-106401936D01* -X108672574Y-106397574D01* -X108676936Y-106393619D01* -X108681666Y-106390112D01* -X108686716Y-106387085D01* -X108692039Y-106384567D01* -X108697583Y-106382584D01* -X108703295Y-106381153D01* -X108709119Y-106380289D01* -X108715000Y-106380000D01* -X109185000Y-106380000D01* -X109190881Y-106380289D01* -G37* -G36* -X109165586Y-106885397D02* -G01* -X109173595Y-106886585D01* -X109181448Y-106888552D01* -X109189071Y-106891280D01* -X109196390Y-106894741D01* -X109203335Y-106898904D01* -X109209837Y-106903727D01* -X109215836Y-106909164D01* -X109221273Y-106915163D01* -X109226096Y-106921665D01* -X109230259Y-106928610D01* -X109233720Y-106935929D01* -X109236448Y-106943552D01* -X109238415Y-106951405D01* -X109239603Y-106959414D01* -X109240000Y-106967500D01* -X109240000Y-107132500D01* -X109239603Y-107140586D01* -X109238415Y-107148595D01* -X109236448Y-107156448D01* -X109233720Y-107164071D01* -X109230259Y-107171390D01* -X109226096Y-107178335D01* -X109221273Y-107184837D01* -X109215836Y-107190836D01* -X109209837Y-107196273D01* -X109203335Y-107201096D01* -X109196390Y-107205259D01* -X109189071Y-107208720D01* -X109181448Y-107211448D01* -X109173595Y-107213415D01* -X109165586Y-107214603D01* -X109157500Y-107215000D01* -X108742500Y-107215000D01* -X108734414Y-107214603D01* -X108726405Y-107213415D01* -X108718552Y-107211448D01* -X108710929Y-107208720D01* -X108703610Y-107205259D01* -X108696665Y-107201096D01* -X108690163Y-107196273D01* -X108684164Y-107190836D01* -X108678727Y-107184837D01* -X108673904Y-107178335D01* -X108669741Y-107171390D01* -X108666280Y-107164071D01* -X108663552Y-107156448D01* -X108661585Y-107148595D01* -X108660397Y-107140586D01* -X108660000Y-107132500D01* -X108660000Y-106967500D01* -X108660397Y-106959414D01* -X108661585Y-106951405D01* -X108663552Y-106943552D01* -X108666280Y-106935929D01* -X108669741Y-106928610D01* -X108673904Y-106921665D01* -X108678727Y-106915163D01* -X108684164Y-106909164D01* -X108690163Y-106903727D01* -X108696665Y-106898904D01* -X108703610Y-106894741D01* -X108710929Y-106891280D01* -X108718552Y-106888552D01* -X108726405Y-106886585D01* -X108734414Y-106885397D01* -X108742500Y-106885000D01* -X109157500Y-106885000D01* -X109165586Y-106885397D01* -G37* -G36* -X109165586Y-111285397D02* -G01* -X109173595Y-111286585D01* -X109181448Y-111288552D01* -X109189071Y-111291280D01* -X109196390Y-111294741D01* -X109203335Y-111298904D01* -X109209837Y-111303727D01* -X109215836Y-111309164D01* -X109221273Y-111315163D01* -X109226096Y-111321665D01* -X109230259Y-111328610D01* -X109233720Y-111335929D01* -X109236448Y-111343552D01* -X109238415Y-111351405D01* -X109239603Y-111359414D01* -X109240000Y-111367500D01* -X109240000Y-111532500D01* -X109239603Y-111540586D01* -X109238415Y-111548595D01* -X109236448Y-111556448D01* -X109233720Y-111564071D01* -X109230259Y-111571390D01* -X109226096Y-111578335D01* -X109221273Y-111584837D01* -X109215836Y-111590836D01* -X109209837Y-111596273D01* -X109203335Y-111601096D01* -X109196390Y-111605259D01* -X109189071Y-111608720D01* -X109181448Y-111611448D01* -X109173595Y-111613415D01* -X109165586Y-111614603D01* -X109157500Y-111615000D01* -X108742500Y-111615000D01* -X108734414Y-111614603D01* -X108726405Y-111613415D01* -X108718552Y-111611448D01* -X108710929Y-111608720D01* -X108703610Y-111605259D01* -X108696665Y-111601096D01* -X108690163Y-111596273D01* -X108684164Y-111590836D01* -X108678727Y-111584837D01* -X108673904Y-111578335D01* -X108669741Y-111571390D01* -X108666280Y-111564071D01* -X108663552Y-111556448D01* -X108661585Y-111548595D01* -X108660397Y-111540586D01* -X108660000Y-111532500D01* -X108660000Y-111367500D01* -X108660397Y-111359414D01* -X108661585Y-111351405D01* -X108663552Y-111343552D01* -X108666280Y-111335929D01* -X108669741Y-111328610D01* -X108673904Y-111321665D01* -X108678727Y-111315163D01* -X108684164Y-111309164D01* -X108690163Y-111303727D01* -X108696665Y-111298904D01* -X108703610Y-111294741D01* -X108710929Y-111291280D01* -X108718552Y-111288552D01* -X108726405Y-111286585D01* -X108734414Y-111285397D01* -X108742500Y-111285000D01* -X109157500Y-111285000D01* -X109165586Y-111285397D01* -G37* -G36* -X109190881Y-110780289D02* -G01* -X109196705Y-110781153D01* -X109202417Y-110782584D01* -X109207961Y-110784567D01* -X109213284Y-110787085D01* -X109218334Y-110790112D01* -X109223064Y-110793619D01* -X109227426Y-110797574D01* -X109231381Y-110801936D01* -X109234888Y-110806666D01* -X109237915Y-110811716D01* -X109240433Y-110817039D01* -X109242416Y-110822583D01* -X109243847Y-110828295D01* -X109244711Y-110834119D01* -X109245000Y-110840000D01* -X109245000Y-110960000D01* -X109244711Y-110965881D01* -X109243847Y-110971705D01* -X109242416Y-110977417D01* -X109240433Y-110982961D01* -X109237915Y-110988284D01* -X109234888Y-110993334D01* -X109231381Y-110998064D01* -X109227426Y-111002426D01* -X109223064Y-111006381D01* -X109218334Y-111009888D01* -X109213284Y-111012915D01* -X109207961Y-111015433D01* -X109202417Y-111017416D01* -X109196705Y-111018847D01* -X109190881Y-111019711D01* -X109185000Y-111020000D01* -X108715000Y-111020000D01* -X108709119Y-111019711D01* -X108703295Y-111018847D01* -X108697583Y-111017416D01* -X108692039Y-111015433D01* -X108686716Y-111012915D01* -X108681666Y-111009888D01* -X108676936Y-111006381D01* -X108672574Y-111002426D01* -X108668619Y-110998064D01* -X108665112Y-110993334D01* -X108662085Y-110988284D01* -X108659567Y-110982961D01* -X108657584Y-110977417D01* -X108656153Y-110971705D01* -X108655289Y-110965881D01* -X108655000Y-110960000D01* -X108655000Y-110840000D01* -X108655289Y-110834119D01* -X108656153Y-110828295D01* -X108657584Y-110822583D01* -X108659567Y-110817039D01* -X108662085Y-110811716D01* -X108665112Y-110806666D01* -X108668619Y-110801936D01* -X108672574Y-110797574D01* -X108676936Y-110793619D01* -X108681666Y-110790112D01* -X108686716Y-110787085D01* -X108692039Y-110784567D01* -X108697583Y-110782584D01* -X108703295Y-110781153D01* -X108709119Y-110780289D01* -X108715000Y-110780000D01* -X109185000Y-110780000D01* -X109190881Y-110780289D01* -G37* -G36* -X109165586Y-109685397D02* -G01* -X109173595Y-109686585D01* -X109181448Y-109688552D01* -X109189071Y-109691280D01* -X109196390Y-109694741D01* -X109203335Y-109698904D01* -X109209837Y-109703727D01* -X109215836Y-109709164D01* -X109221273Y-109715163D01* -X109226096Y-109721665D01* -X109230259Y-109728610D01* -X109233720Y-109735929D01* -X109236448Y-109743552D01* -X109238415Y-109751405D01* -X109239603Y-109759414D01* -X109240000Y-109767500D01* -X109240000Y-109932500D01* -X109239603Y-109940586D01* -X109238415Y-109948595D01* -X109236448Y-109956448D01* -X109233720Y-109964071D01* -X109230259Y-109971390D01* -X109226096Y-109978335D01* -X109221273Y-109984837D01* -X109215836Y-109990836D01* -X109209837Y-109996273D01* -X109203335Y-110001096D01* -X109196390Y-110005259D01* -X109189071Y-110008720D01* -X109181448Y-110011448D01* -X109173595Y-110013415D01* -X109165586Y-110014603D01* -X109157500Y-110015000D01* -X108742500Y-110015000D01* -X108734414Y-110014603D01* -X108726405Y-110013415D01* -X108718552Y-110011448D01* -X108710929Y-110008720D01* -X108703610Y-110005259D01* -X108696665Y-110001096D01* -X108690163Y-109996273D01* -X108684164Y-109990836D01* -X108678727Y-109984837D01* -X108673904Y-109978335D01* -X108669741Y-109971390D01* -X108666280Y-109964071D01* -X108663552Y-109956448D01* -X108661585Y-109948595D01* -X108660397Y-109940586D01* -X108660000Y-109932500D01* -X108660000Y-109767500D01* -X108660397Y-109759414D01* -X108661585Y-109751405D01* -X108663552Y-109743552D01* -X108666280Y-109735929D01* -X108669741Y-109728610D01* -X108673904Y-109721665D01* -X108678727Y-109715163D01* -X108684164Y-109709164D01* -X108690163Y-109703727D01* -X108696665Y-109698904D01* -X108703610Y-109694741D01* -X108710929Y-109691280D01* -X108718552Y-109688552D01* -X108726405Y-109686585D01* -X108734414Y-109685397D01* -X108742500Y-109685000D01* -X109157500Y-109685000D01* -X109165586Y-109685397D01* -G37* -G36* -X109190881Y-110280289D02* -G01* -X109196705Y-110281153D01* -X109202417Y-110282584D01* -X109207961Y-110284567D01* -X109213284Y-110287085D01* -X109218334Y-110290112D01* -X109223064Y-110293619D01* -X109227426Y-110297574D01* -X109231381Y-110301936D01* -X109234888Y-110306666D01* -X109237915Y-110311716D01* -X109240433Y-110317039D01* -X109242416Y-110322583D01* -X109243847Y-110328295D01* -X109244711Y-110334119D01* -X109245000Y-110340000D01* -X109245000Y-110460000D01* -X109244711Y-110465881D01* -X109243847Y-110471705D01* -X109242416Y-110477417D01* -X109240433Y-110482961D01* -X109237915Y-110488284D01* -X109234888Y-110493334D01* -X109231381Y-110498064D01* -X109227426Y-110502426D01* -X109223064Y-110506381D01* -X109218334Y-110509888D01* -X109213284Y-110512915D01* -X109207961Y-110515433D01* -X109202417Y-110517416D01* -X109196705Y-110518847D01* -X109190881Y-110519711D01* -X109185000Y-110520000D01* -X108715000Y-110520000D01* -X108709119Y-110519711D01* -X108703295Y-110518847D01* -X108697583Y-110517416D01* -X108692039Y-110515433D01* -X108686716Y-110512915D01* -X108681666Y-110509888D01* -X108676936Y-110506381D01* -X108672574Y-110502426D01* -X108668619Y-110498064D01* -X108665112Y-110493334D01* -X108662085Y-110488284D01* -X108659567Y-110482961D01* -X108657584Y-110477417D01* -X108656153Y-110471705D01* -X108655289Y-110465881D01* -X108655000Y-110460000D01* -X108655000Y-110340000D01* -X108655289Y-110334119D01* -X108656153Y-110328295D01* -X108657584Y-110322583D01* -X108659567Y-110317039D01* -X108662085Y-110311716D01* -X108665112Y-110306666D01* -X108668619Y-110301936D01* -X108672574Y-110297574D01* -X108676936Y-110293619D01* -X108681666Y-110290112D01* -X108686716Y-110287085D01* -X108692039Y-110284567D01* -X108697583Y-110282584D01* -X108703295Y-110281153D01* -X108709119Y-110280289D01* -X108715000Y-110280000D01* -X109185000Y-110280000D01* -X109190881Y-110280289D01* -G37* -G36* -X108165586Y-111285397D02* -G01* -X108173595Y-111286585D01* -X108181448Y-111288552D01* -X108189071Y-111291280D01* -X108196390Y-111294741D01* -X108203335Y-111298904D01* -X108209837Y-111303727D01* -X108215836Y-111309164D01* -X108221273Y-111315163D01* -X108226096Y-111321665D01* -X108230259Y-111328610D01* -X108233720Y-111335929D01* -X108236448Y-111343552D01* -X108238415Y-111351405D01* -X108239603Y-111359414D01* -X108240000Y-111367500D01* -X108240000Y-111532500D01* -X108239603Y-111540586D01* -X108238415Y-111548595D01* -X108236448Y-111556448D01* -X108233720Y-111564071D01* -X108230259Y-111571390D01* -X108226096Y-111578335D01* -X108221273Y-111584837D01* -X108215836Y-111590836D01* -X108209837Y-111596273D01* -X108203335Y-111601096D01* -X108196390Y-111605259D01* -X108189071Y-111608720D01* -X108181448Y-111611448D01* -X108173595Y-111613415D01* -X108165586Y-111614603D01* -X108157500Y-111615000D01* -X107742500Y-111615000D01* -X107734414Y-111614603D01* -X107726405Y-111613415D01* -X107718552Y-111611448D01* -X107710929Y-111608720D01* -X107703610Y-111605259D01* -X107696665Y-111601096D01* -X107690163Y-111596273D01* -X107684164Y-111590836D01* -X107678727Y-111584837D01* -X107673904Y-111578335D01* -X107669741Y-111571390D01* -X107666280Y-111564071D01* -X107663552Y-111556448D01* -X107661585Y-111548595D01* -X107660397Y-111540586D01* -X107660000Y-111532500D01* -X107660000Y-111367500D01* -X107660397Y-111359414D01* -X107661585Y-111351405D01* -X107663552Y-111343552D01* -X107666280Y-111335929D01* -X107669741Y-111328610D01* -X107673904Y-111321665D01* -X107678727Y-111315163D01* -X107684164Y-111309164D01* -X107690163Y-111303727D01* -X107696665Y-111298904D01* -X107703610Y-111294741D01* -X107710929Y-111291280D01* -X107718552Y-111288552D01* -X107726405Y-111286585D01* -X107734414Y-111285397D01* -X107742500Y-111285000D01* -X108157500Y-111285000D01* -X108165586Y-111285397D01* -G37* -G36* -X108190881Y-110280289D02* -G01* -X108196705Y-110281153D01* -X108202417Y-110282584D01* -X108207961Y-110284567D01* -X108213284Y-110287085D01* -X108218334Y-110290112D01* -X108223064Y-110293619D01* -X108227426Y-110297574D01* -X108231381Y-110301936D01* -X108234888Y-110306666D01* -X108237915Y-110311716D01* -X108240433Y-110317039D01* -X108242416Y-110322583D01* -X108243847Y-110328295D01* -X108244711Y-110334119D01* -X108245000Y-110340000D01* -X108245000Y-110460000D01* -X108244711Y-110465881D01* -X108243847Y-110471705D01* -X108242416Y-110477417D01* -X108240433Y-110482961D01* -X108237915Y-110488284D01* -X108234888Y-110493334D01* -X108231381Y-110498064D01* -X108227426Y-110502426D01* -X108223064Y-110506381D01* -X108218334Y-110509888D01* -X108213284Y-110512915D01* -X108207961Y-110515433D01* -X108202417Y-110517416D01* -X108196705Y-110518847D01* -X108190881Y-110519711D01* -X108185000Y-110520000D01* -X107715000Y-110520000D01* -X107709119Y-110519711D01* -X107703295Y-110518847D01* -X107697583Y-110517416D01* -X107692039Y-110515433D01* -X107686716Y-110512915D01* -X107681666Y-110509888D01* -X107676936Y-110506381D01* -X107672574Y-110502426D01* -X107668619Y-110498064D01* -X107665112Y-110493334D01* -X107662085Y-110488284D01* -X107659567Y-110482961D01* -X107657584Y-110477417D01* -X107656153Y-110471705D01* -X107655289Y-110465881D01* -X107655000Y-110460000D01* -X107655000Y-110340000D01* -X107655289Y-110334119D01* -X107656153Y-110328295D01* -X107657584Y-110322583D01* -X107659567Y-110317039D01* -X107662085Y-110311716D01* -X107665112Y-110306666D01* -X107668619Y-110301936D01* -X107672574Y-110297574D01* -X107676936Y-110293619D01* -X107681666Y-110290112D01* -X107686716Y-110287085D01* -X107692039Y-110284567D01* -X107697583Y-110282584D01* -X107703295Y-110281153D01* -X107709119Y-110280289D01* -X107715000Y-110280000D01* -X108185000Y-110280000D01* -X108190881Y-110280289D01* -G37* -G36* -X108190881Y-110780289D02* -G01* -X108196705Y-110781153D01* -X108202417Y-110782584D01* -X108207961Y-110784567D01* -X108213284Y-110787085D01* -X108218334Y-110790112D01* -X108223064Y-110793619D01* -X108227426Y-110797574D01* -X108231381Y-110801936D01* -X108234888Y-110806666D01* -X108237915Y-110811716D01* -X108240433Y-110817039D01* -X108242416Y-110822583D01* -X108243847Y-110828295D01* -X108244711Y-110834119D01* -X108245000Y-110840000D01* -X108245000Y-110960000D01* -X108244711Y-110965881D01* -X108243847Y-110971705D01* -X108242416Y-110977417D01* -X108240433Y-110982961D01* -X108237915Y-110988284D01* -X108234888Y-110993334D01* -X108231381Y-110998064D01* -X108227426Y-111002426D01* -X108223064Y-111006381D01* -X108218334Y-111009888D01* -X108213284Y-111012915D01* -X108207961Y-111015433D01* -X108202417Y-111017416D01* -X108196705Y-111018847D01* -X108190881Y-111019711D01* -X108185000Y-111020000D01* -X107715000Y-111020000D01* -X107709119Y-111019711D01* -X107703295Y-111018847D01* -X107697583Y-111017416D01* -X107692039Y-111015433D01* -X107686716Y-111012915D01* -X107681666Y-111009888D01* -X107676936Y-111006381D01* -X107672574Y-111002426D01* -X107668619Y-110998064D01* -X107665112Y-110993334D01* -X107662085Y-110988284D01* -X107659567Y-110982961D01* -X107657584Y-110977417D01* -X107656153Y-110971705D01* -X107655289Y-110965881D01* -X107655000Y-110960000D01* -X107655000Y-110840000D01* -X107655289Y-110834119D01* -X107656153Y-110828295D01* -X107657584Y-110822583D01* -X107659567Y-110817039D01* -X107662085Y-110811716D01* -X107665112Y-110806666D01* -X107668619Y-110801936D01* -X107672574Y-110797574D01* -X107676936Y-110793619D01* -X107681666Y-110790112D01* -X107686716Y-110787085D01* -X107692039Y-110784567D01* -X107697583Y-110782584D01* -X107703295Y-110781153D01* -X107709119Y-110780289D01* -X107715000Y-110780000D01* -X108185000Y-110780000D01* -X108190881Y-110780289D01* -G37* -G36* -X108165586Y-109685397D02* -G01* -X108173595Y-109686585D01* -X108181448Y-109688552D01* -X108189071Y-109691280D01* -X108196390Y-109694741D01* -X108203335Y-109698904D01* -X108209837Y-109703727D01* -X108215836Y-109709164D01* -X108221273Y-109715163D01* -X108226096Y-109721665D01* -X108230259Y-109728610D01* -X108233720Y-109735929D01* -X108236448Y-109743552D01* -X108238415Y-109751405D01* -X108239603Y-109759414D01* -X108240000Y-109767500D01* -X108240000Y-109932500D01* -X108239603Y-109940586D01* -X108238415Y-109948595D01* -X108236448Y-109956448D01* -X108233720Y-109964071D01* -X108230259Y-109971390D01* -X108226096Y-109978335D01* -X108221273Y-109984837D01* -X108215836Y-109990836D01* -X108209837Y-109996273D01* -X108203335Y-110001096D01* -X108196390Y-110005259D01* -X108189071Y-110008720D01* -X108181448Y-110011448D01* -X108173595Y-110013415D01* -X108165586Y-110014603D01* -X108157500Y-110015000D01* -X107742500Y-110015000D01* -X107734414Y-110014603D01* -X107726405Y-110013415D01* -X107718552Y-110011448D01* -X107710929Y-110008720D01* -X107703610Y-110005259D01* -X107696665Y-110001096D01* -X107690163Y-109996273D01* -X107684164Y-109990836D01* -X107678727Y-109984837D01* -X107673904Y-109978335D01* -X107669741Y-109971390D01* -X107666280Y-109964071D01* -X107663552Y-109956448D01* -X107661585Y-109948595D01* -X107660397Y-109940586D01* -X107660000Y-109932500D01* -X107660000Y-109767500D01* -X107660397Y-109759414D01* -X107661585Y-109751405D01* -X107663552Y-109743552D01* -X107666280Y-109735929D01* -X107669741Y-109728610D01* -X107673904Y-109721665D01* -X107678727Y-109715163D01* -X107684164Y-109709164D01* -X107690163Y-109703727D01* -X107696665Y-109698904D01* -X107703610Y-109694741D01* -X107710929Y-109691280D01* -X107718552Y-109688552D01* -X107726405Y-109686585D01* -X107734414Y-109685397D01* -X107742500Y-109685000D01* -X108157500Y-109685000D01* -X108165586Y-109685397D01* -G37* -G36* -X83061368Y-103916927D02* -G01* -X83080055Y-103919699D01* -X83098380Y-103924289D01* -X83116167Y-103930653D01* -X83133244Y-103938730D01* -X83149447Y-103948442D01* -X83164621Y-103959695D01* -X83178618Y-103972382D01* -X83191305Y-103986379D01* -X83202558Y-104001553D01* -X83212270Y-104017756D01* -X83220347Y-104034833D01* -X83226711Y-104052620D01* -X83231301Y-104070945D01* -X83234073Y-104089632D01* -X83235000Y-104108500D01* -X83235000Y-104493500D01* -X83234073Y-104512368D01* -X83231301Y-104531055D01* -X83226711Y-104549380D01* -X83220347Y-104567167D01* -X83212270Y-104584244D01* -X83202558Y-104600447D01* -X83191305Y-104615621D01* -X83178618Y-104629618D01* -X83164621Y-104642305D01* -X83149447Y-104653558D01* -X83133244Y-104663270D01* -X83116167Y-104671347D01* -X83098380Y-104677711D01* -X83080055Y-104682301D01* -X83061368Y-104685073D01* -X83042500Y-104686000D01* -X82557500Y-104686000D01* -X82538632Y-104685073D01* -X82519945Y-104682301D01* -X82501620Y-104677711D01* -X82483833Y-104671347D01* -X82466756Y-104663270D01* -X82450553Y-104653558D01* -X82435379Y-104642305D01* -X82421382Y-104629618D01* -X82408695Y-104615621D01* -X82397442Y-104600447D01* -X82387730Y-104584244D01* -X82379653Y-104567167D01* -X82373289Y-104549380D01* -X82368699Y-104531055D01* -X82365927Y-104512368D01* -X82365000Y-104493500D01* -X82365000Y-104108500D01* -X82365927Y-104089632D01* -X82368699Y-104070945D01* -X82373289Y-104052620D01* -X82379653Y-104034833D01* -X82387730Y-104017756D01* -X82397442Y-104001553D01* -X82408695Y-103986379D01* -X82421382Y-103972382D01* -X82435379Y-103959695D01* -X82450553Y-103948442D01* -X82466756Y-103938730D01* -X82483833Y-103930653D01* -X82501620Y-103924289D01* -X82519945Y-103919699D01* -X82538632Y-103916927D01* -X82557500Y-103916000D01* -X83042500Y-103916000D01* -X83061368Y-103916927D01* -G37* -G36* -X83061368Y-102416927D02* -G01* -X83080055Y-102419699D01* -X83098380Y-102424289D01* -X83116167Y-102430653D01* -X83133244Y-102438730D01* -X83149447Y-102448442D01* -X83164621Y-102459695D01* -X83178618Y-102472382D01* -X83191305Y-102486379D01* -X83202558Y-102501553D01* -X83212270Y-102517756D01* -X83220347Y-102534833D01* -X83226711Y-102552620D01* -X83231301Y-102570945D01* -X83234073Y-102589632D01* -X83235000Y-102608500D01* -X83235000Y-102993500D01* -X83234073Y-103012368D01* -X83231301Y-103031055D01* -X83226711Y-103049380D01* -X83220347Y-103067167D01* -X83212270Y-103084244D01* -X83202558Y-103100447D01* -X83191305Y-103115621D01* -X83178618Y-103129618D01* -X83164621Y-103142305D01* -X83149447Y-103153558D01* -X83133244Y-103163270D01* -X83116167Y-103171347D01* -X83098380Y-103177711D01* -X83080055Y-103182301D01* -X83061368Y-103185073D01* -X83042500Y-103186000D01* -X82557500Y-103186000D01* -X82538632Y-103185073D01* -X82519945Y-103182301D01* -X82501620Y-103177711D01* -X82483833Y-103171347D01* -X82466756Y-103163270D01* -X82450553Y-103153558D01* -X82435379Y-103142305D01* -X82421382Y-103129618D01* -X82408695Y-103115621D01* -X82397442Y-103100447D01* -X82387730Y-103084244D01* -X82379653Y-103067167D01* -X82373289Y-103049380D01* -X82368699Y-103031055D01* -X82365927Y-103012368D01* -X82365000Y-102993500D01* -X82365000Y-102608500D01* -X82365927Y-102589632D01* -X82368699Y-102570945D01* -X82373289Y-102552620D01* -X82379653Y-102534833D01* -X82387730Y-102517756D01* -X82397442Y-102501553D01* -X82408695Y-102486379D01* -X82421382Y-102472382D01* -X82435379Y-102459695D01* -X82450553Y-102448442D01* -X82466756Y-102438730D01* -X82483833Y-102430653D01* -X82501620Y-102424289D01* -X82519945Y-102419699D01* -X82538632Y-102416927D01* -X82557500Y-102416000D01* -X83042500Y-102416000D01* -X83061368Y-102416927D01* -G37* -G36* -X116387841Y-122240385D02* -G01* -X116395607Y-122241537D01* -X116403223Y-122243445D01* -X116410615Y-122246090D01* -X116417712Y-122249446D01* -X116424446Y-122253482D01* -X116430751Y-122258159D01* -X116436569Y-122263431D01* -X116441841Y-122269249D01* -X116446518Y-122275554D01* -X116450554Y-122282288D01* -X116453910Y-122289385D01* -X116456555Y-122296777D01* -X116458463Y-122304393D01* -X116459615Y-122312159D01* -X116460000Y-122320000D01* -X116460000Y-123080000D01* -X116459615Y-123087841D01* -X116458463Y-123095607D01* -X116456555Y-123103223D01* -X116453910Y-123110615D01* -X116450554Y-123117712D01* -X116446518Y-123124446D01* -X116441841Y-123130751D01* -X116436569Y-123136569D01* -X116430751Y-123141841D01* -X116424446Y-123146518D01* -X116417712Y-123150554D01* -X116410615Y-123153910D01* -X116403223Y-123156555D01* -X116395607Y-123158463D01* -X116387841Y-123159615D01* -X116380000Y-123160000D01* -X116220000Y-123160000D01* -X116212159Y-123159615D01* -X116204393Y-123158463D01* -X116196777Y-123156555D01* -X116189385Y-123153910D01* -X116182288Y-123150554D01* -X116175554Y-123146518D01* -X116169249Y-123141841D01* -X116163431Y-123136569D01* -X116158159Y-123130751D01* -X116153482Y-123124446D01* -X116149446Y-123117712D01* -X116146090Y-123110615D01* -X116143445Y-123103223D01* -X116141537Y-123095607D01* -X116140385Y-123087841D01* -X116140000Y-123080000D01* -X116140000Y-122320000D01* -X116140385Y-122312159D01* -X116141537Y-122304393D01* -X116143445Y-122296777D01* -X116146090Y-122289385D01* -X116149446Y-122282288D01* -X116153482Y-122275554D01* -X116158159Y-122269249D01* -X116163431Y-122263431D01* -X116169249Y-122258159D01* -X116175554Y-122253482D01* -X116182288Y-122249446D01* -X116189385Y-122246090D01* -X116196777Y-122243445D01* -X116204393Y-122241537D01* -X116212159Y-122240385D01* -X116220000Y-122240000D01* -X116380000Y-122240000D01* -X116387841Y-122240385D01* -G37* -G36* -X115087841Y-122240385D02* -G01* -X115095607Y-122241537D01* -X115103223Y-122243445D01* -X115110615Y-122246090D01* -X115117712Y-122249446D01* -X115124446Y-122253482D01* -X115130751Y-122258159D01* -X115136569Y-122263431D01* -X115141841Y-122269249D01* -X115146518Y-122275554D01* -X115150554Y-122282288D01* -X115153910Y-122289385D01* -X115156555Y-122296777D01* -X115158463Y-122304393D01* -X115159615Y-122312159D01* -X115160000Y-122320000D01* -X115160000Y-123080000D01* -X115159615Y-123087841D01* -X115158463Y-123095607D01* -X115156555Y-123103223D01* -X115153910Y-123110615D01* -X115150554Y-123117712D01* -X115146518Y-123124446D01* -X115141841Y-123130751D01* -X115136569Y-123136569D01* -X115130751Y-123141841D01* -X115124446Y-123146518D01* -X115117712Y-123150554D01* -X115110615Y-123153910D01* -X115103223Y-123156555D01* -X115095607Y-123158463D01* -X115087841Y-123159615D01* -X115080000Y-123160000D01* -X114920000Y-123160000D01* -X114912159Y-123159615D01* -X114904393Y-123158463D01* -X114896777Y-123156555D01* -X114889385Y-123153910D01* -X114882288Y-123150554D01* -X114875554Y-123146518D01* -X114869249Y-123141841D01* -X114863431Y-123136569D01* -X114858159Y-123130751D01* -X114853482Y-123124446D01* -X114849446Y-123117712D01* -X114846090Y-123110615D01* -X114843445Y-123103223D01* -X114841537Y-123095607D01* -X114840385Y-123087841D01* -X114840000Y-123080000D01* -X114840000Y-122320000D01* -X114840385Y-122312159D01* -X114841537Y-122304393D01* -X114843445Y-122296777D01* -X114846090Y-122289385D01* -X114849446Y-122282288D01* -X114853482Y-122275554D01* -X114858159Y-122269249D01* -X114863431Y-122263431D01* -X114869249Y-122258159D01* -X114875554Y-122253482D01* -X114882288Y-122249446D01* -X114889385Y-122246090D01* -X114896777Y-122243445D01* -X114904393Y-122241537D01* -X114912159Y-122240385D01* -X114920000Y-122240000D01* -X115080000Y-122240000D01* -X115087841Y-122240385D01* -G37* -G36* -X115737841Y-120540385D02* -G01* -X115745607Y-120541537D01* -X115753223Y-120543445D01* -X115760615Y-120546090D01* -X115767712Y-120549446D01* -X115774446Y-120553482D01* -X115780751Y-120558159D01* -X115786569Y-120563431D01* -X115791841Y-120569249D01* -X115796518Y-120575554D01* -X115800554Y-120582288D01* -X115803910Y-120589385D01* -X115806555Y-120596777D01* -X115808463Y-120604393D01* -X115809615Y-120612159D01* -X115810000Y-120620000D01* -X115810000Y-121380000D01* -X115809615Y-121387841D01* -X115808463Y-121395607D01* -X115806555Y-121403223D01* -X115803910Y-121410615D01* -X115800554Y-121417712D01* -X115796518Y-121424446D01* -X115791841Y-121430751D01* -X115786569Y-121436569D01* -X115780751Y-121441841D01* -X115774446Y-121446518D01* -X115767712Y-121450554D01* -X115760615Y-121453910D01* -X115753223Y-121456555D01* -X115745607Y-121458463D01* -X115737841Y-121459615D01* -X115730000Y-121460000D01* -X115570000Y-121460000D01* -X115562159Y-121459615D01* -X115554393Y-121458463D01* -X115546777Y-121456555D01* -X115539385Y-121453910D01* -X115532288Y-121450554D01* -X115525554Y-121446518D01* -X115519249Y-121441841D01* -X115513431Y-121436569D01* -X115508159Y-121430751D01* -X115503482Y-121424446D01* -X115499446Y-121417712D01* -X115496090Y-121410615D01* -X115493445Y-121403223D01* -X115491537Y-121395607D01* -X115490385Y-121387841D01* -X115490000Y-121380000D01* -X115490000Y-120620000D01* -X115490385Y-120612159D01* -X115491537Y-120604393D01* -X115493445Y-120596777D01* -X115496090Y-120589385D01* -X115499446Y-120582288D01* -X115503482Y-120575554D01* -X115508159Y-120569249D01* -X115513431Y-120563431D01* -X115519249Y-120558159D01* -X115525554Y-120553482D01* -X115532288Y-120549446D01* -X115539385Y-120546090D01* -X115546777Y-120543445D01* -X115554393Y-120541537D01* -X115562159Y-120540385D01* -X115570000Y-120540000D01* -X115730000Y-120540000D01* -X115737841Y-120540385D01* -G37* -G36* -X116387841Y-120540385D02* -G01* -X116395607Y-120541537D01* -X116403223Y-120543445D01* -X116410615Y-120546090D01* -X116417712Y-120549446D01* -X116424446Y-120553482D01* -X116430751Y-120558159D01* -X116436569Y-120563431D01* -X116441841Y-120569249D01* -X116446518Y-120575554D01* -X116450554Y-120582288D01* -X116453910Y-120589385D01* -X116456555Y-120596777D01* -X116458463Y-120604393D01* -X116459615Y-120612159D01* -X116460000Y-120620000D01* -X116460000Y-121380000D01* -X116459615Y-121387841D01* -X116458463Y-121395607D01* -X116456555Y-121403223D01* -X116453910Y-121410615D01* -X116450554Y-121417712D01* -X116446518Y-121424446D01* -X116441841Y-121430751D01* -X116436569Y-121436569D01* -X116430751Y-121441841D01* -X116424446Y-121446518D01* -X116417712Y-121450554D01* -X116410615Y-121453910D01* -X116403223Y-121456555D01* -X116395607Y-121458463D01* -X116387841Y-121459615D01* -X116380000Y-121460000D01* -X116220000Y-121460000D01* -X116212159Y-121459615D01* -X116204393Y-121458463D01* -X116196777Y-121456555D01* -X116189385Y-121453910D01* -X116182288Y-121450554D01* -X116175554Y-121446518D01* -X116169249Y-121441841D01* -X116163431Y-121436569D01* -X116158159Y-121430751D01* -X116153482Y-121424446D01* -X116149446Y-121417712D01* -X116146090Y-121410615D01* -X116143445Y-121403223D01* -X116141537Y-121395607D01* -X116140385Y-121387841D01* -X116140000Y-121380000D01* -X116140000Y-120620000D01* -X116140385Y-120612159D01* -X116141537Y-120604393D01* -X116143445Y-120596777D01* -X116146090Y-120589385D01* -X116149446Y-120582288D01* -X116153482Y-120575554D01* -X116158159Y-120569249D01* -X116163431Y-120563431D01* -X116169249Y-120558159D01* -X116175554Y-120553482D01* -X116182288Y-120549446D01* -X116189385Y-120546090D01* -X116196777Y-120543445D01* -X116204393Y-120541537D01* -X116212159Y-120540385D01* -X116220000Y-120540000D01* -X116380000Y-120540000D01* -X116387841Y-120540385D01* -G37* -G36* -X115087841Y-120540385D02* -G01* -X115095607Y-120541537D01* -X115103223Y-120543445D01* -X115110615Y-120546090D01* -X115117712Y-120549446D01* -X115124446Y-120553482D01* -X115130751Y-120558159D01* -X115136569Y-120563431D01* -X115141841Y-120569249D01* -X115146518Y-120575554D01* -X115150554Y-120582288D01* -X115153910Y-120589385D01* -X115156555Y-120596777D01* -X115158463Y-120604393D01* -X115159615Y-120612159D01* -X115160000Y-120620000D01* -X115160000Y-121380000D01* -X115159615Y-121387841D01* -X115158463Y-121395607D01* -X115156555Y-121403223D01* -X115153910Y-121410615D01* -X115150554Y-121417712D01* -X115146518Y-121424446D01* -X115141841Y-121430751D01* -X115136569Y-121436569D01* -X115130751Y-121441841D01* -X115124446Y-121446518D01* -X115117712Y-121450554D01* -X115110615Y-121453910D01* -X115103223Y-121456555D01* -X115095607Y-121458463D01* -X115087841Y-121459615D01* -X115080000Y-121460000D01* -X114920000Y-121460000D01* -X114912159Y-121459615D01* -X114904393Y-121458463D01* -X114896777Y-121456555D01* -X114889385Y-121453910D01* -X114882288Y-121450554D01* -X114875554Y-121446518D01* -X114869249Y-121441841D01* -X114863431Y-121436569D01* -X114858159Y-121430751D01* -X114853482Y-121424446D01* -X114849446Y-121417712D01* -X114846090Y-121410615D01* -X114843445Y-121403223D01* -X114841537Y-121395607D01* -X114840385Y-121387841D01* -X114840000Y-121380000D01* -X114840000Y-120620000D01* -X114840385Y-120612159D01* -X114841537Y-120604393D01* -X114843445Y-120596777D01* -X114846090Y-120589385D01* -X114849446Y-120582288D01* -X114853482Y-120575554D01* -X114858159Y-120569249D01* -X114863431Y-120563431D01* -X114869249Y-120558159D01* -X114875554Y-120553482D01* -X114882288Y-120549446D01* -X114889385Y-120546090D01* -X114896777Y-120543445D01* -X114904393Y-120541537D01* -X114912159Y-120540385D01* -X114920000Y-120540000D01* -X115080000Y-120540000D01* -X115087841Y-120540385D01* -G37* -G36* -X118061368Y-122465927D02* -G01* -X118080055Y-122468699D01* -X118098380Y-122473289D01* -X118116167Y-122479653D01* -X118133244Y-122487730D01* -X118149447Y-122497442D01* -X118164621Y-122508695D01* -X118178618Y-122521382D01* -X118191305Y-122535379D01* -X118202558Y-122550553D01* -X118212270Y-122566756D01* -X118220347Y-122583833D01* -X118226711Y-122601620D01* -X118231301Y-122619945D01* -X118234073Y-122638632D01* -X118235000Y-122657500D01* -X118235000Y-123042500D01* -X118234073Y-123061368D01* -X118231301Y-123080055D01* -X118226711Y-123098380D01* -X118220347Y-123116167D01* -X118212270Y-123133244D01* -X118202558Y-123149447D01* -X118191305Y-123164621D01* -X118178618Y-123178618D01* -X118164621Y-123191305D01* -X118149447Y-123202558D01* -X118133244Y-123212270D01* -X118116167Y-123220347D01* -X118098380Y-123226711D01* -X118080055Y-123231301D01* -X118061368Y-123234073D01* -X118042500Y-123235000D01* -X117557500Y-123235000D01* -X117538632Y-123234073D01* -X117519945Y-123231301D01* -X117501620Y-123226711D01* -X117483833Y-123220347D01* -X117466756Y-123212270D01* -X117450553Y-123202558D01* -X117435379Y-123191305D01* -X117421382Y-123178618D01* -X117408695Y-123164621D01* -X117397442Y-123149447D01* -X117387730Y-123133244D01* -X117379653Y-123116167D01* -X117373289Y-123098380D01* -X117368699Y-123080055D01* -X117365927Y-123061368D01* -X117365000Y-123042500D01* -X117365000Y-122657500D01* -X117365927Y-122638632D01* -X117368699Y-122619945D01* -X117373289Y-122601620D01* -X117379653Y-122583833D01* -X117387730Y-122566756D01* -X117397442Y-122550553D01* -X117408695Y-122535379D01* -X117421382Y-122521382D01* -X117435379Y-122508695D01* -X117450553Y-122497442D01* -X117466756Y-122487730D01* -X117483833Y-122479653D01* -X117501620Y-122473289D01* -X117519945Y-122468699D01* -X117538632Y-122465927D01* -X117557500Y-122465000D01* -X118042500Y-122465000D01* -X118061368Y-122465927D01* -G37* -G36* -X118061368Y-120965927D02* -G01* -X118080055Y-120968699D01* -X118098380Y-120973289D01* -X118116167Y-120979653D01* -X118133244Y-120987730D01* -X118149447Y-120997442D01* -X118164621Y-121008695D01* -X118178618Y-121021382D01* -X118191305Y-121035379D01* -X118202558Y-121050553D01* -X118212270Y-121066756D01* -X118220347Y-121083833D01* -X118226711Y-121101620D01* -X118231301Y-121119945D01* -X118234073Y-121138632D01* -X118235000Y-121157500D01* -X118235000Y-121542500D01* -X118234073Y-121561368D01* -X118231301Y-121580055D01* -X118226711Y-121598380D01* -X118220347Y-121616167D01* -X118212270Y-121633244D01* -X118202558Y-121649447D01* -X118191305Y-121664621D01* -X118178618Y-121678618D01* -X118164621Y-121691305D01* -X118149447Y-121702558D01* -X118133244Y-121712270D01* -X118116167Y-121720347D01* -X118098380Y-121726711D01* -X118080055Y-121731301D01* -X118061368Y-121734073D01* -X118042500Y-121735000D01* -X117557500Y-121735000D01* -X117538632Y-121734073D01* -X117519945Y-121731301D01* -X117501620Y-121726711D01* -X117483833Y-121720347D01* -X117466756Y-121712270D01* -X117450553Y-121702558D01* -X117435379Y-121691305D01* -X117421382Y-121678618D01* -X117408695Y-121664621D01* -X117397442Y-121649447D01* -X117387730Y-121633244D01* -X117379653Y-121616167D01* -X117373289Y-121598380D01* -X117368699Y-121580055D01* -X117365927Y-121561368D01* -X117365000Y-121542500D01* -X117365000Y-121157500D01* -X117365927Y-121138632D01* -X117368699Y-121119945D01* -X117373289Y-121101620D01* -X117379653Y-121083833D01* -X117387730Y-121066756D01* -X117397442Y-121050553D01* -X117408695Y-121035379D01* -X117421382Y-121021382D01* -X117435379Y-121008695D01* -X117450553Y-120997442D01* -X117466756Y-120987730D01* -X117483833Y-120979653D01* -X117501620Y-120973289D01* -X117519945Y-120968699D01* -X117538632Y-120965927D01* -X117557500Y-120965000D01* -X118042500Y-120965000D01* -X118061368Y-120965927D01* -G37* -G36* -X113111368Y-90315927D02* -G01* -X113130055Y-90318699D01* -X113148380Y-90323289D01* -X113166167Y-90329653D01* -X113183244Y-90337730D01* -X113199447Y-90347442D01* -X113214621Y-90358695D01* -X113228618Y-90371382D01* -X113241305Y-90385379D01* -X113252558Y-90400553D01* -X113262270Y-90416756D01* -X113270347Y-90433833D01* -X113276711Y-90451620D01* -X113281301Y-90469945D01* -X113284073Y-90488632D01* -X113285000Y-90507500D01* -X113285000Y-90992500D01* -X113284073Y-91011368D01* -X113281301Y-91030055D01* -X113276711Y-91048380D01* -X113270347Y-91066167D01* -X113262270Y-91083244D01* -X113252558Y-91099447D01* -X113241305Y-91114621D01* -X113228618Y-91128618D01* -X113214621Y-91141305D01* -X113199447Y-91152558D01* -X113183244Y-91162270D01* -X113166167Y-91170347D01* -X113148380Y-91176711D01* -X113130055Y-91181301D01* -X113111368Y-91184073D01* -X113092500Y-91185000D01* -X112707500Y-91185000D01* -X112688632Y-91184073D01* -X112669945Y-91181301D01* -X112651620Y-91176711D01* -X112633833Y-91170347D01* -X112616756Y-91162270D01* -X112600553Y-91152558D01* -X112585379Y-91141305D01* -X112571382Y-91128618D01* -X112558695Y-91114621D01* -X112547442Y-91099447D01* -X112537730Y-91083244D01* -X112529653Y-91066167D01* -X112523289Y-91048380D01* -X112518699Y-91030055D01* -X112515927Y-91011368D01* -X112515000Y-90992500D01* -X112515000Y-90507500D01* -X112515927Y-90488632D01* -X112518699Y-90469945D01* -X112523289Y-90451620D01* -X112529653Y-90433833D01* -X112537730Y-90416756D01* -X112547442Y-90400553D01* -X112558695Y-90385379D01* -X112571382Y-90371382D01* -X112585379Y-90358695D01* -X112600553Y-90347442D01* -X112616756Y-90337730D01* -X112633833Y-90329653D01* -X112651620Y-90323289D01* -X112669945Y-90318699D01* -X112688632Y-90315927D01* -X112707500Y-90315000D01* -X113092500Y-90315000D01* -X113111368Y-90315927D01* -G37* -G36* -X114611368Y-90315927D02* -G01* -X114630055Y-90318699D01* -X114648380Y-90323289D01* -X114666167Y-90329653D01* -X114683244Y-90337730D01* -X114699447Y-90347442D01* -X114714621Y-90358695D01* -X114728618Y-90371382D01* -X114741305Y-90385379D01* -X114752558Y-90400553D01* -X114762270Y-90416756D01* -X114770347Y-90433833D01* -X114776711Y-90451620D01* -X114781301Y-90469945D01* -X114784073Y-90488632D01* -X114785000Y-90507500D01* -X114785000Y-90992500D01* -X114784073Y-91011368D01* -X114781301Y-91030055D01* -X114776711Y-91048380D01* -X114770347Y-91066167D01* -X114762270Y-91083244D01* -X114752558Y-91099447D01* -X114741305Y-91114621D01* -X114728618Y-91128618D01* -X114714621Y-91141305D01* -X114699447Y-91152558D01* -X114683244Y-91162270D01* -X114666167Y-91170347D01* -X114648380Y-91176711D01* -X114630055Y-91181301D01* -X114611368Y-91184073D01* -X114592500Y-91185000D01* -X114207500Y-91185000D01* -X114188632Y-91184073D01* -X114169945Y-91181301D01* -X114151620Y-91176711D01* -X114133833Y-91170347D01* -X114116756Y-91162270D01* -X114100553Y-91152558D01* -X114085379Y-91141305D01* -X114071382Y-91128618D01* -X114058695Y-91114621D01* -X114047442Y-91099447D01* -X114037730Y-91083244D01* -X114029653Y-91066167D01* -X114023289Y-91048380D01* -X114018699Y-91030055D01* -X114015927Y-91011368D01* -X114015000Y-90992500D01* -X114015000Y-90507500D01* -X114015927Y-90488632D01* -X114018699Y-90469945D01* -X114023289Y-90451620D01* -X114029653Y-90433833D01* -X114037730Y-90416756D01* -X114047442Y-90400553D01* -X114058695Y-90385379D01* -X114071382Y-90371382D01* -X114085379Y-90358695D01* -X114100553Y-90347442D01* -X114116756Y-90337730D01* -X114133833Y-90329653D01* -X114151620Y-90323289D01* -X114169945Y-90318699D01* -X114188632Y-90315927D01* -X114207500Y-90315000D01* -X114592500Y-90315000D01* -X114611368Y-90315927D01* -G37* -G36* -X124611368Y-90315927D02* -G01* -X124630055Y-90318699D01* -X124648380Y-90323289D01* -X124666167Y-90329653D01* -X124683244Y-90337730D01* -X124699447Y-90347442D01* -X124714621Y-90358695D01* -X124728618Y-90371382D01* -X124741305Y-90385379D01* -X124752558Y-90400553D01* -X124762270Y-90416756D01* -X124770347Y-90433833D01* -X124776711Y-90451620D01* -X124781301Y-90469945D01* -X124784073Y-90488632D01* -X124785000Y-90507500D01* -X124785000Y-90992500D01* -X124784073Y-91011368D01* -X124781301Y-91030055D01* -X124776711Y-91048380D01* -X124770347Y-91066167D01* -X124762270Y-91083244D01* -X124752558Y-91099447D01* -X124741305Y-91114621D01* -X124728618Y-91128618D01* -X124714621Y-91141305D01* -X124699447Y-91152558D01* -X124683244Y-91162270D01* -X124666167Y-91170347D01* -X124648380Y-91176711D01* -X124630055Y-91181301D01* -X124611368Y-91184073D01* -X124592500Y-91185000D01* -X124207500Y-91185000D01* -X124188632Y-91184073D01* -X124169945Y-91181301D01* -X124151620Y-91176711D01* -X124133833Y-91170347D01* -X124116756Y-91162270D01* -X124100553Y-91152558D01* -X124085379Y-91141305D01* -X124071382Y-91128618D01* -X124058695Y-91114621D01* -X124047442Y-91099447D01* -X124037730Y-91083244D01* -X124029653Y-91066167D01* -X124023289Y-91048380D01* -X124018699Y-91030055D01* -X124015927Y-91011368D01* -X124015000Y-90992500D01* -X124015000Y-90507500D01* -X124015927Y-90488632D01* -X124018699Y-90469945D01* -X124023289Y-90451620D01* -X124029653Y-90433833D01* -X124037730Y-90416756D01* -X124047442Y-90400553D01* -X124058695Y-90385379D01* -X124071382Y-90371382D01* -X124085379Y-90358695D01* -X124100553Y-90347442D01* -X124116756Y-90337730D01* -X124133833Y-90329653D01* -X124151620Y-90323289D01* -X124169945Y-90318699D01* -X124188632Y-90315927D01* -X124207500Y-90315000D01* -X124592500Y-90315000D01* -X124611368Y-90315927D01* -G37* -G36* -X123111368Y-90315927D02* -G01* -X123130055Y-90318699D01* -X123148380Y-90323289D01* -X123166167Y-90329653D01* -X123183244Y-90337730D01* -X123199447Y-90347442D01* -X123214621Y-90358695D01* -X123228618Y-90371382D01* -X123241305Y-90385379D01* -X123252558Y-90400553D01* -X123262270Y-90416756D01* -X123270347Y-90433833D01* -X123276711Y-90451620D01* -X123281301Y-90469945D01* -X123284073Y-90488632D01* -X123285000Y-90507500D01* -X123285000Y-90992500D01* -X123284073Y-91011368D01* -X123281301Y-91030055D01* -X123276711Y-91048380D01* -X123270347Y-91066167D01* -X123262270Y-91083244D01* -X123252558Y-91099447D01* -X123241305Y-91114621D01* -X123228618Y-91128618D01* -X123214621Y-91141305D01* -X123199447Y-91152558D01* -X123183244Y-91162270D01* -X123166167Y-91170347D01* -X123148380Y-91176711D01* -X123130055Y-91181301D01* -X123111368Y-91184073D01* -X123092500Y-91185000D01* -X122707500Y-91185000D01* -X122688632Y-91184073D01* -X122669945Y-91181301D01* -X122651620Y-91176711D01* -X122633833Y-91170347D01* -X122616756Y-91162270D01* -X122600553Y-91152558D01* -X122585379Y-91141305D01* -X122571382Y-91128618D01* -X122558695Y-91114621D01* -X122547442Y-91099447D01* -X122537730Y-91083244D01* -X122529653Y-91066167D01* -X122523289Y-91048380D01* -X122518699Y-91030055D01* -X122515927Y-91011368D01* -X122515000Y-90992500D01* -X122515000Y-90507500D01* -X122515927Y-90488632D01* -X122518699Y-90469945D01* -X122523289Y-90451620D01* -X122529653Y-90433833D01* -X122537730Y-90416756D01* -X122547442Y-90400553D01* -X122558695Y-90385379D01* -X122571382Y-90371382D01* -X122585379Y-90358695D01* -X122600553Y-90347442D01* -X122616756Y-90337730D01* -X122633833Y-90329653D01* -X122651620Y-90323289D01* -X122669945Y-90318699D01* -X122688632Y-90315927D01* -X122707500Y-90315000D01* -X123092500Y-90315000D01* -X123111368Y-90315927D01* -G37* -G36* -X130799504Y-124551204D02* -G01* -X130823773Y-124554804D01* -X130847571Y-124560765D01* -X130870671Y-124569030D01* -X130892849Y-124579520D01* -X130913893Y-124592133D01* -X130933598Y-124606747D01* -X130951777Y-124623223D01* -X130968253Y-124641402D01* -X130982867Y-124661107D01* -X130995480Y-124682151D01* -X131005970Y-124704329D01* -X131014235Y-124727429D01* -X131020196Y-124751227D01* -X131023796Y-124775496D01* -X131025000Y-124800000D01* -X131025000Y-125300000D01* -X131023796Y-125324504D01* -X131020196Y-125348773D01* -X131014235Y-125372571D01* -X131005970Y-125395671D01* -X130995480Y-125417849D01* -X130982867Y-125438893D01* -X130968253Y-125458598D01* -X130951777Y-125476777D01* -X130933598Y-125493253D01* -X130913893Y-125507867D01* -X130892849Y-125520480D01* -X130870671Y-125530970D01* -X130847571Y-125539235D01* -X130823773Y-125545196D01* -X130799504Y-125548796D01* -X130775000Y-125550000D01* -X129925000Y-125550000D01* -X129900496Y-125548796D01* -X129876227Y-125545196D01* -X129852429Y-125539235D01* -X129829329Y-125530970D01* -X129807151Y-125520480D01* -X129786107Y-125507867D01* -X129766402Y-125493253D01* -X129748223Y-125476777D01* -X129731747Y-125458598D01* -X129717133Y-125438893D01* -X129704520Y-125417849D01* -X129694030Y-125395671D01* -X129685765Y-125372571D01* -X129679804Y-125348773D01* -X129676204Y-125324504D01* -X129675000Y-125300000D01* -X129675000Y-124800000D01* -X129676204Y-124775496D01* -X129679804Y-124751227D01* -X129685765Y-124727429D01* -X129694030Y-124704329D01* -X129704520Y-124682151D01* -X129717133Y-124661107D01* -X129731747Y-124641402D01* -X129748223Y-124623223D01* -X129766402Y-124606747D01* -X129786107Y-124592133D01* -X129807151Y-124579520D01* -X129829329Y-124569030D01* -X129852429Y-124560765D01* -X129876227Y-124554804D01* -X129900496Y-124551204D01* -X129925000Y-124550000D01* -X130775000Y-124550000D01* -X130799504Y-124551204D01* -G37* -G36* -X130799504Y-122851204D02* -G01* -X130823773Y-122854804D01* -X130847571Y-122860765D01* -X130870671Y-122869030D01* -X130892849Y-122879520D01* -X130913893Y-122892133D01* -X130933598Y-122906747D01* -X130951777Y-122923223D01* -X130968253Y-122941402D01* -X130982867Y-122961107D01* -X130995480Y-122982151D01* -X131005970Y-123004329D01* -X131014235Y-123027429D01* -X131020196Y-123051227D01* -X131023796Y-123075496D01* -X131025000Y-123100000D01* -X131025000Y-123600000D01* -X131023796Y-123624504D01* -X131020196Y-123648773D01* -X131014235Y-123672571D01* -X131005970Y-123695671D01* -X130995480Y-123717849D01* -X130982867Y-123738893D01* -X130968253Y-123758598D01* -X130951777Y-123776777D01* -X130933598Y-123793253D01* -X130913893Y-123807867D01* -X130892849Y-123820480D01* -X130870671Y-123830970D01* -X130847571Y-123839235D01* -X130823773Y-123845196D01* -X130799504Y-123848796D01* -X130775000Y-123850000D01* -X129925000Y-123850000D01* -X129900496Y-123848796D01* -X129876227Y-123845196D01* -X129852429Y-123839235D01* -X129829329Y-123830970D01* -X129807151Y-123820480D01* -X129786107Y-123807867D01* -X129766402Y-123793253D01* -X129748223Y-123776777D01* -X129731747Y-123758598D01* -X129717133Y-123738893D01* -X129704520Y-123717849D01* -X129694030Y-123695671D01* -X129685765Y-123672571D01* -X129679804Y-123648773D01* -X129676204Y-123624504D01* -X129675000Y-123600000D01* -X129675000Y-123100000D01* -X129676204Y-123075496D01* -X129679804Y-123051227D01* -X129685765Y-123027429D01* -X129694030Y-123004329D01* -X129704520Y-122982151D01* -X129717133Y-122961107D01* -X129731747Y-122941402D01* -X129748223Y-122923223D01* -X129766402Y-122906747D01* -X129786107Y-122892133D01* -X129807151Y-122879520D01* -X129829329Y-122869030D01* -X129852429Y-122860765D01* -X129876227Y-122854804D01* -X129900496Y-122851204D01* -X129925000Y-122850000D01* -X130775000Y-122850000D01* -X130799504Y-122851204D01* -G37* -M02* diff --git a/gerber/GR8RAM-F_SilkS.gto b/gerber/GR8RAM-F_SilkS.gto deleted file mode 100644 index 13226f9..0000000 --- a/gerber/GR8RAM-F_SilkS.gto +++ /dev/null @@ -1,30245 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Legend,Top* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10C,0.203200*% -%ADD11C,0.350000*% -%ADD12C,0.300000*% -%ADD13C,0.225000*% -%ADD14C,0.120000*% -%ADD15C,0.150000*% -%ADD16C,0.152400*% -%ADD17C,0.100000*% -%ADD18R,1.879600X1.879600*% -%ADD19O,1.879600X1.879600*% -%ADD20C,2.000000*% -%ADD21C,2.527300*% -%ADD22C,1.143000*% -%ADD23C,0.939800*% -%ADD24C,2.152400*% -%ADD25C,1.448000*% -G04 APERTURE END LIST* -D10* -X130810000Y-108458000D02* -X129032000Y-108458000D01* -D11* -X130238550Y-109664500D02* -X130238650Y-109664500D01* -X129603400Y-109029500D02* -X129603500Y-109029500D01* -D10* -X129032000Y-110236000D02* -X129032000Y-108458000D01* -X130810000Y-110236000D02* -X130810000Y-108458000D01* -X129032000Y-110236000D02* -X130810000Y-110236000D01* -X129032000Y-112776000D02* -X129032000Y-110998000D01* -X130810000Y-112776000D02* -X130810000Y-110998000D01* -D11* -X129603400Y-111569500D02* -X129603500Y-111569500D01* -X130238550Y-111569500D02* -X130238650Y-111569500D01* -D10* -X130810000Y-110998000D02* -X129032000Y-110998000D01* -X129032000Y-112776000D02* -X130810000Y-112776000D01* -X131792937Y-109239857D02* -X131707222Y-109197000D01* -X131664365Y-109154142D01* -X131621508Y-109068428D01* -X131621508Y-109025571D01* -X131664365Y-108939857D01* -X131707222Y-108897000D01* -X131792937Y-108854142D01* -X131964365Y-108854142D01* -X132050080Y-108897000D01* -X132092937Y-108939857D01* -X132135794Y-109025571D01* -X132135794Y-109068428D01* -X132092937Y-109154142D01* -X132050080Y-109197000D01* -X131964365Y-109239857D01* -X131792937Y-109239857D01* -X131707222Y-109282714D01* -X131664365Y-109325571D01* -X131621508Y-109411285D01* -X131621508Y-109582714D01* -X131664365Y-109668428D01* -X131707222Y-109711285D01* -X131792937Y-109754142D01* -X131964365Y-109754142D01* -X132050080Y-109711285D01* -X132092937Y-109668428D01* -X132135794Y-109582714D01* -X132135794Y-109411285D01* -X132092937Y-109325571D01* -X132050080Y-109282714D01* -X131964365Y-109239857D01* -X133207222Y-109754142D02* -X133207222Y-108854142D01* -X133507222Y-109497000D01* -X133807222Y-108854142D01* -X133807222Y-109754142D01* -X134535794Y-109282714D02* -X134664365Y-109325571D01* -X134707222Y-109368428D01* -X134750080Y-109454142D01* -X134750080Y-109582714D01* -X134707222Y-109668428D01* -X134664365Y-109711285D01* -X134578651Y-109754142D01* -X134235794Y-109754142D01* -X134235794Y-108854142D01* -X134535794Y-108854142D01* -X134621508Y-108897000D01* -X134664365Y-108939857D01* -X134707222Y-109025571D01* -X134707222Y-109111285D01* -X134664365Y-109197000D01* -X134621508Y-109239857D01* -X134535794Y-109282714D01* -X134235794Y-109282714D01* -X136335794Y-109754142D02* -X136035794Y-109325571D01* -X135821508Y-109754142D02* -X135821508Y-108854142D01* -X136164365Y-108854142D01* -X136250080Y-108897000D01* -X136292937Y-108939857D01* -X136335794Y-109025571D01* -X136335794Y-109154142D01* -X136292937Y-109239857D01* -X136250080Y-109282714D01* -X136164365Y-109325571D01* -X135821508Y-109325571D01* -X136678651Y-109497000D02* -X137107222Y-109497000D01* -X136592937Y-109754142D02* -X136892937Y-108854142D01* -X137192937Y-109754142D01* -X137492937Y-109754142D02* -X137492937Y-108854142D01* -X137792937Y-109497000D01* -X138092937Y-108854142D01* -X138092937Y-109754142D01* -X138821508Y-109282714D02* -X138521508Y-109282714D01* -X138521508Y-109754142D02* -X138521508Y-108854142D01* -X138950080Y-108854142D01* -X139678651Y-109754142D02* -X139678651Y-109282714D01* -X139635794Y-109197000D01* -X139550080Y-109154142D01* -X139378651Y-109154142D01* -X139292937Y-109197000D01* -X139678651Y-109711285D02* -X139592937Y-109754142D01* -X139378651Y-109754142D01* -X139292937Y-109711285D01* -X139250080Y-109625571D01* -X139250080Y-109539857D01* -X139292937Y-109454142D01* -X139378651Y-109411285D01* -X139592937Y-109411285D01* -X139678651Y-109368428D01* -X140492937Y-109711285D02* -X140407222Y-109754142D01* -X140235794Y-109754142D01* -X140150080Y-109711285D01* -X140107222Y-109668428D01* -X140064365Y-109582714D01* -X140064365Y-109325571D01* -X140107222Y-109239857D01* -X140150080Y-109197000D01* -X140235794Y-109154142D01* -X140407222Y-109154142D01* -X140492937Y-109197000D01* -X140750080Y-109154142D02* -X141092937Y-109154142D01* -X140878651Y-108854142D02* -X140878651Y-109625571D01* -X140921508Y-109711285D01* -X141007222Y-109754142D01* -X141092937Y-109754142D01* -X141521508Y-109754142D02* -X141435794Y-109711285D01* -X141392937Y-109668428D01* -X141350080Y-109582714D01* -X141350080Y-109325571D01* -X141392937Y-109239857D01* -X141435794Y-109197000D01* -X141521508Y-109154142D01* -X141650080Y-109154142D01* -X141735794Y-109197000D01* -X141778651Y-109239857D01* -X141821508Y-109325571D01* -X141821508Y-109582714D01* -X141778651Y-109668428D01* -X141735794Y-109711285D01* -X141650080Y-109754142D01* -X141521508Y-109754142D01* -X142207222Y-109754142D02* -X142207222Y-109154142D01* -X142207222Y-109325571D02* -X142250080Y-109239857D01* -X142292937Y-109197000D01* -X142378651Y-109154142D01* -X142464365Y-109154142D01* -X132135794Y-112294142D02* -X131621508Y-112294142D01* -X131878651Y-112294142D02* -X131878651Y-111394142D01* -X131792937Y-111522714D01* -X131707222Y-111608428D01* -X131621508Y-111651285D01* -X132907222Y-111394142D02* -X132735794Y-111394142D01* -X132650080Y-111437000D01* -X132607222Y-111479857D01* -X132521508Y-111608428D01* -X132478651Y-111779857D01* -X132478651Y-112122714D01* -X132521508Y-112208428D01* -X132564365Y-112251285D01* -X132650080Y-112294142D01* -X132821508Y-112294142D01* -X132907222Y-112251285D01* -X132950080Y-112208428D01* -X132992937Y-112122714D01* -X132992937Y-111908428D01* -X132950080Y-111822714D01* -X132907222Y-111779857D01* -X132821508Y-111737000D01* -X132650080Y-111737000D01* -X132564365Y-111779857D01* -X132521508Y-111822714D01* -X132478651Y-111908428D01* -X134064365Y-112294142D02* -X134064365Y-111394142D01* -X134364365Y-112037000D01* -X134664365Y-111394142D01* -X134664365Y-112294142D01* -X135392937Y-111822714D02* -X135521508Y-111865571D01* -X135564365Y-111908428D01* -X135607222Y-111994142D01* -X135607222Y-112122714D01* -X135564365Y-112208428D01* -X135521508Y-112251285D01* -X135435794Y-112294142D01* -X135092937Y-112294142D01* -X135092937Y-111394142D01* -X135392937Y-111394142D01* -X135478651Y-111437000D01* -X135521508Y-111479857D01* -X135564365Y-111565571D01* -X135564365Y-111651285D01* -X135521508Y-111737000D01* -X135478651Y-111779857D01* -X135392937Y-111822714D01* -X135092937Y-111822714D01* -X137192937Y-112294142D02* -X136892937Y-111865571D01* -X136678651Y-112294142D02* -X136678651Y-111394142D01* -X137021508Y-111394142D01* -X137107222Y-111437000D01* -X137150080Y-111479857D01* -X137192937Y-111565571D01* -X137192937Y-111694142D01* -X137150080Y-111779857D01* -X137107222Y-111822714D01* -X137021508Y-111865571D01* -X136678651Y-111865571D01* -X137535794Y-112037000D02* -X137964365Y-112037000D01* -X137450080Y-112294142D02* -X137750080Y-111394142D01* -X138050080Y-112294142D01* -X138350080Y-112294142D02* -X138350080Y-111394142D01* -X138650080Y-112037000D01* -X138950080Y-111394142D01* -X138950080Y-112294142D01* -X139678651Y-111822714D02* -X139378651Y-111822714D01* -X139378651Y-112294142D02* -X139378651Y-111394142D01* -X139807222Y-111394142D01* -X140535794Y-112294142D02* -X140535794Y-111822714D01* -X140492937Y-111737000D01* -X140407222Y-111694142D01* -X140235794Y-111694142D01* -X140150080Y-111737000D01* -X140535794Y-112251285D02* -X140450080Y-112294142D01* -X140235794Y-112294142D01* -X140150080Y-112251285D01* -X140107222Y-112165571D01* -X140107222Y-112079857D01* -X140150080Y-111994142D01* -X140235794Y-111951285D01* -X140450080Y-111951285D01* -X140535794Y-111908428D01* -X141350080Y-112251285D02* -X141264365Y-112294142D01* -X141092937Y-112294142D01* -X141007222Y-112251285D01* -X140964365Y-112208428D01* -X140921508Y-112122714D01* -X140921508Y-111865571D01* -X140964365Y-111779857D01* -X141007222Y-111737000D01* -X141092937Y-111694142D01* -X141264365Y-111694142D01* -X141350080Y-111737000D01* -X141607222Y-111694142D02* -X141950080Y-111694142D01* -X141735794Y-111394142D02* -X141735794Y-112165571D01* -X141778651Y-112251285D01* -X141864365Y-112294142D01* -X141950080Y-112294142D01* -X142378651Y-112294142D02* -X142292937Y-112251285D01* -X142250080Y-112208428D01* -X142207222Y-112122714D01* -X142207222Y-111865571D01* -X142250080Y-111779857D01* -X142292937Y-111737000D01* -X142378651Y-111694142D01* -X142507222Y-111694142D01* -X142592937Y-111737000D01* -X142635794Y-111779857D01* -X142678651Y-111865571D01* -X142678651Y-112122714D01* -X142635794Y-112208428D01* -X142592937Y-112251285D01* -X142507222Y-112294142D01* -X142378651Y-112294142D01* -X143064365Y-112294142D02* -X143064365Y-111694142D01* -X143064365Y-111865571D02* -X143107222Y-111779857D01* -X143150080Y-111737000D01* -X143235794Y-111694142D01* -X143321508Y-111694142D01* -X143750080Y-111394142D02* -X143750080Y-111608428D01* -X143535794Y-111522714D02* -X143750080Y-111608428D01* -X143964365Y-111522714D01* -X143621508Y-111779857D02* -X143750080Y-111608428D01* -X143878651Y-111779857D01* -X130810000Y-103378000D02* -X129032000Y-103378000D01* -D11* -X130238550Y-104584500D02* -X130238650Y-104584500D01* -X129603400Y-104584500D02* -X129603500Y-104584500D01* -D10* -X129032000Y-105156000D02* -X129032000Y-103378000D01* -X130810000Y-105156000D02* -X130810000Y-103378000D01* -X129032000Y-105156000D02* -X130810000Y-105156000D01* -X129032000Y-107696000D02* -X129032000Y-105918000D01* -X130810000Y-107696000D02* -X130810000Y-105918000D01* -D11* -X129603400Y-107124500D02* -X129603500Y-107124500D01* -X130238400Y-106489500D02* -X130238500Y-106489500D01* -D10* -X130810000Y-105918000D02* -X129032000Y-105918000D01* -X129032000Y-107696000D02* -X130810000Y-107696000D01* -X132135794Y-107214142D02* -X131621508Y-107214142D01* -X131878651Y-107214142D02* -X131878651Y-106314142D01* -X131792937Y-106442714D01* -X131707222Y-106528428D01* -X131621508Y-106571285D01* -X133207222Y-107214142D02* -X133207222Y-106314142D01* -X133507222Y-106957000D01* -X133807222Y-106314142D01* -X133807222Y-107214142D01* -X134535794Y-106742714D02* -X134664365Y-106785571D01* -X134707222Y-106828428D01* -X134750080Y-106914142D01* -X134750080Y-107042714D01* -X134707222Y-107128428D01* -X134664365Y-107171285D01* -X134578651Y-107214142D01* -X134235794Y-107214142D01* -X134235794Y-106314142D01* -X134535794Y-106314142D01* -X134621508Y-106357000D01* -X134664365Y-106399857D01* -X134707222Y-106485571D01* -X134707222Y-106571285D01* -X134664365Y-106657000D01* -X134621508Y-106699857D01* -X134535794Y-106742714D01* -X134235794Y-106742714D01* -X136335794Y-107214142D02* -X136035794Y-106785571D01* -X135821508Y-107214142D02* -X135821508Y-106314142D01* -X136164365Y-106314142D01* -X136250080Y-106357000D01* -X136292937Y-106399857D01* -X136335794Y-106485571D01* -X136335794Y-106614142D01* -X136292937Y-106699857D01* -X136250080Y-106742714D01* -X136164365Y-106785571D01* -X135821508Y-106785571D01* -X136678651Y-106957000D02* -X137107222Y-106957000D01* -X136592937Y-107214142D02* -X136892937Y-106314142D01* -X137192937Y-107214142D01* -X137492937Y-107214142D02* -X137492937Y-106314142D01* -X137792937Y-106957000D01* -X138092937Y-106314142D01* -X138092937Y-107214142D01* -X138821508Y-106742714D02* -X138521508Y-106742714D01* -X138521508Y-107214142D02* -X138521508Y-106314142D01* -X138950080Y-106314142D01* -X139678651Y-107214142D02* -X139678651Y-106742714D01* -X139635794Y-106657000D01* -X139550080Y-106614142D01* -X139378651Y-106614142D01* -X139292937Y-106657000D01* -X139678651Y-107171285D02* -X139592937Y-107214142D01* -X139378651Y-107214142D01* -X139292937Y-107171285D01* -X139250080Y-107085571D01* -X139250080Y-106999857D01* -X139292937Y-106914142D01* -X139378651Y-106871285D01* -X139592937Y-106871285D01* -X139678651Y-106828428D01* -X140492937Y-107171285D02* -X140407222Y-107214142D01* -X140235794Y-107214142D01* -X140150080Y-107171285D01* -X140107222Y-107128428D01* -X140064365Y-107042714D01* -X140064365Y-106785571D01* -X140107222Y-106699857D01* -X140150080Y-106657000D01* -X140235794Y-106614142D01* -X140407222Y-106614142D01* -X140492937Y-106657000D01* -X140750080Y-106614142D02* -X141092937Y-106614142D01* -X140878651Y-106314142D02* -X140878651Y-107085571D01* -X140921508Y-107171285D01* -X141007222Y-107214142D01* -X141092937Y-107214142D01* -X141521508Y-107214142D02* -X141435794Y-107171285D01* -X141392937Y-107128428D01* -X141350080Y-107042714D01* -X141350080Y-106785571D01* -X141392937Y-106699857D01* -X141435794Y-106657000D01* -X141521508Y-106614142D01* -X141650080Y-106614142D01* -X141735794Y-106657000D01* -X141778651Y-106699857D01* -X141821508Y-106785571D01* -X141821508Y-107042714D01* -X141778651Y-107128428D01* -X141735794Y-107171285D01* -X141650080Y-107214142D01* -X141521508Y-107214142D01* -X142207222Y-107214142D02* -X142207222Y-106614142D01* -X142207222Y-106785571D02* -X142250080Y-106699857D01* -X142292937Y-106657000D01* -X142378651Y-106614142D01* -X142464365Y-106614142D01* -X132135794Y-104674142D02* -X131621508Y-104674142D01* -X131878651Y-104674142D02* -X131878651Y-103774142D01* -X131792937Y-103902714D01* -X131707222Y-103988428D01* -X131621508Y-104031285D01* -X133207222Y-104674142D02* -X133207222Y-103774142D01* -X133507222Y-104417000D01* -X133807222Y-103774142D01* -X133807222Y-104674142D01* -X134535794Y-104202714D02* -X134664365Y-104245571D01* -X134707222Y-104288428D01* -X134750080Y-104374142D01* -X134750080Y-104502714D01* -X134707222Y-104588428D01* -X134664365Y-104631285D01* -X134578651Y-104674142D01* -X134235794Y-104674142D01* -X134235794Y-103774142D01* -X134535794Y-103774142D01* -X134621508Y-103817000D01* -X134664365Y-103859857D01* -X134707222Y-103945571D01* -X134707222Y-104031285D01* -X134664365Y-104117000D01* -X134621508Y-104159857D01* -X134535794Y-104202714D01* -X134235794Y-104202714D01* -X135778651Y-104631285D02* -X135907222Y-104674142D01* -X136121508Y-104674142D01* -X136207222Y-104631285D01* -X136250080Y-104588428D01* -X136292937Y-104502714D01* -X136292937Y-104417000D01* -X136250080Y-104331285D01* -X136207222Y-104288428D01* -X136121508Y-104245571D01* -X135950080Y-104202714D01* -X135864365Y-104159857D01* -X135821508Y-104117000D01* -X135778651Y-104031285D01* -X135778651Y-103945571D01* -X135821508Y-103859857D01* -X135864365Y-103817000D01* -X135950080Y-103774142D01* -X136164365Y-103774142D01* -X136292937Y-103817000D01* -X136807222Y-104674142D02* -X136721508Y-104631285D01* -X136678651Y-104545571D01* -X136678651Y-103774142D01* -X137150080Y-104674142D02* -X137150080Y-104074142D01* -X137150080Y-103774142D02* -X137107222Y-103817000D01* -X137150080Y-103859857D01* -X137192937Y-103817000D01* -X137150080Y-103774142D01* -X137150080Y-103859857D01* -X137578651Y-104074142D02* -X137578651Y-104674142D01* -X137578651Y-104159857D02* -X137621508Y-104117000D01* -X137707222Y-104074142D01* -X137835794Y-104074142D01* -X137921508Y-104117000D01* -X137964365Y-104202714D01* -X137964365Y-104674142D01* -X138392937Y-104674142D02* -X138392937Y-103774142D01* -X138478651Y-104331285D02* -X138735794Y-104674142D01* -X138735794Y-104074142D02* -X138392937Y-104417000D01* -X139035794Y-104074142D02* -X139250080Y-104674142D01* -X139464365Y-104074142D02* -X139250080Y-104674142D01* -X139164365Y-104888428D01* -X139121508Y-104931285D01* -X139035794Y-104974142D01* -X130493142Y-102043714D02* -X130193142Y-102043714D01* -X130193142Y-102515142D02* -X130193142Y-101615142D01* -X130621714Y-101615142D01* -X130964571Y-102515142D02* -X130964571Y-101915142D01* -X130964571Y-101615142D02* -X130921714Y-101658000D01* -X130964571Y-101700857D01* -X131007428Y-101658000D01* -X130964571Y-101615142D01* -X130964571Y-101700857D01* -X131393142Y-102515142D02* -X131393142Y-101915142D01* -X131393142Y-102086571D02* -X131436000Y-102000857D01* -X131478857Y-101958000D01* -X131564571Y-101915142D01* -X131650285Y-101915142D01* -X131950285Y-102515142D02* -X131950285Y-101915142D01* -X131950285Y-102000857D02* -X131993142Y-101958000D01* -X132078857Y-101915142D01* -X132207428Y-101915142D01* -X132293142Y-101958000D01* -X132336000Y-102043714D01* -X132336000Y-102515142D01* -X132336000Y-102043714D02* -X132378857Y-101958000D01* -X132464571Y-101915142D01* -X132593142Y-101915142D01* -X132678857Y-101958000D01* -X132721714Y-102043714D01* -X132721714Y-102515142D01* -X133064571Y-101915142D02* -X133236000Y-102515142D01* -X133407428Y-102086571D01* -X133578857Y-102515142D01* -X133750285Y-101915142D01* -X134478857Y-102515142D02* -X134478857Y-102043714D01* -X134436000Y-101958000D01* -X134350285Y-101915142D01* -X134178857Y-101915142D01* -X134093142Y-101958000D01* -X134478857Y-102472285D02* -X134393142Y-102515142D01* -X134178857Y-102515142D01* -X134093142Y-102472285D01* -X134050285Y-102386571D01* -X134050285Y-102300857D01* -X134093142Y-102215142D01* -X134178857Y-102172285D01* -X134393142Y-102172285D01* -X134478857Y-102129428D01* -X134907428Y-102515142D02* -X134907428Y-101915142D01* -X134907428Y-102086571D02* -X134950285Y-102000857D01* -X134993142Y-101958000D01* -X135078857Y-101915142D01* -X135164571Y-101915142D01* -X135807428Y-102472285D02* -X135721714Y-102515142D01* -X135550285Y-102515142D01* -X135464571Y-102472285D01* -X135421714Y-102386571D01* -X135421714Y-102043714D01* -X135464571Y-101958000D01* -X135550285Y-101915142D01* -X135721714Y-101915142D01* -X135807428Y-101958000D01* -X135850285Y-102043714D01* -X135850285Y-102129428D01* -X135421714Y-102215142D01* -X136878857Y-102472285D02* -X137007428Y-102515142D01* -X137221714Y-102515142D01* -X137307428Y-102472285D01* -X137350285Y-102429428D01* -X137393142Y-102343714D01* -X137393142Y-102258000D01* -X137350285Y-102172285D01* -X137307428Y-102129428D01* -X137221714Y-102086571D01* -X137050285Y-102043714D01* -X136964571Y-102000857D01* -X136921714Y-101958000D01* -X136878857Y-101872285D01* -X136878857Y-101786571D01* -X136921714Y-101700857D01* -X136964571Y-101658000D01* -X137050285Y-101615142D01* -X137264571Y-101615142D01* -X137393142Y-101658000D01* -X138121714Y-102472285D02* -X138036000Y-102515142D01* -X137864571Y-102515142D01* -X137778857Y-102472285D01* -X137736000Y-102386571D01* -X137736000Y-102043714D01* -X137778857Y-101958000D01* -X137864571Y-101915142D01* -X138036000Y-101915142D01* -X138121714Y-101958000D01* -X138164571Y-102043714D01* -X138164571Y-102129428D01* -X137736000Y-102215142D01* -X138678857Y-102515142D02* -X138593142Y-102472285D01* -X138550285Y-102386571D01* -X138550285Y-101615142D01* -X139364571Y-102472285D02* -X139278857Y-102515142D01* -X139107428Y-102515142D01* -X139021714Y-102472285D01* -X138978857Y-102386571D01* -X138978857Y-102043714D01* -X139021714Y-101958000D01* -X139107428Y-101915142D01* -X139278857Y-101915142D01* -X139364571Y-101958000D01* -X139407428Y-102043714D01* -X139407428Y-102129428D01* -X138978857Y-102215142D01* -X140178857Y-102472285D02* -X140093142Y-102515142D01* -X139921714Y-102515142D01* -X139836000Y-102472285D01* -X139793142Y-102429428D01* -X139750285Y-102343714D01* -X139750285Y-102086571D01* -X139793142Y-102000857D01* -X139836000Y-101958000D01* -X139921714Y-101915142D01* -X140093142Y-101915142D01* -X140178857Y-101958000D01* -X140436000Y-101915142D02* -X140778857Y-101915142D01* -X140564571Y-101615142D02* -X140564571Y-102386571D01* -X140607428Y-102472285D01* -X140693142Y-102515142D01* -X140778857Y-102515142D01* -X141078857Y-102429428D02* -X141121714Y-102472285D01* -X141078857Y-102515142D01* -X141036000Y-102472285D01* -X141078857Y-102429428D01* -X141078857Y-102515142D01* -X141078857Y-101958000D02* -X141121714Y-102000857D01* -X141078857Y-102043714D01* -X141036000Y-102000857D01* -X141078857Y-101958000D01* -X141078857Y-102043714D01* -X109256285Y-84745285D02* -X109643333Y-84745285D01* -X109401428Y-85422619D02* -X109401428Y-84551761D01* -X109449809Y-84455000D01* -X109546571Y-84406619D01* -X109643333Y-84406619D01* -X110127142Y-85422619D02* -X110030380Y-85374238D01* -X109982000Y-85325857D01* -X109933619Y-85229095D01* -X109933619Y-84938809D01* -X109982000Y-84842047D01* -X110030380Y-84793666D01* -X110127142Y-84745285D01* -X110272285Y-84745285D01* -X110369047Y-84793666D01* -X110417428Y-84842047D01* -X110465809Y-84938809D01* -X110465809Y-85229095D01* -X110417428Y-85325857D01* -X110369047Y-85374238D01* -X110272285Y-85422619D01* -X110127142Y-85422619D01* -X110901238Y-85422619D02* -X110901238Y-84745285D01* -X110901238Y-84938809D02* -X110949619Y-84842047D01* -X110998000Y-84793666D01* -X111094761Y-84745285D01* -X111191523Y-84745285D01* -X112255904Y-85132333D02* -X112739714Y-85132333D01* -X112159142Y-85422619D02* -X112497809Y-84406619D01* -X112836476Y-85422619D01* -X113175142Y-84745285D02* -X113175142Y-85761285D01* -X113175142Y-84793666D02* -X113271904Y-84745285D01* -X113465428Y-84745285D01* -X113562190Y-84793666D01* -X113610571Y-84842047D01* -X113658952Y-84938809D01* -X113658952Y-85229095D01* -X113610571Y-85325857D01* -X113562190Y-85374238D01* -X113465428Y-85422619D01* -X113271904Y-85422619D01* -X113175142Y-85374238D01* -X114094380Y-84745285D02* -X114094380Y-85761285D01* -X114094380Y-84793666D02* -X114191142Y-84745285D01* -X114384666Y-84745285D01* -X114481428Y-84793666D01* -X114529809Y-84842047D01* -X114578190Y-84938809D01* -X114578190Y-85229095D01* -X114529809Y-85325857D01* -X114481428Y-85374238D01* -X114384666Y-85422619D01* -X114191142Y-85422619D01* -X114094380Y-85374238D01* -X115158761Y-85422619D02* -X115062000Y-85374238D01* -X115013619Y-85277476D01* -X115013619Y-84406619D01* -X115932857Y-85374238D02* -X115836095Y-85422619D01* -X115642571Y-85422619D01* -X115545809Y-85374238D01* -X115497428Y-85277476D01* -X115497428Y-84890428D01* -X115545809Y-84793666D01* -X115642571Y-84745285D01* -X115836095Y-84745285D01* -X115932857Y-84793666D01* -X115981238Y-84890428D01* -X115981238Y-84987190D01* -X115497428Y-85083952D01* -X117190761Y-85422619D02* -X117190761Y-84406619D01* -X117674571Y-85422619D02* -X117674571Y-84406619D01* -D12* -X91873000Y-84895571D02* -X91873000Y-85895571D01* -X91515857Y-84324142D02* -X91158714Y-85395571D01* -X92087285Y-85395571D01* -X92587285Y-84538428D02* -X92658714Y-84467000D01* -X92801571Y-84395571D01* -X93158714Y-84395571D01* -X93301571Y-84467000D01* -X93373000Y-84538428D01* -X93444428Y-84681285D01* -X93444428Y-84824142D01* -X93373000Y-85038428D01* -X92515857Y-85895571D01* -X93444428Y-85895571D01* -X94373000Y-84395571D02* -X94515857Y-84395571D01* -X94658714Y-84467000D01* -X94730142Y-84538428D01* -X94801571Y-84681285D01* -X94873000Y-84967000D01* -X94873000Y-85324142D01* -X94801571Y-85609857D01* -X94730142Y-85752714D01* -X94658714Y-85824142D01* -X94515857Y-85895571D01* -X94373000Y-85895571D01* -X94230142Y-85824142D01* -X94158714Y-85752714D01* -X94087285Y-85609857D01* -X94015857Y-85324142D01* -X94015857Y-84967000D01* -X94087285Y-84681285D01* -X94158714Y-84538428D01* -X94230142Y-84467000D01* -X94373000Y-84395571D01* -X96230142Y-84395571D02* -X95515857Y-84395571D01* -X95444428Y-85109857D01* -X95515857Y-85038428D01* -X95658714Y-84967000D01* -X96015857Y-84967000D01* -X96158714Y-85038428D01* -X96230142Y-85109857D01* -X96301571Y-85252714D01* -X96301571Y-85609857D01* -X96230142Y-85752714D01* -X96158714Y-85824142D01* -X96015857Y-85895571D01* -X95658714Y-85895571D01* -X95515857Y-85824142D01* -X95444428Y-85752714D01* -X96873000Y-85467000D02* -X97587285Y-85467000D01* -X96730142Y-85895571D02* -X97230142Y-84395571D01* -X97730142Y-85895571D01* -X108312857Y-82495571D02* -X108167714Y-82423000D01* -X108095142Y-82350428D01* -X108022571Y-82205285D01* -X108022571Y-82132714D01* -X108095142Y-81987571D01* -X108167714Y-81915000D01* -X108312857Y-81842428D01* -X108603142Y-81842428D01* -X108748285Y-81915000D01* -X108820857Y-81987571D01* -X108893428Y-82132714D01* -X108893428Y-82205285D01* -X108820857Y-82350428D01* -X108748285Y-82423000D01* -X108603142Y-82495571D01* -X108312857Y-82495571D01* -X108167714Y-82568142D01* -X108095142Y-82640714D01* -X108022571Y-82785857D01* -X108022571Y-83076142D01* -X108095142Y-83221285D01* -X108167714Y-83293857D01* -X108312857Y-83366428D01* -X108603142Y-83366428D01* -X108748285Y-83293857D01* -X108820857Y-83221285D01* -X108893428Y-83076142D01* -X108893428Y-82785857D01* -X108820857Y-82640714D01* -X108748285Y-82568142D01* -X108603142Y-82495571D01* -X110707714Y-83366428D02* -X110707714Y-81842428D01* -X111215714Y-82931000D01* -X111723714Y-81842428D01* -X111723714Y-83366428D01* -X112957428Y-82568142D02* -X113175142Y-82640714D01* -X113247714Y-82713285D01* -X113320285Y-82858428D01* -X113320285Y-83076142D01* -X113247714Y-83221285D01* -X113175142Y-83293857D01* -X113030000Y-83366428D01* -X112449428Y-83366428D01* -X112449428Y-81842428D01* -X112957428Y-81842428D01* -X113102571Y-81915000D01* -X113175142Y-81987571D01* -X113247714Y-82132714D01* -X113247714Y-82277857D01* -X113175142Y-82423000D01* -X113102571Y-82495571D01* -X112957428Y-82568142D01* -X112449428Y-82568142D01* -X116005428Y-83366428D02* -X115497428Y-82640714D01* -X115134571Y-83366428D02* -X115134571Y-81842428D01* -X115715142Y-81842428D01* -X115860285Y-81915000D01* -X115932857Y-81987571D01* -X116005428Y-82132714D01* -X116005428Y-82350428D01* -X115932857Y-82495571D01* -X115860285Y-82568142D01* -X115715142Y-82640714D01* -X115134571Y-82640714D01* -X116586000Y-82931000D02* -X117311714Y-82931000D01* -X116440857Y-83366428D02* -X116948857Y-81842428D01* -X117456857Y-83366428D01* -X117964857Y-83366428D02* -X117964857Y-81842428D01* -X118472857Y-82931000D01* -X118980857Y-81842428D01* -X118980857Y-83366428D01* -D13* -X82930999Y-81661000D02* -X82785857Y-81588428D01* -X82568142Y-81588428D01* -X82350428Y-81661000D01* -X82205285Y-81806142D01* -X82132714Y-81951285D01* -X82060142Y-82241571D01* -X82060142Y-82459285D01* -X82132714Y-82749571D01* -X82205285Y-82894714D01* -X82350428Y-83039857D01* -X82568142Y-83112428D01* -X82713285Y-83112428D01* -X82930999Y-83039857D01* -X83003571Y-82967285D01* -X83003571Y-82459285D01* -X82713285Y-82459285D01* -X84309857Y-83112428D02* -X84309857Y-82314142D01* -X84237285Y-82169000D01* -X84092142Y-82096428D01* -X83801857Y-82096428D01* -X83656714Y-82169000D01* -X84309857Y-83039857D02* -X84164714Y-83112428D01* -X83801857Y-83112428D01* -X83656714Y-83039857D01* -X83584142Y-82894714D01* -X83584142Y-82749571D01* -X83656714Y-82604428D01* -X83801857Y-82531857D01* -X84164714Y-82531857D01* -X84309857Y-82459285D01* -X85035571Y-83112428D02* -X85035571Y-82096428D01* -X85035571Y-82386714D02* -X85108142Y-82241571D01* -X85180714Y-82169000D01* -X85325857Y-82096428D01* -X85470999Y-82096428D01* -X85978999Y-83112428D02* -X85978999Y-82096428D01* -X85978999Y-82386714D02* -X86051571Y-82241571D01* -X86124142Y-82169000D01* -X86269285Y-82096428D01* -X86414428Y-82096428D01* -X87502999Y-83039857D02* -X87357857Y-83112428D01* -X87067571Y-83112428D01* -X86922428Y-83039857D01* -X86849857Y-82894714D01* -X86849857Y-82314142D01* -X86922428Y-82169000D01* -X87067571Y-82096428D01* -X87357857Y-82096428D01* -X87502999Y-82169000D01* -X87575571Y-82314142D01* -X87575571Y-82459285D01* -X86849857Y-82604428D01* -X88010999Y-82096428D02* -X88591571Y-82096428D01* -X88228714Y-81588428D02* -X88228714Y-82894714D01* -X88301285Y-83039857D01* -X88446428Y-83112428D01* -X88591571Y-83112428D01* -X88881857Y-82096428D02* -X89462428Y-82096428D01* -X89099571Y-81588428D02* -X89099571Y-82894714D01* -X89172142Y-83039857D01* -X89317285Y-83112428D01* -X89462428Y-83112428D01* -X90042999Y-81588428D02* -X90042999Y-81661000D01* -X89970428Y-81806142D01* -X89897857Y-81878714D01* -X90623571Y-83039857D02* -X90768714Y-83112428D01* -X91058999Y-83112428D01* -X91204142Y-83039857D01* -X91276714Y-82894714D01* -X91276714Y-82822142D01* -X91204142Y-82677000D01* -X91058999Y-82604428D01* -X90841285Y-82604428D01* -X90696142Y-82531857D01* -X90623571Y-82386714D01* -X90623571Y-82314142D01* -X90696142Y-82169000D01* -X90841285Y-82096428D01* -X91058999Y-82096428D01* -X91204142Y-82169000D01* -X92945857Y-81588428D02* -X93308714Y-83112428D01* -X93598999Y-82023857D01* -X93889285Y-83112428D01* -X94252142Y-81588428D01* -X95050428Y-83112428D02* -X94905285Y-83039857D01* -X94832714Y-82967285D01* -X94760142Y-82822142D01* -X94760142Y-82386714D01* -X94832714Y-82241571D01* -X94905285Y-82169000D01* -X95050428Y-82096428D01* -X95268142Y-82096428D01* -X95413285Y-82169000D01* -X95485857Y-82241571D01* -X95558428Y-82386714D01* -X95558428Y-82822142D01* -X95485857Y-82967285D01* -X95413285Y-83039857D01* -X95268142Y-83112428D01* -X95050428Y-83112428D01* -X96211571Y-83112428D02* -X96211571Y-82096428D01* -X96211571Y-82386714D02* -X96284142Y-82241571D01* -X96356714Y-82169000D01* -X96501857Y-82096428D01* -X96646999Y-82096428D01* -X97154999Y-83112428D02* -X97154999Y-81588428D01* -X97300142Y-82531857D02* -X97735571Y-83112428D01* -X97735571Y-82096428D02* -X97154999Y-82677000D01* -X98316142Y-83039857D02* -X98461285Y-83112428D01* -X98751571Y-83112428D01* -X98896714Y-83039857D01* -X98969285Y-82894714D01* -X98969285Y-82822142D01* -X98896714Y-82677000D01* -X98751571Y-82604428D01* -X98533857Y-82604428D01* -X98388714Y-82531857D01* -X98316142Y-82386714D01* -X98316142Y-82314142D01* -X98388714Y-82169000D01* -X98533857Y-82096428D01* -X98751571Y-82096428D01* -X98896714Y-82169000D01* -X99622428Y-83112428D02* -X99622428Y-81588428D01* -X100275571Y-83112428D02* -X100275571Y-82314142D01* -X100202999Y-82169000D01* -X100057857Y-82096428D01* -X99840142Y-82096428D01* -X99694999Y-82169000D01* -X99622428Y-82241571D01* -X101218999Y-83112428D02* -X101073857Y-83039857D01* -X101001285Y-82967285D01* -X100928714Y-82822142D01* -X100928714Y-82386714D01* -X101001285Y-82241571D01* -X101073857Y-82169000D01* -X101218999Y-82096428D01* -X101436714Y-82096428D01* -X101581857Y-82169000D01* -X101654428Y-82241571D01* -X101726999Y-82386714D01* -X101726999Y-82822142D01* -X101654428Y-82967285D01* -X101581857Y-83039857D01* -X101436714Y-83112428D01* -X101218999Y-83112428D01* -X102380142Y-82096428D02* -X102380142Y-83620428D01* -X102380142Y-82169000D02* -X102525285Y-82096428D01* -X102815571Y-82096428D01* -X102960714Y-82169000D01* -X103033285Y-82241571D01* -X103105857Y-82386714D01* -X103105857Y-82822142D01* -X103033285Y-82967285D01* -X102960714Y-83039857D01* -X102815571Y-83112428D01* -X102525285Y-83112428D01* -X102380142Y-83039857D01* -D12* -X88411571Y-84467000D02* -X88268714Y-84395571D01* -X88054428Y-84395571D01* -X87840142Y-84467000D01* -X87697285Y-84609857D01* -X87625857Y-84752714D01* -X87554428Y-85038428D01* -X87554428Y-85252714D01* -X87625857Y-85538428D01* -X87697285Y-85681285D01* -X87840142Y-85824142D01* -X88054428Y-85895571D01* -X88197285Y-85895571D01* -X88411571Y-85824142D01* -X88483000Y-85752714D01* -X88483000Y-85252714D01* -X88197285Y-85252714D01* -X88983000Y-84395571D02* -X89340142Y-85895571D01* -X89625857Y-84824142D01* -X89911571Y-85895571D01* -X90268714Y-84395571D01* -D14* -X90637221Y-110590000D02* -X90962779Y-110590000D01* -X90637221Y-111610000D02* -X90962779Y-111610000D01* -X136458000Y-93125000D02* -X137893000Y-93125000D01* -X136458000Y-98645000D02* -X137893000Y-98645000D01* -X133632000Y-93125000D02* -X135067000Y-93125000D01* -X133632000Y-98645000D02* -X133632000Y-93125000D01* -X133632000Y-98645000D02* -X134448000Y-98645000D01* -X134448000Y-100331000D02* -X134448000Y-98645000D01* -X137893000Y-98645000D02* -X137893000Y-93125000D01* -X81460000Y-108662779D02* -X81460000Y-108337221D01* -X80440000Y-108662779D02* -X80440000Y-108337221D01* -D15* -X81671000Y-97961000D02* -X81671000Y-96211000D01* -X76366000Y-97961000D02* -X76366000Y-103461000D01* -X81876000Y-97961000D02* -X81876000Y-103461000D01* -X76366000Y-97961000D02* -X76666000Y-97961000D01* -X76366000Y-103461000D02* -X76666000Y-103461000D01* -X81876000Y-103461000D02* -X81576000Y-103461000D01* -X81876000Y-97961000D02* -X81671000Y-97961000D01* -D14* -X68850000Y-95270000D02* -X69350000Y-95270000D01* -X68850000Y-97630000D02* -X69350000Y-97630000D01* -X70387221Y-111160000D02* -X70712779Y-111160000D01* -X70387221Y-110140000D02* -X70712779Y-110140000D01* -X70712779Y-112610000D02* -X70387221Y-112610000D01* -X70712779Y-111590000D02* -X70387221Y-111590000D01* -D15* -X84475000Y-124225000D02* -X84475000Y-119775000D01* -X77575000Y-125750000D02* -X77575000Y-119775000D01* -D14* -X86940000Y-94990000D02* -X85650000Y-94990000D01* -X86940000Y-94290000D02* -X86940000Y-94990000D01* -X87640000Y-94290000D02* -X86940000Y-94290000D01* -X101160000Y-94290000D02* -X101160000Y-94990000D01* -X100460000Y-94290000D02* -X101160000Y-94290000D01* -X86940000Y-108510000D02* -X86940000Y-107810000D01* -X87640000Y-108510000D02* -X86940000Y-108510000D01* -X101160000Y-108510000D02* -X101160000Y-107810000D01* -X100460000Y-108510000D02* -X101160000Y-108510000D01* -X115962779Y-124710000D02* -X115637221Y-124710000D01* -X115962779Y-123690000D02* -X115637221Y-123690000D01* -X69510000Y-100487221D02* -X69510000Y-100812779D01* -X68490000Y-100487221D02* -X68490000Y-100812779D01* -X104637221Y-112760000D02* -X104962779Y-112760000D01* -X104637221Y-111740000D02* -X104962779Y-111740000D01* -X107040000Y-98120000D02* -X107040000Y-100150000D01* -X109360000Y-99530000D02* -X109360000Y-98120000D01* -X123950000Y-92150000D02* -X123950000Y-91750000D01* -X113350000Y-92150000D02* -X113350000Y-91750000D01* -X113350000Y-114350000D02* -X113350000Y-113950000D01* -X123950000Y-91750000D02* -X113350000Y-91750000D01* -X123950000Y-114350000D02* -X113350000Y-114350000D01* -X123950000Y-113950000D02* -X123950000Y-114350000D01* -X125150000Y-113950000D02* -X123950000Y-113950000D01* -D16* -X131950000Y-124606400D02* -X131950000Y-123793600D01* -X133550000Y-124606400D02* -X133550000Y-123793600D01* -D14* -X60706000Y-120523000D02* -X60706000Y-119888000D01* -X61341000Y-120523000D02* -X60706000Y-120523000D01* -X123812779Y-114840000D02* -X123487221Y-114840000D01* -X123812779Y-115860000D02* -X123487221Y-115860000D01* -D15* -X112075000Y-124225000D02* -X112075000Y-119775000D01* -X105175000Y-125750000D02* -X105175000Y-119775000D01* -D16* -X104950000Y-101650000D02* -X104950000Y-104250000D01* -X104950000Y-104250000D02* -X108200000Y-104250000D01* -D14* -X106460000Y-98587221D02* -X106460000Y-98912779D01* -X105440000Y-98587221D02* -X105440000Y-98912779D01* -X110340000Y-108862779D02* -X110340000Y-108537221D01* -X111360000Y-108862779D02* -X111360000Y-108537221D01* -X113812779Y-115860000D02* -X113487221Y-115860000D01* -X113812779Y-114840000D02* -X113487221Y-114840000D01* -X125940000Y-93237221D02* -X125940000Y-93562779D01* -X126960000Y-93237221D02* -X126960000Y-93562779D01* -X110290000Y-104212779D02* -X110290000Y-103887221D01* -X111310000Y-104212779D02* -X111310000Y-103887221D01* -X126960000Y-107637221D02* -X126960000Y-107962779D01* -X125940000Y-107637221D02* -X125940000Y-107962779D01* -X126960000Y-112437221D02* -X126960000Y-112762779D01* -X125940000Y-112437221D02* -X125940000Y-112762779D01* -X126960000Y-103637221D02* -X126960000Y-103962779D01* -X125940000Y-103637221D02* -X125940000Y-103962779D01* -D16* -X115837600Y-129070000D02* -X116650400Y-129070000D01* -X115837600Y-127470000D02* -X116650400Y-127470000D01* -X120382400Y-127470000D02* -X119569600Y-127470000D01* -X120382400Y-129070000D02* -X119569600Y-129070000D01* -X75756400Y-129070000D02* -X74943600Y-129070000D01* -X75756400Y-127470000D02* -X74943600Y-127470000D01* -D14* -X104260000Y-104137221D02* -X104260000Y-104462779D01* -X103240000Y-104137221D02* -X103240000Y-104462779D01* -X97637221Y-111610000D02* -X97962779Y-111610000D01* -X97637221Y-110590000D02* -X97962779Y-110590000D01* -X83840000Y-101062779D02* -X83840000Y-100737221D01* -X84860000Y-101062779D02* -X84860000Y-100737221D01* -X97312779Y-92210000D02* -X96987221Y-92210000D01* -X97312779Y-91190000D02* -X96987221Y-91190000D01* -X90312779Y-91190000D02* -X89987221Y-91190000D01* -X90312779Y-92210000D02* -X89987221Y-92210000D01* -X84860000Y-98162779D02* -X84860000Y-97837221D01* -X83840000Y-98162779D02* -X83840000Y-97837221D01* -X85290000Y-119637221D02* -X85290000Y-119962779D01* -X86310000Y-119637221D02* -X86310000Y-119962779D01* -X103690000Y-119637221D02* -X103690000Y-119962779D01* -X104710000Y-119637221D02* -X104710000Y-119962779D01* -X95510000Y-119637221D02* -X95510000Y-119962779D01* -X94490000Y-119637221D02* -X94490000Y-119962779D01* -D16* -X136716400Y-129070000D02* -X135903600Y-129070000D01* -X136716400Y-127470000D02* -X135903600Y-127470000D01* -D15* -X95975000Y-125750000D02* -X95975000Y-119775000D01* -X102875000Y-124225000D02* -X102875000Y-119775000D01* -D14* -X104260000Y-101062779D02* -X104260000Y-100737221D01* -X103240000Y-101062779D02* -X103240000Y-100737221D01* -D15* -X86775000Y-125750000D02* -X86775000Y-119775000D01* -X93675000Y-124225000D02* -X93675000Y-119775000D01* -D14* -X137010000Y-125780000D02* -X137010000Y-124850000D01* -X137010000Y-122620000D02* -X137010000Y-123550000D01* -X137010000Y-122620000D02* -X134850000Y-122620000D01* -X137010000Y-125780000D02* -X135550000Y-125780000D01* -D16* -X140900000Y-124606400D02* -X140900000Y-123793600D01* -X139300000Y-124606400D02* -X139300000Y-123793600D01* -D14* -X77110000Y-119637221D02* -X77110000Y-119962779D01* -X76090000Y-119637221D02* -X76090000Y-119962779D01* -X109380000Y-94900000D02* -X109380000Y-95400000D01* -X107020000Y-94900000D02* -X107020000Y-95400000D01* -X108700000Y-105070000D02* -X108200000Y-105070000D01* -X108700000Y-107430000D02* -X108200000Y-107430000D01* -X108700000Y-111830000D02* -X108200000Y-111830000D01* -X108700000Y-109470000D02* -X108200000Y-109470000D01* -X82290000Y-103713779D02* -X82290000Y-103388221D01* -X83310000Y-103713779D02* -X83310000Y-103388221D01* -X116810000Y-122580000D02* -X116810000Y-120550000D01* -X114490000Y-121170000D02* -X114490000Y-122580000D01* -X117290000Y-122262779D02* -X117290000Y-121937221D01* -X118310000Y-122262779D02* -X118310000Y-121937221D01* -X113812779Y-90240000D02* -X113487221Y-90240000D01* -X113812779Y-91260000D02* -X113487221Y-91260000D01* -X123812779Y-91260000D02* -X123487221Y-91260000D01* -X123812779Y-90240000D02* -X123487221Y-90240000D01* -D16* -X129550000Y-124606400D02* -X129550000Y-123793600D01* -X131150000Y-124606400D02* -X131150000Y-123793600D01* -D10* -X134679266Y-96213990D02* -X134795380Y-96252695D01* -X134988904Y-96252695D01* -X135066314Y-96213990D01* -X135105019Y-96175285D01* -X135143723Y-96097876D01* -X135143723Y-96020466D01* -X135105019Y-95943057D01* -X135066314Y-95904352D01* -X134988904Y-95865647D01* -X134834085Y-95826942D01* -X134756676Y-95788238D01* -X134717971Y-95749533D01* -X134679266Y-95672123D01* -X134679266Y-95594714D01* -X134717971Y-95517304D01* -X134756676Y-95478600D01* -X134834085Y-95439895D01* -X135027609Y-95439895D01* -X135143723Y-95478600D01* -X135414657Y-95439895D02* -X135608180Y-96252695D01* -X135763000Y-95672123D01* -X135917819Y-96252695D01* -X136111342Y-95439895D01* -X136846733Y-96252695D02* -X136382276Y-96252695D01* -X136614504Y-96252695D02* -X136614504Y-95439895D01* -X136537095Y-95556009D01* -X136459685Y-95633419D01* -X136382276Y-95672123D01* -X78675895Y-101330276D02* -X79333876Y-101330276D01* -X79411285Y-101291571D01* -X79449990Y-101252866D01* -X79488695Y-101175457D01* -X79488695Y-101020638D01* -X79449990Y-100943228D01* -X79411285Y-100904523D01* -X79333876Y-100865819D01* -X78675895Y-100865819D01* -X78675895Y-100556180D02* -X78675895Y-100053019D01* -X78985533Y-100323952D01* -X78985533Y-100207838D01* -X79024238Y-100130428D01* -X79062942Y-100091723D01* -X79140352Y-100053019D01* -X79333876Y-100053019D01* -X79411285Y-100091723D01* -X79449990Y-100130428D01* -X79488695Y-100207838D01* -X79488695Y-100440066D01* -X79449990Y-100517476D01* -X79411285Y-100556180D01* -X80405723Y-121554895D02* -X80405723Y-122212876D01* -X80444428Y-122290285D01* -X80483133Y-122328990D01* -X80560542Y-122367695D01* -X80715361Y-122367695D01* -X80792771Y-122328990D01* -X80831476Y-122290285D01* -X80870180Y-122212876D01* -X80870180Y-121554895D01* -X81605571Y-121554895D02* -X81450752Y-121554895D01* -X81373342Y-121593600D01* -X81334638Y-121632304D01* -X81257228Y-121748419D01* -X81218523Y-121903238D01* -X81218523Y-122212876D01* -X81257228Y-122290285D01* -X81295933Y-122328990D01* -X81373342Y-122367695D01* -X81528161Y-122367695D01* -X81605571Y-122328990D01* -X81644276Y-122290285D01* -X81682980Y-122212876D01* -X81682980Y-122019352D01* -X81644276Y-121941942D01* -X81605571Y-121903238D01* -X81528161Y-121864533D01* -X81373342Y-121864533D01* -X81295933Y-121903238D01* -X81257228Y-121941942D01* -X81218523Y-122019352D01* -X93430723Y-100954895D02* -X93430723Y-101612876D01* -X93469428Y-101690285D01* -X93508133Y-101728990D01* -X93585542Y-101767695D01* -X93740361Y-101767695D01* -X93817771Y-101728990D01* -X93856476Y-101690285D01* -X93895180Y-101612876D01* -X93895180Y-100954895D01* -X94707980Y-101767695D02* -X94243523Y-101767695D01* -X94475752Y-101767695D02* -X94475752Y-100954895D01* -X94398342Y-101071009D01* -X94320933Y-101148419D01* -X94243523Y-101187123D01* -X118030723Y-102604895D02* -X118030723Y-103262876D01* -X118069428Y-103340285D01* -X118108133Y-103378990D01* -X118185542Y-103417695D01* -X118340361Y-103417695D01* -X118417771Y-103378990D01* -X118456476Y-103340285D01* -X118495180Y-103262876D01* -X118495180Y-102604895D01* -X118843523Y-102682304D02* -X118882228Y-102643600D01* -X118959638Y-102604895D01* -X119153161Y-102604895D01* -X119230571Y-102643600D01* -X119269276Y-102682304D01* -X119307980Y-102759714D01* -X119307980Y-102837123D01* -X119269276Y-102953238D01* -X118804819Y-103417695D01* -X119307980Y-103417695D01* -X108005723Y-121554895D02* -X108005723Y-122212876D01* -X108044428Y-122290285D01* -X108083133Y-122328990D01* -X108160542Y-122367695D01* -X108315361Y-122367695D01* -X108392771Y-122328990D01* -X108431476Y-122290285D01* -X108470180Y-122212876D01* -X108470180Y-121554895D01* -X109244276Y-121554895D02* -X108857228Y-121554895D01* -X108818523Y-121941942D01* -X108857228Y-121903238D01* -X108934638Y-121864533D01* -X109128161Y-121864533D01* -X109205571Y-121903238D01* -X109244276Y-121941942D01* -X109282980Y-122019352D01* -X109282980Y-122212876D01* -X109244276Y-122290285D01* -X109205571Y-122328990D01* -X109128161Y-122367695D01* -X108934638Y-122367695D01* -X108857228Y-122328990D01* -X108818523Y-122290285D01* -X98805723Y-121554895D02* -X98805723Y-122212876D01* -X98844428Y-122290285D01* -X98883133Y-122328990D01* -X98960542Y-122367695D01* -X99115361Y-122367695D01* -X99192771Y-122328990D01* -X99231476Y-122290285D01* -X99270180Y-122212876D01* -X99270180Y-121554895D01* -X99695933Y-122367695D02* -X99850752Y-122367695D01* -X99928161Y-122328990D01* -X99966866Y-122290285D01* -X100044276Y-122174171D01* -X100082980Y-122019352D01* -X100082980Y-121709714D01* -X100044276Y-121632304D01* -X100005571Y-121593600D01* -X99928161Y-121554895D01* -X99773342Y-121554895D01* -X99695933Y-121593600D01* -X99657228Y-121632304D01* -X99618523Y-121709714D01* -X99618523Y-121903238D01* -X99657228Y-121980647D01* -X99695933Y-122019352D01* -X99773342Y-122058057D01* -X99928161Y-122058057D01* -X100005571Y-122019352D01* -X100044276Y-121980647D01* -X100082980Y-121903238D01* -X89605723Y-121554895D02* -X89605723Y-122212876D01* -X89644428Y-122290285D01* -X89683133Y-122328990D01* -X89760542Y-122367695D01* -X89915361Y-122367695D01* -X89992771Y-122328990D01* -X90031476Y-122290285D01* -X90070180Y-122212876D01* -X90070180Y-121554895D01* -X90805571Y-121825828D02* -X90805571Y-122367695D01* -X90612047Y-121516190D02* -X90418523Y-122096761D01* -X90921685Y-122096761D01* -%LPC*% -D17* -G36* -X139700000Y-139446000D02* -G01* -X139192000Y-139954000D01* -X74168000Y-139954000D01* -X73660000Y-139446000D01* -X73660000Y-132080000D01* -X139700000Y-132080000D01* -X139700000Y-139446000D01* -G37* -G36* -X90310779Y-110576144D02* -G01* -X90333834Y-110579563D01* -X90356443Y-110585227D01* -X90378387Y-110593079D01* -X90399457Y-110603044D01* -X90419448Y-110615026D01* -X90438168Y-110628910D01* -X90455438Y-110644562D01* -X90471090Y-110661832D01* -X90484974Y-110680552D01* -X90496956Y-110700543D01* -X90506921Y-110721613D01* -X90514773Y-110743557D01* -X90520437Y-110766166D01* -X90523856Y-110789221D01* -X90525000Y-110812500D01* -X90525000Y-111387500D01* -X90523856Y-111410779D01* -X90520437Y-111433834D01* -X90514773Y-111456443D01* -X90506921Y-111478387D01* -X90496956Y-111499457D01* -X90484974Y-111519448D01* -X90471090Y-111538168D01* -X90455438Y-111555438D01* -X90438168Y-111571090D01* -X90419448Y-111584974D01* -X90399457Y-111596956D01* -X90378387Y-111606921D01* -X90356443Y-111614773D01* -X90333834Y-111620437D01* -X90310779Y-111623856D01* -X90287500Y-111625000D01* -X89812500Y-111625000D01* -X89789221Y-111623856D01* -X89766166Y-111620437D01* -X89743557Y-111614773D01* -X89721613Y-111606921D01* -X89700543Y-111596956D01* -X89680552Y-111584974D01* -X89661832Y-111571090D01* -X89644562Y-111555438D01* -X89628910Y-111538168D01* -X89615026Y-111519448D01* -X89603044Y-111499457D01* -X89593079Y-111478387D01* -X89585227Y-111456443D01* -X89579563Y-111433834D01* -X89576144Y-111410779D01* -X89575000Y-111387500D01* -X89575000Y-110812500D01* -X89576144Y-110789221D01* -X89579563Y-110766166D01* -X89585227Y-110743557D01* -X89593079Y-110721613D01* -X89603044Y-110700543D01* -X89615026Y-110680552D01* -X89628910Y-110661832D01* -X89644562Y-110644562D01* -X89661832Y-110628910D01* -X89680552Y-110615026D01* -X89700543Y-110603044D01* -X89721613Y-110593079D01* -X89743557Y-110585227D01* -X89766166Y-110579563D01* -X89789221Y-110576144D01* -X89812500Y-110575000D01* -X90287500Y-110575000D01* -X90310779Y-110576144D01* -G37* -G36* -X91810779Y-110576144D02* -G01* -X91833834Y-110579563D01* -X91856443Y-110585227D01* -X91878387Y-110593079D01* -X91899457Y-110603044D01* -X91919448Y-110615026D01* -X91938168Y-110628910D01* -X91955438Y-110644562D01* -X91971090Y-110661832D01* -X91984974Y-110680552D01* -X91996956Y-110700543D01* -X92006921Y-110721613D01* -X92014773Y-110743557D01* -X92020437Y-110766166D01* -X92023856Y-110789221D01* -X92025000Y-110812500D01* -X92025000Y-111387500D01* -X92023856Y-111410779D01* -X92020437Y-111433834D01* -X92014773Y-111456443D01* -X92006921Y-111478387D01* -X91996956Y-111499457D01* -X91984974Y-111519448D01* -X91971090Y-111538168D01* -X91955438Y-111555438D01* -X91938168Y-111571090D01* -X91919448Y-111584974D01* -X91899457Y-111596956D01* -X91878387Y-111606921D01* -X91856443Y-111614773D01* -X91833834Y-111620437D01* -X91810779Y-111623856D01* -X91787500Y-111625000D01* -X91312500Y-111625000D01* -X91289221Y-111623856D01* -X91266166Y-111620437D01* -X91243557Y-111614773D01* -X91221613Y-111606921D01* -X91200543Y-111596956D01* -X91180552Y-111584974D01* -X91161832Y-111571090D01* -X91144562Y-111555438D01* -X91128910Y-111538168D01* -X91115026Y-111519448D01* -X91103044Y-111499457D01* -X91093079Y-111478387D01* -X91085227Y-111456443D01* -X91079563Y-111433834D01* -X91076144Y-111410779D01* -X91075000Y-111387500D01* -X91075000Y-110812500D01* -X91076144Y-110789221D01* -X91079563Y-110766166D01* -X91085227Y-110743557D01* -X91093079Y-110721613D01* -X91103044Y-110700543D01* -X91115026Y-110680552D01* -X91128910Y-110661832D01* -X91144562Y-110644562D01* -X91161832Y-110628910D01* -X91180552Y-110615026D01* -X91200543Y-110603044D01* -X91221613Y-110593079D01* -X91243557Y-110585227D01* -X91266166Y-110579563D01* -X91289221Y-110576144D01* -X91312500Y-110575000D01* -X91787500Y-110575000D01* -X91810779Y-110576144D01* -G37* -G36* -X135364074Y-91391035D02* -G01* -X135384944Y-91394131D01* -X135405411Y-91399258D01* -X135425277Y-91406366D01* -X135444350Y-91415387D01* -X135462448Y-91426234D01* -X135479395Y-91438803D01* -X135495028Y-91452972D01* -X135509197Y-91468605D01* -X135521766Y-91485552D01* -X135532613Y-91503650D01* -X135541634Y-91522723D01* -X135548742Y-91542589D01* -X135553869Y-91563056D01* -X135556965Y-91583926D01* -X135558000Y-91605000D01* -X135558000Y-92545000D01* -X135556965Y-92566074D01* -X135553869Y-92586944D01* -X135548742Y-92607411D01* -X135541634Y-92627277D01* -X135532613Y-92646350D01* -X135521766Y-92664448D01* -X135509197Y-92681395D01* -X135495028Y-92697028D01* -X135479395Y-92711197D01* -X135462448Y-92723766D01* -X135444350Y-92734613D01* -X135425277Y-92743634D01* -X135405411Y-92750742D01* -X135384944Y-92755869D01* -X135364074Y-92758965D01* -X135343000Y-92760000D01* -X134913000Y-92760000D01* -X134891926Y-92758965D01* -X134871056Y-92755869D01* -X134850589Y-92750742D01* -X134830723Y-92743634D01* -X134811650Y-92734613D01* -X134793552Y-92723766D01* -X134776605Y-92711197D01* -X134760972Y-92697028D01* -X134746803Y-92681395D01* -X134734234Y-92664448D01* -X134723387Y-92646350D01* -X134714366Y-92627277D01* -X134707258Y-92607411D01* -X134702131Y-92586944D01* -X134699035Y-92566074D01* -X134698000Y-92545000D01* -X134698000Y-91605000D01* -X134699035Y-91583926D01* -X134702131Y-91563056D01* -X134707258Y-91542589D01* -X134714366Y-91522723D01* -X134723387Y-91503650D01* -X134734234Y-91485552D01* -X134746803Y-91468605D01* -X134760972Y-91452972D01* -X134776605Y-91438803D01* -X134793552Y-91426234D01* -X134811650Y-91415387D01* -X134830723Y-91406366D01* -X134850589Y-91399258D01* -X134871056Y-91394131D01* -X134891926Y-91391035D01* -X134913000Y-91390000D01* -X135343000Y-91390000D01* -X135364074Y-91391035D01* -G37* -G36* -X136634074Y-91391035D02* -G01* -X136654944Y-91394131D01* -X136675411Y-91399258D01* -X136695277Y-91406366D01* -X136714350Y-91415387D01* -X136732448Y-91426234D01* -X136749395Y-91438803D01* -X136765028Y-91452972D01* -X136779197Y-91468605D01* -X136791766Y-91485552D01* -X136802613Y-91503650D01* -X136811634Y-91522723D01* -X136818742Y-91542589D01* -X136823869Y-91563056D01* -X136826965Y-91583926D01* -X136828000Y-91605000D01* -X136828000Y-92545000D01* -X136826965Y-92566074D01* -X136823869Y-92586944D01* -X136818742Y-92607411D01* -X136811634Y-92627277D01* -X136802613Y-92646350D01* -X136791766Y-92664448D01* -X136779197Y-92681395D01* -X136765028Y-92697028D01* -X136749395Y-92711197D01* -X136732448Y-92723766D01* -X136714350Y-92734613D01* -X136695277Y-92743634D01* -X136675411Y-92750742D01* -X136654944Y-92755869D01* -X136634074Y-92758965D01* -X136613000Y-92760000D01* -X136183000Y-92760000D01* -X136161926Y-92758965D01* -X136141056Y-92755869D01* -X136120589Y-92750742D01* -X136100723Y-92743634D01* -X136081650Y-92734613D01* -X136063552Y-92723766D01* -X136046605Y-92711197D01* -X136030972Y-92697028D01* -X136016803Y-92681395D01* -X136004234Y-92664448D01* -X135993387Y-92646350D01* -X135984366Y-92627277D01* -X135977258Y-92607411D01* -X135972131Y-92586944D01* -X135969035Y-92566074D01* -X135968000Y-92545000D01* -X135968000Y-91605000D01* -X135969035Y-91583926D01* -X135972131Y-91563056D01* -X135977258Y-91542589D01* -X135984366Y-91522723D01* -X135993387Y-91503650D01* -X136004234Y-91485552D01* -X136016803Y-91468605D01* -X136030972Y-91452972D01* -X136046605Y-91438803D01* -X136063552Y-91426234D01* -X136081650Y-91415387D01* -X136100723Y-91406366D01* -X136120589Y-91399258D01* -X136141056Y-91394131D01* -X136161926Y-91391035D01* -X136183000Y-91390000D01* -X136613000Y-91390000D01* -X136634074Y-91391035D01* -G37* -G36* -X136634074Y-99011035D02* -G01* -X136654944Y-99014131D01* -X136675411Y-99019258D01* -X136695277Y-99026366D01* -X136714350Y-99035387D01* -X136732448Y-99046234D01* -X136749395Y-99058803D01* -X136765028Y-99072972D01* -X136779197Y-99088605D01* -X136791766Y-99105552D01* -X136802613Y-99123650D01* -X136811634Y-99142723D01* -X136818742Y-99162589D01* -X136823869Y-99183056D01* -X136826965Y-99203926D01* -X136828000Y-99225000D01* -X136828000Y-100165000D01* -X136826965Y-100186074D01* -X136823869Y-100206944D01* -X136818742Y-100227411D01* -X136811634Y-100247277D01* -X136802613Y-100266350D01* -X136791766Y-100284448D01* -X136779197Y-100301395D01* -X136765028Y-100317028D01* -X136749395Y-100331197D01* -X136732448Y-100343766D01* -X136714350Y-100354613D01* -X136695277Y-100363634D01* -X136675411Y-100370742D01* -X136654944Y-100375869D01* -X136634074Y-100378965D01* -X136613000Y-100380000D01* -X136183000Y-100380000D01* -X136161926Y-100378965D01* -X136141056Y-100375869D01* -X136120589Y-100370742D01* -X136100723Y-100363634D01* -X136081650Y-100354613D01* -X136063552Y-100343766D01* -X136046605Y-100331197D01* -X136030972Y-100317028D01* -X136016803Y-100301395D01* -X136004234Y-100284448D01* -X135993387Y-100266350D01* -X135984366Y-100247277D01* -X135977258Y-100227411D01* -X135972131Y-100206944D01* -X135969035Y-100186074D01* -X135968000Y-100165000D01* -X135968000Y-99225000D01* -X135969035Y-99203926D01* -X135972131Y-99183056D01* -X135977258Y-99162589D01* -X135984366Y-99142723D01* -X135993387Y-99123650D01* -X136004234Y-99105552D01* -X136016803Y-99088605D01* -X136030972Y-99072972D01* -X136046605Y-99058803D01* -X136063552Y-99046234D01* -X136081650Y-99035387D01* -X136100723Y-99026366D01* -X136120589Y-99019258D01* -X136141056Y-99014131D01* -X136161926Y-99011035D01* -X136183000Y-99010000D01* -X136613000Y-99010000D01* -X136634074Y-99011035D01* -G37* -G36* -X135364074Y-99011035D02* -G01* -X135384944Y-99014131D01* -X135405411Y-99019258D01* -X135425277Y-99026366D01* -X135444350Y-99035387D01* -X135462448Y-99046234D01* -X135479395Y-99058803D01* -X135495028Y-99072972D01* -X135509197Y-99088605D01* -X135521766Y-99105552D01* -X135532613Y-99123650D01* -X135541634Y-99142723D01* -X135548742Y-99162589D01* -X135553869Y-99183056D01* -X135556965Y-99203926D01* -X135558000Y-99225000D01* -X135558000Y-100165000D01* -X135556965Y-100186074D01* -X135553869Y-100206944D01* -X135548742Y-100227411D01* -X135541634Y-100247277D01* -X135532613Y-100266350D01* -X135521766Y-100284448D01* -X135509197Y-100301395D01* -X135495028Y-100317028D01* -X135479395Y-100331197D01* -X135462448Y-100343766D01* -X135444350Y-100354613D01* -X135425277Y-100363634D01* -X135405411Y-100370742D01* -X135384944Y-100375869D01* -X135364074Y-100378965D01* -X135343000Y-100380000D01* -X134913000Y-100380000D01* -X134891926Y-100378965D01* -X134871056Y-100375869D01* -X134850589Y-100370742D01* -X134830723Y-100363634D01* -X134811650Y-100354613D01* -X134793552Y-100343766D01* -X134776605Y-100331197D01* -X134760972Y-100317028D01* -X134746803Y-100301395D01* -X134734234Y-100284448D01* -X134723387Y-100266350D01* -X134714366Y-100247277D01* -X134707258Y-100227411D01* -X134702131Y-100206944D01* -X134699035Y-100186074D01* -X134698000Y-100165000D01* -X134698000Y-99225000D01* -X134699035Y-99203926D01* -X134702131Y-99183056D01* -X134707258Y-99162589D01* -X134714366Y-99142723D01* -X134723387Y-99123650D01* -X134734234Y-99105552D01* -X134746803Y-99088605D01* -X134760972Y-99072972D01* -X134776605Y-99058803D01* -X134793552Y-99046234D01* -X134811650Y-99035387D01* -X134830723Y-99026366D01* -X134850589Y-99019258D01* -X134871056Y-99014131D01* -X134891926Y-99011035D01* -X134913000Y-99010000D01* -X135343000Y-99010000D01* -X135364074Y-99011035D01* -G37* -G36* -X81294603Y-107300963D02* -G01* -X81314018Y-107303843D01* -X81333057Y-107308612D01* -X81351537Y-107315224D01* -X81369279Y-107323616D01* -X81386114Y-107333706D01* -X81401879Y-107345398D01* -X81416421Y-107358579D01* -X81429602Y-107373121D01* -X81441294Y-107388886D01* -X81451384Y-107405721D01* -X81459776Y-107423463D01* -X81466388Y-107441943D01* -X81471157Y-107460982D01* -X81474037Y-107480397D01* -X81475000Y-107500000D01* -X81475000Y-107900000D01* -X81474037Y-107919603D01* -X81471157Y-107939018D01* -X81466388Y-107958057D01* -X81459776Y-107976537D01* -X81451384Y-107994279D01* -X81441294Y-108011114D01* -X81429602Y-108026879D01* -X81416421Y-108041421D01* -X81401879Y-108054602D01* -X81386114Y-108066294D01* -X81369279Y-108076384D01* -X81351537Y-108084776D01* -X81333057Y-108091388D01* -X81314018Y-108096157D01* -X81294603Y-108099037D01* -X81275000Y-108100000D01* -X80625000Y-108100000D01* -X80605397Y-108099037D01* -X80585982Y-108096157D01* -X80566943Y-108091388D01* -X80548463Y-108084776D01* -X80530721Y-108076384D01* -X80513886Y-108066294D01* -X80498121Y-108054602D01* -X80483579Y-108041421D01* -X80470398Y-108026879D01* -X80458706Y-108011114D01* -X80448616Y-107994279D01* -X80440224Y-107976537D01* -X80433612Y-107958057D01* -X80428843Y-107939018D01* -X80425963Y-107919603D01* -X80425000Y-107900000D01* -X80425000Y-107500000D01* -X80425963Y-107480397D01* -X80428843Y-107460982D01* -X80433612Y-107441943D01* -X80440224Y-107423463D01* -X80448616Y-107405721D01* -X80458706Y-107388886D01* -X80470398Y-107373121D01* -X80483579Y-107358579D01* -X80498121Y-107345398D01* -X80513886Y-107333706D01* -X80530721Y-107323616D01* -X80548463Y-107315224D01* -X80566943Y-107308612D01* -X80585982Y-107303843D01* -X80605397Y-107300963D01* -X80625000Y-107300000D01* -X81275000Y-107300000D01* -X81294603Y-107300963D01* -G37* -G36* -X81294603Y-108900963D02* -G01* -X81314018Y-108903843D01* -X81333057Y-108908612D01* -X81351537Y-108915224D01* -X81369279Y-108923616D01* -X81386114Y-108933706D01* -X81401879Y-108945398D01* -X81416421Y-108958579D01* -X81429602Y-108973121D01* -X81441294Y-108988886D01* -X81451384Y-109005721D01* -X81459776Y-109023463D01* -X81466388Y-109041943D01* -X81471157Y-109060982D01* -X81474037Y-109080397D01* -X81475000Y-109100000D01* -X81475000Y-109500000D01* -X81474037Y-109519603D01* -X81471157Y-109539018D01* -X81466388Y-109558057D01* -X81459776Y-109576537D01* -X81451384Y-109594279D01* -X81441294Y-109611114D01* -X81429602Y-109626879D01* -X81416421Y-109641421D01* -X81401879Y-109654602D01* -X81386114Y-109666294D01* -X81369279Y-109676384D01* -X81351537Y-109684776D01* -X81333057Y-109691388D01* -X81314018Y-109696157D01* -X81294603Y-109699037D01* -X81275000Y-109700000D01* -X80625000Y-109700000D01* -X80605397Y-109699037D01* -X80585982Y-109696157D01* -X80566943Y-109691388D01* -X80548463Y-109684776D01* -X80530721Y-109676384D01* -X80513886Y-109666294D01* -X80498121Y-109654602D01* -X80483579Y-109641421D01* -X80470398Y-109626879D01* -X80458706Y-109611114D01* -X80448616Y-109594279D01* -X80440224Y-109576537D01* -X80433612Y-109558057D01* -X80428843Y-109539018D01* -X80425963Y-109519603D01* -X80425000Y-109500000D01* -X80425000Y-109100000D01* -X80425963Y-109080397D01* -X80428843Y-109060982D01* -X80433612Y-109041943D01* -X80440224Y-109023463D01* -X80448616Y-109005721D01* -X80458706Y-108988886D01* -X80470398Y-108973121D01* -X80483579Y-108958579D01* -X80498121Y-108945398D01* -X80513886Y-108933706D01* -X80530721Y-108923616D01* -X80548463Y-108915224D01* -X80566943Y-108908612D01* -X80585982Y-108903843D01* -X80605397Y-108900963D01* -X80625000Y-108900000D01* -X81275000Y-108900000D01* -X81294603Y-108900963D01* -G37* -D18* -X64135000Y-108204000D03* -D19* -X61595000Y-108204000D03* -X64135000Y-105664000D03* -X61595000Y-105664000D03* -X64135000Y-103124000D03* -X61595000Y-103124000D03* -X64135000Y-100584000D03* -X61595000Y-100584000D03* -X64135000Y-98044000D03* -X61595000Y-98044000D03* -D20* -X48133000Y-93599000D03* -D17* -G36* -X81245603Y-103461963D02* -G01* -X81265018Y-103464843D01* -X81284057Y-103469612D01* -X81302537Y-103476224D01* -X81320279Y-103484616D01* -X81337114Y-103494706D01* -X81352879Y-103506398D01* -X81367421Y-103519579D01* -X81380602Y-103534121D01* -X81392294Y-103549886D01* -X81402384Y-103566721D01* -X81410776Y-103584463D01* -X81417388Y-103602943D01* -X81422157Y-103621982D01* -X81425037Y-103641397D01* -X81426000Y-103661000D01* -X81426000Y-105061000D01* -X81425037Y-105080603D01* -X81422157Y-105100018D01* -X81417388Y-105119057D01* -X81410776Y-105137537D01* -X81402384Y-105155279D01* -X81392294Y-105172114D01* -X81380602Y-105187879D01* -X81367421Y-105202421D01* -X81352879Y-105215602D01* -X81337114Y-105227294D01* -X81320279Y-105237384D01* -X81302537Y-105245776D01* -X81284057Y-105252388D01* -X81265018Y-105257157D01* -X81245603Y-105260037D01* -X81226000Y-105261000D01* -X80826000Y-105261000D01* -X80806397Y-105260037D01* -X80786982Y-105257157D01* -X80767943Y-105252388D01* -X80749463Y-105245776D01* -X80731721Y-105237384D01* -X80714886Y-105227294D01* -X80699121Y-105215602D01* -X80684579Y-105202421D01* -X80671398Y-105187879D01* -X80659706Y-105172114D01* -X80649616Y-105155279D01* -X80641224Y-105137537D01* -X80634612Y-105119057D01* -X80629843Y-105100018D01* -X80626963Y-105080603D01* -X80626000Y-105061000D01* -X80626000Y-103661000D01* -X80626963Y-103641397D01* -X80629843Y-103621982D01* -X80634612Y-103602943D01* -X80641224Y-103584463D01* -X80649616Y-103566721D01* -X80659706Y-103549886D01* -X80671398Y-103534121D01* -X80684579Y-103519579D01* -X80699121Y-103506398D01* -X80714886Y-103494706D01* -X80731721Y-103484616D01* -X80749463Y-103476224D01* -X80767943Y-103469612D01* -X80786982Y-103464843D01* -X80806397Y-103461963D01* -X80826000Y-103461000D01* -X81226000Y-103461000D01* -X81245603Y-103461963D01* -G37* -G36* -X79975603Y-103461963D02* -G01* -X79995018Y-103464843D01* -X80014057Y-103469612D01* -X80032537Y-103476224D01* -X80050279Y-103484616D01* -X80067114Y-103494706D01* -X80082879Y-103506398D01* -X80097421Y-103519579D01* -X80110602Y-103534121D01* -X80122294Y-103549886D01* -X80132384Y-103566721D01* -X80140776Y-103584463D01* -X80147388Y-103602943D01* -X80152157Y-103621982D01* -X80155037Y-103641397D01* -X80156000Y-103661000D01* -X80156000Y-105061000D01* -X80155037Y-105080603D01* -X80152157Y-105100018D01* -X80147388Y-105119057D01* -X80140776Y-105137537D01* -X80132384Y-105155279D01* -X80122294Y-105172114D01* -X80110602Y-105187879D01* -X80097421Y-105202421D01* -X80082879Y-105215602D01* -X80067114Y-105227294D01* -X80050279Y-105237384D01* -X80032537Y-105245776D01* -X80014057Y-105252388D01* -X79995018Y-105257157D01* -X79975603Y-105260037D01* -X79956000Y-105261000D01* -X79556000Y-105261000D01* -X79536397Y-105260037D01* -X79516982Y-105257157D01* -X79497943Y-105252388D01* -X79479463Y-105245776D01* -X79461721Y-105237384D01* -X79444886Y-105227294D01* -X79429121Y-105215602D01* -X79414579Y-105202421D01* -X79401398Y-105187879D01* -X79389706Y-105172114D01* -X79379616Y-105155279D01* -X79371224Y-105137537D01* -X79364612Y-105119057D01* -X79359843Y-105100018D01* -X79356963Y-105080603D01* -X79356000Y-105061000D01* -X79356000Y-103661000D01* -X79356963Y-103641397D01* -X79359843Y-103621982D01* -X79364612Y-103602943D01* -X79371224Y-103584463D01* -X79379616Y-103566721D01* -X79389706Y-103549886D01* -X79401398Y-103534121D01* -X79414579Y-103519579D01* -X79429121Y-103506398D01* -X79444886Y-103494706D01* -X79461721Y-103484616D01* -X79479463Y-103476224D01* -X79497943Y-103469612D01* -X79516982Y-103464843D01* -X79536397Y-103461963D01* -X79556000Y-103461000D01* -X79956000Y-103461000D01* -X79975603Y-103461963D01* -G37* -G36* -X78705603Y-103461963D02* -G01* -X78725018Y-103464843D01* -X78744057Y-103469612D01* -X78762537Y-103476224D01* -X78780279Y-103484616D01* -X78797114Y-103494706D01* -X78812879Y-103506398D01* -X78827421Y-103519579D01* -X78840602Y-103534121D01* -X78852294Y-103549886D01* -X78862384Y-103566721D01* -X78870776Y-103584463D01* -X78877388Y-103602943D01* -X78882157Y-103621982D01* -X78885037Y-103641397D01* -X78886000Y-103661000D01* -X78886000Y-105061000D01* -X78885037Y-105080603D01* -X78882157Y-105100018D01* -X78877388Y-105119057D01* -X78870776Y-105137537D01* -X78862384Y-105155279D01* -X78852294Y-105172114D01* -X78840602Y-105187879D01* -X78827421Y-105202421D01* -X78812879Y-105215602D01* -X78797114Y-105227294D01* -X78780279Y-105237384D01* -X78762537Y-105245776D01* -X78744057Y-105252388D01* -X78725018Y-105257157D01* -X78705603Y-105260037D01* -X78686000Y-105261000D01* -X78286000Y-105261000D01* -X78266397Y-105260037D01* -X78246982Y-105257157D01* -X78227943Y-105252388D01* -X78209463Y-105245776D01* -X78191721Y-105237384D01* -X78174886Y-105227294D01* -X78159121Y-105215602D01* -X78144579Y-105202421D01* -X78131398Y-105187879D01* -X78119706Y-105172114D01* -X78109616Y-105155279D01* -X78101224Y-105137537D01* -X78094612Y-105119057D01* -X78089843Y-105100018D01* -X78086963Y-105080603D01* -X78086000Y-105061000D01* -X78086000Y-103661000D01* -X78086963Y-103641397D01* -X78089843Y-103621982D01* -X78094612Y-103602943D01* -X78101224Y-103584463D01* -X78109616Y-103566721D01* -X78119706Y-103549886D01* -X78131398Y-103534121D01* -X78144579Y-103519579D01* -X78159121Y-103506398D01* -X78174886Y-103494706D01* -X78191721Y-103484616D01* -X78209463Y-103476224D01* -X78227943Y-103469612D01* -X78246982Y-103464843D01* -X78266397Y-103461963D01* -X78286000Y-103461000D01* -X78686000Y-103461000D01* -X78705603Y-103461963D01* -G37* -G36* -X77435603Y-103461963D02* -G01* -X77455018Y-103464843D01* -X77474057Y-103469612D01* -X77492537Y-103476224D01* -X77510279Y-103484616D01* -X77527114Y-103494706D01* -X77542879Y-103506398D01* -X77557421Y-103519579D01* -X77570602Y-103534121D01* -X77582294Y-103549886D01* -X77592384Y-103566721D01* -X77600776Y-103584463D01* -X77607388Y-103602943D01* -X77612157Y-103621982D01* -X77615037Y-103641397D01* -X77616000Y-103661000D01* -X77616000Y-105061000D01* -X77615037Y-105080603D01* -X77612157Y-105100018D01* -X77607388Y-105119057D01* -X77600776Y-105137537D01* -X77592384Y-105155279D01* -X77582294Y-105172114D01* -X77570602Y-105187879D01* -X77557421Y-105202421D01* -X77542879Y-105215602D01* -X77527114Y-105227294D01* -X77510279Y-105237384D01* -X77492537Y-105245776D01* -X77474057Y-105252388D01* -X77455018Y-105257157D01* -X77435603Y-105260037D01* -X77416000Y-105261000D01* -X77016000Y-105261000D01* -X76996397Y-105260037D01* -X76976982Y-105257157D01* -X76957943Y-105252388D01* -X76939463Y-105245776D01* -X76921721Y-105237384D01* -X76904886Y-105227294D01* -X76889121Y-105215602D01* -X76874579Y-105202421D01* -X76861398Y-105187879D01* -X76849706Y-105172114D01* -X76839616Y-105155279D01* -X76831224Y-105137537D01* -X76824612Y-105119057D01* -X76819843Y-105100018D01* -X76816963Y-105080603D01* -X76816000Y-105061000D01* -X76816000Y-103661000D01* -X76816963Y-103641397D01* -X76819843Y-103621982D01* -X76824612Y-103602943D01* -X76831224Y-103584463D01* -X76839616Y-103566721D01* -X76849706Y-103549886D01* -X76861398Y-103534121D01* -X76874579Y-103519579D01* -X76889121Y-103506398D01* -X76904886Y-103494706D01* -X76921721Y-103484616D01* -X76939463Y-103476224D01* -X76957943Y-103469612D01* -X76976982Y-103464843D01* -X76996397Y-103461963D01* -X77016000Y-103461000D01* -X77416000Y-103461000D01* -X77435603Y-103461963D01* -G37* -G36* -X77435603Y-96161963D02* -G01* -X77455018Y-96164843D01* -X77474057Y-96169612D01* -X77492537Y-96176224D01* -X77510279Y-96184616D01* -X77527114Y-96194706D01* -X77542879Y-96206398D01* -X77557421Y-96219579D01* -X77570602Y-96234121D01* -X77582294Y-96249886D01* -X77592384Y-96266721D01* -X77600776Y-96284463D01* -X77607388Y-96302943D01* -X77612157Y-96321982D01* -X77615037Y-96341397D01* -X77616000Y-96361000D01* -X77616000Y-97761000D01* -X77615037Y-97780603D01* -X77612157Y-97800018D01* -X77607388Y-97819057D01* -X77600776Y-97837537D01* -X77592384Y-97855279D01* -X77582294Y-97872114D01* -X77570602Y-97887879D01* -X77557421Y-97902421D01* -X77542879Y-97915602D01* -X77527114Y-97927294D01* -X77510279Y-97937384D01* -X77492537Y-97945776D01* -X77474057Y-97952388D01* -X77455018Y-97957157D01* -X77435603Y-97960037D01* -X77416000Y-97961000D01* -X77016000Y-97961000D01* -X76996397Y-97960037D01* -X76976982Y-97957157D01* -X76957943Y-97952388D01* -X76939463Y-97945776D01* -X76921721Y-97937384D01* -X76904886Y-97927294D01* -X76889121Y-97915602D01* -X76874579Y-97902421D01* -X76861398Y-97887879D01* -X76849706Y-97872114D01* -X76839616Y-97855279D01* -X76831224Y-97837537D01* -X76824612Y-97819057D01* -X76819843Y-97800018D01* -X76816963Y-97780603D01* -X76816000Y-97761000D01* -X76816000Y-96361000D01* -X76816963Y-96341397D01* -X76819843Y-96321982D01* -X76824612Y-96302943D01* -X76831224Y-96284463D01* -X76839616Y-96266721D01* -X76849706Y-96249886D01* -X76861398Y-96234121D01* -X76874579Y-96219579D01* -X76889121Y-96206398D01* -X76904886Y-96194706D01* -X76921721Y-96184616D01* -X76939463Y-96176224D01* -X76957943Y-96169612D01* -X76976982Y-96164843D01* -X76996397Y-96161963D01* -X77016000Y-96161000D01* -X77416000Y-96161000D01* -X77435603Y-96161963D01* -G37* -G36* -X78705603Y-96161963D02* -G01* -X78725018Y-96164843D01* -X78744057Y-96169612D01* -X78762537Y-96176224D01* -X78780279Y-96184616D01* -X78797114Y-96194706D01* -X78812879Y-96206398D01* -X78827421Y-96219579D01* -X78840602Y-96234121D01* -X78852294Y-96249886D01* -X78862384Y-96266721D01* -X78870776Y-96284463D01* -X78877388Y-96302943D01* -X78882157Y-96321982D01* -X78885037Y-96341397D01* -X78886000Y-96361000D01* -X78886000Y-97761000D01* -X78885037Y-97780603D01* -X78882157Y-97800018D01* -X78877388Y-97819057D01* -X78870776Y-97837537D01* -X78862384Y-97855279D01* -X78852294Y-97872114D01* -X78840602Y-97887879D01* -X78827421Y-97902421D01* -X78812879Y-97915602D01* -X78797114Y-97927294D01* -X78780279Y-97937384D01* -X78762537Y-97945776D01* -X78744057Y-97952388D01* -X78725018Y-97957157D01* -X78705603Y-97960037D01* -X78686000Y-97961000D01* -X78286000Y-97961000D01* -X78266397Y-97960037D01* -X78246982Y-97957157D01* -X78227943Y-97952388D01* -X78209463Y-97945776D01* -X78191721Y-97937384D01* -X78174886Y-97927294D01* -X78159121Y-97915602D01* -X78144579Y-97902421D01* -X78131398Y-97887879D01* -X78119706Y-97872114D01* -X78109616Y-97855279D01* -X78101224Y-97837537D01* -X78094612Y-97819057D01* -X78089843Y-97800018D01* -X78086963Y-97780603D01* -X78086000Y-97761000D01* -X78086000Y-96361000D01* -X78086963Y-96341397D01* -X78089843Y-96321982D01* -X78094612Y-96302943D01* -X78101224Y-96284463D01* -X78109616Y-96266721D01* -X78119706Y-96249886D01* -X78131398Y-96234121D01* -X78144579Y-96219579D01* -X78159121Y-96206398D01* -X78174886Y-96194706D01* -X78191721Y-96184616D01* -X78209463Y-96176224D01* -X78227943Y-96169612D01* -X78246982Y-96164843D01* -X78266397Y-96161963D01* -X78286000Y-96161000D01* -X78686000Y-96161000D01* -X78705603Y-96161963D01* -G37* -G36* -X79975603Y-96161963D02* -G01* -X79995018Y-96164843D01* -X80014057Y-96169612D01* -X80032537Y-96176224D01* -X80050279Y-96184616D01* -X80067114Y-96194706D01* -X80082879Y-96206398D01* -X80097421Y-96219579D01* -X80110602Y-96234121D01* -X80122294Y-96249886D01* -X80132384Y-96266721D01* -X80140776Y-96284463D01* -X80147388Y-96302943D01* -X80152157Y-96321982D01* -X80155037Y-96341397D01* -X80156000Y-96361000D01* -X80156000Y-97761000D01* -X80155037Y-97780603D01* -X80152157Y-97800018D01* -X80147388Y-97819057D01* -X80140776Y-97837537D01* -X80132384Y-97855279D01* -X80122294Y-97872114D01* -X80110602Y-97887879D01* -X80097421Y-97902421D01* -X80082879Y-97915602D01* -X80067114Y-97927294D01* -X80050279Y-97937384D01* -X80032537Y-97945776D01* -X80014057Y-97952388D01* -X79995018Y-97957157D01* -X79975603Y-97960037D01* -X79956000Y-97961000D01* -X79556000Y-97961000D01* -X79536397Y-97960037D01* -X79516982Y-97957157D01* -X79497943Y-97952388D01* -X79479463Y-97945776D01* -X79461721Y-97937384D01* -X79444886Y-97927294D01* -X79429121Y-97915602D01* -X79414579Y-97902421D01* -X79401398Y-97887879D01* -X79389706Y-97872114D01* -X79379616Y-97855279D01* -X79371224Y-97837537D01* -X79364612Y-97819057D01* -X79359843Y-97800018D01* -X79356963Y-97780603D01* -X79356000Y-97761000D01* -X79356000Y-96361000D01* -X79356963Y-96341397D01* -X79359843Y-96321982D01* -X79364612Y-96302943D01* -X79371224Y-96284463D01* -X79379616Y-96266721D01* -X79389706Y-96249886D01* -X79401398Y-96234121D01* -X79414579Y-96219579D01* -X79429121Y-96206398D01* -X79444886Y-96194706D01* -X79461721Y-96184616D01* -X79479463Y-96176224D01* -X79497943Y-96169612D01* -X79516982Y-96164843D01* -X79536397Y-96161963D01* -X79556000Y-96161000D01* -X79956000Y-96161000D01* -X79975603Y-96161963D01* -G37* -G36* -X81245603Y-96161963D02* -G01* -X81265018Y-96164843D01* -X81284057Y-96169612D01* -X81302537Y-96176224D01* -X81320279Y-96184616D01* -X81337114Y-96194706D01* -X81352879Y-96206398D01* -X81367421Y-96219579D01* -X81380602Y-96234121D01* -X81392294Y-96249886D01* -X81402384Y-96266721D01* -X81410776Y-96284463D01* -X81417388Y-96302943D01* -X81422157Y-96321982D01* -X81425037Y-96341397D01* -X81426000Y-96361000D01* -X81426000Y-97761000D01* -X81425037Y-97780603D01* -X81422157Y-97800018D01* -X81417388Y-97819057D01* -X81410776Y-97837537D01* -X81402384Y-97855279D01* -X81392294Y-97872114D01* -X81380602Y-97887879D01* -X81367421Y-97902421D01* -X81352879Y-97915602D01* -X81337114Y-97927294D01* -X81320279Y-97937384D01* -X81302537Y-97945776D01* -X81284057Y-97952388D01* -X81265018Y-97957157D01* -X81245603Y-97960037D01* -X81226000Y-97961000D01* -X80826000Y-97961000D01* -X80806397Y-97960037D01* -X80786982Y-97957157D01* -X80767943Y-97952388D01* -X80749463Y-97945776D01* -X80731721Y-97937384D01* -X80714886Y-97927294D01* -X80699121Y-97915602D01* -X80684579Y-97902421D01* -X80671398Y-97887879D01* -X80659706Y-97872114D01* -X80649616Y-97855279D01* -X80641224Y-97837537D01* -X80634612Y-97819057D01* -X80629843Y-97800018D01* -X80626963Y-97780603D01* -X80626000Y-97761000D01* -X80626000Y-96361000D01* -X80626963Y-96341397D01* -X80629843Y-96321982D01* -X80634612Y-96302943D01* -X80641224Y-96284463D01* -X80649616Y-96266721D01* -X80659706Y-96249886D01* -X80671398Y-96234121D01* -X80684579Y-96219579D01* -X80699121Y-96206398D01* -X80714886Y-96194706D01* -X80731721Y-96184616D01* -X80749463Y-96176224D01* -X80767943Y-96169612D01* -X80786982Y-96164843D01* -X80806397Y-96161963D01* -X80826000Y-96161000D01* -X81226000Y-96161000D01* -X81245603Y-96161963D01* -G37* -G36* -X68847978Y-95426539D02* -G01* -X68858850Y-95428152D01* -X68869512Y-95430823D01* -X68879861Y-95434525D01* -X68889796Y-95439225D01* -X68899224Y-95444875D01* -X68908052Y-95451423D01* -X68916196Y-95458804D01* -X68923577Y-95466948D01* -X68930125Y-95475776D01* -X68935775Y-95485204D01* -X68940475Y-95495139D01* -X68944177Y-95505488D01* -X68946848Y-95516150D01* -X68948461Y-95527022D01* -X68949000Y-95538000D01* -X68949000Y-95762000D01* -X68948461Y-95772978D01* -X68946848Y-95783850D01* -X68944177Y-95794512D01* -X68940475Y-95804861D01* -X68935775Y-95814796D01* -X68930125Y-95824224D01* -X68923577Y-95833052D01* -X68916196Y-95841196D01* -X68908052Y-95848577D01* -X68899224Y-95855125D01* -X68889796Y-95860775D01* -X68879861Y-95865475D01* -X68869512Y-95869177D01* -X68858850Y-95871848D01* -X68847978Y-95873461D01* -X68837000Y-95874000D01* -X68363000Y-95874000D01* -X68352022Y-95873461D01* -X68341150Y-95871848D01* -X68330488Y-95869177D01* -X68320139Y-95865475D01* -X68310204Y-95860775D01* -X68300776Y-95855125D01* -X68291948Y-95848577D01* -X68283804Y-95841196D01* -X68276423Y-95833052D01* -X68269875Y-95824224D01* -X68264225Y-95814796D01* -X68259525Y-95804861D01* -X68255823Y-95794512D01* -X68253152Y-95783850D01* -X68251539Y-95772978D01* -X68251000Y-95762000D01* -X68251000Y-95538000D01* -X68251539Y-95527022D01* -X68253152Y-95516150D01* -X68255823Y-95505488D01* -X68259525Y-95495139D01* -X68264225Y-95485204D01* -X68269875Y-95475776D01* -X68276423Y-95466948D01* -X68283804Y-95458804D01* -X68291948Y-95451423D01* -X68300776Y-95444875D01* -X68310204Y-95439225D01* -X68320139Y-95434525D01* -X68330488Y-95430823D01* -X68341150Y-95428152D01* -X68352022Y-95426539D01* -X68363000Y-95426000D01* -X68837000Y-95426000D01* -X68847978Y-95426539D01* -G37* -G36* -X68870527Y-96026419D02* -G01* -X68878973Y-96027672D01* -X68887255Y-96029746D01* -X68895293Y-96032622D01* -X68903012Y-96036273D01* -X68910335Y-96040662D01* -X68917192Y-96045748D01* -X68923518Y-96051482D01* -X68929252Y-96057808D01* -X68934338Y-96064665D01* -X68938727Y-96071988D01* -X68942378Y-96079707D01* -X68945254Y-96087745D01* -X68947328Y-96096027D01* -X68948581Y-96104473D01* -X68949000Y-96113000D01* -X68949000Y-96287000D01* -X68948581Y-96295527D01* -X68947328Y-96303973D01* -X68945254Y-96312255D01* -X68942378Y-96320293D01* -X68938727Y-96328012D01* -X68934338Y-96335335D01* -X68929252Y-96342192D01* -X68923518Y-96348518D01* -X68917192Y-96354252D01* -X68910335Y-96359338D01* -X68903012Y-96363727D01* -X68895293Y-96367378D01* -X68887255Y-96370254D01* -X68878973Y-96372328D01* -X68870527Y-96373581D01* -X68862000Y-96374000D01* -X68338000Y-96374000D01* -X68329473Y-96373581D01* -X68321027Y-96372328D01* -X68312745Y-96370254D01* -X68304707Y-96367378D01* -X68296988Y-96363727D01* -X68289665Y-96359338D01* -X68282808Y-96354252D01* -X68276482Y-96348518D01* -X68270748Y-96342192D01* -X68265662Y-96335335D01* -X68261273Y-96328012D01* -X68257622Y-96320293D01* -X68254746Y-96312255D01* -X68252672Y-96303973D01* -X68251419Y-96295527D01* -X68251000Y-96287000D01* -X68251000Y-96113000D01* -X68251419Y-96104473D01* -X68252672Y-96096027D01* -X68254746Y-96087745D01* -X68257622Y-96079707D01* -X68261273Y-96071988D01* -X68265662Y-96064665D01* -X68270748Y-96057808D01* -X68276482Y-96051482D01* -X68282808Y-96045748D01* -X68289665Y-96040662D01* -X68296988Y-96036273D01* -X68304707Y-96032622D01* -X68312745Y-96029746D01* -X68321027Y-96027672D01* -X68329473Y-96026419D01* -X68338000Y-96026000D01* -X68862000Y-96026000D01* -X68870527Y-96026419D01* -G37* -G36* -X68847978Y-97026539D02* -G01* -X68858850Y-97028152D01* -X68869512Y-97030823D01* -X68879861Y-97034525D01* -X68889796Y-97039225D01* -X68899224Y-97044875D01* -X68908052Y-97051423D01* -X68916196Y-97058804D01* -X68923577Y-97066948D01* -X68930125Y-97075776D01* -X68935775Y-97085204D01* -X68940475Y-97095139D01* -X68944177Y-97105488D01* -X68946848Y-97116150D01* -X68948461Y-97127022D01* -X68949000Y-97138000D01* -X68949000Y-97362000D01* -X68948461Y-97372978D01* -X68946848Y-97383850D01* -X68944177Y-97394512D01* -X68940475Y-97404861D01* -X68935775Y-97414796D01* -X68930125Y-97424224D01* -X68923577Y-97433052D01* -X68916196Y-97441196D01* -X68908052Y-97448577D01* -X68899224Y-97455125D01* -X68889796Y-97460775D01* -X68879861Y-97465475D01* -X68869512Y-97469177D01* -X68858850Y-97471848D01* -X68847978Y-97473461D01* -X68837000Y-97474000D01* -X68363000Y-97474000D01* -X68352022Y-97473461D01* -X68341150Y-97471848D01* -X68330488Y-97469177D01* -X68320139Y-97465475D01* -X68310204Y-97460775D01* -X68300776Y-97455125D01* -X68291948Y-97448577D01* -X68283804Y-97441196D01* -X68276423Y-97433052D01* -X68269875Y-97424224D01* -X68264225Y-97414796D01* -X68259525Y-97404861D01* -X68255823Y-97394512D01* -X68253152Y-97383850D01* -X68251539Y-97372978D01* -X68251000Y-97362000D01* -X68251000Y-97138000D01* -X68251539Y-97127022D01* -X68253152Y-97116150D01* -X68255823Y-97105488D01* -X68259525Y-97095139D01* -X68264225Y-97085204D01* -X68269875Y-97075776D01* -X68276423Y-97066948D01* -X68283804Y-97058804D01* -X68291948Y-97051423D01* -X68300776Y-97044875D01* -X68310204Y-97039225D01* -X68320139Y-97034525D01* -X68330488Y-97030823D01* -X68341150Y-97028152D01* -X68352022Y-97026539D01* -X68363000Y-97026000D01* -X68837000Y-97026000D01* -X68847978Y-97026539D01* -G37* -G36* -X68870527Y-96526419D02* -G01* -X68878973Y-96527672D01* -X68887255Y-96529746D01* -X68895293Y-96532622D01* -X68903012Y-96536273D01* -X68910335Y-96540662D01* -X68917192Y-96545748D01* -X68923518Y-96551482D01* -X68929252Y-96557808D01* -X68934338Y-96564665D01* -X68938727Y-96571988D01* -X68942378Y-96579707D01* -X68945254Y-96587745D01* -X68947328Y-96596027D01* -X68948581Y-96604473D01* -X68949000Y-96613000D01* -X68949000Y-96787000D01* -X68948581Y-96795527D01* -X68947328Y-96803973D01* -X68945254Y-96812255D01* -X68942378Y-96820293D01* -X68938727Y-96828012D01* -X68934338Y-96835335D01* -X68929252Y-96842192D01* -X68923518Y-96848518D01* -X68917192Y-96854252D01* -X68910335Y-96859338D01* -X68903012Y-96863727D01* -X68895293Y-96867378D01* -X68887255Y-96870254D01* -X68878973Y-96872328D01* -X68870527Y-96873581D01* -X68862000Y-96874000D01* -X68338000Y-96874000D01* -X68329473Y-96873581D01* -X68321027Y-96872328D01* -X68312745Y-96870254D01* -X68304707Y-96867378D01* -X68296988Y-96863727D01* -X68289665Y-96859338D01* -X68282808Y-96854252D01* -X68276482Y-96848518D01* -X68270748Y-96842192D01* -X68265662Y-96835335D01* -X68261273Y-96828012D01* -X68257622Y-96820293D01* -X68254746Y-96812255D01* -X68252672Y-96803973D01* -X68251419Y-96795527D01* -X68251000Y-96787000D01* -X68251000Y-96613000D01* -X68251419Y-96604473D01* -X68252672Y-96596027D01* -X68254746Y-96587745D01* -X68257622Y-96579707D01* -X68261273Y-96571988D01* -X68265662Y-96564665D01* -X68270748Y-96557808D01* -X68276482Y-96551482D01* -X68282808Y-96545748D01* -X68289665Y-96540662D01* -X68296988Y-96536273D01* -X68304707Y-96532622D01* -X68312745Y-96529746D01* -X68321027Y-96527672D01* -X68329473Y-96526419D01* -X68338000Y-96526000D01* -X68862000Y-96526000D01* -X68870527Y-96526419D01* -G37* -G36* -X69847978Y-95426539D02* -G01* -X69858850Y-95428152D01* -X69869512Y-95430823D01* -X69879861Y-95434525D01* -X69889796Y-95439225D01* -X69899224Y-95444875D01* -X69908052Y-95451423D01* -X69916196Y-95458804D01* -X69923577Y-95466948D01* -X69930125Y-95475776D01* -X69935775Y-95485204D01* -X69940475Y-95495139D01* -X69944177Y-95505488D01* -X69946848Y-95516150D01* -X69948461Y-95527022D01* -X69949000Y-95538000D01* -X69949000Y-95762000D01* -X69948461Y-95772978D01* -X69946848Y-95783850D01* -X69944177Y-95794512D01* -X69940475Y-95804861D01* -X69935775Y-95814796D01* -X69930125Y-95824224D01* -X69923577Y-95833052D01* -X69916196Y-95841196D01* -X69908052Y-95848577D01* -X69899224Y-95855125D01* -X69889796Y-95860775D01* -X69879861Y-95865475D01* -X69869512Y-95869177D01* -X69858850Y-95871848D01* -X69847978Y-95873461D01* -X69837000Y-95874000D01* -X69363000Y-95874000D01* -X69352022Y-95873461D01* -X69341150Y-95871848D01* -X69330488Y-95869177D01* -X69320139Y-95865475D01* -X69310204Y-95860775D01* -X69300776Y-95855125D01* -X69291948Y-95848577D01* -X69283804Y-95841196D01* -X69276423Y-95833052D01* -X69269875Y-95824224D01* -X69264225Y-95814796D01* -X69259525Y-95804861D01* -X69255823Y-95794512D01* -X69253152Y-95783850D01* -X69251539Y-95772978D01* -X69251000Y-95762000D01* -X69251000Y-95538000D01* -X69251539Y-95527022D01* -X69253152Y-95516150D01* -X69255823Y-95505488D01* -X69259525Y-95495139D01* -X69264225Y-95485204D01* -X69269875Y-95475776D01* -X69276423Y-95466948D01* -X69283804Y-95458804D01* -X69291948Y-95451423D01* -X69300776Y-95444875D01* -X69310204Y-95439225D01* -X69320139Y-95434525D01* -X69330488Y-95430823D01* -X69341150Y-95428152D01* -X69352022Y-95426539D01* -X69363000Y-95426000D01* -X69837000Y-95426000D01* -X69847978Y-95426539D01* -G37* -G36* -X69870527Y-96526419D02* -G01* -X69878973Y-96527672D01* -X69887255Y-96529746D01* -X69895293Y-96532622D01* -X69903012Y-96536273D01* -X69910335Y-96540662D01* -X69917192Y-96545748D01* -X69923518Y-96551482D01* -X69929252Y-96557808D01* -X69934338Y-96564665D01* -X69938727Y-96571988D01* -X69942378Y-96579707D01* -X69945254Y-96587745D01* -X69947328Y-96596027D01* -X69948581Y-96604473D01* -X69949000Y-96613000D01* -X69949000Y-96787000D01* -X69948581Y-96795527D01* -X69947328Y-96803973D01* -X69945254Y-96812255D01* -X69942378Y-96820293D01* -X69938727Y-96828012D01* -X69934338Y-96835335D01* -X69929252Y-96842192D01* -X69923518Y-96848518D01* -X69917192Y-96854252D01* -X69910335Y-96859338D01* -X69903012Y-96863727D01* -X69895293Y-96867378D01* -X69887255Y-96870254D01* -X69878973Y-96872328D01* -X69870527Y-96873581D01* -X69862000Y-96874000D01* -X69338000Y-96874000D01* -X69329473Y-96873581D01* -X69321027Y-96872328D01* -X69312745Y-96870254D01* -X69304707Y-96867378D01* -X69296988Y-96863727D01* -X69289665Y-96859338D01* -X69282808Y-96854252D01* -X69276482Y-96848518D01* -X69270748Y-96842192D01* -X69265662Y-96835335D01* -X69261273Y-96828012D01* -X69257622Y-96820293D01* -X69254746Y-96812255D01* -X69252672Y-96803973D01* -X69251419Y-96795527D01* -X69251000Y-96787000D01* -X69251000Y-96613000D01* -X69251419Y-96604473D01* -X69252672Y-96596027D01* -X69254746Y-96587745D01* -X69257622Y-96579707D01* -X69261273Y-96571988D01* -X69265662Y-96564665D01* -X69270748Y-96557808D01* -X69276482Y-96551482D01* -X69282808Y-96545748D01* -X69289665Y-96540662D01* -X69296988Y-96536273D01* -X69304707Y-96532622D01* -X69312745Y-96529746D01* -X69321027Y-96527672D01* -X69329473Y-96526419D01* -X69338000Y-96526000D01* -X69862000Y-96526000D01* -X69870527Y-96526419D01* -G37* -G36* -X69870527Y-96026419D02* -G01* -X69878973Y-96027672D01* -X69887255Y-96029746D01* -X69895293Y-96032622D01* -X69903012Y-96036273D01* -X69910335Y-96040662D01* -X69917192Y-96045748D01* -X69923518Y-96051482D01* -X69929252Y-96057808D01* -X69934338Y-96064665D01* -X69938727Y-96071988D01* -X69942378Y-96079707D01* -X69945254Y-96087745D01* -X69947328Y-96096027D01* -X69948581Y-96104473D01* -X69949000Y-96113000D01* -X69949000Y-96287000D01* -X69948581Y-96295527D01* -X69947328Y-96303973D01* -X69945254Y-96312255D01* -X69942378Y-96320293D01* -X69938727Y-96328012D01* -X69934338Y-96335335D01* -X69929252Y-96342192D01* -X69923518Y-96348518D01* -X69917192Y-96354252D01* -X69910335Y-96359338D01* -X69903012Y-96363727D01* -X69895293Y-96367378D01* -X69887255Y-96370254D01* -X69878973Y-96372328D01* -X69870527Y-96373581D01* -X69862000Y-96374000D01* -X69338000Y-96374000D01* -X69329473Y-96373581D01* -X69321027Y-96372328D01* -X69312745Y-96370254D01* -X69304707Y-96367378D01* -X69296988Y-96363727D01* -X69289665Y-96359338D01* -X69282808Y-96354252D01* -X69276482Y-96348518D01* -X69270748Y-96342192D01* -X69265662Y-96335335D01* -X69261273Y-96328012D01* -X69257622Y-96320293D01* -X69254746Y-96312255D01* -X69252672Y-96303973D01* -X69251419Y-96295527D01* -X69251000Y-96287000D01* -X69251000Y-96113000D01* -X69251419Y-96104473D01* -X69252672Y-96096027D01* -X69254746Y-96087745D01* -X69257622Y-96079707D01* -X69261273Y-96071988D01* -X69265662Y-96064665D01* -X69270748Y-96057808D01* -X69276482Y-96051482D01* -X69282808Y-96045748D01* -X69289665Y-96040662D01* -X69296988Y-96036273D01* -X69304707Y-96032622D01* -X69312745Y-96029746D01* -X69321027Y-96027672D01* -X69329473Y-96026419D01* -X69338000Y-96026000D01* -X69862000Y-96026000D01* -X69870527Y-96026419D01* -G37* -G36* -X69847978Y-97026539D02* -G01* -X69858850Y-97028152D01* -X69869512Y-97030823D01* -X69879861Y-97034525D01* -X69889796Y-97039225D01* -X69899224Y-97044875D01* -X69908052Y-97051423D01* -X69916196Y-97058804D01* -X69923577Y-97066948D01* -X69930125Y-97075776D01* -X69935775Y-97085204D01* -X69940475Y-97095139D01* -X69944177Y-97105488D01* -X69946848Y-97116150D01* -X69948461Y-97127022D01* -X69949000Y-97138000D01* -X69949000Y-97362000D01* -X69948461Y-97372978D01* -X69946848Y-97383850D01* -X69944177Y-97394512D01* -X69940475Y-97404861D01* -X69935775Y-97414796D01* -X69930125Y-97424224D01* -X69923577Y-97433052D01* -X69916196Y-97441196D01* -X69908052Y-97448577D01* -X69899224Y-97455125D01* -X69889796Y-97460775D01* -X69879861Y-97465475D01* -X69869512Y-97469177D01* -X69858850Y-97471848D01* -X69847978Y-97473461D01* -X69837000Y-97474000D01* -X69363000Y-97474000D01* -X69352022Y-97473461D01* -X69341150Y-97471848D01* -X69330488Y-97469177D01* -X69320139Y-97465475D01* -X69310204Y-97460775D01* -X69300776Y-97455125D01* -X69291948Y-97448577D01* -X69283804Y-97441196D01* -X69276423Y-97433052D01* -X69269875Y-97424224D01* -X69264225Y-97414796D01* -X69259525Y-97404861D01* -X69255823Y-97394512D01* -X69253152Y-97383850D01* -X69251539Y-97372978D01* -X69251000Y-97362000D01* -X69251000Y-97138000D01* -X69251539Y-97127022D01* -X69253152Y-97116150D01* -X69255823Y-97105488D01* -X69259525Y-97095139D01* -X69264225Y-97085204D01* -X69269875Y-97075776D01* -X69276423Y-97066948D01* -X69283804Y-97058804D01* -X69291948Y-97051423D01* -X69300776Y-97044875D01* -X69310204Y-97039225D01* -X69320139Y-97034525D01* -X69330488Y-97030823D01* -X69341150Y-97028152D01* -X69352022Y-97026539D01* -X69363000Y-97026000D01* -X69837000Y-97026000D01* -X69847978Y-97026539D01* -G37* -G36* -X71569603Y-110125963D02* -G01* -X71589018Y-110128843D01* -X71608057Y-110133612D01* -X71626537Y-110140224D01* -X71644279Y-110148616D01* -X71661114Y-110158706D01* -X71676879Y-110170398D01* -X71691421Y-110183579D01* -X71704602Y-110198121D01* -X71716294Y-110213886D01* -X71726384Y-110230721D01* -X71734776Y-110248463D01* -X71741388Y-110266943D01* -X71746157Y-110285982D01* -X71749037Y-110305397D01* -X71750000Y-110325000D01* -X71750000Y-110975000D01* -X71749037Y-110994603D01* -X71746157Y-111014018D01* -X71741388Y-111033057D01* -X71734776Y-111051537D01* -X71726384Y-111069279D01* -X71716294Y-111086114D01* -X71704602Y-111101879D01* -X71691421Y-111116421D01* -X71676879Y-111129602D01* -X71661114Y-111141294D01* -X71644279Y-111151384D01* -X71626537Y-111159776D01* -X71608057Y-111166388D01* -X71589018Y-111171157D01* -X71569603Y-111174037D01* -X71550000Y-111175000D01* -X71150000Y-111175000D01* -X71130397Y-111174037D01* -X71110982Y-111171157D01* -X71091943Y-111166388D01* -X71073463Y-111159776D01* -X71055721Y-111151384D01* -X71038886Y-111141294D01* -X71023121Y-111129602D01* -X71008579Y-111116421D01* -X70995398Y-111101879D01* -X70983706Y-111086114D01* -X70973616Y-111069279D01* -X70965224Y-111051537D01* -X70958612Y-111033057D01* -X70953843Y-111014018D01* -X70950963Y-110994603D01* -X70950000Y-110975000D01* -X70950000Y-110325000D01* -X70950963Y-110305397D01* -X70953843Y-110285982D01* -X70958612Y-110266943D01* -X70965224Y-110248463D01* -X70973616Y-110230721D01* -X70983706Y-110213886D01* -X70995398Y-110198121D01* -X71008579Y-110183579D01* -X71023121Y-110170398D01* -X71038886Y-110158706D01* -X71055721Y-110148616D01* -X71073463Y-110140224D01* -X71091943Y-110133612D01* -X71110982Y-110128843D01* -X71130397Y-110125963D01* -X71150000Y-110125000D01* -X71550000Y-110125000D01* -X71569603Y-110125963D01* -G37* -G36* -X69969603Y-110125963D02* -G01* -X69989018Y-110128843D01* -X70008057Y-110133612D01* -X70026537Y-110140224D01* -X70044279Y-110148616D01* -X70061114Y-110158706D01* -X70076879Y-110170398D01* -X70091421Y-110183579D01* -X70104602Y-110198121D01* -X70116294Y-110213886D01* -X70126384Y-110230721D01* -X70134776Y-110248463D01* -X70141388Y-110266943D01* -X70146157Y-110285982D01* -X70149037Y-110305397D01* -X70150000Y-110325000D01* -X70150000Y-110975000D01* -X70149037Y-110994603D01* -X70146157Y-111014018D01* -X70141388Y-111033057D01* -X70134776Y-111051537D01* -X70126384Y-111069279D01* -X70116294Y-111086114D01* -X70104602Y-111101879D01* -X70091421Y-111116421D01* -X70076879Y-111129602D01* -X70061114Y-111141294D01* -X70044279Y-111151384D01* -X70026537Y-111159776D01* -X70008057Y-111166388D01* -X69989018Y-111171157D01* -X69969603Y-111174037D01* -X69950000Y-111175000D01* -X69550000Y-111175000D01* -X69530397Y-111174037D01* -X69510982Y-111171157D01* -X69491943Y-111166388D01* -X69473463Y-111159776D01* -X69455721Y-111151384D01* -X69438886Y-111141294D01* -X69423121Y-111129602D01* -X69408579Y-111116421D01* -X69395398Y-111101879D01* -X69383706Y-111086114D01* -X69373616Y-111069279D01* -X69365224Y-111051537D01* -X69358612Y-111033057D01* -X69353843Y-111014018D01* -X69350963Y-110994603D01* -X69350000Y-110975000D01* -X69350000Y-110325000D01* -X69350963Y-110305397D01* -X69353843Y-110285982D01* -X69358612Y-110266943D01* -X69365224Y-110248463D01* -X69373616Y-110230721D01* -X69383706Y-110213886D01* -X69395398Y-110198121D01* -X69408579Y-110183579D01* -X69423121Y-110170398D01* -X69438886Y-110158706D01* -X69455721Y-110148616D01* -X69473463Y-110140224D01* -X69491943Y-110133612D01* -X69510982Y-110128843D01* -X69530397Y-110125963D01* -X69550000Y-110125000D01* -X69950000Y-110125000D01* -X69969603Y-110125963D01* -G37* -G36* -X71569603Y-111575963D02* -G01* -X71589018Y-111578843D01* -X71608057Y-111583612D01* -X71626537Y-111590224D01* -X71644279Y-111598616D01* -X71661114Y-111608706D01* -X71676879Y-111620398D01* -X71691421Y-111633579D01* -X71704602Y-111648121D01* -X71716294Y-111663886D01* -X71726384Y-111680721D01* -X71734776Y-111698463D01* -X71741388Y-111716943D01* -X71746157Y-111735982D01* -X71749037Y-111755397D01* -X71750000Y-111775000D01* -X71750000Y-112425000D01* -X71749037Y-112444603D01* -X71746157Y-112464018D01* -X71741388Y-112483057D01* -X71734776Y-112501537D01* -X71726384Y-112519279D01* -X71716294Y-112536114D01* -X71704602Y-112551879D01* -X71691421Y-112566421D01* -X71676879Y-112579602D01* -X71661114Y-112591294D01* -X71644279Y-112601384D01* -X71626537Y-112609776D01* -X71608057Y-112616388D01* -X71589018Y-112621157D01* -X71569603Y-112624037D01* -X71550000Y-112625000D01* -X71150000Y-112625000D01* -X71130397Y-112624037D01* -X71110982Y-112621157D01* -X71091943Y-112616388D01* -X71073463Y-112609776D01* -X71055721Y-112601384D01* -X71038886Y-112591294D01* -X71023121Y-112579602D01* -X71008579Y-112566421D01* -X70995398Y-112551879D01* -X70983706Y-112536114D01* -X70973616Y-112519279D01* -X70965224Y-112501537D01* -X70958612Y-112483057D01* -X70953843Y-112464018D01* -X70950963Y-112444603D01* -X70950000Y-112425000D01* -X70950000Y-111775000D01* -X70950963Y-111755397D01* -X70953843Y-111735982D01* -X70958612Y-111716943D01* -X70965224Y-111698463D01* -X70973616Y-111680721D01* -X70983706Y-111663886D01* -X70995398Y-111648121D01* -X71008579Y-111633579D01* -X71023121Y-111620398D01* -X71038886Y-111608706D01* -X71055721Y-111598616D01* -X71073463Y-111590224D01* -X71091943Y-111583612D01* -X71110982Y-111578843D01* -X71130397Y-111575963D01* -X71150000Y-111575000D01* -X71550000Y-111575000D01* -X71569603Y-111575963D01* -G37* -G36* -X69969603Y-111575963D02* -G01* -X69989018Y-111578843D01* -X70008057Y-111583612D01* -X70026537Y-111590224D01* -X70044279Y-111598616D01* -X70061114Y-111608706D01* -X70076879Y-111620398D01* -X70091421Y-111633579D01* -X70104602Y-111648121D01* -X70116294Y-111663886D01* -X70126384Y-111680721D01* -X70134776Y-111698463D01* -X70141388Y-111716943D01* -X70146157Y-111735982D01* -X70149037Y-111755397D01* -X70150000Y-111775000D01* -X70150000Y-112425000D01* -X70149037Y-112444603D01* -X70146157Y-112464018D01* -X70141388Y-112483057D01* -X70134776Y-112501537D01* -X70126384Y-112519279D01* -X70116294Y-112536114D01* -X70104602Y-112551879D01* -X70091421Y-112566421D01* -X70076879Y-112579602D01* -X70061114Y-112591294D01* -X70044279Y-112601384D01* -X70026537Y-112609776D01* -X70008057Y-112616388D01* -X69989018Y-112621157D01* -X69969603Y-112624037D01* -X69950000Y-112625000D01* -X69550000Y-112625000D01* -X69530397Y-112624037D01* -X69510982Y-112621157D01* -X69491943Y-112616388D01* -X69473463Y-112609776D01* -X69455721Y-112601384D01* -X69438886Y-112591294D01* -X69423121Y-112579602D01* -X69408579Y-112566421D01* -X69395398Y-112551879D01* -X69383706Y-112536114D01* -X69373616Y-112519279D01* -X69365224Y-112501537D01* -X69358612Y-112483057D01* -X69353843Y-112464018D01* -X69350963Y-112444603D01* -X69350000Y-112425000D01* -X69350000Y-111775000D01* -X69350963Y-111755397D01* -X69353843Y-111735982D01* -X69358612Y-111716943D01* -X69365224Y-111698463D01* -X69373616Y-111680721D01* -X69383706Y-111663886D01* -X69395398Y-111648121D01* -X69408579Y-111633579D01* -X69423121Y-111620398D01* -X69438886Y-111608706D01* -X69455721Y-111598616D01* -X69473463Y-111590224D01* -X69491943Y-111583612D01* -X69510982Y-111578843D01* -X69530397Y-111575963D01* -X69550000Y-111575000D01* -X69950000Y-111575000D01* -X69969603Y-111575963D01* -G37* -G36* -X78236703Y-124201600D02* -G01* -X78248789Y-124203392D01* -X78260640Y-124206361D01* -X78272144Y-124210477D01* -X78283189Y-124215701D01* -X78293668Y-124221982D01* -X78303482Y-124229260D01* -X78312535Y-124237465D01* -X78320740Y-124246518D01* -X78328018Y-124256332D01* -X78334299Y-124266811D01* -X78339523Y-124277856D01* -X78343639Y-124289360D01* -X78346608Y-124301211D01* -X78348400Y-124313297D01* -X78349000Y-124325500D01* -X78349000Y-125574500D01* -X78348400Y-125586703D01* -X78346608Y-125598789D01* -X78343639Y-125610640D01* -X78339523Y-125622144D01* -X78334299Y-125633189D01* -X78328018Y-125643668D01* -X78320740Y-125653482D01* -X78312535Y-125662535D01* -X78303482Y-125670740D01* -X78293668Y-125678018D01* -X78283189Y-125684299D01* -X78272144Y-125689523D01* -X78260640Y-125693639D01* -X78248789Y-125696608D01* -X78236703Y-125698400D01* -X78224500Y-125699000D01* -X77975500Y-125699000D01* -X77963297Y-125698400D01* -X77951211Y-125696608D01* -X77939360Y-125693639D01* -X77927856Y-125689523D01* -X77916811Y-125684299D01* -X77906332Y-125678018D01* -X77896518Y-125670740D01* -X77887465Y-125662535D01* -X77879260Y-125653482D01* -X77871982Y-125643668D01* -X77865701Y-125633189D01* -X77860477Y-125622144D01* -X77856361Y-125610640D01* -X77853392Y-125598789D01* -X77851600Y-125586703D01* -X77851000Y-125574500D01* -X77851000Y-124325500D01* -X77851600Y-124313297D01* -X77853392Y-124301211D01* -X77856361Y-124289360D01* -X77860477Y-124277856D01* -X77865701Y-124266811D01* -X77871982Y-124256332D01* -X77879260Y-124246518D01* -X77887465Y-124237465D01* -X77896518Y-124229260D01* -X77906332Y-124221982D01* -X77916811Y-124215701D01* -X77927856Y-124210477D01* -X77939360Y-124206361D01* -X77951211Y-124203392D01* -X77963297Y-124201600D01* -X77975500Y-124201000D01* -X78224500Y-124201000D01* -X78236703Y-124201600D01* -G37* -G36* -X78886703Y-124201600D02* -G01* -X78898789Y-124203392D01* -X78910640Y-124206361D01* -X78922144Y-124210477D01* -X78933189Y-124215701D01* -X78943668Y-124221982D01* -X78953482Y-124229260D01* -X78962535Y-124237465D01* -X78970740Y-124246518D01* -X78978018Y-124256332D01* -X78984299Y-124266811D01* -X78989523Y-124277856D01* -X78993639Y-124289360D01* -X78996608Y-124301211D01* -X78998400Y-124313297D01* -X78999000Y-124325500D01* -X78999000Y-125574500D01* -X78998400Y-125586703D01* -X78996608Y-125598789D01* -X78993639Y-125610640D01* -X78989523Y-125622144D01* -X78984299Y-125633189D01* -X78978018Y-125643668D01* -X78970740Y-125653482D01* -X78962535Y-125662535D01* -X78953482Y-125670740D01* -X78943668Y-125678018D01* -X78933189Y-125684299D01* -X78922144Y-125689523D01* -X78910640Y-125693639D01* -X78898789Y-125696608D01* -X78886703Y-125698400D01* -X78874500Y-125699000D01* -X78625500Y-125699000D01* -X78613297Y-125698400D01* -X78601211Y-125696608D01* -X78589360Y-125693639D01* -X78577856Y-125689523D01* -X78566811Y-125684299D01* -X78556332Y-125678018D01* -X78546518Y-125670740D01* -X78537465Y-125662535D01* -X78529260Y-125653482D01* -X78521982Y-125643668D01* -X78515701Y-125633189D01* -X78510477Y-125622144D01* -X78506361Y-125610640D01* -X78503392Y-125598789D01* -X78501600Y-125586703D01* -X78501000Y-125574500D01* -X78501000Y-124325500D01* -X78501600Y-124313297D01* -X78503392Y-124301211D01* -X78506361Y-124289360D01* -X78510477Y-124277856D01* -X78515701Y-124266811D01* -X78521982Y-124256332D01* -X78529260Y-124246518D01* -X78537465Y-124237465D01* -X78546518Y-124229260D01* -X78556332Y-124221982D01* -X78566811Y-124215701D01* -X78577856Y-124210477D01* -X78589360Y-124206361D01* -X78601211Y-124203392D01* -X78613297Y-124201600D01* -X78625500Y-124201000D01* -X78874500Y-124201000D01* -X78886703Y-124201600D01* -G37* -G36* -X79536703Y-124201600D02* -G01* -X79548789Y-124203392D01* -X79560640Y-124206361D01* -X79572144Y-124210477D01* -X79583189Y-124215701D01* -X79593668Y-124221982D01* -X79603482Y-124229260D01* -X79612535Y-124237465D01* -X79620740Y-124246518D01* -X79628018Y-124256332D01* -X79634299Y-124266811D01* -X79639523Y-124277856D01* -X79643639Y-124289360D01* -X79646608Y-124301211D01* -X79648400Y-124313297D01* -X79649000Y-124325500D01* -X79649000Y-125574500D01* -X79648400Y-125586703D01* -X79646608Y-125598789D01* -X79643639Y-125610640D01* -X79639523Y-125622144D01* -X79634299Y-125633189D01* -X79628018Y-125643668D01* -X79620740Y-125653482D01* -X79612535Y-125662535D01* -X79603482Y-125670740D01* -X79593668Y-125678018D01* -X79583189Y-125684299D01* -X79572144Y-125689523D01* -X79560640Y-125693639D01* -X79548789Y-125696608D01* -X79536703Y-125698400D01* -X79524500Y-125699000D01* -X79275500Y-125699000D01* -X79263297Y-125698400D01* -X79251211Y-125696608D01* -X79239360Y-125693639D01* -X79227856Y-125689523D01* -X79216811Y-125684299D01* -X79206332Y-125678018D01* -X79196518Y-125670740D01* -X79187465Y-125662535D01* -X79179260Y-125653482D01* -X79171982Y-125643668D01* -X79165701Y-125633189D01* -X79160477Y-125622144D01* -X79156361Y-125610640D01* -X79153392Y-125598789D01* -X79151600Y-125586703D01* -X79151000Y-125574500D01* -X79151000Y-124325500D01* -X79151600Y-124313297D01* -X79153392Y-124301211D01* -X79156361Y-124289360D01* -X79160477Y-124277856D01* -X79165701Y-124266811D01* -X79171982Y-124256332D01* -X79179260Y-124246518D01* -X79187465Y-124237465D01* -X79196518Y-124229260D01* -X79206332Y-124221982D01* -X79216811Y-124215701D01* -X79227856Y-124210477D01* -X79239360Y-124206361D01* -X79251211Y-124203392D01* -X79263297Y-124201600D01* -X79275500Y-124201000D01* -X79524500Y-124201000D01* -X79536703Y-124201600D01* -G37* -G36* -X80186703Y-124201600D02* -G01* -X80198789Y-124203392D01* -X80210640Y-124206361D01* -X80222144Y-124210477D01* -X80233189Y-124215701D01* -X80243668Y-124221982D01* -X80253482Y-124229260D01* -X80262535Y-124237465D01* -X80270740Y-124246518D01* -X80278018Y-124256332D01* -X80284299Y-124266811D01* -X80289523Y-124277856D01* -X80293639Y-124289360D01* -X80296608Y-124301211D01* -X80298400Y-124313297D01* -X80299000Y-124325500D01* -X80299000Y-125574500D01* -X80298400Y-125586703D01* -X80296608Y-125598789D01* -X80293639Y-125610640D01* -X80289523Y-125622144D01* -X80284299Y-125633189D01* -X80278018Y-125643668D01* -X80270740Y-125653482D01* -X80262535Y-125662535D01* -X80253482Y-125670740D01* -X80243668Y-125678018D01* -X80233189Y-125684299D01* -X80222144Y-125689523D01* -X80210640Y-125693639D01* -X80198789Y-125696608D01* -X80186703Y-125698400D01* -X80174500Y-125699000D01* -X79925500Y-125699000D01* -X79913297Y-125698400D01* -X79901211Y-125696608D01* -X79889360Y-125693639D01* -X79877856Y-125689523D01* -X79866811Y-125684299D01* -X79856332Y-125678018D01* -X79846518Y-125670740D01* -X79837465Y-125662535D01* -X79829260Y-125653482D01* -X79821982Y-125643668D01* -X79815701Y-125633189D01* -X79810477Y-125622144D01* -X79806361Y-125610640D01* -X79803392Y-125598789D01* -X79801600Y-125586703D01* -X79801000Y-125574500D01* -X79801000Y-124325500D01* -X79801600Y-124313297D01* -X79803392Y-124301211D01* -X79806361Y-124289360D01* -X79810477Y-124277856D01* -X79815701Y-124266811D01* -X79821982Y-124256332D01* -X79829260Y-124246518D01* -X79837465Y-124237465D01* -X79846518Y-124229260D01* -X79856332Y-124221982D01* -X79866811Y-124215701D01* -X79877856Y-124210477D01* -X79889360Y-124206361D01* -X79901211Y-124203392D01* -X79913297Y-124201600D01* -X79925500Y-124201000D01* -X80174500Y-124201000D01* -X80186703Y-124201600D01* -G37* -G36* -X80836703Y-124201600D02* -G01* -X80848789Y-124203392D01* -X80860640Y-124206361D01* -X80872144Y-124210477D01* -X80883189Y-124215701D01* -X80893668Y-124221982D01* -X80903482Y-124229260D01* -X80912535Y-124237465D01* -X80920740Y-124246518D01* -X80928018Y-124256332D01* -X80934299Y-124266811D01* -X80939523Y-124277856D01* -X80943639Y-124289360D01* -X80946608Y-124301211D01* -X80948400Y-124313297D01* -X80949000Y-124325500D01* -X80949000Y-125574500D01* -X80948400Y-125586703D01* -X80946608Y-125598789D01* -X80943639Y-125610640D01* -X80939523Y-125622144D01* -X80934299Y-125633189D01* -X80928018Y-125643668D01* -X80920740Y-125653482D01* -X80912535Y-125662535D01* -X80903482Y-125670740D01* -X80893668Y-125678018D01* -X80883189Y-125684299D01* -X80872144Y-125689523D01* -X80860640Y-125693639D01* -X80848789Y-125696608D01* -X80836703Y-125698400D01* -X80824500Y-125699000D01* -X80575500Y-125699000D01* -X80563297Y-125698400D01* -X80551211Y-125696608D01* -X80539360Y-125693639D01* -X80527856Y-125689523D01* -X80516811Y-125684299D01* -X80506332Y-125678018D01* -X80496518Y-125670740D01* -X80487465Y-125662535D01* -X80479260Y-125653482D01* -X80471982Y-125643668D01* -X80465701Y-125633189D01* -X80460477Y-125622144D01* -X80456361Y-125610640D01* -X80453392Y-125598789D01* -X80451600Y-125586703D01* -X80451000Y-125574500D01* -X80451000Y-124325500D01* -X80451600Y-124313297D01* -X80453392Y-124301211D01* -X80456361Y-124289360D01* -X80460477Y-124277856D01* -X80465701Y-124266811D01* -X80471982Y-124256332D01* -X80479260Y-124246518D01* -X80487465Y-124237465D01* -X80496518Y-124229260D01* -X80506332Y-124221982D01* -X80516811Y-124215701D01* -X80527856Y-124210477D01* -X80539360Y-124206361D01* -X80551211Y-124203392D01* -X80563297Y-124201600D01* -X80575500Y-124201000D01* -X80824500Y-124201000D01* -X80836703Y-124201600D01* -G37* -G36* -X81486703Y-124201600D02* -G01* -X81498789Y-124203392D01* -X81510640Y-124206361D01* -X81522144Y-124210477D01* -X81533189Y-124215701D01* -X81543668Y-124221982D01* -X81553482Y-124229260D01* -X81562535Y-124237465D01* -X81570740Y-124246518D01* -X81578018Y-124256332D01* -X81584299Y-124266811D01* -X81589523Y-124277856D01* -X81593639Y-124289360D01* -X81596608Y-124301211D01* -X81598400Y-124313297D01* -X81599000Y-124325500D01* -X81599000Y-125574500D01* -X81598400Y-125586703D01* -X81596608Y-125598789D01* -X81593639Y-125610640D01* -X81589523Y-125622144D01* -X81584299Y-125633189D01* -X81578018Y-125643668D01* -X81570740Y-125653482D01* -X81562535Y-125662535D01* -X81553482Y-125670740D01* -X81543668Y-125678018D01* -X81533189Y-125684299D01* -X81522144Y-125689523D01* -X81510640Y-125693639D01* -X81498789Y-125696608D01* -X81486703Y-125698400D01* -X81474500Y-125699000D01* -X81225500Y-125699000D01* -X81213297Y-125698400D01* -X81201211Y-125696608D01* -X81189360Y-125693639D01* -X81177856Y-125689523D01* -X81166811Y-125684299D01* -X81156332Y-125678018D01* -X81146518Y-125670740D01* -X81137465Y-125662535D01* -X81129260Y-125653482D01* -X81121982Y-125643668D01* -X81115701Y-125633189D01* -X81110477Y-125622144D01* -X81106361Y-125610640D01* -X81103392Y-125598789D01* -X81101600Y-125586703D01* -X81101000Y-125574500D01* -X81101000Y-124325500D01* -X81101600Y-124313297D01* -X81103392Y-124301211D01* -X81106361Y-124289360D01* -X81110477Y-124277856D01* -X81115701Y-124266811D01* -X81121982Y-124256332D01* -X81129260Y-124246518D01* -X81137465Y-124237465D01* -X81146518Y-124229260D01* -X81156332Y-124221982D01* -X81166811Y-124215701D01* -X81177856Y-124210477D01* -X81189360Y-124206361D01* -X81201211Y-124203392D01* -X81213297Y-124201600D01* -X81225500Y-124201000D01* -X81474500Y-124201000D01* -X81486703Y-124201600D01* -G37* -G36* -X82136703Y-124201600D02* -G01* -X82148789Y-124203392D01* -X82160640Y-124206361D01* -X82172144Y-124210477D01* -X82183189Y-124215701D01* -X82193668Y-124221982D01* -X82203482Y-124229260D01* -X82212535Y-124237465D01* -X82220740Y-124246518D01* -X82228018Y-124256332D01* -X82234299Y-124266811D01* -X82239523Y-124277856D01* -X82243639Y-124289360D01* -X82246608Y-124301211D01* -X82248400Y-124313297D01* -X82249000Y-124325500D01* -X82249000Y-125574500D01* -X82248400Y-125586703D01* -X82246608Y-125598789D01* -X82243639Y-125610640D01* -X82239523Y-125622144D01* -X82234299Y-125633189D01* -X82228018Y-125643668D01* -X82220740Y-125653482D01* -X82212535Y-125662535D01* -X82203482Y-125670740D01* -X82193668Y-125678018D01* -X82183189Y-125684299D01* -X82172144Y-125689523D01* -X82160640Y-125693639D01* -X82148789Y-125696608D01* -X82136703Y-125698400D01* -X82124500Y-125699000D01* -X81875500Y-125699000D01* -X81863297Y-125698400D01* -X81851211Y-125696608D01* -X81839360Y-125693639D01* -X81827856Y-125689523D01* -X81816811Y-125684299D01* -X81806332Y-125678018D01* -X81796518Y-125670740D01* -X81787465Y-125662535D01* -X81779260Y-125653482D01* -X81771982Y-125643668D01* -X81765701Y-125633189D01* -X81760477Y-125622144D01* -X81756361Y-125610640D01* -X81753392Y-125598789D01* -X81751600Y-125586703D01* -X81751000Y-125574500D01* -X81751000Y-124325500D01* -X81751600Y-124313297D01* -X81753392Y-124301211D01* -X81756361Y-124289360D01* -X81760477Y-124277856D01* -X81765701Y-124266811D01* -X81771982Y-124256332D01* -X81779260Y-124246518D01* -X81787465Y-124237465D01* -X81796518Y-124229260D01* -X81806332Y-124221982D01* -X81816811Y-124215701D01* -X81827856Y-124210477D01* -X81839360Y-124206361D01* -X81851211Y-124203392D01* -X81863297Y-124201600D01* -X81875500Y-124201000D01* -X82124500Y-124201000D01* -X82136703Y-124201600D01* -G37* -G36* -X82786703Y-124201600D02* -G01* -X82798789Y-124203392D01* -X82810640Y-124206361D01* -X82822144Y-124210477D01* -X82833189Y-124215701D01* -X82843668Y-124221982D01* -X82853482Y-124229260D01* -X82862535Y-124237465D01* -X82870740Y-124246518D01* -X82878018Y-124256332D01* -X82884299Y-124266811D01* -X82889523Y-124277856D01* -X82893639Y-124289360D01* -X82896608Y-124301211D01* -X82898400Y-124313297D01* -X82899000Y-124325500D01* -X82899000Y-125574500D01* -X82898400Y-125586703D01* -X82896608Y-125598789D01* -X82893639Y-125610640D01* -X82889523Y-125622144D01* -X82884299Y-125633189D01* -X82878018Y-125643668D01* -X82870740Y-125653482D01* -X82862535Y-125662535D01* -X82853482Y-125670740D01* -X82843668Y-125678018D01* -X82833189Y-125684299D01* -X82822144Y-125689523D01* -X82810640Y-125693639D01* -X82798789Y-125696608D01* -X82786703Y-125698400D01* -X82774500Y-125699000D01* -X82525500Y-125699000D01* -X82513297Y-125698400D01* -X82501211Y-125696608D01* -X82489360Y-125693639D01* -X82477856Y-125689523D01* -X82466811Y-125684299D01* -X82456332Y-125678018D01* -X82446518Y-125670740D01* -X82437465Y-125662535D01* -X82429260Y-125653482D01* -X82421982Y-125643668D01* -X82415701Y-125633189D01* -X82410477Y-125622144D01* -X82406361Y-125610640D01* -X82403392Y-125598789D01* -X82401600Y-125586703D01* -X82401000Y-125574500D01* -X82401000Y-124325500D01* -X82401600Y-124313297D01* -X82403392Y-124301211D01* -X82406361Y-124289360D01* -X82410477Y-124277856D01* -X82415701Y-124266811D01* -X82421982Y-124256332D01* -X82429260Y-124246518D01* -X82437465Y-124237465D01* -X82446518Y-124229260D01* -X82456332Y-124221982D01* -X82466811Y-124215701D01* -X82477856Y-124210477D01* -X82489360Y-124206361D01* -X82501211Y-124203392D01* -X82513297Y-124201600D01* -X82525500Y-124201000D01* -X82774500Y-124201000D01* -X82786703Y-124201600D01* -G37* -G36* -X83436703Y-124201600D02* -G01* -X83448789Y-124203392D01* -X83460640Y-124206361D01* -X83472144Y-124210477D01* -X83483189Y-124215701D01* -X83493668Y-124221982D01* -X83503482Y-124229260D01* -X83512535Y-124237465D01* -X83520740Y-124246518D01* -X83528018Y-124256332D01* -X83534299Y-124266811D01* -X83539523Y-124277856D01* -X83543639Y-124289360D01* -X83546608Y-124301211D01* -X83548400Y-124313297D01* -X83549000Y-124325500D01* -X83549000Y-125574500D01* -X83548400Y-125586703D01* -X83546608Y-125598789D01* -X83543639Y-125610640D01* -X83539523Y-125622144D01* -X83534299Y-125633189D01* -X83528018Y-125643668D01* -X83520740Y-125653482D01* -X83512535Y-125662535D01* -X83503482Y-125670740D01* -X83493668Y-125678018D01* -X83483189Y-125684299D01* -X83472144Y-125689523D01* -X83460640Y-125693639D01* -X83448789Y-125696608D01* -X83436703Y-125698400D01* -X83424500Y-125699000D01* -X83175500Y-125699000D01* -X83163297Y-125698400D01* -X83151211Y-125696608D01* -X83139360Y-125693639D01* -X83127856Y-125689523D01* -X83116811Y-125684299D01* -X83106332Y-125678018D01* -X83096518Y-125670740D01* -X83087465Y-125662535D01* -X83079260Y-125653482D01* -X83071982Y-125643668D01* -X83065701Y-125633189D01* -X83060477Y-125622144D01* -X83056361Y-125610640D01* -X83053392Y-125598789D01* -X83051600Y-125586703D01* -X83051000Y-125574500D01* -X83051000Y-124325500D01* -X83051600Y-124313297D01* -X83053392Y-124301211D01* -X83056361Y-124289360D01* -X83060477Y-124277856D01* -X83065701Y-124266811D01* -X83071982Y-124256332D01* -X83079260Y-124246518D01* -X83087465Y-124237465D01* -X83096518Y-124229260D01* -X83106332Y-124221982D01* -X83116811Y-124215701D01* -X83127856Y-124210477D01* -X83139360Y-124206361D01* -X83151211Y-124203392D01* -X83163297Y-124201600D01* -X83175500Y-124201000D01* -X83424500Y-124201000D01* -X83436703Y-124201600D01* -G37* -G36* -X84086703Y-124201600D02* -G01* -X84098789Y-124203392D01* -X84110640Y-124206361D01* -X84122144Y-124210477D01* -X84133189Y-124215701D01* -X84143668Y-124221982D01* -X84153482Y-124229260D01* -X84162535Y-124237465D01* -X84170740Y-124246518D01* -X84178018Y-124256332D01* -X84184299Y-124266811D01* -X84189523Y-124277856D01* -X84193639Y-124289360D01* -X84196608Y-124301211D01* -X84198400Y-124313297D01* -X84199000Y-124325500D01* -X84199000Y-125574500D01* -X84198400Y-125586703D01* -X84196608Y-125598789D01* -X84193639Y-125610640D01* -X84189523Y-125622144D01* -X84184299Y-125633189D01* -X84178018Y-125643668D01* -X84170740Y-125653482D01* -X84162535Y-125662535D01* -X84153482Y-125670740D01* -X84143668Y-125678018D01* -X84133189Y-125684299D01* -X84122144Y-125689523D01* -X84110640Y-125693639D01* -X84098789Y-125696608D01* -X84086703Y-125698400D01* -X84074500Y-125699000D01* -X83825500Y-125699000D01* -X83813297Y-125698400D01* -X83801211Y-125696608D01* -X83789360Y-125693639D01* -X83777856Y-125689523D01* -X83766811Y-125684299D01* -X83756332Y-125678018D01* -X83746518Y-125670740D01* -X83737465Y-125662535D01* -X83729260Y-125653482D01* -X83721982Y-125643668D01* -X83715701Y-125633189D01* -X83710477Y-125622144D01* -X83706361Y-125610640D01* -X83703392Y-125598789D01* -X83701600Y-125586703D01* -X83701000Y-125574500D01* -X83701000Y-124325500D01* -X83701600Y-124313297D01* -X83703392Y-124301211D01* -X83706361Y-124289360D01* -X83710477Y-124277856D01* -X83715701Y-124266811D01* -X83721982Y-124256332D01* -X83729260Y-124246518D01* -X83737465Y-124237465D01* -X83746518Y-124229260D01* -X83756332Y-124221982D01* -X83766811Y-124215701D01* -X83777856Y-124210477D01* -X83789360Y-124206361D01* -X83801211Y-124203392D01* -X83813297Y-124201600D01* -X83825500Y-124201000D01* -X84074500Y-124201000D01* -X84086703Y-124201600D01* -G37* -G36* -X84086703Y-118301600D02* -G01* -X84098789Y-118303392D01* -X84110640Y-118306361D01* -X84122144Y-118310477D01* -X84133189Y-118315701D01* -X84143668Y-118321982D01* -X84153482Y-118329260D01* -X84162535Y-118337465D01* -X84170740Y-118346518D01* -X84178018Y-118356332D01* -X84184299Y-118366811D01* -X84189523Y-118377856D01* -X84193639Y-118389360D01* -X84196608Y-118401211D01* -X84198400Y-118413297D01* -X84199000Y-118425500D01* -X84199000Y-119674500D01* -X84198400Y-119686703D01* -X84196608Y-119698789D01* -X84193639Y-119710640D01* -X84189523Y-119722144D01* -X84184299Y-119733189D01* -X84178018Y-119743668D01* -X84170740Y-119753482D01* -X84162535Y-119762535D01* -X84153482Y-119770740D01* -X84143668Y-119778018D01* -X84133189Y-119784299D01* -X84122144Y-119789523D01* -X84110640Y-119793639D01* -X84098789Y-119796608D01* -X84086703Y-119798400D01* -X84074500Y-119799000D01* -X83825500Y-119799000D01* -X83813297Y-119798400D01* -X83801211Y-119796608D01* -X83789360Y-119793639D01* -X83777856Y-119789523D01* -X83766811Y-119784299D01* -X83756332Y-119778018D01* -X83746518Y-119770740D01* -X83737465Y-119762535D01* -X83729260Y-119753482D01* -X83721982Y-119743668D01* -X83715701Y-119733189D01* -X83710477Y-119722144D01* -X83706361Y-119710640D01* -X83703392Y-119698789D01* -X83701600Y-119686703D01* -X83701000Y-119674500D01* -X83701000Y-118425500D01* -X83701600Y-118413297D01* -X83703392Y-118401211D01* -X83706361Y-118389360D01* -X83710477Y-118377856D01* -X83715701Y-118366811D01* -X83721982Y-118356332D01* -X83729260Y-118346518D01* -X83737465Y-118337465D01* -X83746518Y-118329260D01* -X83756332Y-118321982D01* -X83766811Y-118315701D01* -X83777856Y-118310477D01* -X83789360Y-118306361D01* -X83801211Y-118303392D01* -X83813297Y-118301600D01* -X83825500Y-118301000D01* -X84074500Y-118301000D01* -X84086703Y-118301600D01* -G37* -G36* -X83436703Y-118301600D02* -G01* -X83448789Y-118303392D01* -X83460640Y-118306361D01* -X83472144Y-118310477D01* -X83483189Y-118315701D01* -X83493668Y-118321982D01* -X83503482Y-118329260D01* -X83512535Y-118337465D01* -X83520740Y-118346518D01* -X83528018Y-118356332D01* -X83534299Y-118366811D01* -X83539523Y-118377856D01* -X83543639Y-118389360D01* -X83546608Y-118401211D01* -X83548400Y-118413297D01* -X83549000Y-118425500D01* -X83549000Y-119674500D01* -X83548400Y-119686703D01* -X83546608Y-119698789D01* -X83543639Y-119710640D01* -X83539523Y-119722144D01* -X83534299Y-119733189D01* -X83528018Y-119743668D01* -X83520740Y-119753482D01* -X83512535Y-119762535D01* -X83503482Y-119770740D01* -X83493668Y-119778018D01* -X83483189Y-119784299D01* -X83472144Y-119789523D01* -X83460640Y-119793639D01* -X83448789Y-119796608D01* -X83436703Y-119798400D01* -X83424500Y-119799000D01* -X83175500Y-119799000D01* -X83163297Y-119798400D01* -X83151211Y-119796608D01* -X83139360Y-119793639D01* -X83127856Y-119789523D01* -X83116811Y-119784299D01* -X83106332Y-119778018D01* -X83096518Y-119770740D01* -X83087465Y-119762535D01* -X83079260Y-119753482D01* -X83071982Y-119743668D01* -X83065701Y-119733189D01* -X83060477Y-119722144D01* -X83056361Y-119710640D01* -X83053392Y-119698789D01* -X83051600Y-119686703D01* -X83051000Y-119674500D01* -X83051000Y-118425500D01* -X83051600Y-118413297D01* -X83053392Y-118401211D01* -X83056361Y-118389360D01* -X83060477Y-118377856D01* -X83065701Y-118366811D01* -X83071982Y-118356332D01* -X83079260Y-118346518D01* -X83087465Y-118337465D01* -X83096518Y-118329260D01* -X83106332Y-118321982D01* -X83116811Y-118315701D01* -X83127856Y-118310477D01* -X83139360Y-118306361D01* -X83151211Y-118303392D01* -X83163297Y-118301600D01* -X83175500Y-118301000D01* -X83424500Y-118301000D01* -X83436703Y-118301600D01* -G37* -G36* -X82786703Y-118301600D02* -G01* -X82798789Y-118303392D01* -X82810640Y-118306361D01* -X82822144Y-118310477D01* -X82833189Y-118315701D01* -X82843668Y-118321982D01* -X82853482Y-118329260D01* -X82862535Y-118337465D01* -X82870740Y-118346518D01* -X82878018Y-118356332D01* -X82884299Y-118366811D01* -X82889523Y-118377856D01* -X82893639Y-118389360D01* -X82896608Y-118401211D01* -X82898400Y-118413297D01* -X82899000Y-118425500D01* -X82899000Y-119674500D01* -X82898400Y-119686703D01* -X82896608Y-119698789D01* -X82893639Y-119710640D01* -X82889523Y-119722144D01* -X82884299Y-119733189D01* -X82878018Y-119743668D01* -X82870740Y-119753482D01* -X82862535Y-119762535D01* -X82853482Y-119770740D01* -X82843668Y-119778018D01* -X82833189Y-119784299D01* -X82822144Y-119789523D01* -X82810640Y-119793639D01* -X82798789Y-119796608D01* -X82786703Y-119798400D01* -X82774500Y-119799000D01* -X82525500Y-119799000D01* -X82513297Y-119798400D01* -X82501211Y-119796608D01* -X82489360Y-119793639D01* -X82477856Y-119789523D01* -X82466811Y-119784299D01* -X82456332Y-119778018D01* -X82446518Y-119770740D01* -X82437465Y-119762535D01* -X82429260Y-119753482D01* -X82421982Y-119743668D01* -X82415701Y-119733189D01* -X82410477Y-119722144D01* -X82406361Y-119710640D01* -X82403392Y-119698789D01* -X82401600Y-119686703D01* -X82401000Y-119674500D01* -X82401000Y-118425500D01* -X82401600Y-118413297D01* -X82403392Y-118401211D01* -X82406361Y-118389360D01* -X82410477Y-118377856D01* -X82415701Y-118366811D01* -X82421982Y-118356332D01* -X82429260Y-118346518D01* -X82437465Y-118337465D01* -X82446518Y-118329260D01* -X82456332Y-118321982D01* -X82466811Y-118315701D01* -X82477856Y-118310477D01* -X82489360Y-118306361D01* -X82501211Y-118303392D01* -X82513297Y-118301600D01* -X82525500Y-118301000D01* -X82774500Y-118301000D01* -X82786703Y-118301600D01* -G37* -G36* -X82136703Y-118301600D02* -G01* -X82148789Y-118303392D01* -X82160640Y-118306361D01* -X82172144Y-118310477D01* -X82183189Y-118315701D01* -X82193668Y-118321982D01* -X82203482Y-118329260D01* -X82212535Y-118337465D01* -X82220740Y-118346518D01* -X82228018Y-118356332D01* -X82234299Y-118366811D01* -X82239523Y-118377856D01* -X82243639Y-118389360D01* -X82246608Y-118401211D01* -X82248400Y-118413297D01* -X82249000Y-118425500D01* -X82249000Y-119674500D01* -X82248400Y-119686703D01* -X82246608Y-119698789D01* -X82243639Y-119710640D01* -X82239523Y-119722144D01* -X82234299Y-119733189D01* -X82228018Y-119743668D01* -X82220740Y-119753482D01* -X82212535Y-119762535D01* -X82203482Y-119770740D01* -X82193668Y-119778018D01* -X82183189Y-119784299D01* -X82172144Y-119789523D01* -X82160640Y-119793639D01* -X82148789Y-119796608D01* -X82136703Y-119798400D01* -X82124500Y-119799000D01* -X81875500Y-119799000D01* -X81863297Y-119798400D01* -X81851211Y-119796608D01* -X81839360Y-119793639D01* -X81827856Y-119789523D01* -X81816811Y-119784299D01* -X81806332Y-119778018D01* -X81796518Y-119770740D01* -X81787465Y-119762535D01* -X81779260Y-119753482D01* -X81771982Y-119743668D01* -X81765701Y-119733189D01* -X81760477Y-119722144D01* -X81756361Y-119710640D01* -X81753392Y-119698789D01* -X81751600Y-119686703D01* -X81751000Y-119674500D01* -X81751000Y-118425500D01* -X81751600Y-118413297D01* -X81753392Y-118401211D01* -X81756361Y-118389360D01* -X81760477Y-118377856D01* -X81765701Y-118366811D01* -X81771982Y-118356332D01* -X81779260Y-118346518D01* -X81787465Y-118337465D01* -X81796518Y-118329260D01* -X81806332Y-118321982D01* -X81816811Y-118315701D01* -X81827856Y-118310477D01* -X81839360Y-118306361D01* -X81851211Y-118303392D01* -X81863297Y-118301600D01* -X81875500Y-118301000D01* -X82124500Y-118301000D01* -X82136703Y-118301600D01* -G37* -G36* -X81486703Y-118301600D02* -G01* -X81498789Y-118303392D01* -X81510640Y-118306361D01* -X81522144Y-118310477D01* -X81533189Y-118315701D01* -X81543668Y-118321982D01* -X81553482Y-118329260D01* -X81562535Y-118337465D01* -X81570740Y-118346518D01* -X81578018Y-118356332D01* -X81584299Y-118366811D01* -X81589523Y-118377856D01* -X81593639Y-118389360D01* -X81596608Y-118401211D01* -X81598400Y-118413297D01* -X81599000Y-118425500D01* -X81599000Y-119674500D01* -X81598400Y-119686703D01* -X81596608Y-119698789D01* -X81593639Y-119710640D01* -X81589523Y-119722144D01* -X81584299Y-119733189D01* -X81578018Y-119743668D01* -X81570740Y-119753482D01* -X81562535Y-119762535D01* -X81553482Y-119770740D01* -X81543668Y-119778018D01* -X81533189Y-119784299D01* -X81522144Y-119789523D01* -X81510640Y-119793639D01* -X81498789Y-119796608D01* -X81486703Y-119798400D01* -X81474500Y-119799000D01* -X81225500Y-119799000D01* -X81213297Y-119798400D01* -X81201211Y-119796608D01* -X81189360Y-119793639D01* -X81177856Y-119789523D01* -X81166811Y-119784299D01* -X81156332Y-119778018D01* -X81146518Y-119770740D01* -X81137465Y-119762535D01* -X81129260Y-119753482D01* -X81121982Y-119743668D01* -X81115701Y-119733189D01* -X81110477Y-119722144D01* -X81106361Y-119710640D01* -X81103392Y-119698789D01* -X81101600Y-119686703D01* -X81101000Y-119674500D01* -X81101000Y-118425500D01* -X81101600Y-118413297D01* -X81103392Y-118401211D01* -X81106361Y-118389360D01* -X81110477Y-118377856D01* -X81115701Y-118366811D01* -X81121982Y-118356332D01* -X81129260Y-118346518D01* -X81137465Y-118337465D01* -X81146518Y-118329260D01* -X81156332Y-118321982D01* -X81166811Y-118315701D01* -X81177856Y-118310477D01* -X81189360Y-118306361D01* -X81201211Y-118303392D01* -X81213297Y-118301600D01* -X81225500Y-118301000D01* -X81474500Y-118301000D01* -X81486703Y-118301600D01* -G37* -G36* -X80836703Y-118301600D02* -G01* -X80848789Y-118303392D01* -X80860640Y-118306361D01* -X80872144Y-118310477D01* -X80883189Y-118315701D01* -X80893668Y-118321982D01* -X80903482Y-118329260D01* -X80912535Y-118337465D01* -X80920740Y-118346518D01* -X80928018Y-118356332D01* -X80934299Y-118366811D01* -X80939523Y-118377856D01* -X80943639Y-118389360D01* -X80946608Y-118401211D01* -X80948400Y-118413297D01* -X80949000Y-118425500D01* -X80949000Y-119674500D01* -X80948400Y-119686703D01* -X80946608Y-119698789D01* -X80943639Y-119710640D01* -X80939523Y-119722144D01* -X80934299Y-119733189D01* -X80928018Y-119743668D01* -X80920740Y-119753482D01* -X80912535Y-119762535D01* -X80903482Y-119770740D01* -X80893668Y-119778018D01* -X80883189Y-119784299D01* -X80872144Y-119789523D01* -X80860640Y-119793639D01* -X80848789Y-119796608D01* -X80836703Y-119798400D01* -X80824500Y-119799000D01* -X80575500Y-119799000D01* -X80563297Y-119798400D01* -X80551211Y-119796608D01* -X80539360Y-119793639D01* -X80527856Y-119789523D01* -X80516811Y-119784299D01* -X80506332Y-119778018D01* -X80496518Y-119770740D01* -X80487465Y-119762535D01* -X80479260Y-119753482D01* -X80471982Y-119743668D01* -X80465701Y-119733189D01* -X80460477Y-119722144D01* -X80456361Y-119710640D01* -X80453392Y-119698789D01* -X80451600Y-119686703D01* -X80451000Y-119674500D01* -X80451000Y-118425500D01* -X80451600Y-118413297D01* -X80453392Y-118401211D01* -X80456361Y-118389360D01* -X80460477Y-118377856D01* -X80465701Y-118366811D01* -X80471982Y-118356332D01* -X80479260Y-118346518D01* -X80487465Y-118337465D01* -X80496518Y-118329260D01* -X80506332Y-118321982D01* -X80516811Y-118315701D01* -X80527856Y-118310477D01* -X80539360Y-118306361D01* -X80551211Y-118303392D01* -X80563297Y-118301600D01* -X80575500Y-118301000D01* -X80824500Y-118301000D01* -X80836703Y-118301600D01* -G37* -G36* -X80186703Y-118301600D02* -G01* -X80198789Y-118303392D01* -X80210640Y-118306361D01* -X80222144Y-118310477D01* -X80233189Y-118315701D01* -X80243668Y-118321982D01* -X80253482Y-118329260D01* -X80262535Y-118337465D01* -X80270740Y-118346518D01* -X80278018Y-118356332D01* -X80284299Y-118366811D01* -X80289523Y-118377856D01* -X80293639Y-118389360D01* -X80296608Y-118401211D01* -X80298400Y-118413297D01* -X80299000Y-118425500D01* -X80299000Y-119674500D01* -X80298400Y-119686703D01* -X80296608Y-119698789D01* -X80293639Y-119710640D01* -X80289523Y-119722144D01* -X80284299Y-119733189D01* -X80278018Y-119743668D01* -X80270740Y-119753482D01* -X80262535Y-119762535D01* -X80253482Y-119770740D01* -X80243668Y-119778018D01* -X80233189Y-119784299D01* -X80222144Y-119789523D01* -X80210640Y-119793639D01* -X80198789Y-119796608D01* -X80186703Y-119798400D01* -X80174500Y-119799000D01* -X79925500Y-119799000D01* -X79913297Y-119798400D01* -X79901211Y-119796608D01* -X79889360Y-119793639D01* -X79877856Y-119789523D01* -X79866811Y-119784299D01* -X79856332Y-119778018D01* -X79846518Y-119770740D01* -X79837465Y-119762535D01* -X79829260Y-119753482D01* -X79821982Y-119743668D01* -X79815701Y-119733189D01* -X79810477Y-119722144D01* -X79806361Y-119710640D01* -X79803392Y-119698789D01* -X79801600Y-119686703D01* -X79801000Y-119674500D01* -X79801000Y-118425500D01* -X79801600Y-118413297D01* -X79803392Y-118401211D01* -X79806361Y-118389360D01* -X79810477Y-118377856D01* -X79815701Y-118366811D01* -X79821982Y-118356332D01* -X79829260Y-118346518D01* -X79837465Y-118337465D01* -X79846518Y-118329260D01* -X79856332Y-118321982D01* -X79866811Y-118315701D01* -X79877856Y-118310477D01* -X79889360Y-118306361D01* -X79901211Y-118303392D01* -X79913297Y-118301600D01* -X79925500Y-118301000D01* -X80174500Y-118301000D01* -X80186703Y-118301600D01* -G37* -G36* -X79536703Y-118301600D02* -G01* -X79548789Y-118303392D01* -X79560640Y-118306361D01* -X79572144Y-118310477D01* -X79583189Y-118315701D01* -X79593668Y-118321982D01* -X79603482Y-118329260D01* -X79612535Y-118337465D01* -X79620740Y-118346518D01* -X79628018Y-118356332D01* -X79634299Y-118366811D01* -X79639523Y-118377856D01* -X79643639Y-118389360D01* -X79646608Y-118401211D01* -X79648400Y-118413297D01* -X79649000Y-118425500D01* -X79649000Y-119674500D01* -X79648400Y-119686703D01* -X79646608Y-119698789D01* -X79643639Y-119710640D01* -X79639523Y-119722144D01* -X79634299Y-119733189D01* -X79628018Y-119743668D01* -X79620740Y-119753482D01* -X79612535Y-119762535D01* -X79603482Y-119770740D01* -X79593668Y-119778018D01* -X79583189Y-119784299D01* -X79572144Y-119789523D01* -X79560640Y-119793639D01* -X79548789Y-119796608D01* -X79536703Y-119798400D01* -X79524500Y-119799000D01* -X79275500Y-119799000D01* -X79263297Y-119798400D01* -X79251211Y-119796608D01* -X79239360Y-119793639D01* -X79227856Y-119789523D01* -X79216811Y-119784299D01* -X79206332Y-119778018D01* -X79196518Y-119770740D01* -X79187465Y-119762535D01* -X79179260Y-119753482D01* -X79171982Y-119743668D01* -X79165701Y-119733189D01* -X79160477Y-119722144D01* -X79156361Y-119710640D01* -X79153392Y-119698789D01* -X79151600Y-119686703D01* -X79151000Y-119674500D01* -X79151000Y-118425500D01* -X79151600Y-118413297D01* -X79153392Y-118401211D01* -X79156361Y-118389360D01* -X79160477Y-118377856D01* -X79165701Y-118366811D01* -X79171982Y-118356332D01* -X79179260Y-118346518D01* -X79187465Y-118337465D01* -X79196518Y-118329260D01* -X79206332Y-118321982D01* -X79216811Y-118315701D01* -X79227856Y-118310477D01* -X79239360Y-118306361D01* -X79251211Y-118303392D01* -X79263297Y-118301600D01* -X79275500Y-118301000D01* -X79524500Y-118301000D01* -X79536703Y-118301600D01* -G37* -G36* -X78886703Y-118301600D02* -G01* -X78898789Y-118303392D01* -X78910640Y-118306361D01* -X78922144Y-118310477D01* -X78933189Y-118315701D01* -X78943668Y-118321982D01* -X78953482Y-118329260D01* -X78962535Y-118337465D01* -X78970740Y-118346518D01* -X78978018Y-118356332D01* -X78984299Y-118366811D01* -X78989523Y-118377856D01* -X78993639Y-118389360D01* -X78996608Y-118401211D01* -X78998400Y-118413297D01* -X78999000Y-118425500D01* -X78999000Y-119674500D01* -X78998400Y-119686703D01* -X78996608Y-119698789D01* -X78993639Y-119710640D01* -X78989523Y-119722144D01* -X78984299Y-119733189D01* -X78978018Y-119743668D01* -X78970740Y-119753482D01* -X78962535Y-119762535D01* -X78953482Y-119770740D01* -X78943668Y-119778018D01* -X78933189Y-119784299D01* -X78922144Y-119789523D01* -X78910640Y-119793639D01* -X78898789Y-119796608D01* -X78886703Y-119798400D01* -X78874500Y-119799000D01* -X78625500Y-119799000D01* -X78613297Y-119798400D01* -X78601211Y-119796608D01* -X78589360Y-119793639D01* -X78577856Y-119789523D01* -X78566811Y-119784299D01* -X78556332Y-119778018D01* -X78546518Y-119770740D01* -X78537465Y-119762535D01* -X78529260Y-119753482D01* -X78521982Y-119743668D01* -X78515701Y-119733189D01* -X78510477Y-119722144D01* -X78506361Y-119710640D01* -X78503392Y-119698789D01* -X78501600Y-119686703D01* -X78501000Y-119674500D01* -X78501000Y-118425500D01* -X78501600Y-118413297D01* -X78503392Y-118401211D01* -X78506361Y-118389360D01* -X78510477Y-118377856D01* -X78515701Y-118366811D01* -X78521982Y-118356332D01* -X78529260Y-118346518D01* -X78537465Y-118337465D01* -X78546518Y-118329260D01* -X78556332Y-118321982D01* -X78566811Y-118315701D01* -X78577856Y-118310477D01* -X78589360Y-118306361D01* -X78601211Y-118303392D01* -X78613297Y-118301600D01* -X78625500Y-118301000D01* -X78874500Y-118301000D01* -X78886703Y-118301600D01* -G37* -G36* -X78236703Y-118301600D02* -G01* -X78248789Y-118303392D01* -X78260640Y-118306361D01* -X78272144Y-118310477D01* -X78283189Y-118315701D01* -X78293668Y-118321982D01* -X78303482Y-118329260D01* -X78312535Y-118337465D01* -X78320740Y-118346518D01* -X78328018Y-118356332D01* -X78334299Y-118366811D01* -X78339523Y-118377856D01* -X78343639Y-118389360D01* -X78346608Y-118401211D01* -X78348400Y-118413297D01* -X78349000Y-118425500D01* -X78349000Y-119674500D01* -X78348400Y-119686703D01* -X78346608Y-119698789D01* -X78343639Y-119710640D01* -X78339523Y-119722144D01* -X78334299Y-119733189D01* -X78328018Y-119743668D01* -X78320740Y-119753482D01* -X78312535Y-119762535D01* -X78303482Y-119770740D01* -X78293668Y-119778018D01* -X78283189Y-119784299D01* -X78272144Y-119789523D01* -X78260640Y-119793639D01* -X78248789Y-119796608D01* -X78236703Y-119798400D01* -X78224500Y-119799000D01* -X77975500Y-119799000D01* -X77963297Y-119798400D01* -X77951211Y-119796608D01* -X77939360Y-119793639D01* -X77927856Y-119789523D01* -X77916811Y-119784299D01* -X77906332Y-119778018D01* -X77896518Y-119770740D01* -X77887465Y-119762535D01* -X77879260Y-119753482D01* -X77871982Y-119743668D01* -X77865701Y-119733189D01* -X77860477Y-119722144D01* -X77856361Y-119710640D01* -X77853392Y-119698789D01* -X77851600Y-119686703D01* -X77851000Y-119674500D01* -X77851000Y-118425500D01* -X77851600Y-118413297D01* -X77853392Y-118401211D01* -X77856361Y-118389360D01* -X77860477Y-118377856D01* -X77865701Y-118366811D01* -X77871982Y-118356332D01* -X77879260Y-118346518D01* -X77887465Y-118337465D01* -X77896518Y-118329260D01* -X77906332Y-118321982D01* -X77916811Y-118315701D01* -X77927856Y-118310477D01* -X77939360Y-118306361D01* -X77951211Y-118303392D01* -X77963297Y-118301600D01* -X77975500Y-118301000D01* -X78224500Y-118301000D01* -X78236703Y-118301600D01* -G37* -G36* -X88145527Y-92976419D02* -G01* -X88153973Y-92977672D01* -X88162255Y-92979746D01* -X88170293Y-92982622D01* -X88178012Y-92986273D01* -X88185335Y-92990662D01* -X88192192Y-92995748D01* -X88198518Y-93001482D01* -X88204252Y-93007808D01* -X88209338Y-93014665D01* -X88213727Y-93021988D01* -X88217378Y-93029707D01* -X88220254Y-93037745D01* -X88222328Y-93046027D01* -X88223581Y-93054473D01* -X88224000Y-93063000D01* -X88224000Y-94412000D01* -X88223581Y-94420527D01* -X88222328Y-94428973D01* -X88220254Y-94437255D01* -X88217378Y-94445293D01* -X88213727Y-94453012D01* -X88209338Y-94460335D01* -X88204252Y-94467192D01* -X88198518Y-94473518D01* -X88192192Y-94479252D01* -X88185335Y-94484338D01* -X88178012Y-94488727D01* -X88170293Y-94492378D01* -X88162255Y-94495254D01* -X88153973Y-94497328D01* -X88145527Y-94498581D01* -X88137000Y-94499000D01* -X87963000Y-94499000D01* -X87954473Y-94498581D01* -X87946027Y-94497328D01* -X87937745Y-94495254D01* -X87929707Y-94492378D01* -X87921988Y-94488727D01* -X87914665Y-94484338D01* -X87907808Y-94479252D01* -X87901482Y-94473518D01* -X87895748Y-94467192D01* -X87890662Y-94460335D01* -X87886273Y-94453012D01* -X87882622Y-94445293D01* -X87879746Y-94437255D01* -X87877672Y-94428973D01* -X87876419Y-94420527D01* -X87876000Y-94412000D01* -X87876000Y-93063000D01* -X87876419Y-93054473D01* -X87877672Y-93046027D01* -X87879746Y-93037745D01* -X87882622Y-93029707D01* -X87886273Y-93021988D01* -X87890662Y-93014665D01* -X87895748Y-93007808D01* -X87901482Y-93001482D01* -X87907808Y-92995748D01* -X87914665Y-92990662D01* -X87921988Y-92986273D01* -X87929707Y-92982622D01* -X87937745Y-92979746D01* -X87946027Y-92977672D01* -X87954473Y-92976419D01* -X87963000Y-92976000D01* -X88137000Y-92976000D01* -X88145527Y-92976419D01* -G37* -G36* -X88645527Y-92976419D02* -G01* -X88653973Y-92977672D01* -X88662255Y-92979746D01* -X88670293Y-92982622D01* -X88678012Y-92986273D01* -X88685335Y-92990662D01* -X88692192Y-92995748D01* -X88698518Y-93001482D01* -X88704252Y-93007808D01* -X88709338Y-93014665D01* -X88713727Y-93021988D01* -X88717378Y-93029707D01* -X88720254Y-93037745D01* -X88722328Y-93046027D01* -X88723581Y-93054473D01* -X88724000Y-93063000D01* -X88724000Y-94412000D01* -X88723581Y-94420527D01* -X88722328Y-94428973D01* -X88720254Y-94437255D01* -X88717378Y-94445293D01* -X88713727Y-94453012D01* -X88709338Y-94460335D01* -X88704252Y-94467192D01* -X88698518Y-94473518D01* -X88692192Y-94479252D01* -X88685335Y-94484338D01* -X88678012Y-94488727D01* -X88670293Y-94492378D01* -X88662255Y-94495254D01* -X88653973Y-94497328D01* -X88645527Y-94498581D01* -X88637000Y-94499000D01* -X88463000Y-94499000D01* -X88454473Y-94498581D01* -X88446027Y-94497328D01* -X88437745Y-94495254D01* -X88429707Y-94492378D01* -X88421988Y-94488727D01* -X88414665Y-94484338D01* -X88407808Y-94479252D01* -X88401482Y-94473518D01* -X88395748Y-94467192D01* -X88390662Y-94460335D01* -X88386273Y-94453012D01* -X88382622Y-94445293D01* -X88379746Y-94437255D01* -X88377672Y-94428973D01* -X88376419Y-94420527D01* -X88376000Y-94412000D01* -X88376000Y-93063000D01* -X88376419Y-93054473D01* -X88377672Y-93046027D01* -X88379746Y-93037745D01* -X88382622Y-93029707D01* -X88386273Y-93021988D01* -X88390662Y-93014665D01* -X88395748Y-93007808D01* -X88401482Y-93001482D01* -X88407808Y-92995748D01* -X88414665Y-92990662D01* -X88421988Y-92986273D01* -X88429707Y-92982622D01* -X88437745Y-92979746D01* -X88446027Y-92977672D01* -X88454473Y-92976419D01* -X88463000Y-92976000D01* -X88637000Y-92976000D01* -X88645527Y-92976419D01* -G37* -G36* -X89145527Y-92976419D02* -G01* -X89153973Y-92977672D01* -X89162255Y-92979746D01* -X89170293Y-92982622D01* -X89178012Y-92986273D01* -X89185335Y-92990662D01* -X89192192Y-92995748D01* -X89198518Y-93001482D01* -X89204252Y-93007808D01* -X89209338Y-93014665D01* -X89213727Y-93021988D01* -X89217378Y-93029707D01* -X89220254Y-93037745D01* -X89222328Y-93046027D01* -X89223581Y-93054473D01* -X89224000Y-93063000D01* -X89224000Y-94412000D01* -X89223581Y-94420527D01* -X89222328Y-94428973D01* -X89220254Y-94437255D01* -X89217378Y-94445293D01* -X89213727Y-94453012D01* -X89209338Y-94460335D01* -X89204252Y-94467192D01* -X89198518Y-94473518D01* -X89192192Y-94479252D01* -X89185335Y-94484338D01* -X89178012Y-94488727D01* -X89170293Y-94492378D01* -X89162255Y-94495254D01* -X89153973Y-94497328D01* -X89145527Y-94498581D01* -X89137000Y-94499000D01* -X88963000Y-94499000D01* -X88954473Y-94498581D01* -X88946027Y-94497328D01* -X88937745Y-94495254D01* -X88929707Y-94492378D01* -X88921988Y-94488727D01* -X88914665Y-94484338D01* -X88907808Y-94479252D01* -X88901482Y-94473518D01* -X88895748Y-94467192D01* -X88890662Y-94460335D01* -X88886273Y-94453012D01* -X88882622Y-94445293D01* -X88879746Y-94437255D01* -X88877672Y-94428973D01* -X88876419Y-94420527D01* -X88876000Y-94412000D01* -X88876000Y-93063000D01* -X88876419Y-93054473D01* -X88877672Y-93046027D01* -X88879746Y-93037745D01* -X88882622Y-93029707D01* -X88886273Y-93021988D01* -X88890662Y-93014665D01* -X88895748Y-93007808D01* -X88901482Y-93001482D01* -X88907808Y-92995748D01* -X88914665Y-92990662D01* -X88921988Y-92986273D01* -X88929707Y-92982622D01* -X88937745Y-92979746D01* -X88946027Y-92977672D01* -X88954473Y-92976419D01* -X88963000Y-92976000D01* -X89137000Y-92976000D01* -X89145527Y-92976419D01* -G37* -G36* -X89645527Y-92976419D02* -G01* -X89653973Y-92977672D01* -X89662255Y-92979746D01* -X89670293Y-92982622D01* -X89678012Y-92986273D01* -X89685335Y-92990662D01* -X89692192Y-92995748D01* -X89698518Y-93001482D01* -X89704252Y-93007808D01* -X89709338Y-93014665D01* -X89713727Y-93021988D01* -X89717378Y-93029707D01* -X89720254Y-93037745D01* -X89722328Y-93046027D01* -X89723581Y-93054473D01* -X89724000Y-93063000D01* -X89724000Y-94412000D01* -X89723581Y-94420527D01* -X89722328Y-94428973D01* -X89720254Y-94437255D01* -X89717378Y-94445293D01* -X89713727Y-94453012D01* -X89709338Y-94460335D01* -X89704252Y-94467192D01* -X89698518Y-94473518D01* -X89692192Y-94479252D01* -X89685335Y-94484338D01* -X89678012Y-94488727D01* -X89670293Y-94492378D01* -X89662255Y-94495254D01* -X89653973Y-94497328D01* -X89645527Y-94498581D01* -X89637000Y-94499000D01* -X89463000Y-94499000D01* -X89454473Y-94498581D01* -X89446027Y-94497328D01* -X89437745Y-94495254D01* -X89429707Y-94492378D01* -X89421988Y-94488727D01* -X89414665Y-94484338D01* -X89407808Y-94479252D01* -X89401482Y-94473518D01* -X89395748Y-94467192D01* -X89390662Y-94460335D01* -X89386273Y-94453012D01* -X89382622Y-94445293D01* -X89379746Y-94437255D01* -X89377672Y-94428973D01* -X89376419Y-94420527D01* -X89376000Y-94412000D01* -X89376000Y-93063000D01* -X89376419Y-93054473D01* -X89377672Y-93046027D01* -X89379746Y-93037745D01* -X89382622Y-93029707D01* -X89386273Y-93021988D01* -X89390662Y-93014665D01* -X89395748Y-93007808D01* -X89401482Y-93001482D01* -X89407808Y-92995748D01* -X89414665Y-92990662D01* -X89421988Y-92986273D01* -X89429707Y-92982622D01* -X89437745Y-92979746D01* -X89446027Y-92977672D01* -X89454473Y-92976419D01* -X89463000Y-92976000D01* -X89637000Y-92976000D01* -X89645527Y-92976419D01* -G37* -G36* -X90145527Y-92976419D02* -G01* -X90153973Y-92977672D01* -X90162255Y-92979746D01* -X90170293Y-92982622D01* -X90178012Y-92986273D01* -X90185335Y-92990662D01* -X90192192Y-92995748D01* -X90198518Y-93001482D01* -X90204252Y-93007808D01* -X90209338Y-93014665D01* -X90213727Y-93021988D01* -X90217378Y-93029707D01* -X90220254Y-93037745D01* -X90222328Y-93046027D01* -X90223581Y-93054473D01* -X90224000Y-93063000D01* -X90224000Y-94412000D01* -X90223581Y-94420527D01* -X90222328Y-94428973D01* -X90220254Y-94437255D01* -X90217378Y-94445293D01* -X90213727Y-94453012D01* -X90209338Y-94460335D01* -X90204252Y-94467192D01* -X90198518Y-94473518D01* -X90192192Y-94479252D01* -X90185335Y-94484338D01* -X90178012Y-94488727D01* -X90170293Y-94492378D01* -X90162255Y-94495254D01* -X90153973Y-94497328D01* -X90145527Y-94498581D01* -X90137000Y-94499000D01* -X89963000Y-94499000D01* -X89954473Y-94498581D01* -X89946027Y-94497328D01* -X89937745Y-94495254D01* -X89929707Y-94492378D01* -X89921988Y-94488727D01* -X89914665Y-94484338D01* -X89907808Y-94479252D01* -X89901482Y-94473518D01* -X89895748Y-94467192D01* -X89890662Y-94460335D01* -X89886273Y-94453012D01* -X89882622Y-94445293D01* -X89879746Y-94437255D01* -X89877672Y-94428973D01* -X89876419Y-94420527D01* -X89876000Y-94412000D01* -X89876000Y-93063000D01* -X89876419Y-93054473D01* -X89877672Y-93046027D01* -X89879746Y-93037745D01* -X89882622Y-93029707D01* -X89886273Y-93021988D01* -X89890662Y-93014665D01* -X89895748Y-93007808D01* -X89901482Y-93001482D01* -X89907808Y-92995748D01* -X89914665Y-92990662D01* -X89921988Y-92986273D01* -X89929707Y-92982622D01* -X89937745Y-92979746D01* -X89946027Y-92977672D01* -X89954473Y-92976419D01* -X89963000Y-92976000D01* -X90137000Y-92976000D01* -X90145527Y-92976419D01* -G37* -G36* -X90645527Y-92976419D02* -G01* -X90653973Y-92977672D01* -X90662255Y-92979746D01* -X90670293Y-92982622D01* -X90678012Y-92986273D01* -X90685335Y-92990662D01* -X90692192Y-92995748D01* -X90698518Y-93001482D01* -X90704252Y-93007808D01* -X90709338Y-93014665D01* -X90713727Y-93021988D01* -X90717378Y-93029707D01* -X90720254Y-93037745D01* -X90722328Y-93046027D01* -X90723581Y-93054473D01* -X90724000Y-93063000D01* -X90724000Y-94412000D01* -X90723581Y-94420527D01* -X90722328Y-94428973D01* -X90720254Y-94437255D01* -X90717378Y-94445293D01* -X90713727Y-94453012D01* -X90709338Y-94460335D01* -X90704252Y-94467192D01* -X90698518Y-94473518D01* -X90692192Y-94479252D01* -X90685335Y-94484338D01* -X90678012Y-94488727D01* -X90670293Y-94492378D01* -X90662255Y-94495254D01* -X90653973Y-94497328D01* -X90645527Y-94498581D01* -X90637000Y-94499000D01* -X90463000Y-94499000D01* -X90454473Y-94498581D01* -X90446027Y-94497328D01* -X90437745Y-94495254D01* -X90429707Y-94492378D01* -X90421988Y-94488727D01* -X90414665Y-94484338D01* -X90407808Y-94479252D01* -X90401482Y-94473518D01* -X90395748Y-94467192D01* -X90390662Y-94460335D01* -X90386273Y-94453012D01* -X90382622Y-94445293D01* -X90379746Y-94437255D01* -X90377672Y-94428973D01* -X90376419Y-94420527D01* -X90376000Y-94412000D01* -X90376000Y-93063000D01* -X90376419Y-93054473D01* -X90377672Y-93046027D01* -X90379746Y-93037745D01* -X90382622Y-93029707D01* -X90386273Y-93021988D01* -X90390662Y-93014665D01* -X90395748Y-93007808D01* -X90401482Y-93001482D01* -X90407808Y-92995748D01* -X90414665Y-92990662D01* -X90421988Y-92986273D01* -X90429707Y-92982622D01* -X90437745Y-92979746D01* -X90446027Y-92977672D01* -X90454473Y-92976419D01* -X90463000Y-92976000D01* -X90637000Y-92976000D01* -X90645527Y-92976419D01* -G37* -G36* -X91145527Y-92976419D02* -G01* -X91153973Y-92977672D01* -X91162255Y-92979746D01* -X91170293Y-92982622D01* -X91178012Y-92986273D01* -X91185335Y-92990662D01* -X91192192Y-92995748D01* -X91198518Y-93001482D01* -X91204252Y-93007808D01* -X91209338Y-93014665D01* -X91213727Y-93021988D01* -X91217378Y-93029707D01* -X91220254Y-93037745D01* -X91222328Y-93046027D01* -X91223581Y-93054473D01* -X91224000Y-93063000D01* -X91224000Y-94412000D01* -X91223581Y-94420527D01* -X91222328Y-94428973D01* -X91220254Y-94437255D01* -X91217378Y-94445293D01* -X91213727Y-94453012D01* -X91209338Y-94460335D01* -X91204252Y-94467192D01* -X91198518Y-94473518D01* -X91192192Y-94479252D01* -X91185335Y-94484338D01* -X91178012Y-94488727D01* -X91170293Y-94492378D01* -X91162255Y-94495254D01* -X91153973Y-94497328D01* -X91145527Y-94498581D01* -X91137000Y-94499000D01* -X90963000Y-94499000D01* -X90954473Y-94498581D01* -X90946027Y-94497328D01* -X90937745Y-94495254D01* -X90929707Y-94492378D01* -X90921988Y-94488727D01* -X90914665Y-94484338D01* -X90907808Y-94479252D01* -X90901482Y-94473518D01* -X90895748Y-94467192D01* -X90890662Y-94460335D01* -X90886273Y-94453012D01* -X90882622Y-94445293D01* -X90879746Y-94437255D01* -X90877672Y-94428973D01* -X90876419Y-94420527D01* -X90876000Y-94412000D01* -X90876000Y-93063000D01* -X90876419Y-93054473D01* -X90877672Y-93046027D01* -X90879746Y-93037745D01* -X90882622Y-93029707D01* -X90886273Y-93021988D01* -X90890662Y-93014665D01* -X90895748Y-93007808D01* -X90901482Y-93001482D01* -X90907808Y-92995748D01* -X90914665Y-92990662D01* -X90921988Y-92986273D01* -X90929707Y-92982622D01* -X90937745Y-92979746D01* -X90946027Y-92977672D01* -X90954473Y-92976419D01* -X90963000Y-92976000D01* -X91137000Y-92976000D01* -X91145527Y-92976419D01* -G37* -G36* -X91645527Y-92976419D02* -G01* -X91653973Y-92977672D01* -X91662255Y-92979746D01* -X91670293Y-92982622D01* -X91678012Y-92986273D01* -X91685335Y-92990662D01* -X91692192Y-92995748D01* -X91698518Y-93001482D01* -X91704252Y-93007808D01* -X91709338Y-93014665D01* -X91713727Y-93021988D01* -X91717378Y-93029707D01* -X91720254Y-93037745D01* -X91722328Y-93046027D01* -X91723581Y-93054473D01* -X91724000Y-93063000D01* -X91724000Y-94412000D01* -X91723581Y-94420527D01* -X91722328Y-94428973D01* -X91720254Y-94437255D01* -X91717378Y-94445293D01* -X91713727Y-94453012D01* -X91709338Y-94460335D01* -X91704252Y-94467192D01* -X91698518Y-94473518D01* -X91692192Y-94479252D01* -X91685335Y-94484338D01* -X91678012Y-94488727D01* -X91670293Y-94492378D01* -X91662255Y-94495254D01* -X91653973Y-94497328D01* -X91645527Y-94498581D01* -X91637000Y-94499000D01* -X91463000Y-94499000D01* -X91454473Y-94498581D01* -X91446027Y-94497328D01* -X91437745Y-94495254D01* -X91429707Y-94492378D01* -X91421988Y-94488727D01* -X91414665Y-94484338D01* -X91407808Y-94479252D01* -X91401482Y-94473518D01* -X91395748Y-94467192D01* -X91390662Y-94460335D01* -X91386273Y-94453012D01* -X91382622Y-94445293D01* -X91379746Y-94437255D01* -X91377672Y-94428973D01* -X91376419Y-94420527D01* -X91376000Y-94412000D01* -X91376000Y-93063000D01* -X91376419Y-93054473D01* -X91377672Y-93046027D01* -X91379746Y-93037745D01* -X91382622Y-93029707D01* -X91386273Y-93021988D01* -X91390662Y-93014665D01* -X91395748Y-93007808D01* -X91401482Y-93001482D01* -X91407808Y-92995748D01* -X91414665Y-92990662D01* -X91421988Y-92986273D01* -X91429707Y-92982622D01* -X91437745Y-92979746D01* -X91446027Y-92977672D01* -X91454473Y-92976419D01* -X91463000Y-92976000D01* -X91637000Y-92976000D01* -X91645527Y-92976419D01* -G37* -G36* -X92145527Y-92976419D02* -G01* -X92153973Y-92977672D01* -X92162255Y-92979746D01* -X92170293Y-92982622D01* -X92178012Y-92986273D01* -X92185335Y-92990662D01* -X92192192Y-92995748D01* -X92198518Y-93001482D01* -X92204252Y-93007808D01* -X92209338Y-93014665D01* -X92213727Y-93021988D01* -X92217378Y-93029707D01* -X92220254Y-93037745D01* -X92222328Y-93046027D01* -X92223581Y-93054473D01* -X92224000Y-93063000D01* -X92224000Y-94412000D01* -X92223581Y-94420527D01* -X92222328Y-94428973D01* -X92220254Y-94437255D01* -X92217378Y-94445293D01* -X92213727Y-94453012D01* -X92209338Y-94460335D01* -X92204252Y-94467192D01* -X92198518Y-94473518D01* -X92192192Y-94479252D01* -X92185335Y-94484338D01* -X92178012Y-94488727D01* -X92170293Y-94492378D01* -X92162255Y-94495254D01* -X92153973Y-94497328D01* -X92145527Y-94498581D01* -X92137000Y-94499000D01* -X91963000Y-94499000D01* -X91954473Y-94498581D01* -X91946027Y-94497328D01* -X91937745Y-94495254D01* -X91929707Y-94492378D01* -X91921988Y-94488727D01* -X91914665Y-94484338D01* -X91907808Y-94479252D01* -X91901482Y-94473518D01* -X91895748Y-94467192D01* -X91890662Y-94460335D01* -X91886273Y-94453012D01* -X91882622Y-94445293D01* -X91879746Y-94437255D01* -X91877672Y-94428973D01* -X91876419Y-94420527D01* -X91876000Y-94412000D01* -X91876000Y-93063000D01* -X91876419Y-93054473D01* -X91877672Y-93046027D01* -X91879746Y-93037745D01* -X91882622Y-93029707D01* -X91886273Y-93021988D01* -X91890662Y-93014665D01* -X91895748Y-93007808D01* -X91901482Y-93001482D01* -X91907808Y-92995748D01* -X91914665Y-92990662D01* -X91921988Y-92986273D01* -X91929707Y-92982622D01* -X91937745Y-92979746D01* -X91946027Y-92977672D01* -X91954473Y-92976419D01* -X91963000Y-92976000D01* -X92137000Y-92976000D01* -X92145527Y-92976419D01* -G37* -G36* -X92645527Y-92976419D02* -G01* -X92653973Y-92977672D01* -X92662255Y-92979746D01* -X92670293Y-92982622D01* -X92678012Y-92986273D01* -X92685335Y-92990662D01* -X92692192Y-92995748D01* -X92698518Y-93001482D01* -X92704252Y-93007808D01* -X92709338Y-93014665D01* -X92713727Y-93021988D01* -X92717378Y-93029707D01* -X92720254Y-93037745D01* -X92722328Y-93046027D01* -X92723581Y-93054473D01* -X92724000Y-93063000D01* -X92724000Y-94412000D01* -X92723581Y-94420527D01* -X92722328Y-94428973D01* -X92720254Y-94437255D01* -X92717378Y-94445293D01* -X92713727Y-94453012D01* -X92709338Y-94460335D01* -X92704252Y-94467192D01* -X92698518Y-94473518D01* -X92692192Y-94479252D01* -X92685335Y-94484338D01* -X92678012Y-94488727D01* -X92670293Y-94492378D01* -X92662255Y-94495254D01* -X92653973Y-94497328D01* -X92645527Y-94498581D01* -X92637000Y-94499000D01* -X92463000Y-94499000D01* -X92454473Y-94498581D01* -X92446027Y-94497328D01* -X92437745Y-94495254D01* -X92429707Y-94492378D01* -X92421988Y-94488727D01* -X92414665Y-94484338D01* -X92407808Y-94479252D01* -X92401482Y-94473518D01* -X92395748Y-94467192D01* -X92390662Y-94460335D01* -X92386273Y-94453012D01* -X92382622Y-94445293D01* -X92379746Y-94437255D01* -X92377672Y-94428973D01* -X92376419Y-94420527D01* -X92376000Y-94412000D01* -X92376000Y-93063000D01* -X92376419Y-93054473D01* -X92377672Y-93046027D01* -X92379746Y-93037745D01* -X92382622Y-93029707D01* -X92386273Y-93021988D01* -X92390662Y-93014665D01* -X92395748Y-93007808D01* -X92401482Y-93001482D01* -X92407808Y-92995748D01* -X92414665Y-92990662D01* -X92421988Y-92986273D01* -X92429707Y-92982622D01* -X92437745Y-92979746D01* -X92446027Y-92977672D01* -X92454473Y-92976419D01* -X92463000Y-92976000D01* -X92637000Y-92976000D01* -X92645527Y-92976419D01* -G37* -G36* -X93145527Y-92976419D02* -G01* -X93153973Y-92977672D01* -X93162255Y-92979746D01* -X93170293Y-92982622D01* -X93178012Y-92986273D01* -X93185335Y-92990662D01* -X93192192Y-92995748D01* -X93198518Y-93001482D01* -X93204252Y-93007808D01* -X93209338Y-93014665D01* -X93213727Y-93021988D01* -X93217378Y-93029707D01* -X93220254Y-93037745D01* -X93222328Y-93046027D01* -X93223581Y-93054473D01* -X93224000Y-93063000D01* -X93224000Y-94412000D01* -X93223581Y-94420527D01* -X93222328Y-94428973D01* -X93220254Y-94437255D01* -X93217378Y-94445293D01* -X93213727Y-94453012D01* -X93209338Y-94460335D01* -X93204252Y-94467192D01* -X93198518Y-94473518D01* -X93192192Y-94479252D01* -X93185335Y-94484338D01* -X93178012Y-94488727D01* -X93170293Y-94492378D01* -X93162255Y-94495254D01* -X93153973Y-94497328D01* -X93145527Y-94498581D01* -X93137000Y-94499000D01* -X92963000Y-94499000D01* -X92954473Y-94498581D01* -X92946027Y-94497328D01* -X92937745Y-94495254D01* -X92929707Y-94492378D01* -X92921988Y-94488727D01* -X92914665Y-94484338D01* -X92907808Y-94479252D01* -X92901482Y-94473518D01* -X92895748Y-94467192D01* -X92890662Y-94460335D01* -X92886273Y-94453012D01* -X92882622Y-94445293D01* -X92879746Y-94437255D01* -X92877672Y-94428973D01* -X92876419Y-94420527D01* -X92876000Y-94412000D01* -X92876000Y-93063000D01* -X92876419Y-93054473D01* -X92877672Y-93046027D01* -X92879746Y-93037745D01* -X92882622Y-93029707D01* -X92886273Y-93021988D01* -X92890662Y-93014665D01* -X92895748Y-93007808D01* -X92901482Y-93001482D01* -X92907808Y-92995748D01* -X92914665Y-92990662D01* -X92921988Y-92986273D01* -X92929707Y-92982622D01* -X92937745Y-92979746D01* -X92946027Y-92977672D01* -X92954473Y-92976419D01* -X92963000Y-92976000D01* -X93137000Y-92976000D01* -X93145527Y-92976419D01* -G37* -G36* -X93645527Y-92976419D02* -G01* -X93653973Y-92977672D01* -X93662255Y-92979746D01* -X93670293Y-92982622D01* -X93678012Y-92986273D01* -X93685335Y-92990662D01* -X93692192Y-92995748D01* -X93698518Y-93001482D01* -X93704252Y-93007808D01* -X93709338Y-93014665D01* -X93713727Y-93021988D01* -X93717378Y-93029707D01* -X93720254Y-93037745D01* -X93722328Y-93046027D01* -X93723581Y-93054473D01* -X93724000Y-93063000D01* -X93724000Y-94412000D01* -X93723581Y-94420527D01* -X93722328Y-94428973D01* -X93720254Y-94437255D01* -X93717378Y-94445293D01* -X93713727Y-94453012D01* -X93709338Y-94460335D01* -X93704252Y-94467192D01* -X93698518Y-94473518D01* -X93692192Y-94479252D01* -X93685335Y-94484338D01* -X93678012Y-94488727D01* -X93670293Y-94492378D01* -X93662255Y-94495254D01* -X93653973Y-94497328D01* -X93645527Y-94498581D01* -X93637000Y-94499000D01* -X93463000Y-94499000D01* -X93454473Y-94498581D01* -X93446027Y-94497328D01* -X93437745Y-94495254D01* -X93429707Y-94492378D01* -X93421988Y-94488727D01* -X93414665Y-94484338D01* -X93407808Y-94479252D01* -X93401482Y-94473518D01* -X93395748Y-94467192D01* -X93390662Y-94460335D01* -X93386273Y-94453012D01* -X93382622Y-94445293D01* -X93379746Y-94437255D01* -X93377672Y-94428973D01* -X93376419Y-94420527D01* -X93376000Y-94412000D01* -X93376000Y-93063000D01* -X93376419Y-93054473D01* -X93377672Y-93046027D01* -X93379746Y-93037745D01* -X93382622Y-93029707D01* -X93386273Y-93021988D01* -X93390662Y-93014665D01* -X93395748Y-93007808D01* -X93401482Y-93001482D01* -X93407808Y-92995748D01* -X93414665Y-92990662D01* -X93421988Y-92986273D01* -X93429707Y-92982622D01* -X93437745Y-92979746D01* -X93446027Y-92977672D01* -X93454473Y-92976419D01* -X93463000Y-92976000D01* -X93637000Y-92976000D01* -X93645527Y-92976419D01* -G37* -G36* -X94145527Y-92976419D02* -G01* -X94153973Y-92977672D01* -X94162255Y-92979746D01* -X94170293Y-92982622D01* -X94178012Y-92986273D01* -X94185335Y-92990662D01* -X94192192Y-92995748D01* -X94198518Y-93001482D01* -X94204252Y-93007808D01* -X94209338Y-93014665D01* -X94213727Y-93021988D01* -X94217378Y-93029707D01* -X94220254Y-93037745D01* -X94222328Y-93046027D01* -X94223581Y-93054473D01* -X94224000Y-93063000D01* -X94224000Y-94412000D01* -X94223581Y-94420527D01* -X94222328Y-94428973D01* -X94220254Y-94437255D01* -X94217378Y-94445293D01* -X94213727Y-94453012D01* -X94209338Y-94460335D01* -X94204252Y-94467192D01* -X94198518Y-94473518D01* -X94192192Y-94479252D01* -X94185335Y-94484338D01* -X94178012Y-94488727D01* -X94170293Y-94492378D01* -X94162255Y-94495254D01* -X94153973Y-94497328D01* -X94145527Y-94498581D01* -X94137000Y-94499000D01* -X93963000Y-94499000D01* -X93954473Y-94498581D01* -X93946027Y-94497328D01* -X93937745Y-94495254D01* -X93929707Y-94492378D01* -X93921988Y-94488727D01* -X93914665Y-94484338D01* -X93907808Y-94479252D01* -X93901482Y-94473518D01* -X93895748Y-94467192D01* -X93890662Y-94460335D01* -X93886273Y-94453012D01* -X93882622Y-94445293D01* -X93879746Y-94437255D01* -X93877672Y-94428973D01* -X93876419Y-94420527D01* -X93876000Y-94412000D01* -X93876000Y-93063000D01* -X93876419Y-93054473D01* -X93877672Y-93046027D01* -X93879746Y-93037745D01* -X93882622Y-93029707D01* -X93886273Y-93021988D01* -X93890662Y-93014665D01* -X93895748Y-93007808D01* -X93901482Y-93001482D01* -X93907808Y-92995748D01* -X93914665Y-92990662D01* -X93921988Y-92986273D01* -X93929707Y-92982622D01* -X93937745Y-92979746D01* -X93946027Y-92977672D01* -X93954473Y-92976419D01* -X93963000Y-92976000D01* -X94137000Y-92976000D01* -X94145527Y-92976419D01* -G37* -G36* -X94645527Y-92976419D02* -G01* -X94653973Y-92977672D01* -X94662255Y-92979746D01* -X94670293Y-92982622D01* -X94678012Y-92986273D01* -X94685335Y-92990662D01* -X94692192Y-92995748D01* -X94698518Y-93001482D01* -X94704252Y-93007808D01* -X94709338Y-93014665D01* -X94713727Y-93021988D01* -X94717378Y-93029707D01* -X94720254Y-93037745D01* -X94722328Y-93046027D01* -X94723581Y-93054473D01* -X94724000Y-93063000D01* -X94724000Y-94412000D01* -X94723581Y-94420527D01* -X94722328Y-94428973D01* -X94720254Y-94437255D01* -X94717378Y-94445293D01* -X94713727Y-94453012D01* -X94709338Y-94460335D01* -X94704252Y-94467192D01* -X94698518Y-94473518D01* -X94692192Y-94479252D01* -X94685335Y-94484338D01* -X94678012Y-94488727D01* -X94670293Y-94492378D01* -X94662255Y-94495254D01* -X94653973Y-94497328D01* -X94645527Y-94498581D01* -X94637000Y-94499000D01* -X94463000Y-94499000D01* -X94454473Y-94498581D01* -X94446027Y-94497328D01* -X94437745Y-94495254D01* -X94429707Y-94492378D01* -X94421988Y-94488727D01* -X94414665Y-94484338D01* -X94407808Y-94479252D01* -X94401482Y-94473518D01* -X94395748Y-94467192D01* -X94390662Y-94460335D01* -X94386273Y-94453012D01* -X94382622Y-94445293D01* -X94379746Y-94437255D01* -X94377672Y-94428973D01* -X94376419Y-94420527D01* -X94376000Y-94412000D01* -X94376000Y-93063000D01* -X94376419Y-93054473D01* -X94377672Y-93046027D01* -X94379746Y-93037745D01* -X94382622Y-93029707D01* -X94386273Y-93021988D01* -X94390662Y-93014665D01* -X94395748Y-93007808D01* -X94401482Y-93001482D01* -X94407808Y-92995748D01* -X94414665Y-92990662D01* -X94421988Y-92986273D01* -X94429707Y-92982622D01* -X94437745Y-92979746D01* -X94446027Y-92977672D01* -X94454473Y-92976419D01* -X94463000Y-92976000D01* -X94637000Y-92976000D01* -X94645527Y-92976419D01* -G37* -G36* -X95145527Y-92976419D02* -G01* -X95153973Y-92977672D01* -X95162255Y-92979746D01* -X95170293Y-92982622D01* -X95178012Y-92986273D01* -X95185335Y-92990662D01* -X95192192Y-92995748D01* -X95198518Y-93001482D01* -X95204252Y-93007808D01* -X95209338Y-93014665D01* -X95213727Y-93021988D01* -X95217378Y-93029707D01* -X95220254Y-93037745D01* -X95222328Y-93046027D01* -X95223581Y-93054473D01* -X95224000Y-93063000D01* -X95224000Y-94412000D01* -X95223581Y-94420527D01* -X95222328Y-94428973D01* -X95220254Y-94437255D01* -X95217378Y-94445293D01* -X95213727Y-94453012D01* -X95209338Y-94460335D01* -X95204252Y-94467192D01* -X95198518Y-94473518D01* -X95192192Y-94479252D01* -X95185335Y-94484338D01* -X95178012Y-94488727D01* -X95170293Y-94492378D01* -X95162255Y-94495254D01* -X95153973Y-94497328D01* -X95145527Y-94498581D01* -X95137000Y-94499000D01* -X94963000Y-94499000D01* -X94954473Y-94498581D01* -X94946027Y-94497328D01* -X94937745Y-94495254D01* -X94929707Y-94492378D01* -X94921988Y-94488727D01* -X94914665Y-94484338D01* -X94907808Y-94479252D01* -X94901482Y-94473518D01* -X94895748Y-94467192D01* -X94890662Y-94460335D01* -X94886273Y-94453012D01* -X94882622Y-94445293D01* -X94879746Y-94437255D01* -X94877672Y-94428973D01* -X94876419Y-94420527D01* -X94876000Y-94412000D01* -X94876000Y-93063000D01* -X94876419Y-93054473D01* -X94877672Y-93046027D01* -X94879746Y-93037745D01* -X94882622Y-93029707D01* -X94886273Y-93021988D01* -X94890662Y-93014665D01* -X94895748Y-93007808D01* -X94901482Y-93001482D01* -X94907808Y-92995748D01* -X94914665Y-92990662D01* -X94921988Y-92986273D01* -X94929707Y-92982622D01* -X94937745Y-92979746D01* -X94946027Y-92977672D01* -X94954473Y-92976419D01* -X94963000Y-92976000D01* -X95137000Y-92976000D01* -X95145527Y-92976419D01* -G37* -G36* -X95645527Y-92976419D02* -G01* -X95653973Y-92977672D01* -X95662255Y-92979746D01* -X95670293Y-92982622D01* -X95678012Y-92986273D01* -X95685335Y-92990662D01* -X95692192Y-92995748D01* -X95698518Y-93001482D01* -X95704252Y-93007808D01* -X95709338Y-93014665D01* -X95713727Y-93021988D01* -X95717378Y-93029707D01* -X95720254Y-93037745D01* -X95722328Y-93046027D01* -X95723581Y-93054473D01* -X95724000Y-93063000D01* -X95724000Y-94412000D01* -X95723581Y-94420527D01* -X95722328Y-94428973D01* -X95720254Y-94437255D01* -X95717378Y-94445293D01* -X95713727Y-94453012D01* -X95709338Y-94460335D01* -X95704252Y-94467192D01* -X95698518Y-94473518D01* -X95692192Y-94479252D01* -X95685335Y-94484338D01* -X95678012Y-94488727D01* -X95670293Y-94492378D01* -X95662255Y-94495254D01* -X95653973Y-94497328D01* -X95645527Y-94498581D01* -X95637000Y-94499000D01* -X95463000Y-94499000D01* -X95454473Y-94498581D01* -X95446027Y-94497328D01* -X95437745Y-94495254D01* -X95429707Y-94492378D01* -X95421988Y-94488727D01* -X95414665Y-94484338D01* -X95407808Y-94479252D01* -X95401482Y-94473518D01* -X95395748Y-94467192D01* -X95390662Y-94460335D01* -X95386273Y-94453012D01* -X95382622Y-94445293D01* -X95379746Y-94437255D01* -X95377672Y-94428973D01* -X95376419Y-94420527D01* -X95376000Y-94412000D01* -X95376000Y-93063000D01* -X95376419Y-93054473D01* -X95377672Y-93046027D01* -X95379746Y-93037745D01* -X95382622Y-93029707D01* -X95386273Y-93021988D01* -X95390662Y-93014665D01* -X95395748Y-93007808D01* -X95401482Y-93001482D01* -X95407808Y-92995748D01* -X95414665Y-92990662D01* -X95421988Y-92986273D01* -X95429707Y-92982622D01* -X95437745Y-92979746D01* -X95446027Y-92977672D01* -X95454473Y-92976419D01* -X95463000Y-92976000D01* -X95637000Y-92976000D01* -X95645527Y-92976419D01* -G37* -G36* -X96145527Y-92976419D02* -G01* -X96153973Y-92977672D01* -X96162255Y-92979746D01* -X96170293Y-92982622D01* -X96178012Y-92986273D01* -X96185335Y-92990662D01* -X96192192Y-92995748D01* -X96198518Y-93001482D01* -X96204252Y-93007808D01* -X96209338Y-93014665D01* -X96213727Y-93021988D01* -X96217378Y-93029707D01* -X96220254Y-93037745D01* -X96222328Y-93046027D01* -X96223581Y-93054473D01* -X96224000Y-93063000D01* -X96224000Y-94412000D01* -X96223581Y-94420527D01* -X96222328Y-94428973D01* -X96220254Y-94437255D01* -X96217378Y-94445293D01* -X96213727Y-94453012D01* -X96209338Y-94460335D01* -X96204252Y-94467192D01* -X96198518Y-94473518D01* -X96192192Y-94479252D01* -X96185335Y-94484338D01* -X96178012Y-94488727D01* -X96170293Y-94492378D01* -X96162255Y-94495254D01* -X96153973Y-94497328D01* -X96145527Y-94498581D01* -X96137000Y-94499000D01* -X95963000Y-94499000D01* -X95954473Y-94498581D01* -X95946027Y-94497328D01* -X95937745Y-94495254D01* -X95929707Y-94492378D01* -X95921988Y-94488727D01* -X95914665Y-94484338D01* -X95907808Y-94479252D01* -X95901482Y-94473518D01* -X95895748Y-94467192D01* -X95890662Y-94460335D01* -X95886273Y-94453012D01* -X95882622Y-94445293D01* -X95879746Y-94437255D01* -X95877672Y-94428973D01* -X95876419Y-94420527D01* -X95876000Y-94412000D01* -X95876000Y-93063000D01* -X95876419Y-93054473D01* -X95877672Y-93046027D01* -X95879746Y-93037745D01* -X95882622Y-93029707D01* -X95886273Y-93021988D01* -X95890662Y-93014665D01* -X95895748Y-93007808D01* -X95901482Y-93001482D01* -X95907808Y-92995748D01* -X95914665Y-92990662D01* -X95921988Y-92986273D01* -X95929707Y-92982622D01* -X95937745Y-92979746D01* -X95946027Y-92977672D01* -X95954473Y-92976419D01* -X95963000Y-92976000D01* -X96137000Y-92976000D01* -X96145527Y-92976419D01* -G37* -G36* -X96645527Y-92976419D02* -G01* -X96653973Y-92977672D01* -X96662255Y-92979746D01* -X96670293Y-92982622D01* -X96678012Y-92986273D01* -X96685335Y-92990662D01* -X96692192Y-92995748D01* -X96698518Y-93001482D01* -X96704252Y-93007808D01* -X96709338Y-93014665D01* -X96713727Y-93021988D01* -X96717378Y-93029707D01* -X96720254Y-93037745D01* -X96722328Y-93046027D01* -X96723581Y-93054473D01* -X96724000Y-93063000D01* -X96724000Y-94412000D01* -X96723581Y-94420527D01* -X96722328Y-94428973D01* -X96720254Y-94437255D01* -X96717378Y-94445293D01* -X96713727Y-94453012D01* -X96709338Y-94460335D01* -X96704252Y-94467192D01* -X96698518Y-94473518D01* -X96692192Y-94479252D01* -X96685335Y-94484338D01* -X96678012Y-94488727D01* -X96670293Y-94492378D01* -X96662255Y-94495254D01* -X96653973Y-94497328D01* -X96645527Y-94498581D01* -X96637000Y-94499000D01* -X96463000Y-94499000D01* -X96454473Y-94498581D01* -X96446027Y-94497328D01* -X96437745Y-94495254D01* -X96429707Y-94492378D01* -X96421988Y-94488727D01* -X96414665Y-94484338D01* -X96407808Y-94479252D01* -X96401482Y-94473518D01* -X96395748Y-94467192D01* -X96390662Y-94460335D01* -X96386273Y-94453012D01* -X96382622Y-94445293D01* -X96379746Y-94437255D01* -X96377672Y-94428973D01* -X96376419Y-94420527D01* -X96376000Y-94412000D01* -X96376000Y-93063000D01* -X96376419Y-93054473D01* -X96377672Y-93046027D01* -X96379746Y-93037745D01* -X96382622Y-93029707D01* -X96386273Y-93021988D01* -X96390662Y-93014665D01* -X96395748Y-93007808D01* -X96401482Y-93001482D01* -X96407808Y-92995748D01* -X96414665Y-92990662D01* -X96421988Y-92986273D01* -X96429707Y-92982622D01* -X96437745Y-92979746D01* -X96446027Y-92977672D01* -X96454473Y-92976419D01* -X96463000Y-92976000D01* -X96637000Y-92976000D01* -X96645527Y-92976419D01* -G37* -G36* -X97145527Y-92976419D02* -G01* -X97153973Y-92977672D01* -X97162255Y-92979746D01* -X97170293Y-92982622D01* -X97178012Y-92986273D01* -X97185335Y-92990662D01* -X97192192Y-92995748D01* -X97198518Y-93001482D01* -X97204252Y-93007808D01* -X97209338Y-93014665D01* -X97213727Y-93021988D01* -X97217378Y-93029707D01* -X97220254Y-93037745D01* -X97222328Y-93046027D01* -X97223581Y-93054473D01* -X97224000Y-93063000D01* -X97224000Y-94412000D01* -X97223581Y-94420527D01* -X97222328Y-94428973D01* -X97220254Y-94437255D01* -X97217378Y-94445293D01* -X97213727Y-94453012D01* -X97209338Y-94460335D01* -X97204252Y-94467192D01* -X97198518Y-94473518D01* -X97192192Y-94479252D01* -X97185335Y-94484338D01* -X97178012Y-94488727D01* -X97170293Y-94492378D01* -X97162255Y-94495254D01* -X97153973Y-94497328D01* -X97145527Y-94498581D01* -X97137000Y-94499000D01* -X96963000Y-94499000D01* -X96954473Y-94498581D01* -X96946027Y-94497328D01* -X96937745Y-94495254D01* -X96929707Y-94492378D01* -X96921988Y-94488727D01* -X96914665Y-94484338D01* -X96907808Y-94479252D01* -X96901482Y-94473518D01* -X96895748Y-94467192D01* -X96890662Y-94460335D01* -X96886273Y-94453012D01* -X96882622Y-94445293D01* -X96879746Y-94437255D01* -X96877672Y-94428973D01* -X96876419Y-94420527D01* -X96876000Y-94412000D01* -X96876000Y-93063000D01* -X96876419Y-93054473D01* -X96877672Y-93046027D01* -X96879746Y-93037745D01* -X96882622Y-93029707D01* -X96886273Y-93021988D01* -X96890662Y-93014665D01* -X96895748Y-93007808D01* -X96901482Y-93001482D01* -X96907808Y-92995748D01* -X96914665Y-92990662D01* -X96921988Y-92986273D01* -X96929707Y-92982622D01* -X96937745Y-92979746D01* -X96946027Y-92977672D01* -X96954473Y-92976419D01* -X96963000Y-92976000D01* -X97137000Y-92976000D01* -X97145527Y-92976419D01* -G37* -G36* -X97645527Y-92976419D02* -G01* -X97653973Y-92977672D01* -X97662255Y-92979746D01* -X97670293Y-92982622D01* -X97678012Y-92986273D01* -X97685335Y-92990662D01* -X97692192Y-92995748D01* -X97698518Y-93001482D01* -X97704252Y-93007808D01* -X97709338Y-93014665D01* -X97713727Y-93021988D01* -X97717378Y-93029707D01* -X97720254Y-93037745D01* -X97722328Y-93046027D01* -X97723581Y-93054473D01* -X97724000Y-93063000D01* -X97724000Y-94412000D01* -X97723581Y-94420527D01* -X97722328Y-94428973D01* -X97720254Y-94437255D01* -X97717378Y-94445293D01* -X97713727Y-94453012D01* -X97709338Y-94460335D01* -X97704252Y-94467192D01* -X97698518Y-94473518D01* -X97692192Y-94479252D01* -X97685335Y-94484338D01* -X97678012Y-94488727D01* -X97670293Y-94492378D01* -X97662255Y-94495254D01* -X97653973Y-94497328D01* -X97645527Y-94498581D01* -X97637000Y-94499000D01* -X97463000Y-94499000D01* -X97454473Y-94498581D01* -X97446027Y-94497328D01* -X97437745Y-94495254D01* -X97429707Y-94492378D01* -X97421988Y-94488727D01* -X97414665Y-94484338D01* -X97407808Y-94479252D01* -X97401482Y-94473518D01* -X97395748Y-94467192D01* -X97390662Y-94460335D01* -X97386273Y-94453012D01* -X97382622Y-94445293D01* -X97379746Y-94437255D01* -X97377672Y-94428973D01* -X97376419Y-94420527D01* -X97376000Y-94412000D01* -X97376000Y-93063000D01* -X97376419Y-93054473D01* -X97377672Y-93046027D01* -X97379746Y-93037745D01* -X97382622Y-93029707D01* -X97386273Y-93021988D01* -X97390662Y-93014665D01* -X97395748Y-93007808D01* -X97401482Y-93001482D01* -X97407808Y-92995748D01* -X97414665Y-92990662D01* -X97421988Y-92986273D01* -X97429707Y-92982622D01* -X97437745Y-92979746D01* -X97446027Y-92977672D01* -X97454473Y-92976419D01* -X97463000Y-92976000D01* -X97637000Y-92976000D01* -X97645527Y-92976419D01* -G37* -G36* -X98145527Y-92976419D02* -G01* -X98153973Y-92977672D01* -X98162255Y-92979746D01* -X98170293Y-92982622D01* -X98178012Y-92986273D01* -X98185335Y-92990662D01* -X98192192Y-92995748D01* -X98198518Y-93001482D01* -X98204252Y-93007808D01* -X98209338Y-93014665D01* -X98213727Y-93021988D01* -X98217378Y-93029707D01* -X98220254Y-93037745D01* -X98222328Y-93046027D01* -X98223581Y-93054473D01* -X98224000Y-93063000D01* -X98224000Y-94412000D01* -X98223581Y-94420527D01* -X98222328Y-94428973D01* -X98220254Y-94437255D01* -X98217378Y-94445293D01* -X98213727Y-94453012D01* -X98209338Y-94460335D01* -X98204252Y-94467192D01* -X98198518Y-94473518D01* -X98192192Y-94479252D01* -X98185335Y-94484338D01* -X98178012Y-94488727D01* -X98170293Y-94492378D01* -X98162255Y-94495254D01* -X98153973Y-94497328D01* -X98145527Y-94498581D01* -X98137000Y-94499000D01* -X97963000Y-94499000D01* -X97954473Y-94498581D01* -X97946027Y-94497328D01* -X97937745Y-94495254D01* -X97929707Y-94492378D01* -X97921988Y-94488727D01* -X97914665Y-94484338D01* -X97907808Y-94479252D01* -X97901482Y-94473518D01* -X97895748Y-94467192D01* -X97890662Y-94460335D01* -X97886273Y-94453012D01* -X97882622Y-94445293D01* -X97879746Y-94437255D01* -X97877672Y-94428973D01* -X97876419Y-94420527D01* -X97876000Y-94412000D01* -X97876000Y-93063000D01* -X97876419Y-93054473D01* -X97877672Y-93046027D01* -X97879746Y-93037745D01* -X97882622Y-93029707D01* -X97886273Y-93021988D01* -X97890662Y-93014665D01* -X97895748Y-93007808D01* -X97901482Y-93001482D01* -X97907808Y-92995748D01* -X97914665Y-92990662D01* -X97921988Y-92986273D01* -X97929707Y-92982622D01* -X97937745Y-92979746D01* -X97946027Y-92977672D01* -X97954473Y-92976419D01* -X97963000Y-92976000D01* -X98137000Y-92976000D01* -X98145527Y-92976419D01* -G37* -G36* -X98645527Y-92976419D02* -G01* -X98653973Y-92977672D01* -X98662255Y-92979746D01* -X98670293Y-92982622D01* -X98678012Y-92986273D01* -X98685335Y-92990662D01* -X98692192Y-92995748D01* -X98698518Y-93001482D01* -X98704252Y-93007808D01* -X98709338Y-93014665D01* -X98713727Y-93021988D01* -X98717378Y-93029707D01* -X98720254Y-93037745D01* -X98722328Y-93046027D01* -X98723581Y-93054473D01* -X98724000Y-93063000D01* -X98724000Y-94412000D01* -X98723581Y-94420527D01* -X98722328Y-94428973D01* -X98720254Y-94437255D01* -X98717378Y-94445293D01* -X98713727Y-94453012D01* -X98709338Y-94460335D01* -X98704252Y-94467192D01* -X98698518Y-94473518D01* -X98692192Y-94479252D01* -X98685335Y-94484338D01* -X98678012Y-94488727D01* -X98670293Y-94492378D01* -X98662255Y-94495254D01* -X98653973Y-94497328D01* -X98645527Y-94498581D01* -X98637000Y-94499000D01* -X98463000Y-94499000D01* -X98454473Y-94498581D01* -X98446027Y-94497328D01* -X98437745Y-94495254D01* -X98429707Y-94492378D01* -X98421988Y-94488727D01* -X98414665Y-94484338D01* -X98407808Y-94479252D01* -X98401482Y-94473518D01* -X98395748Y-94467192D01* -X98390662Y-94460335D01* -X98386273Y-94453012D01* -X98382622Y-94445293D01* -X98379746Y-94437255D01* -X98377672Y-94428973D01* -X98376419Y-94420527D01* -X98376000Y-94412000D01* -X98376000Y-93063000D01* -X98376419Y-93054473D01* -X98377672Y-93046027D01* -X98379746Y-93037745D01* -X98382622Y-93029707D01* -X98386273Y-93021988D01* -X98390662Y-93014665D01* -X98395748Y-93007808D01* -X98401482Y-93001482D01* -X98407808Y-92995748D01* -X98414665Y-92990662D01* -X98421988Y-92986273D01* -X98429707Y-92982622D01* -X98437745Y-92979746D01* -X98446027Y-92977672D01* -X98454473Y-92976419D01* -X98463000Y-92976000D01* -X98637000Y-92976000D01* -X98645527Y-92976419D01* -G37* -G36* -X99145527Y-92976419D02* -G01* -X99153973Y-92977672D01* -X99162255Y-92979746D01* -X99170293Y-92982622D01* -X99178012Y-92986273D01* -X99185335Y-92990662D01* -X99192192Y-92995748D01* -X99198518Y-93001482D01* -X99204252Y-93007808D01* -X99209338Y-93014665D01* -X99213727Y-93021988D01* -X99217378Y-93029707D01* -X99220254Y-93037745D01* -X99222328Y-93046027D01* -X99223581Y-93054473D01* -X99224000Y-93063000D01* -X99224000Y-94412000D01* -X99223581Y-94420527D01* -X99222328Y-94428973D01* -X99220254Y-94437255D01* -X99217378Y-94445293D01* -X99213727Y-94453012D01* -X99209338Y-94460335D01* -X99204252Y-94467192D01* -X99198518Y-94473518D01* -X99192192Y-94479252D01* -X99185335Y-94484338D01* -X99178012Y-94488727D01* -X99170293Y-94492378D01* -X99162255Y-94495254D01* -X99153973Y-94497328D01* -X99145527Y-94498581D01* -X99137000Y-94499000D01* -X98963000Y-94499000D01* -X98954473Y-94498581D01* -X98946027Y-94497328D01* -X98937745Y-94495254D01* -X98929707Y-94492378D01* -X98921988Y-94488727D01* -X98914665Y-94484338D01* -X98907808Y-94479252D01* -X98901482Y-94473518D01* -X98895748Y-94467192D01* -X98890662Y-94460335D01* -X98886273Y-94453012D01* -X98882622Y-94445293D01* -X98879746Y-94437255D01* -X98877672Y-94428973D01* -X98876419Y-94420527D01* -X98876000Y-94412000D01* -X98876000Y-93063000D01* -X98876419Y-93054473D01* -X98877672Y-93046027D01* -X98879746Y-93037745D01* -X98882622Y-93029707D01* -X98886273Y-93021988D01* -X98890662Y-93014665D01* -X98895748Y-93007808D01* -X98901482Y-93001482D01* -X98907808Y-92995748D01* -X98914665Y-92990662D01* -X98921988Y-92986273D01* -X98929707Y-92982622D01* -X98937745Y-92979746D01* -X98946027Y-92977672D01* -X98954473Y-92976419D01* -X98963000Y-92976000D01* -X99137000Y-92976000D01* -X99145527Y-92976419D01* -G37* -G36* -X99645527Y-92976419D02* -G01* -X99653973Y-92977672D01* -X99662255Y-92979746D01* -X99670293Y-92982622D01* -X99678012Y-92986273D01* -X99685335Y-92990662D01* -X99692192Y-92995748D01* -X99698518Y-93001482D01* -X99704252Y-93007808D01* -X99709338Y-93014665D01* -X99713727Y-93021988D01* -X99717378Y-93029707D01* -X99720254Y-93037745D01* -X99722328Y-93046027D01* -X99723581Y-93054473D01* -X99724000Y-93063000D01* -X99724000Y-94412000D01* -X99723581Y-94420527D01* -X99722328Y-94428973D01* -X99720254Y-94437255D01* -X99717378Y-94445293D01* -X99713727Y-94453012D01* -X99709338Y-94460335D01* -X99704252Y-94467192D01* -X99698518Y-94473518D01* -X99692192Y-94479252D01* -X99685335Y-94484338D01* -X99678012Y-94488727D01* -X99670293Y-94492378D01* -X99662255Y-94495254D01* -X99653973Y-94497328D01* -X99645527Y-94498581D01* -X99637000Y-94499000D01* -X99463000Y-94499000D01* -X99454473Y-94498581D01* -X99446027Y-94497328D01* -X99437745Y-94495254D01* -X99429707Y-94492378D01* -X99421988Y-94488727D01* -X99414665Y-94484338D01* -X99407808Y-94479252D01* -X99401482Y-94473518D01* -X99395748Y-94467192D01* -X99390662Y-94460335D01* -X99386273Y-94453012D01* -X99382622Y-94445293D01* -X99379746Y-94437255D01* -X99377672Y-94428973D01* -X99376419Y-94420527D01* -X99376000Y-94412000D01* -X99376000Y-93063000D01* -X99376419Y-93054473D01* -X99377672Y-93046027D01* -X99379746Y-93037745D01* -X99382622Y-93029707D01* -X99386273Y-93021988D01* -X99390662Y-93014665D01* -X99395748Y-93007808D01* -X99401482Y-93001482D01* -X99407808Y-92995748D01* -X99414665Y-92990662D01* -X99421988Y-92986273D01* -X99429707Y-92982622D01* -X99437745Y-92979746D01* -X99446027Y-92977672D01* -X99454473Y-92976419D01* -X99463000Y-92976000D01* -X99637000Y-92976000D01* -X99645527Y-92976419D01* -G37* -G36* -X100145527Y-92976419D02* -G01* -X100153973Y-92977672D01* -X100162255Y-92979746D01* -X100170293Y-92982622D01* -X100178012Y-92986273D01* -X100185335Y-92990662D01* -X100192192Y-92995748D01* -X100198518Y-93001482D01* -X100204252Y-93007808D01* -X100209338Y-93014665D01* -X100213727Y-93021988D01* -X100217378Y-93029707D01* -X100220254Y-93037745D01* -X100222328Y-93046027D01* -X100223581Y-93054473D01* -X100224000Y-93063000D01* -X100224000Y-94412000D01* -X100223581Y-94420527D01* -X100222328Y-94428973D01* -X100220254Y-94437255D01* -X100217378Y-94445293D01* -X100213727Y-94453012D01* -X100209338Y-94460335D01* -X100204252Y-94467192D01* -X100198518Y-94473518D01* -X100192192Y-94479252D01* -X100185335Y-94484338D01* -X100178012Y-94488727D01* -X100170293Y-94492378D01* -X100162255Y-94495254D01* -X100153973Y-94497328D01* -X100145527Y-94498581D01* -X100137000Y-94499000D01* -X99963000Y-94499000D01* -X99954473Y-94498581D01* -X99946027Y-94497328D01* -X99937745Y-94495254D01* -X99929707Y-94492378D01* -X99921988Y-94488727D01* -X99914665Y-94484338D01* -X99907808Y-94479252D01* -X99901482Y-94473518D01* -X99895748Y-94467192D01* -X99890662Y-94460335D01* -X99886273Y-94453012D01* -X99882622Y-94445293D01* -X99879746Y-94437255D01* -X99877672Y-94428973D01* -X99876419Y-94420527D01* -X99876000Y-94412000D01* -X99876000Y-93063000D01* -X99876419Y-93054473D01* -X99877672Y-93046027D01* -X99879746Y-93037745D01* -X99882622Y-93029707D01* -X99886273Y-93021988D01* -X99890662Y-93014665D01* -X99895748Y-93007808D01* -X99901482Y-93001482D01* -X99907808Y-92995748D01* -X99914665Y-92990662D01* -X99921988Y-92986273D01* -X99929707Y-92982622D01* -X99937745Y-92979746D01* -X99946027Y-92977672D01* -X99954473Y-92976419D01* -X99963000Y-92976000D01* -X100137000Y-92976000D01* -X100145527Y-92976419D01* -G37* -G36* -X102395527Y-95226419D02* -G01* -X102403973Y-95227672D01* -X102412255Y-95229746D01* -X102420293Y-95232622D01* -X102428012Y-95236273D01* -X102435335Y-95240662D01* -X102442192Y-95245748D01* -X102448518Y-95251482D01* -X102454252Y-95257808D01* -X102459338Y-95264665D01* -X102463727Y-95271988D01* -X102467378Y-95279707D01* -X102470254Y-95287745D01* -X102472328Y-95296027D01* -X102473581Y-95304473D01* -X102474000Y-95313000D01* -X102474000Y-95487000D01* -X102473581Y-95495527D01* -X102472328Y-95503973D01* -X102470254Y-95512255D01* -X102467378Y-95520293D01* -X102463727Y-95528012D01* -X102459338Y-95535335D01* -X102454252Y-95542192D01* -X102448518Y-95548518D01* -X102442192Y-95554252D01* -X102435335Y-95559338D01* -X102428012Y-95563727D01* -X102420293Y-95567378D01* -X102412255Y-95570254D01* -X102403973Y-95572328D01* -X102395527Y-95573581D01* -X102387000Y-95574000D01* -X101038000Y-95574000D01* -X101029473Y-95573581D01* -X101021027Y-95572328D01* -X101012745Y-95570254D01* -X101004707Y-95567378D01* -X100996988Y-95563727D01* -X100989665Y-95559338D01* -X100982808Y-95554252D01* -X100976482Y-95548518D01* -X100970748Y-95542192D01* -X100965662Y-95535335D01* -X100961273Y-95528012D01* -X100957622Y-95520293D01* -X100954746Y-95512255D01* -X100952672Y-95503973D01* -X100951419Y-95495527D01* -X100951000Y-95487000D01* -X100951000Y-95313000D01* -X100951419Y-95304473D01* -X100952672Y-95296027D01* -X100954746Y-95287745D01* -X100957622Y-95279707D01* -X100961273Y-95271988D01* -X100965662Y-95264665D01* -X100970748Y-95257808D01* -X100976482Y-95251482D01* -X100982808Y-95245748D01* -X100989665Y-95240662D01* -X100996988Y-95236273D01* -X101004707Y-95232622D01* -X101012745Y-95229746D01* -X101021027Y-95227672D01* -X101029473Y-95226419D01* -X101038000Y-95226000D01* -X102387000Y-95226000D01* -X102395527Y-95226419D01* -G37* -G36* -X102395527Y-95726419D02* -G01* -X102403973Y-95727672D01* -X102412255Y-95729746D01* -X102420293Y-95732622D01* -X102428012Y-95736273D01* -X102435335Y-95740662D01* -X102442192Y-95745748D01* -X102448518Y-95751482D01* -X102454252Y-95757808D01* -X102459338Y-95764665D01* -X102463727Y-95771988D01* -X102467378Y-95779707D01* -X102470254Y-95787745D01* -X102472328Y-95796027D01* -X102473581Y-95804473D01* -X102474000Y-95813000D01* -X102474000Y-95987000D01* -X102473581Y-95995527D01* -X102472328Y-96003973D01* -X102470254Y-96012255D01* -X102467378Y-96020293D01* -X102463727Y-96028012D01* -X102459338Y-96035335D01* -X102454252Y-96042192D01* -X102448518Y-96048518D01* -X102442192Y-96054252D01* -X102435335Y-96059338D01* -X102428012Y-96063727D01* -X102420293Y-96067378D01* -X102412255Y-96070254D01* -X102403973Y-96072328D01* -X102395527Y-96073581D01* -X102387000Y-96074000D01* -X101038000Y-96074000D01* -X101029473Y-96073581D01* -X101021027Y-96072328D01* -X101012745Y-96070254D01* -X101004707Y-96067378D01* -X100996988Y-96063727D01* -X100989665Y-96059338D01* -X100982808Y-96054252D01* -X100976482Y-96048518D01* -X100970748Y-96042192D01* -X100965662Y-96035335D01* -X100961273Y-96028012D01* -X100957622Y-96020293D01* -X100954746Y-96012255D01* -X100952672Y-96003973D01* -X100951419Y-95995527D01* -X100951000Y-95987000D01* -X100951000Y-95813000D01* -X100951419Y-95804473D01* -X100952672Y-95796027D01* -X100954746Y-95787745D01* -X100957622Y-95779707D01* -X100961273Y-95771988D01* -X100965662Y-95764665D01* -X100970748Y-95757808D01* -X100976482Y-95751482D01* -X100982808Y-95745748D01* -X100989665Y-95740662D01* -X100996988Y-95736273D01* -X101004707Y-95732622D01* -X101012745Y-95729746D01* -X101021027Y-95727672D01* -X101029473Y-95726419D01* -X101038000Y-95726000D01* -X102387000Y-95726000D01* -X102395527Y-95726419D01* -G37* -G36* -X102395527Y-96226419D02* -G01* -X102403973Y-96227672D01* -X102412255Y-96229746D01* -X102420293Y-96232622D01* -X102428012Y-96236273D01* -X102435335Y-96240662D01* -X102442192Y-96245748D01* -X102448518Y-96251482D01* -X102454252Y-96257808D01* -X102459338Y-96264665D01* -X102463727Y-96271988D01* -X102467378Y-96279707D01* -X102470254Y-96287745D01* -X102472328Y-96296027D01* -X102473581Y-96304473D01* -X102474000Y-96313000D01* -X102474000Y-96487000D01* -X102473581Y-96495527D01* -X102472328Y-96503973D01* -X102470254Y-96512255D01* -X102467378Y-96520293D01* -X102463727Y-96528012D01* -X102459338Y-96535335D01* -X102454252Y-96542192D01* -X102448518Y-96548518D01* -X102442192Y-96554252D01* -X102435335Y-96559338D01* -X102428012Y-96563727D01* -X102420293Y-96567378D01* -X102412255Y-96570254D01* -X102403973Y-96572328D01* -X102395527Y-96573581D01* -X102387000Y-96574000D01* -X101038000Y-96574000D01* -X101029473Y-96573581D01* -X101021027Y-96572328D01* -X101012745Y-96570254D01* -X101004707Y-96567378D01* -X100996988Y-96563727D01* -X100989665Y-96559338D01* -X100982808Y-96554252D01* -X100976482Y-96548518D01* -X100970748Y-96542192D01* -X100965662Y-96535335D01* -X100961273Y-96528012D01* -X100957622Y-96520293D01* -X100954746Y-96512255D01* -X100952672Y-96503973D01* -X100951419Y-96495527D01* -X100951000Y-96487000D01* -X100951000Y-96313000D01* -X100951419Y-96304473D01* -X100952672Y-96296027D01* -X100954746Y-96287745D01* -X100957622Y-96279707D01* -X100961273Y-96271988D01* -X100965662Y-96264665D01* -X100970748Y-96257808D01* -X100976482Y-96251482D01* -X100982808Y-96245748D01* -X100989665Y-96240662D01* -X100996988Y-96236273D01* -X101004707Y-96232622D01* -X101012745Y-96229746D01* -X101021027Y-96227672D01* -X101029473Y-96226419D01* -X101038000Y-96226000D01* -X102387000Y-96226000D01* -X102395527Y-96226419D01* -G37* -G36* -X102395527Y-96726419D02* -G01* -X102403973Y-96727672D01* -X102412255Y-96729746D01* -X102420293Y-96732622D01* -X102428012Y-96736273D01* -X102435335Y-96740662D01* -X102442192Y-96745748D01* -X102448518Y-96751482D01* -X102454252Y-96757808D01* -X102459338Y-96764665D01* -X102463727Y-96771988D01* -X102467378Y-96779707D01* -X102470254Y-96787745D01* -X102472328Y-96796027D01* -X102473581Y-96804473D01* -X102474000Y-96813000D01* -X102474000Y-96987000D01* -X102473581Y-96995527D01* -X102472328Y-97003973D01* -X102470254Y-97012255D01* -X102467378Y-97020293D01* -X102463727Y-97028012D01* -X102459338Y-97035335D01* -X102454252Y-97042192D01* -X102448518Y-97048518D01* -X102442192Y-97054252D01* -X102435335Y-97059338D01* -X102428012Y-97063727D01* -X102420293Y-97067378D01* -X102412255Y-97070254D01* -X102403973Y-97072328D01* -X102395527Y-97073581D01* -X102387000Y-97074000D01* -X101038000Y-97074000D01* -X101029473Y-97073581D01* -X101021027Y-97072328D01* -X101012745Y-97070254D01* -X101004707Y-97067378D01* -X100996988Y-97063727D01* -X100989665Y-97059338D01* -X100982808Y-97054252D01* -X100976482Y-97048518D01* -X100970748Y-97042192D01* -X100965662Y-97035335D01* -X100961273Y-97028012D01* -X100957622Y-97020293D01* -X100954746Y-97012255D01* -X100952672Y-97003973D01* -X100951419Y-96995527D01* -X100951000Y-96987000D01* -X100951000Y-96813000D01* -X100951419Y-96804473D01* -X100952672Y-96796027D01* -X100954746Y-96787745D01* -X100957622Y-96779707D01* -X100961273Y-96771988D01* -X100965662Y-96764665D01* -X100970748Y-96757808D01* -X100976482Y-96751482D01* -X100982808Y-96745748D01* -X100989665Y-96740662D01* -X100996988Y-96736273D01* -X101004707Y-96732622D01* -X101012745Y-96729746D01* -X101021027Y-96727672D01* -X101029473Y-96726419D01* -X101038000Y-96726000D01* -X102387000Y-96726000D01* -X102395527Y-96726419D01* -G37* -G36* -X102395527Y-97226419D02* -G01* -X102403973Y-97227672D01* -X102412255Y-97229746D01* -X102420293Y-97232622D01* -X102428012Y-97236273D01* -X102435335Y-97240662D01* -X102442192Y-97245748D01* -X102448518Y-97251482D01* -X102454252Y-97257808D01* -X102459338Y-97264665D01* -X102463727Y-97271988D01* -X102467378Y-97279707D01* -X102470254Y-97287745D01* -X102472328Y-97296027D01* -X102473581Y-97304473D01* -X102474000Y-97313000D01* -X102474000Y-97487000D01* -X102473581Y-97495527D01* -X102472328Y-97503973D01* -X102470254Y-97512255D01* -X102467378Y-97520293D01* -X102463727Y-97528012D01* -X102459338Y-97535335D01* -X102454252Y-97542192D01* -X102448518Y-97548518D01* -X102442192Y-97554252D01* -X102435335Y-97559338D01* -X102428012Y-97563727D01* -X102420293Y-97567378D01* -X102412255Y-97570254D01* -X102403973Y-97572328D01* -X102395527Y-97573581D01* -X102387000Y-97574000D01* -X101038000Y-97574000D01* -X101029473Y-97573581D01* -X101021027Y-97572328D01* -X101012745Y-97570254D01* -X101004707Y-97567378D01* -X100996988Y-97563727D01* -X100989665Y-97559338D01* -X100982808Y-97554252D01* -X100976482Y-97548518D01* -X100970748Y-97542192D01* -X100965662Y-97535335D01* -X100961273Y-97528012D01* -X100957622Y-97520293D01* -X100954746Y-97512255D01* -X100952672Y-97503973D01* -X100951419Y-97495527D01* -X100951000Y-97487000D01* -X100951000Y-97313000D01* -X100951419Y-97304473D01* -X100952672Y-97296027D01* -X100954746Y-97287745D01* -X100957622Y-97279707D01* -X100961273Y-97271988D01* -X100965662Y-97264665D01* -X100970748Y-97257808D01* -X100976482Y-97251482D01* -X100982808Y-97245748D01* -X100989665Y-97240662D01* -X100996988Y-97236273D01* -X101004707Y-97232622D01* -X101012745Y-97229746D01* -X101021027Y-97227672D01* -X101029473Y-97226419D01* -X101038000Y-97226000D01* -X102387000Y-97226000D01* -X102395527Y-97226419D01* -G37* -G36* -X102395527Y-97726419D02* -G01* -X102403973Y-97727672D01* -X102412255Y-97729746D01* -X102420293Y-97732622D01* -X102428012Y-97736273D01* -X102435335Y-97740662D01* -X102442192Y-97745748D01* -X102448518Y-97751482D01* -X102454252Y-97757808D01* -X102459338Y-97764665D01* -X102463727Y-97771988D01* -X102467378Y-97779707D01* -X102470254Y-97787745D01* -X102472328Y-97796027D01* -X102473581Y-97804473D01* -X102474000Y-97813000D01* -X102474000Y-97987000D01* -X102473581Y-97995527D01* -X102472328Y-98003973D01* -X102470254Y-98012255D01* -X102467378Y-98020293D01* -X102463727Y-98028012D01* -X102459338Y-98035335D01* -X102454252Y-98042192D01* -X102448518Y-98048518D01* -X102442192Y-98054252D01* -X102435335Y-98059338D01* -X102428012Y-98063727D01* -X102420293Y-98067378D01* -X102412255Y-98070254D01* -X102403973Y-98072328D01* -X102395527Y-98073581D01* -X102387000Y-98074000D01* -X101038000Y-98074000D01* -X101029473Y-98073581D01* -X101021027Y-98072328D01* -X101012745Y-98070254D01* -X101004707Y-98067378D01* -X100996988Y-98063727D01* -X100989665Y-98059338D01* -X100982808Y-98054252D01* -X100976482Y-98048518D01* -X100970748Y-98042192D01* -X100965662Y-98035335D01* -X100961273Y-98028012D01* -X100957622Y-98020293D01* -X100954746Y-98012255D01* -X100952672Y-98003973D01* -X100951419Y-97995527D01* -X100951000Y-97987000D01* -X100951000Y-97813000D01* -X100951419Y-97804473D01* -X100952672Y-97796027D01* -X100954746Y-97787745D01* -X100957622Y-97779707D01* -X100961273Y-97771988D01* -X100965662Y-97764665D01* -X100970748Y-97757808D01* -X100976482Y-97751482D01* -X100982808Y-97745748D01* -X100989665Y-97740662D01* -X100996988Y-97736273D01* -X101004707Y-97732622D01* -X101012745Y-97729746D01* -X101021027Y-97727672D01* -X101029473Y-97726419D01* -X101038000Y-97726000D01* -X102387000Y-97726000D01* -X102395527Y-97726419D01* -G37* -G36* -X102395527Y-98226419D02* -G01* -X102403973Y-98227672D01* -X102412255Y-98229746D01* -X102420293Y-98232622D01* -X102428012Y-98236273D01* -X102435335Y-98240662D01* -X102442192Y-98245748D01* -X102448518Y-98251482D01* -X102454252Y-98257808D01* -X102459338Y-98264665D01* -X102463727Y-98271988D01* -X102467378Y-98279707D01* -X102470254Y-98287745D01* -X102472328Y-98296027D01* -X102473581Y-98304473D01* -X102474000Y-98313000D01* -X102474000Y-98487000D01* -X102473581Y-98495527D01* -X102472328Y-98503973D01* -X102470254Y-98512255D01* -X102467378Y-98520293D01* -X102463727Y-98528012D01* -X102459338Y-98535335D01* -X102454252Y-98542192D01* -X102448518Y-98548518D01* -X102442192Y-98554252D01* -X102435335Y-98559338D01* -X102428012Y-98563727D01* -X102420293Y-98567378D01* -X102412255Y-98570254D01* -X102403973Y-98572328D01* -X102395527Y-98573581D01* -X102387000Y-98574000D01* -X101038000Y-98574000D01* -X101029473Y-98573581D01* -X101021027Y-98572328D01* -X101012745Y-98570254D01* -X101004707Y-98567378D01* -X100996988Y-98563727D01* -X100989665Y-98559338D01* -X100982808Y-98554252D01* -X100976482Y-98548518D01* -X100970748Y-98542192D01* -X100965662Y-98535335D01* -X100961273Y-98528012D01* -X100957622Y-98520293D01* -X100954746Y-98512255D01* -X100952672Y-98503973D01* -X100951419Y-98495527D01* -X100951000Y-98487000D01* -X100951000Y-98313000D01* -X100951419Y-98304473D01* -X100952672Y-98296027D01* -X100954746Y-98287745D01* -X100957622Y-98279707D01* -X100961273Y-98271988D01* -X100965662Y-98264665D01* -X100970748Y-98257808D01* -X100976482Y-98251482D01* -X100982808Y-98245748D01* -X100989665Y-98240662D01* -X100996988Y-98236273D01* -X101004707Y-98232622D01* -X101012745Y-98229746D01* -X101021027Y-98227672D01* -X101029473Y-98226419D01* -X101038000Y-98226000D01* -X102387000Y-98226000D01* -X102395527Y-98226419D01* -G37* -G36* -X102395527Y-98726419D02* -G01* -X102403973Y-98727672D01* -X102412255Y-98729746D01* -X102420293Y-98732622D01* -X102428012Y-98736273D01* -X102435335Y-98740662D01* -X102442192Y-98745748D01* -X102448518Y-98751482D01* -X102454252Y-98757808D01* -X102459338Y-98764665D01* -X102463727Y-98771988D01* -X102467378Y-98779707D01* -X102470254Y-98787745D01* -X102472328Y-98796027D01* -X102473581Y-98804473D01* -X102474000Y-98813000D01* -X102474000Y-98987000D01* -X102473581Y-98995527D01* -X102472328Y-99003973D01* -X102470254Y-99012255D01* -X102467378Y-99020293D01* -X102463727Y-99028012D01* -X102459338Y-99035335D01* -X102454252Y-99042192D01* -X102448518Y-99048518D01* -X102442192Y-99054252D01* -X102435335Y-99059338D01* -X102428012Y-99063727D01* -X102420293Y-99067378D01* -X102412255Y-99070254D01* -X102403973Y-99072328D01* -X102395527Y-99073581D01* -X102387000Y-99074000D01* -X101038000Y-99074000D01* -X101029473Y-99073581D01* -X101021027Y-99072328D01* -X101012745Y-99070254D01* -X101004707Y-99067378D01* -X100996988Y-99063727D01* -X100989665Y-99059338D01* -X100982808Y-99054252D01* -X100976482Y-99048518D01* -X100970748Y-99042192D01* -X100965662Y-99035335D01* -X100961273Y-99028012D01* -X100957622Y-99020293D01* -X100954746Y-99012255D01* -X100952672Y-99003973D01* -X100951419Y-98995527D01* -X100951000Y-98987000D01* -X100951000Y-98813000D01* -X100951419Y-98804473D01* -X100952672Y-98796027D01* -X100954746Y-98787745D01* -X100957622Y-98779707D01* -X100961273Y-98771988D01* -X100965662Y-98764665D01* -X100970748Y-98757808D01* -X100976482Y-98751482D01* -X100982808Y-98745748D01* -X100989665Y-98740662D01* -X100996988Y-98736273D01* -X101004707Y-98732622D01* -X101012745Y-98729746D01* -X101021027Y-98727672D01* -X101029473Y-98726419D01* -X101038000Y-98726000D01* -X102387000Y-98726000D01* -X102395527Y-98726419D01* -G37* -G36* -X102395527Y-99226419D02* -G01* -X102403973Y-99227672D01* -X102412255Y-99229746D01* -X102420293Y-99232622D01* -X102428012Y-99236273D01* -X102435335Y-99240662D01* -X102442192Y-99245748D01* -X102448518Y-99251482D01* -X102454252Y-99257808D01* -X102459338Y-99264665D01* -X102463727Y-99271988D01* -X102467378Y-99279707D01* -X102470254Y-99287745D01* -X102472328Y-99296027D01* -X102473581Y-99304473D01* -X102474000Y-99313000D01* -X102474000Y-99487000D01* -X102473581Y-99495527D01* -X102472328Y-99503973D01* -X102470254Y-99512255D01* -X102467378Y-99520293D01* -X102463727Y-99528012D01* -X102459338Y-99535335D01* -X102454252Y-99542192D01* -X102448518Y-99548518D01* -X102442192Y-99554252D01* -X102435335Y-99559338D01* -X102428012Y-99563727D01* -X102420293Y-99567378D01* -X102412255Y-99570254D01* -X102403973Y-99572328D01* -X102395527Y-99573581D01* -X102387000Y-99574000D01* -X101038000Y-99574000D01* -X101029473Y-99573581D01* -X101021027Y-99572328D01* -X101012745Y-99570254D01* -X101004707Y-99567378D01* -X100996988Y-99563727D01* -X100989665Y-99559338D01* -X100982808Y-99554252D01* -X100976482Y-99548518D01* -X100970748Y-99542192D01* -X100965662Y-99535335D01* -X100961273Y-99528012D01* -X100957622Y-99520293D01* -X100954746Y-99512255D01* -X100952672Y-99503973D01* -X100951419Y-99495527D01* -X100951000Y-99487000D01* -X100951000Y-99313000D01* -X100951419Y-99304473D01* -X100952672Y-99296027D01* -X100954746Y-99287745D01* -X100957622Y-99279707D01* -X100961273Y-99271988D01* -X100965662Y-99264665D01* -X100970748Y-99257808D01* -X100976482Y-99251482D01* -X100982808Y-99245748D01* -X100989665Y-99240662D01* -X100996988Y-99236273D01* -X101004707Y-99232622D01* -X101012745Y-99229746D01* -X101021027Y-99227672D01* -X101029473Y-99226419D01* -X101038000Y-99226000D01* -X102387000Y-99226000D01* -X102395527Y-99226419D01* -G37* -G36* -X102395527Y-99726419D02* -G01* -X102403973Y-99727672D01* -X102412255Y-99729746D01* -X102420293Y-99732622D01* -X102428012Y-99736273D01* -X102435335Y-99740662D01* -X102442192Y-99745748D01* -X102448518Y-99751482D01* -X102454252Y-99757808D01* -X102459338Y-99764665D01* -X102463727Y-99771988D01* -X102467378Y-99779707D01* -X102470254Y-99787745D01* -X102472328Y-99796027D01* -X102473581Y-99804473D01* -X102474000Y-99813000D01* -X102474000Y-99987000D01* -X102473581Y-99995527D01* -X102472328Y-100003973D01* -X102470254Y-100012255D01* -X102467378Y-100020293D01* -X102463727Y-100028012D01* -X102459338Y-100035335D01* -X102454252Y-100042192D01* -X102448518Y-100048518D01* -X102442192Y-100054252D01* -X102435335Y-100059338D01* -X102428012Y-100063727D01* -X102420293Y-100067378D01* -X102412255Y-100070254D01* -X102403973Y-100072328D01* -X102395527Y-100073581D01* -X102387000Y-100074000D01* -X101038000Y-100074000D01* -X101029473Y-100073581D01* -X101021027Y-100072328D01* -X101012745Y-100070254D01* -X101004707Y-100067378D01* -X100996988Y-100063727D01* -X100989665Y-100059338D01* -X100982808Y-100054252D01* -X100976482Y-100048518D01* -X100970748Y-100042192D01* -X100965662Y-100035335D01* -X100961273Y-100028012D01* -X100957622Y-100020293D01* -X100954746Y-100012255D01* -X100952672Y-100003973D01* -X100951419Y-99995527D01* -X100951000Y-99987000D01* -X100951000Y-99813000D01* -X100951419Y-99804473D01* -X100952672Y-99796027D01* -X100954746Y-99787745D01* -X100957622Y-99779707D01* -X100961273Y-99771988D01* -X100965662Y-99764665D01* -X100970748Y-99757808D01* -X100976482Y-99751482D01* -X100982808Y-99745748D01* -X100989665Y-99740662D01* -X100996988Y-99736273D01* -X101004707Y-99732622D01* -X101012745Y-99729746D01* -X101021027Y-99727672D01* -X101029473Y-99726419D01* -X101038000Y-99726000D01* -X102387000Y-99726000D01* -X102395527Y-99726419D01* -G37* -G36* -X102395527Y-100226419D02* -G01* -X102403973Y-100227672D01* -X102412255Y-100229746D01* -X102420293Y-100232622D01* -X102428012Y-100236273D01* -X102435335Y-100240662D01* -X102442192Y-100245748D01* -X102448518Y-100251482D01* -X102454252Y-100257808D01* -X102459338Y-100264665D01* -X102463727Y-100271988D01* -X102467378Y-100279707D01* -X102470254Y-100287745D01* -X102472328Y-100296027D01* -X102473581Y-100304473D01* -X102474000Y-100313000D01* -X102474000Y-100487000D01* -X102473581Y-100495527D01* -X102472328Y-100503973D01* -X102470254Y-100512255D01* -X102467378Y-100520293D01* -X102463727Y-100528012D01* -X102459338Y-100535335D01* -X102454252Y-100542192D01* -X102448518Y-100548518D01* -X102442192Y-100554252D01* -X102435335Y-100559338D01* -X102428012Y-100563727D01* -X102420293Y-100567378D01* -X102412255Y-100570254D01* -X102403973Y-100572328D01* -X102395527Y-100573581D01* -X102387000Y-100574000D01* -X101038000Y-100574000D01* -X101029473Y-100573581D01* -X101021027Y-100572328D01* -X101012745Y-100570254D01* -X101004707Y-100567378D01* -X100996988Y-100563727D01* -X100989665Y-100559338D01* -X100982808Y-100554252D01* -X100976482Y-100548518D01* -X100970748Y-100542192D01* -X100965662Y-100535335D01* -X100961273Y-100528012D01* -X100957622Y-100520293D01* -X100954746Y-100512255D01* -X100952672Y-100503973D01* -X100951419Y-100495527D01* -X100951000Y-100487000D01* -X100951000Y-100313000D01* -X100951419Y-100304473D01* -X100952672Y-100296027D01* -X100954746Y-100287745D01* -X100957622Y-100279707D01* -X100961273Y-100271988D01* -X100965662Y-100264665D01* -X100970748Y-100257808D01* -X100976482Y-100251482D01* -X100982808Y-100245748D01* -X100989665Y-100240662D01* -X100996988Y-100236273D01* -X101004707Y-100232622D01* -X101012745Y-100229746D01* -X101021027Y-100227672D01* -X101029473Y-100226419D01* -X101038000Y-100226000D01* -X102387000Y-100226000D01* -X102395527Y-100226419D01* -G37* -G36* -X102395527Y-100726419D02* -G01* -X102403973Y-100727672D01* -X102412255Y-100729746D01* -X102420293Y-100732622D01* -X102428012Y-100736273D01* -X102435335Y-100740662D01* -X102442192Y-100745748D01* -X102448518Y-100751482D01* -X102454252Y-100757808D01* -X102459338Y-100764665D01* -X102463727Y-100771988D01* -X102467378Y-100779707D01* -X102470254Y-100787745D01* -X102472328Y-100796027D01* -X102473581Y-100804473D01* -X102474000Y-100813000D01* -X102474000Y-100987000D01* -X102473581Y-100995527D01* -X102472328Y-101003973D01* -X102470254Y-101012255D01* -X102467378Y-101020293D01* -X102463727Y-101028012D01* -X102459338Y-101035335D01* -X102454252Y-101042192D01* -X102448518Y-101048518D01* -X102442192Y-101054252D01* -X102435335Y-101059338D01* -X102428012Y-101063727D01* -X102420293Y-101067378D01* -X102412255Y-101070254D01* -X102403973Y-101072328D01* -X102395527Y-101073581D01* -X102387000Y-101074000D01* -X101038000Y-101074000D01* -X101029473Y-101073581D01* -X101021027Y-101072328D01* -X101012745Y-101070254D01* -X101004707Y-101067378D01* -X100996988Y-101063727D01* -X100989665Y-101059338D01* -X100982808Y-101054252D01* -X100976482Y-101048518D01* -X100970748Y-101042192D01* -X100965662Y-101035335D01* -X100961273Y-101028012D01* -X100957622Y-101020293D01* -X100954746Y-101012255D01* -X100952672Y-101003973D01* -X100951419Y-100995527D01* -X100951000Y-100987000D01* -X100951000Y-100813000D01* -X100951419Y-100804473D01* -X100952672Y-100796027D01* -X100954746Y-100787745D01* -X100957622Y-100779707D01* -X100961273Y-100771988D01* -X100965662Y-100764665D01* -X100970748Y-100757808D01* -X100976482Y-100751482D01* -X100982808Y-100745748D01* -X100989665Y-100740662D01* -X100996988Y-100736273D01* -X101004707Y-100732622D01* -X101012745Y-100729746D01* -X101021027Y-100727672D01* -X101029473Y-100726419D01* -X101038000Y-100726000D01* -X102387000Y-100726000D01* -X102395527Y-100726419D01* -G37* -G36* -X102395527Y-101226419D02* -G01* -X102403973Y-101227672D01* -X102412255Y-101229746D01* -X102420293Y-101232622D01* -X102428012Y-101236273D01* -X102435335Y-101240662D01* -X102442192Y-101245748D01* -X102448518Y-101251482D01* -X102454252Y-101257808D01* -X102459338Y-101264665D01* -X102463727Y-101271988D01* -X102467378Y-101279707D01* -X102470254Y-101287745D01* -X102472328Y-101296027D01* -X102473581Y-101304473D01* -X102474000Y-101313000D01* -X102474000Y-101487000D01* -X102473581Y-101495527D01* -X102472328Y-101503973D01* -X102470254Y-101512255D01* -X102467378Y-101520293D01* -X102463727Y-101528012D01* -X102459338Y-101535335D01* -X102454252Y-101542192D01* -X102448518Y-101548518D01* -X102442192Y-101554252D01* -X102435335Y-101559338D01* -X102428012Y-101563727D01* -X102420293Y-101567378D01* -X102412255Y-101570254D01* -X102403973Y-101572328D01* -X102395527Y-101573581D01* -X102387000Y-101574000D01* -X101038000Y-101574000D01* -X101029473Y-101573581D01* -X101021027Y-101572328D01* -X101012745Y-101570254D01* -X101004707Y-101567378D01* -X100996988Y-101563727D01* -X100989665Y-101559338D01* -X100982808Y-101554252D01* -X100976482Y-101548518D01* -X100970748Y-101542192D01* -X100965662Y-101535335D01* -X100961273Y-101528012D01* -X100957622Y-101520293D01* -X100954746Y-101512255D01* -X100952672Y-101503973D01* -X100951419Y-101495527D01* -X100951000Y-101487000D01* -X100951000Y-101313000D01* -X100951419Y-101304473D01* -X100952672Y-101296027D01* -X100954746Y-101287745D01* -X100957622Y-101279707D01* -X100961273Y-101271988D01* -X100965662Y-101264665D01* -X100970748Y-101257808D01* -X100976482Y-101251482D01* -X100982808Y-101245748D01* -X100989665Y-101240662D01* -X100996988Y-101236273D01* -X101004707Y-101232622D01* -X101012745Y-101229746D01* -X101021027Y-101227672D01* -X101029473Y-101226419D01* -X101038000Y-101226000D01* -X102387000Y-101226000D01* -X102395527Y-101226419D01* -G37* -G36* -X102395527Y-101726419D02* -G01* -X102403973Y-101727672D01* -X102412255Y-101729746D01* -X102420293Y-101732622D01* -X102428012Y-101736273D01* -X102435335Y-101740662D01* -X102442192Y-101745748D01* -X102448518Y-101751482D01* -X102454252Y-101757808D01* -X102459338Y-101764665D01* -X102463727Y-101771988D01* -X102467378Y-101779707D01* -X102470254Y-101787745D01* -X102472328Y-101796027D01* -X102473581Y-101804473D01* -X102474000Y-101813000D01* -X102474000Y-101987000D01* -X102473581Y-101995527D01* -X102472328Y-102003973D01* -X102470254Y-102012255D01* -X102467378Y-102020293D01* -X102463727Y-102028012D01* -X102459338Y-102035335D01* -X102454252Y-102042192D01* -X102448518Y-102048518D01* -X102442192Y-102054252D01* -X102435335Y-102059338D01* -X102428012Y-102063727D01* -X102420293Y-102067378D01* -X102412255Y-102070254D01* -X102403973Y-102072328D01* -X102395527Y-102073581D01* -X102387000Y-102074000D01* -X101038000Y-102074000D01* -X101029473Y-102073581D01* -X101021027Y-102072328D01* -X101012745Y-102070254D01* -X101004707Y-102067378D01* -X100996988Y-102063727D01* -X100989665Y-102059338D01* -X100982808Y-102054252D01* -X100976482Y-102048518D01* -X100970748Y-102042192D01* -X100965662Y-102035335D01* -X100961273Y-102028012D01* -X100957622Y-102020293D01* -X100954746Y-102012255D01* -X100952672Y-102003973D01* -X100951419Y-101995527D01* -X100951000Y-101987000D01* -X100951000Y-101813000D01* -X100951419Y-101804473D01* -X100952672Y-101796027D01* -X100954746Y-101787745D01* -X100957622Y-101779707D01* -X100961273Y-101771988D01* -X100965662Y-101764665D01* -X100970748Y-101757808D01* -X100976482Y-101751482D01* -X100982808Y-101745748D01* -X100989665Y-101740662D01* -X100996988Y-101736273D01* -X101004707Y-101732622D01* -X101012745Y-101729746D01* -X101021027Y-101727672D01* -X101029473Y-101726419D01* -X101038000Y-101726000D01* -X102387000Y-101726000D01* -X102395527Y-101726419D01* -G37* -G36* -X102395527Y-102226419D02* -G01* -X102403973Y-102227672D01* -X102412255Y-102229746D01* -X102420293Y-102232622D01* -X102428012Y-102236273D01* -X102435335Y-102240662D01* -X102442192Y-102245748D01* -X102448518Y-102251482D01* -X102454252Y-102257808D01* -X102459338Y-102264665D01* -X102463727Y-102271988D01* -X102467378Y-102279707D01* -X102470254Y-102287745D01* -X102472328Y-102296027D01* -X102473581Y-102304473D01* -X102474000Y-102313000D01* -X102474000Y-102487000D01* -X102473581Y-102495527D01* -X102472328Y-102503973D01* -X102470254Y-102512255D01* -X102467378Y-102520293D01* -X102463727Y-102528012D01* -X102459338Y-102535335D01* -X102454252Y-102542192D01* -X102448518Y-102548518D01* -X102442192Y-102554252D01* -X102435335Y-102559338D01* -X102428012Y-102563727D01* -X102420293Y-102567378D01* -X102412255Y-102570254D01* -X102403973Y-102572328D01* -X102395527Y-102573581D01* -X102387000Y-102574000D01* -X101038000Y-102574000D01* -X101029473Y-102573581D01* -X101021027Y-102572328D01* -X101012745Y-102570254D01* -X101004707Y-102567378D01* -X100996988Y-102563727D01* -X100989665Y-102559338D01* -X100982808Y-102554252D01* -X100976482Y-102548518D01* -X100970748Y-102542192D01* -X100965662Y-102535335D01* -X100961273Y-102528012D01* -X100957622Y-102520293D01* -X100954746Y-102512255D01* -X100952672Y-102503973D01* -X100951419Y-102495527D01* -X100951000Y-102487000D01* -X100951000Y-102313000D01* -X100951419Y-102304473D01* -X100952672Y-102296027D01* -X100954746Y-102287745D01* -X100957622Y-102279707D01* -X100961273Y-102271988D01* -X100965662Y-102264665D01* -X100970748Y-102257808D01* -X100976482Y-102251482D01* -X100982808Y-102245748D01* -X100989665Y-102240662D01* -X100996988Y-102236273D01* -X101004707Y-102232622D01* -X101012745Y-102229746D01* -X101021027Y-102227672D01* -X101029473Y-102226419D01* -X101038000Y-102226000D01* -X102387000Y-102226000D01* -X102395527Y-102226419D01* -G37* -G36* -X102395527Y-102726419D02* -G01* -X102403973Y-102727672D01* -X102412255Y-102729746D01* -X102420293Y-102732622D01* -X102428012Y-102736273D01* -X102435335Y-102740662D01* -X102442192Y-102745748D01* -X102448518Y-102751482D01* -X102454252Y-102757808D01* -X102459338Y-102764665D01* -X102463727Y-102771988D01* -X102467378Y-102779707D01* -X102470254Y-102787745D01* -X102472328Y-102796027D01* -X102473581Y-102804473D01* -X102474000Y-102813000D01* -X102474000Y-102987000D01* -X102473581Y-102995527D01* -X102472328Y-103003973D01* -X102470254Y-103012255D01* -X102467378Y-103020293D01* -X102463727Y-103028012D01* -X102459338Y-103035335D01* -X102454252Y-103042192D01* -X102448518Y-103048518D01* -X102442192Y-103054252D01* -X102435335Y-103059338D01* -X102428012Y-103063727D01* -X102420293Y-103067378D01* -X102412255Y-103070254D01* -X102403973Y-103072328D01* -X102395527Y-103073581D01* -X102387000Y-103074000D01* -X101038000Y-103074000D01* -X101029473Y-103073581D01* -X101021027Y-103072328D01* -X101012745Y-103070254D01* -X101004707Y-103067378D01* -X100996988Y-103063727D01* -X100989665Y-103059338D01* -X100982808Y-103054252D01* -X100976482Y-103048518D01* -X100970748Y-103042192D01* -X100965662Y-103035335D01* -X100961273Y-103028012D01* -X100957622Y-103020293D01* -X100954746Y-103012255D01* -X100952672Y-103003973D01* -X100951419Y-102995527D01* -X100951000Y-102987000D01* -X100951000Y-102813000D01* -X100951419Y-102804473D01* -X100952672Y-102796027D01* -X100954746Y-102787745D01* -X100957622Y-102779707D01* -X100961273Y-102771988D01* -X100965662Y-102764665D01* -X100970748Y-102757808D01* -X100976482Y-102751482D01* -X100982808Y-102745748D01* -X100989665Y-102740662D01* -X100996988Y-102736273D01* -X101004707Y-102732622D01* -X101012745Y-102729746D01* -X101021027Y-102727672D01* -X101029473Y-102726419D01* -X101038000Y-102726000D01* -X102387000Y-102726000D01* -X102395527Y-102726419D01* -G37* -G36* -X102395527Y-103226419D02* -G01* -X102403973Y-103227672D01* -X102412255Y-103229746D01* -X102420293Y-103232622D01* -X102428012Y-103236273D01* -X102435335Y-103240662D01* -X102442192Y-103245748D01* -X102448518Y-103251482D01* -X102454252Y-103257808D01* -X102459338Y-103264665D01* -X102463727Y-103271988D01* -X102467378Y-103279707D01* -X102470254Y-103287745D01* -X102472328Y-103296027D01* -X102473581Y-103304473D01* -X102474000Y-103313000D01* -X102474000Y-103487000D01* -X102473581Y-103495527D01* -X102472328Y-103503973D01* -X102470254Y-103512255D01* -X102467378Y-103520293D01* -X102463727Y-103528012D01* -X102459338Y-103535335D01* -X102454252Y-103542192D01* -X102448518Y-103548518D01* -X102442192Y-103554252D01* -X102435335Y-103559338D01* -X102428012Y-103563727D01* -X102420293Y-103567378D01* -X102412255Y-103570254D01* -X102403973Y-103572328D01* -X102395527Y-103573581D01* -X102387000Y-103574000D01* -X101038000Y-103574000D01* -X101029473Y-103573581D01* -X101021027Y-103572328D01* -X101012745Y-103570254D01* -X101004707Y-103567378D01* -X100996988Y-103563727D01* -X100989665Y-103559338D01* -X100982808Y-103554252D01* -X100976482Y-103548518D01* -X100970748Y-103542192D01* -X100965662Y-103535335D01* -X100961273Y-103528012D01* -X100957622Y-103520293D01* -X100954746Y-103512255D01* -X100952672Y-103503973D01* -X100951419Y-103495527D01* -X100951000Y-103487000D01* -X100951000Y-103313000D01* -X100951419Y-103304473D01* -X100952672Y-103296027D01* -X100954746Y-103287745D01* -X100957622Y-103279707D01* -X100961273Y-103271988D01* -X100965662Y-103264665D01* -X100970748Y-103257808D01* -X100976482Y-103251482D01* -X100982808Y-103245748D01* -X100989665Y-103240662D01* -X100996988Y-103236273D01* -X101004707Y-103232622D01* -X101012745Y-103229746D01* -X101021027Y-103227672D01* -X101029473Y-103226419D01* -X101038000Y-103226000D01* -X102387000Y-103226000D01* -X102395527Y-103226419D01* -G37* -G36* -X102395527Y-103726419D02* -G01* -X102403973Y-103727672D01* -X102412255Y-103729746D01* -X102420293Y-103732622D01* -X102428012Y-103736273D01* -X102435335Y-103740662D01* -X102442192Y-103745748D01* -X102448518Y-103751482D01* -X102454252Y-103757808D01* -X102459338Y-103764665D01* -X102463727Y-103771988D01* -X102467378Y-103779707D01* -X102470254Y-103787745D01* -X102472328Y-103796027D01* -X102473581Y-103804473D01* -X102474000Y-103813000D01* -X102474000Y-103987000D01* -X102473581Y-103995527D01* -X102472328Y-104003973D01* -X102470254Y-104012255D01* -X102467378Y-104020293D01* -X102463727Y-104028012D01* -X102459338Y-104035335D01* -X102454252Y-104042192D01* -X102448518Y-104048518D01* -X102442192Y-104054252D01* -X102435335Y-104059338D01* -X102428012Y-104063727D01* -X102420293Y-104067378D01* -X102412255Y-104070254D01* -X102403973Y-104072328D01* -X102395527Y-104073581D01* -X102387000Y-104074000D01* -X101038000Y-104074000D01* -X101029473Y-104073581D01* -X101021027Y-104072328D01* -X101012745Y-104070254D01* -X101004707Y-104067378D01* -X100996988Y-104063727D01* -X100989665Y-104059338D01* -X100982808Y-104054252D01* -X100976482Y-104048518D01* -X100970748Y-104042192D01* -X100965662Y-104035335D01* -X100961273Y-104028012D01* -X100957622Y-104020293D01* -X100954746Y-104012255D01* -X100952672Y-104003973D01* -X100951419Y-103995527D01* -X100951000Y-103987000D01* -X100951000Y-103813000D01* -X100951419Y-103804473D01* -X100952672Y-103796027D01* -X100954746Y-103787745D01* -X100957622Y-103779707D01* -X100961273Y-103771988D01* -X100965662Y-103764665D01* -X100970748Y-103757808D01* -X100976482Y-103751482D01* -X100982808Y-103745748D01* -X100989665Y-103740662D01* -X100996988Y-103736273D01* -X101004707Y-103732622D01* -X101012745Y-103729746D01* -X101021027Y-103727672D01* -X101029473Y-103726419D01* -X101038000Y-103726000D01* -X102387000Y-103726000D01* -X102395527Y-103726419D01* -G37* -G36* -X102395527Y-104226419D02* -G01* -X102403973Y-104227672D01* -X102412255Y-104229746D01* -X102420293Y-104232622D01* -X102428012Y-104236273D01* -X102435335Y-104240662D01* -X102442192Y-104245748D01* -X102448518Y-104251482D01* -X102454252Y-104257808D01* -X102459338Y-104264665D01* -X102463727Y-104271988D01* -X102467378Y-104279707D01* -X102470254Y-104287745D01* -X102472328Y-104296027D01* -X102473581Y-104304473D01* -X102474000Y-104313000D01* -X102474000Y-104487000D01* -X102473581Y-104495527D01* -X102472328Y-104503973D01* -X102470254Y-104512255D01* -X102467378Y-104520293D01* -X102463727Y-104528012D01* -X102459338Y-104535335D01* -X102454252Y-104542192D01* -X102448518Y-104548518D01* -X102442192Y-104554252D01* -X102435335Y-104559338D01* -X102428012Y-104563727D01* -X102420293Y-104567378D01* -X102412255Y-104570254D01* -X102403973Y-104572328D01* -X102395527Y-104573581D01* -X102387000Y-104574000D01* -X101038000Y-104574000D01* -X101029473Y-104573581D01* -X101021027Y-104572328D01* -X101012745Y-104570254D01* -X101004707Y-104567378D01* -X100996988Y-104563727D01* -X100989665Y-104559338D01* -X100982808Y-104554252D01* -X100976482Y-104548518D01* -X100970748Y-104542192D01* -X100965662Y-104535335D01* -X100961273Y-104528012D01* -X100957622Y-104520293D01* -X100954746Y-104512255D01* -X100952672Y-104503973D01* -X100951419Y-104495527D01* -X100951000Y-104487000D01* -X100951000Y-104313000D01* -X100951419Y-104304473D01* -X100952672Y-104296027D01* -X100954746Y-104287745D01* -X100957622Y-104279707D01* -X100961273Y-104271988D01* -X100965662Y-104264665D01* -X100970748Y-104257808D01* -X100976482Y-104251482D01* -X100982808Y-104245748D01* -X100989665Y-104240662D01* -X100996988Y-104236273D01* -X101004707Y-104232622D01* -X101012745Y-104229746D01* -X101021027Y-104227672D01* -X101029473Y-104226419D01* -X101038000Y-104226000D01* -X102387000Y-104226000D01* -X102395527Y-104226419D01* -G37* -G36* -X102395527Y-104726419D02* -G01* -X102403973Y-104727672D01* -X102412255Y-104729746D01* -X102420293Y-104732622D01* -X102428012Y-104736273D01* -X102435335Y-104740662D01* -X102442192Y-104745748D01* -X102448518Y-104751482D01* -X102454252Y-104757808D01* -X102459338Y-104764665D01* -X102463727Y-104771988D01* -X102467378Y-104779707D01* -X102470254Y-104787745D01* -X102472328Y-104796027D01* -X102473581Y-104804473D01* -X102474000Y-104813000D01* -X102474000Y-104987000D01* -X102473581Y-104995527D01* -X102472328Y-105003973D01* -X102470254Y-105012255D01* -X102467378Y-105020293D01* -X102463727Y-105028012D01* -X102459338Y-105035335D01* -X102454252Y-105042192D01* -X102448518Y-105048518D01* -X102442192Y-105054252D01* -X102435335Y-105059338D01* -X102428012Y-105063727D01* -X102420293Y-105067378D01* -X102412255Y-105070254D01* -X102403973Y-105072328D01* -X102395527Y-105073581D01* -X102387000Y-105074000D01* -X101038000Y-105074000D01* -X101029473Y-105073581D01* -X101021027Y-105072328D01* -X101012745Y-105070254D01* -X101004707Y-105067378D01* -X100996988Y-105063727D01* -X100989665Y-105059338D01* -X100982808Y-105054252D01* -X100976482Y-105048518D01* -X100970748Y-105042192D01* -X100965662Y-105035335D01* -X100961273Y-105028012D01* -X100957622Y-105020293D01* -X100954746Y-105012255D01* -X100952672Y-105003973D01* -X100951419Y-104995527D01* -X100951000Y-104987000D01* -X100951000Y-104813000D01* -X100951419Y-104804473D01* -X100952672Y-104796027D01* -X100954746Y-104787745D01* -X100957622Y-104779707D01* -X100961273Y-104771988D01* -X100965662Y-104764665D01* -X100970748Y-104757808D01* -X100976482Y-104751482D01* -X100982808Y-104745748D01* -X100989665Y-104740662D01* -X100996988Y-104736273D01* -X101004707Y-104732622D01* -X101012745Y-104729746D01* -X101021027Y-104727672D01* -X101029473Y-104726419D01* -X101038000Y-104726000D01* -X102387000Y-104726000D01* -X102395527Y-104726419D01* -G37* -G36* -X102395527Y-105226419D02* -G01* -X102403973Y-105227672D01* -X102412255Y-105229746D01* -X102420293Y-105232622D01* -X102428012Y-105236273D01* -X102435335Y-105240662D01* -X102442192Y-105245748D01* -X102448518Y-105251482D01* -X102454252Y-105257808D01* -X102459338Y-105264665D01* -X102463727Y-105271988D01* -X102467378Y-105279707D01* -X102470254Y-105287745D01* -X102472328Y-105296027D01* -X102473581Y-105304473D01* -X102474000Y-105313000D01* -X102474000Y-105487000D01* -X102473581Y-105495527D01* -X102472328Y-105503973D01* -X102470254Y-105512255D01* -X102467378Y-105520293D01* -X102463727Y-105528012D01* -X102459338Y-105535335D01* -X102454252Y-105542192D01* -X102448518Y-105548518D01* -X102442192Y-105554252D01* -X102435335Y-105559338D01* -X102428012Y-105563727D01* -X102420293Y-105567378D01* -X102412255Y-105570254D01* -X102403973Y-105572328D01* -X102395527Y-105573581D01* -X102387000Y-105574000D01* -X101038000Y-105574000D01* -X101029473Y-105573581D01* -X101021027Y-105572328D01* -X101012745Y-105570254D01* -X101004707Y-105567378D01* -X100996988Y-105563727D01* -X100989665Y-105559338D01* -X100982808Y-105554252D01* -X100976482Y-105548518D01* -X100970748Y-105542192D01* -X100965662Y-105535335D01* -X100961273Y-105528012D01* -X100957622Y-105520293D01* -X100954746Y-105512255D01* -X100952672Y-105503973D01* -X100951419Y-105495527D01* -X100951000Y-105487000D01* -X100951000Y-105313000D01* -X100951419Y-105304473D01* -X100952672Y-105296027D01* -X100954746Y-105287745D01* -X100957622Y-105279707D01* -X100961273Y-105271988D01* -X100965662Y-105264665D01* -X100970748Y-105257808D01* -X100976482Y-105251482D01* -X100982808Y-105245748D01* -X100989665Y-105240662D01* -X100996988Y-105236273D01* -X101004707Y-105232622D01* -X101012745Y-105229746D01* -X101021027Y-105227672D01* -X101029473Y-105226419D01* -X101038000Y-105226000D01* -X102387000Y-105226000D01* -X102395527Y-105226419D01* -G37* -G36* -X102395527Y-105726419D02* -G01* -X102403973Y-105727672D01* -X102412255Y-105729746D01* -X102420293Y-105732622D01* -X102428012Y-105736273D01* -X102435335Y-105740662D01* -X102442192Y-105745748D01* -X102448518Y-105751482D01* -X102454252Y-105757808D01* -X102459338Y-105764665D01* -X102463727Y-105771988D01* -X102467378Y-105779707D01* -X102470254Y-105787745D01* -X102472328Y-105796027D01* -X102473581Y-105804473D01* -X102474000Y-105813000D01* -X102474000Y-105987000D01* -X102473581Y-105995527D01* -X102472328Y-106003973D01* -X102470254Y-106012255D01* -X102467378Y-106020293D01* -X102463727Y-106028012D01* -X102459338Y-106035335D01* -X102454252Y-106042192D01* -X102448518Y-106048518D01* -X102442192Y-106054252D01* -X102435335Y-106059338D01* -X102428012Y-106063727D01* -X102420293Y-106067378D01* -X102412255Y-106070254D01* -X102403973Y-106072328D01* -X102395527Y-106073581D01* -X102387000Y-106074000D01* -X101038000Y-106074000D01* -X101029473Y-106073581D01* -X101021027Y-106072328D01* -X101012745Y-106070254D01* -X101004707Y-106067378D01* -X100996988Y-106063727D01* -X100989665Y-106059338D01* -X100982808Y-106054252D01* -X100976482Y-106048518D01* -X100970748Y-106042192D01* -X100965662Y-106035335D01* -X100961273Y-106028012D01* -X100957622Y-106020293D01* -X100954746Y-106012255D01* -X100952672Y-106003973D01* -X100951419Y-105995527D01* -X100951000Y-105987000D01* -X100951000Y-105813000D01* -X100951419Y-105804473D01* -X100952672Y-105796027D01* -X100954746Y-105787745D01* -X100957622Y-105779707D01* -X100961273Y-105771988D01* -X100965662Y-105764665D01* -X100970748Y-105757808D01* -X100976482Y-105751482D01* -X100982808Y-105745748D01* -X100989665Y-105740662D01* -X100996988Y-105736273D01* -X101004707Y-105732622D01* -X101012745Y-105729746D01* -X101021027Y-105727672D01* -X101029473Y-105726419D01* -X101038000Y-105726000D01* -X102387000Y-105726000D01* -X102395527Y-105726419D01* -G37* -G36* -X102395527Y-106226419D02* -G01* -X102403973Y-106227672D01* -X102412255Y-106229746D01* -X102420293Y-106232622D01* -X102428012Y-106236273D01* -X102435335Y-106240662D01* -X102442192Y-106245748D01* -X102448518Y-106251482D01* -X102454252Y-106257808D01* -X102459338Y-106264665D01* -X102463727Y-106271988D01* -X102467378Y-106279707D01* -X102470254Y-106287745D01* -X102472328Y-106296027D01* -X102473581Y-106304473D01* -X102474000Y-106313000D01* -X102474000Y-106487000D01* -X102473581Y-106495527D01* -X102472328Y-106503973D01* -X102470254Y-106512255D01* -X102467378Y-106520293D01* -X102463727Y-106528012D01* -X102459338Y-106535335D01* -X102454252Y-106542192D01* -X102448518Y-106548518D01* -X102442192Y-106554252D01* -X102435335Y-106559338D01* -X102428012Y-106563727D01* -X102420293Y-106567378D01* -X102412255Y-106570254D01* -X102403973Y-106572328D01* -X102395527Y-106573581D01* -X102387000Y-106574000D01* -X101038000Y-106574000D01* -X101029473Y-106573581D01* -X101021027Y-106572328D01* -X101012745Y-106570254D01* -X101004707Y-106567378D01* -X100996988Y-106563727D01* -X100989665Y-106559338D01* -X100982808Y-106554252D01* -X100976482Y-106548518D01* -X100970748Y-106542192D01* -X100965662Y-106535335D01* -X100961273Y-106528012D01* -X100957622Y-106520293D01* -X100954746Y-106512255D01* -X100952672Y-106503973D01* -X100951419Y-106495527D01* -X100951000Y-106487000D01* -X100951000Y-106313000D01* -X100951419Y-106304473D01* -X100952672Y-106296027D01* -X100954746Y-106287745D01* -X100957622Y-106279707D01* -X100961273Y-106271988D01* -X100965662Y-106264665D01* -X100970748Y-106257808D01* -X100976482Y-106251482D01* -X100982808Y-106245748D01* -X100989665Y-106240662D01* -X100996988Y-106236273D01* -X101004707Y-106232622D01* -X101012745Y-106229746D01* -X101021027Y-106227672D01* -X101029473Y-106226419D01* -X101038000Y-106226000D01* -X102387000Y-106226000D01* -X102395527Y-106226419D01* -G37* -G36* -X102395527Y-106726419D02* -G01* -X102403973Y-106727672D01* -X102412255Y-106729746D01* -X102420293Y-106732622D01* -X102428012Y-106736273D01* -X102435335Y-106740662D01* -X102442192Y-106745748D01* -X102448518Y-106751482D01* -X102454252Y-106757808D01* -X102459338Y-106764665D01* -X102463727Y-106771988D01* -X102467378Y-106779707D01* -X102470254Y-106787745D01* -X102472328Y-106796027D01* -X102473581Y-106804473D01* -X102474000Y-106813000D01* -X102474000Y-106987000D01* -X102473581Y-106995527D01* -X102472328Y-107003973D01* -X102470254Y-107012255D01* -X102467378Y-107020293D01* -X102463727Y-107028012D01* -X102459338Y-107035335D01* -X102454252Y-107042192D01* -X102448518Y-107048518D01* -X102442192Y-107054252D01* -X102435335Y-107059338D01* -X102428012Y-107063727D01* -X102420293Y-107067378D01* -X102412255Y-107070254D01* -X102403973Y-107072328D01* -X102395527Y-107073581D01* -X102387000Y-107074000D01* -X101038000Y-107074000D01* -X101029473Y-107073581D01* -X101021027Y-107072328D01* -X101012745Y-107070254D01* -X101004707Y-107067378D01* -X100996988Y-107063727D01* -X100989665Y-107059338D01* -X100982808Y-107054252D01* -X100976482Y-107048518D01* -X100970748Y-107042192D01* -X100965662Y-107035335D01* -X100961273Y-107028012D01* -X100957622Y-107020293D01* -X100954746Y-107012255D01* -X100952672Y-107003973D01* -X100951419Y-106995527D01* -X100951000Y-106987000D01* -X100951000Y-106813000D01* -X100951419Y-106804473D01* -X100952672Y-106796027D01* -X100954746Y-106787745D01* -X100957622Y-106779707D01* -X100961273Y-106771988D01* -X100965662Y-106764665D01* -X100970748Y-106757808D01* -X100976482Y-106751482D01* -X100982808Y-106745748D01* -X100989665Y-106740662D01* -X100996988Y-106736273D01* -X101004707Y-106732622D01* -X101012745Y-106729746D01* -X101021027Y-106727672D01* -X101029473Y-106726419D01* -X101038000Y-106726000D01* -X102387000Y-106726000D01* -X102395527Y-106726419D01* -G37* -G36* -X102395527Y-107226419D02* -G01* -X102403973Y-107227672D01* -X102412255Y-107229746D01* -X102420293Y-107232622D01* -X102428012Y-107236273D01* -X102435335Y-107240662D01* -X102442192Y-107245748D01* -X102448518Y-107251482D01* -X102454252Y-107257808D01* -X102459338Y-107264665D01* -X102463727Y-107271988D01* -X102467378Y-107279707D01* -X102470254Y-107287745D01* -X102472328Y-107296027D01* -X102473581Y-107304473D01* -X102474000Y-107313000D01* -X102474000Y-107487000D01* -X102473581Y-107495527D01* -X102472328Y-107503973D01* -X102470254Y-107512255D01* -X102467378Y-107520293D01* -X102463727Y-107528012D01* -X102459338Y-107535335D01* -X102454252Y-107542192D01* -X102448518Y-107548518D01* -X102442192Y-107554252D01* -X102435335Y-107559338D01* -X102428012Y-107563727D01* -X102420293Y-107567378D01* -X102412255Y-107570254D01* -X102403973Y-107572328D01* -X102395527Y-107573581D01* -X102387000Y-107574000D01* -X101038000Y-107574000D01* -X101029473Y-107573581D01* -X101021027Y-107572328D01* -X101012745Y-107570254D01* -X101004707Y-107567378D01* -X100996988Y-107563727D01* -X100989665Y-107559338D01* -X100982808Y-107554252D01* -X100976482Y-107548518D01* -X100970748Y-107542192D01* -X100965662Y-107535335D01* -X100961273Y-107528012D01* -X100957622Y-107520293D01* -X100954746Y-107512255D01* -X100952672Y-107503973D01* -X100951419Y-107495527D01* -X100951000Y-107487000D01* -X100951000Y-107313000D01* -X100951419Y-107304473D01* -X100952672Y-107296027D01* -X100954746Y-107287745D01* -X100957622Y-107279707D01* -X100961273Y-107271988D01* -X100965662Y-107264665D01* -X100970748Y-107257808D01* -X100976482Y-107251482D01* -X100982808Y-107245748D01* -X100989665Y-107240662D01* -X100996988Y-107236273D01* -X101004707Y-107232622D01* -X101012745Y-107229746D01* -X101021027Y-107227672D01* -X101029473Y-107226419D01* -X101038000Y-107226000D01* -X102387000Y-107226000D01* -X102395527Y-107226419D01* -G37* -G36* -X100145527Y-108301419D02* -G01* -X100153973Y-108302672D01* -X100162255Y-108304746D01* -X100170293Y-108307622D01* -X100178012Y-108311273D01* -X100185335Y-108315662D01* -X100192192Y-108320748D01* -X100198518Y-108326482D01* -X100204252Y-108332808D01* -X100209338Y-108339665D01* -X100213727Y-108346988D01* -X100217378Y-108354707D01* -X100220254Y-108362745D01* -X100222328Y-108371027D01* -X100223581Y-108379473D01* -X100224000Y-108388000D01* -X100224000Y-109737000D01* -X100223581Y-109745527D01* -X100222328Y-109753973D01* -X100220254Y-109762255D01* -X100217378Y-109770293D01* -X100213727Y-109778012D01* -X100209338Y-109785335D01* -X100204252Y-109792192D01* -X100198518Y-109798518D01* -X100192192Y-109804252D01* -X100185335Y-109809338D01* -X100178012Y-109813727D01* -X100170293Y-109817378D01* -X100162255Y-109820254D01* -X100153973Y-109822328D01* -X100145527Y-109823581D01* -X100137000Y-109824000D01* -X99963000Y-109824000D01* -X99954473Y-109823581D01* -X99946027Y-109822328D01* -X99937745Y-109820254D01* -X99929707Y-109817378D01* -X99921988Y-109813727D01* -X99914665Y-109809338D01* -X99907808Y-109804252D01* -X99901482Y-109798518D01* -X99895748Y-109792192D01* -X99890662Y-109785335D01* -X99886273Y-109778012D01* -X99882622Y-109770293D01* -X99879746Y-109762255D01* -X99877672Y-109753973D01* -X99876419Y-109745527D01* -X99876000Y-109737000D01* -X99876000Y-108388000D01* -X99876419Y-108379473D01* -X99877672Y-108371027D01* -X99879746Y-108362745D01* -X99882622Y-108354707D01* -X99886273Y-108346988D01* -X99890662Y-108339665D01* -X99895748Y-108332808D01* -X99901482Y-108326482D01* -X99907808Y-108320748D01* -X99914665Y-108315662D01* -X99921988Y-108311273D01* -X99929707Y-108307622D01* -X99937745Y-108304746D01* -X99946027Y-108302672D01* -X99954473Y-108301419D01* -X99963000Y-108301000D01* -X100137000Y-108301000D01* -X100145527Y-108301419D01* -G37* -G36* -X99645527Y-108301419D02* -G01* -X99653973Y-108302672D01* -X99662255Y-108304746D01* -X99670293Y-108307622D01* -X99678012Y-108311273D01* -X99685335Y-108315662D01* -X99692192Y-108320748D01* -X99698518Y-108326482D01* -X99704252Y-108332808D01* -X99709338Y-108339665D01* -X99713727Y-108346988D01* -X99717378Y-108354707D01* -X99720254Y-108362745D01* -X99722328Y-108371027D01* -X99723581Y-108379473D01* -X99724000Y-108388000D01* -X99724000Y-109737000D01* -X99723581Y-109745527D01* -X99722328Y-109753973D01* -X99720254Y-109762255D01* -X99717378Y-109770293D01* -X99713727Y-109778012D01* -X99709338Y-109785335D01* -X99704252Y-109792192D01* -X99698518Y-109798518D01* -X99692192Y-109804252D01* -X99685335Y-109809338D01* -X99678012Y-109813727D01* -X99670293Y-109817378D01* -X99662255Y-109820254D01* -X99653973Y-109822328D01* -X99645527Y-109823581D01* -X99637000Y-109824000D01* -X99463000Y-109824000D01* -X99454473Y-109823581D01* -X99446027Y-109822328D01* -X99437745Y-109820254D01* -X99429707Y-109817378D01* -X99421988Y-109813727D01* -X99414665Y-109809338D01* -X99407808Y-109804252D01* -X99401482Y-109798518D01* -X99395748Y-109792192D01* -X99390662Y-109785335D01* -X99386273Y-109778012D01* -X99382622Y-109770293D01* -X99379746Y-109762255D01* -X99377672Y-109753973D01* -X99376419Y-109745527D01* -X99376000Y-109737000D01* -X99376000Y-108388000D01* -X99376419Y-108379473D01* -X99377672Y-108371027D01* -X99379746Y-108362745D01* -X99382622Y-108354707D01* -X99386273Y-108346988D01* -X99390662Y-108339665D01* -X99395748Y-108332808D01* -X99401482Y-108326482D01* -X99407808Y-108320748D01* -X99414665Y-108315662D01* -X99421988Y-108311273D01* -X99429707Y-108307622D01* -X99437745Y-108304746D01* -X99446027Y-108302672D01* -X99454473Y-108301419D01* -X99463000Y-108301000D01* -X99637000Y-108301000D01* -X99645527Y-108301419D01* -G37* -G36* -X99145527Y-108301419D02* -G01* -X99153973Y-108302672D01* -X99162255Y-108304746D01* -X99170293Y-108307622D01* -X99178012Y-108311273D01* -X99185335Y-108315662D01* -X99192192Y-108320748D01* -X99198518Y-108326482D01* -X99204252Y-108332808D01* -X99209338Y-108339665D01* -X99213727Y-108346988D01* -X99217378Y-108354707D01* -X99220254Y-108362745D01* -X99222328Y-108371027D01* -X99223581Y-108379473D01* -X99224000Y-108388000D01* -X99224000Y-109737000D01* -X99223581Y-109745527D01* -X99222328Y-109753973D01* -X99220254Y-109762255D01* -X99217378Y-109770293D01* -X99213727Y-109778012D01* -X99209338Y-109785335D01* -X99204252Y-109792192D01* -X99198518Y-109798518D01* -X99192192Y-109804252D01* -X99185335Y-109809338D01* -X99178012Y-109813727D01* -X99170293Y-109817378D01* -X99162255Y-109820254D01* -X99153973Y-109822328D01* -X99145527Y-109823581D01* -X99137000Y-109824000D01* -X98963000Y-109824000D01* -X98954473Y-109823581D01* -X98946027Y-109822328D01* -X98937745Y-109820254D01* -X98929707Y-109817378D01* -X98921988Y-109813727D01* -X98914665Y-109809338D01* -X98907808Y-109804252D01* -X98901482Y-109798518D01* -X98895748Y-109792192D01* -X98890662Y-109785335D01* -X98886273Y-109778012D01* -X98882622Y-109770293D01* -X98879746Y-109762255D01* -X98877672Y-109753973D01* -X98876419Y-109745527D01* -X98876000Y-109737000D01* -X98876000Y-108388000D01* -X98876419Y-108379473D01* -X98877672Y-108371027D01* -X98879746Y-108362745D01* -X98882622Y-108354707D01* -X98886273Y-108346988D01* -X98890662Y-108339665D01* -X98895748Y-108332808D01* -X98901482Y-108326482D01* -X98907808Y-108320748D01* -X98914665Y-108315662D01* -X98921988Y-108311273D01* -X98929707Y-108307622D01* -X98937745Y-108304746D01* -X98946027Y-108302672D01* -X98954473Y-108301419D01* -X98963000Y-108301000D01* -X99137000Y-108301000D01* -X99145527Y-108301419D01* -G37* -G36* -X98645527Y-108301419D02* -G01* -X98653973Y-108302672D01* -X98662255Y-108304746D01* -X98670293Y-108307622D01* -X98678012Y-108311273D01* -X98685335Y-108315662D01* -X98692192Y-108320748D01* -X98698518Y-108326482D01* -X98704252Y-108332808D01* -X98709338Y-108339665D01* -X98713727Y-108346988D01* -X98717378Y-108354707D01* -X98720254Y-108362745D01* -X98722328Y-108371027D01* -X98723581Y-108379473D01* -X98724000Y-108388000D01* -X98724000Y-109737000D01* -X98723581Y-109745527D01* -X98722328Y-109753973D01* -X98720254Y-109762255D01* -X98717378Y-109770293D01* -X98713727Y-109778012D01* -X98709338Y-109785335D01* -X98704252Y-109792192D01* -X98698518Y-109798518D01* -X98692192Y-109804252D01* -X98685335Y-109809338D01* -X98678012Y-109813727D01* -X98670293Y-109817378D01* -X98662255Y-109820254D01* -X98653973Y-109822328D01* -X98645527Y-109823581D01* -X98637000Y-109824000D01* -X98463000Y-109824000D01* -X98454473Y-109823581D01* -X98446027Y-109822328D01* -X98437745Y-109820254D01* -X98429707Y-109817378D01* -X98421988Y-109813727D01* -X98414665Y-109809338D01* -X98407808Y-109804252D01* -X98401482Y-109798518D01* -X98395748Y-109792192D01* -X98390662Y-109785335D01* -X98386273Y-109778012D01* -X98382622Y-109770293D01* -X98379746Y-109762255D01* -X98377672Y-109753973D01* -X98376419Y-109745527D01* -X98376000Y-109737000D01* -X98376000Y-108388000D01* -X98376419Y-108379473D01* -X98377672Y-108371027D01* -X98379746Y-108362745D01* -X98382622Y-108354707D01* -X98386273Y-108346988D01* -X98390662Y-108339665D01* -X98395748Y-108332808D01* -X98401482Y-108326482D01* -X98407808Y-108320748D01* -X98414665Y-108315662D01* -X98421988Y-108311273D01* -X98429707Y-108307622D01* -X98437745Y-108304746D01* -X98446027Y-108302672D01* -X98454473Y-108301419D01* -X98463000Y-108301000D01* -X98637000Y-108301000D01* -X98645527Y-108301419D01* -G37* -G36* -X98145527Y-108301419D02* -G01* -X98153973Y-108302672D01* -X98162255Y-108304746D01* -X98170293Y-108307622D01* -X98178012Y-108311273D01* -X98185335Y-108315662D01* -X98192192Y-108320748D01* -X98198518Y-108326482D01* -X98204252Y-108332808D01* -X98209338Y-108339665D01* -X98213727Y-108346988D01* -X98217378Y-108354707D01* -X98220254Y-108362745D01* -X98222328Y-108371027D01* -X98223581Y-108379473D01* -X98224000Y-108388000D01* -X98224000Y-109737000D01* -X98223581Y-109745527D01* -X98222328Y-109753973D01* -X98220254Y-109762255D01* -X98217378Y-109770293D01* -X98213727Y-109778012D01* -X98209338Y-109785335D01* -X98204252Y-109792192D01* -X98198518Y-109798518D01* -X98192192Y-109804252D01* -X98185335Y-109809338D01* -X98178012Y-109813727D01* -X98170293Y-109817378D01* -X98162255Y-109820254D01* -X98153973Y-109822328D01* -X98145527Y-109823581D01* -X98137000Y-109824000D01* -X97963000Y-109824000D01* -X97954473Y-109823581D01* -X97946027Y-109822328D01* -X97937745Y-109820254D01* -X97929707Y-109817378D01* -X97921988Y-109813727D01* -X97914665Y-109809338D01* -X97907808Y-109804252D01* -X97901482Y-109798518D01* -X97895748Y-109792192D01* -X97890662Y-109785335D01* -X97886273Y-109778012D01* -X97882622Y-109770293D01* -X97879746Y-109762255D01* -X97877672Y-109753973D01* -X97876419Y-109745527D01* -X97876000Y-109737000D01* -X97876000Y-108388000D01* -X97876419Y-108379473D01* -X97877672Y-108371027D01* -X97879746Y-108362745D01* -X97882622Y-108354707D01* -X97886273Y-108346988D01* -X97890662Y-108339665D01* -X97895748Y-108332808D01* -X97901482Y-108326482D01* -X97907808Y-108320748D01* -X97914665Y-108315662D01* -X97921988Y-108311273D01* -X97929707Y-108307622D01* -X97937745Y-108304746D01* -X97946027Y-108302672D01* -X97954473Y-108301419D01* -X97963000Y-108301000D01* -X98137000Y-108301000D01* -X98145527Y-108301419D01* -G37* -G36* -X97645527Y-108301419D02* -G01* -X97653973Y-108302672D01* -X97662255Y-108304746D01* -X97670293Y-108307622D01* -X97678012Y-108311273D01* -X97685335Y-108315662D01* -X97692192Y-108320748D01* -X97698518Y-108326482D01* -X97704252Y-108332808D01* -X97709338Y-108339665D01* -X97713727Y-108346988D01* -X97717378Y-108354707D01* -X97720254Y-108362745D01* -X97722328Y-108371027D01* -X97723581Y-108379473D01* -X97724000Y-108388000D01* -X97724000Y-109737000D01* -X97723581Y-109745527D01* -X97722328Y-109753973D01* -X97720254Y-109762255D01* -X97717378Y-109770293D01* -X97713727Y-109778012D01* -X97709338Y-109785335D01* -X97704252Y-109792192D01* -X97698518Y-109798518D01* -X97692192Y-109804252D01* -X97685335Y-109809338D01* -X97678012Y-109813727D01* -X97670293Y-109817378D01* -X97662255Y-109820254D01* -X97653973Y-109822328D01* -X97645527Y-109823581D01* -X97637000Y-109824000D01* -X97463000Y-109824000D01* -X97454473Y-109823581D01* -X97446027Y-109822328D01* -X97437745Y-109820254D01* -X97429707Y-109817378D01* -X97421988Y-109813727D01* -X97414665Y-109809338D01* -X97407808Y-109804252D01* -X97401482Y-109798518D01* -X97395748Y-109792192D01* -X97390662Y-109785335D01* -X97386273Y-109778012D01* -X97382622Y-109770293D01* -X97379746Y-109762255D01* -X97377672Y-109753973D01* -X97376419Y-109745527D01* -X97376000Y-109737000D01* -X97376000Y-108388000D01* -X97376419Y-108379473D01* -X97377672Y-108371027D01* -X97379746Y-108362745D01* -X97382622Y-108354707D01* -X97386273Y-108346988D01* -X97390662Y-108339665D01* -X97395748Y-108332808D01* -X97401482Y-108326482D01* -X97407808Y-108320748D01* -X97414665Y-108315662D01* -X97421988Y-108311273D01* -X97429707Y-108307622D01* -X97437745Y-108304746D01* -X97446027Y-108302672D01* -X97454473Y-108301419D01* -X97463000Y-108301000D01* -X97637000Y-108301000D01* -X97645527Y-108301419D01* -G37* -G36* -X97145527Y-108301419D02* -G01* -X97153973Y-108302672D01* -X97162255Y-108304746D01* -X97170293Y-108307622D01* -X97178012Y-108311273D01* -X97185335Y-108315662D01* -X97192192Y-108320748D01* -X97198518Y-108326482D01* -X97204252Y-108332808D01* -X97209338Y-108339665D01* -X97213727Y-108346988D01* -X97217378Y-108354707D01* -X97220254Y-108362745D01* -X97222328Y-108371027D01* -X97223581Y-108379473D01* -X97224000Y-108388000D01* -X97224000Y-109737000D01* -X97223581Y-109745527D01* -X97222328Y-109753973D01* -X97220254Y-109762255D01* -X97217378Y-109770293D01* -X97213727Y-109778012D01* -X97209338Y-109785335D01* -X97204252Y-109792192D01* -X97198518Y-109798518D01* -X97192192Y-109804252D01* -X97185335Y-109809338D01* -X97178012Y-109813727D01* -X97170293Y-109817378D01* -X97162255Y-109820254D01* -X97153973Y-109822328D01* -X97145527Y-109823581D01* -X97137000Y-109824000D01* -X96963000Y-109824000D01* -X96954473Y-109823581D01* -X96946027Y-109822328D01* -X96937745Y-109820254D01* -X96929707Y-109817378D01* -X96921988Y-109813727D01* -X96914665Y-109809338D01* -X96907808Y-109804252D01* -X96901482Y-109798518D01* -X96895748Y-109792192D01* -X96890662Y-109785335D01* -X96886273Y-109778012D01* -X96882622Y-109770293D01* -X96879746Y-109762255D01* -X96877672Y-109753973D01* -X96876419Y-109745527D01* -X96876000Y-109737000D01* -X96876000Y-108388000D01* -X96876419Y-108379473D01* -X96877672Y-108371027D01* -X96879746Y-108362745D01* -X96882622Y-108354707D01* -X96886273Y-108346988D01* -X96890662Y-108339665D01* -X96895748Y-108332808D01* -X96901482Y-108326482D01* -X96907808Y-108320748D01* -X96914665Y-108315662D01* -X96921988Y-108311273D01* -X96929707Y-108307622D01* -X96937745Y-108304746D01* -X96946027Y-108302672D01* -X96954473Y-108301419D01* -X96963000Y-108301000D01* -X97137000Y-108301000D01* -X97145527Y-108301419D01* -G37* -G36* -X96645527Y-108301419D02* -G01* -X96653973Y-108302672D01* -X96662255Y-108304746D01* -X96670293Y-108307622D01* -X96678012Y-108311273D01* -X96685335Y-108315662D01* -X96692192Y-108320748D01* -X96698518Y-108326482D01* -X96704252Y-108332808D01* -X96709338Y-108339665D01* -X96713727Y-108346988D01* -X96717378Y-108354707D01* -X96720254Y-108362745D01* -X96722328Y-108371027D01* -X96723581Y-108379473D01* -X96724000Y-108388000D01* -X96724000Y-109737000D01* -X96723581Y-109745527D01* -X96722328Y-109753973D01* -X96720254Y-109762255D01* -X96717378Y-109770293D01* -X96713727Y-109778012D01* -X96709338Y-109785335D01* -X96704252Y-109792192D01* -X96698518Y-109798518D01* -X96692192Y-109804252D01* -X96685335Y-109809338D01* -X96678012Y-109813727D01* -X96670293Y-109817378D01* -X96662255Y-109820254D01* -X96653973Y-109822328D01* -X96645527Y-109823581D01* -X96637000Y-109824000D01* -X96463000Y-109824000D01* -X96454473Y-109823581D01* -X96446027Y-109822328D01* -X96437745Y-109820254D01* -X96429707Y-109817378D01* -X96421988Y-109813727D01* -X96414665Y-109809338D01* -X96407808Y-109804252D01* -X96401482Y-109798518D01* -X96395748Y-109792192D01* -X96390662Y-109785335D01* -X96386273Y-109778012D01* -X96382622Y-109770293D01* -X96379746Y-109762255D01* -X96377672Y-109753973D01* -X96376419Y-109745527D01* -X96376000Y-109737000D01* -X96376000Y-108388000D01* -X96376419Y-108379473D01* -X96377672Y-108371027D01* -X96379746Y-108362745D01* -X96382622Y-108354707D01* -X96386273Y-108346988D01* -X96390662Y-108339665D01* -X96395748Y-108332808D01* -X96401482Y-108326482D01* -X96407808Y-108320748D01* -X96414665Y-108315662D01* -X96421988Y-108311273D01* -X96429707Y-108307622D01* -X96437745Y-108304746D01* -X96446027Y-108302672D01* -X96454473Y-108301419D01* -X96463000Y-108301000D01* -X96637000Y-108301000D01* -X96645527Y-108301419D01* -G37* -G36* -X96145527Y-108301419D02* -G01* -X96153973Y-108302672D01* -X96162255Y-108304746D01* -X96170293Y-108307622D01* -X96178012Y-108311273D01* -X96185335Y-108315662D01* -X96192192Y-108320748D01* -X96198518Y-108326482D01* -X96204252Y-108332808D01* -X96209338Y-108339665D01* -X96213727Y-108346988D01* -X96217378Y-108354707D01* -X96220254Y-108362745D01* -X96222328Y-108371027D01* -X96223581Y-108379473D01* -X96224000Y-108388000D01* -X96224000Y-109737000D01* -X96223581Y-109745527D01* -X96222328Y-109753973D01* -X96220254Y-109762255D01* -X96217378Y-109770293D01* -X96213727Y-109778012D01* -X96209338Y-109785335D01* -X96204252Y-109792192D01* -X96198518Y-109798518D01* -X96192192Y-109804252D01* -X96185335Y-109809338D01* -X96178012Y-109813727D01* -X96170293Y-109817378D01* -X96162255Y-109820254D01* -X96153973Y-109822328D01* -X96145527Y-109823581D01* -X96137000Y-109824000D01* -X95963000Y-109824000D01* -X95954473Y-109823581D01* -X95946027Y-109822328D01* -X95937745Y-109820254D01* -X95929707Y-109817378D01* -X95921988Y-109813727D01* -X95914665Y-109809338D01* -X95907808Y-109804252D01* -X95901482Y-109798518D01* -X95895748Y-109792192D01* -X95890662Y-109785335D01* -X95886273Y-109778012D01* -X95882622Y-109770293D01* -X95879746Y-109762255D01* -X95877672Y-109753973D01* -X95876419Y-109745527D01* -X95876000Y-109737000D01* -X95876000Y-108388000D01* -X95876419Y-108379473D01* -X95877672Y-108371027D01* -X95879746Y-108362745D01* -X95882622Y-108354707D01* -X95886273Y-108346988D01* -X95890662Y-108339665D01* -X95895748Y-108332808D01* -X95901482Y-108326482D01* -X95907808Y-108320748D01* -X95914665Y-108315662D01* -X95921988Y-108311273D01* -X95929707Y-108307622D01* -X95937745Y-108304746D01* -X95946027Y-108302672D01* -X95954473Y-108301419D01* -X95963000Y-108301000D01* -X96137000Y-108301000D01* -X96145527Y-108301419D01* -G37* -G36* -X95645527Y-108301419D02* -G01* -X95653973Y-108302672D01* -X95662255Y-108304746D01* -X95670293Y-108307622D01* -X95678012Y-108311273D01* -X95685335Y-108315662D01* -X95692192Y-108320748D01* -X95698518Y-108326482D01* -X95704252Y-108332808D01* -X95709338Y-108339665D01* -X95713727Y-108346988D01* -X95717378Y-108354707D01* -X95720254Y-108362745D01* -X95722328Y-108371027D01* -X95723581Y-108379473D01* -X95724000Y-108388000D01* -X95724000Y-109737000D01* -X95723581Y-109745527D01* -X95722328Y-109753973D01* -X95720254Y-109762255D01* -X95717378Y-109770293D01* -X95713727Y-109778012D01* -X95709338Y-109785335D01* -X95704252Y-109792192D01* -X95698518Y-109798518D01* -X95692192Y-109804252D01* -X95685335Y-109809338D01* -X95678012Y-109813727D01* -X95670293Y-109817378D01* -X95662255Y-109820254D01* -X95653973Y-109822328D01* -X95645527Y-109823581D01* -X95637000Y-109824000D01* -X95463000Y-109824000D01* -X95454473Y-109823581D01* -X95446027Y-109822328D01* -X95437745Y-109820254D01* -X95429707Y-109817378D01* -X95421988Y-109813727D01* -X95414665Y-109809338D01* -X95407808Y-109804252D01* -X95401482Y-109798518D01* -X95395748Y-109792192D01* -X95390662Y-109785335D01* -X95386273Y-109778012D01* -X95382622Y-109770293D01* -X95379746Y-109762255D01* -X95377672Y-109753973D01* -X95376419Y-109745527D01* -X95376000Y-109737000D01* -X95376000Y-108388000D01* -X95376419Y-108379473D01* -X95377672Y-108371027D01* -X95379746Y-108362745D01* -X95382622Y-108354707D01* -X95386273Y-108346988D01* -X95390662Y-108339665D01* -X95395748Y-108332808D01* -X95401482Y-108326482D01* -X95407808Y-108320748D01* -X95414665Y-108315662D01* -X95421988Y-108311273D01* -X95429707Y-108307622D01* -X95437745Y-108304746D01* -X95446027Y-108302672D01* -X95454473Y-108301419D01* -X95463000Y-108301000D01* -X95637000Y-108301000D01* -X95645527Y-108301419D01* -G37* -G36* -X95145527Y-108301419D02* -G01* -X95153973Y-108302672D01* -X95162255Y-108304746D01* -X95170293Y-108307622D01* -X95178012Y-108311273D01* -X95185335Y-108315662D01* -X95192192Y-108320748D01* -X95198518Y-108326482D01* -X95204252Y-108332808D01* -X95209338Y-108339665D01* -X95213727Y-108346988D01* -X95217378Y-108354707D01* -X95220254Y-108362745D01* -X95222328Y-108371027D01* -X95223581Y-108379473D01* -X95224000Y-108388000D01* -X95224000Y-109737000D01* -X95223581Y-109745527D01* -X95222328Y-109753973D01* -X95220254Y-109762255D01* -X95217378Y-109770293D01* -X95213727Y-109778012D01* -X95209338Y-109785335D01* -X95204252Y-109792192D01* -X95198518Y-109798518D01* -X95192192Y-109804252D01* -X95185335Y-109809338D01* -X95178012Y-109813727D01* -X95170293Y-109817378D01* -X95162255Y-109820254D01* -X95153973Y-109822328D01* -X95145527Y-109823581D01* -X95137000Y-109824000D01* -X94963000Y-109824000D01* -X94954473Y-109823581D01* -X94946027Y-109822328D01* -X94937745Y-109820254D01* -X94929707Y-109817378D01* -X94921988Y-109813727D01* -X94914665Y-109809338D01* -X94907808Y-109804252D01* -X94901482Y-109798518D01* -X94895748Y-109792192D01* -X94890662Y-109785335D01* -X94886273Y-109778012D01* -X94882622Y-109770293D01* -X94879746Y-109762255D01* -X94877672Y-109753973D01* -X94876419Y-109745527D01* -X94876000Y-109737000D01* -X94876000Y-108388000D01* -X94876419Y-108379473D01* -X94877672Y-108371027D01* -X94879746Y-108362745D01* -X94882622Y-108354707D01* -X94886273Y-108346988D01* -X94890662Y-108339665D01* -X94895748Y-108332808D01* -X94901482Y-108326482D01* -X94907808Y-108320748D01* -X94914665Y-108315662D01* -X94921988Y-108311273D01* -X94929707Y-108307622D01* -X94937745Y-108304746D01* -X94946027Y-108302672D01* -X94954473Y-108301419D01* -X94963000Y-108301000D01* -X95137000Y-108301000D01* -X95145527Y-108301419D01* -G37* -G36* -X94645527Y-108301419D02* -G01* -X94653973Y-108302672D01* -X94662255Y-108304746D01* -X94670293Y-108307622D01* -X94678012Y-108311273D01* -X94685335Y-108315662D01* -X94692192Y-108320748D01* -X94698518Y-108326482D01* -X94704252Y-108332808D01* -X94709338Y-108339665D01* -X94713727Y-108346988D01* -X94717378Y-108354707D01* -X94720254Y-108362745D01* -X94722328Y-108371027D01* -X94723581Y-108379473D01* -X94724000Y-108388000D01* -X94724000Y-109737000D01* -X94723581Y-109745527D01* -X94722328Y-109753973D01* -X94720254Y-109762255D01* -X94717378Y-109770293D01* -X94713727Y-109778012D01* -X94709338Y-109785335D01* -X94704252Y-109792192D01* -X94698518Y-109798518D01* -X94692192Y-109804252D01* -X94685335Y-109809338D01* -X94678012Y-109813727D01* -X94670293Y-109817378D01* -X94662255Y-109820254D01* -X94653973Y-109822328D01* -X94645527Y-109823581D01* -X94637000Y-109824000D01* -X94463000Y-109824000D01* -X94454473Y-109823581D01* -X94446027Y-109822328D01* -X94437745Y-109820254D01* -X94429707Y-109817378D01* -X94421988Y-109813727D01* -X94414665Y-109809338D01* -X94407808Y-109804252D01* -X94401482Y-109798518D01* -X94395748Y-109792192D01* -X94390662Y-109785335D01* -X94386273Y-109778012D01* -X94382622Y-109770293D01* -X94379746Y-109762255D01* -X94377672Y-109753973D01* -X94376419Y-109745527D01* -X94376000Y-109737000D01* -X94376000Y-108388000D01* -X94376419Y-108379473D01* -X94377672Y-108371027D01* -X94379746Y-108362745D01* -X94382622Y-108354707D01* -X94386273Y-108346988D01* -X94390662Y-108339665D01* -X94395748Y-108332808D01* -X94401482Y-108326482D01* -X94407808Y-108320748D01* -X94414665Y-108315662D01* -X94421988Y-108311273D01* -X94429707Y-108307622D01* -X94437745Y-108304746D01* -X94446027Y-108302672D01* -X94454473Y-108301419D01* -X94463000Y-108301000D01* -X94637000Y-108301000D01* -X94645527Y-108301419D01* -G37* -G36* -X94145527Y-108301419D02* -G01* -X94153973Y-108302672D01* -X94162255Y-108304746D01* -X94170293Y-108307622D01* -X94178012Y-108311273D01* -X94185335Y-108315662D01* -X94192192Y-108320748D01* -X94198518Y-108326482D01* -X94204252Y-108332808D01* -X94209338Y-108339665D01* -X94213727Y-108346988D01* -X94217378Y-108354707D01* -X94220254Y-108362745D01* -X94222328Y-108371027D01* -X94223581Y-108379473D01* -X94224000Y-108388000D01* -X94224000Y-109737000D01* -X94223581Y-109745527D01* -X94222328Y-109753973D01* -X94220254Y-109762255D01* -X94217378Y-109770293D01* -X94213727Y-109778012D01* -X94209338Y-109785335D01* -X94204252Y-109792192D01* -X94198518Y-109798518D01* -X94192192Y-109804252D01* -X94185335Y-109809338D01* -X94178012Y-109813727D01* -X94170293Y-109817378D01* -X94162255Y-109820254D01* -X94153973Y-109822328D01* -X94145527Y-109823581D01* -X94137000Y-109824000D01* -X93963000Y-109824000D01* -X93954473Y-109823581D01* -X93946027Y-109822328D01* -X93937745Y-109820254D01* -X93929707Y-109817378D01* -X93921988Y-109813727D01* -X93914665Y-109809338D01* -X93907808Y-109804252D01* -X93901482Y-109798518D01* -X93895748Y-109792192D01* -X93890662Y-109785335D01* -X93886273Y-109778012D01* -X93882622Y-109770293D01* -X93879746Y-109762255D01* -X93877672Y-109753973D01* -X93876419Y-109745527D01* -X93876000Y-109737000D01* -X93876000Y-108388000D01* -X93876419Y-108379473D01* -X93877672Y-108371027D01* -X93879746Y-108362745D01* -X93882622Y-108354707D01* -X93886273Y-108346988D01* -X93890662Y-108339665D01* -X93895748Y-108332808D01* -X93901482Y-108326482D01* -X93907808Y-108320748D01* -X93914665Y-108315662D01* -X93921988Y-108311273D01* -X93929707Y-108307622D01* -X93937745Y-108304746D01* -X93946027Y-108302672D01* -X93954473Y-108301419D01* -X93963000Y-108301000D01* -X94137000Y-108301000D01* -X94145527Y-108301419D01* -G37* -G36* -X93645527Y-108301419D02* -G01* -X93653973Y-108302672D01* -X93662255Y-108304746D01* -X93670293Y-108307622D01* -X93678012Y-108311273D01* -X93685335Y-108315662D01* -X93692192Y-108320748D01* -X93698518Y-108326482D01* -X93704252Y-108332808D01* -X93709338Y-108339665D01* -X93713727Y-108346988D01* -X93717378Y-108354707D01* -X93720254Y-108362745D01* -X93722328Y-108371027D01* -X93723581Y-108379473D01* -X93724000Y-108388000D01* -X93724000Y-109737000D01* -X93723581Y-109745527D01* -X93722328Y-109753973D01* -X93720254Y-109762255D01* -X93717378Y-109770293D01* -X93713727Y-109778012D01* -X93709338Y-109785335D01* -X93704252Y-109792192D01* -X93698518Y-109798518D01* -X93692192Y-109804252D01* -X93685335Y-109809338D01* -X93678012Y-109813727D01* -X93670293Y-109817378D01* -X93662255Y-109820254D01* -X93653973Y-109822328D01* -X93645527Y-109823581D01* -X93637000Y-109824000D01* -X93463000Y-109824000D01* -X93454473Y-109823581D01* -X93446027Y-109822328D01* -X93437745Y-109820254D01* -X93429707Y-109817378D01* -X93421988Y-109813727D01* -X93414665Y-109809338D01* -X93407808Y-109804252D01* -X93401482Y-109798518D01* -X93395748Y-109792192D01* -X93390662Y-109785335D01* -X93386273Y-109778012D01* -X93382622Y-109770293D01* -X93379746Y-109762255D01* -X93377672Y-109753973D01* -X93376419Y-109745527D01* -X93376000Y-109737000D01* -X93376000Y-108388000D01* -X93376419Y-108379473D01* -X93377672Y-108371027D01* -X93379746Y-108362745D01* -X93382622Y-108354707D01* -X93386273Y-108346988D01* -X93390662Y-108339665D01* -X93395748Y-108332808D01* -X93401482Y-108326482D01* -X93407808Y-108320748D01* -X93414665Y-108315662D01* -X93421988Y-108311273D01* -X93429707Y-108307622D01* -X93437745Y-108304746D01* -X93446027Y-108302672D01* -X93454473Y-108301419D01* -X93463000Y-108301000D01* -X93637000Y-108301000D01* -X93645527Y-108301419D01* -G37* -G36* -X93145527Y-108301419D02* -G01* -X93153973Y-108302672D01* -X93162255Y-108304746D01* -X93170293Y-108307622D01* -X93178012Y-108311273D01* -X93185335Y-108315662D01* -X93192192Y-108320748D01* -X93198518Y-108326482D01* -X93204252Y-108332808D01* -X93209338Y-108339665D01* -X93213727Y-108346988D01* -X93217378Y-108354707D01* -X93220254Y-108362745D01* -X93222328Y-108371027D01* -X93223581Y-108379473D01* -X93224000Y-108388000D01* -X93224000Y-109737000D01* -X93223581Y-109745527D01* -X93222328Y-109753973D01* -X93220254Y-109762255D01* -X93217378Y-109770293D01* -X93213727Y-109778012D01* -X93209338Y-109785335D01* -X93204252Y-109792192D01* -X93198518Y-109798518D01* -X93192192Y-109804252D01* -X93185335Y-109809338D01* -X93178012Y-109813727D01* -X93170293Y-109817378D01* -X93162255Y-109820254D01* -X93153973Y-109822328D01* -X93145527Y-109823581D01* -X93137000Y-109824000D01* -X92963000Y-109824000D01* -X92954473Y-109823581D01* -X92946027Y-109822328D01* -X92937745Y-109820254D01* -X92929707Y-109817378D01* -X92921988Y-109813727D01* -X92914665Y-109809338D01* -X92907808Y-109804252D01* -X92901482Y-109798518D01* -X92895748Y-109792192D01* -X92890662Y-109785335D01* -X92886273Y-109778012D01* -X92882622Y-109770293D01* -X92879746Y-109762255D01* -X92877672Y-109753973D01* -X92876419Y-109745527D01* -X92876000Y-109737000D01* -X92876000Y-108388000D01* -X92876419Y-108379473D01* -X92877672Y-108371027D01* -X92879746Y-108362745D01* -X92882622Y-108354707D01* -X92886273Y-108346988D01* -X92890662Y-108339665D01* -X92895748Y-108332808D01* -X92901482Y-108326482D01* -X92907808Y-108320748D01* -X92914665Y-108315662D01* -X92921988Y-108311273D01* -X92929707Y-108307622D01* -X92937745Y-108304746D01* -X92946027Y-108302672D01* -X92954473Y-108301419D01* -X92963000Y-108301000D01* -X93137000Y-108301000D01* -X93145527Y-108301419D01* -G37* -G36* -X92645527Y-108301419D02* -G01* -X92653973Y-108302672D01* -X92662255Y-108304746D01* -X92670293Y-108307622D01* -X92678012Y-108311273D01* -X92685335Y-108315662D01* -X92692192Y-108320748D01* -X92698518Y-108326482D01* -X92704252Y-108332808D01* -X92709338Y-108339665D01* -X92713727Y-108346988D01* -X92717378Y-108354707D01* -X92720254Y-108362745D01* -X92722328Y-108371027D01* -X92723581Y-108379473D01* -X92724000Y-108388000D01* -X92724000Y-109737000D01* -X92723581Y-109745527D01* -X92722328Y-109753973D01* -X92720254Y-109762255D01* -X92717378Y-109770293D01* -X92713727Y-109778012D01* -X92709338Y-109785335D01* -X92704252Y-109792192D01* -X92698518Y-109798518D01* -X92692192Y-109804252D01* -X92685335Y-109809338D01* -X92678012Y-109813727D01* -X92670293Y-109817378D01* -X92662255Y-109820254D01* -X92653973Y-109822328D01* -X92645527Y-109823581D01* -X92637000Y-109824000D01* -X92463000Y-109824000D01* -X92454473Y-109823581D01* -X92446027Y-109822328D01* -X92437745Y-109820254D01* -X92429707Y-109817378D01* -X92421988Y-109813727D01* -X92414665Y-109809338D01* -X92407808Y-109804252D01* -X92401482Y-109798518D01* -X92395748Y-109792192D01* -X92390662Y-109785335D01* -X92386273Y-109778012D01* -X92382622Y-109770293D01* -X92379746Y-109762255D01* -X92377672Y-109753973D01* -X92376419Y-109745527D01* -X92376000Y-109737000D01* -X92376000Y-108388000D01* -X92376419Y-108379473D01* -X92377672Y-108371027D01* -X92379746Y-108362745D01* -X92382622Y-108354707D01* -X92386273Y-108346988D01* -X92390662Y-108339665D01* -X92395748Y-108332808D01* -X92401482Y-108326482D01* -X92407808Y-108320748D01* -X92414665Y-108315662D01* -X92421988Y-108311273D01* -X92429707Y-108307622D01* -X92437745Y-108304746D01* -X92446027Y-108302672D01* -X92454473Y-108301419D01* -X92463000Y-108301000D01* -X92637000Y-108301000D01* -X92645527Y-108301419D01* -G37* -G36* -X92145527Y-108301419D02* -G01* -X92153973Y-108302672D01* -X92162255Y-108304746D01* -X92170293Y-108307622D01* -X92178012Y-108311273D01* -X92185335Y-108315662D01* -X92192192Y-108320748D01* -X92198518Y-108326482D01* -X92204252Y-108332808D01* -X92209338Y-108339665D01* -X92213727Y-108346988D01* -X92217378Y-108354707D01* -X92220254Y-108362745D01* -X92222328Y-108371027D01* -X92223581Y-108379473D01* -X92224000Y-108388000D01* -X92224000Y-109737000D01* -X92223581Y-109745527D01* -X92222328Y-109753973D01* -X92220254Y-109762255D01* -X92217378Y-109770293D01* -X92213727Y-109778012D01* -X92209338Y-109785335D01* -X92204252Y-109792192D01* -X92198518Y-109798518D01* -X92192192Y-109804252D01* -X92185335Y-109809338D01* -X92178012Y-109813727D01* -X92170293Y-109817378D01* -X92162255Y-109820254D01* -X92153973Y-109822328D01* -X92145527Y-109823581D01* -X92137000Y-109824000D01* -X91963000Y-109824000D01* -X91954473Y-109823581D01* -X91946027Y-109822328D01* -X91937745Y-109820254D01* -X91929707Y-109817378D01* -X91921988Y-109813727D01* -X91914665Y-109809338D01* -X91907808Y-109804252D01* -X91901482Y-109798518D01* -X91895748Y-109792192D01* -X91890662Y-109785335D01* -X91886273Y-109778012D01* -X91882622Y-109770293D01* -X91879746Y-109762255D01* -X91877672Y-109753973D01* -X91876419Y-109745527D01* -X91876000Y-109737000D01* -X91876000Y-108388000D01* -X91876419Y-108379473D01* -X91877672Y-108371027D01* -X91879746Y-108362745D01* -X91882622Y-108354707D01* -X91886273Y-108346988D01* -X91890662Y-108339665D01* -X91895748Y-108332808D01* -X91901482Y-108326482D01* -X91907808Y-108320748D01* -X91914665Y-108315662D01* -X91921988Y-108311273D01* -X91929707Y-108307622D01* -X91937745Y-108304746D01* -X91946027Y-108302672D01* -X91954473Y-108301419D01* -X91963000Y-108301000D01* -X92137000Y-108301000D01* -X92145527Y-108301419D01* -G37* -G36* -X91645527Y-108301419D02* -G01* -X91653973Y-108302672D01* -X91662255Y-108304746D01* -X91670293Y-108307622D01* -X91678012Y-108311273D01* -X91685335Y-108315662D01* -X91692192Y-108320748D01* -X91698518Y-108326482D01* -X91704252Y-108332808D01* -X91709338Y-108339665D01* -X91713727Y-108346988D01* -X91717378Y-108354707D01* -X91720254Y-108362745D01* -X91722328Y-108371027D01* -X91723581Y-108379473D01* -X91724000Y-108388000D01* -X91724000Y-109737000D01* -X91723581Y-109745527D01* -X91722328Y-109753973D01* -X91720254Y-109762255D01* -X91717378Y-109770293D01* -X91713727Y-109778012D01* -X91709338Y-109785335D01* -X91704252Y-109792192D01* -X91698518Y-109798518D01* -X91692192Y-109804252D01* -X91685335Y-109809338D01* -X91678012Y-109813727D01* -X91670293Y-109817378D01* -X91662255Y-109820254D01* -X91653973Y-109822328D01* -X91645527Y-109823581D01* -X91637000Y-109824000D01* -X91463000Y-109824000D01* -X91454473Y-109823581D01* -X91446027Y-109822328D01* -X91437745Y-109820254D01* -X91429707Y-109817378D01* -X91421988Y-109813727D01* -X91414665Y-109809338D01* -X91407808Y-109804252D01* -X91401482Y-109798518D01* -X91395748Y-109792192D01* -X91390662Y-109785335D01* -X91386273Y-109778012D01* -X91382622Y-109770293D01* -X91379746Y-109762255D01* -X91377672Y-109753973D01* -X91376419Y-109745527D01* -X91376000Y-109737000D01* -X91376000Y-108388000D01* -X91376419Y-108379473D01* -X91377672Y-108371027D01* -X91379746Y-108362745D01* -X91382622Y-108354707D01* -X91386273Y-108346988D01* -X91390662Y-108339665D01* -X91395748Y-108332808D01* -X91401482Y-108326482D01* -X91407808Y-108320748D01* -X91414665Y-108315662D01* -X91421988Y-108311273D01* -X91429707Y-108307622D01* -X91437745Y-108304746D01* -X91446027Y-108302672D01* -X91454473Y-108301419D01* -X91463000Y-108301000D01* -X91637000Y-108301000D01* -X91645527Y-108301419D01* -G37* -G36* -X91145527Y-108301419D02* -G01* -X91153973Y-108302672D01* -X91162255Y-108304746D01* -X91170293Y-108307622D01* -X91178012Y-108311273D01* -X91185335Y-108315662D01* -X91192192Y-108320748D01* -X91198518Y-108326482D01* -X91204252Y-108332808D01* -X91209338Y-108339665D01* -X91213727Y-108346988D01* -X91217378Y-108354707D01* -X91220254Y-108362745D01* -X91222328Y-108371027D01* -X91223581Y-108379473D01* -X91224000Y-108388000D01* -X91224000Y-109737000D01* -X91223581Y-109745527D01* -X91222328Y-109753973D01* -X91220254Y-109762255D01* -X91217378Y-109770293D01* -X91213727Y-109778012D01* -X91209338Y-109785335D01* -X91204252Y-109792192D01* -X91198518Y-109798518D01* -X91192192Y-109804252D01* -X91185335Y-109809338D01* -X91178012Y-109813727D01* -X91170293Y-109817378D01* -X91162255Y-109820254D01* -X91153973Y-109822328D01* -X91145527Y-109823581D01* -X91137000Y-109824000D01* -X90963000Y-109824000D01* -X90954473Y-109823581D01* -X90946027Y-109822328D01* -X90937745Y-109820254D01* -X90929707Y-109817378D01* -X90921988Y-109813727D01* -X90914665Y-109809338D01* -X90907808Y-109804252D01* -X90901482Y-109798518D01* -X90895748Y-109792192D01* -X90890662Y-109785335D01* -X90886273Y-109778012D01* -X90882622Y-109770293D01* -X90879746Y-109762255D01* -X90877672Y-109753973D01* -X90876419Y-109745527D01* -X90876000Y-109737000D01* -X90876000Y-108388000D01* -X90876419Y-108379473D01* -X90877672Y-108371027D01* -X90879746Y-108362745D01* -X90882622Y-108354707D01* -X90886273Y-108346988D01* -X90890662Y-108339665D01* -X90895748Y-108332808D01* -X90901482Y-108326482D01* -X90907808Y-108320748D01* -X90914665Y-108315662D01* -X90921988Y-108311273D01* -X90929707Y-108307622D01* -X90937745Y-108304746D01* -X90946027Y-108302672D01* -X90954473Y-108301419D01* -X90963000Y-108301000D01* -X91137000Y-108301000D01* -X91145527Y-108301419D01* -G37* -G36* -X90645527Y-108301419D02* -G01* -X90653973Y-108302672D01* -X90662255Y-108304746D01* -X90670293Y-108307622D01* -X90678012Y-108311273D01* -X90685335Y-108315662D01* -X90692192Y-108320748D01* -X90698518Y-108326482D01* -X90704252Y-108332808D01* -X90709338Y-108339665D01* -X90713727Y-108346988D01* -X90717378Y-108354707D01* -X90720254Y-108362745D01* -X90722328Y-108371027D01* -X90723581Y-108379473D01* -X90724000Y-108388000D01* -X90724000Y-109737000D01* -X90723581Y-109745527D01* -X90722328Y-109753973D01* -X90720254Y-109762255D01* -X90717378Y-109770293D01* -X90713727Y-109778012D01* -X90709338Y-109785335D01* -X90704252Y-109792192D01* -X90698518Y-109798518D01* -X90692192Y-109804252D01* -X90685335Y-109809338D01* -X90678012Y-109813727D01* -X90670293Y-109817378D01* -X90662255Y-109820254D01* -X90653973Y-109822328D01* -X90645527Y-109823581D01* -X90637000Y-109824000D01* -X90463000Y-109824000D01* -X90454473Y-109823581D01* -X90446027Y-109822328D01* -X90437745Y-109820254D01* -X90429707Y-109817378D01* -X90421988Y-109813727D01* -X90414665Y-109809338D01* -X90407808Y-109804252D01* -X90401482Y-109798518D01* -X90395748Y-109792192D01* -X90390662Y-109785335D01* -X90386273Y-109778012D01* -X90382622Y-109770293D01* -X90379746Y-109762255D01* -X90377672Y-109753973D01* -X90376419Y-109745527D01* -X90376000Y-109737000D01* -X90376000Y-108388000D01* -X90376419Y-108379473D01* -X90377672Y-108371027D01* -X90379746Y-108362745D01* -X90382622Y-108354707D01* -X90386273Y-108346988D01* -X90390662Y-108339665D01* -X90395748Y-108332808D01* -X90401482Y-108326482D01* -X90407808Y-108320748D01* -X90414665Y-108315662D01* -X90421988Y-108311273D01* -X90429707Y-108307622D01* -X90437745Y-108304746D01* -X90446027Y-108302672D01* -X90454473Y-108301419D01* -X90463000Y-108301000D01* -X90637000Y-108301000D01* -X90645527Y-108301419D01* -G37* -G36* -X90145527Y-108301419D02* -G01* -X90153973Y-108302672D01* -X90162255Y-108304746D01* -X90170293Y-108307622D01* -X90178012Y-108311273D01* -X90185335Y-108315662D01* -X90192192Y-108320748D01* -X90198518Y-108326482D01* -X90204252Y-108332808D01* -X90209338Y-108339665D01* -X90213727Y-108346988D01* -X90217378Y-108354707D01* -X90220254Y-108362745D01* -X90222328Y-108371027D01* -X90223581Y-108379473D01* -X90224000Y-108388000D01* -X90224000Y-109737000D01* -X90223581Y-109745527D01* -X90222328Y-109753973D01* -X90220254Y-109762255D01* -X90217378Y-109770293D01* -X90213727Y-109778012D01* -X90209338Y-109785335D01* -X90204252Y-109792192D01* -X90198518Y-109798518D01* -X90192192Y-109804252D01* -X90185335Y-109809338D01* -X90178012Y-109813727D01* -X90170293Y-109817378D01* -X90162255Y-109820254D01* -X90153973Y-109822328D01* -X90145527Y-109823581D01* -X90137000Y-109824000D01* -X89963000Y-109824000D01* -X89954473Y-109823581D01* -X89946027Y-109822328D01* -X89937745Y-109820254D01* -X89929707Y-109817378D01* -X89921988Y-109813727D01* -X89914665Y-109809338D01* -X89907808Y-109804252D01* -X89901482Y-109798518D01* -X89895748Y-109792192D01* -X89890662Y-109785335D01* -X89886273Y-109778012D01* -X89882622Y-109770293D01* -X89879746Y-109762255D01* -X89877672Y-109753973D01* -X89876419Y-109745527D01* -X89876000Y-109737000D01* -X89876000Y-108388000D01* -X89876419Y-108379473D01* -X89877672Y-108371027D01* -X89879746Y-108362745D01* -X89882622Y-108354707D01* -X89886273Y-108346988D01* -X89890662Y-108339665D01* -X89895748Y-108332808D01* -X89901482Y-108326482D01* -X89907808Y-108320748D01* -X89914665Y-108315662D01* -X89921988Y-108311273D01* -X89929707Y-108307622D01* -X89937745Y-108304746D01* -X89946027Y-108302672D01* -X89954473Y-108301419D01* -X89963000Y-108301000D01* -X90137000Y-108301000D01* -X90145527Y-108301419D01* -G37* -G36* -X89645527Y-108301419D02* -G01* -X89653973Y-108302672D01* -X89662255Y-108304746D01* -X89670293Y-108307622D01* -X89678012Y-108311273D01* -X89685335Y-108315662D01* -X89692192Y-108320748D01* -X89698518Y-108326482D01* -X89704252Y-108332808D01* -X89709338Y-108339665D01* -X89713727Y-108346988D01* -X89717378Y-108354707D01* -X89720254Y-108362745D01* -X89722328Y-108371027D01* -X89723581Y-108379473D01* -X89724000Y-108388000D01* -X89724000Y-109737000D01* -X89723581Y-109745527D01* -X89722328Y-109753973D01* -X89720254Y-109762255D01* -X89717378Y-109770293D01* -X89713727Y-109778012D01* -X89709338Y-109785335D01* -X89704252Y-109792192D01* -X89698518Y-109798518D01* -X89692192Y-109804252D01* -X89685335Y-109809338D01* -X89678012Y-109813727D01* -X89670293Y-109817378D01* -X89662255Y-109820254D01* -X89653973Y-109822328D01* -X89645527Y-109823581D01* -X89637000Y-109824000D01* -X89463000Y-109824000D01* -X89454473Y-109823581D01* -X89446027Y-109822328D01* -X89437745Y-109820254D01* -X89429707Y-109817378D01* -X89421988Y-109813727D01* -X89414665Y-109809338D01* -X89407808Y-109804252D01* -X89401482Y-109798518D01* -X89395748Y-109792192D01* -X89390662Y-109785335D01* -X89386273Y-109778012D01* -X89382622Y-109770293D01* -X89379746Y-109762255D01* -X89377672Y-109753973D01* -X89376419Y-109745527D01* -X89376000Y-109737000D01* -X89376000Y-108388000D01* -X89376419Y-108379473D01* -X89377672Y-108371027D01* -X89379746Y-108362745D01* -X89382622Y-108354707D01* -X89386273Y-108346988D01* -X89390662Y-108339665D01* -X89395748Y-108332808D01* -X89401482Y-108326482D01* -X89407808Y-108320748D01* -X89414665Y-108315662D01* -X89421988Y-108311273D01* -X89429707Y-108307622D01* -X89437745Y-108304746D01* -X89446027Y-108302672D01* -X89454473Y-108301419D01* -X89463000Y-108301000D01* -X89637000Y-108301000D01* -X89645527Y-108301419D01* -G37* -G36* -X89145527Y-108301419D02* -G01* -X89153973Y-108302672D01* -X89162255Y-108304746D01* -X89170293Y-108307622D01* -X89178012Y-108311273D01* -X89185335Y-108315662D01* -X89192192Y-108320748D01* -X89198518Y-108326482D01* -X89204252Y-108332808D01* -X89209338Y-108339665D01* -X89213727Y-108346988D01* -X89217378Y-108354707D01* -X89220254Y-108362745D01* -X89222328Y-108371027D01* -X89223581Y-108379473D01* -X89224000Y-108388000D01* -X89224000Y-109737000D01* -X89223581Y-109745527D01* -X89222328Y-109753973D01* -X89220254Y-109762255D01* -X89217378Y-109770293D01* -X89213727Y-109778012D01* -X89209338Y-109785335D01* -X89204252Y-109792192D01* -X89198518Y-109798518D01* -X89192192Y-109804252D01* -X89185335Y-109809338D01* -X89178012Y-109813727D01* -X89170293Y-109817378D01* -X89162255Y-109820254D01* -X89153973Y-109822328D01* -X89145527Y-109823581D01* -X89137000Y-109824000D01* -X88963000Y-109824000D01* -X88954473Y-109823581D01* -X88946027Y-109822328D01* -X88937745Y-109820254D01* -X88929707Y-109817378D01* -X88921988Y-109813727D01* -X88914665Y-109809338D01* -X88907808Y-109804252D01* -X88901482Y-109798518D01* -X88895748Y-109792192D01* -X88890662Y-109785335D01* -X88886273Y-109778012D01* -X88882622Y-109770293D01* -X88879746Y-109762255D01* -X88877672Y-109753973D01* -X88876419Y-109745527D01* -X88876000Y-109737000D01* -X88876000Y-108388000D01* -X88876419Y-108379473D01* -X88877672Y-108371027D01* -X88879746Y-108362745D01* -X88882622Y-108354707D01* -X88886273Y-108346988D01* -X88890662Y-108339665D01* -X88895748Y-108332808D01* -X88901482Y-108326482D01* -X88907808Y-108320748D01* -X88914665Y-108315662D01* -X88921988Y-108311273D01* -X88929707Y-108307622D01* -X88937745Y-108304746D01* -X88946027Y-108302672D01* -X88954473Y-108301419D01* -X88963000Y-108301000D01* -X89137000Y-108301000D01* -X89145527Y-108301419D01* -G37* -G36* -X88645527Y-108301419D02* -G01* -X88653973Y-108302672D01* -X88662255Y-108304746D01* -X88670293Y-108307622D01* -X88678012Y-108311273D01* -X88685335Y-108315662D01* -X88692192Y-108320748D01* -X88698518Y-108326482D01* -X88704252Y-108332808D01* -X88709338Y-108339665D01* -X88713727Y-108346988D01* -X88717378Y-108354707D01* -X88720254Y-108362745D01* -X88722328Y-108371027D01* -X88723581Y-108379473D01* -X88724000Y-108388000D01* -X88724000Y-109737000D01* -X88723581Y-109745527D01* -X88722328Y-109753973D01* -X88720254Y-109762255D01* -X88717378Y-109770293D01* -X88713727Y-109778012D01* -X88709338Y-109785335D01* -X88704252Y-109792192D01* -X88698518Y-109798518D01* -X88692192Y-109804252D01* -X88685335Y-109809338D01* -X88678012Y-109813727D01* -X88670293Y-109817378D01* -X88662255Y-109820254D01* -X88653973Y-109822328D01* -X88645527Y-109823581D01* -X88637000Y-109824000D01* -X88463000Y-109824000D01* -X88454473Y-109823581D01* -X88446027Y-109822328D01* -X88437745Y-109820254D01* -X88429707Y-109817378D01* -X88421988Y-109813727D01* -X88414665Y-109809338D01* -X88407808Y-109804252D01* -X88401482Y-109798518D01* -X88395748Y-109792192D01* -X88390662Y-109785335D01* -X88386273Y-109778012D01* -X88382622Y-109770293D01* -X88379746Y-109762255D01* -X88377672Y-109753973D01* -X88376419Y-109745527D01* -X88376000Y-109737000D01* -X88376000Y-108388000D01* -X88376419Y-108379473D01* -X88377672Y-108371027D01* -X88379746Y-108362745D01* -X88382622Y-108354707D01* -X88386273Y-108346988D01* -X88390662Y-108339665D01* -X88395748Y-108332808D01* -X88401482Y-108326482D01* -X88407808Y-108320748D01* -X88414665Y-108315662D01* -X88421988Y-108311273D01* -X88429707Y-108307622D01* -X88437745Y-108304746D01* -X88446027Y-108302672D01* -X88454473Y-108301419D01* -X88463000Y-108301000D01* -X88637000Y-108301000D01* -X88645527Y-108301419D01* -G37* -G36* -X88145527Y-108301419D02* -G01* -X88153973Y-108302672D01* -X88162255Y-108304746D01* -X88170293Y-108307622D01* -X88178012Y-108311273D01* -X88185335Y-108315662D01* -X88192192Y-108320748D01* -X88198518Y-108326482D01* -X88204252Y-108332808D01* -X88209338Y-108339665D01* -X88213727Y-108346988D01* -X88217378Y-108354707D01* -X88220254Y-108362745D01* -X88222328Y-108371027D01* -X88223581Y-108379473D01* -X88224000Y-108388000D01* -X88224000Y-109737000D01* -X88223581Y-109745527D01* -X88222328Y-109753973D01* -X88220254Y-109762255D01* -X88217378Y-109770293D01* -X88213727Y-109778012D01* -X88209338Y-109785335D01* -X88204252Y-109792192D01* -X88198518Y-109798518D01* -X88192192Y-109804252D01* -X88185335Y-109809338D01* -X88178012Y-109813727D01* -X88170293Y-109817378D01* -X88162255Y-109820254D01* -X88153973Y-109822328D01* -X88145527Y-109823581D01* -X88137000Y-109824000D01* -X87963000Y-109824000D01* -X87954473Y-109823581D01* -X87946027Y-109822328D01* -X87937745Y-109820254D01* -X87929707Y-109817378D01* -X87921988Y-109813727D01* -X87914665Y-109809338D01* -X87907808Y-109804252D01* -X87901482Y-109798518D01* -X87895748Y-109792192D01* -X87890662Y-109785335D01* -X87886273Y-109778012D01* -X87882622Y-109770293D01* -X87879746Y-109762255D01* -X87877672Y-109753973D01* -X87876419Y-109745527D01* -X87876000Y-109737000D01* -X87876000Y-108388000D01* -X87876419Y-108379473D01* -X87877672Y-108371027D01* -X87879746Y-108362745D01* -X87882622Y-108354707D01* -X87886273Y-108346988D01* -X87890662Y-108339665D01* -X87895748Y-108332808D01* -X87901482Y-108326482D01* -X87907808Y-108320748D01* -X87914665Y-108315662D01* -X87921988Y-108311273D01* -X87929707Y-108307622D01* -X87937745Y-108304746D01* -X87946027Y-108302672D01* -X87954473Y-108301419D01* -X87963000Y-108301000D01* -X88137000Y-108301000D01* -X88145527Y-108301419D01* -G37* -G36* -X87070527Y-107226419D02* -G01* -X87078973Y-107227672D01* -X87087255Y-107229746D01* -X87095293Y-107232622D01* -X87103012Y-107236273D01* -X87110335Y-107240662D01* -X87117192Y-107245748D01* -X87123518Y-107251482D01* -X87129252Y-107257808D01* -X87134338Y-107264665D01* -X87138727Y-107271988D01* -X87142378Y-107279707D01* -X87145254Y-107287745D01* -X87147328Y-107296027D01* -X87148581Y-107304473D01* -X87149000Y-107313000D01* -X87149000Y-107487000D01* -X87148581Y-107495527D01* -X87147328Y-107503973D01* -X87145254Y-107512255D01* -X87142378Y-107520293D01* -X87138727Y-107528012D01* -X87134338Y-107535335D01* -X87129252Y-107542192D01* -X87123518Y-107548518D01* -X87117192Y-107554252D01* -X87110335Y-107559338D01* -X87103012Y-107563727D01* -X87095293Y-107567378D01* -X87087255Y-107570254D01* -X87078973Y-107572328D01* -X87070527Y-107573581D01* -X87062000Y-107574000D01* -X85713000Y-107574000D01* -X85704473Y-107573581D01* -X85696027Y-107572328D01* -X85687745Y-107570254D01* -X85679707Y-107567378D01* -X85671988Y-107563727D01* -X85664665Y-107559338D01* -X85657808Y-107554252D01* -X85651482Y-107548518D01* -X85645748Y-107542192D01* -X85640662Y-107535335D01* -X85636273Y-107528012D01* -X85632622Y-107520293D01* -X85629746Y-107512255D01* -X85627672Y-107503973D01* -X85626419Y-107495527D01* -X85626000Y-107487000D01* -X85626000Y-107313000D01* -X85626419Y-107304473D01* -X85627672Y-107296027D01* -X85629746Y-107287745D01* -X85632622Y-107279707D01* -X85636273Y-107271988D01* -X85640662Y-107264665D01* -X85645748Y-107257808D01* -X85651482Y-107251482D01* -X85657808Y-107245748D01* -X85664665Y-107240662D01* -X85671988Y-107236273D01* -X85679707Y-107232622D01* -X85687745Y-107229746D01* -X85696027Y-107227672D01* -X85704473Y-107226419D01* -X85713000Y-107226000D01* -X87062000Y-107226000D01* -X87070527Y-107226419D01* -G37* -G36* -X87070527Y-106726419D02* -G01* -X87078973Y-106727672D01* -X87087255Y-106729746D01* -X87095293Y-106732622D01* -X87103012Y-106736273D01* -X87110335Y-106740662D01* -X87117192Y-106745748D01* -X87123518Y-106751482D01* -X87129252Y-106757808D01* -X87134338Y-106764665D01* -X87138727Y-106771988D01* -X87142378Y-106779707D01* -X87145254Y-106787745D01* -X87147328Y-106796027D01* -X87148581Y-106804473D01* -X87149000Y-106813000D01* -X87149000Y-106987000D01* -X87148581Y-106995527D01* -X87147328Y-107003973D01* -X87145254Y-107012255D01* -X87142378Y-107020293D01* -X87138727Y-107028012D01* -X87134338Y-107035335D01* -X87129252Y-107042192D01* -X87123518Y-107048518D01* -X87117192Y-107054252D01* -X87110335Y-107059338D01* -X87103012Y-107063727D01* -X87095293Y-107067378D01* -X87087255Y-107070254D01* -X87078973Y-107072328D01* -X87070527Y-107073581D01* -X87062000Y-107074000D01* -X85713000Y-107074000D01* -X85704473Y-107073581D01* -X85696027Y-107072328D01* -X85687745Y-107070254D01* -X85679707Y-107067378D01* -X85671988Y-107063727D01* -X85664665Y-107059338D01* -X85657808Y-107054252D01* -X85651482Y-107048518D01* -X85645748Y-107042192D01* -X85640662Y-107035335D01* -X85636273Y-107028012D01* -X85632622Y-107020293D01* -X85629746Y-107012255D01* -X85627672Y-107003973D01* -X85626419Y-106995527D01* -X85626000Y-106987000D01* -X85626000Y-106813000D01* -X85626419Y-106804473D01* -X85627672Y-106796027D01* -X85629746Y-106787745D01* -X85632622Y-106779707D01* -X85636273Y-106771988D01* -X85640662Y-106764665D01* -X85645748Y-106757808D01* -X85651482Y-106751482D01* -X85657808Y-106745748D01* -X85664665Y-106740662D01* -X85671988Y-106736273D01* -X85679707Y-106732622D01* -X85687745Y-106729746D01* -X85696027Y-106727672D01* -X85704473Y-106726419D01* -X85713000Y-106726000D01* -X87062000Y-106726000D01* -X87070527Y-106726419D01* -G37* -G36* -X87070527Y-106226419D02* -G01* -X87078973Y-106227672D01* -X87087255Y-106229746D01* -X87095293Y-106232622D01* -X87103012Y-106236273D01* -X87110335Y-106240662D01* -X87117192Y-106245748D01* -X87123518Y-106251482D01* -X87129252Y-106257808D01* -X87134338Y-106264665D01* -X87138727Y-106271988D01* -X87142378Y-106279707D01* -X87145254Y-106287745D01* -X87147328Y-106296027D01* -X87148581Y-106304473D01* -X87149000Y-106313000D01* -X87149000Y-106487000D01* -X87148581Y-106495527D01* -X87147328Y-106503973D01* -X87145254Y-106512255D01* -X87142378Y-106520293D01* -X87138727Y-106528012D01* -X87134338Y-106535335D01* -X87129252Y-106542192D01* -X87123518Y-106548518D01* -X87117192Y-106554252D01* -X87110335Y-106559338D01* -X87103012Y-106563727D01* -X87095293Y-106567378D01* -X87087255Y-106570254D01* -X87078973Y-106572328D01* -X87070527Y-106573581D01* -X87062000Y-106574000D01* -X85713000Y-106574000D01* -X85704473Y-106573581D01* -X85696027Y-106572328D01* -X85687745Y-106570254D01* -X85679707Y-106567378D01* -X85671988Y-106563727D01* -X85664665Y-106559338D01* -X85657808Y-106554252D01* -X85651482Y-106548518D01* -X85645748Y-106542192D01* -X85640662Y-106535335D01* -X85636273Y-106528012D01* -X85632622Y-106520293D01* -X85629746Y-106512255D01* -X85627672Y-106503973D01* -X85626419Y-106495527D01* -X85626000Y-106487000D01* -X85626000Y-106313000D01* -X85626419Y-106304473D01* -X85627672Y-106296027D01* -X85629746Y-106287745D01* -X85632622Y-106279707D01* -X85636273Y-106271988D01* -X85640662Y-106264665D01* -X85645748Y-106257808D01* -X85651482Y-106251482D01* -X85657808Y-106245748D01* -X85664665Y-106240662D01* -X85671988Y-106236273D01* -X85679707Y-106232622D01* -X85687745Y-106229746D01* -X85696027Y-106227672D01* -X85704473Y-106226419D01* -X85713000Y-106226000D01* -X87062000Y-106226000D01* -X87070527Y-106226419D01* -G37* -G36* -X87070527Y-105726419D02* -G01* -X87078973Y-105727672D01* -X87087255Y-105729746D01* -X87095293Y-105732622D01* -X87103012Y-105736273D01* -X87110335Y-105740662D01* -X87117192Y-105745748D01* -X87123518Y-105751482D01* -X87129252Y-105757808D01* -X87134338Y-105764665D01* -X87138727Y-105771988D01* -X87142378Y-105779707D01* -X87145254Y-105787745D01* -X87147328Y-105796027D01* -X87148581Y-105804473D01* -X87149000Y-105813000D01* -X87149000Y-105987000D01* -X87148581Y-105995527D01* -X87147328Y-106003973D01* -X87145254Y-106012255D01* -X87142378Y-106020293D01* -X87138727Y-106028012D01* -X87134338Y-106035335D01* -X87129252Y-106042192D01* -X87123518Y-106048518D01* -X87117192Y-106054252D01* -X87110335Y-106059338D01* -X87103012Y-106063727D01* -X87095293Y-106067378D01* -X87087255Y-106070254D01* -X87078973Y-106072328D01* -X87070527Y-106073581D01* -X87062000Y-106074000D01* -X85713000Y-106074000D01* -X85704473Y-106073581D01* -X85696027Y-106072328D01* -X85687745Y-106070254D01* -X85679707Y-106067378D01* -X85671988Y-106063727D01* -X85664665Y-106059338D01* -X85657808Y-106054252D01* -X85651482Y-106048518D01* -X85645748Y-106042192D01* -X85640662Y-106035335D01* -X85636273Y-106028012D01* -X85632622Y-106020293D01* -X85629746Y-106012255D01* -X85627672Y-106003973D01* -X85626419Y-105995527D01* -X85626000Y-105987000D01* -X85626000Y-105813000D01* -X85626419Y-105804473D01* -X85627672Y-105796027D01* -X85629746Y-105787745D01* -X85632622Y-105779707D01* -X85636273Y-105771988D01* -X85640662Y-105764665D01* -X85645748Y-105757808D01* -X85651482Y-105751482D01* -X85657808Y-105745748D01* -X85664665Y-105740662D01* -X85671988Y-105736273D01* -X85679707Y-105732622D01* -X85687745Y-105729746D01* -X85696027Y-105727672D01* -X85704473Y-105726419D01* -X85713000Y-105726000D01* -X87062000Y-105726000D01* -X87070527Y-105726419D01* -G37* -G36* -X87070527Y-105226419D02* -G01* -X87078973Y-105227672D01* -X87087255Y-105229746D01* -X87095293Y-105232622D01* -X87103012Y-105236273D01* -X87110335Y-105240662D01* -X87117192Y-105245748D01* -X87123518Y-105251482D01* -X87129252Y-105257808D01* -X87134338Y-105264665D01* -X87138727Y-105271988D01* -X87142378Y-105279707D01* -X87145254Y-105287745D01* -X87147328Y-105296027D01* -X87148581Y-105304473D01* -X87149000Y-105313000D01* -X87149000Y-105487000D01* -X87148581Y-105495527D01* -X87147328Y-105503973D01* -X87145254Y-105512255D01* -X87142378Y-105520293D01* -X87138727Y-105528012D01* -X87134338Y-105535335D01* -X87129252Y-105542192D01* -X87123518Y-105548518D01* -X87117192Y-105554252D01* -X87110335Y-105559338D01* -X87103012Y-105563727D01* -X87095293Y-105567378D01* -X87087255Y-105570254D01* -X87078973Y-105572328D01* -X87070527Y-105573581D01* -X87062000Y-105574000D01* -X85713000Y-105574000D01* -X85704473Y-105573581D01* -X85696027Y-105572328D01* -X85687745Y-105570254D01* -X85679707Y-105567378D01* -X85671988Y-105563727D01* -X85664665Y-105559338D01* -X85657808Y-105554252D01* -X85651482Y-105548518D01* -X85645748Y-105542192D01* -X85640662Y-105535335D01* -X85636273Y-105528012D01* -X85632622Y-105520293D01* -X85629746Y-105512255D01* -X85627672Y-105503973D01* -X85626419Y-105495527D01* -X85626000Y-105487000D01* -X85626000Y-105313000D01* -X85626419Y-105304473D01* -X85627672Y-105296027D01* -X85629746Y-105287745D01* -X85632622Y-105279707D01* -X85636273Y-105271988D01* -X85640662Y-105264665D01* -X85645748Y-105257808D01* -X85651482Y-105251482D01* -X85657808Y-105245748D01* -X85664665Y-105240662D01* -X85671988Y-105236273D01* -X85679707Y-105232622D01* -X85687745Y-105229746D01* -X85696027Y-105227672D01* -X85704473Y-105226419D01* -X85713000Y-105226000D01* -X87062000Y-105226000D01* -X87070527Y-105226419D01* -G37* -G36* -X87070527Y-104726419D02* -G01* -X87078973Y-104727672D01* -X87087255Y-104729746D01* -X87095293Y-104732622D01* -X87103012Y-104736273D01* -X87110335Y-104740662D01* -X87117192Y-104745748D01* -X87123518Y-104751482D01* -X87129252Y-104757808D01* -X87134338Y-104764665D01* -X87138727Y-104771988D01* -X87142378Y-104779707D01* -X87145254Y-104787745D01* -X87147328Y-104796027D01* -X87148581Y-104804473D01* -X87149000Y-104813000D01* -X87149000Y-104987000D01* -X87148581Y-104995527D01* -X87147328Y-105003973D01* -X87145254Y-105012255D01* -X87142378Y-105020293D01* -X87138727Y-105028012D01* -X87134338Y-105035335D01* -X87129252Y-105042192D01* -X87123518Y-105048518D01* -X87117192Y-105054252D01* -X87110335Y-105059338D01* -X87103012Y-105063727D01* -X87095293Y-105067378D01* -X87087255Y-105070254D01* -X87078973Y-105072328D01* -X87070527Y-105073581D01* -X87062000Y-105074000D01* -X85713000Y-105074000D01* -X85704473Y-105073581D01* -X85696027Y-105072328D01* -X85687745Y-105070254D01* -X85679707Y-105067378D01* -X85671988Y-105063727D01* -X85664665Y-105059338D01* -X85657808Y-105054252D01* -X85651482Y-105048518D01* -X85645748Y-105042192D01* -X85640662Y-105035335D01* -X85636273Y-105028012D01* -X85632622Y-105020293D01* -X85629746Y-105012255D01* -X85627672Y-105003973D01* -X85626419Y-104995527D01* -X85626000Y-104987000D01* -X85626000Y-104813000D01* -X85626419Y-104804473D01* -X85627672Y-104796027D01* -X85629746Y-104787745D01* -X85632622Y-104779707D01* -X85636273Y-104771988D01* -X85640662Y-104764665D01* -X85645748Y-104757808D01* -X85651482Y-104751482D01* -X85657808Y-104745748D01* -X85664665Y-104740662D01* -X85671988Y-104736273D01* -X85679707Y-104732622D01* -X85687745Y-104729746D01* -X85696027Y-104727672D01* -X85704473Y-104726419D01* -X85713000Y-104726000D01* -X87062000Y-104726000D01* -X87070527Y-104726419D01* -G37* -G36* -X87070527Y-104226419D02* -G01* -X87078973Y-104227672D01* -X87087255Y-104229746D01* -X87095293Y-104232622D01* -X87103012Y-104236273D01* -X87110335Y-104240662D01* -X87117192Y-104245748D01* -X87123518Y-104251482D01* -X87129252Y-104257808D01* -X87134338Y-104264665D01* -X87138727Y-104271988D01* -X87142378Y-104279707D01* -X87145254Y-104287745D01* -X87147328Y-104296027D01* -X87148581Y-104304473D01* -X87149000Y-104313000D01* -X87149000Y-104487000D01* -X87148581Y-104495527D01* -X87147328Y-104503973D01* -X87145254Y-104512255D01* -X87142378Y-104520293D01* -X87138727Y-104528012D01* -X87134338Y-104535335D01* -X87129252Y-104542192D01* -X87123518Y-104548518D01* -X87117192Y-104554252D01* -X87110335Y-104559338D01* -X87103012Y-104563727D01* -X87095293Y-104567378D01* -X87087255Y-104570254D01* -X87078973Y-104572328D01* -X87070527Y-104573581D01* -X87062000Y-104574000D01* -X85713000Y-104574000D01* -X85704473Y-104573581D01* -X85696027Y-104572328D01* -X85687745Y-104570254D01* -X85679707Y-104567378D01* -X85671988Y-104563727D01* -X85664665Y-104559338D01* -X85657808Y-104554252D01* -X85651482Y-104548518D01* -X85645748Y-104542192D01* -X85640662Y-104535335D01* -X85636273Y-104528012D01* -X85632622Y-104520293D01* -X85629746Y-104512255D01* -X85627672Y-104503973D01* -X85626419Y-104495527D01* -X85626000Y-104487000D01* -X85626000Y-104313000D01* -X85626419Y-104304473D01* -X85627672Y-104296027D01* -X85629746Y-104287745D01* -X85632622Y-104279707D01* -X85636273Y-104271988D01* -X85640662Y-104264665D01* -X85645748Y-104257808D01* -X85651482Y-104251482D01* -X85657808Y-104245748D01* -X85664665Y-104240662D01* -X85671988Y-104236273D01* -X85679707Y-104232622D01* -X85687745Y-104229746D01* -X85696027Y-104227672D01* -X85704473Y-104226419D01* -X85713000Y-104226000D01* -X87062000Y-104226000D01* -X87070527Y-104226419D01* -G37* -G36* -X87070527Y-103726419D02* -G01* -X87078973Y-103727672D01* -X87087255Y-103729746D01* -X87095293Y-103732622D01* -X87103012Y-103736273D01* -X87110335Y-103740662D01* -X87117192Y-103745748D01* -X87123518Y-103751482D01* -X87129252Y-103757808D01* -X87134338Y-103764665D01* -X87138727Y-103771988D01* -X87142378Y-103779707D01* -X87145254Y-103787745D01* -X87147328Y-103796027D01* -X87148581Y-103804473D01* -X87149000Y-103813000D01* -X87149000Y-103987000D01* -X87148581Y-103995527D01* -X87147328Y-104003973D01* -X87145254Y-104012255D01* -X87142378Y-104020293D01* -X87138727Y-104028012D01* -X87134338Y-104035335D01* -X87129252Y-104042192D01* -X87123518Y-104048518D01* -X87117192Y-104054252D01* -X87110335Y-104059338D01* -X87103012Y-104063727D01* -X87095293Y-104067378D01* -X87087255Y-104070254D01* -X87078973Y-104072328D01* -X87070527Y-104073581D01* -X87062000Y-104074000D01* -X85713000Y-104074000D01* -X85704473Y-104073581D01* -X85696027Y-104072328D01* -X85687745Y-104070254D01* -X85679707Y-104067378D01* -X85671988Y-104063727D01* -X85664665Y-104059338D01* -X85657808Y-104054252D01* -X85651482Y-104048518D01* -X85645748Y-104042192D01* -X85640662Y-104035335D01* -X85636273Y-104028012D01* -X85632622Y-104020293D01* -X85629746Y-104012255D01* -X85627672Y-104003973D01* -X85626419Y-103995527D01* -X85626000Y-103987000D01* -X85626000Y-103813000D01* -X85626419Y-103804473D01* -X85627672Y-103796027D01* -X85629746Y-103787745D01* -X85632622Y-103779707D01* -X85636273Y-103771988D01* -X85640662Y-103764665D01* -X85645748Y-103757808D01* -X85651482Y-103751482D01* -X85657808Y-103745748D01* -X85664665Y-103740662D01* -X85671988Y-103736273D01* -X85679707Y-103732622D01* -X85687745Y-103729746D01* -X85696027Y-103727672D01* -X85704473Y-103726419D01* -X85713000Y-103726000D01* -X87062000Y-103726000D01* -X87070527Y-103726419D01* -G37* -G36* -X87070527Y-103226419D02* -G01* -X87078973Y-103227672D01* -X87087255Y-103229746D01* -X87095293Y-103232622D01* -X87103012Y-103236273D01* -X87110335Y-103240662D01* -X87117192Y-103245748D01* -X87123518Y-103251482D01* -X87129252Y-103257808D01* -X87134338Y-103264665D01* -X87138727Y-103271988D01* -X87142378Y-103279707D01* -X87145254Y-103287745D01* -X87147328Y-103296027D01* -X87148581Y-103304473D01* -X87149000Y-103313000D01* -X87149000Y-103487000D01* -X87148581Y-103495527D01* -X87147328Y-103503973D01* -X87145254Y-103512255D01* -X87142378Y-103520293D01* -X87138727Y-103528012D01* -X87134338Y-103535335D01* -X87129252Y-103542192D01* -X87123518Y-103548518D01* -X87117192Y-103554252D01* -X87110335Y-103559338D01* -X87103012Y-103563727D01* -X87095293Y-103567378D01* -X87087255Y-103570254D01* -X87078973Y-103572328D01* -X87070527Y-103573581D01* -X87062000Y-103574000D01* -X85713000Y-103574000D01* -X85704473Y-103573581D01* -X85696027Y-103572328D01* -X85687745Y-103570254D01* -X85679707Y-103567378D01* -X85671988Y-103563727D01* -X85664665Y-103559338D01* -X85657808Y-103554252D01* -X85651482Y-103548518D01* -X85645748Y-103542192D01* -X85640662Y-103535335D01* -X85636273Y-103528012D01* -X85632622Y-103520293D01* -X85629746Y-103512255D01* -X85627672Y-103503973D01* -X85626419Y-103495527D01* -X85626000Y-103487000D01* -X85626000Y-103313000D01* -X85626419Y-103304473D01* -X85627672Y-103296027D01* -X85629746Y-103287745D01* -X85632622Y-103279707D01* -X85636273Y-103271988D01* -X85640662Y-103264665D01* -X85645748Y-103257808D01* -X85651482Y-103251482D01* -X85657808Y-103245748D01* -X85664665Y-103240662D01* -X85671988Y-103236273D01* -X85679707Y-103232622D01* -X85687745Y-103229746D01* -X85696027Y-103227672D01* -X85704473Y-103226419D01* -X85713000Y-103226000D01* -X87062000Y-103226000D01* -X87070527Y-103226419D01* -G37* -G36* -X87070527Y-102726419D02* -G01* -X87078973Y-102727672D01* -X87087255Y-102729746D01* -X87095293Y-102732622D01* -X87103012Y-102736273D01* -X87110335Y-102740662D01* -X87117192Y-102745748D01* -X87123518Y-102751482D01* -X87129252Y-102757808D01* -X87134338Y-102764665D01* -X87138727Y-102771988D01* -X87142378Y-102779707D01* -X87145254Y-102787745D01* -X87147328Y-102796027D01* -X87148581Y-102804473D01* -X87149000Y-102813000D01* -X87149000Y-102987000D01* -X87148581Y-102995527D01* -X87147328Y-103003973D01* -X87145254Y-103012255D01* -X87142378Y-103020293D01* -X87138727Y-103028012D01* -X87134338Y-103035335D01* -X87129252Y-103042192D01* -X87123518Y-103048518D01* -X87117192Y-103054252D01* -X87110335Y-103059338D01* -X87103012Y-103063727D01* -X87095293Y-103067378D01* -X87087255Y-103070254D01* -X87078973Y-103072328D01* -X87070527Y-103073581D01* -X87062000Y-103074000D01* -X85713000Y-103074000D01* -X85704473Y-103073581D01* -X85696027Y-103072328D01* -X85687745Y-103070254D01* -X85679707Y-103067378D01* -X85671988Y-103063727D01* -X85664665Y-103059338D01* -X85657808Y-103054252D01* -X85651482Y-103048518D01* -X85645748Y-103042192D01* -X85640662Y-103035335D01* -X85636273Y-103028012D01* -X85632622Y-103020293D01* -X85629746Y-103012255D01* -X85627672Y-103003973D01* -X85626419Y-102995527D01* -X85626000Y-102987000D01* -X85626000Y-102813000D01* -X85626419Y-102804473D01* -X85627672Y-102796027D01* -X85629746Y-102787745D01* -X85632622Y-102779707D01* -X85636273Y-102771988D01* -X85640662Y-102764665D01* -X85645748Y-102757808D01* -X85651482Y-102751482D01* -X85657808Y-102745748D01* -X85664665Y-102740662D01* -X85671988Y-102736273D01* -X85679707Y-102732622D01* -X85687745Y-102729746D01* -X85696027Y-102727672D01* -X85704473Y-102726419D01* -X85713000Y-102726000D01* -X87062000Y-102726000D01* -X87070527Y-102726419D01* -G37* -G36* -X87070527Y-102226419D02* -G01* -X87078973Y-102227672D01* -X87087255Y-102229746D01* -X87095293Y-102232622D01* -X87103012Y-102236273D01* -X87110335Y-102240662D01* -X87117192Y-102245748D01* -X87123518Y-102251482D01* -X87129252Y-102257808D01* -X87134338Y-102264665D01* -X87138727Y-102271988D01* -X87142378Y-102279707D01* -X87145254Y-102287745D01* -X87147328Y-102296027D01* -X87148581Y-102304473D01* -X87149000Y-102313000D01* -X87149000Y-102487000D01* -X87148581Y-102495527D01* -X87147328Y-102503973D01* -X87145254Y-102512255D01* -X87142378Y-102520293D01* -X87138727Y-102528012D01* -X87134338Y-102535335D01* -X87129252Y-102542192D01* -X87123518Y-102548518D01* -X87117192Y-102554252D01* -X87110335Y-102559338D01* -X87103012Y-102563727D01* -X87095293Y-102567378D01* -X87087255Y-102570254D01* -X87078973Y-102572328D01* -X87070527Y-102573581D01* -X87062000Y-102574000D01* -X85713000Y-102574000D01* -X85704473Y-102573581D01* -X85696027Y-102572328D01* -X85687745Y-102570254D01* -X85679707Y-102567378D01* -X85671988Y-102563727D01* -X85664665Y-102559338D01* -X85657808Y-102554252D01* -X85651482Y-102548518D01* -X85645748Y-102542192D01* -X85640662Y-102535335D01* -X85636273Y-102528012D01* -X85632622Y-102520293D01* -X85629746Y-102512255D01* -X85627672Y-102503973D01* -X85626419Y-102495527D01* -X85626000Y-102487000D01* -X85626000Y-102313000D01* -X85626419Y-102304473D01* -X85627672Y-102296027D01* -X85629746Y-102287745D01* -X85632622Y-102279707D01* -X85636273Y-102271988D01* -X85640662Y-102264665D01* -X85645748Y-102257808D01* -X85651482Y-102251482D01* -X85657808Y-102245748D01* -X85664665Y-102240662D01* -X85671988Y-102236273D01* -X85679707Y-102232622D01* -X85687745Y-102229746D01* -X85696027Y-102227672D01* -X85704473Y-102226419D01* -X85713000Y-102226000D01* -X87062000Y-102226000D01* -X87070527Y-102226419D01* -G37* -G36* -X87070527Y-101726419D02* -G01* -X87078973Y-101727672D01* -X87087255Y-101729746D01* -X87095293Y-101732622D01* -X87103012Y-101736273D01* -X87110335Y-101740662D01* -X87117192Y-101745748D01* -X87123518Y-101751482D01* -X87129252Y-101757808D01* -X87134338Y-101764665D01* -X87138727Y-101771988D01* -X87142378Y-101779707D01* -X87145254Y-101787745D01* -X87147328Y-101796027D01* -X87148581Y-101804473D01* -X87149000Y-101813000D01* -X87149000Y-101987000D01* -X87148581Y-101995527D01* -X87147328Y-102003973D01* -X87145254Y-102012255D01* -X87142378Y-102020293D01* -X87138727Y-102028012D01* -X87134338Y-102035335D01* -X87129252Y-102042192D01* -X87123518Y-102048518D01* -X87117192Y-102054252D01* -X87110335Y-102059338D01* -X87103012Y-102063727D01* -X87095293Y-102067378D01* -X87087255Y-102070254D01* -X87078973Y-102072328D01* -X87070527Y-102073581D01* -X87062000Y-102074000D01* -X85713000Y-102074000D01* -X85704473Y-102073581D01* -X85696027Y-102072328D01* -X85687745Y-102070254D01* -X85679707Y-102067378D01* -X85671988Y-102063727D01* -X85664665Y-102059338D01* -X85657808Y-102054252D01* -X85651482Y-102048518D01* -X85645748Y-102042192D01* -X85640662Y-102035335D01* -X85636273Y-102028012D01* -X85632622Y-102020293D01* -X85629746Y-102012255D01* -X85627672Y-102003973D01* -X85626419Y-101995527D01* -X85626000Y-101987000D01* -X85626000Y-101813000D01* -X85626419Y-101804473D01* -X85627672Y-101796027D01* -X85629746Y-101787745D01* -X85632622Y-101779707D01* -X85636273Y-101771988D01* -X85640662Y-101764665D01* -X85645748Y-101757808D01* -X85651482Y-101751482D01* -X85657808Y-101745748D01* -X85664665Y-101740662D01* -X85671988Y-101736273D01* -X85679707Y-101732622D01* -X85687745Y-101729746D01* -X85696027Y-101727672D01* -X85704473Y-101726419D01* -X85713000Y-101726000D01* -X87062000Y-101726000D01* -X87070527Y-101726419D01* -G37* -G36* -X87070527Y-101226419D02* -G01* -X87078973Y-101227672D01* -X87087255Y-101229746D01* -X87095293Y-101232622D01* -X87103012Y-101236273D01* -X87110335Y-101240662D01* -X87117192Y-101245748D01* -X87123518Y-101251482D01* -X87129252Y-101257808D01* -X87134338Y-101264665D01* -X87138727Y-101271988D01* -X87142378Y-101279707D01* -X87145254Y-101287745D01* -X87147328Y-101296027D01* -X87148581Y-101304473D01* -X87149000Y-101313000D01* -X87149000Y-101487000D01* -X87148581Y-101495527D01* -X87147328Y-101503973D01* -X87145254Y-101512255D01* -X87142378Y-101520293D01* -X87138727Y-101528012D01* -X87134338Y-101535335D01* -X87129252Y-101542192D01* -X87123518Y-101548518D01* -X87117192Y-101554252D01* -X87110335Y-101559338D01* -X87103012Y-101563727D01* -X87095293Y-101567378D01* -X87087255Y-101570254D01* -X87078973Y-101572328D01* -X87070527Y-101573581D01* -X87062000Y-101574000D01* -X85713000Y-101574000D01* -X85704473Y-101573581D01* -X85696027Y-101572328D01* -X85687745Y-101570254D01* -X85679707Y-101567378D01* -X85671988Y-101563727D01* -X85664665Y-101559338D01* -X85657808Y-101554252D01* -X85651482Y-101548518D01* -X85645748Y-101542192D01* -X85640662Y-101535335D01* -X85636273Y-101528012D01* -X85632622Y-101520293D01* -X85629746Y-101512255D01* -X85627672Y-101503973D01* -X85626419Y-101495527D01* -X85626000Y-101487000D01* -X85626000Y-101313000D01* -X85626419Y-101304473D01* -X85627672Y-101296027D01* -X85629746Y-101287745D01* -X85632622Y-101279707D01* -X85636273Y-101271988D01* -X85640662Y-101264665D01* -X85645748Y-101257808D01* -X85651482Y-101251482D01* -X85657808Y-101245748D01* -X85664665Y-101240662D01* -X85671988Y-101236273D01* -X85679707Y-101232622D01* -X85687745Y-101229746D01* -X85696027Y-101227672D01* -X85704473Y-101226419D01* -X85713000Y-101226000D01* -X87062000Y-101226000D01* -X87070527Y-101226419D01* -G37* -G36* -X87070527Y-100726419D02* -G01* -X87078973Y-100727672D01* -X87087255Y-100729746D01* -X87095293Y-100732622D01* -X87103012Y-100736273D01* -X87110335Y-100740662D01* -X87117192Y-100745748D01* -X87123518Y-100751482D01* -X87129252Y-100757808D01* -X87134338Y-100764665D01* -X87138727Y-100771988D01* -X87142378Y-100779707D01* -X87145254Y-100787745D01* -X87147328Y-100796027D01* -X87148581Y-100804473D01* -X87149000Y-100813000D01* -X87149000Y-100987000D01* -X87148581Y-100995527D01* -X87147328Y-101003973D01* -X87145254Y-101012255D01* -X87142378Y-101020293D01* -X87138727Y-101028012D01* -X87134338Y-101035335D01* -X87129252Y-101042192D01* -X87123518Y-101048518D01* -X87117192Y-101054252D01* -X87110335Y-101059338D01* -X87103012Y-101063727D01* -X87095293Y-101067378D01* -X87087255Y-101070254D01* -X87078973Y-101072328D01* -X87070527Y-101073581D01* -X87062000Y-101074000D01* -X85713000Y-101074000D01* -X85704473Y-101073581D01* -X85696027Y-101072328D01* -X85687745Y-101070254D01* -X85679707Y-101067378D01* -X85671988Y-101063727D01* -X85664665Y-101059338D01* -X85657808Y-101054252D01* -X85651482Y-101048518D01* -X85645748Y-101042192D01* -X85640662Y-101035335D01* -X85636273Y-101028012D01* -X85632622Y-101020293D01* -X85629746Y-101012255D01* -X85627672Y-101003973D01* -X85626419Y-100995527D01* -X85626000Y-100987000D01* -X85626000Y-100813000D01* -X85626419Y-100804473D01* -X85627672Y-100796027D01* -X85629746Y-100787745D01* -X85632622Y-100779707D01* -X85636273Y-100771988D01* -X85640662Y-100764665D01* -X85645748Y-100757808D01* -X85651482Y-100751482D01* -X85657808Y-100745748D01* -X85664665Y-100740662D01* -X85671988Y-100736273D01* -X85679707Y-100732622D01* -X85687745Y-100729746D01* -X85696027Y-100727672D01* -X85704473Y-100726419D01* -X85713000Y-100726000D01* -X87062000Y-100726000D01* -X87070527Y-100726419D01* -G37* -G36* -X87070527Y-100226419D02* -G01* -X87078973Y-100227672D01* -X87087255Y-100229746D01* -X87095293Y-100232622D01* -X87103012Y-100236273D01* -X87110335Y-100240662D01* -X87117192Y-100245748D01* -X87123518Y-100251482D01* -X87129252Y-100257808D01* -X87134338Y-100264665D01* -X87138727Y-100271988D01* -X87142378Y-100279707D01* -X87145254Y-100287745D01* -X87147328Y-100296027D01* -X87148581Y-100304473D01* -X87149000Y-100313000D01* -X87149000Y-100487000D01* -X87148581Y-100495527D01* -X87147328Y-100503973D01* -X87145254Y-100512255D01* -X87142378Y-100520293D01* -X87138727Y-100528012D01* -X87134338Y-100535335D01* -X87129252Y-100542192D01* -X87123518Y-100548518D01* -X87117192Y-100554252D01* -X87110335Y-100559338D01* -X87103012Y-100563727D01* -X87095293Y-100567378D01* -X87087255Y-100570254D01* -X87078973Y-100572328D01* -X87070527Y-100573581D01* -X87062000Y-100574000D01* -X85713000Y-100574000D01* -X85704473Y-100573581D01* -X85696027Y-100572328D01* -X85687745Y-100570254D01* -X85679707Y-100567378D01* -X85671988Y-100563727D01* -X85664665Y-100559338D01* -X85657808Y-100554252D01* -X85651482Y-100548518D01* -X85645748Y-100542192D01* -X85640662Y-100535335D01* -X85636273Y-100528012D01* -X85632622Y-100520293D01* -X85629746Y-100512255D01* -X85627672Y-100503973D01* -X85626419Y-100495527D01* -X85626000Y-100487000D01* -X85626000Y-100313000D01* -X85626419Y-100304473D01* -X85627672Y-100296027D01* -X85629746Y-100287745D01* -X85632622Y-100279707D01* -X85636273Y-100271988D01* -X85640662Y-100264665D01* -X85645748Y-100257808D01* -X85651482Y-100251482D01* -X85657808Y-100245748D01* -X85664665Y-100240662D01* -X85671988Y-100236273D01* -X85679707Y-100232622D01* -X85687745Y-100229746D01* -X85696027Y-100227672D01* -X85704473Y-100226419D01* -X85713000Y-100226000D01* -X87062000Y-100226000D01* -X87070527Y-100226419D01* -G37* -G36* -X87070527Y-99726419D02* -G01* -X87078973Y-99727672D01* -X87087255Y-99729746D01* -X87095293Y-99732622D01* -X87103012Y-99736273D01* -X87110335Y-99740662D01* -X87117192Y-99745748D01* -X87123518Y-99751482D01* -X87129252Y-99757808D01* -X87134338Y-99764665D01* -X87138727Y-99771988D01* -X87142378Y-99779707D01* -X87145254Y-99787745D01* -X87147328Y-99796027D01* -X87148581Y-99804473D01* -X87149000Y-99813000D01* -X87149000Y-99987000D01* -X87148581Y-99995527D01* -X87147328Y-100003973D01* -X87145254Y-100012255D01* -X87142378Y-100020293D01* -X87138727Y-100028012D01* -X87134338Y-100035335D01* -X87129252Y-100042192D01* -X87123518Y-100048518D01* -X87117192Y-100054252D01* -X87110335Y-100059338D01* -X87103012Y-100063727D01* -X87095293Y-100067378D01* -X87087255Y-100070254D01* -X87078973Y-100072328D01* -X87070527Y-100073581D01* -X87062000Y-100074000D01* -X85713000Y-100074000D01* -X85704473Y-100073581D01* -X85696027Y-100072328D01* -X85687745Y-100070254D01* -X85679707Y-100067378D01* -X85671988Y-100063727D01* -X85664665Y-100059338D01* -X85657808Y-100054252D01* -X85651482Y-100048518D01* -X85645748Y-100042192D01* -X85640662Y-100035335D01* -X85636273Y-100028012D01* -X85632622Y-100020293D01* -X85629746Y-100012255D01* -X85627672Y-100003973D01* -X85626419Y-99995527D01* -X85626000Y-99987000D01* -X85626000Y-99813000D01* -X85626419Y-99804473D01* -X85627672Y-99796027D01* -X85629746Y-99787745D01* -X85632622Y-99779707D01* -X85636273Y-99771988D01* -X85640662Y-99764665D01* -X85645748Y-99757808D01* -X85651482Y-99751482D01* -X85657808Y-99745748D01* -X85664665Y-99740662D01* -X85671988Y-99736273D01* -X85679707Y-99732622D01* -X85687745Y-99729746D01* -X85696027Y-99727672D01* -X85704473Y-99726419D01* -X85713000Y-99726000D01* -X87062000Y-99726000D01* -X87070527Y-99726419D01* -G37* -G36* -X87070527Y-99226419D02* -G01* -X87078973Y-99227672D01* -X87087255Y-99229746D01* -X87095293Y-99232622D01* -X87103012Y-99236273D01* -X87110335Y-99240662D01* -X87117192Y-99245748D01* -X87123518Y-99251482D01* -X87129252Y-99257808D01* -X87134338Y-99264665D01* -X87138727Y-99271988D01* -X87142378Y-99279707D01* -X87145254Y-99287745D01* -X87147328Y-99296027D01* -X87148581Y-99304473D01* -X87149000Y-99313000D01* -X87149000Y-99487000D01* -X87148581Y-99495527D01* -X87147328Y-99503973D01* -X87145254Y-99512255D01* -X87142378Y-99520293D01* -X87138727Y-99528012D01* -X87134338Y-99535335D01* -X87129252Y-99542192D01* -X87123518Y-99548518D01* -X87117192Y-99554252D01* -X87110335Y-99559338D01* -X87103012Y-99563727D01* -X87095293Y-99567378D01* -X87087255Y-99570254D01* -X87078973Y-99572328D01* -X87070527Y-99573581D01* -X87062000Y-99574000D01* -X85713000Y-99574000D01* -X85704473Y-99573581D01* -X85696027Y-99572328D01* -X85687745Y-99570254D01* -X85679707Y-99567378D01* -X85671988Y-99563727D01* -X85664665Y-99559338D01* -X85657808Y-99554252D01* -X85651482Y-99548518D01* -X85645748Y-99542192D01* -X85640662Y-99535335D01* -X85636273Y-99528012D01* -X85632622Y-99520293D01* -X85629746Y-99512255D01* -X85627672Y-99503973D01* -X85626419Y-99495527D01* -X85626000Y-99487000D01* -X85626000Y-99313000D01* -X85626419Y-99304473D01* -X85627672Y-99296027D01* -X85629746Y-99287745D01* -X85632622Y-99279707D01* -X85636273Y-99271988D01* -X85640662Y-99264665D01* -X85645748Y-99257808D01* -X85651482Y-99251482D01* -X85657808Y-99245748D01* -X85664665Y-99240662D01* -X85671988Y-99236273D01* -X85679707Y-99232622D01* -X85687745Y-99229746D01* -X85696027Y-99227672D01* -X85704473Y-99226419D01* -X85713000Y-99226000D01* -X87062000Y-99226000D01* -X87070527Y-99226419D01* -G37* -G36* -X87070527Y-98726419D02* -G01* -X87078973Y-98727672D01* -X87087255Y-98729746D01* -X87095293Y-98732622D01* -X87103012Y-98736273D01* -X87110335Y-98740662D01* -X87117192Y-98745748D01* -X87123518Y-98751482D01* -X87129252Y-98757808D01* -X87134338Y-98764665D01* -X87138727Y-98771988D01* -X87142378Y-98779707D01* -X87145254Y-98787745D01* -X87147328Y-98796027D01* -X87148581Y-98804473D01* -X87149000Y-98813000D01* -X87149000Y-98987000D01* -X87148581Y-98995527D01* -X87147328Y-99003973D01* -X87145254Y-99012255D01* -X87142378Y-99020293D01* -X87138727Y-99028012D01* -X87134338Y-99035335D01* -X87129252Y-99042192D01* -X87123518Y-99048518D01* -X87117192Y-99054252D01* -X87110335Y-99059338D01* -X87103012Y-99063727D01* -X87095293Y-99067378D01* -X87087255Y-99070254D01* -X87078973Y-99072328D01* -X87070527Y-99073581D01* -X87062000Y-99074000D01* -X85713000Y-99074000D01* -X85704473Y-99073581D01* -X85696027Y-99072328D01* -X85687745Y-99070254D01* -X85679707Y-99067378D01* -X85671988Y-99063727D01* -X85664665Y-99059338D01* -X85657808Y-99054252D01* -X85651482Y-99048518D01* -X85645748Y-99042192D01* -X85640662Y-99035335D01* -X85636273Y-99028012D01* -X85632622Y-99020293D01* -X85629746Y-99012255D01* -X85627672Y-99003973D01* -X85626419Y-98995527D01* -X85626000Y-98987000D01* -X85626000Y-98813000D01* -X85626419Y-98804473D01* -X85627672Y-98796027D01* -X85629746Y-98787745D01* -X85632622Y-98779707D01* -X85636273Y-98771988D01* -X85640662Y-98764665D01* -X85645748Y-98757808D01* -X85651482Y-98751482D01* -X85657808Y-98745748D01* -X85664665Y-98740662D01* -X85671988Y-98736273D01* -X85679707Y-98732622D01* -X85687745Y-98729746D01* -X85696027Y-98727672D01* -X85704473Y-98726419D01* -X85713000Y-98726000D01* -X87062000Y-98726000D01* -X87070527Y-98726419D01* -G37* -G36* -X87070527Y-98226419D02* -G01* -X87078973Y-98227672D01* -X87087255Y-98229746D01* -X87095293Y-98232622D01* -X87103012Y-98236273D01* -X87110335Y-98240662D01* -X87117192Y-98245748D01* -X87123518Y-98251482D01* -X87129252Y-98257808D01* -X87134338Y-98264665D01* -X87138727Y-98271988D01* -X87142378Y-98279707D01* -X87145254Y-98287745D01* -X87147328Y-98296027D01* -X87148581Y-98304473D01* -X87149000Y-98313000D01* -X87149000Y-98487000D01* -X87148581Y-98495527D01* -X87147328Y-98503973D01* -X87145254Y-98512255D01* -X87142378Y-98520293D01* -X87138727Y-98528012D01* -X87134338Y-98535335D01* -X87129252Y-98542192D01* -X87123518Y-98548518D01* -X87117192Y-98554252D01* -X87110335Y-98559338D01* -X87103012Y-98563727D01* -X87095293Y-98567378D01* -X87087255Y-98570254D01* -X87078973Y-98572328D01* -X87070527Y-98573581D01* -X87062000Y-98574000D01* -X85713000Y-98574000D01* -X85704473Y-98573581D01* -X85696027Y-98572328D01* -X85687745Y-98570254D01* -X85679707Y-98567378D01* -X85671988Y-98563727D01* -X85664665Y-98559338D01* -X85657808Y-98554252D01* -X85651482Y-98548518D01* -X85645748Y-98542192D01* -X85640662Y-98535335D01* -X85636273Y-98528012D01* -X85632622Y-98520293D01* -X85629746Y-98512255D01* -X85627672Y-98503973D01* -X85626419Y-98495527D01* -X85626000Y-98487000D01* -X85626000Y-98313000D01* -X85626419Y-98304473D01* -X85627672Y-98296027D01* -X85629746Y-98287745D01* -X85632622Y-98279707D01* -X85636273Y-98271988D01* -X85640662Y-98264665D01* -X85645748Y-98257808D01* -X85651482Y-98251482D01* -X85657808Y-98245748D01* -X85664665Y-98240662D01* -X85671988Y-98236273D01* -X85679707Y-98232622D01* -X85687745Y-98229746D01* -X85696027Y-98227672D01* -X85704473Y-98226419D01* -X85713000Y-98226000D01* -X87062000Y-98226000D01* -X87070527Y-98226419D01* -G37* -G36* -X87070527Y-97726419D02* -G01* -X87078973Y-97727672D01* -X87087255Y-97729746D01* -X87095293Y-97732622D01* -X87103012Y-97736273D01* -X87110335Y-97740662D01* -X87117192Y-97745748D01* -X87123518Y-97751482D01* -X87129252Y-97757808D01* -X87134338Y-97764665D01* -X87138727Y-97771988D01* -X87142378Y-97779707D01* -X87145254Y-97787745D01* -X87147328Y-97796027D01* -X87148581Y-97804473D01* -X87149000Y-97813000D01* -X87149000Y-97987000D01* -X87148581Y-97995527D01* -X87147328Y-98003973D01* -X87145254Y-98012255D01* -X87142378Y-98020293D01* -X87138727Y-98028012D01* -X87134338Y-98035335D01* -X87129252Y-98042192D01* -X87123518Y-98048518D01* -X87117192Y-98054252D01* -X87110335Y-98059338D01* -X87103012Y-98063727D01* -X87095293Y-98067378D01* -X87087255Y-98070254D01* -X87078973Y-98072328D01* -X87070527Y-98073581D01* -X87062000Y-98074000D01* -X85713000Y-98074000D01* -X85704473Y-98073581D01* -X85696027Y-98072328D01* -X85687745Y-98070254D01* -X85679707Y-98067378D01* -X85671988Y-98063727D01* -X85664665Y-98059338D01* -X85657808Y-98054252D01* -X85651482Y-98048518D01* -X85645748Y-98042192D01* -X85640662Y-98035335D01* -X85636273Y-98028012D01* -X85632622Y-98020293D01* -X85629746Y-98012255D01* -X85627672Y-98003973D01* -X85626419Y-97995527D01* -X85626000Y-97987000D01* -X85626000Y-97813000D01* -X85626419Y-97804473D01* -X85627672Y-97796027D01* -X85629746Y-97787745D01* -X85632622Y-97779707D01* -X85636273Y-97771988D01* -X85640662Y-97764665D01* -X85645748Y-97757808D01* -X85651482Y-97751482D01* -X85657808Y-97745748D01* -X85664665Y-97740662D01* -X85671988Y-97736273D01* -X85679707Y-97732622D01* -X85687745Y-97729746D01* -X85696027Y-97727672D01* -X85704473Y-97726419D01* -X85713000Y-97726000D01* -X87062000Y-97726000D01* -X87070527Y-97726419D01* -G37* -G36* -X87070527Y-97226419D02* -G01* -X87078973Y-97227672D01* -X87087255Y-97229746D01* -X87095293Y-97232622D01* -X87103012Y-97236273D01* -X87110335Y-97240662D01* -X87117192Y-97245748D01* -X87123518Y-97251482D01* -X87129252Y-97257808D01* -X87134338Y-97264665D01* -X87138727Y-97271988D01* -X87142378Y-97279707D01* -X87145254Y-97287745D01* -X87147328Y-97296027D01* -X87148581Y-97304473D01* -X87149000Y-97313000D01* -X87149000Y-97487000D01* -X87148581Y-97495527D01* -X87147328Y-97503973D01* -X87145254Y-97512255D01* -X87142378Y-97520293D01* -X87138727Y-97528012D01* -X87134338Y-97535335D01* -X87129252Y-97542192D01* -X87123518Y-97548518D01* -X87117192Y-97554252D01* -X87110335Y-97559338D01* -X87103012Y-97563727D01* -X87095293Y-97567378D01* -X87087255Y-97570254D01* -X87078973Y-97572328D01* -X87070527Y-97573581D01* -X87062000Y-97574000D01* -X85713000Y-97574000D01* -X85704473Y-97573581D01* -X85696027Y-97572328D01* -X85687745Y-97570254D01* -X85679707Y-97567378D01* -X85671988Y-97563727D01* -X85664665Y-97559338D01* -X85657808Y-97554252D01* -X85651482Y-97548518D01* -X85645748Y-97542192D01* -X85640662Y-97535335D01* -X85636273Y-97528012D01* -X85632622Y-97520293D01* -X85629746Y-97512255D01* -X85627672Y-97503973D01* -X85626419Y-97495527D01* -X85626000Y-97487000D01* -X85626000Y-97313000D01* -X85626419Y-97304473D01* -X85627672Y-97296027D01* -X85629746Y-97287745D01* -X85632622Y-97279707D01* -X85636273Y-97271988D01* -X85640662Y-97264665D01* -X85645748Y-97257808D01* -X85651482Y-97251482D01* -X85657808Y-97245748D01* -X85664665Y-97240662D01* -X85671988Y-97236273D01* -X85679707Y-97232622D01* -X85687745Y-97229746D01* -X85696027Y-97227672D01* -X85704473Y-97226419D01* -X85713000Y-97226000D01* -X87062000Y-97226000D01* -X87070527Y-97226419D01* -G37* -G36* -X87070527Y-96726419D02* -G01* -X87078973Y-96727672D01* -X87087255Y-96729746D01* -X87095293Y-96732622D01* -X87103012Y-96736273D01* -X87110335Y-96740662D01* -X87117192Y-96745748D01* -X87123518Y-96751482D01* -X87129252Y-96757808D01* -X87134338Y-96764665D01* -X87138727Y-96771988D01* -X87142378Y-96779707D01* -X87145254Y-96787745D01* -X87147328Y-96796027D01* -X87148581Y-96804473D01* -X87149000Y-96813000D01* -X87149000Y-96987000D01* -X87148581Y-96995527D01* -X87147328Y-97003973D01* -X87145254Y-97012255D01* -X87142378Y-97020293D01* -X87138727Y-97028012D01* -X87134338Y-97035335D01* -X87129252Y-97042192D01* -X87123518Y-97048518D01* -X87117192Y-97054252D01* -X87110335Y-97059338D01* -X87103012Y-97063727D01* -X87095293Y-97067378D01* -X87087255Y-97070254D01* -X87078973Y-97072328D01* -X87070527Y-97073581D01* -X87062000Y-97074000D01* -X85713000Y-97074000D01* -X85704473Y-97073581D01* -X85696027Y-97072328D01* -X85687745Y-97070254D01* -X85679707Y-97067378D01* -X85671988Y-97063727D01* -X85664665Y-97059338D01* -X85657808Y-97054252D01* -X85651482Y-97048518D01* -X85645748Y-97042192D01* -X85640662Y-97035335D01* -X85636273Y-97028012D01* -X85632622Y-97020293D01* -X85629746Y-97012255D01* -X85627672Y-97003973D01* -X85626419Y-96995527D01* -X85626000Y-96987000D01* -X85626000Y-96813000D01* -X85626419Y-96804473D01* -X85627672Y-96796027D01* -X85629746Y-96787745D01* -X85632622Y-96779707D01* -X85636273Y-96771988D01* -X85640662Y-96764665D01* -X85645748Y-96757808D01* -X85651482Y-96751482D01* -X85657808Y-96745748D01* -X85664665Y-96740662D01* -X85671988Y-96736273D01* -X85679707Y-96732622D01* -X85687745Y-96729746D01* -X85696027Y-96727672D01* -X85704473Y-96726419D01* -X85713000Y-96726000D01* -X87062000Y-96726000D01* -X87070527Y-96726419D01* -G37* -G36* -X87070527Y-96226419D02* -G01* -X87078973Y-96227672D01* -X87087255Y-96229746D01* -X87095293Y-96232622D01* -X87103012Y-96236273D01* -X87110335Y-96240662D01* -X87117192Y-96245748D01* -X87123518Y-96251482D01* -X87129252Y-96257808D01* -X87134338Y-96264665D01* -X87138727Y-96271988D01* -X87142378Y-96279707D01* -X87145254Y-96287745D01* -X87147328Y-96296027D01* -X87148581Y-96304473D01* -X87149000Y-96313000D01* -X87149000Y-96487000D01* -X87148581Y-96495527D01* -X87147328Y-96503973D01* -X87145254Y-96512255D01* -X87142378Y-96520293D01* -X87138727Y-96528012D01* -X87134338Y-96535335D01* -X87129252Y-96542192D01* -X87123518Y-96548518D01* -X87117192Y-96554252D01* -X87110335Y-96559338D01* -X87103012Y-96563727D01* -X87095293Y-96567378D01* -X87087255Y-96570254D01* -X87078973Y-96572328D01* -X87070527Y-96573581D01* -X87062000Y-96574000D01* -X85713000Y-96574000D01* -X85704473Y-96573581D01* -X85696027Y-96572328D01* -X85687745Y-96570254D01* -X85679707Y-96567378D01* -X85671988Y-96563727D01* -X85664665Y-96559338D01* -X85657808Y-96554252D01* -X85651482Y-96548518D01* -X85645748Y-96542192D01* -X85640662Y-96535335D01* -X85636273Y-96528012D01* -X85632622Y-96520293D01* -X85629746Y-96512255D01* -X85627672Y-96503973D01* -X85626419Y-96495527D01* -X85626000Y-96487000D01* -X85626000Y-96313000D01* -X85626419Y-96304473D01* -X85627672Y-96296027D01* -X85629746Y-96287745D01* -X85632622Y-96279707D01* -X85636273Y-96271988D01* -X85640662Y-96264665D01* -X85645748Y-96257808D01* -X85651482Y-96251482D01* -X85657808Y-96245748D01* -X85664665Y-96240662D01* -X85671988Y-96236273D01* -X85679707Y-96232622D01* -X85687745Y-96229746D01* -X85696027Y-96227672D01* -X85704473Y-96226419D01* -X85713000Y-96226000D01* -X87062000Y-96226000D01* -X87070527Y-96226419D01* -G37* -G36* -X87070527Y-95726419D02* -G01* -X87078973Y-95727672D01* -X87087255Y-95729746D01* -X87095293Y-95732622D01* -X87103012Y-95736273D01* -X87110335Y-95740662D01* -X87117192Y-95745748D01* -X87123518Y-95751482D01* -X87129252Y-95757808D01* -X87134338Y-95764665D01* -X87138727Y-95771988D01* -X87142378Y-95779707D01* -X87145254Y-95787745D01* -X87147328Y-95796027D01* -X87148581Y-95804473D01* -X87149000Y-95813000D01* -X87149000Y-95987000D01* -X87148581Y-95995527D01* -X87147328Y-96003973D01* -X87145254Y-96012255D01* -X87142378Y-96020293D01* -X87138727Y-96028012D01* -X87134338Y-96035335D01* -X87129252Y-96042192D01* -X87123518Y-96048518D01* -X87117192Y-96054252D01* -X87110335Y-96059338D01* -X87103012Y-96063727D01* -X87095293Y-96067378D01* -X87087255Y-96070254D01* -X87078973Y-96072328D01* -X87070527Y-96073581D01* -X87062000Y-96074000D01* -X85713000Y-96074000D01* -X85704473Y-96073581D01* -X85696027Y-96072328D01* -X85687745Y-96070254D01* -X85679707Y-96067378D01* -X85671988Y-96063727D01* -X85664665Y-96059338D01* -X85657808Y-96054252D01* -X85651482Y-96048518D01* -X85645748Y-96042192D01* -X85640662Y-96035335D01* -X85636273Y-96028012D01* -X85632622Y-96020293D01* -X85629746Y-96012255D01* -X85627672Y-96003973D01* -X85626419Y-95995527D01* -X85626000Y-95987000D01* -X85626000Y-95813000D01* -X85626419Y-95804473D01* -X85627672Y-95796027D01* -X85629746Y-95787745D01* -X85632622Y-95779707D01* -X85636273Y-95771988D01* -X85640662Y-95764665D01* -X85645748Y-95757808D01* -X85651482Y-95751482D01* -X85657808Y-95745748D01* -X85664665Y-95740662D01* -X85671988Y-95736273D01* -X85679707Y-95732622D01* -X85687745Y-95729746D01* -X85696027Y-95727672D01* -X85704473Y-95726419D01* -X85713000Y-95726000D01* -X87062000Y-95726000D01* -X87070527Y-95726419D01* -G37* -G36* -X87070527Y-95226419D02* -G01* -X87078973Y-95227672D01* -X87087255Y-95229746D01* -X87095293Y-95232622D01* -X87103012Y-95236273D01* -X87110335Y-95240662D01* -X87117192Y-95245748D01* -X87123518Y-95251482D01* -X87129252Y-95257808D01* -X87134338Y-95264665D01* -X87138727Y-95271988D01* -X87142378Y-95279707D01* -X87145254Y-95287745D01* -X87147328Y-95296027D01* -X87148581Y-95304473D01* -X87149000Y-95313000D01* -X87149000Y-95487000D01* -X87148581Y-95495527D01* -X87147328Y-95503973D01* -X87145254Y-95512255D01* -X87142378Y-95520293D01* -X87138727Y-95528012D01* -X87134338Y-95535335D01* -X87129252Y-95542192D01* -X87123518Y-95548518D01* -X87117192Y-95554252D01* -X87110335Y-95559338D01* -X87103012Y-95563727D01* -X87095293Y-95567378D01* -X87087255Y-95570254D01* -X87078973Y-95572328D01* -X87070527Y-95573581D01* -X87062000Y-95574000D01* -X85713000Y-95574000D01* -X85704473Y-95573581D01* -X85696027Y-95572328D01* -X85687745Y-95570254D01* -X85679707Y-95567378D01* -X85671988Y-95563727D01* -X85664665Y-95559338D01* -X85657808Y-95554252D01* -X85651482Y-95548518D01* -X85645748Y-95542192D01* -X85640662Y-95535335D01* -X85636273Y-95528012D01* -X85632622Y-95520293D01* -X85629746Y-95512255D01* -X85627672Y-95503973D01* -X85626419Y-95495527D01* -X85626000Y-95487000D01* -X85626000Y-95313000D01* -X85626419Y-95304473D01* -X85627672Y-95296027D01* -X85629746Y-95287745D01* -X85632622Y-95279707D01* -X85636273Y-95271988D01* -X85640662Y-95264665D01* -X85645748Y-95257808D01* -X85651482Y-95251482D01* -X85657808Y-95245748D01* -X85664665Y-95240662D01* -X85671988Y-95236273D01* -X85679707Y-95232622D01* -X85687745Y-95229746D01* -X85696027Y-95227672D01* -X85704473Y-95226419D01* -X85713000Y-95226000D01* -X87062000Y-95226000D01* -X87070527Y-95226419D01* -G37* -G36* -X116819603Y-123675963D02* -G01* -X116839018Y-123678843D01* -X116858057Y-123683612D01* -X116876537Y-123690224D01* -X116894279Y-123698616D01* -X116911114Y-123708706D01* -X116926879Y-123720398D01* -X116941421Y-123733579D01* -X116954602Y-123748121D01* -X116966294Y-123763886D01* -X116976384Y-123780721D01* -X116984776Y-123798463D01* -X116991388Y-123816943D01* -X116996157Y-123835982D01* -X116999037Y-123855397D01* -X117000000Y-123875000D01* -X117000000Y-124525000D01* -X116999037Y-124544603D01* -X116996157Y-124564018D01* -X116991388Y-124583057D01* -X116984776Y-124601537D01* -X116976384Y-124619279D01* -X116966294Y-124636114D01* -X116954602Y-124651879D01* -X116941421Y-124666421D01* -X116926879Y-124679602D01* -X116911114Y-124691294D01* -X116894279Y-124701384D01* -X116876537Y-124709776D01* -X116858057Y-124716388D01* -X116839018Y-124721157D01* -X116819603Y-124724037D01* -X116800000Y-124725000D01* -X116400000Y-124725000D01* -X116380397Y-124724037D01* -X116360982Y-124721157D01* -X116341943Y-124716388D01* -X116323463Y-124709776D01* -X116305721Y-124701384D01* -X116288886Y-124691294D01* -X116273121Y-124679602D01* -X116258579Y-124666421D01* -X116245398Y-124651879D01* -X116233706Y-124636114D01* -X116223616Y-124619279D01* -X116215224Y-124601537D01* -X116208612Y-124583057D01* -X116203843Y-124564018D01* -X116200963Y-124544603D01* -X116200000Y-124525000D01* -X116200000Y-123875000D01* -X116200963Y-123855397D01* -X116203843Y-123835982D01* -X116208612Y-123816943D01* -X116215224Y-123798463D01* -X116223616Y-123780721D01* -X116233706Y-123763886D01* -X116245398Y-123748121D01* -X116258579Y-123733579D01* -X116273121Y-123720398D01* -X116288886Y-123708706D01* -X116305721Y-123698616D01* -X116323463Y-123690224D01* -X116341943Y-123683612D01* -X116360982Y-123678843D01* -X116380397Y-123675963D01* -X116400000Y-123675000D01* -X116800000Y-123675000D01* -X116819603Y-123675963D01* -G37* -G36* -X115219603Y-123675963D02* -G01* -X115239018Y-123678843D01* -X115258057Y-123683612D01* -X115276537Y-123690224D01* -X115294279Y-123698616D01* -X115311114Y-123708706D01* -X115326879Y-123720398D01* -X115341421Y-123733579D01* -X115354602Y-123748121D01* -X115366294Y-123763886D01* -X115376384Y-123780721D01* -X115384776Y-123798463D01* -X115391388Y-123816943D01* -X115396157Y-123835982D01* -X115399037Y-123855397D01* -X115400000Y-123875000D01* -X115400000Y-124525000D01* -X115399037Y-124544603D01* -X115396157Y-124564018D01* -X115391388Y-124583057D01* -X115384776Y-124601537D01* -X115376384Y-124619279D01* -X115366294Y-124636114D01* -X115354602Y-124651879D01* -X115341421Y-124666421D01* -X115326879Y-124679602D01* -X115311114Y-124691294D01* -X115294279Y-124701384D01* -X115276537Y-124709776D01* -X115258057Y-124716388D01* -X115239018Y-124721157D01* -X115219603Y-124724037D01* -X115200000Y-124725000D01* -X114800000Y-124725000D01* -X114780397Y-124724037D01* -X114760982Y-124721157D01* -X114741943Y-124716388D01* -X114723463Y-124709776D01* -X114705721Y-124701384D01* -X114688886Y-124691294D01* -X114673121Y-124679602D01* -X114658579Y-124666421D01* -X114645398Y-124651879D01* -X114633706Y-124636114D01* -X114623616Y-124619279D01* -X114615224Y-124601537D01* -X114608612Y-124583057D01* -X114603843Y-124564018D01* -X114600963Y-124544603D01* -X114600000Y-124525000D01* -X114600000Y-123875000D01* -X114600963Y-123855397D01* -X114603843Y-123835982D01* -X114608612Y-123816943D01* -X114615224Y-123798463D01* -X114623616Y-123780721D01* -X114633706Y-123763886D01* -X114645398Y-123748121D01* -X114658579Y-123733579D01* -X114673121Y-123720398D01* -X114688886Y-123708706D01* -X114705721Y-123698616D01* -X114723463Y-123690224D01* -X114741943Y-123683612D01* -X114760982Y-123678843D01* -X114780397Y-123675963D01* -X114800000Y-123675000D01* -X115200000Y-123675000D01* -X115219603Y-123675963D01* -G37* -G36* -X69310779Y-99426144D02* -G01* -X69333834Y-99429563D01* -X69356443Y-99435227D01* -X69378387Y-99443079D01* -X69399457Y-99453044D01* -X69419448Y-99465026D01* -X69438168Y-99478910D01* -X69455438Y-99494562D01* -X69471090Y-99511832D01* -X69484974Y-99530552D01* -X69496956Y-99550543D01* -X69506921Y-99571613D01* -X69514773Y-99593557D01* -X69520437Y-99616166D01* -X69523856Y-99639221D01* -X69525000Y-99662500D01* -X69525000Y-100137500D01* -X69523856Y-100160779D01* -X69520437Y-100183834D01* -X69514773Y-100206443D01* -X69506921Y-100228387D01* -X69496956Y-100249457D01* -X69484974Y-100269448D01* -X69471090Y-100288168D01* -X69455438Y-100305438D01* -X69438168Y-100321090D01* -X69419448Y-100334974D01* -X69399457Y-100346956D01* -X69378387Y-100356921D01* -X69356443Y-100364773D01* -X69333834Y-100370437D01* -X69310779Y-100373856D01* -X69287500Y-100375000D01* -X68712500Y-100375000D01* -X68689221Y-100373856D01* -X68666166Y-100370437D01* -X68643557Y-100364773D01* -X68621613Y-100356921D01* -X68600543Y-100346956D01* -X68580552Y-100334974D01* -X68561832Y-100321090D01* -X68544562Y-100305438D01* -X68528910Y-100288168D01* -X68515026Y-100269448D01* -X68503044Y-100249457D01* -X68493079Y-100228387D01* -X68485227Y-100206443D01* -X68479563Y-100183834D01* -X68476144Y-100160779D01* -X68475000Y-100137500D01* -X68475000Y-99662500D01* -X68476144Y-99639221D01* -X68479563Y-99616166D01* -X68485227Y-99593557D01* -X68493079Y-99571613D01* -X68503044Y-99550543D01* -X68515026Y-99530552D01* -X68528910Y-99511832D01* -X68544562Y-99494562D01* -X68561832Y-99478910D01* -X68580552Y-99465026D01* -X68600543Y-99453044D01* -X68621613Y-99443079D01* -X68643557Y-99435227D01* -X68666166Y-99429563D01* -X68689221Y-99426144D01* -X68712500Y-99425000D01* -X69287500Y-99425000D01* -X69310779Y-99426144D01* -G37* -G36* -X69310779Y-100926144D02* -G01* -X69333834Y-100929563D01* -X69356443Y-100935227D01* -X69378387Y-100943079D01* -X69399457Y-100953044D01* -X69419448Y-100965026D01* -X69438168Y-100978910D01* -X69455438Y-100994562D01* -X69471090Y-101011832D01* -X69484974Y-101030552D01* -X69496956Y-101050543D01* -X69506921Y-101071613D01* -X69514773Y-101093557D01* -X69520437Y-101116166D01* -X69523856Y-101139221D01* -X69525000Y-101162500D01* -X69525000Y-101637500D01* -X69523856Y-101660779D01* -X69520437Y-101683834D01* -X69514773Y-101706443D01* -X69506921Y-101728387D01* -X69496956Y-101749457D01* -X69484974Y-101769448D01* -X69471090Y-101788168D01* -X69455438Y-101805438D01* -X69438168Y-101821090D01* -X69419448Y-101834974D01* -X69399457Y-101846956D01* -X69378387Y-101856921D01* -X69356443Y-101864773D01* -X69333834Y-101870437D01* -X69310779Y-101873856D01* -X69287500Y-101875000D01* -X68712500Y-101875000D01* -X68689221Y-101873856D01* -X68666166Y-101870437D01* -X68643557Y-101864773D01* -X68621613Y-101856921D01* -X68600543Y-101846956D01* -X68580552Y-101834974D01* -X68561832Y-101821090D01* -X68544562Y-101805438D01* -X68528910Y-101788168D01* -X68515026Y-101769448D01* -X68503044Y-101749457D01* -X68493079Y-101728387D01* -X68485227Y-101706443D01* -X68479563Y-101683834D01* -X68476144Y-101660779D01* -X68475000Y-101637500D01* -X68475000Y-101162500D01* -X68476144Y-101139221D01* -X68479563Y-101116166D01* -X68485227Y-101093557D01* -X68493079Y-101071613D01* -X68503044Y-101050543D01* -X68515026Y-101030552D01* -X68528910Y-101011832D01* -X68544562Y-100994562D01* -X68561832Y-100978910D01* -X68580552Y-100965026D01* -X68600543Y-100953044D01* -X68621613Y-100943079D01* -X68643557Y-100935227D01* -X68666166Y-100929563D01* -X68689221Y-100926144D01* -X68712500Y-100925000D01* -X69287500Y-100925000D01* -X69310779Y-100926144D01* -G37* -G36* -X105810779Y-111726144D02* -G01* -X105833834Y-111729563D01* -X105856443Y-111735227D01* -X105878387Y-111743079D01* -X105899457Y-111753044D01* -X105919448Y-111765026D01* -X105938168Y-111778910D01* -X105955438Y-111794562D01* -X105971090Y-111811832D01* -X105984974Y-111830552D01* -X105996956Y-111850543D01* -X106006921Y-111871613D01* -X106014773Y-111893557D01* -X106020437Y-111916166D01* -X106023856Y-111939221D01* -X106025000Y-111962500D01* -X106025000Y-112537500D01* -X106023856Y-112560779D01* -X106020437Y-112583834D01* -X106014773Y-112606443D01* -X106006921Y-112628387D01* -X105996956Y-112649457D01* -X105984974Y-112669448D01* -X105971090Y-112688168D01* -X105955438Y-112705438D01* -X105938168Y-112721090D01* -X105919448Y-112734974D01* -X105899457Y-112746956D01* -X105878387Y-112756921D01* -X105856443Y-112764773D01* -X105833834Y-112770437D01* -X105810779Y-112773856D01* -X105787500Y-112775000D01* -X105312500Y-112775000D01* -X105289221Y-112773856D01* -X105266166Y-112770437D01* -X105243557Y-112764773D01* -X105221613Y-112756921D01* -X105200543Y-112746956D01* -X105180552Y-112734974D01* -X105161832Y-112721090D01* -X105144562Y-112705438D01* -X105128910Y-112688168D01* -X105115026Y-112669448D01* -X105103044Y-112649457D01* -X105093079Y-112628387D01* -X105085227Y-112606443D01* -X105079563Y-112583834D01* -X105076144Y-112560779D01* -X105075000Y-112537500D01* -X105075000Y-111962500D01* -X105076144Y-111939221D01* -X105079563Y-111916166D01* -X105085227Y-111893557D01* -X105093079Y-111871613D01* -X105103044Y-111850543D01* -X105115026Y-111830552D01* -X105128910Y-111811832D01* -X105144562Y-111794562D01* -X105161832Y-111778910D01* -X105180552Y-111765026D01* -X105200543Y-111753044D01* -X105221613Y-111743079D01* -X105243557Y-111735227D01* -X105266166Y-111729563D01* -X105289221Y-111726144D01* -X105312500Y-111725000D01* -X105787500Y-111725000D01* -X105810779Y-111726144D01* -G37* -G36* -X104310779Y-111726144D02* -G01* -X104333834Y-111729563D01* -X104356443Y-111735227D01* -X104378387Y-111743079D01* -X104399457Y-111753044D01* -X104419448Y-111765026D01* -X104438168Y-111778910D01* -X104455438Y-111794562D01* -X104471090Y-111811832D01* -X104484974Y-111830552D01* -X104496956Y-111850543D01* -X104506921Y-111871613D01* -X104514773Y-111893557D01* -X104520437Y-111916166D01* -X104523856Y-111939221D01* -X104525000Y-111962500D01* -X104525000Y-112537500D01* -X104523856Y-112560779D01* -X104520437Y-112583834D01* -X104514773Y-112606443D01* -X104506921Y-112628387D01* -X104496956Y-112649457D01* -X104484974Y-112669448D01* -X104471090Y-112688168D01* -X104455438Y-112705438D01* -X104438168Y-112721090D01* -X104419448Y-112734974D01* -X104399457Y-112746956D01* -X104378387Y-112756921D01* -X104356443Y-112764773D01* -X104333834Y-112770437D01* -X104310779Y-112773856D01* -X104287500Y-112775000D01* -X103812500Y-112775000D01* -X103789221Y-112773856D01* -X103766166Y-112770437D01* -X103743557Y-112764773D01* -X103721613Y-112756921D01* -X103700543Y-112746956D01* -X103680552Y-112734974D01* -X103661832Y-112721090D01* -X103644562Y-112705438D01* -X103628910Y-112688168D01* -X103615026Y-112669448D01* -X103603044Y-112649457D01* -X103593079Y-112628387D01* -X103585227Y-112606443D01* -X103579563Y-112583834D01* -X103576144Y-112560779D01* -X103575000Y-112537500D01* -X103575000Y-111962500D01* -X103576144Y-111939221D01* -X103579563Y-111916166D01* -X103585227Y-111893557D01* -X103593079Y-111871613D01* -X103603044Y-111850543D01* -X103615026Y-111830552D01* -X103628910Y-111811832D01* -X103644562Y-111794562D01* -X103661832Y-111778910D01* -X103680552Y-111765026D01* -X103700543Y-111753044D01* -X103721613Y-111743079D01* -X103743557Y-111735227D01* -X103766166Y-111729563D01* -X103789221Y-111726144D01* -X103812500Y-111725000D01* -X104287500Y-111725000D01* -X104310779Y-111726144D01* -G37* -D21* -X65786000Y-121793000D03* -X65786000Y-116713000D03* -X60071000Y-116713000D03* -X60071000Y-121793000D03* -D22* -X60071000Y-119253000D03* -X67691000Y-118237000D03* -X67691000Y-120269000D03* -D17* -G36* -X107681762Y-97460578D02* -G01* -X107693411Y-97462306D01* -X107704834Y-97465167D01* -X107715922Y-97469134D01* -X107726568Y-97474169D01* -X107736668Y-97480224D01* -X107746127Y-97487239D01* -X107754853Y-97495147D01* -X107762761Y-97503873D01* -X107769776Y-97513332D01* -X107775831Y-97523432D01* -X107780866Y-97534078D01* -X107784833Y-97545166D01* -X107787694Y-97556589D01* -X107789422Y-97568238D01* -X107790000Y-97580000D01* -X107790000Y-98420000D01* -X107789422Y-98431762D01* -X107787694Y-98443411D01* -X107784833Y-98454834D01* -X107780866Y-98465922D01* -X107775831Y-98476568D01* -X107769776Y-98486668D01* -X107762761Y-98496127D01* -X107754853Y-98504853D01* -X107746127Y-98512761D01* -X107736668Y-98519776D01* -X107726568Y-98525831D01* -X107715922Y-98530866D01* -X107704834Y-98534833D01* -X107693411Y-98537694D01* -X107681762Y-98539422D01* -X107670000Y-98540000D01* -X107430000Y-98540000D01* -X107418238Y-98539422D01* -X107406589Y-98537694D01* -X107395166Y-98534833D01* -X107384078Y-98530866D01* -X107373432Y-98525831D01* -X107363332Y-98519776D01* -X107353873Y-98512761D01* -X107345147Y-98504853D01* -X107337239Y-98496127D01* -X107330224Y-98486668D01* -X107324169Y-98476568D01* -X107319134Y-98465922D01* -X107315167Y-98454834D01* -X107312306Y-98443411D01* -X107310578Y-98431762D01* -X107310000Y-98420000D01* -X107310000Y-97580000D01* -X107310578Y-97568238D01* -X107312306Y-97556589D01* -X107315167Y-97545166D01* -X107319134Y-97534078D01* -X107324169Y-97523432D01* -X107330224Y-97513332D01* -X107337239Y-97503873D01* -X107345147Y-97495147D01* -X107353873Y-97487239D01* -X107363332Y-97480224D01* -X107373432Y-97474169D01* -X107384078Y-97469134D01* -X107395166Y-97465167D01* -X107406589Y-97462306D01* -X107418238Y-97460578D01* -X107430000Y-97460000D01* -X107670000Y-97460000D01* -X107681762Y-97460578D01* -G37* -G36* -X108981762Y-97460578D02* -G01* -X108993411Y-97462306D01* -X109004834Y-97465167D01* -X109015922Y-97469134D01* -X109026568Y-97474169D01* -X109036668Y-97480224D01* -X109046127Y-97487239D01* -X109054853Y-97495147D01* -X109062761Y-97503873D01* -X109069776Y-97513332D01* -X109075831Y-97523432D01* -X109080866Y-97534078D01* -X109084833Y-97545166D01* -X109087694Y-97556589D01* -X109089422Y-97568238D01* -X109090000Y-97580000D01* -X109090000Y-98420000D01* -X109089422Y-98431762D01* -X109087694Y-98443411D01* -X109084833Y-98454834D01* -X109080866Y-98465922D01* -X109075831Y-98476568D01* -X109069776Y-98486668D01* -X109062761Y-98496127D01* -X109054853Y-98504853D01* -X109046127Y-98512761D01* -X109036668Y-98519776D01* -X109026568Y-98525831D01* -X109015922Y-98530866D01* -X109004834Y-98534833D01* -X108993411Y-98537694D01* -X108981762Y-98539422D01* -X108970000Y-98540000D01* -X108730000Y-98540000D01* -X108718238Y-98539422D01* -X108706589Y-98537694D01* -X108695166Y-98534833D01* -X108684078Y-98530866D01* -X108673432Y-98525831D01* -X108663332Y-98519776D01* -X108653873Y-98512761D01* -X108645147Y-98504853D01* -X108637239Y-98496127D01* -X108630224Y-98486668D01* -X108624169Y-98476568D01* -X108619134Y-98465922D01* -X108615167Y-98454834D01* -X108612306Y-98443411D01* -X108610578Y-98431762D01* -X108610000Y-98420000D01* -X108610000Y-97580000D01* -X108610578Y-97568238D01* -X108612306Y-97556589D01* -X108615167Y-97545166D01* -X108619134Y-97534078D01* -X108624169Y-97523432D01* -X108630224Y-97513332D01* -X108637239Y-97503873D01* -X108645147Y-97495147D01* -X108653873Y-97487239D01* -X108663332Y-97480224D01* -X108673432Y-97474169D01* -X108684078Y-97469134D01* -X108695166Y-97465167D01* -X108706589Y-97462306D01* -X108718238Y-97460578D01* -X108730000Y-97460000D01* -X108970000Y-97460000D01* -X108981762Y-97460578D01* -G37* -G36* -X108331762Y-99160578D02* -G01* -X108343411Y-99162306D01* -X108354834Y-99165167D01* -X108365922Y-99169134D01* -X108376568Y-99174169D01* -X108386668Y-99180224D01* -X108396127Y-99187239D01* -X108404853Y-99195147D01* -X108412761Y-99203873D01* -X108419776Y-99213332D01* -X108425831Y-99223432D01* -X108430866Y-99234078D01* -X108434833Y-99245166D01* -X108437694Y-99256589D01* -X108439422Y-99268238D01* -X108440000Y-99280000D01* -X108440000Y-100120000D01* -X108439422Y-100131762D01* -X108437694Y-100143411D01* -X108434833Y-100154834D01* -X108430866Y-100165922D01* -X108425831Y-100176568D01* -X108419776Y-100186668D01* -X108412761Y-100196127D01* -X108404853Y-100204853D01* -X108396127Y-100212761D01* -X108386668Y-100219776D01* -X108376568Y-100225831D01* -X108365922Y-100230866D01* -X108354834Y-100234833D01* -X108343411Y-100237694D01* -X108331762Y-100239422D01* -X108320000Y-100240000D01* -X108080000Y-100240000D01* -X108068238Y-100239422D01* -X108056589Y-100237694D01* -X108045166Y-100234833D01* -X108034078Y-100230866D01* -X108023432Y-100225831D01* -X108013332Y-100219776D01* -X108003873Y-100212761D01* -X107995147Y-100204853D01* -X107987239Y-100196127D01* -X107980224Y-100186668D01* -X107974169Y-100176568D01* -X107969134Y-100165922D01* -X107965167Y-100154834D01* -X107962306Y-100143411D01* -X107960578Y-100131762D01* -X107960000Y-100120000D01* -X107960000Y-99280000D01* -X107960578Y-99268238D01* -X107962306Y-99256589D01* -X107965167Y-99245166D01* -X107969134Y-99234078D01* -X107974169Y-99223432D01* -X107980224Y-99213332D01* -X107987239Y-99203873D01* -X107995147Y-99195147D01* -X108003873Y-99187239D01* -X108013332Y-99180224D01* -X108023432Y-99174169D01* -X108034078Y-99169134D01* -X108045166Y-99165167D01* -X108056589Y-99162306D01* -X108068238Y-99160578D01* -X108080000Y-99160000D01* -X108320000Y-99160000D01* -X108331762Y-99160578D01* -G37* -G36* -X107681762Y-99160578D02* -G01* -X107693411Y-99162306D01* -X107704834Y-99165167D01* -X107715922Y-99169134D01* -X107726568Y-99174169D01* -X107736668Y-99180224D01* -X107746127Y-99187239D01* -X107754853Y-99195147D01* -X107762761Y-99203873D01* -X107769776Y-99213332D01* -X107775831Y-99223432D01* -X107780866Y-99234078D01* -X107784833Y-99245166D01* -X107787694Y-99256589D01* -X107789422Y-99268238D01* -X107790000Y-99280000D01* -X107790000Y-100120000D01* -X107789422Y-100131762D01* -X107787694Y-100143411D01* -X107784833Y-100154834D01* -X107780866Y-100165922D01* -X107775831Y-100176568D01* -X107769776Y-100186668D01* -X107762761Y-100196127D01* -X107754853Y-100204853D01* -X107746127Y-100212761D01* -X107736668Y-100219776D01* -X107726568Y-100225831D01* -X107715922Y-100230866D01* -X107704834Y-100234833D01* -X107693411Y-100237694D01* -X107681762Y-100239422D01* -X107670000Y-100240000D01* -X107430000Y-100240000D01* -X107418238Y-100239422D01* -X107406589Y-100237694D01* -X107395166Y-100234833D01* -X107384078Y-100230866D01* -X107373432Y-100225831D01* -X107363332Y-100219776D01* -X107353873Y-100212761D01* -X107345147Y-100204853D01* -X107337239Y-100196127D01* -X107330224Y-100186668D01* -X107324169Y-100176568D01* -X107319134Y-100165922D01* -X107315167Y-100154834D01* -X107312306Y-100143411D01* -X107310578Y-100131762D01* -X107310000Y-100120000D01* -X107310000Y-99280000D01* -X107310578Y-99268238D01* -X107312306Y-99256589D01* -X107315167Y-99245166D01* -X107319134Y-99234078D01* -X107324169Y-99223432D01* -X107330224Y-99213332D01* -X107337239Y-99203873D01* -X107345147Y-99195147D01* -X107353873Y-99187239D01* -X107363332Y-99180224D01* -X107373432Y-99174169D01* -X107384078Y-99169134D01* -X107395166Y-99165167D01* -X107406589Y-99162306D01* -X107418238Y-99160578D01* -X107430000Y-99160000D01* -X107670000Y-99160000D01* -X107681762Y-99160578D01* -G37* -G36* -X108981762Y-99160578D02* -G01* -X108993411Y-99162306D01* -X109004834Y-99165167D01* -X109015922Y-99169134D01* -X109026568Y-99174169D01* -X109036668Y-99180224D01* -X109046127Y-99187239D01* -X109054853Y-99195147D01* -X109062761Y-99203873D01* -X109069776Y-99213332D01* -X109075831Y-99223432D01* -X109080866Y-99234078D01* -X109084833Y-99245166D01* -X109087694Y-99256589D01* -X109089422Y-99268238D01* -X109090000Y-99280000D01* -X109090000Y-100120000D01* -X109089422Y-100131762D01* -X109087694Y-100143411D01* -X109084833Y-100154834D01* -X109080866Y-100165922D01* -X109075831Y-100176568D01* -X109069776Y-100186668D01* -X109062761Y-100196127D01* -X109054853Y-100204853D01* -X109046127Y-100212761D01* -X109036668Y-100219776D01* -X109026568Y-100225831D01* -X109015922Y-100230866D01* -X109004834Y-100234833D01* -X108993411Y-100237694D01* -X108981762Y-100239422D01* -X108970000Y-100240000D01* -X108730000Y-100240000D01* -X108718238Y-100239422D01* -X108706589Y-100237694D01* -X108695166Y-100234833D01* -X108684078Y-100230866D01* -X108673432Y-100225831D01* -X108663332Y-100219776D01* -X108653873Y-100212761D01* -X108645147Y-100204853D01* -X108637239Y-100196127D01* -X108630224Y-100186668D01* -X108624169Y-100176568D01* -X108619134Y-100165922D01* -X108615167Y-100154834D01* -X108612306Y-100143411D01* -X108610578Y-100131762D01* -X108610000Y-100120000D01* -X108610000Y-99280000D01* -X108610578Y-99268238D01* -X108612306Y-99256589D01* -X108615167Y-99245166D01* -X108619134Y-99234078D01* -X108624169Y-99223432D01* -X108630224Y-99213332D01* -X108637239Y-99203873D01* -X108645147Y-99195147D01* -X108653873Y-99187239D01* -X108663332Y-99180224D01* -X108673432Y-99174169D01* -X108684078Y-99169134D01* -X108695166Y-99165167D01* -X108706589Y-99162306D01* -X108718238Y-99160578D01* -X108730000Y-99160000D01* -X108970000Y-99160000D01* -X108981762Y-99160578D01* -G37* -G36* -X125079173Y-113171672D02* -G01* -X125092715Y-113173680D01* -X125105995Y-113177007D01* -X125118884Y-113181619D01* -X125131260Y-113187472D01* -X125143002Y-113194510D01* -X125153998Y-113202665D01* -X125164141Y-113211859D01* -X125173335Y-113222002D01* -X125181490Y-113232998D01* -X125188528Y-113244740D01* -X125194381Y-113257116D01* -X125198993Y-113270005D01* -X125202320Y-113283285D01* -X125204328Y-113296827D01* -X125205000Y-113310500D01* -X125205000Y-113589500D01* -X125204328Y-113603173D01* -X125202320Y-113616715D01* -X125198993Y-113629995D01* -X125194381Y-113642884D01* -X125188528Y-113655260D01* -X125181490Y-113667002D01* -X125173335Y-113677998D01* -X125164141Y-113688141D01* -X125153998Y-113697335D01* -X125143002Y-113705490D01* -X125131260Y-113712528D01* -X125118884Y-113718381D01* -X125105995Y-113722993D01* -X125092715Y-113726320D01* -X125079173Y-113728328D01* -X125065500Y-113729000D01* -X123734500Y-113729000D01* -X123720827Y-113728328D01* -X123707285Y-113726320D01* -X123694005Y-113722993D01* -X123681116Y-113718381D01* -X123668740Y-113712528D01* -X123656998Y-113705490D01* -X123646002Y-113697335D01* -X123635859Y-113688141D01* -X123626665Y-113677998D01* -X123618510Y-113667002D01* -X123611472Y-113655260D01* -X123605619Y-113642884D01* -X123601007Y-113629995D01* -X123597680Y-113616715D01* -X123595672Y-113603173D01* -X123595000Y-113589500D01* -X123595000Y-113310500D01* -X123595672Y-113296827D01* -X123597680Y-113283285D01* -X123601007Y-113270005D01* -X123605619Y-113257116D01* -X123611472Y-113244740D01* -X123618510Y-113232998D01* -X123626665Y-113222002D01* -X123635859Y-113211859D01* -X123646002Y-113202665D01* -X123656998Y-113194510D01* -X123668740Y-113187472D01* -X123681116Y-113181619D01* -X123694005Y-113177007D01* -X123707285Y-113173680D01* -X123720827Y-113171672D01* -X123734500Y-113171000D01* -X125065500Y-113171000D01* -X125079173Y-113171672D01* -G37* -G36* -X125079173Y-112371672D02* -G01* -X125092715Y-112373680D01* -X125105995Y-112377007D01* -X125118884Y-112381619D01* -X125131260Y-112387472D01* -X125143002Y-112394510D01* -X125153998Y-112402665D01* -X125164141Y-112411859D01* -X125173335Y-112422002D01* -X125181490Y-112432998D01* -X125188528Y-112444740D01* -X125194381Y-112457116D01* -X125198993Y-112470005D01* -X125202320Y-112483285D01* -X125204328Y-112496827D01* -X125205000Y-112510500D01* -X125205000Y-112789500D01* -X125204328Y-112803173D01* -X125202320Y-112816715D01* -X125198993Y-112829995D01* -X125194381Y-112842884D01* -X125188528Y-112855260D01* -X125181490Y-112867002D01* -X125173335Y-112877998D01* -X125164141Y-112888141D01* -X125153998Y-112897335D01* -X125143002Y-112905490D01* -X125131260Y-112912528D01* -X125118884Y-112918381D01* -X125105995Y-112922993D01* -X125092715Y-112926320D01* -X125079173Y-112928328D01* -X125065500Y-112929000D01* -X123734500Y-112929000D01* -X123720827Y-112928328D01* -X123707285Y-112926320D01* -X123694005Y-112922993D01* -X123681116Y-112918381D01* -X123668740Y-112912528D01* -X123656998Y-112905490D01* -X123646002Y-112897335D01* -X123635859Y-112888141D01* -X123626665Y-112877998D01* -X123618510Y-112867002D01* -X123611472Y-112855260D01* -X123605619Y-112842884D01* -X123601007Y-112829995D01* -X123597680Y-112816715D01* -X123595672Y-112803173D01* -X123595000Y-112789500D01* -X123595000Y-112510500D01* -X123595672Y-112496827D01* -X123597680Y-112483285D01* -X123601007Y-112470005D01* -X123605619Y-112457116D01* -X123611472Y-112444740D01* -X123618510Y-112432998D01* -X123626665Y-112422002D01* -X123635859Y-112411859D01* -X123646002Y-112402665D01* -X123656998Y-112394510D01* -X123668740Y-112387472D01* -X123681116Y-112381619D01* -X123694005Y-112377007D01* -X123707285Y-112373680D01* -X123720827Y-112371672D01* -X123734500Y-112371000D01* -X125065500Y-112371000D01* -X125079173Y-112371672D01* -G37* -G36* -X125079173Y-111571672D02* -G01* -X125092715Y-111573680D01* -X125105995Y-111577007D01* -X125118884Y-111581619D01* -X125131260Y-111587472D01* -X125143002Y-111594510D01* -X125153998Y-111602665D01* -X125164141Y-111611859D01* -X125173335Y-111622002D01* -X125181490Y-111632998D01* -X125188528Y-111644740D01* -X125194381Y-111657116D01* -X125198993Y-111670005D01* -X125202320Y-111683285D01* -X125204328Y-111696827D01* -X125205000Y-111710500D01* -X125205000Y-111989500D01* -X125204328Y-112003173D01* -X125202320Y-112016715D01* -X125198993Y-112029995D01* -X125194381Y-112042884D01* -X125188528Y-112055260D01* -X125181490Y-112067002D01* -X125173335Y-112077998D01* -X125164141Y-112088141D01* -X125153998Y-112097335D01* -X125143002Y-112105490D01* -X125131260Y-112112528D01* -X125118884Y-112118381D01* -X125105995Y-112122993D01* -X125092715Y-112126320D01* -X125079173Y-112128328D01* -X125065500Y-112129000D01* -X123734500Y-112129000D01* -X123720827Y-112128328D01* -X123707285Y-112126320D01* -X123694005Y-112122993D01* -X123681116Y-112118381D01* -X123668740Y-112112528D01* -X123656998Y-112105490D01* -X123646002Y-112097335D01* -X123635859Y-112088141D01* -X123626665Y-112077998D01* -X123618510Y-112067002D01* -X123611472Y-112055260D01* -X123605619Y-112042884D01* -X123601007Y-112029995D01* -X123597680Y-112016715D01* -X123595672Y-112003173D01* -X123595000Y-111989500D01* -X123595000Y-111710500D01* -X123595672Y-111696827D01* -X123597680Y-111683285D01* -X123601007Y-111670005D01* -X123605619Y-111657116D01* -X123611472Y-111644740D01* -X123618510Y-111632998D01* -X123626665Y-111622002D01* -X123635859Y-111611859D01* -X123646002Y-111602665D01* -X123656998Y-111594510D01* -X123668740Y-111587472D01* -X123681116Y-111581619D01* -X123694005Y-111577007D01* -X123707285Y-111573680D01* -X123720827Y-111571672D01* -X123734500Y-111571000D01* -X125065500Y-111571000D01* -X125079173Y-111571672D01* -G37* -G36* -X125079173Y-110771672D02* -G01* -X125092715Y-110773680D01* -X125105995Y-110777007D01* -X125118884Y-110781619D01* -X125131260Y-110787472D01* -X125143002Y-110794510D01* -X125153998Y-110802665D01* -X125164141Y-110811859D01* -X125173335Y-110822002D01* -X125181490Y-110832998D01* -X125188528Y-110844740D01* -X125194381Y-110857116D01* -X125198993Y-110870005D01* -X125202320Y-110883285D01* -X125204328Y-110896827D01* -X125205000Y-110910500D01* -X125205000Y-111189500D01* -X125204328Y-111203173D01* -X125202320Y-111216715D01* -X125198993Y-111229995D01* -X125194381Y-111242884D01* -X125188528Y-111255260D01* -X125181490Y-111267002D01* -X125173335Y-111277998D01* -X125164141Y-111288141D01* -X125153998Y-111297335D01* -X125143002Y-111305490D01* -X125131260Y-111312528D01* -X125118884Y-111318381D01* -X125105995Y-111322993D01* -X125092715Y-111326320D01* -X125079173Y-111328328D01* -X125065500Y-111329000D01* -X123734500Y-111329000D01* -X123720827Y-111328328D01* -X123707285Y-111326320D01* -X123694005Y-111322993D01* -X123681116Y-111318381D01* -X123668740Y-111312528D01* -X123656998Y-111305490D01* -X123646002Y-111297335D01* -X123635859Y-111288141D01* -X123626665Y-111277998D01* -X123618510Y-111267002D01* -X123611472Y-111255260D01* -X123605619Y-111242884D01* -X123601007Y-111229995D01* -X123597680Y-111216715D01* -X123595672Y-111203173D01* -X123595000Y-111189500D01* -X123595000Y-110910500D01* -X123595672Y-110896827D01* -X123597680Y-110883285D01* -X123601007Y-110870005D01* -X123605619Y-110857116D01* -X123611472Y-110844740D01* -X123618510Y-110832998D01* -X123626665Y-110822002D01* -X123635859Y-110811859D01* -X123646002Y-110802665D01* -X123656998Y-110794510D01* -X123668740Y-110787472D01* -X123681116Y-110781619D01* -X123694005Y-110777007D01* -X123707285Y-110773680D01* -X123720827Y-110771672D01* -X123734500Y-110771000D01* -X125065500Y-110771000D01* -X125079173Y-110771672D01* -G37* -G36* -X125079173Y-109971672D02* -G01* -X125092715Y-109973680D01* -X125105995Y-109977007D01* -X125118884Y-109981619D01* -X125131260Y-109987472D01* -X125143002Y-109994510D01* -X125153998Y-110002665D01* -X125164141Y-110011859D01* -X125173335Y-110022002D01* -X125181490Y-110032998D01* -X125188528Y-110044740D01* -X125194381Y-110057116D01* -X125198993Y-110070005D01* -X125202320Y-110083285D01* -X125204328Y-110096827D01* -X125205000Y-110110500D01* -X125205000Y-110389500D01* -X125204328Y-110403173D01* -X125202320Y-110416715D01* -X125198993Y-110429995D01* -X125194381Y-110442884D01* -X125188528Y-110455260D01* -X125181490Y-110467002D01* -X125173335Y-110477998D01* -X125164141Y-110488141D01* -X125153998Y-110497335D01* -X125143002Y-110505490D01* -X125131260Y-110512528D01* -X125118884Y-110518381D01* -X125105995Y-110522993D01* -X125092715Y-110526320D01* -X125079173Y-110528328D01* -X125065500Y-110529000D01* -X123734500Y-110529000D01* -X123720827Y-110528328D01* -X123707285Y-110526320D01* -X123694005Y-110522993D01* -X123681116Y-110518381D01* -X123668740Y-110512528D01* -X123656998Y-110505490D01* -X123646002Y-110497335D01* -X123635859Y-110488141D01* -X123626665Y-110477998D01* -X123618510Y-110467002D01* -X123611472Y-110455260D01* -X123605619Y-110442884D01* -X123601007Y-110429995D01* -X123597680Y-110416715D01* -X123595672Y-110403173D01* -X123595000Y-110389500D01* -X123595000Y-110110500D01* -X123595672Y-110096827D01* -X123597680Y-110083285D01* -X123601007Y-110070005D01* -X123605619Y-110057116D01* -X123611472Y-110044740D01* -X123618510Y-110032998D01* -X123626665Y-110022002D01* -X123635859Y-110011859D01* -X123646002Y-110002665D01* -X123656998Y-109994510D01* -X123668740Y-109987472D01* -X123681116Y-109981619D01* -X123694005Y-109977007D01* -X123707285Y-109973680D01* -X123720827Y-109971672D01* -X123734500Y-109971000D01* -X125065500Y-109971000D01* -X125079173Y-109971672D01* -G37* -G36* -X125079173Y-109171672D02* -G01* -X125092715Y-109173680D01* -X125105995Y-109177007D01* -X125118884Y-109181619D01* -X125131260Y-109187472D01* -X125143002Y-109194510D01* -X125153998Y-109202665D01* -X125164141Y-109211859D01* -X125173335Y-109222002D01* -X125181490Y-109232998D01* -X125188528Y-109244740D01* -X125194381Y-109257116D01* -X125198993Y-109270005D01* -X125202320Y-109283285D01* -X125204328Y-109296827D01* -X125205000Y-109310500D01* -X125205000Y-109589500D01* -X125204328Y-109603173D01* -X125202320Y-109616715D01* -X125198993Y-109629995D01* -X125194381Y-109642884D01* -X125188528Y-109655260D01* -X125181490Y-109667002D01* -X125173335Y-109677998D01* -X125164141Y-109688141D01* -X125153998Y-109697335D01* -X125143002Y-109705490D01* -X125131260Y-109712528D01* -X125118884Y-109718381D01* -X125105995Y-109722993D01* -X125092715Y-109726320D01* -X125079173Y-109728328D01* -X125065500Y-109729000D01* -X123734500Y-109729000D01* -X123720827Y-109728328D01* -X123707285Y-109726320D01* -X123694005Y-109722993D01* -X123681116Y-109718381D01* -X123668740Y-109712528D01* -X123656998Y-109705490D01* -X123646002Y-109697335D01* -X123635859Y-109688141D01* -X123626665Y-109677998D01* -X123618510Y-109667002D01* -X123611472Y-109655260D01* -X123605619Y-109642884D01* -X123601007Y-109629995D01* -X123597680Y-109616715D01* -X123595672Y-109603173D01* -X123595000Y-109589500D01* -X123595000Y-109310500D01* -X123595672Y-109296827D01* -X123597680Y-109283285D01* -X123601007Y-109270005D01* -X123605619Y-109257116D01* -X123611472Y-109244740D01* -X123618510Y-109232998D01* -X123626665Y-109222002D01* -X123635859Y-109211859D01* -X123646002Y-109202665D01* -X123656998Y-109194510D01* -X123668740Y-109187472D01* -X123681116Y-109181619D01* -X123694005Y-109177007D01* -X123707285Y-109173680D01* -X123720827Y-109171672D01* -X123734500Y-109171000D01* -X125065500Y-109171000D01* -X125079173Y-109171672D01* -G37* -G36* -X125079173Y-108371672D02* -G01* -X125092715Y-108373680D01* -X125105995Y-108377007D01* -X125118884Y-108381619D01* -X125131260Y-108387472D01* -X125143002Y-108394510D01* -X125153998Y-108402665D01* -X125164141Y-108411859D01* -X125173335Y-108422002D01* -X125181490Y-108432998D01* -X125188528Y-108444740D01* -X125194381Y-108457116D01* -X125198993Y-108470005D01* -X125202320Y-108483285D01* -X125204328Y-108496827D01* -X125205000Y-108510500D01* -X125205000Y-108789500D01* -X125204328Y-108803173D01* -X125202320Y-108816715D01* -X125198993Y-108829995D01* -X125194381Y-108842884D01* -X125188528Y-108855260D01* -X125181490Y-108867002D01* -X125173335Y-108877998D01* -X125164141Y-108888141D01* -X125153998Y-108897335D01* -X125143002Y-108905490D01* -X125131260Y-108912528D01* -X125118884Y-108918381D01* -X125105995Y-108922993D01* -X125092715Y-108926320D01* -X125079173Y-108928328D01* -X125065500Y-108929000D01* -X123734500Y-108929000D01* -X123720827Y-108928328D01* -X123707285Y-108926320D01* -X123694005Y-108922993D01* -X123681116Y-108918381D01* -X123668740Y-108912528D01* -X123656998Y-108905490D01* -X123646002Y-108897335D01* -X123635859Y-108888141D01* -X123626665Y-108877998D01* -X123618510Y-108867002D01* -X123611472Y-108855260D01* -X123605619Y-108842884D01* -X123601007Y-108829995D01* -X123597680Y-108816715D01* -X123595672Y-108803173D01* -X123595000Y-108789500D01* -X123595000Y-108510500D01* -X123595672Y-108496827D01* -X123597680Y-108483285D01* -X123601007Y-108470005D01* -X123605619Y-108457116D01* -X123611472Y-108444740D01* -X123618510Y-108432998D01* -X123626665Y-108422002D01* -X123635859Y-108411859D01* -X123646002Y-108402665D01* -X123656998Y-108394510D01* -X123668740Y-108387472D01* -X123681116Y-108381619D01* -X123694005Y-108377007D01* -X123707285Y-108373680D01* -X123720827Y-108371672D01* -X123734500Y-108371000D01* -X125065500Y-108371000D01* -X125079173Y-108371672D01* -G37* -G36* -X125079173Y-107571672D02* -G01* -X125092715Y-107573680D01* -X125105995Y-107577007D01* -X125118884Y-107581619D01* -X125131260Y-107587472D01* -X125143002Y-107594510D01* -X125153998Y-107602665D01* -X125164141Y-107611859D01* -X125173335Y-107622002D01* -X125181490Y-107632998D01* -X125188528Y-107644740D01* -X125194381Y-107657116D01* -X125198993Y-107670005D01* -X125202320Y-107683285D01* -X125204328Y-107696827D01* -X125205000Y-107710500D01* -X125205000Y-107989500D01* -X125204328Y-108003173D01* -X125202320Y-108016715D01* -X125198993Y-108029995D01* -X125194381Y-108042884D01* -X125188528Y-108055260D01* -X125181490Y-108067002D01* -X125173335Y-108077998D01* -X125164141Y-108088141D01* -X125153998Y-108097335D01* -X125143002Y-108105490D01* -X125131260Y-108112528D01* -X125118884Y-108118381D01* -X125105995Y-108122993D01* -X125092715Y-108126320D01* -X125079173Y-108128328D01* -X125065500Y-108129000D01* -X123734500Y-108129000D01* -X123720827Y-108128328D01* -X123707285Y-108126320D01* -X123694005Y-108122993D01* -X123681116Y-108118381D01* -X123668740Y-108112528D01* -X123656998Y-108105490D01* -X123646002Y-108097335D01* -X123635859Y-108088141D01* -X123626665Y-108077998D01* -X123618510Y-108067002D01* -X123611472Y-108055260D01* -X123605619Y-108042884D01* -X123601007Y-108029995D01* -X123597680Y-108016715D01* -X123595672Y-108003173D01* -X123595000Y-107989500D01* -X123595000Y-107710500D01* -X123595672Y-107696827D01* -X123597680Y-107683285D01* -X123601007Y-107670005D01* -X123605619Y-107657116D01* -X123611472Y-107644740D01* -X123618510Y-107632998D01* -X123626665Y-107622002D01* -X123635859Y-107611859D01* -X123646002Y-107602665D01* -X123656998Y-107594510D01* -X123668740Y-107587472D01* -X123681116Y-107581619D01* -X123694005Y-107577007D01* -X123707285Y-107573680D01* -X123720827Y-107571672D01* -X123734500Y-107571000D01* -X125065500Y-107571000D01* -X125079173Y-107571672D01* -G37* -G36* -X125079173Y-106771672D02* -G01* -X125092715Y-106773680D01* -X125105995Y-106777007D01* -X125118884Y-106781619D01* -X125131260Y-106787472D01* -X125143002Y-106794510D01* -X125153998Y-106802665D01* -X125164141Y-106811859D01* -X125173335Y-106822002D01* -X125181490Y-106832998D01* -X125188528Y-106844740D01* -X125194381Y-106857116D01* -X125198993Y-106870005D01* -X125202320Y-106883285D01* -X125204328Y-106896827D01* -X125205000Y-106910500D01* -X125205000Y-107189500D01* -X125204328Y-107203173D01* -X125202320Y-107216715D01* -X125198993Y-107229995D01* -X125194381Y-107242884D01* -X125188528Y-107255260D01* -X125181490Y-107267002D01* -X125173335Y-107277998D01* -X125164141Y-107288141D01* -X125153998Y-107297335D01* -X125143002Y-107305490D01* -X125131260Y-107312528D01* -X125118884Y-107318381D01* -X125105995Y-107322993D01* -X125092715Y-107326320D01* -X125079173Y-107328328D01* -X125065500Y-107329000D01* -X123734500Y-107329000D01* -X123720827Y-107328328D01* -X123707285Y-107326320D01* -X123694005Y-107322993D01* -X123681116Y-107318381D01* -X123668740Y-107312528D01* -X123656998Y-107305490D01* -X123646002Y-107297335D01* -X123635859Y-107288141D01* -X123626665Y-107277998D01* -X123618510Y-107267002D01* -X123611472Y-107255260D01* -X123605619Y-107242884D01* -X123601007Y-107229995D01* -X123597680Y-107216715D01* -X123595672Y-107203173D01* -X123595000Y-107189500D01* -X123595000Y-106910500D01* -X123595672Y-106896827D01* -X123597680Y-106883285D01* -X123601007Y-106870005D01* -X123605619Y-106857116D01* -X123611472Y-106844740D01* -X123618510Y-106832998D01* -X123626665Y-106822002D01* -X123635859Y-106811859D01* -X123646002Y-106802665D01* -X123656998Y-106794510D01* -X123668740Y-106787472D01* -X123681116Y-106781619D01* -X123694005Y-106777007D01* -X123707285Y-106773680D01* -X123720827Y-106771672D01* -X123734500Y-106771000D01* -X125065500Y-106771000D01* -X125079173Y-106771672D01* -G37* -G36* -X125079173Y-105971672D02* -G01* -X125092715Y-105973680D01* -X125105995Y-105977007D01* -X125118884Y-105981619D01* -X125131260Y-105987472D01* -X125143002Y-105994510D01* -X125153998Y-106002665D01* -X125164141Y-106011859D01* -X125173335Y-106022002D01* -X125181490Y-106032998D01* -X125188528Y-106044740D01* -X125194381Y-106057116D01* -X125198993Y-106070005D01* -X125202320Y-106083285D01* -X125204328Y-106096827D01* -X125205000Y-106110500D01* -X125205000Y-106389500D01* -X125204328Y-106403173D01* -X125202320Y-106416715D01* -X125198993Y-106429995D01* -X125194381Y-106442884D01* -X125188528Y-106455260D01* -X125181490Y-106467002D01* -X125173335Y-106477998D01* -X125164141Y-106488141D01* -X125153998Y-106497335D01* -X125143002Y-106505490D01* -X125131260Y-106512528D01* -X125118884Y-106518381D01* -X125105995Y-106522993D01* -X125092715Y-106526320D01* -X125079173Y-106528328D01* -X125065500Y-106529000D01* -X123734500Y-106529000D01* -X123720827Y-106528328D01* -X123707285Y-106526320D01* -X123694005Y-106522993D01* -X123681116Y-106518381D01* -X123668740Y-106512528D01* -X123656998Y-106505490D01* -X123646002Y-106497335D01* -X123635859Y-106488141D01* -X123626665Y-106477998D01* -X123618510Y-106467002D01* -X123611472Y-106455260D01* -X123605619Y-106442884D01* -X123601007Y-106429995D01* -X123597680Y-106416715D01* -X123595672Y-106403173D01* -X123595000Y-106389500D01* -X123595000Y-106110500D01* -X123595672Y-106096827D01* -X123597680Y-106083285D01* -X123601007Y-106070005D01* -X123605619Y-106057116D01* -X123611472Y-106044740D01* -X123618510Y-106032998D01* -X123626665Y-106022002D01* -X123635859Y-106011859D01* -X123646002Y-106002665D01* -X123656998Y-105994510D01* -X123668740Y-105987472D01* -X123681116Y-105981619D01* -X123694005Y-105977007D01* -X123707285Y-105973680D01* -X123720827Y-105971672D01* -X123734500Y-105971000D01* -X125065500Y-105971000D01* -X125079173Y-105971672D01* -G37* -G36* -X125079173Y-105171672D02* -G01* -X125092715Y-105173680D01* -X125105995Y-105177007D01* -X125118884Y-105181619D01* -X125131260Y-105187472D01* -X125143002Y-105194510D01* -X125153998Y-105202665D01* -X125164141Y-105211859D01* -X125173335Y-105222002D01* -X125181490Y-105232998D01* -X125188528Y-105244740D01* -X125194381Y-105257116D01* -X125198993Y-105270005D01* -X125202320Y-105283285D01* -X125204328Y-105296827D01* -X125205000Y-105310500D01* -X125205000Y-105589500D01* -X125204328Y-105603173D01* -X125202320Y-105616715D01* -X125198993Y-105629995D01* -X125194381Y-105642884D01* -X125188528Y-105655260D01* -X125181490Y-105667002D01* -X125173335Y-105677998D01* -X125164141Y-105688141D01* -X125153998Y-105697335D01* -X125143002Y-105705490D01* -X125131260Y-105712528D01* -X125118884Y-105718381D01* -X125105995Y-105722993D01* -X125092715Y-105726320D01* -X125079173Y-105728328D01* -X125065500Y-105729000D01* -X123734500Y-105729000D01* -X123720827Y-105728328D01* -X123707285Y-105726320D01* -X123694005Y-105722993D01* -X123681116Y-105718381D01* -X123668740Y-105712528D01* -X123656998Y-105705490D01* -X123646002Y-105697335D01* -X123635859Y-105688141D01* -X123626665Y-105677998D01* -X123618510Y-105667002D01* -X123611472Y-105655260D01* -X123605619Y-105642884D01* -X123601007Y-105629995D01* -X123597680Y-105616715D01* -X123595672Y-105603173D01* -X123595000Y-105589500D01* -X123595000Y-105310500D01* -X123595672Y-105296827D01* -X123597680Y-105283285D01* -X123601007Y-105270005D01* -X123605619Y-105257116D01* -X123611472Y-105244740D01* -X123618510Y-105232998D01* -X123626665Y-105222002D01* -X123635859Y-105211859D01* -X123646002Y-105202665D01* -X123656998Y-105194510D01* -X123668740Y-105187472D01* -X123681116Y-105181619D01* -X123694005Y-105177007D01* -X123707285Y-105173680D01* -X123720827Y-105171672D01* -X123734500Y-105171000D01* -X125065500Y-105171000D01* -X125079173Y-105171672D01* -G37* -G36* -X125079173Y-104371672D02* -G01* -X125092715Y-104373680D01* -X125105995Y-104377007D01* -X125118884Y-104381619D01* -X125131260Y-104387472D01* -X125143002Y-104394510D01* -X125153998Y-104402665D01* -X125164141Y-104411859D01* -X125173335Y-104422002D01* -X125181490Y-104432998D01* -X125188528Y-104444740D01* -X125194381Y-104457116D01* -X125198993Y-104470005D01* -X125202320Y-104483285D01* -X125204328Y-104496827D01* -X125205000Y-104510500D01* -X125205000Y-104789500D01* -X125204328Y-104803173D01* -X125202320Y-104816715D01* -X125198993Y-104829995D01* -X125194381Y-104842884D01* -X125188528Y-104855260D01* -X125181490Y-104867002D01* -X125173335Y-104877998D01* -X125164141Y-104888141D01* -X125153998Y-104897335D01* -X125143002Y-104905490D01* -X125131260Y-104912528D01* -X125118884Y-104918381D01* -X125105995Y-104922993D01* -X125092715Y-104926320D01* -X125079173Y-104928328D01* -X125065500Y-104929000D01* -X123734500Y-104929000D01* -X123720827Y-104928328D01* -X123707285Y-104926320D01* -X123694005Y-104922993D01* -X123681116Y-104918381D01* -X123668740Y-104912528D01* -X123656998Y-104905490D01* -X123646002Y-104897335D01* -X123635859Y-104888141D01* -X123626665Y-104877998D01* -X123618510Y-104867002D01* -X123611472Y-104855260D01* -X123605619Y-104842884D01* -X123601007Y-104829995D01* -X123597680Y-104816715D01* -X123595672Y-104803173D01* -X123595000Y-104789500D01* -X123595000Y-104510500D01* -X123595672Y-104496827D01* -X123597680Y-104483285D01* -X123601007Y-104470005D01* -X123605619Y-104457116D01* -X123611472Y-104444740D01* -X123618510Y-104432998D01* -X123626665Y-104422002D01* -X123635859Y-104411859D01* -X123646002Y-104402665D01* -X123656998Y-104394510D01* -X123668740Y-104387472D01* -X123681116Y-104381619D01* -X123694005Y-104377007D01* -X123707285Y-104373680D01* -X123720827Y-104371672D01* -X123734500Y-104371000D01* -X125065500Y-104371000D01* -X125079173Y-104371672D01* -G37* -G36* -X125079173Y-103571672D02* -G01* -X125092715Y-103573680D01* -X125105995Y-103577007D01* -X125118884Y-103581619D01* -X125131260Y-103587472D01* -X125143002Y-103594510D01* -X125153998Y-103602665D01* -X125164141Y-103611859D01* -X125173335Y-103622002D01* -X125181490Y-103632998D01* -X125188528Y-103644740D01* -X125194381Y-103657116D01* -X125198993Y-103670005D01* -X125202320Y-103683285D01* -X125204328Y-103696827D01* -X125205000Y-103710500D01* -X125205000Y-103989500D01* -X125204328Y-104003173D01* -X125202320Y-104016715D01* -X125198993Y-104029995D01* -X125194381Y-104042884D01* -X125188528Y-104055260D01* -X125181490Y-104067002D01* -X125173335Y-104077998D01* -X125164141Y-104088141D01* -X125153998Y-104097335D01* -X125143002Y-104105490D01* -X125131260Y-104112528D01* -X125118884Y-104118381D01* -X125105995Y-104122993D01* -X125092715Y-104126320D01* -X125079173Y-104128328D01* -X125065500Y-104129000D01* -X123734500Y-104129000D01* -X123720827Y-104128328D01* -X123707285Y-104126320D01* -X123694005Y-104122993D01* -X123681116Y-104118381D01* -X123668740Y-104112528D01* -X123656998Y-104105490D01* -X123646002Y-104097335D01* -X123635859Y-104088141D01* -X123626665Y-104077998D01* -X123618510Y-104067002D01* -X123611472Y-104055260D01* -X123605619Y-104042884D01* -X123601007Y-104029995D01* -X123597680Y-104016715D01* -X123595672Y-104003173D01* -X123595000Y-103989500D01* -X123595000Y-103710500D01* -X123595672Y-103696827D01* -X123597680Y-103683285D01* -X123601007Y-103670005D01* -X123605619Y-103657116D01* -X123611472Y-103644740D01* -X123618510Y-103632998D01* -X123626665Y-103622002D01* -X123635859Y-103611859D01* -X123646002Y-103602665D01* -X123656998Y-103594510D01* -X123668740Y-103587472D01* -X123681116Y-103581619D01* -X123694005Y-103577007D01* -X123707285Y-103573680D01* -X123720827Y-103571672D01* -X123734500Y-103571000D01* -X125065500Y-103571000D01* -X125079173Y-103571672D01* -G37* -G36* -X125079173Y-102771672D02* -G01* -X125092715Y-102773680D01* -X125105995Y-102777007D01* -X125118884Y-102781619D01* -X125131260Y-102787472D01* -X125143002Y-102794510D01* -X125153998Y-102802665D01* -X125164141Y-102811859D01* -X125173335Y-102822002D01* -X125181490Y-102832998D01* -X125188528Y-102844740D01* -X125194381Y-102857116D01* -X125198993Y-102870005D01* -X125202320Y-102883285D01* -X125204328Y-102896827D01* -X125205000Y-102910500D01* -X125205000Y-103189500D01* -X125204328Y-103203173D01* -X125202320Y-103216715D01* -X125198993Y-103229995D01* -X125194381Y-103242884D01* -X125188528Y-103255260D01* -X125181490Y-103267002D01* -X125173335Y-103277998D01* -X125164141Y-103288141D01* -X125153998Y-103297335D01* -X125143002Y-103305490D01* -X125131260Y-103312528D01* -X125118884Y-103318381D01* -X125105995Y-103322993D01* -X125092715Y-103326320D01* -X125079173Y-103328328D01* -X125065500Y-103329000D01* -X123734500Y-103329000D01* -X123720827Y-103328328D01* -X123707285Y-103326320D01* -X123694005Y-103322993D01* -X123681116Y-103318381D01* -X123668740Y-103312528D01* -X123656998Y-103305490D01* -X123646002Y-103297335D01* -X123635859Y-103288141D01* -X123626665Y-103277998D01* -X123618510Y-103267002D01* -X123611472Y-103255260D01* -X123605619Y-103242884D01* -X123601007Y-103229995D01* -X123597680Y-103216715D01* -X123595672Y-103203173D01* -X123595000Y-103189500D01* -X123595000Y-102910500D01* -X123595672Y-102896827D01* -X123597680Y-102883285D01* -X123601007Y-102870005D01* -X123605619Y-102857116D01* -X123611472Y-102844740D01* -X123618510Y-102832998D01* -X123626665Y-102822002D01* -X123635859Y-102811859D01* -X123646002Y-102802665D01* -X123656998Y-102794510D01* -X123668740Y-102787472D01* -X123681116Y-102781619D01* -X123694005Y-102777007D01* -X123707285Y-102773680D01* -X123720827Y-102771672D01* -X123734500Y-102771000D01* -X125065500Y-102771000D01* -X125079173Y-102771672D01* -G37* -G36* -X125079173Y-101971672D02* -G01* -X125092715Y-101973680D01* -X125105995Y-101977007D01* -X125118884Y-101981619D01* -X125131260Y-101987472D01* -X125143002Y-101994510D01* -X125153998Y-102002665D01* -X125164141Y-102011859D01* -X125173335Y-102022002D01* -X125181490Y-102032998D01* -X125188528Y-102044740D01* -X125194381Y-102057116D01* -X125198993Y-102070005D01* -X125202320Y-102083285D01* -X125204328Y-102096827D01* -X125205000Y-102110500D01* -X125205000Y-102389500D01* -X125204328Y-102403173D01* -X125202320Y-102416715D01* -X125198993Y-102429995D01* -X125194381Y-102442884D01* -X125188528Y-102455260D01* -X125181490Y-102467002D01* -X125173335Y-102477998D01* -X125164141Y-102488141D01* -X125153998Y-102497335D01* -X125143002Y-102505490D01* -X125131260Y-102512528D01* -X125118884Y-102518381D01* -X125105995Y-102522993D01* -X125092715Y-102526320D01* -X125079173Y-102528328D01* -X125065500Y-102529000D01* -X123734500Y-102529000D01* -X123720827Y-102528328D01* -X123707285Y-102526320D01* -X123694005Y-102522993D01* -X123681116Y-102518381D01* -X123668740Y-102512528D01* -X123656998Y-102505490D01* -X123646002Y-102497335D01* -X123635859Y-102488141D01* -X123626665Y-102477998D01* -X123618510Y-102467002D01* -X123611472Y-102455260D01* -X123605619Y-102442884D01* -X123601007Y-102429995D01* -X123597680Y-102416715D01* -X123595672Y-102403173D01* -X123595000Y-102389500D01* -X123595000Y-102110500D01* -X123595672Y-102096827D01* -X123597680Y-102083285D01* -X123601007Y-102070005D01* -X123605619Y-102057116D01* -X123611472Y-102044740D01* -X123618510Y-102032998D01* -X123626665Y-102022002D01* -X123635859Y-102011859D01* -X123646002Y-102002665D01* -X123656998Y-101994510D01* -X123668740Y-101987472D01* -X123681116Y-101981619D01* -X123694005Y-101977007D01* -X123707285Y-101973680D01* -X123720827Y-101971672D01* -X123734500Y-101971000D01* -X125065500Y-101971000D01* -X125079173Y-101971672D01* -G37* -G36* -X125079173Y-101171672D02* -G01* -X125092715Y-101173680D01* -X125105995Y-101177007D01* -X125118884Y-101181619D01* -X125131260Y-101187472D01* -X125143002Y-101194510D01* -X125153998Y-101202665D01* -X125164141Y-101211859D01* -X125173335Y-101222002D01* -X125181490Y-101232998D01* -X125188528Y-101244740D01* -X125194381Y-101257116D01* -X125198993Y-101270005D01* -X125202320Y-101283285D01* -X125204328Y-101296827D01* -X125205000Y-101310500D01* -X125205000Y-101589500D01* -X125204328Y-101603173D01* -X125202320Y-101616715D01* -X125198993Y-101629995D01* -X125194381Y-101642884D01* -X125188528Y-101655260D01* -X125181490Y-101667002D01* -X125173335Y-101677998D01* -X125164141Y-101688141D01* -X125153998Y-101697335D01* -X125143002Y-101705490D01* -X125131260Y-101712528D01* -X125118884Y-101718381D01* -X125105995Y-101722993D01* -X125092715Y-101726320D01* -X125079173Y-101728328D01* -X125065500Y-101729000D01* -X123734500Y-101729000D01* -X123720827Y-101728328D01* -X123707285Y-101726320D01* -X123694005Y-101722993D01* -X123681116Y-101718381D01* -X123668740Y-101712528D01* -X123656998Y-101705490D01* -X123646002Y-101697335D01* -X123635859Y-101688141D01* -X123626665Y-101677998D01* -X123618510Y-101667002D01* -X123611472Y-101655260D01* -X123605619Y-101642884D01* -X123601007Y-101629995D01* -X123597680Y-101616715D01* -X123595672Y-101603173D01* -X123595000Y-101589500D01* -X123595000Y-101310500D01* -X123595672Y-101296827D01* -X123597680Y-101283285D01* -X123601007Y-101270005D01* -X123605619Y-101257116D01* -X123611472Y-101244740D01* -X123618510Y-101232998D01* -X123626665Y-101222002D01* -X123635859Y-101211859D01* -X123646002Y-101202665D01* -X123656998Y-101194510D01* -X123668740Y-101187472D01* -X123681116Y-101181619D01* -X123694005Y-101177007D01* -X123707285Y-101173680D01* -X123720827Y-101171672D01* -X123734500Y-101171000D01* -X125065500Y-101171000D01* -X125079173Y-101171672D01* -G37* -G36* -X125079173Y-100371672D02* -G01* -X125092715Y-100373680D01* -X125105995Y-100377007D01* -X125118884Y-100381619D01* -X125131260Y-100387472D01* -X125143002Y-100394510D01* -X125153998Y-100402665D01* -X125164141Y-100411859D01* -X125173335Y-100422002D01* -X125181490Y-100432998D01* -X125188528Y-100444740D01* -X125194381Y-100457116D01* -X125198993Y-100470005D01* -X125202320Y-100483285D01* -X125204328Y-100496827D01* -X125205000Y-100510500D01* -X125205000Y-100789500D01* -X125204328Y-100803173D01* -X125202320Y-100816715D01* -X125198993Y-100829995D01* -X125194381Y-100842884D01* -X125188528Y-100855260D01* -X125181490Y-100867002D01* -X125173335Y-100877998D01* -X125164141Y-100888141D01* -X125153998Y-100897335D01* -X125143002Y-100905490D01* -X125131260Y-100912528D01* -X125118884Y-100918381D01* -X125105995Y-100922993D01* -X125092715Y-100926320D01* -X125079173Y-100928328D01* -X125065500Y-100929000D01* -X123734500Y-100929000D01* -X123720827Y-100928328D01* -X123707285Y-100926320D01* -X123694005Y-100922993D01* -X123681116Y-100918381D01* -X123668740Y-100912528D01* -X123656998Y-100905490D01* -X123646002Y-100897335D01* -X123635859Y-100888141D01* -X123626665Y-100877998D01* -X123618510Y-100867002D01* -X123611472Y-100855260D01* -X123605619Y-100842884D01* -X123601007Y-100829995D01* -X123597680Y-100816715D01* -X123595672Y-100803173D01* -X123595000Y-100789500D01* -X123595000Y-100510500D01* -X123595672Y-100496827D01* -X123597680Y-100483285D01* -X123601007Y-100470005D01* -X123605619Y-100457116D01* -X123611472Y-100444740D01* -X123618510Y-100432998D01* -X123626665Y-100422002D01* -X123635859Y-100411859D01* -X123646002Y-100402665D01* -X123656998Y-100394510D01* -X123668740Y-100387472D01* -X123681116Y-100381619D01* -X123694005Y-100377007D01* -X123707285Y-100373680D01* -X123720827Y-100371672D01* -X123734500Y-100371000D01* -X125065500Y-100371000D01* -X125079173Y-100371672D01* -G37* -G36* -X125079173Y-99571672D02* -G01* -X125092715Y-99573680D01* -X125105995Y-99577007D01* -X125118884Y-99581619D01* -X125131260Y-99587472D01* -X125143002Y-99594510D01* -X125153998Y-99602665D01* -X125164141Y-99611859D01* -X125173335Y-99622002D01* -X125181490Y-99632998D01* -X125188528Y-99644740D01* -X125194381Y-99657116D01* -X125198993Y-99670005D01* -X125202320Y-99683285D01* -X125204328Y-99696827D01* -X125205000Y-99710500D01* -X125205000Y-99989500D01* -X125204328Y-100003173D01* -X125202320Y-100016715D01* -X125198993Y-100029995D01* -X125194381Y-100042884D01* -X125188528Y-100055260D01* -X125181490Y-100067002D01* -X125173335Y-100077998D01* -X125164141Y-100088141D01* -X125153998Y-100097335D01* -X125143002Y-100105490D01* -X125131260Y-100112528D01* -X125118884Y-100118381D01* -X125105995Y-100122993D01* -X125092715Y-100126320D01* -X125079173Y-100128328D01* -X125065500Y-100129000D01* -X123734500Y-100129000D01* -X123720827Y-100128328D01* -X123707285Y-100126320D01* -X123694005Y-100122993D01* -X123681116Y-100118381D01* -X123668740Y-100112528D01* -X123656998Y-100105490D01* -X123646002Y-100097335D01* -X123635859Y-100088141D01* -X123626665Y-100077998D01* -X123618510Y-100067002D01* -X123611472Y-100055260D01* -X123605619Y-100042884D01* -X123601007Y-100029995D01* -X123597680Y-100016715D01* -X123595672Y-100003173D01* -X123595000Y-99989500D01* -X123595000Y-99710500D01* -X123595672Y-99696827D01* -X123597680Y-99683285D01* -X123601007Y-99670005D01* -X123605619Y-99657116D01* -X123611472Y-99644740D01* -X123618510Y-99632998D01* -X123626665Y-99622002D01* -X123635859Y-99611859D01* -X123646002Y-99602665D01* -X123656998Y-99594510D01* -X123668740Y-99587472D01* -X123681116Y-99581619D01* -X123694005Y-99577007D01* -X123707285Y-99573680D01* -X123720827Y-99571672D01* -X123734500Y-99571000D01* -X125065500Y-99571000D01* -X125079173Y-99571672D01* -G37* -G36* -X125079173Y-98771672D02* -G01* -X125092715Y-98773680D01* -X125105995Y-98777007D01* -X125118884Y-98781619D01* -X125131260Y-98787472D01* -X125143002Y-98794510D01* -X125153998Y-98802665D01* -X125164141Y-98811859D01* -X125173335Y-98822002D01* -X125181490Y-98832998D01* -X125188528Y-98844740D01* -X125194381Y-98857116D01* -X125198993Y-98870005D01* -X125202320Y-98883285D01* -X125204328Y-98896827D01* -X125205000Y-98910500D01* -X125205000Y-99189500D01* -X125204328Y-99203173D01* -X125202320Y-99216715D01* -X125198993Y-99229995D01* -X125194381Y-99242884D01* -X125188528Y-99255260D01* -X125181490Y-99267002D01* -X125173335Y-99277998D01* -X125164141Y-99288141D01* -X125153998Y-99297335D01* -X125143002Y-99305490D01* -X125131260Y-99312528D01* -X125118884Y-99318381D01* -X125105995Y-99322993D01* -X125092715Y-99326320D01* -X125079173Y-99328328D01* -X125065500Y-99329000D01* -X123734500Y-99329000D01* -X123720827Y-99328328D01* -X123707285Y-99326320D01* -X123694005Y-99322993D01* -X123681116Y-99318381D01* -X123668740Y-99312528D01* -X123656998Y-99305490D01* -X123646002Y-99297335D01* -X123635859Y-99288141D01* -X123626665Y-99277998D01* -X123618510Y-99267002D01* -X123611472Y-99255260D01* -X123605619Y-99242884D01* -X123601007Y-99229995D01* -X123597680Y-99216715D01* -X123595672Y-99203173D01* -X123595000Y-99189500D01* -X123595000Y-98910500D01* -X123595672Y-98896827D01* -X123597680Y-98883285D01* -X123601007Y-98870005D01* -X123605619Y-98857116D01* -X123611472Y-98844740D01* -X123618510Y-98832998D01* -X123626665Y-98822002D01* -X123635859Y-98811859D01* -X123646002Y-98802665D01* -X123656998Y-98794510D01* -X123668740Y-98787472D01* -X123681116Y-98781619D01* -X123694005Y-98777007D01* -X123707285Y-98773680D01* -X123720827Y-98771672D01* -X123734500Y-98771000D01* -X125065500Y-98771000D01* -X125079173Y-98771672D01* -G37* -G36* -X125079173Y-97971672D02* -G01* -X125092715Y-97973680D01* -X125105995Y-97977007D01* -X125118884Y-97981619D01* -X125131260Y-97987472D01* -X125143002Y-97994510D01* -X125153998Y-98002665D01* -X125164141Y-98011859D01* -X125173335Y-98022002D01* -X125181490Y-98032998D01* -X125188528Y-98044740D01* -X125194381Y-98057116D01* -X125198993Y-98070005D01* -X125202320Y-98083285D01* -X125204328Y-98096827D01* -X125205000Y-98110500D01* -X125205000Y-98389500D01* -X125204328Y-98403173D01* -X125202320Y-98416715D01* -X125198993Y-98429995D01* -X125194381Y-98442884D01* -X125188528Y-98455260D01* -X125181490Y-98467002D01* -X125173335Y-98477998D01* -X125164141Y-98488141D01* -X125153998Y-98497335D01* -X125143002Y-98505490D01* -X125131260Y-98512528D01* -X125118884Y-98518381D01* -X125105995Y-98522993D01* -X125092715Y-98526320D01* -X125079173Y-98528328D01* -X125065500Y-98529000D01* -X123734500Y-98529000D01* -X123720827Y-98528328D01* -X123707285Y-98526320D01* -X123694005Y-98522993D01* -X123681116Y-98518381D01* -X123668740Y-98512528D01* -X123656998Y-98505490D01* -X123646002Y-98497335D01* -X123635859Y-98488141D01* -X123626665Y-98477998D01* -X123618510Y-98467002D01* -X123611472Y-98455260D01* -X123605619Y-98442884D01* -X123601007Y-98429995D01* -X123597680Y-98416715D01* -X123595672Y-98403173D01* -X123595000Y-98389500D01* -X123595000Y-98110500D01* -X123595672Y-98096827D01* -X123597680Y-98083285D01* -X123601007Y-98070005D01* -X123605619Y-98057116D01* -X123611472Y-98044740D01* -X123618510Y-98032998D01* -X123626665Y-98022002D01* -X123635859Y-98011859D01* -X123646002Y-98002665D01* -X123656998Y-97994510D01* -X123668740Y-97987472D01* -X123681116Y-97981619D01* -X123694005Y-97977007D01* -X123707285Y-97973680D01* -X123720827Y-97971672D01* -X123734500Y-97971000D01* -X125065500Y-97971000D01* -X125079173Y-97971672D01* -G37* -G36* -X125079173Y-97171672D02* -G01* -X125092715Y-97173680D01* -X125105995Y-97177007D01* -X125118884Y-97181619D01* -X125131260Y-97187472D01* -X125143002Y-97194510D01* -X125153998Y-97202665D01* -X125164141Y-97211859D01* -X125173335Y-97222002D01* -X125181490Y-97232998D01* -X125188528Y-97244740D01* -X125194381Y-97257116D01* -X125198993Y-97270005D01* -X125202320Y-97283285D01* -X125204328Y-97296827D01* -X125205000Y-97310500D01* -X125205000Y-97589500D01* -X125204328Y-97603173D01* -X125202320Y-97616715D01* -X125198993Y-97629995D01* -X125194381Y-97642884D01* -X125188528Y-97655260D01* -X125181490Y-97667002D01* -X125173335Y-97677998D01* -X125164141Y-97688141D01* -X125153998Y-97697335D01* -X125143002Y-97705490D01* -X125131260Y-97712528D01* -X125118884Y-97718381D01* -X125105995Y-97722993D01* -X125092715Y-97726320D01* -X125079173Y-97728328D01* -X125065500Y-97729000D01* -X123734500Y-97729000D01* -X123720827Y-97728328D01* -X123707285Y-97726320D01* -X123694005Y-97722993D01* -X123681116Y-97718381D01* -X123668740Y-97712528D01* -X123656998Y-97705490D01* -X123646002Y-97697335D01* -X123635859Y-97688141D01* -X123626665Y-97677998D01* -X123618510Y-97667002D01* -X123611472Y-97655260D01* -X123605619Y-97642884D01* -X123601007Y-97629995D01* -X123597680Y-97616715D01* -X123595672Y-97603173D01* -X123595000Y-97589500D01* -X123595000Y-97310500D01* -X123595672Y-97296827D01* -X123597680Y-97283285D01* -X123601007Y-97270005D01* -X123605619Y-97257116D01* -X123611472Y-97244740D01* -X123618510Y-97232998D01* -X123626665Y-97222002D01* -X123635859Y-97211859D01* -X123646002Y-97202665D01* -X123656998Y-97194510D01* -X123668740Y-97187472D01* -X123681116Y-97181619D01* -X123694005Y-97177007D01* -X123707285Y-97173680D01* -X123720827Y-97171672D01* -X123734500Y-97171000D01* -X125065500Y-97171000D01* -X125079173Y-97171672D01* -G37* -G36* -X125079173Y-96371672D02* -G01* -X125092715Y-96373680D01* -X125105995Y-96377007D01* -X125118884Y-96381619D01* -X125131260Y-96387472D01* -X125143002Y-96394510D01* -X125153998Y-96402665D01* -X125164141Y-96411859D01* -X125173335Y-96422002D01* -X125181490Y-96432998D01* -X125188528Y-96444740D01* -X125194381Y-96457116D01* -X125198993Y-96470005D01* -X125202320Y-96483285D01* -X125204328Y-96496827D01* -X125205000Y-96510500D01* -X125205000Y-96789500D01* -X125204328Y-96803173D01* -X125202320Y-96816715D01* -X125198993Y-96829995D01* -X125194381Y-96842884D01* -X125188528Y-96855260D01* -X125181490Y-96867002D01* -X125173335Y-96877998D01* -X125164141Y-96888141D01* -X125153998Y-96897335D01* -X125143002Y-96905490D01* -X125131260Y-96912528D01* -X125118884Y-96918381D01* -X125105995Y-96922993D01* -X125092715Y-96926320D01* -X125079173Y-96928328D01* -X125065500Y-96929000D01* -X123734500Y-96929000D01* -X123720827Y-96928328D01* -X123707285Y-96926320D01* -X123694005Y-96922993D01* -X123681116Y-96918381D01* -X123668740Y-96912528D01* -X123656998Y-96905490D01* -X123646002Y-96897335D01* -X123635859Y-96888141D01* -X123626665Y-96877998D01* -X123618510Y-96867002D01* -X123611472Y-96855260D01* -X123605619Y-96842884D01* -X123601007Y-96829995D01* -X123597680Y-96816715D01* -X123595672Y-96803173D01* -X123595000Y-96789500D01* -X123595000Y-96510500D01* -X123595672Y-96496827D01* -X123597680Y-96483285D01* -X123601007Y-96470005D01* -X123605619Y-96457116D01* -X123611472Y-96444740D01* -X123618510Y-96432998D01* -X123626665Y-96422002D01* -X123635859Y-96411859D01* -X123646002Y-96402665D01* -X123656998Y-96394510D01* -X123668740Y-96387472D01* -X123681116Y-96381619D01* -X123694005Y-96377007D01* -X123707285Y-96373680D01* -X123720827Y-96371672D01* -X123734500Y-96371000D01* -X125065500Y-96371000D01* -X125079173Y-96371672D01* -G37* -G36* -X125079173Y-95571672D02* -G01* -X125092715Y-95573680D01* -X125105995Y-95577007D01* -X125118884Y-95581619D01* -X125131260Y-95587472D01* -X125143002Y-95594510D01* -X125153998Y-95602665D01* -X125164141Y-95611859D01* -X125173335Y-95622002D01* -X125181490Y-95632998D01* -X125188528Y-95644740D01* -X125194381Y-95657116D01* -X125198993Y-95670005D01* -X125202320Y-95683285D01* -X125204328Y-95696827D01* -X125205000Y-95710500D01* -X125205000Y-95989500D01* -X125204328Y-96003173D01* -X125202320Y-96016715D01* -X125198993Y-96029995D01* -X125194381Y-96042884D01* -X125188528Y-96055260D01* -X125181490Y-96067002D01* -X125173335Y-96077998D01* -X125164141Y-96088141D01* -X125153998Y-96097335D01* -X125143002Y-96105490D01* -X125131260Y-96112528D01* -X125118884Y-96118381D01* -X125105995Y-96122993D01* -X125092715Y-96126320D01* -X125079173Y-96128328D01* -X125065500Y-96129000D01* -X123734500Y-96129000D01* -X123720827Y-96128328D01* -X123707285Y-96126320D01* -X123694005Y-96122993D01* -X123681116Y-96118381D01* -X123668740Y-96112528D01* -X123656998Y-96105490D01* -X123646002Y-96097335D01* -X123635859Y-96088141D01* -X123626665Y-96077998D01* -X123618510Y-96067002D01* -X123611472Y-96055260D01* -X123605619Y-96042884D01* -X123601007Y-96029995D01* -X123597680Y-96016715D01* -X123595672Y-96003173D01* -X123595000Y-95989500D01* -X123595000Y-95710500D01* -X123595672Y-95696827D01* -X123597680Y-95683285D01* -X123601007Y-95670005D01* -X123605619Y-95657116D01* -X123611472Y-95644740D01* -X123618510Y-95632998D01* -X123626665Y-95622002D01* -X123635859Y-95611859D01* -X123646002Y-95602665D01* -X123656998Y-95594510D01* -X123668740Y-95587472D01* -X123681116Y-95581619D01* -X123694005Y-95577007D01* -X123707285Y-95573680D01* -X123720827Y-95571672D01* -X123734500Y-95571000D01* -X125065500Y-95571000D01* -X125079173Y-95571672D01* -G37* -G36* -X125079173Y-94771672D02* -G01* -X125092715Y-94773680D01* -X125105995Y-94777007D01* -X125118884Y-94781619D01* -X125131260Y-94787472D01* -X125143002Y-94794510D01* -X125153998Y-94802665D01* -X125164141Y-94811859D01* -X125173335Y-94822002D01* -X125181490Y-94832998D01* -X125188528Y-94844740D01* -X125194381Y-94857116D01* -X125198993Y-94870005D01* -X125202320Y-94883285D01* -X125204328Y-94896827D01* -X125205000Y-94910500D01* -X125205000Y-95189500D01* -X125204328Y-95203173D01* -X125202320Y-95216715D01* -X125198993Y-95229995D01* -X125194381Y-95242884D01* -X125188528Y-95255260D01* -X125181490Y-95267002D01* -X125173335Y-95277998D01* -X125164141Y-95288141D01* -X125153998Y-95297335D01* -X125143002Y-95305490D01* -X125131260Y-95312528D01* -X125118884Y-95318381D01* -X125105995Y-95322993D01* -X125092715Y-95326320D01* -X125079173Y-95328328D01* -X125065500Y-95329000D01* -X123734500Y-95329000D01* -X123720827Y-95328328D01* -X123707285Y-95326320D01* -X123694005Y-95322993D01* -X123681116Y-95318381D01* -X123668740Y-95312528D01* -X123656998Y-95305490D01* -X123646002Y-95297335D01* -X123635859Y-95288141D01* -X123626665Y-95277998D01* -X123618510Y-95267002D01* -X123611472Y-95255260D01* -X123605619Y-95242884D01* -X123601007Y-95229995D01* -X123597680Y-95216715D01* -X123595672Y-95203173D01* -X123595000Y-95189500D01* -X123595000Y-94910500D01* -X123595672Y-94896827D01* -X123597680Y-94883285D01* -X123601007Y-94870005D01* -X123605619Y-94857116D01* -X123611472Y-94844740D01* -X123618510Y-94832998D01* -X123626665Y-94822002D01* -X123635859Y-94811859D01* -X123646002Y-94802665D01* -X123656998Y-94794510D01* -X123668740Y-94787472D01* -X123681116Y-94781619D01* -X123694005Y-94777007D01* -X123707285Y-94773680D01* -X123720827Y-94771672D01* -X123734500Y-94771000D01* -X125065500Y-94771000D01* -X125079173Y-94771672D01* -G37* -G36* -X125079173Y-93971672D02* -G01* -X125092715Y-93973680D01* -X125105995Y-93977007D01* -X125118884Y-93981619D01* -X125131260Y-93987472D01* -X125143002Y-93994510D01* -X125153998Y-94002665D01* -X125164141Y-94011859D01* -X125173335Y-94022002D01* -X125181490Y-94032998D01* -X125188528Y-94044740D01* -X125194381Y-94057116D01* -X125198993Y-94070005D01* -X125202320Y-94083285D01* -X125204328Y-94096827D01* -X125205000Y-94110500D01* -X125205000Y-94389500D01* -X125204328Y-94403173D01* -X125202320Y-94416715D01* -X125198993Y-94429995D01* -X125194381Y-94442884D01* -X125188528Y-94455260D01* -X125181490Y-94467002D01* -X125173335Y-94477998D01* -X125164141Y-94488141D01* -X125153998Y-94497335D01* -X125143002Y-94505490D01* -X125131260Y-94512528D01* -X125118884Y-94518381D01* -X125105995Y-94522993D01* -X125092715Y-94526320D01* -X125079173Y-94528328D01* -X125065500Y-94529000D01* -X123734500Y-94529000D01* -X123720827Y-94528328D01* -X123707285Y-94526320D01* -X123694005Y-94522993D01* -X123681116Y-94518381D01* -X123668740Y-94512528D01* -X123656998Y-94505490D01* -X123646002Y-94497335D01* -X123635859Y-94488141D01* -X123626665Y-94477998D01* -X123618510Y-94467002D01* -X123611472Y-94455260D01* -X123605619Y-94442884D01* -X123601007Y-94429995D01* -X123597680Y-94416715D01* -X123595672Y-94403173D01* -X123595000Y-94389500D01* -X123595000Y-94110500D01* -X123595672Y-94096827D01* -X123597680Y-94083285D01* -X123601007Y-94070005D01* -X123605619Y-94057116D01* -X123611472Y-94044740D01* -X123618510Y-94032998D01* -X123626665Y-94022002D01* -X123635859Y-94011859D01* -X123646002Y-94002665D01* -X123656998Y-93994510D01* -X123668740Y-93987472D01* -X123681116Y-93981619D01* -X123694005Y-93977007D01* -X123707285Y-93973680D01* -X123720827Y-93971672D01* -X123734500Y-93971000D01* -X125065500Y-93971000D01* -X125079173Y-93971672D01* -G37* -G36* -X125079173Y-93171672D02* -G01* -X125092715Y-93173680D01* -X125105995Y-93177007D01* -X125118884Y-93181619D01* -X125131260Y-93187472D01* -X125143002Y-93194510D01* -X125153998Y-93202665D01* -X125164141Y-93211859D01* -X125173335Y-93222002D01* -X125181490Y-93232998D01* -X125188528Y-93244740D01* -X125194381Y-93257116D01* -X125198993Y-93270005D01* -X125202320Y-93283285D01* -X125204328Y-93296827D01* -X125205000Y-93310500D01* -X125205000Y-93589500D01* -X125204328Y-93603173D01* -X125202320Y-93616715D01* -X125198993Y-93629995D01* -X125194381Y-93642884D01* -X125188528Y-93655260D01* -X125181490Y-93667002D01* -X125173335Y-93677998D01* -X125164141Y-93688141D01* -X125153998Y-93697335D01* -X125143002Y-93705490D01* -X125131260Y-93712528D01* -X125118884Y-93718381D01* -X125105995Y-93722993D01* -X125092715Y-93726320D01* -X125079173Y-93728328D01* -X125065500Y-93729000D01* -X123734500Y-93729000D01* -X123720827Y-93728328D01* -X123707285Y-93726320D01* -X123694005Y-93722993D01* -X123681116Y-93718381D01* -X123668740Y-93712528D01* -X123656998Y-93705490D01* -X123646002Y-93697335D01* -X123635859Y-93688141D01* -X123626665Y-93677998D01* -X123618510Y-93667002D01* -X123611472Y-93655260D01* -X123605619Y-93642884D01* -X123601007Y-93629995D01* -X123597680Y-93616715D01* -X123595672Y-93603173D01* -X123595000Y-93589500D01* -X123595000Y-93310500D01* -X123595672Y-93296827D01* -X123597680Y-93283285D01* -X123601007Y-93270005D01* -X123605619Y-93257116D01* -X123611472Y-93244740D01* -X123618510Y-93232998D01* -X123626665Y-93222002D01* -X123635859Y-93211859D01* -X123646002Y-93202665D01* -X123656998Y-93194510D01* -X123668740Y-93187472D01* -X123681116Y-93181619D01* -X123694005Y-93177007D01* -X123707285Y-93173680D01* -X123720827Y-93171672D01* -X123734500Y-93171000D01* -X125065500Y-93171000D01* -X125079173Y-93171672D01* -G37* -G36* -X125079173Y-92371672D02* -G01* -X125092715Y-92373680D01* -X125105995Y-92377007D01* -X125118884Y-92381619D01* -X125131260Y-92387472D01* -X125143002Y-92394510D01* -X125153998Y-92402665D01* -X125164141Y-92411859D01* -X125173335Y-92422002D01* -X125181490Y-92432998D01* -X125188528Y-92444740D01* -X125194381Y-92457116D01* -X125198993Y-92470005D01* -X125202320Y-92483285D01* -X125204328Y-92496827D01* -X125205000Y-92510500D01* -X125205000Y-92789500D01* -X125204328Y-92803173D01* -X125202320Y-92816715D01* -X125198993Y-92829995D01* -X125194381Y-92842884D01* -X125188528Y-92855260D01* -X125181490Y-92867002D01* -X125173335Y-92877998D01* -X125164141Y-92888141D01* -X125153998Y-92897335D01* -X125143002Y-92905490D01* -X125131260Y-92912528D01* -X125118884Y-92918381D01* -X125105995Y-92922993D01* -X125092715Y-92926320D01* -X125079173Y-92928328D01* -X125065500Y-92929000D01* -X123734500Y-92929000D01* -X123720827Y-92928328D01* -X123707285Y-92926320D01* -X123694005Y-92922993D01* -X123681116Y-92918381D01* -X123668740Y-92912528D01* -X123656998Y-92905490D01* -X123646002Y-92897335D01* -X123635859Y-92888141D01* -X123626665Y-92877998D01* -X123618510Y-92867002D01* -X123611472Y-92855260D01* -X123605619Y-92842884D01* -X123601007Y-92829995D01* -X123597680Y-92816715D01* -X123595672Y-92803173D01* -X123595000Y-92789500D01* -X123595000Y-92510500D01* -X123595672Y-92496827D01* -X123597680Y-92483285D01* -X123601007Y-92470005D01* -X123605619Y-92457116D01* -X123611472Y-92444740D01* -X123618510Y-92432998D01* -X123626665Y-92422002D01* -X123635859Y-92411859D01* -X123646002Y-92402665D01* -X123656998Y-92394510D01* -X123668740Y-92387472D01* -X123681116Y-92381619D01* -X123694005Y-92377007D01* -X123707285Y-92373680D01* -X123720827Y-92371672D01* -X123734500Y-92371000D01* -X125065500Y-92371000D01* -X125079173Y-92371672D01* -G37* -G36* -X113579173Y-92371672D02* -G01* -X113592715Y-92373680D01* -X113605995Y-92377007D01* -X113618884Y-92381619D01* -X113631260Y-92387472D01* -X113643002Y-92394510D01* -X113653998Y-92402665D01* -X113664141Y-92411859D01* -X113673335Y-92422002D01* -X113681490Y-92432998D01* -X113688528Y-92444740D01* -X113694381Y-92457116D01* -X113698993Y-92470005D01* -X113702320Y-92483285D01* -X113704328Y-92496827D01* -X113705000Y-92510500D01* -X113705000Y-92789500D01* -X113704328Y-92803173D01* -X113702320Y-92816715D01* -X113698993Y-92829995D01* -X113694381Y-92842884D01* -X113688528Y-92855260D01* -X113681490Y-92867002D01* -X113673335Y-92877998D01* -X113664141Y-92888141D01* -X113653998Y-92897335D01* -X113643002Y-92905490D01* -X113631260Y-92912528D01* -X113618884Y-92918381D01* -X113605995Y-92922993D01* -X113592715Y-92926320D01* -X113579173Y-92928328D01* -X113565500Y-92929000D01* -X112234500Y-92929000D01* -X112220827Y-92928328D01* -X112207285Y-92926320D01* -X112194005Y-92922993D01* -X112181116Y-92918381D01* -X112168740Y-92912528D01* -X112156998Y-92905490D01* -X112146002Y-92897335D01* -X112135859Y-92888141D01* -X112126665Y-92877998D01* -X112118510Y-92867002D01* -X112111472Y-92855260D01* -X112105619Y-92842884D01* -X112101007Y-92829995D01* -X112097680Y-92816715D01* -X112095672Y-92803173D01* -X112095000Y-92789500D01* -X112095000Y-92510500D01* -X112095672Y-92496827D01* -X112097680Y-92483285D01* -X112101007Y-92470005D01* -X112105619Y-92457116D01* -X112111472Y-92444740D01* -X112118510Y-92432998D01* -X112126665Y-92422002D01* -X112135859Y-92411859D01* -X112146002Y-92402665D01* -X112156998Y-92394510D01* -X112168740Y-92387472D01* -X112181116Y-92381619D01* -X112194005Y-92377007D01* -X112207285Y-92373680D01* -X112220827Y-92371672D01* -X112234500Y-92371000D01* -X113565500Y-92371000D01* -X113579173Y-92371672D01* -G37* -G36* -X113579173Y-93171672D02* -G01* -X113592715Y-93173680D01* -X113605995Y-93177007D01* -X113618884Y-93181619D01* -X113631260Y-93187472D01* -X113643002Y-93194510D01* -X113653998Y-93202665D01* -X113664141Y-93211859D01* -X113673335Y-93222002D01* -X113681490Y-93232998D01* -X113688528Y-93244740D01* -X113694381Y-93257116D01* -X113698993Y-93270005D01* -X113702320Y-93283285D01* -X113704328Y-93296827D01* -X113705000Y-93310500D01* -X113705000Y-93589500D01* -X113704328Y-93603173D01* -X113702320Y-93616715D01* -X113698993Y-93629995D01* -X113694381Y-93642884D01* -X113688528Y-93655260D01* -X113681490Y-93667002D01* -X113673335Y-93677998D01* -X113664141Y-93688141D01* -X113653998Y-93697335D01* -X113643002Y-93705490D01* -X113631260Y-93712528D01* -X113618884Y-93718381D01* -X113605995Y-93722993D01* -X113592715Y-93726320D01* -X113579173Y-93728328D01* -X113565500Y-93729000D01* -X112234500Y-93729000D01* -X112220827Y-93728328D01* -X112207285Y-93726320D01* -X112194005Y-93722993D01* -X112181116Y-93718381D01* -X112168740Y-93712528D01* -X112156998Y-93705490D01* -X112146002Y-93697335D01* -X112135859Y-93688141D01* -X112126665Y-93677998D01* -X112118510Y-93667002D01* -X112111472Y-93655260D01* -X112105619Y-93642884D01* -X112101007Y-93629995D01* -X112097680Y-93616715D01* -X112095672Y-93603173D01* -X112095000Y-93589500D01* -X112095000Y-93310500D01* -X112095672Y-93296827D01* -X112097680Y-93283285D01* -X112101007Y-93270005D01* -X112105619Y-93257116D01* -X112111472Y-93244740D01* -X112118510Y-93232998D01* -X112126665Y-93222002D01* -X112135859Y-93211859D01* -X112146002Y-93202665D01* -X112156998Y-93194510D01* -X112168740Y-93187472D01* -X112181116Y-93181619D01* -X112194005Y-93177007D01* -X112207285Y-93173680D01* -X112220827Y-93171672D01* -X112234500Y-93171000D01* -X113565500Y-93171000D01* -X113579173Y-93171672D01* -G37* -G36* -X113579173Y-93971672D02* -G01* -X113592715Y-93973680D01* -X113605995Y-93977007D01* -X113618884Y-93981619D01* -X113631260Y-93987472D01* -X113643002Y-93994510D01* -X113653998Y-94002665D01* -X113664141Y-94011859D01* -X113673335Y-94022002D01* -X113681490Y-94032998D01* -X113688528Y-94044740D01* -X113694381Y-94057116D01* -X113698993Y-94070005D01* -X113702320Y-94083285D01* -X113704328Y-94096827D01* -X113705000Y-94110500D01* -X113705000Y-94389500D01* -X113704328Y-94403173D01* -X113702320Y-94416715D01* -X113698993Y-94429995D01* -X113694381Y-94442884D01* -X113688528Y-94455260D01* -X113681490Y-94467002D01* -X113673335Y-94477998D01* -X113664141Y-94488141D01* -X113653998Y-94497335D01* -X113643002Y-94505490D01* -X113631260Y-94512528D01* -X113618884Y-94518381D01* -X113605995Y-94522993D01* -X113592715Y-94526320D01* -X113579173Y-94528328D01* -X113565500Y-94529000D01* -X112234500Y-94529000D01* -X112220827Y-94528328D01* -X112207285Y-94526320D01* -X112194005Y-94522993D01* -X112181116Y-94518381D01* -X112168740Y-94512528D01* -X112156998Y-94505490D01* -X112146002Y-94497335D01* -X112135859Y-94488141D01* -X112126665Y-94477998D01* -X112118510Y-94467002D01* -X112111472Y-94455260D01* -X112105619Y-94442884D01* -X112101007Y-94429995D01* -X112097680Y-94416715D01* -X112095672Y-94403173D01* -X112095000Y-94389500D01* -X112095000Y-94110500D01* -X112095672Y-94096827D01* -X112097680Y-94083285D01* -X112101007Y-94070005D01* -X112105619Y-94057116D01* -X112111472Y-94044740D01* -X112118510Y-94032998D01* -X112126665Y-94022002D01* -X112135859Y-94011859D01* -X112146002Y-94002665D01* -X112156998Y-93994510D01* -X112168740Y-93987472D01* -X112181116Y-93981619D01* -X112194005Y-93977007D01* -X112207285Y-93973680D01* -X112220827Y-93971672D01* -X112234500Y-93971000D01* -X113565500Y-93971000D01* -X113579173Y-93971672D01* -G37* -G36* -X113579173Y-94771672D02* -G01* -X113592715Y-94773680D01* -X113605995Y-94777007D01* -X113618884Y-94781619D01* -X113631260Y-94787472D01* -X113643002Y-94794510D01* -X113653998Y-94802665D01* -X113664141Y-94811859D01* -X113673335Y-94822002D01* -X113681490Y-94832998D01* -X113688528Y-94844740D01* -X113694381Y-94857116D01* -X113698993Y-94870005D01* -X113702320Y-94883285D01* -X113704328Y-94896827D01* -X113705000Y-94910500D01* -X113705000Y-95189500D01* -X113704328Y-95203173D01* -X113702320Y-95216715D01* -X113698993Y-95229995D01* -X113694381Y-95242884D01* -X113688528Y-95255260D01* -X113681490Y-95267002D01* -X113673335Y-95277998D01* -X113664141Y-95288141D01* -X113653998Y-95297335D01* -X113643002Y-95305490D01* -X113631260Y-95312528D01* -X113618884Y-95318381D01* -X113605995Y-95322993D01* -X113592715Y-95326320D01* -X113579173Y-95328328D01* -X113565500Y-95329000D01* -X112234500Y-95329000D01* -X112220827Y-95328328D01* -X112207285Y-95326320D01* -X112194005Y-95322993D01* -X112181116Y-95318381D01* -X112168740Y-95312528D01* -X112156998Y-95305490D01* -X112146002Y-95297335D01* -X112135859Y-95288141D01* -X112126665Y-95277998D01* -X112118510Y-95267002D01* -X112111472Y-95255260D01* -X112105619Y-95242884D01* -X112101007Y-95229995D01* -X112097680Y-95216715D01* -X112095672Y-95203173D01* -X112095000Y-95189500D01* -X112095000Y-94910500D01* -X112095672Y-94896827D01* -X112097680Y-94883285D01* -X112101007Y-94870005D01* -X112105619Y-94857116D01* -X112111472Y-94844740D01* -X112118510Y-94832998D01* -X112126665Y-94822002D01* -X112135859Y-94811859D01* -X112146002Y-94802665D01* -X112156998Y-94794510D01* -X112168740Y-94787472D01* -X112181116Y-94781619D01* -X112194005Y-94777007D01* -X112207285Y-94773680D01* -X112220827Y-94771672D01* -X112234500Y-94771000D01* -X113565500Y-94771000D01* -X113579173Y-94771672D01* -G37* -G36* -X113579173Y-95571672D02* -G01* -X113592715Y-95573680D01* -X113605995Y-95577007D01* -X113618884Y-95581619D01* -X113631260Y-95587472D01* -X113643002Y-95594510D01* -X113653998Y-95602665D01* -X113664141Y-95611859D01* -X113673335Y-95622002D01* -X113681490Y-95632998D01* -X113688528Y-95644740D01* -X113694381Y-95657116D01* -X113698993Y-95670005D01* -X113702320Y-95683285D01* -X113704328Y-95696827D01* -X113705000Y-95710500D01* -X113705000Y-95989500D01* -X113704328Y-96003173D01* -X113702320Y-96016715D01* -X113698993Y-96029995D01* -X113694381Y-96042884D01* -X113688528Y-96055260D01* -X113681490Y-96067002D01* -X113673335Y-96077998D01* -X113664141Y-96088141D01* -X113653998Y-96097335D01* -X113643002Y-96105490D01* -X113631260Y-96112528D01* -X113618884Y-96118381D01* -X113605995Y-96122993D01* -X113592715Y-96126320D01* -X113579173Y-96128328D01* -X113565500Y-96129000D01* -X112234500Y-96129000D01* -X112220827Y-96128328D01* -X112207285Y-96126320D01* -X112194005Y-96122993D01* -X112181116Y-96118381D01* -X112168740Y-96112528D01* -X112156998Y-96105490D01* -X112146002Y-96097335D01* -X112135859Y-96088141D01* -X112126665Y-96077998D01* -X112118510Y-96067002D01* -X112111472Y-96055260D01* -X112105619Y-96042884D01* -X112101007Y-96029995D01* -X112097680Y-96016715D01* -X112095672Y-96003173D01* -X112095000Y-95989500D01* -X112095000Y-95710500D01* -X112095672Y-95696827D01* -X112097680Y-95683285D01* -X112101007Y-95670005D01* -X112105619Y-95657116D01* -X112111472Y-95644740D01* -X112118510Y-95632998D01* -X112126665Y-95622002D01* -X112135859Y-95611859D01* -X112146002Y-95602665D01* -X112156998Y-95594510D01* -X112168740Y-95587472D01* -X112181116Y-95581619D01* -X112194005Y-95577007D01* -X112207285Y-95573680D01* -X112220827Y-95571672D01* -X112234500Y-95571000D01* -X113565500Y-95571000D01* -X113579173Y-95571672D01* -G37* -G36* -X113579173Y-96371672D02* -G01* -X113592715Y-96373680D01* -X113605995Y-96377007D01* -X113618884Y-96381619D01* -X113631260Y-96387472D01* -X113643002Y-96394510D01* -X113653998Y-96402665D01* -X113664141Y-96411859D01* -X113673335Y-96422002D01* -X113681490Y-96432998D01* -X113688528Y-96444740D01* -X113694381Y-96457116D01* -X113698993Y-96470005D01* -X113702320Y-96483285D01* -X113704328Y-96496827D01* -X113705000Y-96510500D01* -X113705000Y-96789500D01* -X113704328Y-96803173D01* -X113702320Y-96816715D01* -X113698993Y-96829995D01* -X113694381Y-96842884D01* -X113688528Y-96855260D01* -X113681490Y-96867002D01* -X113673335Y-96877998D01* -X113664141Y-96888141D01* -X113653998Y-96897335D01* -X113643002Y-96905490D01* -X113631260Y-96912528D01* -X113618884Y-96918381D01* -X113605995Y-96922993D01* -X113592715Y-96926320D01* -X113579173Y-96928328D01* -X113565500Y-96929000D01* -X112234500Y-96929000D01* -X112220827Y-96928328D01* -X112207285Y-96926320D01* -X112194005Y-96922993D01* -X112181116Y-96918381D01* -X112168740Y-96912528D01* -X112156998Y-96905490D01* -X112146002Y-96897335D01* -X112135859Y-96888141D01* -X112126665Y-96877998D01* -X112118510Y-96867002D01* -X112111472Y-96855260D01* -X112105619Y-96842884D01* -X112101007Y-96829995D01* -X112097680Y-96816715D01* -X112095672Y-96803173D01* -X112095000Y-96789500D01* -X112095000Y-96510500D01* -X112095672Y-96496827D01* -X112097680Y-96483285D01* -X112101007Y-96470005D01* -X112105619Y-96457116D01* -X112111472Y-96444740D01* -X112118510Y-96432998D01* -X112126665Y-96422002D01* -X112135859Y-96411859D01* -X112146002Y-96402665D01* -X112156998Y-96394510D01* -X112168740Y-96387472D01* -X112181116Y-96381619D01* -X112194005Y-96377007D01* -X112207285Y-96373680D01* -X112220827Y-96371672D01* -X112234500Y-96371000D01* -X113565500Y-96371000D01* -X113579173Y-96371672D01* -G37* -G36* -X113579173Y-97171672D02* -G01* -X113592715Y-97173680D01* -X113605995Y-97177007D01* -X113618884Y-97181619D01* -X113631260Y-97187472D01* -X113643002Y-97194510D01* -X113653998Y-97202665D01* -X113664141Y-97211859D01* -X113673335Y-97222002D01* -X113681490Y-97232998D01* -X113688528Y-97244740D01* -X113694381Y-97257116D01* -X113698993Y-97270005D01* -X113702320Y-97283285D01* -X113704328Y-97296827D01* -X113705000Y-97310500D01* -X113705000Y-97589500D01* -X113704328Y-97603173D01* -X113702320Y-97616715D01* -X113698993Y-97629995D01* -X113694381Y-97642884D01* -X113688528Y-97655260D01* -X113681490Y-97667002D01* -X113673335Y-97677998D01* -X113664141Y-97688141D01* -X113653998Y-97697335D01* -X113643002Y-97705490D01* -X113631260Y-97712528D01* -X113618884Y-97718381D01* -X113605995Y-97722993D01* -X113592715Y-97726320D01* -X113579173Y-97728328D01* -X113565500Y-97729000D01* -X112234500Y-97729000D01* -X112220827Y-97728328D01* -X112207285Y-97726320D01* -X112194005Y-97722993D01* -X112181116Y-97718381D01* -X112168740Y-97712528D01* -X112156998Y-97705490D01* -X112146002Y-97697335D01* -X112135859Y-97688141D01* -X112126665Y-97677998D01* -X112118510Y-97667002D01* -X112111472Y-97655260D01* -X112105619Y-97642884D01* -X112101007Y-97629995D01* -X112097680Y-97616715D01* -X112095672Y-97603173D01* -X112095000Y-97589500D01* -X112095000Y-97310500D01* -X112095672Y-97296827D01* -X112097680Y-97283285D01* -X112101007Y-97270005D01* -X112105619Y-97257116D01* -X112111472Y-97244740D01* -X112118510Y-97232998D01* -X112126665Y-97222002D01* -X112135859Y-97211859D01* -X112146002Y-97202665D01* -X112156998Y-97194510D01* -X112168740Y-97187472D01* -X112181116Y-97181619D01* -X112194005Y-97177007D01* -X112207285Y-97173680D01* -X112220827Y-97171672D01* -X112234500Y-97171000D01* -X113565500Y-97171000D01* -X113579173Y-97171672D01* -G37* -G36* -X113579173Y-97971672D02* -G01* -X113592715Y-97973680D01* -X113605995Y-97977007D01* -X113618884Y-97981619D01* -X113631260Y-97987472D01* -X113643002Y-97994510D01* -X113653998Y-98002665D01* -X113664141Y-98011859D01* -X113673335Y-98022002D01* -X113681490Y-98032998D01* -X113688528Y-98044740D01* -X113694381Y-98057116D01* -X113698993Y-98070005D01* -X113702320Y-98083285D01* -X113704328Y-98096827D01* -X113705000Y-98110500D01* -X113705000Y-98389500D01* -X113704328Y-98403173D01* -X113702320Y-98416715D01* -X113698993Y-98429995D01* -X113694381Y-98442884D01* -X113688528Y-98455260D01* -X113681490Y-98467002D01* -X113673335Y-98477998D01* -X113664141Y-98488141D01* -X113653998Y-98497335D01* -X113643002Y-98505490D01* -X113631260Y-98512528D01* -X113618884Y-98518381D01* -X113605995Y-98522993D01* -X113592715Y-98526320D01* -X113579173Y-98528328D01* -X113565500Y-98529000D01* -X112234500Y-98529000D01* -X112220827Y-98528328D01* -X112207285Y-98526320D01* -X112194005Y-98522993D01* -X112181116Y-98518381D01* -X112168740Y-98512528D01* -X112156998Y-98505490D01* -X112146002Y-98497335D01* -X112135859Y-98488141D01* -X112126665Y-98477998D01* -X112118510Y-98467002D01* -X112111472Y-98455260D01* -X112105619Y-98442884D01* -X112101007Y-98429995D01* -X112097680Y-98416715D01* -X112095672Y-98403173D01* -X112095000Y-98389500D01* -X112095000Y-98110500D01* -X112095672Y-98096827D01* -X112097680Y-98083285D01* -X112101007Y-98070005D01* -X112105619Y-98057116D01* -X112111472Y-98044740D01* -X112118510Y-98032998D01* -X112126665Y-98022002D01* -X112135859Y-98011859D01* -X112146002Y-98002665D01* -X112156998Y-97994510D01* -X112168740Y-97987472D01* -X112181116Y-97981619D01* -X112194005Y-97977007D01* -X112207285Y-97973680D01* -X112220827Y-97971672D01* -X112234500Y-97971000D01* -X113565500Y-97971000D01* -X113579173Y-97971672D01* -G37* -G36* -X113579173Y-98771672D02* -G01* -X113592715Y-98773680D01* -X113605995Y-98777007D01* -X113618884Y-98781619D01* -X113631260Y-98787472D01* -X113643002Y-98794510D01* -X113653998Y-98802665D01* -X113664141Y-98811859D01* -X113673335Y-98822002D01* -X113681490Y-98832998D01* -X113688528Y-98844740D01* -X113694381Y-98857116D01* -X113698993Y-98870005D01* -X113702320Y-98883285D01* -X113704328Y-98896827D01* -X113705000Y-98910500D01* -X113705000Y-99189500D01* -X113704328Y-99203173D01* -X113702320Y-99216715D01* -X113698993Y-99229995D01* -X113694381Y-99242884D01* -X113688528Y-99255260D01* -X113681490Y-99267002D01* -X113673335Y-99277998D01* -X113664141Y-99288141D01* -X113653998Y-99297335D01* -X113643002Y-99305490D01* -X113631260Y-99312528D01* -X113618884Y-99318381D01* -X113605995Y-99322993D01* -X113592715Y-99326320D01* -X113579173Y-99328328D01* -X113565500Y-99329000D01* -X112234500Y-99329000D01* -X112220827Y-99328328D01* -X112207285Y-99326320D01* -X112194005Y-99322993D01* -X112181116Y-99318381D01* -X112168740Y-99312528D01* -X112156998Y-99305490D01* -X112146002Y-99297335D01* -X112135859Y-99288141D01* -X112126665Y-99277998D01* -X112118510Y-99267002D01* -X112111472Y-99255260D01* -X112105619Y-99242884D01* -X112101007Y-99229995D01* -X112097680Y-99216715D01* -X112095672Y-99203173D01* -X112095000Y-99189500D01* -X112095000Y-98910500D01* -X112095672Y-98896827D01* -X112097680Y-98883285D01* -X112101007Y-98870005D01* -X112105619Y-98857116D01* -X112111472Y-98844740D01* -X112118510Y-98832998D01* -X112126665Y-98822002D01* -X112135859Y-98811859D01* -X112146002Y-98802665D01* -X112156998Y-98794510D01* -X112168740Y-98787472D01* -X112181116Y-98781619D01* -X112194005Y-98777007D01* -X112207285Y-98773680D01* -X112220827Y-98771672D01* -X112234500Y-98771000D01* -X113565500Y-98771000D01* -X113579173Y-98771672D01* -G37* -G36* -X113579173Y-99571672D02* -G01* -X113592715Y-99573680D01* -X113605995Y-99577007D01* -X113618884Y-99581619D01* -X113631260Y-99587472D01* -X113643002Y-99594510D01* -X113653998Y-99602665D01* -X113664141Y-99611859D01* -X113673335Y-99622002D01* -X113681490Y-99632998D01* -X113688528Y-99644740D01* -X113694381Y-99657116D01* -X113698993Y-99670005D01* -X113702320Y-99683285D01* -X113704328Y-99696827D01* -X113705000Y-99710500D01* -X113705000Y-99989500D01* -X113704328Y-100003173D01* -X113702320Y-100016715D01* -X113698993Y-100029995D01* -X113694381Y-100042884D01* -X113688528Y-100055260D01* -X113681490Y-100067002D01* -X113673335Y-100077998D01* -X113664141Y-100088141D01* -X113653998Y-100097335D01* -X113643002Y-100105490D01* -X113631260Y-100112528D01* -X113618884Y-100118381D01* -X113605995Y-100122993D01* -X113592715Y-100126320D01* -X113579173Y-100128328D01* -X113565500Y-100129000D01* -X112234500Y-100129000D01* -X112220827Y-100128328D01* -X112207285Y-100126320D01* -X112194005Y-100122993D01* -X112181116Y-100118381D01* -X112168740Y-100112528D01* -X112156998Y-100105490D01* -X112146002Y-100097335D01* -X112135859Y-100088141D01* -X112126665Y-100077998D01* -X112118510Y-100067002D01* -X112111472Y-100055260D01* -X112105619Y-100042884D01* -X112101007Y-100029995D01* -X112097680Y-100016715D01* -X112095672Y-100003173D01* -X112095000Y-99989500D01* -X112095000Y-99710500D01* -X112095672Y-99696827D01* -X112097680Y-99683285D01* -X112101007Y-99670005D01* -X112105619Y-99657116D01* -X112111472Y-99644740D01* -X112118510Y-99632998D01* -X112126665Y-99622002D01* -X112135859Y-99611859D01* -X112146002Y-99602665D01* -X112156998Y-99594510D01* -X112168740Y-99587472D01* -X112181116Y-99581619D01* -X112194005Y-99577007D01* -X112207285Y-99573680D01* -X112220827Y-99571672D01* -X112234500Y-99571000D01* -X113565500Y-99571000D01* -X113579173Y-99571672D01* -G37* -G36* -X113579173Y-100371672D02* -G01* -X113592715Y-100373680D01* -X113605995Y-100377007D01* -X113618884Y-100381619D01* -X113631260Y-100387472D01* -X113643002Y-100394510D01* -X113653998Y-100402665D01* -X113664141Y-100411859D01* -X113673335Y-100422002D01* -X113681490Y-100432998D01* -X113688528Y-100444740D01* -X113694381Y-100457116D01* -X113698993Y-100470005D01* -X113702320Y-100483285D01* -X113704328Y-100496827D01* -X113705000Y-100510500D01* -X113705000Y-100789500D01* -X113704328Y-100803173D01* -X113702320Y-100816715D01* -X113698993Y-100829995D01* -X113694381Y-100842884D01* -X113688528Y-100855260D01* -X113681490Y-100867002D01* -X113673335Y-100877998D01* -X113664141Y-100888141D01* -X113653998Y-100897335D01* -X113643002Y-100905490D01* -X113631260Y-100912528D01* -X113618884Y-100918381D01* -X113605995Y-100922993D01* -X113592715Y-100926320D01* -X113579173Y-100928328D01* -X113565500Y-100929000D01* -X112234500Y-100929000D01* -X112220827Y-100928328D01* -X112207285Y-100926320D01* -X112194005Y-100922993D01* -X112181116Y-100918381D01* -X112168740Y-100912528D01* -X112156998Y-100905490D01* -X112146002Y-100897335D01* -X112135859Y-100888141D01* -X112126665Y-100877998D01* -X112118510Y-100867002D01* -X112111472Y-100855260D01* -X112105619Y-100842884D01* -X112101007Y-100829995D01* -X112097680Y-100816715D01* -X112095672Y-100803173D01* -X112095000Y-100789500D01* -X112095000Y-100510500D01* -X112095672Y-100496827D01* -X112097680Y-100483285D01* -X112101007Y-100470005D01* -X112105619Y-100457116D01* -X112111472Y-100444740D01* -X112118510Y-100432998D01* -X112126665Y-100422002D01* -X112135859Y-100411859D01* -X112146002Y-100402665D01* -X112156998Y-100394510D01* -X112168740Y-100387472D01* -X112181116Y-100381619D01* -X112194005Y-100377007D01* -X112207285Y-100373680D01* -X112220827Y-100371672D01* -X112234500Y-100371000D01* -X113565500Y-100371000D01* -X113579173Y-100371672D01* -G37* -G36* -X113579173Y-101171672D02* -G01* -X113592715Y-101173680D01* -X113605995Y-101177007D01* -X113618884Y-101181619D01* -X113631260Y-101187472D01* -X113643002Y-101194510D01* -X113653998Y-101202665D01* -X113664141Y-101211859D01* -X113673335Y-101222002D01* -X113681490Y-101232998D01* -X113688528Y-101244740D01* -X113694381Y-101257116D01* -X113698993Y-101270005D01* -X113702320Y-101283285D01* -X113704328Y-101296827D01* -X113705000Y-101310500D01* -X113705000Y-101589500D01* -X113704328Y-101603173D01* -X113702320Y-101616715D01* -X113698993Y-101629995D01* -X113694381Y-101642884D01* -X113688528Y-101655260D01* -X113681490Y-101667002D01* -X113673335Y-101677998D01* -X113664141Y-101688141D01* -X113653998Y-101697335D01* -X113643002Y-101705490D01* -X113631260Y-101712528D01* -X113618884Y-101718381D01* -X113605995Y-101722993D01* -X113592715Y-101726320D01* -X113579173Y-101728328D01* -X113565500Y-101729000D01* -X112234500Y-101729000D01* -X112220827Y-101728328D01* -X112207285Y-101726320D01* -X112194005Y-101722993D01* -X112181116Y-101718381D01* -X112168740Y-101712528D01* -X112156998Y-101705490D01* -X112146002Y-101697335D01* -X112135859Y-101688141D01* -X112126665Y-101677998D01* -X112118510Y-101667002D01* -X112111472Y-101655260D01* -X112105619Y-101642884D01* -X112101007Y-101629995D01* -X112097680Y-101616715D01* -X112095672Y-101603173D01* -X112095000Y-101589500D01* -X112095000Y-101310500D01* -X112095672Y-101296827D01* -X112097680Y-101283285D01* -X112101007Y-101270005D01* -X112105619Y-101257116D01* -X112111472Y-101244740D01* -X112118510Y-101232998D01* -X112126665Y-101222002D01* -X112135859Y-101211859D01* -X112146002Y-101202665D01* -X112156998Y-101194510D01* -X112168740Y-101187472D01* -X112181116Y-101181619D01* -X112194005Y-101177007D01* -X112207285Y-101173680D01* -X112220827Y-101171672D01* -X112234500Y-101171000D01* -X113565500Y-101171000D01* -X113579173Y-101171672D01* -G37* -G36* -X113579173Y-101971672D02* -G01* -X113592715Y-101973680D01* -X113605995Y-101977007D01* -X113618884Y-101981619D01* -X113631260Y-101987472D01* -X113643002Y-101994510D01* -X113653998Y-102002665D01* -X113664141Y-102011859D01* -X113673335Y-102022002D01* -X113681490Y-102032998D01* -X113688528Y-102044740D01* -X113694381Y-102057116D01* -X113698993Y-102070005D01* -X113702320Y-102083285D01* -X113704328Y-102096827D01* -X113705000Y-102110500D01* -X113705000Y-102389500D01* -X113704328Y-102403173D01* -X113702320Y-102416715D01* -X113698993Y-102429995D01* -X113694381Y-102442884D01* -X113688528Y-102455260D01* -X113681490Y-102467002D01* -X113673335Y-102477998D01* -X113664141Y-102488141D01* -X113653998Y-102497335D01* -X113643002Y-102505490D01* -X113631260Y-102512528D01* -X113618884Y-102518381D01* -X113605995Y-102522993D01* -X113592715Y-102526320D01* -X113579173Y-102528328D01* -X113565500Y-102529000D01* -X112234500Y-102529000D01* -X112220827Y-102528328D01* -X112207285Y-102526320D01* -X112194005Y-102522993D01* -X112181116Y-102518381D01* -X112168740Y-102512528D01* -X112156998Y-102505490D01* -X112146002Y-102497335D01* -X112135859Y-102488141D01* -X112126665Y-102477998D01* -X112118510Y-102467002D01* -X112111472Y-102455260D01* -X112105619Y-102442884D01* -X112101007Y-102429995D01* -X112097680Y-102416715D01* -X112095672Y-102403173D01* -X112095000Y-102389500D01* -X112095000Y-102110500D01* -X112095672Y-102096827D01* -X112097680Y-102083285D01* -X112101007Y-102070005D01* -X112105619Y-102057116D01* -X112111472Y-102044740D01* -X112118510Y-102032998D01* -X112126665Y-102022002D01* -X112135859Y-102011859D01* -X112146002Y-102002665D01* -X112156998Y-101994510D01* -X112168740Y-101987472D01* -X112181116Y-101981619D01* -X112194005Y-101977007D01* -X112207285Y-101973680D01* -X112220827Y-101971672D01* -X112234500Y-101971000D01* -X113565500Y-101971000D01* -X113579173Y-101971672D01* -G37* -G36* -X113579173Y-102771672D02* -G01* -X113592715Y-102773680D01* -X113605995Y-102777007D01* -X113618884Y-102781619D01* -X113631260Y-102787472D01* -X113643002Y-102794510D01* -X113653998Y-102802665D01* -X113664141Y-102811859D01* -X113673335Y-102822002D01* -X113681490Y-102832998D01* -X113688528Y-102844740D01* -X113694381Y-102857116D01* -X113698993Y-102870005D01* -X113702320Y-102883285D01* -X113704328Y-102896827D01* -X113705000Y-102910500D01* -X113705000Y-103189500D01* -X113704328Y-103203173D01* -X113702320Y-103216715D01* -X113698993Y-103229995D01* -X113694381Y-103242884D01* -X113688528Y-103255260D01* -X113681490Y-103267002D01* -X113673335Y-103277998D01* -X113664141Y-103288141D01* -X113653998Y-103297335D01* -X113643002Y-103305490D01* -X113631260Y-103312528D01* -X113618884Y-103318381D01* -X113605995Y-103322993D01* -X113592715Y-103326320D01* -X113579173Y-103328328D01* -X113565500Y-103329000D01* -X112234500Y-103329000D01* -X112220827Y-103328328D01* -X112207285Y-103326320D01* -X112194005Y-103322993D01* -X112181116Y-103318381D01* -X112168740Y-103312528D01* -X112156998Y-103305490D01* -X112146002Y-103297335D01* -X112135859Y-103288141D01* -X112126665Y-103277998D01* -X112118510Y-103267002D01* -X112111472Y-103255260D01* -X112105619Y-103242884D01* -X112101007Y-103229995D01* -X112097680Y-103216715D01* -X112095672Y-103203173D01* -X112095000Y-103189500D01* -X112095000Y-102910500D01* -X112095672Y-102896827D01* -X112097680Y-102883285D01* -X112101007Y-102870005D01* -X112105619Y-102857116D01* -X112111472Y-102844740D01* -X112118510Y-102832998D01* -X112126665Y-102822002D01* -X112135859Y-102811859D01* -X112146002Y-102802665D01* -X112156998Y-102794510D01* -X112168740Y-102787472D01* -X112181116Y-102781619D01* -X112194005Y-102777007D01* -X112207285Y-102773680D01* -X112220827Y-102771672D01* -X112234500Y-102771000D01* -X113565500Y-102771000D01* -X113579173Y-102771672D01* -G37* -G36* -X113579173Y-103571672D02* -G01* -X113592715Y-103573680D01* -X113605995Y-103577007D01* -X113618884Y-103581619D01* -X113631260Y-103587472D01* -X113643002Y-103594510D01* -X113653998Y-103602665D01* -X113664141Y-103611859D01* -X113673335Y-103622002D01* -X113681490Y-103632998D01* -X113688528Y-103644740D01* -X113694381Y-103657116D01* -X113698993Y-103670005D01* -X113702320Y-103683285D01* -X113704328Y-103696827D01* -X113705000Y-103710500D01* -X113705000Y-103989500D01* -X113704328Y-104003173D01* -X113702320Y-104016715D01* -X113698993Y-104029995D01* -X113694381Y-104042884D01* -X113688528Y-104055260D01* -X113681490Y-104067002D01* -X113673335Y-104077998D01* -X113664141Y-104088141D01* -X113653998Y-104097335D01* -X113643002Y-104105490D01* -X113631260Y-104112528D01* -X113618884Y-104118381D01* -X113605995Y-104122993D01* -X113592715Y-104126320D01* -X113579173Y-104128328D01* -X113565500Y-104129000D01* -X112234500Y-104129000D01* -X112220827Y-104128328D01* -X112207285Y-104126320D01* -X112194005Y-104122993D01* -X112181116Y-104118381D01* -X112168740Y-104112528D01* -X112156998Y-104105490D01* -X112146002Y-104097335D01* -X112135859Y-104088141D01* -X112126665Y-104077998D01* -X112118510Y-104067002D01* -X112111472Y-104055260D01* -X112105619Y-104042884D01* -X112101007Y-104029995D01* -X112097680Y-104016715D01* -X112095672Y-104003173D01* -X112095000Y-103989500D01* -X112095000Y-103710500D01* -X112095672Y-103696827D01* -X112097680Y-103683285D01* -X112101007Y-103670005D01* -X112105619Y-103657116D01* -X112111472Y-103644740D01* -X112118510Y-103632998D01* -X112126665Y-103622002D01* -X112135859Y-103611859D01* -X112146002Y-103602665D01* -X112156998Y-103594510D01* -X112168740Y-103587472D01* -X112181116Y-103581619D01* -X112194005Y-103577007D01* -X112207285Y-103573680D01* -X112220827Y-103571672D01* -X112234500Y-103571000D01* -X113565500Y-103571000D01* -X113579173Y-103571672D01* -G37* -G36* -X113579173Y-104371672D02* -G01* -X113592715Y-104373680D01* -X113605995Y-104377007D01* -X113618884Y-104381619D01* -X113631260Y-104387472D01* -X113643002Y-104394510D01* -X113653998Y-104402665D01* -X113664141Y-104411859D01* -X113673335Y-104422002D01* -X113681490Y-104432998D01* -X113688528Y-104444740D01* -X113694381Y-104457116D01* -X113698993Y-104470005D01* -X113702320Y-104483285D01* -X113704328Y-104496827D01* -X113705000Y-104510500D01* -X113705000Y-104789500D01* -X113704328Y-104803173D01* -X113702320Y-104816715D01* -X113698993Y-104829995D01* -X113694381Y-104842884D01* -X113688528Y-104855260D01* -X113681490Y-104867002D01* -X113673335Y-104877998D01* -X113664141Y-104888141D01* -X113653998Y-104897335D01* -X113643002Y-104905490D01* -X113631260Y-104912528D01* -X113618884Y-104918381D01* -X113605995Y-104922993D01* -X113592715Y-104926320D01* -X113579173Y-104928328D01* -X113565500Y-104929000D01* -X112234500Y-104929000D01* -X112220827Y-104928328D01* -X112207285Y-104926320D01* -X112194005Y-104922993D01* -X112181116Y-104918381D01* -X112168740Y-104912528D01* -X112156998Y-104905490D01* -X112146002Y-104897335D01* -X112135859Y-104888141D01* -X112126665Y-104877998D01* -X112118510Y-104867002D01* -X112111472Y-104855260D01* -X112105619Y-104842884D01* -X112101007Y-104829995D01* -X112097680Y-104816715D01* -X112095672Y-104803173D01* -X112095000Y-104789500D01* -X112095000Y-104510500D01* -X112095672Y-104496827D01* -X112097680Y-104483285D01* -X112101007Y-104470005D01* -X112105619Y-104457116D01* -X112111472Y-104444740D01* -X112118510Y-104432998D01* -X112126665Y-104422002D01* -X112135859Y-104411859D01* -X112146002Y-104402665D01* -X112156998Y-104394510D01* -X112168740Y-104387472D01* -X112181116Y-104381619D01* -X112194005Y-104377007D01* -X112207285Y-104373680D01* -X112220827Y-104371672D01* -X112234500Y-104371000D01* -X113565500Y-104371000D01* -X113579173Y-104371672D01* -G37* -G36* -X113579173Y-105171672D02* -G01* -X113592715Y-105173680D01* -X113605995Y-105177007D01* -X113618884Y-105181619D01* -X113631260Y-105187472D01* -X113643002Y-105194510D01* -X113653998Y-105202665D01* -X113664141Y-105211859D01* -X113673335Y-105222002D01* -X113681490Y-105232998D01* -X113688528Y-105244740D01* -X113694381Y-105257116D01* -X113698993Y-105270005D01* -X113702320Y-105283285D01* -X113704328Y-105296827D01* -X113705000Y-105310500D01* -X113705000Y-105589500D01* -X113704328Y-105603173D01* -X113702320Y-105616715D01* -X113698993Y-105629995D01* -X113694381Y-105642884D01* -X113688528Y-105655260D01* -X113681490Y-105667002D01* -X113673335Y-105677998D01* -X113664141Y-105688141D01* -X113653998Y-105697335D01* -X113643002Y-105705490D01* -X113631260Y-105712528D01* -X113618884Y-105718381D01* -X113605995Y-105722993D01* -X113592715Y-105726320D01* -X113579173Y-105728328D01* -X113565500Y-105729000D01* -X112234500Y-105729000D01* -X112220827Y-105728328D01* -X112207285Y-105726320D01* -X112194005Y-105722993D01* -X112181116Y-105718381D01* -X112168740Y-105712528D01* -X112156998Y-105705490D01* -X112146002Y-105697335D01* -X112135859Y-105688141D01* -X112126665Y-105677998D01* -X112118510Y-105667002D01* -X112111472Y-105655260D01* -X112105619Y-105642884D01* -X112101007Y-105629995D01* -X112097680Y-105616715D01* -X112095672Y-105603173D01* -X112095000Y-105589500D01* -X112095000Y-105310500D01* -X112095672Y-105296827D01* -X112097680Y-105283285D01* -X112101007Y-105270005D01* -X112105619Y-105257116D01* -X112111472Y-105244740D01* -X112118510Y-105232998D01* -X112126665Y-105222002D01* -X112135859Y-105211859D01* -X112146002Y-105202665D01* -X112156998Y-105194510D01* -X112168740Y-105187472D01* -X112181116Y-105181619D01* -X112194005Y-105177007D01* -X112207285Y-105173680D01* -X112220827Y-105171672D01* -X112234500Y-105171000D01* -X113565500Y-105171000D01* -X113579173Y-105171672D01* -G37* -G36* -X113579173Y-105971672D02* -G01* -X113592715Y-105973680D01* -X113605995Y-105977007D01* -X113618884Y-105981619D01* -X113631260Y-105987472D01* -X113643002Y-105994510D01* -X113653998Y-106002665D01* -X113664141Y-106011859D01* -X113673335Y-106022002D01* -X113681490Y-106032998D01* -X113688528Y-106044740D01* -X113694381Y-106057116D01* -X113698993Y-106070005D01* -X113702320Y-106083285D01* -X113704328Y-106096827D01* -X113705000Y-106110500D01* -X113705000Y-106389500D01* -X113704328Y-106403173D01* -X113702320Y-106416715D01* -X113698993Y-106429995D01* -X113694381Y-106442884D01* -X113688528Y-106455260D01* -X113681490Y-106467002D01* -X113673335Y-106477998D01* -X113664141Y-106488141D01* -X113653998Y-106497335D01* -X113643002Y-106505490D01* -X113631260Y-106512528D01* -X113618884Y-106518381D01* -X113605995Y-106522993D01* -X113592715Y-106526320D01* -X113579173Y-106528328D01* -X113565500Y-106529000D01* -X112234500Y-106529000D01* -X112220827Y-106528328D01* -X112207285Y-106526320D01* -X112194005Y-106522993D01* -X112181116Y-106518381D01* -X112168740Y-106512528D01* -X112156998Y-106505490D01* -X112146002Y-106497335D01* -X112135859Y-106488141D01* -X112126665Y-106477998D01* -X112118510Y-106467002D01* -X112111472Y-106455260D01* -X112105619Y-106442884D01* -X112101007Y-106429995D01* -X112097680Y-106416715D01* -X112095672Y-106403173D01* -X112095000Y-106389500D01* -X112095000Y-106110500D01* -X112095672Y-106096827D01* -X112097680Y-106083285D01* -X112101007Y-106070005D01* -X112105619Y-106057116D01* -X112111472Y-106044740D01* -X112118510Y-106032998D01* -X112126665Y-106022002D01* -X112135859Y-106011859D01* -X112146002Y-106002665D01* -X112156998Y-105994510D01* -X112168740Y-105987472D01* -X112181116Y-105981619D01* -X112194005Y-105977007D01* -X112207285Y-105973680D01* -X112220827Y-105971672D01* -X112234500Y-105971000D01* -X113565500Y-105971000D01* -X113579173Y-105971672D01* -G37* -G36* -X113579173Y-106771672D02* -G01* -X113592715Y-106773680D01* -X113605995Y-106777007D01* -X113618884Y-106781619D01* -X113631260Y-106787472D01* -X113643002Y-106794510D01* -X113653998Y-106802665D01* -X113664141Y-106811859D01* -X113673335Y-106822002D01* -X113681490Y-106832998D01* -X113688528Y-106844740D01* -X113694381Y-106857116D01* -X113698993Y-106870005D01* -X113702320Y-106883285D01* -X113704328Y-106896827D01* -X113705000Y-106910500D01* -X113705000Y-107189500D01* -X113704328Y-107203173D01* -X113702320Y-107216715D01* -X113698993Y-107229995D01* -X113694381Y-107242884D01* -X113688528Y-107255260D01* -X113681490Y-107267002D01* -X113673335Y-107277998D01* -X113664141Y-107288141D01* -X113653998Y-107297335D01* -X113643002Y-107305490D01* -X113631260Y-107312528D01* -X113618884Y-107318381D01* -X113605995Y-107322993D01* -X113592715Y-107326320D01* -X113579173Y-107328328D01* -X113565500Y-107329000D01* -X112234500Y-107329000D01* -X112220827Y-107328328D01* -X112207285Y-107326320D01* -X112194005Y-107322993D01* -X112181116Y-107318381D01* -X112168740Y-107312528D01* -X112156998Y-107305490D01* -X112146002Y-107297335D01* -X112135859Y-107288141D01* -X112126665Y-107277998D01* -X112118510Y-107267002D01* -X112111472Y-107255260D01* -X112105619Y-107242884D01* -X112101007Y-107229995D01* -X112097680Y-107216715D01* -X112095672Y-107203173D01* -X112095000Y-107189500D01* -X112095000Y-106910500D01* -X112095672Y-106896827D01* -X112097680Y-106883285D01* -X112101007Y-106870005D01* -X112105619Y-106857116D01* -X112111472Y-106844740D01* -X112118510Y-106832998D01* -X112126665Y-106822002D01* -X112135859Y-106811859D01* -X112146002Y-106802665D01* -X112156998Y-106794510D01* -X112168740Y-106787472D01* -X112181116Y-106781619D01* -X112194005Y-106777007D01* -X112207285Y-106773680D01* -X112220827Y-106771672D01* -X112234500Y-106771000D01* -X113565500Y-106771000D01* -X113579173Y-106771672D01* -G37* -G36* -X113579173Y-107571672D02* -G01* -X113592715Y-107573680D01* -X113605995Y-107577007D01* -X113618884Y-107581619D01* -X113631260Y-107587472D01* -X113643002Y-107594510D01* -X113653998Y-107602665D01* -X113664141Y-107611859D01* -X113673335Y-107622002D01* -X113681490Y-107632998D01* -X113688528Y-107644740D01* -X113694381Y-107657116D01* -X113698993Y-107670005D01* -X113702320Y-107683285D01* -X113704328Y-107696827D01* -X113705000Y-107710500D01* -X113705000Y-107989500D01* -X113704328Y-108003173D01* -X113702320Y-108016715D01* -X113698993Y-108029995D01* -X113694381Y-108042884D01* -X113688528Y-108055260D01* -X113681490Y-108067002D01* -X113673335Y-108077998D01* -X113664141Y-108088141D01* -X113653998Y-108097335D01* -X113643002Y-108105490D01* -X113631260Y-108112528D01* -X113618884Y-108118381D01* -X113605995Y-108122993D01* -X113592715Y-108126320D01* -X113579173Y-108128328D01* -X113565500Y-108129000D01* -X112234500Y-108129000D01* -X112220827Y-108128328D01* -X112207285Y-108126320D01* -X112194005Y-108122993D01* -X112181116Y-108118381D01* -X112168740Y-108112528D01* -X112156998Y-108105490D01* -X112146002Y-108097335D01* -X112135859Y-108088141D01* -X112126665Y-108077998D01* -X112118510Y-108067002D01* -X112111472Y-108055260D01* -X112105619Y-108042884D01* -X112101007Y-108029995D01* -X112097680Y-108016715D01* -X112095672Y-108003173D01* -X112095000Y-107989500D01* -X112095000Y-107710500D01* -X112095672Y-107696827D01* -X112097680Y-107683285D01* -X112101007Y-107670005D01* -X112105619Y-107657116D01* -X112111472Y-107644740D01* -X112118510Y-107632998D01* -X112126665Y-107622002D01* -X112135859Y-107611859D01* -X112146002Y-107602665D01* -X112156998Y-107594510D01* -X112168740Y-107587472D01* -X112181116Y-107581619D01* -X112194005Y-107577007D01* -X112207285Y-107573680D01* -X112220827Y-107571672D01* -X112234500Y-107571000D01* -X113565500Y-107571000D01* -X113579173Y-107571672D01* -G37* -G36* -X113579173Y-108371672D02* -G01* -X113592715Y-108373680D01* -X113605995Y-108377007D01* -X113618884Y-108381619D01* -X113631260Y-108387472D01* -X113643002Y-108394510D01* -X113653998Y-108402665D01* -X113664141Y-108411859D01* -X113673335Y-108422002D01* -X113681490Y-108432998D01* -X113688528Y-108444740D01* -X113694381Y-108457116D01* -X113698993Y-108470005D01* -X113702320Y-108483285D01* -X113704328Y-108496827D01* -X113705000Y-108510500D01* -X113705000Y-108789500D01* -X113704328Y-108803173D01* -X113702320Y-108816715D01* -X113698993Y-108829995D01* -X113694381Y-108842884D01* -X113688528Y-108855260D01* -X113681490Y-108867002D01* -X113673335Y-108877998D01* -X113664141Y-108888141D01* -X113653998Y-108897335D01* -X113643002Y-108905490D01* -X113631260Y-108912528D01* -X113618884Y-108918381D01* -X113605995Y-108922993D01* -X113592715Y-108926320D01* -X113579173Y-108928328D01* -X113565500Y-108929000D01* -X112234500Y-108929000D01* -X112220827Y-108928328D01* -X112207285Y-108926320D01* -X112194005Y-108922993D01* -X112181116Y-108918381D01* -X112168740Y-108912528D01* -X112156998Y-108905490D01* -X112146002Y-108897335D01* -X112135859Y-108888141D01* -X112126665Y-108877998D01* -X112118510Y-108867002D01* -X112111472Y-108855260D01* -X112105619Y-108842884D01* -X112101007Y-108829995D01* -X112097680Y-108816715D01* -X112095672Y-108803173D01* -X112095000Y-108789500D01* -X112095000Y-108510500D01* -X112095672Y-108496827D01* -X112097680Y-108483285D01* -X112101007Y-108470005D01* -X112105619Y-108457116D01* -X112111472Y-108444740D01* -X112118510Y-108432998D01* -X112126665Y-108422002D01* -X112135859Y-108411859D01* -X112146002Y-108402665D01* -X112156998Y-108394510D01* -X112168740Y-108387472D01* -X112181116Y-108381619D01* -X112194005Y-108377007D01* -X112207285Y-108373680D01* -X112220827Y-108371672D01* -X112234500Y-108371000D01* -X113565500Y-108371000D01* -X113579173Y-108371672D01* -G37* -G36* -X113579173Y-109171672D02* -G01* -X113592715Y-109173680D01* -X113605995Y-109177007D01* -X113618884Y-109181619D01* -X113631260Y-109187472D01* -X113643002Y-109194510D01* -X113653998Y-109202665D01* -X113664141Y-109211859D01* -X113673335Y-109222002D01* -X113681490Y-109232998D01* -X113688528Y-109244740D01* -X113694381Y-109257116D01* -X113698993Y-109270005D01* -X113702320Y-109283285D01* -X113704328Y-109296827D01* -X113705000Y-109310500D01* -X113705000Y-109589500D01* -X113704328Y-109603173D01* -X113702320Y-109616715D01* -X113698993Y-109629995D01* -X113694381Y-109642884D01* -X113688528Y-109655260D01* -X113681490Y-109667002D01* -X113673335Y-109677998D01* -X113664141Y-109688141D01* -X113653998Y-109697335D01* -X113643002Y-109705490D01* -X113631260Y-109712528D01* -X113618884Y-109718381D01* -X113605995Y-109722993D01* -X113592715Y-109726320D01* -X113579173Y-109728328D01* -X113565500Y-109729000D01* -X112234500Y-109729000D01* -X112220827Y-109728328D01* -X112207285Y-109726320D01* -X112194005Y-109722993D01* -X112181116Y-109718381D01* -X112168740Y-109712528D01* -X112156998Y-109705490D01* -X112146002Y-109697335D01* -X112135859Y-109688141D01* -X112126665Y-109677998D01* -X112118510Y-109667002D01* -X112111472Y-109655260D01* -X112105619Y-109642884D01* -X112101007Y-109629995D01* -X112097680Y-109616715D01* -X112095672Y-109603173D01* -X112095000Y-109589500D01* -X112095000Y-109310500D01* -X112095672Y-109296827D01* -X112097680Y-109283285D01* -X112101007Y-109270005D01* -X112105619Y-109257116D01* -X112111472Y-109244740D01* -X112118510Y-109232998D01* -X112126665Y-109222002D01* -X112135859Y-109211859D01* -X112146002Y-109202665D01* -X112156998Y-109194510D01* -X112168740Y-109187472D01* -X112181116Y-109181619D01* -X112194005Y-109177007D01* -X112207285Y-109173680D01* -X112220827Y-109171672D01* -X112234500Y-109171000D01* -X113565500Y-109171000D01* -X113579173Y-109171672D01* -G37* -G36* -X113579173Y-109971672D02* -G01* -X113592715Y-109973680D01* -X113605995Y-109977007D01* -X113618884Y-109981619D01* -X113631260Y-109987472D01* -X113643002Y-109994510D01* -X113653998Y-110002665D01* -X113664141Y-110011859D01* -X113673335Y-110022002D01* -X113681490Y-110032998D01* -X113688528Y-110044740D01* -X113694381Y-110057116D01* -X113698993Y-110070005D01* -X113702320Y-110083285D01* -X113704328Y-110096827D01* -X113705000Y-110110500D01* -X113705000Y-110389500D01* -X113704328Y-110403173D01* -X113702320Y-110416715D01* -X113698993Y-110429995D01* -X113694381Y-110442884D01* -X113688528Y-110455260D01* -X113681490Y-110467002D01* -X113673335Y-110477998D01* -X113664141Y-110488141D01* -X113653998Y-110497335D01* -X113643002Y-110505490D01* -X113631260Y-110512528D01* -X113618884Y-110518381D01* -X113605995Y-110522993D01* -X113592715Y-110526320D01* -X113579173Y-110528328D01* -X113565500Y-110529000D01* -X112234500Y-110529000D01* -X112220827Y-110528328D01* -X112207285Y-110526320D01* -X112194005Y-110522993D01* -X112181116Y-110518381D01* -X112168740Y-110512528D01* -X112156998Y-110505490D01* -X112146002Y-110497335D01* -X112135859Y-110488141D01* -X112126665Y-110477998D01* -X112118510Y-110467002D01* -X112111472Y-110455260D01* -X112105619Y-110442884D01* -X112101007Y-110429995D01* -X112097680Y-110416715D01* -X112095672Y-110403173D01* -X112095000Y-110389500D01* -X112095000Y-110110500D01* -X112095672Y-110096827D01* -X112097680Y-110083285D01* -X112101007Y-110070005D01* -X112105619Y-110057116D01* -X112111472Y-110044740D01* -X112118510Y-110032998D01* -X112126665Y-110022002D01* -X112135859Y-110011859D01* -X112146002Y-110002665D01* -X112156998Y-109994510D01* -X112168740Y-109987472D01* -X112181116Y-109981619D01* -X112194005Y-109977007D01* -X112207285Y-109973680D01* -X112220827Y-109971672D01* -X112234500Y-109971000D01* -X113565500Y-109971000D01* -X113579173Y-109971672D01* -G37* -G36* -X113579173Y-110771672D02* -G01* -X113592715Y-110773680D01* -X113605995Y-110777007D01* -X113618884Y-110781619D01* -X113631260Y-110787472D01* -X113643002Y-110794510D01* -X113653998Y-110802665D01* -X113664141Y-110811859D01* -X113673335Y-110822002D01* -X113681490Y-110832998D01* -X113688528Y-110844740D01* -X113694381Y-110857116D01* -X113698993Y-110870005D01* -X113702320Y-110883285D01* -X113704328Y-110896827D01* -X113705000Y-110910500D01* -X113705000Y-111189500D01* -X113704328Y-111203173D01* -X113702320Y-111216715D01* -X113698993Y-111229995D01* -X113694381Y-111242884D01* -X113688528Y-111255260D01* -X113681490Y-111267002D01* -X113673335Y-111277998D01* -X113664141Y-111288141D01* -X113653998Y-111297335D01* -X113643002Y-111305490D01* -X113631260Y-111312528D01* -X113618884Y-111318381D01* -X113605995Y-111322993D01* -X113592715Y-111326320D01* -X113579173Y-111328328D01* -X113565500Y-111329000D01* -X112234500Y-111329000D01* -X112220827Y-111328328D01* -X112207285Y-111326320D01* -X112194005Y-111322993D01* -X112181116Y-111318381D01* -X112168740Y-111312528D01* -X112156998Y-111305490D01* -X112146002Y-111297335D01* -X112135859Y-111288141D01* -X112126665Y-111277998D01* -X112118510Y-111267002D01* -X112111472Y-111255260D01* -X112105619Y-111242884D01* -X112101007Y-111229995D01* -X112097680Y-111216715D01* -X112095672Y-111203173D01* -X112095000Y-111189500D01* -X112095000Y-110910500D01* -X112095672Y-110896827D01* -X112097680Y-110883285D01* -X112101007Y-110870005D01* -X112105619Y-110857116D01* -X112111472Y-110844740D01* -X112118510Y-110832998D01* -X112126665Y-110822002D01* -X112135859Y-110811859D01* -X112146002Y-110802665D01* -X112156998Y-110794510D01* -X112168740Y-110787472D01* -X112181116Y-110781619D01* -X112194005Y-110777007D01* -X112207285Y-110773680D01* -X112220827Y-110771672D01* -X112234500Y-110771000D01* -X113565500Y-110771000D01* -X113579173Y-110771672D01* -G37* -G36* -X113579173Y-111571672D02* -G01* -X113592715Y-111573680D01* -X113605995Y-111577007D01* -X113618884Y-111581619D01* -X113631260Y-111587472D01* -X113643002Y-111594510D01* -X113653998Y-111602665D01* -X113664141Y-111611859D01* -X113673335Y-111622002D01* -X113681490Y-111632998D01* -X113688528Y-111644740D01* -X113694381Y-111657116D01* -X113698993Y-111670005D01* -X113702320Y-111683285D01* -X113704328Y-111696827D01* -X113705000Y-111710500D01* -X113705000Y-111989500D01* -X113704328Y-112003173D01* -X113702320Y-112016715D01* -X113698993Y-112029995D01* -X113694381Y-112042884D01* -X113688528Y-112055260D01* -X113681490Y-112067002D01* -X113673335Y-112077998D01* -X113664141Y-112088141D01* -X113653998Y-112097335D01* -X113643002Y-112105490D01* -X113631260Y-112112528D01* -X113618884Y-112118381D01* -X113605995Y-112122993D01* -X113592715Y-112126320D01* -X113579173Y-112128328D01* -X113565500Y-112129000D01* -X112234500Y-112129000D01* -X112220827Y-112128328D01* -X112207285Y-112126320D01* -X112194005Y-112122993D01* -X112181116Y-112118381D01* -X112168740Y-112112528D01* -X112156998Y-112105490D01* -X112146002Y-112097335D01* -X112135859Y-112088141D01* -X112126665Y-112077998D01* -X112118510Y-112067002D01* -X112111472Y-112055260D01* -X112105619Y-112042884D01* -X112101007Y-112029995D01* -X112097680Y-112016715D01* -X112095672Y-112003173D01* -X112095000Y-111989500D01* -X112095000Y-111710500D01* -X112095672Y-111696827D01* -X112097680Y-111683285D01* -X112101007Y-111670005D01* -X112105619Y-111657116D01* -X112111472Y-111644740D01* -X112118510Y-111632998D01* -X112126665Y-111622002D01* -X112135859Y-111611859D01* -X112146002Y-111602665D01* -X112156998Y-111594510D01* -X112168740Y-111587472D01* -X112181116Y-111581619D01* -X112194005Y-111577007D01* -X112207285Y-111573680D01* -X112220827Y-111571672D01* -X112234500Y-111571000D01* -X113565500Y-111571000D01* -X113579173Y-111571672D01* -G37* -G36* -X113579173Y-112371672D02* -G01* -X113592715Y-112373680D01* -X113605995Y-112377007D01* -X113618884Y-112381619D01* -X113631260Y-112387472D01* -X113643002Y-112394510D01* -X113653998Y-112402665D01* -X113664141Y-112411859D01* -X113673335Y-112422002D01* -X113681490Y-112432998D01* -X113688528Y-112444740D01* -X113694381Y-112457116D01* -X113698993Y-112470005D01* -X113702320Y-112483285D01* -X113704328Y-112496827D01* -X113705000Y-112510500D01* -X113705000Y-112789500D01* -X113704328Y-112803173D01* -X113702320Y-112816715D01* -X113698993Y-112829995D01* -X113694381Y-112842884D01* -X113688528Y-112855260D01* -X113681490Y-112867002D01* -X113673335Y-112877998D01* -X113664141Y-112888141D01* -X113653998Y-112897335D01* -X113643002Y-112905490D01* -X113631260Y-112912528D01* -X113618884Y-112918381D01* -X113605995Y-112922993D01* -X113592715Y-112926320D01* -X113579173Y-112928328D01* -X113565500Y-112929000D01* -X112234500Y-112929000D01* -X112220827Y-112928328D01* -X112207285Y-112926320D01* -X112194005Y-112922993D01* -X112181116Y-112918381D01* -X112168740Y-112912528D01* -X112156998Y-112905490D01* -X112146002Y-112897335D01* -X112135859Y-112888141D01* -X112126665Y-112877998D01* -X112118510Y-112867002D01* -X112111472Y-112855260D01* -X112105619Y-112842884D01* -X112101007Y-112829995D01* -X112097680Y-112816715D01* -X112095672Y-112803173D01* -X112095000Y-112789500D01* -X112095000Y-112510500D01* -X112095672Y-112496827D01* -X112097680Y-112483285D01* -X112101007Y-112470005D01* -X112105619Y-112457116D01* -X112111472Y-112444740D01* -X112118510Y-112432998D01* -X112126665Y-112422002D01* -X112135859Y-112411859D01* -X112146002Y-112402665D01* -X112156998Y-112394510D01* -X112168740Y-112387472D01* -X112181116Y-112381619D01* -X112194005Y-112377007D01* -X112207285Y-112373680D01* -X112220827Y-112371672D01* -X112234500Y-112371000D01* -X113565500Y-112371000D01* -X113579173Y-112371672D01* -G37* -G36* -X113579173Y-113171672D02* -G01* -X113592715Y-113173680D01* -X113605995Y-113177007D01* -X113618884Y-113181619D01* -X113631260Y-113187472D01* -X113643002Y-113194510D01* -X113653998Y-113202665D01* -X113664141Y-113211859D01* -X113673335Y-113222002D01* -X113681490Y-113232998D01* -X113688528Y-113244740D01* -X113694381Y-113257116D01* -X113698993Y-113270005D01* -X113702320Y-113283285D01* -X113704328Y-113296827D01* -X113705000Y-113310500D01* -X113705000Y-113589500D01* -X113704328Y-113603173D01* -X113702320Y-113616715D01* -X113698993Y-113629995D01* -X113694381Y-113642884D01* -X113688528Y-113655260D01* -X113681490Y-113667002D01* -X113673335Y-113677998D01* -X113664141Y-113688141D01* -X113653998Y-113697335D01* -X113643002Y-113705490D01* -X113631260Y-113712528D01* -X113618884Y-113718381D01* -X113605995Y-113722993D01* -X113592715Y-113726320D01* -X113579173Y-113728328D01* -X113565500Y-113729000D01* -X112234500Y-113729000D01* -X112220827Y-113728328D01* -X112207285Y-113726320D01* -X112194005Y-113722993D01* -X112181116Y-113718381D01* -X112168740Y-113712528D01* -X112156998Y-113705490D01* -X112146002Y-113697335D01* -X112135859Y-113688141D01* -X112126665Y-113677998D01* -X112118510Y-113667002D01* -X112111472Y-113655260D01* -X112105619Y-113642884D01* -X112101007Y-113629995D01* -X112097680Y-113616715D01* -X112095672Y-113603173D01* -X112095000Y-113589500D01* -X112095000Y-113310500D01* -X112095672Y-113296827D01* -X112097680Y-113283285D01* -X112101007Y-113270005D01* -X112105619Y-113257116D01* -X112111472Y-113244740D01* -X112118510Y-113232998D01* -X112126665Y-113222002D01* -X112135859Y-113211859D01* -X112146002Y-113202665D01* -X112156998Y-113194510D01* -X112168740Y-113187472D01* -X112181116Y-113181619D01* -X112194005Y-113177007D01* -X112207285Y-113173680D01* -X112220827Y-113171672D01* -X112234500Y-113171000D01* -X113565500Y-113171000D01* -X113579173Y-113171672D01* -G37* -G36* -X133240680Y-124476384D02* -G01* -X133268588Y-124480524D01* -X133295957Y-124487380D01* -X133322521Y-124496885D01* -X133348027Y-124508948D01* -X133372226Y-124523452D01* -X133394888Y-124540259D01* -X133415793Y-124559207D01* -X133434741Y-124580112D01* -X133451548Y-124602774D01* -X133466052Y-124626973D01* -X133478115Y-124652479D01* -X133487620Y-124679043D01* -X133494476Y-124706412D01* -X133498616Y-124734320D01* -X133500000Y-124762500D01* -X133500000Y-125337500D01* -X133498616Y-125365680D01* -X133494476Y-125393588D01* -X133487620Y-125420957D01* -X133478115Y-125447521D01* -X133466052Y-125473027D01* -X133451548Y-125497226D01* -X133434741Y-125519888D01* -X133415793Y-125540793D01* -X133394888Y-125559741D01* -X133372226Y-125576548D01* -X133348027Y-125591052D01* -X133322521Y-125603115D01* -X133295957Y-125612620D01* -X133268588Y-125619476D01* -X133240680Y-125623616D01* -X133212500Y-125625000D01* -X132287500Y-125625000D01* -X132259320Y-125623616D01* -X132231412Y-125619476D01* -X132204043Y-125612620D01* -X132177479Y-125603115D01* -X132151973Y-125591052D01* -X132127774Y-125576548D01* -X132105112Y-125559741D01* -X132084207Y-125540793D01* -X132065259Y-125519888D01* -X132048452Y-125497226D01* -X132033948Y-125473027D01* -X132021885Y-125447521D01* -X132012380Y-125420957D01* -X132005524Y-125393588D01* -X132001384Y-125365680D01* -X132000000Y-125337500D01* -X132000000Y-124762500D01* -X132001384Y-124734320D01* -X132005524Y-124706412D01* -X132012380Y-124679043D01* -X132021885Y-124652479D01* -X132033948Y-124626973D01* -X132048452Y-124602774D01* -X132065259Y-124580112D01* -X132084207Y-124559207D01* -X132105112Y-124540259D01* -X132127774Y-124523452D01* -X132151973Y-124508948D01* -X132177479Y-124496885D01* -X132204043Y-124487380D01* -X132231412Y-124480524D01* -X132259320Y-124476384D01* -X132287500Y-124475000D01* -X133212500Y-124475000D01* -X133240680Y-124476384D01* -G37* -G36* -X133240680Y-122776384D02* -G01* -X133268588Y-122780524D01* -X133295957Y-122787380D01* -X133322521Y-122796885D01* -X133348027Y-122808948D01* -X133372226Y-122823452D01* -X133394888Y-122840259D01* -X133415793Y-122859207D01* -X133434741Y-122880112D01* -X133451548Y-122902774D01* -X133466052Y-122926973D01* -X133478115Y-122952479D01* -X133487620Y-122979043D01* -X133494476Y-123006412D01* -X133498616Y-123034320D01* -X133500000Y-123062500D01* -X133500000Y-123637500D01* -X133498616Y-123665680D01* -X133494476Y-123693588D01* -X133487620Y-123720957D01* -X133478115Y-123747521D01* -X133466052Y-123773027D01* -X133451548Y-123797226D01* -X133434741Y-123819888D01* -X133415793Y-123840793D01* -X133394888Y-123859741D01* -X133372226Y-123876548D01* -X133348027Y-123891052D01* -X133322521Y-123903115D01* -X133295957Y-123912620D01* -X133268588Y-123919476D01* -X133240680Y-123923616D01* -X133212500Y-123925000D01* -X132287500Y-123925000D01* -X132259320Y-123923616D01* -X132231412Y-123919476D01* -X132204043Y-123912620D01* -X132177479Y-123903115D01* -X132151973Y-123891052D01* -X132127774Y-123876548D01* -X132105112Y-123859741D01* -X132084207Y-123840793D01* -X132065259Y-123819888D01* -X132048452Y-123797226D01* -X132033948Y-123773027D01* -X132021885Y-123747521D01* -X132012380Y-123720957D01* -X132005524Y-123693588D01* -X132001384Y-123665680D01* -X132000000Y-123637500D01* -X132000000Y-123062500D01* -X132001384Y-123034320D01* -X132005524Y-123006412D01* -X132012380Y-122979043D01* -X132021885Y-122952479D01* -X132033948Y-122926973D01* -X132048452Y-122902774D01* -X132065259Y-122880112D01* -X132084207Y-122859207D01* -X132105112Y-122840259D01* -X132127774Y-122823452D01* -X132151973Y-122808948D01* -X132177479Y-122796885D01* -X132204043Y-122787380D01* -X132231412Y-122780524D01* -X132259320Y-122776384D01* -X132287500Y-122775000D01* -X133212500Y-122775000D01* -X133240680Y-122776384D01* -G37* -D22* -X67691000Y-118237000D03* -X67691000Y-120269000D03* -X60071000Y-119253000D03* -D23* -X61341000Y-119888000D03* -X62611000Y-119888000D03* -X63881000Y-119888000D03* -X65151000Y-119888000D03* -X66421000Y-119888000D03* -X66421000Y-118618000D03* -X65151000Y-118618000D03* -X63881000Y-118618000D03* -X62611000Y-118618000D03* -X61341000Y-118618000D03* -D21* -X60071000Y-116713000D03* -X60071000Y-121793000D03* -X65786000Y-121793000D03* -X65786000Y-116713000D03* -D17* -G36* -X123175163Y-114800007D02* -G01* -X123199490Y-114803615D01* -X123223345Y-114809591D01* -X123246500Y-114817876D01* -X123268732Y-114828391D01* -X123289826Y-114841034D01* -X123309579Y-114855684D01* -X123327801Y-114872199D01* -X123344316Y-114890421D01* -X123358966Y-114910174D01* -X123371609Y-114931268D01* -X123382124Y-114953500D01* -X123390409Y-114976655D01* -X123396385Y-115000510D01* -X123399993Y-115024837D01* -X123401200Y-115049400D01* -X123401200Y-115650600D01* -X123399993Y-115675163D01* -X123396385Y-115699490D01* -X123390409Y-115723345D01* -X123382124Y-115746500D01* -X123371609Y-115768732D01* -X123358966Y-115789826D01* -X123344316Y-115809579D01* -X123327801Y-115827801D01* -X123309579Y-115844316D01* -X123289826Y-115858966D01* -X123268732Y-115871609D01* -X123246500Y-115882124D01* -X123223345Y-115890409D01* -X123199490Y-115896385D01* -X123175163Y-115899993D01* -X123150600Y-115901200D01* -X122649400Y-115901200D01* -X122624837Y-115899993D01* -X122600510Y-115896385D01* -X122576655Y-115890409D01* -X122553500Y-115882124D01* -X122531268Y-115871609D01* -X122510174Y-115858966D01* -X122490421Y-115844316D01* -X122472199Y-115827801D01* -X122455684Y-115809579D01* -X122441034Y-115789826D01* -X122428391Y-115768732D01* -X122417876Y-115746500D01* -X122409591Y-115723345D01* -X122403615Y-115699490D01* -X122400007Y-115675163D01* -X122398800Y-115650600D01* -X122398800Y-115049400D01* -X122400007Y-115024837D01* -X122403615Y-115000510D01* -X122409591Y-114976655D01* -X122417876Y-114953500D01* -X122428391Y-114931268D01* -X122441034Y-114910174D01* -X122455684Y-114890421D01* -X122472199Y-114872199D01* -X122490421Y-114855684D01* -X122510174Y-114841034D01* -X122531268Y-114828391D01* -X122553500Y-114817876D01* -X122576655Y-114809591D01* -X122600510Y-114803615D01* -X122624837Y-114800007D01* -X122649400Y-114798800D01* -X123150600Y-114798800D01* -X123175163Y-114800007D01* -G37* -G36* -X124675163Y-114800007D02* -G01* -X124699490Y-114803615D01* -X124723345Y-114809591D01* -X124746500Y-114817876D01* -X124768732Y-114828391D01* -X124789826Y-114841034D01* -X124809579Y-114855684D01* -X124827801Y-114872199D01* -X124844316Y-114890421D01* -X124858966Y-114910174D01* -X124871609Y-114931268D01* -X124882124Y-114953500D01* -X124890409Y-114976655D01* -X124896385Y-115000510D01* -X124899993Y-115024837D01* -X124901200Y-115049400D01* -X124901200Y-115650600D01* -X124899993Y-115675163D01* -X124896385Y-115699490D01* -X124890409Y-115723345D01* -X124882124Y-115746500D01* -X124871609Y-115768732D01* -X124858966Y-115789826D01* -X124844316Y-115809579D01* -X124827801Y-115827801D01* -X124809579Y-115844316D01* -X124789826Y-115858966D01* -X124768732Y-115871609D01* -X124746500Y-115882124D01* -X124723345Y-115890409D01* -X124699490Y-115896385D01* -X124675163Y-115899993D01* -X124650600Y-115901200D01* -X124149400Y-115901200D01* -X124124837Y-115899993D01* -X124100510Y-115896385D01* -X124076655Y-115890409D01* -X124053500Y-115882124D01* -X124031268Y-115871609D01* -X124010174Y-115858966D01* -X123990421Y-115844316D01* -X123972199Y-115827801D01* -X123955684Y-115809579D01* -X123941034Y-115789826D01* -X123928391Y-115768732D01* -X123917876Y-115746500D01* -X123909591Y-115723345D01* -X123903615Y-115699490D01* -X123900007Y-115675163D01* -X123898800Y-115650600D01* -X123898800Y-115049400D01* -X123900007Y-115024837D01* -X123903615Y-115000510D01* -X123909591Y-114976655D01* -X123917876Y-114953500D01* -X123928391Y-114931268D01* -X123941034Y-114910174D01* -X123955684Y-114890421D01* -X123972199Y-114872199D01* -X123990421Y-114855684D01* -X124010174Y-114841034D01* -X124031268Y-114828391D01* -X124053500Y-114817876D01* -X124076655Y-114809591D01* -X124100510Y-114803615D01* -X124124837Y-114800007D01* -X124149400Y-114798800D01* -X124650600Y-114798800D01* -X124675163Y-114800007D01* -G37* -G36* -X105836703Y-124201600D02* -G01* -X105848789Y-124203392D01* -X105860640Y-124206361D01* -X105872144Y-124210477D01* -X105883189Y-124215701D01* -X105893668Y-124221982D01* -X105903482Y-124229260D01* -X105912535Y-124237465D01* -X105920740Y-124246518D01* -X105928018Y-124256332D01* -X105934299Y-124266811D01* -X105939523Y-124277856D01* -X105943639Y-124289360D01* -X105946608Y-124301211D01* -X105948400Y-124313297D01* -X105949000Y-124325500D01* -X105949000Y-125574500D01* -X105948400Y-125586703D01* -X105946608Y-125598789D01* -X105943639Y-125610640D01* -X105939523Y-125622144D01* -X105934299Y-125633189D01* -X105928018Y-125643668D01* -X105920740Y-125653482D01* -X105912535Y-125662535D01* -X105903482Y-125670740D01* -X105893668Y-125678018D01* -X105883189Y-125684299D01* -X105872144Y-125689523D01* -X105860640Y-125693639D01* -X105848789Y-125696608D01* -X105836703Y-125698400D01* -X105824500Y-125699000D01* -X105575500Y-125699000D01* -X105563297Y-125698400D01* -X105551211Y-125696608D01* -X105539360Y-125693639D01* -X105527856Y-125689523D01* -X105516811Y-125684299D01* -X105506332Y-125678018D01* -X105496518Y-125670740D01* -X105487465Y-125662535D01* -X105479260Y-125653482D01* -X105471982Y-125643668D01* -X105465701Y-125633189D01* -X105460477Y-125622144D01* -X105456361Y-125610640D01* -X105453392Y-125598789D01* -X105451600Y-125586703D01* -X105451000Y-125574500D01* -X105451000Y-124325500D01* -X105451600Y-124313297D01* -X105453392Y-124301211D01* -X105456361Y-124289360D01* -X105460477Y-124277856D01* -X105465701Y-124266811D01* -X105471982Y-124256332D01* -X105479260Y-124246518D01* -X105487465Y-124237465D01* -X105496518Y-124229260D01* -X105506332Y-124221982D01* -X105516811Y-124215701D01* -X105527856Y-124210477D01* -X105539360Y-124206361D01* -X105551211Y-124203392D01* -X105563297Y-124201600D01* -X105575500Y-124201000D01* -X105824500Y-124201000D01* -X105836703Y-124201600D01* -G37* -G36* -X106486703Y-124201600D02* -G01* -X106498789Y-124203392D01* -X106510640Y-124206361D01* -X106522144Y-124210477D01* -X106533189Y-124215701D01* -X106543668Y-124221982D01* -X106553482Y-124229260D01* -X106562535Y-124237465D01* -X106570740Y-124246518D01* -X106578018Y-124256332D01* -X106584299Y-124266811D01* -X106589523Y-124277856D01* -X106593639Y-124289360D01* -X106596608Y-124301211D01* -X106598400Y-124313297D01* -X106599000Y-124325500D01* -X106599000Y-125574500D01* -X106598400Y-125586703D01* -X106596608Y-125598789D01* -X106593639Y-125610640D01* -X106589523Y-125622144D01* -X106584299Y-125633189D01* -X106578018Y-125643668D01* -X106570740Y-125653482D01* -X106562535Y-125662535D01* -X106553482Y-125670740D01* -X106543668Y-125678018D01* -X106533189Y-125684299D01* -X106522144Y-125689523D01* -X106510640Y-125693639D01* -X106498789Y-125696608D01* -X106486703Y-125698400D01* -X106474500Y-125699000D01* -X106225500Y-125699000D01* -X106213297Y-125698400D01* -X106201211Y-125696608D01* -X106189360Y-125693639D01* -X106177856Y-125689523D01* -X106166811Y-125684299D01* -X106156332Y-125678018D01* -X106146518Y-125670740D01* -X106137465Y-125662535D01* -X106129260Y-125653482D01* -X106121982Y-125643668D01* -X106115701Y-125633189D01* -X106110477Y-125622144D01* -X106106361Y-125610640D01* -X106103392Y-125598789D01* -X106101600Y-125586703D01* -X106101000Y-125574500D01* -X106101000Y-124325500D01* -X106101600Y-124313297D01* -X106103392Y-124301211D01* -X106106361Y-124289360D01* -X106110477Y-124277856D01* -X106115701Y-124266811D01* -X106121982Y-124256332D01* -X106129260Y-124246518D01* -X106137465Y-124237465D01* -X106146518Y-124229260D01* -X106156332Y-124221982D01* -X106166811Y-124215701D01* -X106177856Y-124210477D01* -X106189360Y-124206361D01* -X106201211Y-124203392D01* -X106213297Y-124201600D01* -X106225500Y-124201000D01* -X106474500Y-124201000D01* -X106486703Y-124201600D01* -G37* -G36* -X107136703Y-124201600D02* -G01* -X107148789Y-124203392D01* -X107160640Y-124206361D01* -X107172144Y-124210477D01* -X107183189Y-124215701D01* -X107193668Y-124221982D01* -X107203482Y-124229260D01* -X107212535Y-124237465D01* -X107220740Y-124246518D01* -X107228018Y-124256332D01* -X107234299Y-124266811D01* -X107239523Y-124277856D01* -X107243639Y-124289360D01* -X107246608Y-124301211D01* -X107248400Y-124313297D01* -X107249000Y-124325500D01* -X107249000Y-125574500D01* -X107248400Y-125586703D01* -X107246608Y-125598789D01* -X107243639Y-125610640D01* -X107239523Y-125622144D01* -X107234299Y-125633189D01* -X107228018Y-125643668D01* -X107220740Y-125653482D01* -X107212535Y-125662535D01* -X107203482Y-125670740D01* -X107193668Y-125678018D01* -X107183189Y-125684299D01* -X107172144Y-125689523D01* -X107160640Y-125693639D01* -X107148789Y-125696608D01* -X107136703Y-125698400D01* -X107124500Y-125699000D01* -X106875500Y-125699000D01* -X106863297Y-125698400D01* -X106851211Y-125696608D01* -X106839360Y-125693639D01* -X106827856Y-125689523D01* -X106816811Y-125684299D01* -X106806332Y-125678018D01* -X106796518Y-125670740D01* -X106787465Y-125662535D01* -X106779260Y-125653482D01* -X106771982Y-125643668D01* -X106765701Y-125633189D01* -X106760477Y-125622144D01* -X106756361Y-125610640D01* -X106753392Y-125598789D01* -X106751600Y-125586703D01* -X106751000Y-125574500D01* -X106751000Y-124325500D01* -X106751600Y-124313297D01* -X106753392Y-124301211D01* -X106756361Y-124289360D01* -X106760477Y-124277856D01* -X106765701Y-124266811D01* -X106771982Y-124256332D01* -X106779260Y-124246518D01* -X106787465Y-124237465D01* -X106796518Y-124229260D01* -X106806332Y-124221982D01* -X106816811Y-124215701D01* -X106827856Y-124210477D01* -X106839360Y-124206361D01* -X106851211Y-124203392D01* -X106863297Y-124201600D01* -X106875500Y-124201000D01* -X107124500Y-124201000D01* -X107136703Y-124201600D01* -G37* -G36* -X107786703Y-124201600D02* -G01* -X107798789Y-124203392D01* -X107810640Y-124206361D01* -X107822144Y-124210477D01* -X107833189Y-124215701D01* -X107843668Y-124221982D01* -X107853482Y-124229260D01* -X107862535Y-124237465D01* -X107870740Y-124246518D01* -X107878018Y-124256332D01* -X107884299Y-124266811D01* -X107889523Y-124277856D01* -X107893639Y-124289360D01* -X107896608Y-124301211D01* -X107898400Y-124313297D01* -X107899000Y-124325500D01* -X107899000Y-125574500D01* -X107898400Y-125586703D01* -X107896608Y-125598789D01* -X107893639Y-125610640D01* -X107889523Y-125622144D01* -X107884299Y-125633189D01* -X107878018Y-125643668D01* -X107870740Y-125653482D01* -X107862535Y-125662535D01* -X107853482Y-125670740D01* -X107843668Y-125678018D01* -X107833189Y-125684299D01* -X107822144Y-125689523D01* -X107810640Y-125693639D01* -X107798789Y-125696608D01* -X107786703Y-125698400D01* -X107774500Y-125699000D01* -X107525500Y-125699000D01* -X107513297Y-125698400D01* -X107501211Y-125696608D01* -X107489360Y-125693639D01* -X107477856Y-125689523D01* -X107466811Y-125684299D01* -X107456332Y-125678018D01* -X107446518Y-125670740D01* -X107437465Y-125662535D01* -X107429260Y-125653482D01* -X107421982Y-125643668D01* -X107415701Y-125633189D01* -X107410477Y-125622144D01* -X107406361Y-125610640D01* -X107403392Y-125598789D01* -X107401600Y-125586703D01* -X107401000Y-125574500D01* -X107401000Y-124325500D01* -X107401600Y-124313297D01* -X107403392Y-124301211D01* -X107406361Y-124289360D01* -X107410477Y-124277856D01* -X107415701Y-124266811D01* -X107421982Y-124256332D01* -X107429260Y-124246518D01* -X107437465Y-124237465D01* -X107446518Y-124229260D01* -X107456332Y-124221982D01* -X107466811Y-124215701D01* -X107477856Y-124210477D01* -X107489360Y-124206361D01* -X107501211Y-124203392D01* -X107513297Y-124201600D01* -X107525500Y-124201000D01* -X107774500Y-124201000D01* -X107786703Y-124201600D01* -G37* -G36* -X108436703Y-124201600D02* -G01* -X108448789Y-124203392D01* -X108460640Y-124206361D01* -X108472144Y-124210477D01* -X108483189Y-124215701D01* -X108493668Y-124221982D01* -X108503482Y-124229260D01* -X108512535Y-124237465D01* -X108520740Y-124246518D01* -X108528018Y-124256332D01* -X108534299Y-124266811D01* -X108539523Y-124277856D01* -X108543639Y-124289360D01* -X108546608Y-124301211D01* -X108548400Y-124313297D01* -X108549000Y-124325500D01* -X108549000Y-125574500D01* -X108548400Y-125586703D01* -X108546608Y-125598789D01* -X108543639Y-125610640D01* -X108539523Y-125622144D01* -X108534299Y-125633189D01* -X108528018Y-125643668D01* -X108520740Y-125653482D01* -X108512535Y-125662535D01* -X108503482Y-125670740D01* -X108493668Y-125678018D01* -X108483189Y-125684299D01* -X108472144Y-125689523D01* -X108460640Y-125693639D01* -X108448789Y-125696608D01* -X108436703Y-125698400D01* -X108424500Y-125699000D01* -X108175500Y-125699000D01* -X108163297Y-125698400D01* -X108151211Y-125696608D01* -X108139360Y-125693639D01* -X108127856Y-125689523D01* -X108116811Y-125684299D01* -X108106332Y-125678018D01* -X108096518Y-125670740D01* -X108087465Y-125662535D01* -X108079260Y-125653482D01* -X108071982Y-125643668D01* -X108065701Y-125633189D01* -X108060477Y-125622144D01* -X108056361Y-125610640D01* -X108053392Y-125598789D01* -X108051600Y-125586703D01* -X108051000Y-125574500D01* -X108051000Y-124325500D01* -X108051600Y-124313297D01* -X108053392Y-124301211D01* -X108056361Y-124289360D01* -X108060477Y-124277856D01* -X108065701Y-124266811D01* -X108071982Y-124256332D01* -X108079260Y-124246518D01* -X108087465Y-124237465D01* -X108096518Y-124229260D01* -X108106332Y-124221982D01* -X108116811Y-124215701D01* -X108127856Y-124210477D01* -X108139360Y-124206361D01* -X108151211Y-124203392D01* -X108163297Y-124201600D01* -X108175500Y-124201000D01* -X108424500Y-124201000D01* -X108436703Y-124201600D01* -G37* -G36* -X109086703Y-124201600D02* -G01* -X109098789Y-124203392D01* -X109110640Y-124206361D01* -X109122144Y-124210477D01* -X109133189Y-124215701D01* -X109143668Y-124221982D01* -X109153482Y-124229260D01* -X109162535Y-124237465D01* -X109170740Y-124246518D01* -X109178018Y-124256332D01* -X109184299Y-124266811D01* -X109189523Y-124277856D01* -X109193639Y-124289360D01* -X109196608Y-124301211D01* -X109198400Y-124313297D01* -X109199000Y-124325500D01* -X109199000Y-125574500D01* -X109198400Y-125586703D01* -X109196608Y-125598789D01* -X109193639Y-125610640D01* -X109189523Y-125622144D01* -X109184299Y-125633189D01* -X109178018Y-125643668D01* -X109170740Y-125653482D01* -X109162535Y-125662535D01* -X109153482Y-125670740D01* -X109143668Y-125678018D01* -X109133189Y-125684299D01* -X109122144Y-125689523D01* -X109110640Y-125693639D01* -X109098789Y-125696608D01* -X109086703Y-125698400D01* -X109074500Y-125699000D01* -X108825500Y-125699000D01* -X108813297Y-125698400D01* -X108801211Y-125696608D01* -X108789360Y-125693639D01* -X108777856Y-125689523D01* -X108766811Y-125684299D01* -X108756332Y-125678018D01* -X108746518Y-125670740D01* -X108737465Y-125662535D01* -X108729260Y-125653482D01* -X108721982Y-125643668D01* -X108715701Y-125633189D01* -X108710477Y-125622144D01* -X108706361Y-125610640D01* -X108703392Y-125598789D01* -X108701600Y-125586703D01* -X108701000Y-125574500D01* -X108701000Y-124325500D01* -X108701600Y-124313297D01* -X108703392Y-124301211D01* -X108706361Y-124289360D01* -X108710477Y-124277856D01* -X108715701Y-124266811D01* -X108721982Y-124256332D01* -X108729260Y-124246518D01* -X108737465Y-124237465D01* -X108746518Y-124229260D01* -X108756332Y-124221982D01* -X108766811Y-124215701D01* -X108777856Y-124210477D01* -X108789360Y-124206361D01* -X108801211Y-124203392D01* -X108813297Y-124201600D01* -X108825500Y-124201000D01* -X109074500Y-124201000D01* -X109086703Y-124201600D01* -G37* -G36* -X109736703Y-124201600D02* -G01* -X109748789Y-124203392D01* -X109760640Y-124206361D01* -X109772144Y-124210477D01* -X109783189Y-124215701D01* -X109793668Y-124221982D01* -X109803482Y-124229260D01* -X109812535Y-124237465D01* -X109820740Y-124246518D01* -X109828018Y-124256332D01* -X109834299Y-124266811D01* -X109839523Y-124277856D01* -X109843639Y-124289360D01* -X109846608Y-124301211D01* -X109848400Y-124313297D01* -X109849000Y-124325500D01* -X109849000Y-125574500D01* -X109848400Y-125586703D01* -X109846608Y-125598789D01* -X109843639Y-125610640D01* -X109839523Y-125622144D01* -X109834299Y-125633189D01* -X109828018Y-125643668D01* -X109820740Y-125653482D01* -X109812535Y-125662535D01* -X109803482Y-125670740D01* -X109793668Y-125678018D01* -X109783189Y-125684299D01* -X109772144Y-125689523D01* -X109760640Y-125693639D01* -X109748789Y-125696608D01* -X109736703Y-125698400D01* -X109724500Y-125699000D01* -X109475500Y-125699000D01* -X109463297Y-125698400D01* -X109451211Y-125696608D01* -X109439360Y-125693639D01* -X109427856Y-125689523D01* -X109416811Y-125684299D01* -X109406332Y-125678018D01* -X109396518Y-125670740D01* -X109387465Y-125662535D01* -X109379260Y-125653482D01* -X109371982Y-125643668D01* -X109365701Y-125633189D01* -X109360477Y-125622144D01* -X109356361Y-125610640D01* -X109353392Y-125598789D01* -X109351600Y-125586703D01* -X109351000Y-125574500D01* -X109351000Y-124325500D01* -X109351600Y-124313297D01* -X109353392Y-124301211D01* -X109356361Y-124289360D01* -X109360477Y-124277856D01* -X109365701Y-124266811D01* -X109371982Y-124256332D01* -X109379260Y-124246518D01* -X109387465Y-124237465D01* -X109396518Y-124229260D01* -X109406332Y-124221982D01* -X109416811Y-124215701D01* -X109427856Y-124210477D01* -X109439360Y-124206361D01* -X109451211Y-124203392D01* -X109463297Y-124201600D01* -X109475500Y-124201000D01* -X109724500Y-124201000D01* -X109736703Y-124201600D01* -G37* -G36* -X110386703Y-124201600D02* -G01* -X110398789Y-124203392D01* -X110410640Y-124206361D01* -X110422144Y-124210477D01* -X110433189Y-124215701D01* -X110443668Y-124221982D01* -X110453482Y-124229260D01* -X110462535Y-124237465D01* -X110470740Y-124246518D01* -X110478018Y-124256332D01* -X110484299Y-124266811D01* -X110489523Y-124277856D01* -X110493639Y-124289360D01* -X110496608Y-124301211D01* -X110498400Y-124313297D01* -X110499000Y-124325500D01* -X110499000Y-125574500D01* -X110498400Y-125586703D01* -X110496608Y-125598789D01* -X110493639Y-125610640D01* -X110489523Y-125622144D01* -X110484299Y-125633189D01* -X110478018Y-125643668D01* -X110470740Y-125653482D01* -X110462535Y-125662535D01* -X110453482Y-125670740D01* -X110443668Y-125678018D01* -X110433189Y-125684299D01* -X110422144Y-125689523D01* -X110410640Y-125693639D01* -X110398789Y-125696608D01* -X110386703Y-125698400D01* -X110374500Y-125699000D01* -X110125500Y-125699000D01* -X110113297Y-125698400D01* -X110101211Y-125696608D01* -X110089360Y-125693639D01* -X110077856Y-125689523D01* -X110066811Y-125684299D01* -X110056332Y-125678018D01* -X110046518Y-125670740D01* -X110037465Y-125662535D01* -X110029260Y-125653482D01* -X110021982Y-125643668D01* -X110015701Y-125633189D01* -X110010477Y-125622144D01* -X110006361Y-125610640D01* -X110003392Y-125598789D01* -X110001600Y-125586703D01* -X110001000Y-125574500D01* -X110001000Y-124325500D01* -X110001600Y-124313297D01* -X110003392Y-124301211D01* -X110006361Y-124289360D01* -X110010477Y-124277856D01* -X110015701Y-124266811D01* -X110021982Y-124256332D01* -X110029260Y-124246518D01* -X110037465Y-124237465D01* -X110046518Y-124229260D01* -X110056332Y-124221982D01* -X110066811Y-124215701D01* -X110077856Y-124210477D01* -X110089360Y-124206361D01* -X110101211Y-124203392D01* -X110113297Y-124201600D01* -X110125500Y-124201000D01* -X110374500Y-124201000D01* -X110386703Y-124201600D01* -G37* -G36* -X111036703Y-124201600D02* -G01* -X111048789Y-124203392D01* -X111060640Y-124206361D01* -X111072144Y-124210477D01* -X111083189Y-124215701D01* -X111093668Y-124221982D01* -X111103482Y-124229260D01* -X111112535Y-124237465D01* -X111120740Y-124246518D01* -X111128018Y-124256332D01* -X111134299Y-124266811D01* -X111139523Y-124277856D01* -X111143639Y-124289360D01* -X111146608Y-124301211D01* -X111148400Y-124313297D01* -X111149000Y-124325500D01* -X111149000Y-125574500D01* -X111148400Y-125586703D01* -X111146608Y-125598789D01* -X111143639Y-125610640D01* -X111139523Y-125622144D01* -X111134299Y-125633189D01* -X111128018Y-125643668D01* -X111120740Y-125653482D01* -X111112535Y-125662535D01* -X111103482Y-125670740D01* -X111093668Y-125678018D01* -X111083189Y-125684299D01* -X111072144Y-125689523D01* -X111060640Y-125693639D01* -X111048789Y-125696608D01* -X111036703Y-125698400D01* -X111024500Y-125699000D01* -X110775500Y-125699000D01* -X110763297Y-125698400D01* -X110751211Y-125696608D01* -X110739360Y-125693639D01* -X110727856Y-125689523D01* -X110716811Y-125684299D01* -X110706332Y-125678018D01* -X110696518Y-125670740D01* -X110687465Y-125662535D01* -X110679260Y-125653482D01* -X110671982Y-125643668D01* -X110665701Y-125633189D01* -X110660477Y-125622144D01* -X110656361Y-125610640D01* -X110653392Y-125598789D01* -X110651600Y-125586703D01* -X110651000Y-125574500D01* -X110651000Y-124325500D01* -X110651600Y-124313297D01* -X110653392Y-124301211D01* -X110656361Y-124289360D01* -X110660477Y-124277856D01* -X110665701Y-124266811D01* -X110671982Y-124256332D01* -X110679260Y-124246518D01* -X110687465Y-124237465D01* -X110696518Y-124229260D01* -X110706332Y-124221982D01* -X110716811Y-124215701D01* -X110727856Y-124210477D01* -X110739360Y-124206361D01* -X110751211Y-124203392D01* -X110763297Y-124201600D01* -X110775500Y-124201000D01* -X111024500Y-124201000D01* -X111036703Y-124201600D01* -G37* -G36* -X111686703Y-124201600D02* -G01* -X111698789Y-124203392D01* -X111710640Y-124206361D01* -X111722144Y-124210477D01* -X111733189Y-124215701D01* -X111743668Y-124221982D01* -X111753482Y-124229260D01* -X111762535Y-124237465D01* -X111770740Y-124246518D01* -X111778018Y-124256332D01* -X111784299Y-124266811D01* -X111789523Y-124277856D01* -X111793639Y-124289360D01* -X111796608Y-124301211D01* -X111798400Y-124313297D01* -X111799000Y-124325500D01* -X111799000Y-125574500D01* -X111798400Y-125586703D01* -X111796608Y-125598789D01* -X111793639Y-125610640D01* -X111789523Y-125622144D01* -X111784299Y-125633189D01* -X111778018Y-125643668D01* -X111770740Y-125653482D01* -X111762535Y-125662535D01* -X111753482Y-125670740D01* -X111743668Y-125678018D01* -X111733189Y-125684299D01* -X111722144Y-125689523D01* -X111710640Y-125693639D01* -X111698789Y-125696608D01* -X111686703Y-125698400D01* -X111674500Y-125699000D01* -X111425500Y-125699000D01* -X111413297Y-125698400D01* -X111401211Y-125696608D01* -X111389360Y-125693639D01* -X111377856Y-125689523D01* -X111366811Y-125684299D01* -X111356332Y-125678018D01* -X111346518Y-125670740D01* -X111337465Y-125662535D01* -X111329260Y-125653482D01* -X111321982Y-125643668D01* -X111315701Y-125633189D01* -X111310477Y-125622144D01* -X111306361Y-125610640D01* -X111303392Y-125598789D01* -X111301600Y-125586703D01* -X111301000Y-125574500D01* -X111301000Y-124325500D01* -X111301600Y-124313297D01* -X111303392Y-124301211D01* -X111306361Y-124289360D01* -X111310477Y-124277856D01* -X111315701Y-124266811D01* -X111321982Y-124256332D01* -X111329260Y-124246518D01* -X111337465Y-124237465D01* -X111346518Y-124229260D01* -X111356332Y-124221982D01* -X111366811Y-124215701D01* -X111377856Y-124210477D01* -X111389360Y-124206361D01* -X111401211Y-124203392D01* -X111413297Y-124201600D01* -X111425500Y-124201000D01* -X111674500Y-124201000D01* -X111686703Y-124201600D01* -G37* -G36* -X111686703Y-118301600D02* -G01* -X111698789Y-118303392D01* -X111710640Y-118306361D01* -X111722144Y-118310477D01* -X111733189Y-118315701D01* -X111743668Y-118321982D01* -X111753482Y-118329260D01* -X111762535Y-118337465D01* -X111770740Y-118346518D01* -X111778018Y-118356332D01* -X111784299Y-118366811D01* -X111789523Y-118377856D01* -X111793639Y-118389360D01* -X111796608Y-118401211D01* -X111798400Y-118413297D01* -X111799000Y-118425500D01* -X111799000Y-119674500D01* -X111798400Y-119686703D01* -X111796608Y-119698789D01* -X111793639Y-119710640D01* -X111789523Y-119722144D01* -X111784299Y-119733189D01* -X111778018Y-119743668D01* -X111770740Y-119753482D01* -X111762535Y-119762535D01* -X111753482Y-119770740D01* -X111743668Y-119778018D01* -X111733189Y-119784299D01* -X111722144Y-119789523D01* -X111710640Y-119793639D01* -X111698789Y-119796608D01* -X111686703Y-119798400D01* -X111674500Y-119799000D01* -X111425500Y-119799000D01* -X111413297Y-119798400D01* -X111401211Y-119796608D01* -X111389360Y-119793639D01* -X111377856Y-119789523D01* -X111366811Y-119784299D01* -X111356332Y-119778018D01* -X111346518Y-119770740D01* -X111337465Y-119762535D01* -X111329260Y-119753482D01* -X111321982Y-119743668D01* -X111315701Y-119733189D01* -X111310477Y-119722144D01* -X111306361Y-119710640D01* -X111303392Y-119698789D01* -X111301600Y-119686703D01* -X111301000Y-119674500D01* -X111301000Y-118425500D01* -X111301600Y-118413297D01* -X111303392Y-118401211D01* -X111306361Y-118389360D01* -X111310477Y-118377856D01* -X111315701Y-118366811D01* -X111321982Y-118356332D01* -X111329260Y-118346518D01* -X111337465Y-118337465D01* -X111346518Y-118329260D01* -X111356332Y-118321982D01* -X111366811Y-118315701D01* -X111377856Y-118310477D01* -X111389360Y-118306361D01* -X111401211Y-118303392D01* -X111413297Y-118301600D01* -X111425500Y-118301000D01* -X111674500Y-118301000D01* -X111686703Y-118301600D01* -G37* -G36* -X111036703Y-118301600D02* -G01* -X111048789Y-118303392D01* -X111060640Y-118306361D01* -X111072144Y-118310477D01* -X111083189Y-118315701D01* -X111093668Y-118321982D01* -X111103482Y-118329260D01* -X111112535Y-118337465D01* -X111120740Y-118346518D01* -X111128018Y-118356332D01* -X111134299Y-118366811D01* -X111139523Y-118377856D01* -X111143639Y-118389360D01* -X111146608Y-118401211D01* -X111148400Y-118413297D01* -X111149000Y-118425500D01* -X111149000Y-119674500D01* -X111148400Y-119686703D01* -X111146608Y-119698789D01* -X111143639Y-119710640D01* -X111139523Y-119722144D01* -X111134299Y-119733189D01* -X111128018Y-119743668D01* -X111120740Y-119753482D01* -X111112535Y-119762535D01* -X111103482Y-119770740D01* -X111093668Y-119778018D01* -X111083189Y-119784299D01* -X111072144Y-119789523D01* -X111060640Y-119793639D01* -X111048789Y-119796608D01* -X111036703Y-119798400D01* -X111024500Y-119799000D01* -X110775500Y-119799000D01* -X110763297Y-119798400D01* -X110751211Y-119796608D01* -X110739360Y-119793639D01* -X110727856Y-119789523D01* -X110716811Y-119784299D01* -X110706332Y-119778018D01* -X110696518Y-119770740D01* -X110687465Y-119762535D01* -X110679260Y-119753482D01* -X110671982Y-119743668D01* -X110665701Y-119733189D01* -X110660477Y-119722144D01* -X110656361Y-119710640D01* -X110653392Y-119698789D01* -X110651600Y-119686703D01* -X110651000Y-119674500D01* -X110651000Y-118425500D01* -X110651600Y-118413297D01* -X110653392Y-118401211D01* -X110656361Y-118389360D01* -X110660477Y-118377856D01* -X110665701Y-118366811D01* -X110671982Y-118356332D01* -X110679260Y-118346518D01* -X110687465Y-118337465D01* -X110696518Y-118329260D01* -X110706332Y-118321982D01* -X110716811Y-118315701D01* -X110727856Y-118310477D01* -X110739360Y-118306361D01* -X110751211Y-118303392D01* -X110763297Y-118301600D01* -X110775500Y-118301000D01* -X111024500Y-118301000D01* -X111036703Y-118301600D01* -G37* -G36* -X110386703Y-118301600D02* -G01* -X110398789Y-118303392D01* -X110410640Y-118306361D01* -X110422144Y-118310477D01* -X110433189Y-118315701D01* -X110443668Y-118321982D01* -X110453482Y-118329260D01* -X110462535Y-118337465D01* -X110470740Y-118346518D01* -X110478018Y-118356332D01* -X110484299Y-118366811D01* -X110489523Y-118377856D01* -X110493639Y-118389360D01* -X110496608Y-118401211D01* -X110498400Y-118413297D01* -X110499000Y-118425500D01* -X110499000Y-119674500D01* -X110498400Y-119686703D01* -X110496608Y-119698789D01* -X110493639Y-119710640D01* -X110489523Y-119722144D01* -X110484299Y-119733189D01* -X110478018Y-119743668D01* -X110470740Y-119753482D01* -X110462535Y-119762535D01* -X110453482Y-119770740D01* -X110443668Y-119778018D01* -X110433189Y-119784299D01* -X110422144Y-119789523D01* -X110410640Y-119793639D01* -X110398789Y-119796608D01* -X110386703Y-119798400D01* -X110374500Y-119799000D01* -X110125500Y-119799000D01* -X110113297Y-119798400D01* -X110101211Y-119796608D01* -X110089360Y-119793639D01* -X110077856Y-119789523D01* -X110066811Y-119784299D01* -X110056332Y-119778018D01* -X110046518Y-119770740D01* -X110037465Y-119762535D01* -X110029260Y-119753482D01* -X110021982Y-119743668D01* -X110015701Y-119733189D01* -X110010477Y-119722144D01* -X110006361Y-119710640D01* -X110003392Y-119698789D01* -X110001600Y-119686703D01* -X110001000Y-119674500D01* -X110001000Y-118425500D01* -X110001600Y-118413297D01* -X110003392Y-118401211D01* -X110006361Y-118389360D01* -X110010477Y-118377856D01* -X110015701Y-118366811D01* -X110021982Y-118356332D01* -X110029260Y-118346518D01* -X110037465Y-118337465D01* -X110046518Y-118329260D01* -X110056332Y-118321982D01* -X110066811Y-118315701D01* -X110077856Y-118310477D01* -X110089360Y-118306361D01* -X110101211Y-118303392D01* -X110113297Y-118301600D01* -X110125500Y-118301000D01* -X110374500Y-118301000D01* -X110386703Y-118301600D01* -G37* -G36* -X109736703Y-118301600D02* -G01* -X109748789Y-118303392D01* -X109760640Y-118306361D01* -X109772144Y-118310477D01* -X109783189Y-118315701D01* -X109793668Y-118321982D01* -X109803482Y-118329260D01* -X109812535Y-118337465D01* -X109820740Y-118346518D01* -X109828018Y-118356332D01* -X109834299Y-118366811D01* -X109839523Y-118377856D01* -X109843639Y-118389360D01* -X109846608Y-118401211D01* -X109848400Y-118413297D01* -X109849000Y-118425500D01* -X109849000Y-119674500D01* -X109848400Y-119686703D01* -X109846608Y-119698789D01* -X109843639Y-119710640D01* -X109839523Y-119722144D01* -X109834299Y-119733189D01* -X109828018Y-119743668D01* -X109820740Y-119753482D01* -X109812535Y-119762535D01* -X109803482Y-119770740D01* -X109793668Y-119778018D01* -X109783189Y-119784299D01* -X109772144Y-119789523D01* -X109760640Y-119793639D01* -X109748789Y-119796608D01* -X109736703Y-119798400D01* -X109724500Y-119799000D01* -X109475500Y-119799000D01* -X109463297Y-119798400D01* -X109451211Y-119796608D01* -X109439360Y-119793639D01* -X109427856Y-119789523D01* -X109416811Y-119784299D01* -X109406332Y-119778018D01* -X109396518Y-119770740D01* -X109387465Y-119762535D01* -X109379260Y-119753482D01* -X109371982Y-119743668D01* -X109365701Y-119733189D01* -X109360477Y-119722144D01* -X109356361Y-119710640D01* -X109353392Y-119698789D01* -X109351600Y-119686703D01* -X109351000Y-119674500D01* -X109351000Y-118425500D01* -X109351600Y-118413297D01* -X109353392Y-118401211D01* -X109356361Y-118389360D01* -X109360477Y-118377856D01* -X109365701Y-118366811D01* -X109371982Y-118356332D01* -X109379260Y-118346518D01* -X109387465Y-118337465D01* -X109396518Y-118329260D01* -X109406332Y-118321982D01* -X109416811Y-118315701D01* -X109427856Y-118310477D01* -X109439360Y-118306361D01* -X109451211Y-118303392D01* -X109463297Y-118301600D01* -X109475500Y-118301000D01* -X109724500Y-118301000D01* -X109736703Y-118301600D01* -G37* -G36* -X109086703Y-118301600D02* -G01* -X109098789Y-118303392D01* -X109110640Y-118306361D01* -X109122144Y-118310477D01* -X109133189Y-118315701D01* -X109143668Y-118321982D01* -X109153482Y-118329260D01* -X109162535Y-118337465D01* -X109170740Y-118346518D01* -X109178018Y-118356332D01* -X109184299Y-118366811D01* -X109189523Y-118377856D01* -X109193639Y-118389360D01* -X109196608Y-118401211D01* -X109198400Y-118413297D01* -X109199000Y-118425500D01* -X109199000Y-119674500D01* -X109198400Y-119686703D01* -X109196608Y-119698789D01* -X109193639Y-119710640D01* -X109189523Y-119722144D01* -X109184299Y-119733189D01* -X109178018Y-119743668D01* -X109170740Y-119753482D01* -X109162535Y-119762535D01* -X109153482Y-119770740D01* -X109143668Y-119778018D01* -X109133189Y-119784299D01* -X109122144Y-119789523D01* -X109110640Y-119793639D01* -X109098789Y-119796608D01* -X109086703Y-119798400D01* -X109074500Y-119799000D01* -X108825500Y-119799000D01* -X108813297Y-119798400D01* -X108801211Y-119796608D01* -X108789360Y-119793639D01* -X108777856Y-119789523D01* -X108766811Y-119784299D01* -X108756332Y-119778018D01* -X108746518Y-119770740D01* -X108737465Y-119762535D01* -X108729260Y-119753482D01* -X108721982Y-119743668D01* -X108715701Y-119733189D01* -X108710477Y-119722144D01* -X108706361Y-119710640D01* -X108703392Y-119698789D01* -X108701600Y-119686703D01* -X108701000Y-119674500D01* -X108701000Y-118425500D01* -X108701600Y-118413297D01* -X108703392Y-118401211D01* -X108706361Y-118389360D01* -X108710477Y-118377856D01* -X108715701Y-118366811D01* -X108721982Y-118356332D01* -X108729260Y-118346518D01* -X108737465Y-118337465D01* -X108746518Y-118329260D01* -X108756332Y-118321982D01* -X108766811Y-118315701D01* -X108777856Y-118310477D01* -X108789360Y-118306361D01* -X108801211Y-118303392D01* -X108813297Y-118301600D01* -X108825500Y-118301000D01* -X109074500Y-118301000D01* -X109086703Y-118301600D01* -G37* -G36* -X108436703Y-118301600D02* -G01* -X108448789Y-118303392D01* -X108460640Y-118306361D01* -X108472144Y-118310477D01* -X108483189Y-118315701D01* -X108493668Y-118321982D01* -X108503482Y-118329260D01* -X108512535Y-118337465D01* -X108520740Y-118346518D01* -X108528018Y-118356332D01* -X108534299Y-118366811D01* -X108539523Y-118377856D01* -X108543639Y-118389360D01* -X108546608Y-118401211D01* -X108548400Y-118413297D01* -X108549000Y-118425500D01* -X108549000Y-119674500D01* -X108548400Y-119686703D01* -X108546608Y-119698789D01* -X108543639Y-119710640D01* -X108539523Y-119722144D01* -X108534299Y-119733189D01* -X108528018Y-119743668D01* -X108520740Y-119753482D01* -X108512535Y-119762535D01* -X108503482Y-119770740D01* -X108493668Y-119778018D01* -X108483189Y-119784299D01* -X108472144Y-119789523D01* -X108460640Y-119793639D01* -X108448789Y-119796608D01* -X108436703Y-119798400D01* -X108424500Y-119799000D01* -X108175500Y-119799000D01* -X108163297Y-119798400D01* -X108151211Y-119796608D01* -X108139360Y-119793639D01* -X108127856Y-119789523D01* -X108116811Y-119784299D01* -X108106332Y-119778018D01* -X108096518Y-119770740D01* -X108087465Y-119762535D01* -X108079260Y-119753482D01* -X108071982Y-119743668D01* -X108065701Y-119733189D01* -X108060477Y-119722144D01* -X108056361Y-119710640D01* -X108053392Y-119698789D01* -X108051600Y-119686703D01* -X108051000Y-119674500D01* -X108051000Y-118425500D01* -X108051600Y-118413297D01* -X108053392Y-118401211D01* -X108056361Y-118389360D01* -X108060477Y-118377856D01* -X108065701Y-118366811D01* -X108071982Y-118356332D01* -X108079260Y-118346518D01* -X108087465Y-118337465D01* -X108096518Y-118329260D01* -X108106332Y-118321982D01* -X108116811Y-118315701D01* -X108127856Y-118310477D01* -X108139360Y-118306361D01* -X108151211Y-118303392D01* -X108163297Y-118301600D01* -X108175500Y-118301000D01* -X108424500Y-118301000D01* -X108436703Y-118301600D01* -G37* -G36* -X107786703Y-118301600D02* -G01* -X107798789Y-118303392D01* -X107810640Y-118306361D01* -X107822144Y-118310477D01* -X107833189Y-118315701D01* -X107843668Y-118321982D01* -X107853482Y-118329260D01* -X107862535Y-118337465D01* -X107870740Y-118346518D01* -X107878018Y-118356332D01* -X107884299Y-118366811D01* -X107889523Y-118377856D01* -X107893639Y-118389360D01* -X107896608Y-118401211D01* -X107898400Y-118413297D01* -X107899000Y-118425500D01* -X107899000Y-119674500D01* -X107898400Y-119686703D01* -X107896608Y-119698789D01* -X107893639Y-119710640D01* -X107889523Y-119722144D01* -X107884299Y-119733189D01* -X107878018Y-119743668D01* -X107870740Y-119753482D01* -X107862535Y-119762535D01* -X107853482Y-119770740D01* -X107843668Y-119778018D01* -X107833189Y-119784299D01* -X107822144Y-119789523D01* -X107810640Y-119793639D01* -X107798789Y-119796608D01* -X107786703Y-119798400D01* -X107774500Y-119799000D01* -X107525500Y-119799000D01* -X107513297Y-119798400D01* -X107501211Y-119796608D01* -X107489360Y-119793639D01* -X107477856Y-119789523D01* -X107466811Y-119784299D01* -X107456332Y-119778018D01* -X107446518Y-119770740D01* -X107437465Y-119762535D01* -X107429260Y-119753482D01* -X107421982Y-119743668D01* -X107415701Y-119733189D01* -X107410477Y-119722144D01* -X107406361Y-119710640D01* -X107403392Y-119698789D01* -X107401600Y-119686703D01* -X107401000Y-119674500D01* -X107401000Y-118425500D01* -X107401600Y-118413297D01* -X107403392Y-118401211D01* -X107406361Y-118389360D01* -X107410477Y-118377856D01* -X107415701Y-118366811D01* -X107421982Y-118356332D01* -X107429260Y-118346518D01* -X107437465Y-118337465D01* -X107446518Y-118329260D01* -X107456332Y-118321982D01* -X107466811Y-118315701D01* -X107477856Y-118310477D01* -X107489360Y-118306361D01* -X107501211Y-118303392D01* -X107513297Y-118301600D01* -X107525500Y-118301000D01* -X107774500Y-118301000D01* -X107786703Y-118301600D01* -G37* -G36* -X107136703Y-118301600D02* -G01* -X107148789Y-118303392D01* -X107160640Y-118306361D01* -X107172144Y-118310477D01* -X107183189Y-118315701D01* -X107193668Y-118321982D01* -X107203482Y-118329260D01* -X107212535Y-118337465D01* -X107220740Y-118346518D01* -X107228018Y-118356332D01* -X107234299Y-118366811D01* -X107239523Y-118377856D01* -X107243639Y-118389360D01* -X107246608Y-118401211D01* -X107248400Y-118413297D01* -X107249000Y-118425500D01* -X107249000Y-119674500D01* -X107248400Y-119686703D01* -X107246608Y-119698789D01* -X107243639Y-119710640D01* -X107239523Y-119722144D01* -X107234299Y-119733189D01* -X107228018Y-119743668D01* -X107220740Y-119753482D01* -X107212535Y-119762535D01* -X107203482Y-119770740D01* -X107193668Y-119778018D01* -X107183189Y-119784299D01* -X107172144Y-119789523D01* -X107160640Y-119793639D01* -X107148789Y-119796608D01* -X107136703Y-119798400D01* -X107124500Y-119799000D01* -X106875500Y-119799000D01* -X106863297Y-119798400D01* -X106851211Y-119796608D01* -X106839360Y-119793639D01* -X106827856Y-119789523D01* -X106816811Y-119784299D01* -X106806332Y-119778018D01* -X106796518Y-119770740D01* -X106787465Y-119762535D01* -X106779260Y-119753482D01* -X106771982Y-119743668D01* -X106765701Y-119733189D01* -X106760477Y-119722144D01* -X106756361Y-119710640D01* -X106753392Y-119698789D01* -X106751600Y-119686703D01* -X106751000Y-119674500D01* -X106751000Y-118425500D01* -X106751600Y-118413297D01* -X106753392Y-118401211D01* -X106756361Y-118389360D01* -X106760477Y-118377856D01* -X106765701Y-118366811D01* -X106771982Y-118356332D01* -X106779260Y-118346518D01* -X106787465Y-118337465D01* -X106796518Y-118329260D01* -X106806332Y-118321982D01* -X106816811Y-118315701D01* -X106827856Y-118310477D01* -X106839360Y-118306361D01* -X106851211Y-118303392D01* -X106863297Y-118301600D01* -X106875500Y-118301000D01* -X107124500Y-118301000D01* -X107136703Y-118301600D01* -G37* -G36* -X106486703Y-118301600D02* -G01* -X106498789Y-118303392D01* -X106510640Y-118306361D01* -X106522144Y-118310477D01* -X106533189Y-118315701D01* -X106543668Y-118321982D01* -X106553482Y-118329260D01* -X106562535Y-118337465D01* -X106570740Y-118346518D01* -X106578018Y-118356332D01* -X106584299Y-118366811D01* -X106589523Y-118377856D01* -X106593639Y-118389360D01* -X106596608Y-118401211D01* -X106598400Y-118413297D01* -X106599000Y-118425500D01* -X106599000Y-119674500D01* -X106598400Y-119686703D01* -X106596608Y-119698789D01* -X106593639Y-119710640D01* -X106589523Y-119722144D01* -X106584299Y-119733189D01* -X106578018Y-119743668D01* -X106570740Y-119753482D01* -X106562535Y-119762535D01* -X106553482Y-119770740D01* -X106543668Y-119778018D01* -X106533189Y-119784299D01* -X106522144Y-119789523D01* -X106510640Y-119793639D01* -X106498789Y-119796608D01* -X106486703Y-119798400D01* -X106474500Y-119799000D01* -X106225500Y-119799000D01* -X106213297Y-119798400D01* -X106201211Y-119796608D01* -X106189360Y-119793639D01* -X106177856Y-119789523D01* -X106166811Y-119784299D01* -X106156332Y-119778018D01* -X106146518Y-119770740D01* -X106137465Y-119762535D01* -X106129260Y-119753482D01* -X106121982Y-119743668D01* -X106115701Y-119733189D01* -X106110477Y-119722144D01* -X106106361Y-119710640D01* -X106103392Y-119698789D01* -X106101600Y-119686703D01* -X106101000Y-119674500D01* -X106101000Y-118425500D01* -X106101600Y-118413297D01* -X106103392Y-118401211D01* -X106106361Y-118389360D01* -X106110477Y-118377856D01* -X106115701Y-118366811D01* -X106121982Y-118356332D01* -X106129260Y-118346518D01* -X106137465Y-118337465D01* -X106146518Y-118329260D01* -X106156332Y-118321982D01* -X106166811Y-118315701D01* -X106177856Y-118310477D01* -X106189360Y-118306361D01* -X106201211Y-118303392D01* -X106213297Y-118301600D01* -X106225500Y-118301000D01* -X106474500Y-118301000D01* -X106486703Y-118301600D01* -G37* -G36* -X105836703Y-118301600D02* -G01* -X105848789Y-118303392D01* -X105860640Y-118306361D01* -X105872144Y-118310477D01* -X105883189Y-118315701D01* -X105893668Y-118321982D01* -X105903482Y-118329260D01* -X105912535Y-118337465D01* -X105920740Y-118346518D01* -X105928018Y-118356332D01* -X105934299Y-118366811D01* -X105939523Y-118377856D01* -X105943639Y-118389360D01* -X105946608Y-118401211D01* -X105948400Y-118413297D01* -X105949000Y-118425500D01* -X105949000Y-119674500D01* -X105948400Y-119686703D01* -X105946608Y-119698789D01* -X105943639Y-119710640D01* -X105939523Y-119722144D01* -X105934299Y-119733189D01* -X105928018Y-119743668D01* -X105920740Y-119753482D01* -X105912535Y-119762535D01* -X105903482Y-119770740D01* -X105893668Y-119778018D01* -X105883189Y-119784299D01* -X105872144Y-119789523D01* -X105860640Y-119793639D01* -X105848789Y-119796608D01* -X105836703Y-119798400D01* -X105824500Y-119799000D01* -X105575500Y-119799000D01* -X105563297Y-119798400D01* -X105551211Y-119796608D01* -X105539360Y-119793639D01* -X105527856Y-119789523D01* -X105516811Y-119784299D01* -X105506332Y-119778018D01* -X105496518Y-119770740D01* -X105487465Y-119762535D01* -X105479260Y-119753482D01* -X105471982Y-119743668D01* -X105465701Y-119733189D01* -X105460477Y-119722144D01* -X105456361Y-119710640D01* -X105453392Y-119698789D01* -X105451600Y-119686703D01* -X105451000Y-119674500D01* -X105451000Y-118425500D01* -X105451600Y-118413297D01* -X105453392Y-118401211D01* -X105456361Y-118389360D01* -X105460477Y-118377856D01* -X105465701Y-118366811D01* -X105471982Y-118356332D01* -X105479260Y-118346518D01* -X105487465Y-118337465D01* -X105496518Y-118329260D01* -X105506332Y-118321982D01* -X105516811Y-118315701D01* -X105527856Y-118310477D01* -X105539360Y-118306361D01* -X105551211Y-118303392D01* -X105563297Y-118301600D01* -X105575500Y-118301000D01* -X105824500Y-118301000D01* -X105836703Y-118301600D01* -G37* -G36* -X106456856Y-102701565D02* -G01* -X106488404Y-102706245D01* -X106519343Y-102713994D01* -X106549372Y-102724739D01* -X106578204Y-102738376D01* -X106605560Y-102754772D01* -X106631178Y-102773772D01* -X106654810Y-102795190D01* -X106676228Y-102818822D01* -X106695228Y-102844440D01* -X106711624Y-102871796D01* -X106725261Y-102900628D01* -X106736006Y-102930657D01* -X106743755Y-102961596D01* -X106748435Y-102993144D01* -X106750000Y-103025000D01* -X106750000Y-103675000D01* -X106748435Y-103706856D01* -X106743755Y-103738404D01* -X106736006Y-103769343D01* -X106725261Y-103799372D01* -X106711624Y-103828204D01* -X106695228Y-103855560D01* -X106676228Y-103881178D01* -X106654810Y-103904810D01* -X106631178Y-103926228D01* -X106605560Y-103945228D01* -X106578204Y-103961624D01* -X106549372Y-103975261D01* -X106519343Y-103986006D01* -X106488404Y-103993755D01* -X106456856Y-103998435D01* -X106425000Y-104000000D01* -X105575000Y-104000000D01* -X105543144Y-103998435D01* -X105511596Y-103993755D01* -X105480657Y-103986006D01* -X105450628Y-103975261D01* -X105421796Y-103961624D01* -X105394440Y-103945228D01* -X105368822Y-103926228D01* -X105345190Y-103904810D01* -X105323772Y-103881178D01* -X105304772Y-103855560D01* -X105288376Y-103828204D01* -X105274739Y-103799372D01* -X105263994Y-103769343D01* -X105256245Y-103738404D01* -X105251565Y-103706856D01* -X105250000Y-103675000D01* -X105250000Y-103025000D01* -X105251565Y-102993144D01* -X105256245Y-102961596D01* -X105263994Y-102930657D01* -X105274739Y-102900628D01* -X105288376Y-102871796D01* -X105304772Y-102844440D01* -X105323772Y-102818822D01* -X105345190Y-102795190D01* -X105368822Y-102773772D01* -X105394440Y-102754772D01* -X105421796Y-102738376D01* -X105450628Y-102724739D01* -X105480657Y-102713994D01* -X105511596Y-102706245D01* -X105543144Y-102701565D01* -X105575000Y-102700000D01* -X106425000Y-102700000D01* -X106456856Y-102701565D01* -G37* -G36* -X108656856Y-102701565D02* -G01* -X108688404Y-102706245D01* -X108719343Y-102713994D01* -X108749372Y-102724739D01* -X108778204Y-102738376D01* -X108805560Y-102754772D01* -X108831178Y-102773772D01* -X108854810Y-102795190D01* -X108876228Y-102818822D01* -X108895228Y-102844440D01* -X108911624Y-102871796D01* -X108925261Y-102900628D01* -X108936006Y-102930657D01* -X108943755Y-102961596D01* -X108948435Y-102993144D01* -X108950000Y-103025000D01* -X108950000Y-103675000D01* -X108948435Y-103706856D01* -X108943755Y-103738404D01* -X108936006Y-103769343D01* -X108925261Y-103799372D01* -X108911624Y-103828204D01* -X108895228Y-103855560D01* -X108876228Y-103881178D01* -X108854810Y-103904810D01* -X108831178Y-103926228D01* -X108805560Y-103945228D01* -X108778204Y-103961624D01* -X108749372Y-103975261D01* -X108719343Y-103986006D01* -X108688404Y-103993755D01* -X108656856Y-103998435D01* -X108625000Y-104000000D01* -X107775000Y-104000000D01* -X107743144Y-103998435D01* -X107711596Y-103993755D01* -X107680657Y-103986006D01* -X107650628Y-103975261D01* -X107621796Y-103961624D01* -X107594440Y-103945228D01* -X107568822Y-103926228D01* -X107545190Y-103904810D01* -X107523772Y-103881178D01* -X107504772Y-103855560D01* -X107488376Y-103828204D01* -X107474739Y-103799372D01* -X107463994Y-103769343D01* -X107456245Y-103738404D01* -X107451565Y-103706856D01* -X107450000Y-103675000D01* -X107450000Y-103025000D01* -X107451565Y-102993144D01* -X107456245Y-102961596D01* -X107463994Y-102930657D01* -X107474739Y-102900628D01* -X107488376Y-102871796D01* -X107504772Y-102844440D01* -X107523772Y-102818822D01* -X107545190Y-102795190D01* -X107568822Y-102773772D01* -X107594440Y-102754772D01* -X107621796Y-102738376D01* -X107650628Y-102724739D01* -X107680657Y-102713994D01* -X107711596Y-102706245D01* -X107743144Y-102701565D01* -X107775000Y-102700000D01* -X108625000Y-102700000D01* -X108656856Y-102701565D01* -G37* -G36* -X108656856Y-101001565D02* -G01* -X108688404Y-101006245D01* -X108719343Y-101013994D01* -X108749372Y-101024739D01* -X108778204Y-101038376D01* -X108805560Y-101054772D01* -X108831178Y-101073772D01* -X108854810Y-101095190D01* -X108876228Y-101118822D01* -X108895228Y-101144440D01* -X108911624Y-101171796D01* -X108925261Y-101200628D01* -X108936006Y-101230657D01* -X108943755Y-101261596D01* -X108948435Y-101293144D01* -X108950000Y-101325000D01* -X108950000Y-101975000D01* -X108948435Y-102006856D01* -X108943755Y-102038404D01* -X108936006Y-102069343D01* -X108925261Y-102099372D01* -X108911624Y-102128204D01* -X108895228Y-102155560D01* -X108876228Y-102181178D01* -X108854810Y-102204810D01* -X108831178Y-102226228D01* -X108805560Y-102245228D01* -X108778204Y-102261624D01* -X108749372Y-102275261D01* -X108719343Y-102286006D01* -X108688404Y-102293755D01* -X108656856Y-102298435D01* -X108625000Y-102300000D01* -X107775000Y-102300000D01* -X107743144Y-102298435D01* -X107711596Y-102293755D01* -X107680657Y-102286006D01* -X107650628Y-102275261D01* -X107621796Y-102261624D01* -X107594440Y-102245228D01* -X107568822Y-102226228D01* -X107545190Y-102204810D01* -X107523772Y-102181178D01* -X107504772Y-102155560D01* -X107488376Y-102128204D01* -X107474739Y-102099372D01* -X107463994Y-102069343D01* -X107456245Y-102038404D01* -X107451565Y-102006856D01* -X107450000Y-101975000D01* -X107450000Y-101325000D01* -X107451565Y-101293144D01* -X107456245Y-101261596D01* -X107463994Y-101230657D01* -X107474739Y-101200628D01* -X107488376Y-101171796D01* -X107504772Y-101144440D01* -X107523772Y-101118822D01* -X107545190Y-101095190D01* -X107568822Y-101073772D01* -X107594440Y-101054772D01* -X107621796Y-101038376D01* -X107650628Y-101024739D01* -X107680657Y-101013994D01* -X107711596Y-101006245D01* -X107743144Y-101001565D01* -X107775000Y-101000000D01* -X108625000Y-101000000D01* -X108656856Y-101001565D01* -G37* -G36* -X106456856Y-101001565D02* -G01* -X106488404Y-101006245D01* -X106519343Y-101013994D01* -X106549372Y-101024739D01* -X106578204Y-101038376D01* -X106605560Y-101054772D01* -X106631178Y-101073772D01* -X106654810Y-101095190D01* -X106676228Y-101118822D01* -X106695228Y-101144440D01* -X106711624Y-101171796D01* -X106725261Y-101200628D01* -X106736006Y-101230657D01* -X106743755Y-101261596D01* -X106748435Y-101293144D01* -X106750000Y-101325000D01* -X106750000Y-101975000D01* -X106748435Y-102006856D01* -X106743755Y-102038404D01* -X106736006Y-102069343D01* -X106725261Y-102099372D01* -X106711624Y-102128204D01* -X106695228Y-102155560D01* -X106676228Y-102181178D01* -X106654810Y-102204810D01* -X106631178Y-102226228D01* -X106605560Y-102245228D01* -X106578204Y-102261624D01* -X106549372Y-102275261D01* -X106519343Y-102286006D01* -X106488404Y-102293755D01* -X106456856Y-102298435D01* -X106425000Y-102300000D01* -X105575000Y-102300000D01* -X105543144Y-102298435D01* -X105511596Y-102293755D01* -X105480657Y-102286006D01* -X105450628Y-102275261D01* -X105421796Y-102261624D01* -X105394440Y-102245228D01* -X105368822Y-102226228D01* -X105345190Y-102204810D01* -X105323772Y-102181178D01* -X105304772Y-102155560D01* -X105288376Y-102128204D01* -X105274739Y-102099372D01* -X105263994Y-102069343D01* -X105256245Y-102038404D01* -X105251565Y-102006856D01* -X105250000Y-101975000D01* -X105250000Y-101325000D01* -X105251565Y-101293144D01* -X105256245Y-101261596D01* -X105263994Y-101230657D01* -X105274739Y-101200628D01* -X105288376Y-101171796D01* -X105304772Y-101144440D01* -X105323772Y-101118822D01* -X105345190Y-101095190D01* -X105368822Y-101073772D01* -X105394440Y-101054772D01* -X105421796Y-101038376D01* -X105450628Y-101024739D01* -X105480657Y-101013994D01* -X105511596Y-101006245D01* -X105543144Y-101001565D01* -X105575000Y-101000000D01* -X106425000Y-101000000D01* -X106456856Y-101001565D01* -G37* -G36* -X106260779Y-97526144D02* -G01* -X106283834Y-97529563D01* -X106306443Y-97535227D01* -X106328387Y-97543079D01* -X106349457Y-97553044D01* -X106369448Y-97565026D01* -X106388168Y-97578910D01* -X106405438Y-97594562D01* -X106421090Y-97611832D01* -X106434974Y-97630552D01* -X106446956Y-97650543D01* -X106456921Y-97671613D01* -X106464773Y-97693557D01* -X106470437Y-97716166D01* -X106473856Y-97739221D01* -X106475000Y-97762500D01* -X106475000Y-98237500D01* -X106473856Y-98260779D01* -X106470437Y-98283834D01* -X106464773Y-98306443D01* -X106456921Y-98328387D01* -X106446956Y-98349457D01* -X106434974Y-98369448D01* -X106421090Y-98388168D01* -X106405438Y-98405438D01* -X106388168Y-98421090D01* -X106369448Y-98434974D01* -X106349457Y-98446956D01* -X106328387Y-98456921D01* -X106306443Y-98464773D01* -X106283834Y-98470437D01* -X106260779Y-98473856D01* -X106237500Y-98475000D01* -X105662500Y-98475000D01* -X105639221Y-98473856D01* -X105616166Y-98470437D01* -X105593557Y-98464773D01* -X105571613Y-98456921D01* -X105550543Y-98446956D01* -X105530552Y-98434974D01* -X105511832Y-98421090D01* -X105494562Y-98405438D01* -X105478910Y-98388168D01* -X105465026Y-98369448D01* -X105453044Y-98349457D01* -X105443079Y-98328387D01* -X105435227Y-98306443D01* -X105429563Y-98283834D01* -X105426144Y-98260779D01* -X105425000Y-98237500D01* -X105425000Y-97762500D01* -X105426144Y-97739221D01* -X105429563Y-97716166D01* -X105435227Y-97693557D01* -X105443079Y-97671613D01* -X105453044Y-97650543D01* -X105465026Y-97630552D01* -X105478910Y-97611832D01* -X105494562Y-97594562D01* -X105511832Y-97578910D01* -X105530552Y-97565026D01* -X105550543Y-97553044D01* -X105571613Y-97543079D01* -X105593557Y-97535227D01* -X105616166Y-97529563D01* -X105639221Y-97526144D01* -X105662500Y-97525000D01* -X106237500Y-97525000D01* -X106260779Y-97526144D01* -G37* -G36* -X106260779Y-99026144D02* -G01* -X106283834Y-99029563D01* -X106306443Y-99035227D01* -X106328387Y-99043079D01* -X106349457Y-99053044D01* -X106369448Y-99065026D01* -X106388168Y-99078910D01* -X106405438Y-99094562D01* -X106421090Y-99111832D01* -X106434974Y-99130552D01* -X106446956Y-99150543D01* -X106456921Y-99171613D01* -X106464773Y-99193557D01* -X106470437Y-99216166D01* -X106473856Y-99239221D01* -X106475000Y-99262500D01* -X106475000Y-99737500D01* -X106473856Y-99760779D01* -X106470437Y-99783834D01* -X106464773Y-99806443D01* -X106456921Y-99828387D01* -X106446956Y-99849457D01* -X106434974Y-99869448D01* -X106421090Y-99888168D01* -X106405438Y-99905438D01* -X106388168Y-99921090D01* -X106369448Y-99934974D01* -X106349457Y-99946956D01* -X106328387Y-99956921D01* -X106306443Y-99964773D01* -X106283834Y-99970437D01* -X106260779Y-99973856D01* -X106237500Y-99975000D01* -X105662500Y-99975000D01* -X105639221Y-99973856D01* -X105616166Y-99970437D01* -X105593557Y-99964773D01* -X105571613Y-99956921D01* -X105550543Y-99946956D01* -X105530552Y-99934974D01* -X105511832Y-99921090D01* -X105494562Y-99905438D01* -X105478910Y-99888168D01* -X105465026Y-99869448D01* -X105453044Y-99849457D01* -X105443079Y-99828387D01* -X105435227Y-99806443D01* -X105429563Y-99783834D01* -X105426144Y-99760779D01* -X105425000Y-99737500D01* -X105425000Y-99262500D01* -X105426144Y-99239221D01* -X105429563Y-99216166D01* -X105435227Y-99193557D01* -X105443079Y-99171613D01* -X105453044Y-99150543D01* -X105465026Y-99130552D01* -X105478910Y-99111832D01* -X105494562Y-99094562D01* -X105511832Y-99078910D01* -X105530552Y-99065026D01* -X105550543Y-99053044D01* -X105571613Y-99043079D01* -X105593557Y-99035227D01* -X105616166Y-99029563D01* -X105639221Y-99026144D01* -X105662500Y-99025000D01* -X106237500Y-99025000D01* -X106260779Y-99026144D01* -G37* -G36* -X111175163Y-108950007D02* -G01* -X111199490Y-108953615D01* -X111223345Y-108959591D01* -X111246500Y-108967876D01* -X111268732Y-108978391D01* -X111289826Y-108991034D01* -X111309579Y-109005684D01* -X111327801Y-109022199D01* -X111344316Y-109040421D01* -X111358966Y-109060174D01* -X111371609Y-109081268D01* -X111382124Y-109103500D01* -X111390409Y-109126655D01* -X111396385Y-109150510D01* -X111399993Y-109174837D01* -X111401200Y-109199400D01* -X111401200Y-109700600D01* -X111399993Y-109725163D01* -X111396385Y-109749490D01* -X111390409Y-109773345D01* -X111382124Y-109796500D01* -X111371609Y-109818732D01* -X111358966Y-109839826D01* -X111344316Y-109859579D01* -X111327801Y-109877801D01* -X111309579Y-109894316D01* -X111289826Y-109908966D01* -X111268732Y-109921609D01* -X111246500Y-109932124D01* -X111223345Y-109940409D01* -X111199490Y-109946385D01* -X111175163Y-109949993D01* -X111150600Y-109951200D01* -X110549400Y-109951200D01* -X110524837Y-109949993D01* -X110500510Y-109946385D01* -X110476655Y-109940409D01* -X110453500Y-109932124D01* -X110431268Y-109921609D01* -X110410174Y-109908966D01* -X110390421Y-109894316D01* -X110372199Y-109877801D01* -X110355684Y-109859579D01* -X110341034Y-109839826D01* -X110328391Y-109818732D01* -X110317876Y-109796500D01* -X110309591Y-109773345D01* -X110303615Y-109749490D01* -X110300007Y-109725163D01* -X110298800Y-109700600D01* -X110298800Y-109199400D01* -X110300007Y-109174837D01* -X110303615Y-109150510D01* -X110309591Y-109126655D01* -X110317876Y-109103500D01* -X110328391Y-109081268D01* -X110341034Y-109060174D01* -X110355684Y-109040421D01* -X110372199Y-109022199D01* -X110390421Y-109005684D01* -X110410174Y-108991034D01* -X110431268Y-108978391D01* -X110453500Y-108967876D01* -X110476655Y-108959591D01* -X110500510Y-108953615D01* -X110524837Y-108950007D01* -X110549400Y-108948800D01* -X111150600Y-108948800D01* -X111175163Y-108950007D01* -G37* -G36* -X111175163Y-107450007D02* -G01* -X111199490Y-107453615D01* -X111223345Y-107459591D01* -X111246500Y-107467876D01* -X111268732Y-107478391D01* -X111289826Y-107491034D01* -X111309579Y-107505684D01* -X111327801Y-107522199D01* -X111344316Y-107540421D01* -X111358966Y-107560174D01* -X111371609Y-107581268D01* -X111382124Y-107603500D01* -X111390409Y-107626655D01* -X111396385Y-107650510D01* -X111399993Y-107674837D01* -X111401200Y-107699400D01* -X111401200Y-108200600D01* -X111399993Y-108225163D01* -X111396385Y-108249490D01* -X111390409Y-108273345D01* -X111382124Y-108296500D01* -X111371609Y-108318732D01* -X111358966Y-108339826D01* -X111344316Y-108359579D01* -X111327801Y-108377801D01* -X111309579Y-108394316D01* -X111289826Y-108408966D01* -X111268732Y-108421609D01* -X111246500Y-108432124D01* -X111223345Y-108440409D01* -X111199490Y-108446385D01* -X111175163Y-108449993D01* -X111150600Y-108451200D01* -X110549400Y-108451200D01* -X110524837Y-108449993D01* -X110500510Y-108446385D01* -X110476655Y-108440409D01* -X110453500Y-108432124D01* -X110431268Y-108421609D01* -X110410174Y-108408966D01* -X110390421Y-108394316D01* -X110372199Y-108377801D01* -X110355684Y-108359579D01* -X110341034Y-108339826D01* -X110328391Y-108318732D01* -X110317876Y-108296500D01* -X110309591Y-108273345D01* -X110303615Y-108249490D01* -X110300007Y-108225163D01* -X110298800Y-108200600D01* -X110298800Y-107699400D01* -X110300007Y-107674837D01* -X110303615Y-107650510D01* -X110309591Y-107626655D01* -X110317876Y-107603500D01* -X110328391Y-107581268D01* -X110341034Y-107560174D01* -X110355684Y-107540421D01* -X110372199Y-107522199D01* -X110390421Y-107505684D01* -X110410174Y-107491034D01* -X110431268Y-107478391D01* -X110453500Y-107467876D01* -X110476655Y-107459591D01* -X110500510Y-107453615D01* -X110524837Y-107450007D01* -X110549400Y-107448800D01* -X111150600Y-107448800D01* -X111175163Y-107450007D01* -G37* -G36* -X114675163Y-114800007D02* -G01* -X114699490Y-114803615D01* -X114723345Y-114809591D01* -X114746500Y-114817876D01* -X114768732Y-114828391D01* -X114789826Y-114841034D01* -X114809579Y-114855684D01* -X114827801Y-114872199D01* -X114844316Y-114890421D01* -X114858966Y-114910174D01* -X114871609Y-114931268D01* -X114882124Y-114953500D01* -X114890409Y-114976655D01* -X114896385Y-115000510D01* -X114899993Y-115024837D01* -X114901200Y-115049400D01* -X114901200Y-115650600D01* -X114899993Y-115675163D01* -X114896385Y-115699490D01* -X114890409Y-115723345D01* -X114882124Y-115746500D01* -X114871609Y-115768732D01* -X114858966Y-115789826D01* -X114844316Y-115809579D01* -X114827801Y-115827801D01* -X114809579Y-115844316D01* -X114789826Y-115858966D01* -X114768732Y-115871609D01* -X114746500Y-115882124D01* -X114723345Y-115890409D01* -X114699490Y-115896385D01* -X114675163Y-115899993D01* -X114650600Y-115901200D01* -X114149400Y-115901200D01* -X114124837Y-115899993D01* -X114100510Y-115896385D01* -X114076655Y-115890409D01* -X114053500Y-115882124D01* -X114031268Y-115871609D01* -X114010174Y-115858966D01* -X113990421Y-115844316D01* -X113972199Y-115827801D01* -X113955684Y-115809579D01* -X113941034Y-115789826D01* -X113928391Y-115768732D01* -X113917876Y-115746500D01* -X113909591Y-115723345D01* -X113903615Y-115699490D01* -X113900007Y-115675163D01* -X113898800Y-115650600D01* -X113898800Y-115049400D01* -X113900007Y-115024837D01* -X113903615Y-115000510D01* -X113909591Y-114976655D01* -X113917876Y-114953500D01* -X113928391Y-114931268D01* -X113941034Y-114910174D01* -X113955684Y-114890421D01* -X113972199Y-114872199D01* -X113990421Y-114855684D01* -X114010174Y-114841034D01* -X114031268Y-114828391D01* -X114053500Y-114817876D01* -X114076655Y-114809591D01* -X114100510Y-114803615D01* -X114124837Y-114800007D01* -X114149400Y-114798800D01* -X114650600Y-114798800D01* -X114675163Y-114800007D01* -G37* -G36* -X113175163Y-114800007D02* -G01* -X113199490Y-114803615D01* -X113223345Y-114809591D01* -X113246500Y-114817876D01* -X113268732Y-114828391D01* -X113289826Y-114841034D01* -X113309579Y-114855684D01* -X113327801Y-114872199D01* -X113344316Y-114890421D01* -X113358966Y-114910174D01* -X113371609Y-114931268D01* -X113382124Y-114953500D01* -X113390409Y-114976655D01* -X113396385Y-115000510D01* -X113399993Y-115024837D01* -X113401200Y-115049400D01* -X113401200Y-115650600D01* -X113399993Y-115675163D01* -X113396385Y-115699490D01* -X113390409Y-115723345D01* -X113382124Y-115746500D01* -X113371609Y-115768732D01* -X113358966Y-115789826D01* -X113344316Y-115809579D01* -X113327801Y-115827801D01* -X113309579Y-115844316D01* -X113289826Y-115858966D01* -X113268732Y-115871609D01* -X113246500Y-115882124D01* -X113223345Y-115890409D01* -X113199490Y-115896385D01* -X113175163Y-115899993D01* -X113150600Y-115901200D01* -X112649400Y-115901200D01* -X112624837Y-115899993D01* -X112600510Y-115896385D01* -X112576655Y-115890409D01* -X112553500Y-115882124D01* -X112531268Y-115871609D01* -X112510174Y-115858966D01* -X112490421Y-115844316D01* -X112472199Y-115827801D01* -X112455684Y-115809579D01* -X112441034Y-115789826D01* -X112428391Y-115768732D01* -X112417876Y-115746500D01* -X112409591Y-115723345D01* -X112403615Y-115699490D01* -X112400007Y-115675163D01* -X112398800Y-115650600D01* -X112398800Y-115049400D01* -X112400007Y-115024837D01* -X112403615Y-115000510D01* -X112409591Y-114976655D01* -X112417876Y-114953500D01* -X112428391Y-114931268D01* -X112441034Y-114910174D01* -X112455684Y-114890421D01* -X112472199Y-114872199D01* -X112490421Y-114855684D01* -X112510174Y-114841034D01* -X112531268Y-114828391D01* -X112553500Y-114817876D01* -X112576655Y-114809591D01* -X112600510Y-114803615D01* -X112624837Y-114800007D01* -X112649400Y-114798800D01* -X113150600Y-114798800D01* -X113175163Y-114800007D01* -G37* -G36* -X126775163Y-93650007D02* -G01* -X126799490Y-93653615D01* -X126823345Y-93659591D01* -X126846500Y-93667876D01* -X126868732Y-93678391D01* -X126889826Y-93691034D01* -X126909579Y-93705684D01* -X126927801Y-93722199D01* -X126944316Y-93740421D01* -X126958966Y-93760174D01* -X126971609Y-93781268D01* -X126982124Y-93803500D01* -X126990409Y-93826655D01* -X126996385Y-93850510D01* -X126999993Y-93874837D01* -X127001200Y-93899400D01* -X127001200Y-94400600D01* -X126999993Y-94425163D01* -X126996385Y-94449490D01* -X126990409Y-94473345D01* -X126982124Y-94496500D01* -X126971609Y-94518732D01* -X126958966Y-94539826D01* -X126944316Y-94559579D01* -X126927801Y-94577801D01* -X126909579Y-94594316D01* -X126889826Y-94608966D01* -X126868732Y-94621609D01* -X126846500Y-94632124D01* -X126823345Y-94640409D01* -X126799490Y-94646385D01* -X126775163Y-94649993D01* -X126750600Y-94651200D01* -X126149400Y-94651200D01* -X126124837Y-94649993D01* -X126100510Y-94646385D01* -X126076655Y-94640409D01* -X126053500Y-94632124D01* -X126031268Y-94621609D01* -X126010174Y-94608966D01* -X125990421Y-94594316D01* -X125972199Y-94577801D01* -X125955684Y-94559579D01* -X125941034Y-94539826D01* -X125928391Y-94518732D01* -X125917876Y-94496500D01* -X125909591Y-94473345D01* -X125903615Y-94449490D01* -X125900007Y-94425163D01* -X125898800Y-94400600D01* -X125898800Y-93899400D01* -X125900007Y-93874837D01* -X125903615Y-93850510D01* -X125909591Y-93826655D01* -X125917876Y-93803500D01* -X125928391Y-93781268D01* -X125941034Y-93760174D01* -X125955684Y-93740421D01* -X125972199Y-93722199D01* -X125990421Y-93705684D01* -X126010174Y-93691034D01* -X126031268Y-93678391D01* -X126053500Y-93667876D01* -X126076655Y-93659591D01* -X126100510Y-93653615D01* -X126124837Y-93650007D01* -X126149400Y-93648800D01* -X126750600Y-93648800D01* -X126775163Y-93650007D01* -G37* -G36* -X126775163Y-92150007D02* -G01* -X126799490Y-92153615D01* -X126823345Y-92159591D01* -X126846500Y-92167876D01* -X126868732Y-92178391D01* -X126889826Y-92191034D01* -X126909579Y-92205684D01* -X126927801Y-92222199D01* -X126944316Y-92240421D01* -X126958966Y-92260174D01* -X126971609Y-92281268D01* -X126982124Y-92303500D01* -X126990409Y-92326655D01* -X126996385Y-92350510D01* -X126999993Y-92374837D01* -X127001200Y-92399400D01* -X127001200Y-92900600D01* -X126999993Y-92925163D01* -X126996385Y-92949490D01* -X126990409Y-92973345D01* -X126982124Y-92996500D01* -X126971609Y-93018732D01* -X126958966Y-93039826D01* -X126944316Y-93059579D01* -X126927801Y-93077801D01* -X126909579Y-93094316D01* -X126889826Y-93108966D01* -X126868732Y-93121609D01* -X126846500Y-93132124D01* -X126823345Y-93140409D01* -X126799490Y-93146385D01* -X126775163Y-93149993D01* -X126750600Y-93151200D01* -X126149400Y-93151200D01* -X126124837Y-93149993D01* -X126100510Y-93146385D01* -X126076655Y-93140409D01* -X126053500Y-93132124D01* -X126031268Y-93121609D01* -X126010174Y-93108966D01* -X125990421Y-93094316D01* -X125972199Y-93077801D01* -X125955684Y-93059579D01* -X125941034Y-93039826D01* -X125928391Y-93018732D01* -X125917876Y-92996500D01* -X125909591Y-92973345D01* -X125903615Y-92949490D01* -X125900007Y-92925163D01* -X125898800Y-92900600D01* -X125898800Y-92399400D01* -X125900007Y-92374837D01* -X125903615Y-92350510D01* -X125909591Y-92326655D01* -X125917876Y-92303500D01* -X125928391Y-92281268D01* -X125941034Y-92260174D01* -X125955684Y-92240421D01* -X125972199Y-92222199D01* -X125990421Y-92205684D01* -X126010174Y-92191034D01* -X126031268Y-92178391D01* -X126053500Y-92167876D01* -X126076655Y-92159591D01* -X126100510Y-92153615D01* -X126124837Y-92150007D01* -X126149400Y-92148800D01* -X126750600Y-92148800D01* -X126775163Y-92150007D01* -G37* -G36* -X111125163Y-104300007D02* -G01* -X111149490Y-104303615D01* -X111173345Y-104309591D01* -X111196500Y-104317876D01* -X111218732Y-104328391D01* -X111239826Y-104341034D01* -X111259579Y-104355684D01* -X111277801Y-104372199D01* -X111294316Y-104390421D01* -X111308966Y-104410174D01* -X111321609Y-104431268D01* -X111332124Y-104453500D01* -X111340409Y-104476655D01* -X111346385Y-104500510D01* -X111349993Y-104524837D01* -X111351200Y-104549400D01* -X111351200Y-105050600D01* -X111349993Y-105075163D01* -X111346385Y-105099490D01* -X111340409Y-105123345D01* -X111332124Y-105146500D01* -X111321609Y-105168732D01* -X111308966Y-105189826D01* -X111294316Y-105209579D01* -X111277801Y-105227801D01* -X111259579Y-105244316D01* -X111239826Y-105258966D01* -X111218732Y-105271609D01* -X111196500Y-105282124D01* -X111173345Y-105290409D01* -X111149490Y-105296385D01* -X111125163Y-105299993D01* -X111100600Y-105301200D01* -X110499400Y-105301200D01* -X110474837Y-105299993D01* -X110450510Y-105296385D01* -X110426655Y-105290409D01* -X110403500Y-105282124D01* -X110381268Y-105271609D01* -X110360174Y-105258966D01* -X110340421Y-105244316D01* -X110322199Y-105227801D01* -X110305684Y-105209579D01* -X110291034Y-105189826D01* -X110278391Y-105168732D01* -X110267876Y-105146500D01* -X110259591Y-105123345D01* -X110253615Y-105099490D01* -X110250007Y-105075163D01* -X110248800Y-105050600D01* -X110248800Y-104549400D01* -X110250007Y-104524837D01* -X110253615Y-104500510D01* -X110259591Y-104476655D01* -X110267876Y-104453500D01* -X110278391Y-104431268D01* -X110291034Y-104410174D01* -X110305684Y-104390421D01* -X110322199Y-104372199D01* -X110340421Y-104355684D01* -X110360174Y-104341034D01* -X110381268Y-104328391D01* -X110403500Y-104317876D01* -X110426655Y-104309591D01* -X110450510Y-104303615D01* -X110474837Y-104300007D01* -X110499400Y-104298800D01* -X111100600Y-104298800D01* -X111125163Y-104300007D01* -G37* -G36* -X111125163Y-102800007D02* -G01* -X111149490Y-102803615D01* -X111173345Y-102809591D01* -X111196500Y-102817876D01* -X111218732Y-102828391D01* -X111239826Y-102841034D01* -X111259579Y-102855684D01* -X111277801Y-102872199D01* -X111294316Y-102890421D01* -X111308966Y-102910174D01* -X111321609Y-102931268D01* -X111332124Y-102953500D01* -X111340409Y-102976655D01* -X111346385Y-103000510D01* -X111349993Y-103024837D01* -X111351200Y-103049400D01* -X111351200Y-103550600D01* -X111349993Y-103575163D01* -X111346385Y-103599490D01* -X111340409Y-103623345D01* -X111332124Y-103646500D01* -X111321609Y-103668732D01* -X111308966Y-103689826D01* -X111294316Y-103709579D01* -X111277801Y-103727801D01* -X111259579Y-103744316D01* -X111239826Y-103758966D01* -X111218732Y-103771609D01* -X111196500Y-103782124D01* -X111173345Y-103790409D01* -X111149490Y-103796385D01* -X111125163Y-103799993D01* -X111100600Y-103801200D01* -X110499400Y-103801200D01* -X110474837Y-103799993D01* -X110450510Y-103796385D01* -X110426655Y-103790409D01* -X110403500Y-103782124D01* -X110381268Y-103771609D01* -X110360174Y-103758966D01* -X110340421Y-103744316D01* -X110322199Y-103727801D01* -X110305684Y-103709579D01* -X110291034Y-103689826D01* -X110278391Y-103668732D01* -X110267876Y-103646500D01* -X110259591Y-103623345D01* -X110253615Y-103599490D01* -X110250007Y-103575163D01* -X110248800Y-103550600D01* -X110248800Y-103049400D01* -X110250007Y-103024837D01* -X110253615Y-103000510D01* -X110259591Y-102976655D01* -X110267876Y-102953500D01* -X110278391Y-102931268D01* -X110291034Y-102910174D01* -X110305684Y-102890421D01* -X110322199Y-102872199D01* -X110340421Y-102855684D01* -X110360174Y-102841034D01* -X110381268Y-102828391D01* -X110403500Y-102817876D01* -X110426655Y-102809591D01* -X110450510Y-102803615D01* -X110474837Y-102800007D01* -X110499400Y-102798800D01* -X111100600Y-102798800D01* -X111125163Y-102800007D01* -G37* -G36* -X126775163Y-106550007D02* -G01* -X126799490Y-106553615D01* -X126823345Y-106559591D01* -X126846500Y-106567876D01* -X126868732Y-106578391D01* -X126889826Y-106591034D01* -X126909579Y-106605684D01* -X126927801Y-106622199D01* -X126944316Y-106640421D01* -X126958966Y-106660174D01* -X126971609Y-106681268D01* -X126982124Y-106703500D01* -X126990409Y-106726655D01* -X126996385Y-106750510D01* -X126999993Y-106774837D01* -X127001200Y-106799400D01* -X127001200Y-107300600D01* -X126999993Y-107325163D01* -X126996385Y-107349490D01* -X126990409Y-107373345D01* -X126982124Y-107396500D01* -X126971609Y-107418732D01* -X126958966Y-107439826D01* -X126944316Y-107459579D01* -X126927801Y-107477801D01* -X126909579Y-107494316D01* -X126889826Y-107508966D01* -X126868732Y-107521609D01* -X126846500Y-107532124D01* -X126823345Y-107540409D01* -X126799490Y-107546385D01* -X126775163Y-107549993D01* -X126750600Y-107551200D01* -X126149400Y-107551200D01* -X126124837Y-107549993D01* -X126100510Y-107546385D01* -X126076655Y-107540409D01* -X126053500Y-107532124D01* -X126031268Y-107521609D01* -X126010174Y-107508966D01* -X125990421Y-107494316D01* -X125972199Y-107477801D01* -X125955684Y-107459579D01* -X125941034Y-107439826D01* -X125928391Y-107418732D01* -X125917876Y-107396500D01* -X125909591Y-107373345D01* -X125903615Y-107349490D01* -X125900007Y-107325163D01* -X125898800Y-107300600D01* -X125898800Y-106799400D01* -X125900007Y-106774837D01* -X125903615Y-106750510D01* -X125909591Y-106726655D01* -X125917876Y-106703500D01* -X125928391Y-106681268D01* -X125941034Y-106660174D01* -X125955684Y-106640421D01* -X125972199Y-106622199D01* -X125990421Y-106605684D01* -X126010174Y-106591034D01* -X126031268Y-106578391D01* -X126053500Y-106567876D01* -X126076655Y-106559591D01* -X126100510Y-106553615D01* -X126124837Y-106550007D01* -X126149400Y-106548800D01* -X126750600Y-106548800D01* -X126775163Y-106550007D01* -G37* -G36* -X126775163Y-108050007D02* -G01* -X126799490Y-108053615D01* -X126823345Y-108059591D01* -X126846500Y-108067876D01* -X126868732Y-108078391D01* -X126889826Y-108091034D01* -X126909579Y-108105684D01* -X126927801Y-108122199D01* -X126944316Y-108140421D01* -X126958966Y-108160174D01* -X126971609Y-108181268D01* -X126982124Y-108203500D01* -X126990409Y-108226655D01* -X126996385Y-108250510D01* -X126999993Y-108274837D01* -X127001200Y-108299400D01* -X127001200Y-108800600D01* -X126999993Y-108825163D01* -X126996385Y-108849490D01* -X126990409Y-108873345D01* -X126982124Y-108896500D01* -X126971609Y-108918732D01* -X126958966Y-108939826D01* -X126944316Y-108959579D01* -X126927801Y-108977801D01* -X126909579Y-108994316D01* -X126889826Y-109008966D01* -X126868732Y-109021609D01* -X126846500Y-109032124D01* -X126823345Y-109040409D01* -X126799490Y-109046385D01* -X126775163Y-109049993D01* -X126750600Y-109051200D01* -X126149400Y-109051200D01* -X126124837Y-109049993D01* -X126100510Y-109046385D01* -X126076655Y-109040409D01* -X126053500Y-109032124D01* -X126031268Y-109021609D01* -X126010174Y-109008966D01* -X125990421Y-108994316D01* -X125972199Y-108977801D01* -X125955684Y-108959579D01* -X125941034Y-108939826D01* -X125928391Y-108918732D01* -X125917876Y-108896500D01* -X125909591Y-108873345D01* -X125903615Y-108849490D01* -X125900007Y-108825163D01* -X125898800Y-108800600D01* -X125898800Y-108299400D01* -X125900007Y-108274837D01* -X125903615Y-108250510D01* -X125909591Y-108226655D01* -X125917876Y-108203500D01* -X125928391Y-108181268D01* -X125941034Y-108160174D01* -X125955684Y-108140421D01* -X125972199Y-108122199D01* -X125990421Y-108105684D01* -X126010174Y-108091034D01* -X126031268Y-108078391D01* -X126053500Y-108067876D01* -X126076655Y-108059591D01* -X126100510Y-108053615D01* -X126124837Y-108050007D01* -X126149400Y-108048800D01* -X126750600Y-108048800D01* -X126775163Y-108050007D01* -G37* -G36* -X126775163Y-111350007D02* -G01* -X126799490Y-111353615D01* -X126823345Y-111359591D01* -X126846500Y-111367876D01* -X126868732Y-111378391D01* -X126889826Y-111391034D01* -X126909579Y-111405684D01* -X126927801Y-111422199D01* -X126944316Y-111440421D01* -X126958966Y-111460174D01* -X126971609Y-111481268D01* -X126982124Y-111503500D01* -X126990409Y-111526655D01* -X126996385Y-111550510D01* -X126999993Y-111574837D01* -X127001200Y-111599400D01* -X127001200Y-112100600D01* -X126999993Y-112125163D01* -X126996385Y-112149490D01* -X126990409Y-112173345D01* -X126982124Y-112196500D01* -X126971609Y-112218732D01* -X126958966Y-112239826D01* -X126944316Y-112259579D01* -X126927801Y-112277801D01* -X126909579Y-112294316D01* -X126889826Y-112308966D01* -X126868732Y-112321609D01* -X126846500Y-112332124D01* -X126823345Y-112340409D01* -X126799490Y-112346385D01* -X126775163Y-112349993D01* -X126750600Y-112351200D01* -X126149400Y-112351200D01* -X126124837Y-112349993D01* -X126100510Y-112346385D01* -X126076655Y-112340409D01* -X126053500Y-112332124D01* -X126031268Y-112321609D01* -X126010174Y-112308966D01* -X125990421Y-112294316D01* -X125972199Y-112277801D01* -X125955684Y-112259579D01* -X125941034Y-112239826D01* -X125928391Y-112218732D01* -X125917876Y-112196500D01* -X125909591Y-112173345D01* -X125903615Y-112149490D01* -X125900007Y-112125163D01* -X125898800Y-112100600D01* -X125898800Y-111599400D01* -X125900007Y-111574837D01* -X125903615Y-111550510D01* -X125909591Y-111526655D01* -X125917876Y-111503500D01* -X125928391Y-111481268D01* -X125941034Y-111460174D01* -X125955684Y-111440421D01* -X125972199Y-111422199D01* -X125990421Y-111405684D01* -X126010174Y-111391034D01* -X126031268Y-111378391D01* -X126053500Y-111367876D01* -X126076655Y-111359591D01* -X126100510Y-111353615D01* -X126124837Y-111350007D01* -X126149400Y-111348800D01* -X126750600Y-111348800D01* -X126775163Y-111350007D01* -G37* -G36* -X126775163Y-112850007D02* -G01* -X126799490Y-112853615D01* -X126823345Y-112859591D01* -X126846500Y-112867876D01* -X126868732Y-112878391D01* -X126889826Y-112891034D01* -X126909579Y-112905684D01* -X126927801Y-112922199D01* -X126944316Y-112940421D01* -X126958966Y-112960174D01* -X126971609Y-112981268D01* -X126982124Y-113003500D01* -X126990409Y-113026655D01* -X126996385Y-113050510D01* -X126999993Y-113074837D01* -X127001200Y-113099400D01* -X127001200Y-113600600D01* -X126999993Y-113625163D01* -X126996385Y-113649490D01* -X126990409Y-113673345D01* -X126982124Y-113696500D01* -X126971609Y-113718732D01* -X126958966Y-113739826D01* -X126944316Y-113759579D01* -X126927801Y-113777801D01* -X126909579Y-113794316D01* -X126889826Y-113808966D01* -X126868732Y-113821609D01* -X126846500Y-113832124D01* -X126823345Y-113840409D01* -X126799490Y-113846385D01* -X126775163Y-113849993D01* -X126750600Y-113851200D01* -X126149400Y-113851200D01* -X126124837Y-113849993D01* -X126100510Y-113846385D01* -X126076655Y-113840409D01* -X126053500Y-113832124D01* -X126031268Y-113821609D01* -X126010174Y-113808966D01* -X125990421Y-113794316D01* -X125972199Y-113777801D01* -X125955684Y-113759579D01* -X125941034Y-113739826D01* -X125928391Y-113718732D01* -X125917876Y-113696500D01* -X125909591Y-113673345D01* -X125903615Y-113649490D01* -X125900007Y-113625163D01* -X125898800Y-113600600D01* -X125898800Y-113099400D01* -X125900007Y-113074837D01* -X125903615Y-113050510D01* -X125909591Y-113026655D01* -X125917876Y-113003500D01* -X125928391Y-112981268D01* -X125941034Y-112960174D01* -X125955684Y-112940421D01* -X125972199Y-112922199D01* -X125990421Y-112905684D01* -X126010174Y-112891034D01* -X126031268Y-112878391D01* -X126053500Y-112867876D01* -X126076655Y-112859591D01* -X126100510Y-112853615D01* -X126124837Y-112850007D01* -X126149400Y-112848800D01* -X126750600Y-112848800D01* -X126775163Y-112850007D01* -G37* -G36* -X126775163Y-102550007D02* -G01* -X126799490Y-102553615D01* -X126823345Y-102559591D01* -X126846500Y-102567876D01* -X126868732Y-102578391D01* -X126889826Y-102591034D01* -X126909579Y-102605684D01* -X126927801Y-102622199D01* -X126944316Y-102640421D01* -X126958966Y-102660174D01* -X126971609Y-102681268D01* -X126982124Y-102703500D01* -X126990409Y-102726655D01* -X126996385Y-102750510D01* -X126999993Y-102774837D01* -X127001200Y-102799400D01* -X127001200Y-103300600D01* -X126999993Y-103325163D01* -X126996385Y-103349490D01* -X126990409Y-103373345D01* -X126982124Y-103396500D01* -X126971609Y-103418732D01* -X126958966Y-103439826D01* -X126944316Y-103459579D01* -X126927801Y-103477801D01* -X126909579Y-103494316D01* -X126889826Y-103508966D01* -X126868732Y-103521609D01* -X126846500Y-103532124D01* -X126823345Y-103540409D01* -X126799490Y-103546385D01* -X126775163Y-103549993D01* -X126750600Y-103551200D01* -X126149400Y-103551200D01* -X126124837Y-103549993D01* -X126100510Y-103546385D01* -X126076655Y-103540409D01* -X126053500Y-103532124D01* -X126031268Y-103521609D01* -X126010174Y-103508966D01* -X125990421Y-103494316D01* -X125972199Y-103477801D01* -X125955684Y-103459579D01* -X125941034Y-103439826D01* -X125928391Y-103418732D01* -X125917876Y-103396500D01* -X125909591Y-103373345D01* -X125903615Y-103349490D01* -X125900007Y-103325163D01* -X125898800Y-103300600D01* -X125898800Y-102799400D01* -X125900007Y-102774837D01* -X125903615Y-102750510D01* -X125909591Y-102726655D01* -X125917876Y-102703500D01* -X125928391Y-102681268D01* -X125941034Y-102660174D01* -X125955684Y-102640421D01* -X125972199Y-102622199D01* -X125990421Y-102605684D01* -X126010174Y-102591034D01* -X126031268Y-102578391D01* -X126053500Y-102567876D01* -X126076655Y-102559591D01* -X126100510Y-102553615D01* -X126124837Y-102550007D01* -X126149400Y-102548800D01* -X126750600Y-102548800D01* -X126775163Y-102550007D01* -G37* -G36* -X126775163Y-104050007D02* -G01* -X126799490Y-104053615D01* -X126823345Y-104059591D01* -X126846500Y-104067876D01* -X126868732Y-104078391D01* -X126889826Y-104091034D01* -X126909579Y-104105684D01* -X126927801Y-104122199D01* -X126944316Y-104140421D01* -X126958966Y-104160174D01* -X126971609Y-104181268D01* -X126982124Y-104203500D01* -X126990409Y-104226655D01* -X126996385Y-104250510D01* -X126999993Y-104274837D01* -X127001200Y-104299400D01* -X127001200Y-104800600D01* -X126999993Y-104825163D01* -X126996385Y-104849490D01* -X126990409Y-104873345D01* -X126982124Y-104896500D01* -X126971609Y-104918732D01* -X126958966Y-104939826D01* -X126944316Y-104959579D01* -X126927801Y-104977801D01* -X126909579Y-104994316D01* -X126889826Y-105008966D01* -X126868732Y-105021609D01* -X126846500Y-105032124D01* -X126823345Y-105040409D01* -X126799490Y-105046385D01* -X126775163Y-105049993D01* -X126750600Y-105051200D01* -X126149400Y-105051200D01* -X126124837Y-105049993D01* -X126100510Y-105046385D01* -X126076655Y-105040409D01* -X126053500Y-105032124D01* -X126031268Y-105021609D01* -X126010174Y-105008966D01* -X125990421Y-104994316D01* -X125972199Y-104977801D01* -X125955684Y-104959579D01* -X125941034Y-104939826D01* -X125928391Y-104918732D01* -X125917876Y-104896500D01* -X125909591Y-104873345D01* -X125903615Y-104849490D01* -X125900007Y-104825163D01* -X125898800Y-104800600D01* -X125898800Y-104299400D01* -X125900007Y-104274837D01* -X125903615Y-104250510D01* -X125909591Y-104226655D01* -X125917876Y-104203500D01* -X125928391Y-104181268D01* -X125941034Y-104160174D01* -X125955684Y-104140421D01* -X125972199Y-104122199D01* -X125990421Y-104105684D01* -X126010174Y-104091034D01* -X126031268Y-104078391D01* -X126053500Y-104067876D01* -X126076655Y-104059591D01* -X126100510Y-104053615D01* -X126124837Y-104050007D01* -X126149400Y-104048800D01* -X126750600Y-104048800D01* -X126775163Y-104050007D01* -G37* -G36* -X76660179Y-131537818D02* -G01* -X76700862Y-131543853D01* -X76740758Y-131553846D01* -X76779483Y-131567702D01* -X76816662Y-131585287D01* -X76851939Y-131606431D01* -X76884974Y-131630931D01* -X76915448Y-131658552D01* -X76943069Y-131689026D01* -X76967569Y-131722061D01* -X76988713Y-131757338D01* -X77006298Y-131794517D01* -X77020154Y-131833242D01* -X77030147Y-131873138D01* -X77036182Y-131913821D01* -X77038200Y-131954900D01* -X77038200Y-138809100D01* -X77036182Y-138850179D01* -X77030147Y-138890862D01* -X77020154Y-138930758D01* -X77006298Y-138969483D01* -X76988713Y-139006662D01* -X76967569Y-139041939D01* -X76943069Y-139074974D01* -X76915448Y-139105448D01* -X76884974Y-139133069D01* -X76851939Y-139157569D01* -X76816662Y-139178713D01* -X76779483Y-139196298D01* -X76740758Y-139210154D01* -X76700862Y-139220147D01* -X76660179Y-139226182D01* -X76619100Y-139228200D01* -X75780900Y-139228200D01* -X75739821Y-139226182D01* -X75699138Y-139220147D01* -X75659242Y-139210154D01* -X75620517Y-139196298D01* -X75583338Y-139178713D01* -X75548061Y-139157569D01* -X75515026Y-139133069D01* -X75484552Y-139105448D01* -X75456931Y-139074974D01* -X75432431Y-139041939D01* -X75411287Y-139006662D01* -X75393702Y-138969483D01* -X75379846Y-138930758D01* -X75369853Y-138890862D01* -X75363818Y-138850179D01* -X75361800Y-138809100D01* -X75361800Y-131954900D01* -X75363818Y-131913821D01* -X75369853Y-131873138D01* -X75379846Y-131833242D01* -X75393702Y-131794517D01* -X75411287Y-131757338D01* -X75432431Y-131722061D01* -X75456931Y-131689026D01* -X75484552Y-131658552D01* -X75515026Y-131630931D01* -X75548061Y-131606431D01* -X75583338Y-131585287D01* -X75620517Y-131567702D01* -X75659242Y-131553846D01* -X75699138Y-131543853D01* -X75739821Y-131537818D01* -X75780900Y-131535800D01* -X76619100Y-131535800D01* -X76660179Y-131537818D01* -G37* -G36* -X79200179Y-131537818D02* -G01* -X79240862Y-131543853D01* -X79280758Y-131553846D01* -X79319483Y-131567702D01* -X79356662Y-131585287D01* -X79391939Y-131606431D01* -X79424974Y-131630931D01* -X79455448Y-131658552D01* -X79483069Y-131689026D01* -X79507569Y-131722061D01* -X79528713Y-131757338D01* -X79546298Y-131794517D01* -X79560154Y-131833242D01* -X79570147Y-131873138D01* -X79576182Y-131913821D01* -X79578200Y-131954900D01* -X79578200Y-138809100D01* -X79576182Y-138850179D01* -X79570147Y-138890862D01* -X79560154Y-138930758D01* -X79546298Y-138969483D01* -X79528713Y-139006662D01* -X79507569Y-139041939D01* -X79483069Y-139074974D01* -X79455448Y-139105448D01* -X79424974Y-139133069D01* -X79391939Y-139157569D01* -X79356662Y-139178713D01* -X79319483Y-139196298D01* -X79280758Y-139210154D01* -X79240862Y-139220147D01* -X79200179Y-139226182D01* -X79159100Y-139228200D01* -X78320900Y-139228200D01* -X78279821Y-139226182D01* -X78239138Y-139220147D01* -X78199242Y-139210154D01* -X78160517Y-139196298D01* -X78123338Y-139178713D01* -X78088061Y-139157569D01* -X78055026Y-139133069D01* -X78024552Y-139105448D01* -X77996931Y-139074974D01* -X77972431Y-139041939D01* -X77951287Y-139006662D01* -X77933702Y-138969483D01* -X77919846Y-138930758D01* -X77909853Y-138890862D01* -X77903818Y-138850179D01* -X77901800Y-138809100D01* -X77901800Y-131954900D01* -X77903818Y-131913821D01* -X77909853Y-131873138D01* -X77919846Y-131833242D01* -X77933702Y-131794517D01* -X77951287Y-131757338D01* -X77972431Y-131722061D01* -X77996931Y-131689026D01* -X78024552Y-131658552D01* -X78055026Y-131630931D01* -X78088061Y-131606431D01* -X78123338Y-131585287D01* -X78160517Y-131567702D01* -X78199242Y-131553846D01* -X78239138Y-131543853D01* -X78279821Y-131537818D01* -X78320900Y-131535800D01* -X79159100Y-131535800D01* -X79200179Y-131537818D01* -G37* -G36* -X81740179Y-131537818D02* -G01* -X81780862Y-131543853D01* -X81820758Y-131553846D01* -X81859483Y-131567702D01* -X81896662Y-131585287D01* -X81931939Y-131606431D01* -X81964974Y-131630931D01* -X81995448Y-131658552D01* -X82023069Y-131689026D01* -X82047569Y-131722061D01* -X82068713Y-131757338D01* -X82086298Y-131794517D01* -X82100154Y-131833242D01* -X82110147Y-131873138D01* -X82116182Y-131913821D01* -X82118200Y-131954900D01* -X82118200Y-138809100D01* -X82116182Y-138850179D01* -X82110147Y-138890862D01* -X82100154Y-138930758D01* -X82086298Y-138969483D01* -X82068713Y-139006662D01* -X82047569Y-139041939D01* -X82023069Y-139074974D01* -X81995448Y-139105448D01* -X81964974Y-139133069D01* -X81931939Y-139157569D01* -X81896662Y-139178713D01* -X81859483Y-139196298D01* -X81820758Y-139210154D01* -X81780862Y-139220147D01* -X81740179Y-139226182D01* -X81699100Y-139228200D01* -X80860900Y-139228200D01* -X80819821Y-139226182D01* -X80779138Y-139220147D01* -X80739242Y-139210154D01* -X80700517Y-139196298D01* -X80663338Y-139178713D01* -X80628061Y-139157569D01* -X80595026Y-139133069D01* -X80564552Y-139105448D01* -X80536931Y-139074974D01* -X80512431Y-139041939D01* -X80491287Y-139006662D01* -X80473702Y-138969483D01* -X80459846Y-138930758D01* -X80449853Y-138890862D01* -X80443818Y-138850179D01* -X80441800Y-138809100D01* -X80441800Y-131954900D01* -X80443818Y-131913821D01* -X80449853Y-131873138D01* -X80459846Y-131833242D01* -X80473702Y-131794517D01* -X80491287Y-131757338D01* -X80512431Y-131722061D01* -X80536931Y-131689026D01* -X80564552Y-131658552D01* -X80595026Y-131630931D01* -X80628061Y-131606431D01* -X80663338Y-131585287D01* -X80700517Y-131567702D01* -X80739242Y-131553846D01* -X80779138Y-131543853D01* -X80819821Y-131537818D01* -X80860900Y-131535800D01* -X81699100Y-131535800D01* -X81740179Y-131537818D01* -G37* -G36* -X84280179Y-131537818D02* -G01* -X84320862Y-131543853D01* -X84360758Y-131553846D01* -X84399483Y-131567702D01* -X84436662Y-131585287D01* -X84471939Y-131606431D01* -X84504974Y-131630931D01* -X84535448Y-131658552D01* -X84563069Y-131689026D01* -X84587569Y-131722061D01* -X84608713Y-131757338D01* -X84626298Y-131794517D01* -X84640154Y-131833242D01* -X84650147Y-131873138D01* -X84656182Y-131913821D01* -X84658200Y-131954900D01* -X84658200Y-138809100D01* -X84656182Y-138850179D01* -X84650147Y-138890862D01* -X84640154Y-138930758D01* -X84626298Y-138969483D01* -X84608713Y-139006662D01* -X84587569Y-139041939D01* -X84563069Y-139074974D01* -X84535448Y-139105448D01* -X84504974Y-139133069D01* -X84471939Y-139157569D01* -X84436662Y-139178713D01* -X84399483Y-139196298D01* -X84360758Y-139210154D01* -X84320862Y-139220147D01* -X84280179Y-139226182D01* -X84239100Y-139228200D01* -X83400900Y-139228200D01* -X83359821Y-139226182D01* -X83319138Y-139220147D01* -X83279242Y-139210154D01* -X83240517Y-139196298D01* -X83203338Y-139178713D01* -X83168061Y-139157569D01* -X83135026Y-139133069D01* -X83104552Y-139105448D01* -X83076931Y-139074974D01* -X83052431Y-139041939D01* -X83031287Y-139006662D01* -X83013702Y-138969483D01* -X82999846Y-138930758D01* -X82989853Y-138890862D01* -X82983818Y-138850179D01* -X82981800Y-138809100D01* -X82981800Y-131954900D01* -X82983818Y-131913821D01* -X82989853Y-131873138D01* -X82999846Y-131833242D01* -X83013702Y-131794517D01* -X83031287Y-131757338D01* -X83052431Y-131722061D01* -X83076931Y-131689026D01* -X83104552Y-131658552D01* -X83135026Y-131630931D01* -X83168061Y-131606431D01* -X83203338Y-131585287D01* -X83240517Y-131567702D01* -X83279242Y-131553846D01* -X83319138Y-131543853D01* -X83359821Y-131537818D01* -X83400900Y-131535800D01* -X84239100Y-131535800D01* -X84280179Y-131537818D01* -G37* -G36* -X86820179Y-131537818D02* -G01* -X86860862Y-131543853D01* -X86900758Y-131553846D01* -X86939483Y-131567702D01* -X86976662Y-131585287D01* -X87011939Y-131606431D01* -X87044974Y-131630931D01* -X87075448Y-131658552D01* -X87103069Y-131689026D01* -X87127569Y-131722061D01* -X87148713Y-131757338D01* -X87166298Y-131794517D01* -X87180154Y-131833242D01* -X87190147Y-131873138D01* -X87196182Y-131913821D01* -X87198200Y-131954900D01* -X87198200Y-138809100D01* -X87196182Y-138850179D01* -X87190147Y-138890862D01* -X87180154Y-138930758D01* -X87166298Y-138969483D01* -X87148713Y-139006662D01* -X87127569Y-139041939D01* -X87103069Y-139074974D01* -X87075448Y-139105448D01* -X87044974Y-139133069D01* -X87011939Y-139157569D01* -X86976662Y-139178713D01* -X86939483Y-139196298D01* -X86900758Y-139210154D01* -X86860862Y-139220147D01* -X86820179Y-139226182D01* -X86779100Y-139228200D01* -X85940900Y-139228200D01* -X85899821Y-139226182D01* -X85859138Y-139220147D01* -X85819242Y-139210154D01* -X85780517Y-139196298D01* -X85743338Y-139178713D01* -X85708061Y-139157569D01* -X85675026Y-139133069D01* -X85644552Y-139105448D01* -X85616931Y-139074974D01* -X85592431Y-139041939D01* -X85571287Y-139006662D01* -X85553702Y-138969483D01* -X85539846Y-138930758D01* -X85529853Y-138890862D01* -X85523818Y-138850179D01* -X85521800Y-138809100D01* -X85521800Y-131954900D01* -X85523818Y-131913821D01* -X85529853Y-131873138D01* -X85539846Y-131833242D01* -X85553702Y-131794517D01* -X85571287Y-131757338D01* -X85592431Y-131722061D01* -X85616931Y-131689026D01* -X85644552Y-131658552D01* -X85675026Y-131630931D01* -X85708061Y-131606431D01* -X85743338Y-131585287D01* -X85780517Y-131567702D01* -X85819242Y-131553846D01* -X85859138Y-131543853D01* -X85899821Y-131537818D01* -X85940900Y-131535800D01* -X86779100Y-131535800D01* -X86820179Y-131537818D01* -G37* -G36* -X89360179Y-131537818D02* -G01* -X89400862Y-131543853D01* -X89440758Y-131553846D01* -X89479483Y-131567702D01* -X89516662Y-131585287D01* -X89551939Y-131606431D01* -X89584974Y-131630931D01* -X89615448Y-131658552D01* -X89643069Y-131689026D01* -X89667569Y-131722061D01* -X89688713Y-131757338D01* -X89706298Y-131794517D01* -X89720154Y-131833242D01* -X89730147Y-131873138D01* -X89736182Y-131913821D01* -X89738200Y-131954900D01* -X89738200Y-138809100D01* -X89736182Y-138850179D01* -X89730147Y-138890862D01* -X89720154Y-138930758D01* -X89706298Y-138969483D01* -X89688713Y-139006662D01* -X89667569Y-139041939D01* -X89643069Y-139074974D01* -X89615448Y-139105448D01* -X89584974Y-139133069D01* -X89551939Y-139157569D01* -X89516662Y-139178713D01* -X89479483Y-139196298D01* -X89440758Y-139210154D01* -X89400862Y-139220147D01* -X89360179Y-139226182D01* -X89319100Y-139228200D01* -X88480900Y-139228200D01* -X88439821Y-139226182D01* -X88399138Y-139220147D01* -X88359242Y-139210154D01* -X88320517Y-139196298D01* -X88283338Y-139178713D01* -X88248061Y-139157569D01* -X88215026Y-139133069D01* -X88184552Y-139105448D01* -X88156931Y-139074974D01* -X88132431Y-139041939D01* -X88111287Y-139006662D01* -X88093702Y-138969483D01* -X88079846Y-138930758D01* -X88069853Y-138890862D01* -X88063818Y-138850179D01* -X88061800Y-138809100D01* -X88061800Y-131954900D01* -X88063818Y-131913821D01* -X88069853Y-131873138D01* -X88079846Y-131833242D01* -X88093702Y-131794517D01* -X88111287Y-131757338D01* -X88132431Y-131722061D01* -X88156931Y-131689026D01* -X88184552Y-131658552D01* -X88215026Y-131630931D01* -X88248061Y-131606431D01* -X88283338Y-131585287D01* -X88320517Y-131567702D01* -X88359242Y-131553846D01* -X88399138Y-131543853D01* -X88439821Y-131537818D01* -X88480900Y-131535800D01* -X89319100Y-131535800D01* -X89360179Y-131537818D01* -G37* -G36* -X91900179Y-131537818D02* -G01* -X91940862Y-131543853D01* -X91980758Y-131553846D01* -X92019483Y-131567702D01* -X92056662Y-131585287D01* -X92091939Y-131606431D01* -X92124974Y-131630931D01* -X92155448Y-131658552D01* -X92183069Y-131689026D01* -X92207569Y-131722061D01* -X92228713Y-131757338D01* -X92246298Y-131794517D01* -X92260154Y-131833242D01* -X92270147Y-131873138D01* -X92276182Y-131913821D01* -X92278200Y-131954900D01* -X92278200Y-138809100D01* -X92276182Y-138850179D01* -X92270147Y-138890862D01* -X92260154Y-138930758D01* -X92246298Y-138969483D01* -X92228713Y-139006662D01* -X92207569Y-139041939D01* -X92183069Y-139074974D01* -X92155448Y-139105448D01* -X92124974Y-139133069D01* -X92091939Y-139157569D01* -X92056662Y-139178713D01* -X92019483Y-139196298D01* -X91980758Y-139210154D01* -X91940862Y-139220147D01* -X91900179Y-139226182D01* -X91859100Y-139228200D01* -X91020900Y-139228200D01* -X90979821Y-139226182D01* -X90939138Y-139220147D01* -X90899242Y-139210154D01* -X90860517Y-139196298D01* -X90823338Y-139178713D01* -X90788061Y-139157569D01* -X90755026Y-139133069D01* -X90724552Y-139105448D01* -X90696931Y-139074974D01* -X90672431Y-139041939D01* -X90651287Y-139006662D01* -X90633702Y-138969483D01* -X90619846Y-138930758D01* -X90609853Y-138890862D01* -X90603818Y-138850179D01* -X90601800Y-138809100D01* -X90601800Y-131954900D01* -X90603818Y-131913821D01* -X90609853Y-131873138D01* -X90619846Y-131833242D01* -X90633702Y-131794517D01* -X90651287Y-131757338D01* -X90672431Y-131722061D01* -X90696931Y-131689026D01* -X90724552Y-131658552D01* -X90755026Y-131630931D01* -X90788061Y-131606431D01* -X90823338Y-131585287D01* -X90860517Y-131567702D01* -X90899242Y-131553846D01* -X90939138Y-131543853D01* -X90979821Y-131537818D01* -X91020900Y-131535800D01* -X91859100Y-131535800D01* -X91900179Y-131537818D01* -G37* -G36* -X94440179Y-131537818D02* -G01* -X94480862Y-131543853D01* -X94520758Y-131553846D01* -X94559483Y-131567702D01* -X94596662Y-131585287D01* -X94631939Y-131606431D01* -X94664974Y-131630931D01* -X94695448Y-131658552D01* -X94723069Y-131689026D01* -X94747569Y-131722061D01* -X94768713Y-131757338D01* -X94786298Y-131794517D01* -X94800154Y-131833242D01* -X94810147Y-131873138D01* -X94816182Y-131913821D01* -X94818200Y-131954900D01* -X94818200Y-138809100D01* -X94816182Y-138850179D01* -X94810147Y-138890862D01* -X94800154Y-138930758D01* -X94786298Y-138969483D01* -X94768713Y-139006662D01* -X94747569Y-139041939D01* -X94723069Y-139074974D01* -X94695448Y-139105448D01* -X94664974Y-139133069D01* -X94631939Y-139157569D01* -X94596662Y-139178713D01* -X94559483Y-139196298D01* -X94520758Y-139210154D01* -X94480862Y-139220147D01* -X94440179Y-139226182D01* -X94399100Y-139228200D01* -X93560900Y-139228200D01* -X93519821Y-139226182D01* -X93479138Y-139220147D01* -X93439242Y-139210154D01* -X93400517Y-139196298D01* -X93363338Y-139178713D01* -X93328061Y-139157569D01* -X93295026Y-139133069D01* -X93264552Y-139105448D01* -X93236931Y-139074974D01* -X93212431Y-139041939D01* -X93191287Y-139006662D01* -X93173702Y-138969483D01* -X93159846Y-138930758D01* -X93149853Y-138890862D01* -X93143818Y-138850179D01* -X93141800Y-138809100D01* -X93141800Y-131954900D01* -X93143818Y-131913821D01* -X93149853Y-131873138D01* -X93159846Y-131833242D01* -X93173702Y-131794517D01* -X93191287Y-131757338D01* -X93212431Y-131722061D01* -X93236931Y-131689026D01* -X93264552Y-131658552D01* -X93295026Y-131630931D01* -X93328061Y-131606431D01* -X93363338Y-131585287D01* -X93400517Y-131567702D01* -X93439242Y-131553846D01* -X93479138Y-131543853D01* -X93519821Y-131537818D01* -X93560900Y-131535800D01* -X94399100Y-131535800D01* -X94440179Y-131537818D01* -G37* -G36* -X96980179Y-131537818D02* -G01* -X97020862Y-131543853D01* -X97060758Y-131553846D01* -X97099483Y-131567702D01* -X97136662Y-131585287D01* -X97171939Y-131606431D01* -X97204974Y-131630931D01* -X97235448Y-131658552D01* -X97263069Y-131689026D01* -X97287569Y-131722061D01* -X97308713Y-131757338D01* -X97326298Y-131794517D01* -X97340154Y-131833242D01* -X97350147Y-131873138D01* -X97356182Y-131913821D01* -X97358200Y-131954900D01* -X97358200Y-138809100D01* -X97356182Y-138850179D01* -X97350147Y-138890862D01* -X97340154Y-138930758D01* -X97326298Y-138969483D01* -X97308713Y-139006662D01* -X97287569Y-139041939D01* -X97263069Y-139074974D01* -X97235448Y-139105448D01* -X97204974Y-139133069D01* -X97171939Y-139157569D01* -X97136662Y-139178713D01* -X97099483Y-139196298D01* -X97060758Y-139210154D01* -X97020862Y-139220147D01* -X96980179Y-139226182D01* -X96939100Y-139228200D01* -X96100900Y-139228200D01* -X96059821Y-139226182D01* -X96019138Y-139220147D01* -X95979242Y-139210154D01* -X95940517Y-139196298D01* -X95903338Y-139178713D01* -X95868061Y-139157569D01* -X95835026Y-139133069D01* -X95804552Y-139105448D01* -X95776931Y-139074974D01* -X95752431Y-139041939D01* -X95731287Y-139006662D01* -X95713702Y-138969483D01* -X95699846Y-138930758D01* -X95689853Y-138890862D01* -X95683818Y-138850179D01* -X95681800Y-138809100D01* -X95681800Y-131954900D01* -X95683818Y-131913821D01* -X95689853Y-131873138D01* -X95699846Y-131833242D01* -X95713702Y-131794517D01* -X95731287Y-131757338D01* -X95752431Y-131722061D01* -X95776931Y-131689026D01* -X95804552Y-131658552D01* -X95835026Y-131630931D01* -X95868061Y-131606431D01* -X95903338Y-131585287D01* -X95940517Y-131567702D01* -X95979242Y-131553846D01* -X96019138Y-131543853D01* -X96059821Y-131537818D01* -X96100900Y-131535800D01* -X96939100Y-131535800D01* -X96980179Y-131537818D01* -G37* -G36* -X99520179Y-131537818D02* -G01* -X99560862Y-131543853D01* -X99600758Y-131553846D01* -X99639483Y-131567702D01* -X99676662Y-131585287D01* -X99711939Y-131606431D01* -X99744974Y-131630931D01* -X99775448Y-131658552D01* -X99803069Y-131689026D01* -X99827569Y-131722061D01* -X99848713Y-131757338D01* -X99866298Y-131794517D01* -X99880154Y-131833242D01* -X99890147Y-131873138D01* -X99896182Y-131913821D01* -X99898200Y-131954900D01* -X99898200Y-138809100D01* -X99896182Y-138850179D01* -X99890147Y-138890862D01* -X99880154Y-138930758D01* -X99866298Y-138969483D01* -X99848713Y-139006662D01* -X99827569Y-139041939D01* -X99803069Y-139074974D01* -X99775448Y-139105448D01* -X99744974Y-139133069D01* -X99711939Y-139157569D01* -X99676662Y-139178713D01* -X99639483Y-139196298D01* -X99600758Y-139210154D01* -X99560862Y-139220147D01* -X99520179Y-139226182D01* -X99479100Y-139228200D01* -X98640900Y-139228200D01* -X98599821Y-139226182D01* -X98559138Y-139220147D01* -X98519242Y-139210154D01* -X98480517Y-139196298D01* -X98443338Y-139178713D01* -X98408061Y-139157569D01* -X98375026Y-139133069D01* -X98344552Y-139105448D01* -X98316931Y-139074974D01* -X98292431Y-139041939D01* -X98271287Y-139006662D01* -X98253702Y-138969483D01* -X98239846Y-138930758D01* -X98229853Y-138890862D01* -X98223818Y-138850179D01* -X98221800Y-138809100D01* -X98221800Y-131954900D01* -X98223818Y-131913821D01* -X98229853Y-131873138D01* -X98239846Y-131833242D01* -X98253702Y-131794517D01* -X98271287Y-131757338D01* -X98292431Y-131722061D01* -X98316931Y-131689026D01* -X98344552Y-131658552D01* -X98375026Y-131630931D01* -X98408061Y-131606431D01* -X98443338Y-131585287D01* -X98480517Y-131567702D01* -X98519242Y-131553846D01* -X98559138Y-131543853D01* -X98599821Y-131537818D01* -X98640900Y-131535800D01* -X99479100Y-131535800D01* -X99520179Y-131537818D01* -G37* -G36* -X102060179Y-131537818D02* -G01* -X102100862Y-131543853D01* -X102140758Y-131553846D01* -X102179483Y-131567702D01* -X102216662Y-131585287D01* -X102251939Y-131606431D01* -X102284974Y-131630931D01* -X102315448Y-131658552D01* -X102343069Y-131689026D01* -X102367569Y-131722061D01* -X102388713Y-131757338D01* -X102406298Y-131794517D01* -X102420154Y-131833242D01* -X102430147Y-131873138D01* -X102436182Y-131913821D01* -X102438200Y-131954900D01* -X102438200Y-138809100D01* -X102436182Y-138850179D01* -X102430147Y-138890862D01* -X102420154Y-138930758D01* -X102406298Y-138969483D01* -X102388713Y-139006662D01* -X102367569Y-139041939D01* -X102343069Y-139074974D01* -X102315448Y-139105448D01* -X102284974Y-139133069D01* -X102251939Y-139157569D01* -X102216662Y-139178713D01* -X102179483Y-139196298D01* -X102140758Y-139210154D01* -X102100862Y-139220147D01* -X102060179Y-139226182D01* -X102019100Y-139228200D01* -X101180900Y-139228200D01* -X101139821Y-139226182D01* -X101099138Y-139220147D01* -X101059242Y-139210154D01* -X101020517Y-139196298D01* -X100983338Y-139178713D01* -X100948061Y-139157569D01* -X100915026Y-139133069D01* -X100884552Y-139105448D01* -X100856931Y-139074974D01* -X100832431Y-139041939D01* -X100811287Y-139006662D01* -X100793702Y-138969483D01* -X100779846Y-138930758D01* -X100769853Y-138890862D01* -X100763818Y-138850179D01* -X100761800Y-138809100D01* -X100761800Y-131954900D01* -X100763818Y-131913821D01* -X100769853Y-131873138D01* -X100779846Y-131833242D01* -X100793702Y-131794517D01* -X100811287Y-131757338D01* -X100832431Y-131722061D01* -X100856931Y-131689026D01* -X100884552Y-131658552D01* -X100915026Y-131630931D01* -X100948061Y-131606431D01* -X100983338Y-131585287D01* -X101020517Y-131567702D01* -X101059242Y-131553846D01* -X101099138Y-131543853D01* -X101139821Y-131537818D01* -X101180900Y-131535800D01* -X102019100Y-131535800D01* -X102060179Y-131537818D01* -G37* -G36* -X104600179Y-131537818D02* -G01* -X104640862Y-131543853D01* -X104680758Y-131553846D01* -X104719483Y-131567702D01* -X104756662Y-131585287D01* -X104791939Y-131606431D01* -X104824974Y-131630931D01* -X104855448Y-131658552D01* -X104883069Y-131689026D01* -X104907569Y-131722061D01* -X104928713Y-131757338D01* -X104946298Y-131794517D01* -X104960154Y-131833242D01* -X104970147Y-131873138D01* -X104976182Y-131913821D01* -X104978200Y-131954900D01* -X104978200Y-138809100D01* -X104976182Y-138850179D01* -X104970147Y-138890862D01* -X104960154Y-138930758D01* -X104946298Y-138969483D01* -X104928713Y-139006662D01* -X104907569Y-139041939D01* -X104883069Y-139074974D01* -X104855448Y-139105448D01* -X104824974Y-139133069D01* -X104791939Y-139157569D01* -X104756662Y-139178713D01* -X104719483Y-139196298D01* -X104680758Y-139210154D01* -X104640862Y-139220147D01* -X104600179Y-139226182D01* -X104559100Y-139228200D01* -X103720900Y-139228200D01* -X103679821Y-139226182D01* -X103639138Y-139220147D01* -X103599242Y-139210154D01* -X103560517Y-139196298D01* -X103523338Y-139178713D01* -X103488061Y-139157569D01* -X103455026Y-139133069D01* -X103424552Y-139105448D01* -X103396931Y-139074974D01* -X103372431Y-139041939D01* -X103351287Y-139006662D01* -X103333702Y-138969483D01* -X103319846Y-138930758D01* -X103309853Y-138890862D01* -X103303818Y-138850179D01* -X103301800Y-138809100D01* -X103301800Y-131954900D01* -X103303818Y-131913821D01* -X103309853Y-131873138D01* -X103319846Y-131833242D01* -X103333702Y-131794517D01* -X103351287Y-131757338D01* -X103372431Y-131722061D01* -X103396931Y-131689026D01* -X103424552Y-131658552D01* -X103455026Y-131630931D01* -X103488061Y-131606431D01* -X103523338Y-131585287D01* -X103560517Y-131567702D01* -X103599242Y-131553846D01* -X103639138Y-131543853D01* -X103679821Y-131537818D01* -X103720900Y-131535800D01* -X104559100Y-131535800D01* -X104600179Y-131537818D01* -G37* -G36* -X107140179Y-131537818D02* -G01* -X107180862Y-131543853D01* -X107220758Y-131553846D01* -X107259483Y-131567702D01* -X107296662Y-131585287D01* -X107331939Y-131606431D01* -X107364974Y-131630931D01* -X107395448Y-131658552D01* -X107423069Y-131689026D01* -X107447569Y-131722061D01* -X107468713Y-131757338D01* -X107486298Y-131794517D01* -X107500154Y-131833242D01* -X107510147Y-131873138D01* -X107516182Y-131913821D01* -X107518200Y-131954900D01* -X107518200Y-138809100D01* -X107516182Y-138850179D01* -X107510147Y-138890862D01* -X107500154Y-138930758D01* -X107486298Y-138969483D01* -X107468713Y-139006662D01* -X107447569Y-139041939D01* -X107423069Y-139074974D01* -X107395448Y-139105448D01* -X107364974Y-139133069D01* -X107331939Y-139157569D01* -X107296662Y-139178713D01* -X107259483Y-139196298D01* -X107220758Y-139210154D01* -X107180862Y-139220147D01* -X107140179Y-139226182D01* -X107099100Y-139228200D01* -X106260900Y-139228200D01* -X106219821Y-139226182D01* -X106179138Y-139220147D01* -X106139242Y-139210154D01* -X106100517Y-139196298D01* -X106063338Y-139178713D01* -X106028061Y-139157569D01* -X105995026Y-139133069D01* -X105964552Y-139105448D01* -X105936931Y-139074974D01* -X105912431Y-139041939D01* -X105891287Y-139006662D01* -X105873702Y-138969483D01* -X105859846Y-138930758D01* -X105849853Y-138890862D01* -X105843818Y-138850179D01* -X105841800Y-138809100D01* -X105841800Y-131954900D01* -X105843818Y-131913821D01* -X105849853Y-131873138D01* -X105859846Y-131833242D01* -X105873702Y-131794517D01* -X105891287Y-131757338D01* -X105912431Y-131722061D01* -X105936931Y-131689026D01* -X105964552Y-131658552D01* -X105995026Y-131630931D01* -X106028061Y-131606431D01* -X106063338Y-131585287D01* -X106100517Y-131567702D01* -X106139242Y-131553846D01* -X106179138Y-131543853D01* -X106219821Y-131537818D01* -X106260900Y-131535800D01* -X107099100Y-131535800D01* -X107140179Y-131537818D01* -G37* -G36* -X109680179Y-131537818D02* -G01* -X109720862Y-131543853D01* -X109760758Y-131553846D01* -X109799483Y-131567702D01* -X109836662Y-131585287D01* -X109871939Y-131606431D01* -X109904974Y-131630931D01* -X109935448Y-131658552D01* -X109963069Y-131689026D01* -X109987569Y-131722061D01* -X110008713Y-131757338D01* -X110026298Y-131794517D01* -X110040154Y-131833242D01* -X110050147Y-131873138D01* -X110056182Y-131913821D01* -X110058200Y-131954900D01* -X110058200Y-138809100D01* -X110056182Y-138850179D01* -X110050147Y-138890862D01* -X110040154Y-138930758D01* -X110026298Y-138969483D01* -X110008713Y-139006662D01* -X109987569Y-139041939D01* -X109963069Y-139074974D01* -X109935448Y-139105448D01* -X109904974Y-139133069D01* -X109871939Y-139157569D01* -X109836662Y-139178713D01* -X109799483Y-139196298D01* -X109760758Y-139210154D01* -X109720862Y-139220147D01* -X109680179Y-139226182D01* -X109639100Y-139228200D01* -X108800900Y-139228200D01* -X108759821Y-139226182D01* -X108719138Y-139220147D01* -X108679242Y-139210154D01* -X108640517Y-139196298D01* -X108603338Y-139178713D01* -X108568061Y-139157569D01* -X108535026Y-139133069D01* -X108504552Y-139105448D01* -X108476931Y-139074974D01* -X108452431Y-139041939D01* -X108431287Y-139006662D01* -X108413702Y-138969483D01* -X108399846Y-138930758D01* -X108389853Y-138890862D01* -X108383818Y-138850179D01* -X108381800Y-138809100D01* -X108381800Y-131954900D01* -X108383818Y-131913821D01* -X108389853Y-131873138D01* -X108399846Y-131833242D01* -X108413702Y-131794517D01* -X108431287Y-131757338D01* -X108452431Y-131722061D01* -X108476931Y-131689026D01* -X108504552Y-131658552D01* -X108535026Y-131630931D01* -X108568061Y-131606431D01* -X108603338Y-131585287D01* -X108640517Y-131567702D01* -X108679242Y-131553846D01* -X108719138Y-131543853D01* -X108759821Y-131537818D01* -X108800900Y-131535800D01* -X109639100Y-131535800D01* -X109680179Y-131537818D01* -G37* -G36* -X112220179Y-131537818D02* -G01* -X112260862Y-131543853D01* -X112300758Y-131553846D01* -X112339483Y-131567702D01* -X112376662Y-131585287D01* -X112411939Y-131606431D01* -X112444974Y-131630931D01* -X112475448Y-131658552D01* -X112503069Y-131689026D01* -X112527569Y-131722061D01* -X112548713Y-131757338D01* -X112566298Y-131794517D01* -X112580154Y-131833242D01* -X112590147Y-131873138D01* -X112596182Y-131913821D01* -X112598200Y-131954900D01* -X112598200Y-138809100D01* -X112596182Y-138850179D01* -X112590147Y-138890862D01* -X112580154Y-138930758D01* -X112566298Y-138969483D01* -X112548713Y-139006662D01* -X112527569Y-139041939D01* -X112503069Y-139074974D01* -X112475448Y-139105448D01* -X112444974Y-139133069D01* -X112411939Y-139157569D01* -X112376662Y-139178713D01* -X112339483Y-139196298D01* -X112300758Y-139210154D01* -X112260862Y-139220147D01* -X112220179Y-139226182D01* -X112179100Y-139228200D01* -X111340900Y-139228200D01* -X111299821Y-139226182D01* -X111259138Y-139220147D01* -X111219242Y-139210154D01* -X111180517Y-139196298D01* -X111143338Y-139178713D01* -X111108061Y-139157569D01* -X111075026Y-139133069D01* -X111044552Y-139105448D01* -X111016931Y-139074974D01* -X110992431Y-139041939D01* -X110971287Y-139006662D01* -X110953702Y-138969483D01* -X110939846Y-138930758D01* -X110929853Y-138890862D01* -X110923818Y-138850179D01* -X110921800Y-138809100D01* -X110921800Y-131954900D01* -X110923818Y-131913821D01* -X110929853Y-131873138D01* -X110939846Y-131833242D01* -X110953702Y-131794517D01* -X110971287Y-131757338D01* -X110992431Y-131722061D01* -X111016931Y-131689026D01* -X111044552Y-131658552D01* -X111075026Y-131630931D01* -X111108061Y-131606431D01* -X111143338Y-131585287D01* -X111180517Y-131567702D01* -X111219242Y-131553846D01* -X111259138Y-131543853D01* -X111299821Y-131537818D01* -X111340900Y-131535800D01* -X112179100Y-131535800D01* -X112220179Y-131537818D01* -G37* -G36* -X114760179Y-131537818D02* -G01* -X114800862Y-131543853D01* -X114840758Y-131553846D01* -X114879483Y-131567702D01* -X114916662Y-131585287D01* -X114951939Y-131606431D01* -X114984974Y-131630931D01* -X115015448Y-131658552D01* -X115043069Y-131689026D01* -X115067569Y-131722061D01* -X115088713Y-131757338D01* -X115106298Y-131794517D01* -X115120154Y-131833242D01* -X115130147Y-131873138D01* -X115136182Y-131913821D01* -X115138200Y-131954900D01* -X115138200Y-138809100D01* -X115136182Y-138850179D01* -X115130147Y-138890862D01* -X115120154Y-138930758D01* -X115106298Y-138969483D01* -X115088713Y-139006662D01* -X115067569Y-139041939D01* -X115043069Y-139074974D01* -X115015448Y-139105448D01* -X114984974Y-139133069D01* -X114951939Y-139157569D01* -X114916662Y-139178713D01* -X114879483Y-139196298D01* -X114840758Y-139210154D01* -X114800862Y-139220147D01* -X114760179Y-139226182D01* -X114719100Y-139228200D01* -X113880900Y-139228200D01* -X113839821Y-139226182D01* -X113799138Y-139220147D01* -X113759242Y-139210154D01* -X113720517Y-139196298D01* -X113683338Y-139178713D01* -X113648061Y-139157569D01* -X113615026Y-139133069D01* -X113584552Y-139105448D01* -X113556931Y-139074974D01* -X113532431Y-139041939D01* -X113511287Y-139006662D01* -X113493702Y-138969483D01* -X113479846Y-138930758D01* -X113469853Y-138890862D01* -X113463818Y-138850179D01* -X113461800Y-138809100D01* -X113461800Y-131954900D01* -X113463818Y-131913821D01* -X113469853Y-131873138D01* -X113479846Y-131833242D01* -X113493702Y-131794517D01* -X113511287Y-131757338D01* -X113532431Y-131722061D01* -X113556931Y-131689026D01* -X113584552Y-131658552D01* -X113615026Y-131630931D01* -X113648061Y-131606431D01* -X113683338Y-131585287D01* -X113720517Y-131567702D01* -X113759242Y-131553846D01* -X113799138Y-131543853D01* -X113839821Y-131537818D01* -X113880900Y-131535800D01* -X114719100Y-131535800D01* -X114760179Y-131537818D01* -G37* -G36* -X117300179Y-131537818D02* -G01* -X117340862Y-131543853D01* -X117380758Y-131553846D01* -X117419483Y-131567702D01* -X117456662Y-131585287D01* -X117491939Y-131606431D01* -X117524974Y-131630931D01* -X117555448Y-131658552D01* -X117583069Y-131689026D01* -X117607569Y-131722061D01* -X117628713Y-131757338D01* -X117646298Y-131794517D01* -X117660154Y-131833242D01* -X117670147Y-131873138D01* -X117676182Y-131913821D01* -X117678200Y-131954900D01* -X117678200Y-138809100D01* -X117676182Y-138850179D01* -X117670147Y-138890862D01* -X117660154Y-138930758D01* -X117646298Y-138969483D01* -X117628713Y-139006662D01* -X117607569Y-139041939D01* -X117583069Y-139074974D01* -X117555448Y-139105448D01* -X117524974Y-139133069D01* -X117491939Y-139157569D01* -X117456662Y-139178713D01* -X117419483Y-139196298D01* -X117380758Y-139210154D01* -X117340862Y-139220147D01* -X117300179Y-139226182D01* -X117259100Y-139228200D01* -X116420900Y-139228200D01* -X116379821Y-139226182D01* -X116339138Y-139220147D01* -X116299242Y-139210154D01* -X116260517Y-139196298D01* -X116223338Y-139178713D01* -X116188061Y-139157569D01* -X116155026Y-139133069D01* -X116124552Y-139105448D01* -X116096931Y-139074974D01* -X116072431Y-139041939D01* -X116051287Y-139006662D01* -X116033702Y-138969483D01* -X116019846Y-138930758D01* -X116009853Y-138890862D01* -X116003818Y-138850179D01* -X116001800Y-138809100D01* -X116001800Y-131954900D01* -X116003818Y-131913821D01* -X116009853Y-131873138D01* -X116019846Y-131833242D01* -X116033702Y-131794517D01* -X116051287Y-131757338D01* -X116072431Y-131722061D01* -X116096931Y-131689026D01* -X116124552Y-131658552D01* -X116155026Y-131630931D01* -X116188061Y-131606431D01* -X116223338Y-131585287D01* -X116260517Y-131567702D01* -X116299242Y-131553846D01* -X116339138Y-131543853D01* -X116379821Y-131537818D01* -X116420900Y-131535800D01* -X117259100Y-131535800D01* -X117300179Y-131537818D01* -G37* -G36* -X119840179Y-131537818D02* -G01* -X119880862Y-131543853D01* -X119920758Y-131553846D01* -X119959483Y-131567702D01* -X119996662Y-131585287D01* -X120031939Y-131606431D01* -X120064974Y-131630931D01* -X120095448Y-131658552D01* -X120123069Y-131689026D01* -X120147569Y-131722061D01* -X120168713Y-131757338D01* -X120186298Y-131794517D01* -X120200154Y-131833242D01* -X120210147Y-131873138D01* -X120216182Y-131913821D01* -X120218200Y-131954900D01* -X120218200Y-138809100D01* -X120216182Y-138850179D01* -X120210147Y-138890862D01* -X120200154Y-138930758D01* -X120186298Y-138969483D01* -X120168713Y-139006662D01* -X120147569Y-139041939D01* -X120123069Y-139074974D01* -X120095448Y-139105448D01* -X120064974Y-139133069D01* -X120031939Y-139157569D01* -X119996662Y-139178713D01* -X119959483Y-139196298D01* -X119920758Y-139210154D01* -X119880862Y-139220147D01* -X119840179Y-139226182D01* -X119799100Y-139228200D01* -X118960900Y-139228200D01* -X118919821Y-139226182D01* -X118879138Y-139220147D01* -X118839242Y-139210154D01* -X118800517Y-139196298D01* -X118763338Y-139178713D01* -X118728061Y-139157569D01* -X118695026Y-139133069D01* -X118664552Y-139105448D01* -X118636931Y-139074974D01* -X118612431Y-139041939D01* -X118591287Y-139006662D01* -X118573702Y-138969483D01* -X118559846Y-138930758D01* -X118549853Y-138890862D01* -X118543818Y-138850179D01* -X118541800Y-138809100D01* -X118541800Y-131954900D01* -X118543818Y-131913821D01* -X118549853Y-131873138D01* -X118559846Y-131833242D01* -X118573702Y-131794517D01* -X118591287Y-131757338D01* -X118612431Y-131722061D01* -X118636931Y-131689026D01* -X118664552Y-131658552D01* -X118695026Y-131630931D01* -X118728061Y-131606431D01* -X118763338Y-131585287D01* -X118800517Y-131567702D01* -X118839242Y-131553846D01* -X118879138Y-131543853D01* -X118919821Y-131537818D01* -X118960900Y-131535800D01* -X119799100Y-131535800D01* -X119840179Y-131537818D01* -G37* -G36* -X122380179Y-131537818D02* -G01* -X122420862Y-131543853D01* -X122460758Y-131553846D01* -X122499483Y-131567702D01* -X122536662Y-131585287D01* -X122571939Y-131606431D01* -X122604974Y-131630931D01* -X122635448Y-131658552D01* -X122663069Y-131689026D01* -X122687569Y-131722061D01* -X122708713Y-131757338D01* -X122726298Y-131794517D01* -X122740154Y-131833242D01* -X122750147Y-131873138D01* -X122756182Y-131913821D01* -X122758200Y-131954900D01* -X122758200Y-138809100D01* -X122756182Y-138850179D01* -X122750147Y-138890862D01* -X122740154Y-138930758D01* -X122726298Y-138969483D01* -X122708713Y-139006662D01* -X122687569Y-139041939D01* -X122663069Y-139074974D01* -X122635448Y-139105448D01* -X122604974Y-139133069D01* -X122571939Y-139157569D01* -X122536662Y-139178713D01* -X122499483Y-139196298D01* -X122460758Y-139210154D01* -X122420862Y-139220147D01* -X122380179Y-139226182D01* -X122339100Y-139228200D01* -X121500900Y-139228200D01* -X121459821Y-139226182D01* -X121419138Y-139220147D01* -X121379242Y-139210154D01* -X121340517Y-139196298D01* -X121303338Y-139178713D01* -X121268061Y-139157569D01* -X121235026Y-139133069D01* -X121204552Y-139105448D01* -X121176931Y-139074974D01* -X121152431Y-139041939D01* -X121131287Y-139006662D01* -X121113702Y-138969483D01* -X121099846Y-138930758D01* -X121089853Y-138890862D01* -X121083818Y-138850179D01* -X121081800Y-138809100D01* -X121081800Y-131954900D01* -X121083818Y-131913821D01* -X121089853Y-131873138D01* -X121099846Y-131833242D01* -X121113702Y-131794517D01* -X121131287Y-131757338D01* -X121152431Y-131722061D01* -X121176931Y-131689026D01* -X121204552Y-131658552D01* -X121235026Y-131630931D01* -X121268061Y-131606431D01* -X121303338Y-131585287D01* -X121340517Y-131567702D01* -X121379242Y-131553846D01* -X121419138Y-131543853D01* -X121459821Y-131537818D01* -X121500900Y-131535800D01* -X122339100Y-131535800D01* -X122380179Y-131537818D01* -G37* -G36* -X124920179Y-131537818D02* -G01* -X124960862Y-131543853D01* -X125000758Y-131553846D01* -X125039483Y-131567702D01* -X125076662Y-131585287D01* -X125111939Y-131606431D01* -X125144974Y-131630931D01* -X125175448Y-131658552D01* -X125203069Y-131689026D01* -X125227569Y-131722061D01* -X125248713Y-131757338D01* -X125266298Y-131794517D01* -X125280154Y-131833242D01* -X125290147Y-131873138D01* -X125296182Y-131913821D01* -X125298200Y-131954900D01* -X125298200Y-138809100D01* -X125296182Y-138850179D01* -X125290147Y-138890862D01* -X125280154Y-138930758D01* -X125266298Y-138969483D01* -X125248713Y-139006662D01* -X125227569Y-139041939D01* -X125203069Y-139074974D01* -X125175448Y-139105448D01* -X125144974Y-139133069D01* -X125111939Y-139157569D01* -X125076662Y-139178713D01* -X125039483Y-139196298D01* -X125000758Y-139210154D01* -X124960862Y-139220147D01* -X124920179Y-139226182D01* -X124879100Y-139228200D01* -X124040900Y-139228200D01* -X123999821Y-139226182D01* -X123959138Y-139220147D01* -X123919242Y-139210154D01* -X123880517Y-139196298D01* -X123843338Y-139178713D01* -X123808061Y-139157569D01* -X123775026Y-139133069D01* -X123744552Y-139105448D01* -X123716931Y-139074974D01* -X123692431Y-139041939D01* -X123671287Y-139006662D01* -X123653702Y-138969483D01* -X123639846Y-138930758D01* -X123629853Y-138890862D01* -X123623818Y-138850179D01* -X123621800Y-138809100D01* -X123621800Y-131954900D01* -X123623818Y-131913821D01* -X123629853Y-131873138D01* -X123639846Y-131833242D01* -X123653702Y-131794517D01* -X123671287Y-131757338D01* -X123692431Y-131722061D01* -X123716931Y-131689026D01* -X123744552Y-131658552D01* -X123775026Y-131630931D01* -X123808061Y-131606431D01* -X123843338Y-131585287D01* -X123880517Y-131567702D01* -X123919242Y-131553846D01* -X123959138Y-131543853D01* -X123999821Y-131537818D01* -X124040900Y-131535800D01* -X124879100Y-131535800D01* -X124920179Y-131537818D01* -G37* -G36* -X127460179Y-131537818D02* -G01* -X127500862Y-131543853D01* -X127540758Y-131553846D01* -X127579483Y-131567702D01* -X127616662Y-131585287D01* -X127651939Y-131606431D01* -X127684974Y-131630931D01* -X127715448Y-131658552D01* -X127743069Y-131689026D01* -X127767569Y-131722061D01* -X127788713Y-131757338D01* -X127806298Y-131794517D01* -X127820154Y-131833242D01* -X127830147Y-131873138D01* -X127836182Y-131913821D01* -X127838200Y-131954900D01* -X127838200Y-138809100D01* -X127836182Y-138850179D01* -X127830147Y-138890862D01* -X127820154Y-138930758D01* -X127806298Y-138969483D01* -X127788713Y-139006662D01* -X127767569Y-139041939D01* -X127743069Y-139074974D01* -X127715448Y-139105448D01* -X127684974Y-139133069D01* -X127651939Y-139157569D01* -X127616662Y-139178713D01* -X127579483Y-139196298D01* -X127540758Y-139210154D01* -X127500862Y-139220147D01* -X127460179Y-139226182D01* -X127419100Y-139228200D01* -X126580900Y-139228200D01* -X126539821Y-139226182D01* -X126499138Y-139220147D01* -X126459242Y-139210154D01* -X126420517Y-139196298D01* -X126383338Y-139178713D01* -X126348061Y-139157569D01* -X126315026Y-139133069D01* -X126284552Y-139105448D01* -X126256931Y-139074974D01* -X126232431Y-139041939D01* -X126211287Y-139006662D01* -X126193702Y-138969483D01* -X126179846Y-138930758D01* -X126169853Y-138890862D01* -X126163818Y-138850179D01* -X126161800Y-138809100D01* -X126161800Y-131954900D01* -X126163818Y-131913821D01* -X126169853Y-131873138D01* -X126179846Y-131833242D01* -X126193702Y-131794517D01* -X126211287Y-131757338D01* -X126232431Y-131722061D01* -X126256931Y-131689026D01* -X126284552Y-131658552D01* -X126315026Y-131630931D01* -X126348061Y-131606431D01* -X126383338Y-131585287D01* -X126420517Y-131567702D01* -X126459242Y-131553846D01* -X126499138Y-131543853D01* -X126539821Y-131537818D01* -X126580900Y-131535800D01* -X127419100Y-131535800D01* -X127460179Y-131537818D01* -G37* -G36* -X130000179Y-131537818D02* -G01* -X130040862Y-131543853D01* -X130080758Y-131553846D01* -X130119483Y-131567702D01* -X130156662Y-131585287D01* -X130191939Y-131606431D01* -X130224974Y-131630931D01* -X130255448Y-131658552D01* -X130283069Y-131689026D01* -X130307569Y-131722061D01* -X130328713Y-131757338D01* -X130346298Y-131794517D01* -X130360154Y-131833242D01* -X130370147Y-131873138D01* -X130376182Y-131913821D01* -X130378200Y-131954900D01* -X130378200Y-138809100D01* -X130376182Y-138850179D01* -X130370147Y-138890862D01* -X130360154Y-138930758D01* -X130346298Y-138969483D01* -X130328713Y-139006662D01* -X130307569Y-139041939D01* -X130283069Y-139074974D01* -X130255448Y-139105448D01* -X130224974Y-139133069D01* -X130191939Y-139157569D01* -X130156662Y-139178713D01* -X130119483Y-139196298D01* -X130080758Y-139210154D01* -X130040862Y-139220147D01* -X130000179Y-139226182D01* -X129959100Y-139228200D01* -X129120900Y-139228200D01* -X129079821Y-139226182D01* -X129039138Y-139220147D01* -X128999242Y-139210154D01* -X128960517Y-139196298D01* -X128923338Y-139178713D01* -X128888061Y-139157569D01* -X128855026Y-139133069D01* -X128824552Y-139105448D01* -X128796931Y-139074974D01* -X128772431Y-139041939D01* -X128751287Y-139006662D01* -X128733702Y-138969483D01* -X128719846Y-138930758D01* -X128709853Y-138890862D01* -X128703818Y-138850179D01* -X128701800Y-138809100D01* -X128701800Y-131954900D01* -X128703818Y-131913821D01* -X128709853Y-131873138D01* -X128719846Y-131833242D01* -X128733702Y-131794517D01* -X128751287Y-131757338D01* -X128772431Y-131722061D01* -X128796931Y-131689026D01* -X128824552Y-131658552D01* -X128855026Y-131630931D01* -X128888061Y-131606431D01* -X128923338Y-131585287D01* -X128960517Y-131567702D01* -X128999242Y-131553846D01* -X129039138Y-131543853D01* -X129079821Y-131537818D01* -X129120900Y-131535800D01* -X129959100Y-131535800D01* -X130000179Y-131537818D01* -G37* -G36* -X132540179Y-131537818D02* -G01* -X132580862Y-131543853D01* -X132620758Y-131553846D01* -X132659483Y-131567702D01* -X132696662Y-131585287D01* -X132731939Y-131606431D01* -X132764974Y-131630931D01* -X132795448Y-131658552D01* -X132823069Y-131689026D01* -X132847569Y-131722061D01* -X132868713Y-131757338D01* -X132886298Y-131794517D01* -X132900154Y-131833242D01* -X132910147Y-131873138D01* -X132916182Y-131913821D01* -X132918200Y-131954900D01* -X132918200Y-138809100D01* -X132916182Y-138850179D01* -X132910147Y-138890862D01* -X132900154Y-138930758D01* -X132886298Y-138969483D01* -X132868713Y-139006662D01* -X132847569Y-139041939D01* -X132823069Y-139074974D01* -X132795448Y-139105448D01* -X132764974Y-139133069D01* -X132731939Y-139157569D01* -X132696662Y-139178713D01* -X132659483Y-139196298D01* -X132620758Y-139210154D01* -X132580862Y-139220147D01* -X132540179Y-139226182D01* -X132499100Y-139228200D01* -X131660900Y-139228200D01* -X131619821Y-139226182D01* -X131579138Y-139220147D01* -X131539242Y-139210154D01* -X131500517Y-139196298D01* -X131463338Y-139178713D01* -X131428061Y-139157569D01* -X131395026Y-139133069D01* -X131364552Y-139105448D01* -X131336931Y-139074974D01* -X131312431Y-139041939D01* -X131291287Y-139006662D01* -X131273702Y-138969483D01* -X131259846Y-138930758D01* -X131249853Y-138890862D01* -X131243818Y-138850179D01* -X131241800Y-138809100D01* -X131241800Y-131954900D01* -X131243818Y-131913821D01* -X131249853Y-131873138D01* -X131259846Y-131833242D01* -X131273702Y-131794517D01* -X131291287Y-131757338D01* -X131312431Y-131722061D01* -X131336931Y-131689026D01* -X131364552Y-131658552D01* -X131395026Y-131630931D01* -X131428061Y-131606431D01* -X131463338Y-131585287D01* -X131500517Y-131567702D01* -X131539242Y-131553846D01* -X131579138Y-131543853D01* -X131619821Y-131537818D01* -X131660900Y-131535800D01* -X132499100Y-131535800D01* -X132540179Y-131537818D01* -G37* -G36* -X135080179Y-131537818D02* -G01* -X135120862Y-131543853D01* -X135160758Y-131553846D01* -X135199483Y-131567702D01* -X135236662Y-131585287D01* -X135271939Y-131606431D01* -X135304974Y-131630931D01* -X135335448Y-131658552D01* -X135363069Y-131689026D01* -X135387569Y-131722061D01* -X135408713Y-131757338D01* -X135426298Y-131794517D01* -X135440154Y-131833242D01* -X135450147Y-131873138D01* -X135456182Y-131913821D01* -X135458200Y-131954900D01* -X135458200Y-138809100D01* -X135456182Y-138850179D01* -X135450147Y-138890862D01* -X135440154Y-138930758D01* -X135426298Y-138969483D01* -X135408713Y-139006662D01* -X135387569Y-139041939D01* -X135363069Y-139074974D01* -X135335448Y-139105448D01* -X135304974Y-139133069D01* -X135271939Y-139157569D01* -X135236662Y-139178713D01* -X135199483Y-139196298D01* -X135160758Y-139210154D01* -X135120862Y-139220147D01* -X135080179Y-139226182D01* -X135039100Y-139228200D01* -X134200900Y-139228200D01* -X134159821Y-139226182D01* -X134119138Y-139220147D01* -X134079242Y-139210154D01* -X134040517Y-139196298D01* -X134003338Y-139178713D01* -X133968061Y-139157569D01* -X133935026Y-139133069D01* -X133904552Y-139105448D01* -X133876931Y-139074974D01* -X133852431Y-139041939D01* -X133831287Y-139006662D01* -X133813702Y-138969483D01* -X133799846Y-138930758D01* -X133789853Y-138890862D01* -X133783818Y-138850179D01* -X133781800Y-138809100D01* -X133781800Y-131954900D01* -X133783818Y-131913821D01* -X133789853Y-131873138D01* -X133799846Y-131833242D01* -X133813702Y-131794517D01* -X133831287Y-131757338D01* -X133852431Y-131722061D01* -X133876931Y-131689026D01* -X133904552Y-131658552D01* -X133935026Y-131630931D01* -X133968061Y-131606431D01* -X134003338Y-131585287D01* -X134040517Y-131567702D01* -X134079242Y-131553846D01* -X134119138Y-131543853D01* -X134159821Y-131537818D01* -X134200900Y-131535800D01* -X135039100Y-131535800D01* -X135080179Y-131537818D01* -G37* -G36* -X137620179Y-131537818D02* -G01* -X137660862Y-131543853D01* -X137700758Y-131553846D01* -X137739483Y-131567702D01* -X137776662Y-131585287D01* -X137811939Y-131606431D01* -X137844974Y-131630931D01* -X137875448Y-131658552D01* -X137903069Y-131689026D01* -X137927569Y-131722061D01* -X137948713Y-131757338D01* -X137966298Y-131794517D01* -X137980154Y-131833242D01* -X137990147Y-131873138D01* -X137996182Y-131913821D01* -X137998200Y-131954900D01* -X137998200Y-138809100D01* -X137996182Y-138850179D01* -X137990147Y-138890862D01* -X137980154Y-138930758D01* -X137966298Y-138969483D01* -X137948713Y-139006662D01* -X137927569Y-139041939D01* -X137903069Y-139074974D01* -X137875448Y-139105448D01* -X137844974Y-139133069D01* -X137811939Y-139157569D01* -X137776662Y-139178713D01* -X137739483Y-139196298D01* -X137700758Y-139210154D01* -X137660862Y-139220147D01* -X137620179Y-139226182D01* -X137579100Y-139228200D01* -X136740900Y-139228200D01* -X136699821Y-139226182D01* -X136659138Y-139220147D01* -X136619242Y-139210154D01* -X136580517Y-139196298D01* -X136543338Y-139178713D01* -X136508061Y-139157569D01* -X136475026Y-139133069D01* -X136444552Y-139105448D01* -X136416931Y-139074974D01* -X136392431Y-139041939D01* -X136371287Y-139006662D01* -X136353702Y-138969483D01* -X136339846Y-138930758D01* -X136329853Y-138890862D01* -X136323818Y-138850179D01* -X136321800Y-138809100D01* -X136321800Y-131954900D01* -X136323818Y-131913821D01* -X136329853Y-131873138D01* -X136339846Y-131833242D01* -X136353702Y-131794517D01* -X136371287Y-131757338D01* -X136392431Y-131722061D01* -X136416931Y-131689026D01* -X136444552Y-131658552D01* -X136475026Y-131630931D01* -X136508061Y-131606431D01* -X136543338Y-131585287D01* -X136580517Y-131567702D01* -X136619242Y-131553846D01* -X136659138Y-131543853D01* -X136699821Y-131537818D01* -X136740900Y-131535800D01* -X137579100Y-131535800D01* -X137620179Y-131537818D01* -G37* -G36* -X117424064Y-127495247D02* -G01* -X117453244Y-127499576D01* -X117481860Y-127506744D01* -X117509635Y-127516682D01* -X117536302Y-127529294D01* -X117561604Y-127544460D01* -X117585299Y-127562033D01* -X117607156Y-127581844D01* -X117626967Y-127603701D01* -X117644540Y-127627396D01* -X117659706Y-127652698D01* -X117672318Y-127679365D01* -X117682256Y-127707140D01* -X117689424Y-127735756D01* -X117693753Y-127764936D01* -X117695200Y-127794400D01* -X117695200Y-128745600D01* -X117693753Y-128775064D01* -X117689424Y-128804244D01* -X117682256Y-128832860D01* -X117672318Y-128860635D01* -X117659706Y-128887302D01* -X117644540Y-128912604D01* -X117626967Y-128936299D01* -X117607156Y-128958156D01* -X117585299Y-128977967D01* -X117561604Y-128995540D01* -X117536302Y-129010706D01* -X117509635Y-129023318D01* -X117481860Y-129033256D01* -X117453244Y-129040424D01* -X117424064Y-129044753D01* -X117394600Y-129046200D01* -X116793400Y-129046200D01* -X116763936Y-129044753D01* -X116734756Y-129040424D01* -X116706140Y-129033256D01* -X116678365Y-129023318D01* -X116651698Y-129010706D01* -X116626396Y-128995540D01* -X116602701Y-128977967D01* -X116580844Y-128958156D01* -X116561033Y-128936299D01* -X116543460Y-128912604D01* -X116528294Y-128887302D01* -X116515682Y-128860635D01* -X116505744Y-128832860D01* -X116498576Y-128804244D01* -X116494247Y-128775064D01* -X116492800Y-128745600D01* -X116492800Y-127794400D01* -X116494247Y-127764936D01* -X116498576Y-127735756D01* -X116505744Y-127707140D01* -X116515682Y-127679365D01* -X116528294Y-127652698D01* -X116543460Y-127627396D01* -X116561033Y-127603701D01* -X116580844Y-127581844D01* -X116602701Y-127562033D01* -X116626396Y-127544460D01* -X116651698Y-127529294D01* -X116678365Y-127516682D01* -X116706140Y-127506744D01* -X116734756Y-127499576D01* -X116763936Y-127495247D01* -X116793400Y-127493800D01* -X117394600Y-127493800D01* -X117424064Y-127495247D01* -G37* -G36* -X115724064Y-127495247D02* -G01* -X115753244Y-127499576D01* -X115781860Y-127506744D01* -X115809635Y-127516682D01* -X115836302Y-127529294D01* -X115861604Y-127544460D01* -X115885299Y-127562033D01* -X115907156Y-127581844D01* -X115926967Y-127603701D01* -X115944540Y-127627396D01* -X115959706Y-127652698D01* -X115972318Y-127679365D01* -X115982256Y-127707140D01* -X115989424Y-127735756D01* -X115993753Y-127764936D01* -X115995200Y-127794400D01* -X115995200Y-128745600D01* -X115993753Y-128775064D01* -X115989424Y-128804244D01* -X115982256Y-128832860D01* -X115972318Y-128860635D01* -X115959706Y-128887302D01* -X115944540Y-128912604D01* -X115926967Y-128936299D01* -X115907156Y-128958156D01* -X115885299Y-128977967D01* -X115861604Y-128995540D01* -X115836302Y-129010706D01* -X115809635Y-129023318D01* -X115781860Y-129033256D01* -X115753244Y-129040424D01* -X115724064Y-129044753D01* -X115694600Y-129046200D01* -X115093400Y-129046200D01* -X115063936Y-129044753D01* -X115034756Y-129040424D01* -X115006140Y-129033256D01* -X114978365Y-129023318D01* -X114951698Y-129010706D01* -X114926396Y-128995540D01* -X114902701Y-128977967D01* -X114880844Y-128958156D01* -X114861033Y-128936299D01* -X114843460Y-128912604D01* -X114828294Y-128887302D01* -X114815682Y-128860635D01* -X114805744Y-128832860D01* -X114798576Y-128804244D01* -X114794247Y-128775064D01* -X114792800Y-128745600D01* -X114792800Y-127794400D01* -X114794247Y-127764936D01* -X114798576Y-127735756D01* -X114805744Y-127707140D01* -X114815682Y-127679365D01* -X114828294Y-127652698D01* -X114843460Y-127627396D01* -X114861033Y-127603701D01* -X114880844Y-127581844D01* -X114902701Y-127562033D01* -X114926396Y-127544460D01* -X114951698Y-127529294D01* -X114978365Y-127516682D01* -X115006140Y-127506744D01* -X115034756Y-127499576D01* -X115063936Y-127495247D01* -X115093400Y-127493800D01* -X115694600Y-127493800D01* -X115724064Y-127495247D01* -G37* -G36* -X119456064Y-127495247D02* -G01* -X119485244Y-127499576D01* -X119513860Y-127506744D01* -X119541635Y-127516682D01* -X119568302Y-127529294D01* -X119593604Y-127544460D01* -X119617299Y-127562033D01* -X119639156Y-127581844D01* -X119658967Y-127603701D01* -X119676540Y-127627396D01* -X119691706Y-127652698D01* -X119704318Y-127679365D01* -X119714256Y-127707140D01* -X119721424Y-127735756D01* -X119725753Y-127764936D01* -X119727200Y-127794400D01* -X119727200Y-128745600D01* -X119725753Y-128775064D01* -X119721424Y-128804244D01* -X119714256Y-128832860D01* -X119704318Y-128860635D01* -X119691706Y-128887302D01* -X119676540Y-128912604D01* -X119658967Y-128936299D01* -X119639156Y-128958156D01* -X119617299Y-128977967D01* -X119593604Y-128995540D01* -X119568302Y-129010706D01* -X119541635Y-129023318D01* -X119513860Y-129033256D01* -X119485244Y-129040424D01* -X119456064Y-129044753D01* -X119426600Y-129046200D01* -X118825400Y-129046200D01* -X118795936Y-129044753D01* -X118766756Y-129040424D01* -X118738140Y-129033256D01* -X118710365Y-129023318D01* -X118683698Y-129010706D01* -X118658396Y-128995540D01* -X118634701Y-128977967D01* -X118612844Y-128958156D01* -X118593033Y-128936299D01* -X118575460Y-128912604D01* -X118560294Y-128887302D01* -X118547682Y-128860635D01* -X118537744Y-128832860D01* -X118530576Y-128804244D01* -X118526247Y-128775064D01* -X118524800Y-128745600D01* -X118524800Y-127794400D01* -X118526247Y-127764936D01* -X118530576Y-127735756D01* -X118537744Y-127707140D01* -X118547682Y-127679365D01* -X118560294Y-127652698D01* -X118575460Y-127627396D01* -X118593033Y-127603701D01* -X118612844Y-127581844D01* -X118634701Y-127562033D01* -X118658396Y-127544460D01* -X118683698Y-127529294D01* -X118710365Y-127516682D01* -X118738140Y-127506744D01* -X118766756Y-127499576D01* -X118795936Y-127495247D01* -X118825400Y-127493800D01* -X119426600Y-127493800D01* -X119456064Y-127495247D01* -G37* -G36* -X121156064Y-127495247D02* -G01* -X121185244Y-127499576D01* -X121213860Y-127506744D01* -X121241635Y-127516682D01* -X121268302Y-127529294D01* -X121293604Y-127544460D01* -X121317299Y-127562033D01* -X121339156Y-127581844D01* -X121358967Y-127603701D01* -X121376540Y-127627396D01* -X121391706Y-127652698D01* -X121404318Y-127679365D01* -X121414256Y-127707140D01* -X121421424Y-127735756D01* -X121425753Y-127764936D01* -X121427200Y-127794400D01* -X121427200Y-128745600D01* -X121425753Y-128775064D01* -X121421424Y-128804244D01* -X121414256Y-128832860D01* -X121404318Y-128860635D01* -X121391706Y-128887302D01* -X121376540Y-128912604D01* -X121358967Y-128936299D01* -X121339156Y-128958156D01* -X121317299Y-128977967D01* -X121293604Y-128995540D01* -X121268302Y-129010706D01* -X121241635Y-129023318D01* -X121213860Y-129033256D01* -X121185244Y-129040424D01* -X121156064Y-129044753D01* -X121126600Y-129046200D01* -X120525400Y-129046200D01* -X120495936Y-129044753D01* -X120466756Y-129040424D01* -X120438140Y-129033256D01* -X120410365Y-129023318D01* -X120383698Y-129010706D01* -X120358396Y-128995540D01* -X120334701Y-128977967D01* -X120312844Y-128958156D01* -X120293033Y-128936299D01* -X120275460Y-128912604D01* -X120260294Y-128887302D01* -X120247682Y-128860635D01* -X120237744Y-128832860D01* -X120230576Y-128804244D01* -X120226247Y-128775064D01* -X120224800Y-128745600D01* -X120224800Y-127794400D01* -X120226247Y-127764936D01* -X120230576Y-127735756D01* -X120237744Y-127707140D01* -X120247682Y-127679365D01* -X120260294Y-127652698D01* -X120275460Y-127627396D01* -X120293033Y-127603701D01* -X120312844Y-127581844D01* -X120334701Y-127562033D01* -X120358396Y-127544460D01* -X120383698Y-127529294D01* -X120410365Y-127516682D01* -X120438140Y-127506744D01* -X120466756Y-127499576D01* -X120495936Y-127495247D01* -X120525400Y-127493800D01* -X121126600Y-127493800D01* -X121156064Y-127495247D01* -G37* -D24* -X140462000Y-129540000D03* -D17* -G36* -X76530064Y-127495247D02* -G01* -X76559244Y-127499576D01* -X76587860Y-127506744D01* -X76615635Y-127516682D01* -X76642302Y-127529294D01* -X76667604Y-127544460D01* -X76691299Y-127562033D01* -X76713156Y-127581844D01* -X76732967Y-127603701D01* -X76750540Y-127627396D01* -X76765706Y-127652698D01* -X76778318Y-127679365D01* -X76788256Y-127707140D01* -X76795424Y-127735756D01* -X76799753Y-127764936D01* -X76801200Y-127794400D01* -X76801200Y-128745600D01* -X76799753Y-128775064D01* -X76795424Y-128804244D01* -X76788256Y-128832860D01* -X76778318Y-128860635D01* -X76765706Y-128887302D01* -X76750540Y-128912604D01* -X76732967Y-128936299D01* -X76713156Y-128958156D01* -X76691299Y-128977967D01* -X76667604Y-128995540D01* -X76642302Y-129010706D01* -X76615635Y-129023318D01* -X76587860Y-129033256D01* -X76559244Y-129040424D01* -X76530064Y-129044753D01* -X76500600Y-129046200D01* -X75899400Y-129046200D01* -X75869936Y-129044753D01* -X75840756Y-129040424D01* -X75812140Y-129033256D01* -X75784365Y-129023318D01* -X75757698Y-129010706D01* -X75732396Y-128995540D01* -X75708701Y-128977967D01* -X75686844Y-128958156D01* -X75667033Y-128936299D01* -X75649460Y-128912604D01* -X75634294Y-128887302D01* -X75621682Y-128860635D01* -X75611744Y-128832860D01* -X75604576Y-128804244D01* -X75600247Y-128775064D01* -X75598800Y-128745600D01* -X75598800Y-127794400D01* -X75600247Y-127764936D01* -X75604576Y-127735756D01* -X75611744Y-127707140D01* -X75621682Y-127679365D01* -X75634294Y-127652698D01* -X75649460Y-127627396D01* -X75667033Y-127603701D01* -X75686844Y-127581844D01* -X75708701Y-127562033D01* -X75732396Y-127544460D01* -X75757698Y-127529294D01* -X75784365Y-127516682D01* -X75812140Y-127506744D01* -X75840756Y-127499576D01* -X75869936Y-127495247D01* -X75899400Y-127493800D01* -X76500600Y-127493800D01* -X76530064Y-127495247D01* -G37* -G36* -X74830064Y-127495247D02* -G01* -X74859244Y-127499576D01* -X74887860Y-127506744D01* -X74915635Y-127516682D01* -X74942302Y-127529294D01* -X74967604Y-127544460D01* -X74991299Y-127562033D01* -X75013156Y-127581844D01* -X75032967Y-127603701D01* -X75050540Y-127627396D01* -X75065706Y-127652698D01* -X75078318Y-127679365D01* -X75088256Y-127707140D01* -X75095424Y-127735756D01* -X75099753Y-127764936D01* -X75101200Y-127794400D01* -X75101200Y-128745600D01* -X75099753Y-128775064D01* -X75095424Y-128804244D01* -X75088256Y-128832860D01* -X75078318Y-128860635D01* -X75065706Y-128887302D01* -X75050540Y-128912604D01* -X75032967Y-128936299D01* -X75013156Y-128958156D01* -X74991299Y-128977967D01* -X74967604Y-128995540D01* -X74942302Y-129010706D01* -X74915635Y-129023318D01* -X74887860Y-129033256D01* -X74859244Y-129040424D01* -X74830064Y-129044753D01* -X74800600Y-129046200D01* -X74199400Y-129046200D01* -X74169936Y-129044753D01* -X74140756Y-129040424D01* -X74112140Y-129033256D01* -X74084365Y-129023318D01* -X74057698Y-129010706D01* -X74032396Y-128995540D01* -X74008701Y-128977967D01* -X73986844Y-128958156D01* -X73967033Y-128936299D01* -X73949460Y-128912604D01* -X73934294Y-128887302D01* -X73921682Y-128860635D01* -X73911744Y-128832860D01* -X73904576Y-128804244D01* -X73900247Y-128775064D01* -X73898800Y-128745600D01* -X73898800Y-127794400D01* -X73900247Y-127764936D01* -X73904576Y-127735756D01* -X73911744Y-127707140D01* -X73921682Y-127679365D01* -X73934294Y-127652698D01* -X73949460Y-127627396D01* -X73967033Y-127603701D01* -X73986844Y-127581844D01* -X74008701Y-127562033D01* -X74032396Y-127544460D01* -X74057698Y-127529294D01* -X74084365Y-127516682D01* -X74112140Y-127506744D01* -X74140756Y-127499576D01* -X74169936Y-127495247D01* -X74199400Y-127493800D01* -X74800600Y-127493800D01* -X74830064Y-127495247D01* -G37* -D20* -X143002000Y-129540000D03* -X48133000Y-129540000D03* -X143002000Y-82423000D03* -X58801000Y-82931000D03* -D17* -G36* -X104060779Y-103076144D02* -G01* -X104083834Y-103079563D01* -X104106443Y-103085227D01* -X104128387Y-103093079D01* -X104149457Y-103103044D01* -X104169448Y-103115026D01* -X104188168Y-103128910D01* -X104205438Y-103144562D01* -X104221090Y-103161832D01* -X104234974Y-103180552D01* -X104246956Y-103200543D01* -X104256921Y-103221613D01* -X104264773Y-103243557D01* -X104270437Y-103266166D01* -X104273856Y-103289221D01* -X104275000Y-103312500D01* -X104275000Y-103787500D01* -X104273856Y-103810779D01* -X104270437Y-103833834D01* -X104264773Y-103856443D01* -X104256921Y-103878387D01* -X104246956Y-103899457D01* -X104234974Y-103919448D01* -X104221090Y-103938168D01* -X104205438Y-103955438D01* -X104188168Y-103971090D01* -X104169448Y-103984974D01* -X104149457Y-103996956D01* -X104128387Y-104006921D01* -X104106443Y-104014773D01* -X104083834Y-104020437D01* -X104060779Y-104023856D01* -X104037500Y-104025000D01* -X103462500Y-104025000D01* -X103439221Y-104023856D01* -X103416166Y-104020437D01* -X103393557Y-104014773D01* -X103371613Y-104006921D01* -X103350543Y-103996956D01* -X103330552Y-103984974D01* -X103311832Y-103971090D01* -X103294562Y-103955438D01* -X103278910Y-103938168D01* -X103265026Y-103919448D01* -X103253044Y-103899457D01* -X103243079Y-103878387D01* -X103235227Y-103856443D01* -X103229563Y-103833834D01* -X103226144Y-103810779D01* -X103225000Y-103787500D01* -X103225000Y-103312500D01* -X103226144Y-103289221D01* -X103229563Y-103266166D01* -X103235227Y-103243557D01* -X103243079Y-103221613D01* -X103253044Y-103200543D01* -X103265026Y-103180552D01* -X103278910Y-103161832D01* -X103294562Y-103144562D01* -X103311832Y-103128910D01* -X103330552Y-103115026D01* -X103350543Y-103103044D01* -X103371613Y-103093079D01* -X103393557Y-103085227D01* -X103416166Y-103079563D01* -X103439221Y-103076144D01* -X103462500Y-103075000D01* -X104037500Y-103075000D01* -X104060779Y-103076144D01* -G37* -G36* -X104060779Y-104576144D02* -G01* -X104083834Y-104579563D01* -X104106443Y-104585227D01* -X104128387Y-104593079D01* -X104149457Y-104603044D01* -X104169448Y-104615026D01* -X104188168Y-104628910D01* -X104205438Y-104644562D01* -X104221090Y-104661832D01* -X104234974Y-104680552D01* -X104246956Y-104700543D01* -X104256921Y-104721613D01* -X104264773Y-104743557D01* -X104270437Y-104766166D01* -X104273856Y-104789221D01* -X104275000Y-104812500D01* -X104275000Y-105287500D01* -X104273856Y-105310779D01* -X104270437Y-105333834D01* -X104264773Y-105356443D01* -X104256921Y-105378387D01* -X104246956Y-105399457D01* -X104234974Y-105419448D01* -X104221090Y-105438168D01* -X104205438Y-105455438D01* -X104188168Y-105471090D01* -X104169448Y-105484974D01* -X104149457Y-105496956D01* -X104128387Y-105506921D01* -X104106443Y-105514773D01* -X104083834Y-105520437D01* -X104060779Y-105523856D01* -X104037500Y-105525000D01* -X103462500Y-105525000D01* -X103439221Y-105523856D01* -X103416166Y-105520437D01* -X103393557Y-105514773D01* -X103371613Y-105506921D01* -X103350543Y-105496956D01* -X103330552Y-105484974D01* -X103311832Y-105471090D01* -X103294562Y-105455438D01* -X103278910Y-105438168D01* -X103265026Y-105419448D01* -X103253044Y-105399457D01* -X103243079Y-105378387D01* -X103235227Y-105356443D01* -X103229563Y-105333834D01* -X103226144Y-105310779D01* -X103225000Y-105287500D01* -X103225000Y-104812500D01* -X103226144Y-104789221D01* -X103229563Y-104766166D01* -X103235227Y-104743557D01* -X103243079Y-104721613D01* -X103253044Y-104700543D01* -X103265026Y-104680552D01* -X103278910Y-104661832D01* -X103294562Y-104644562D01* -X103311832Y-104628910D01* -X103330552Y-104615026D01* -X103350543Y-104603044D01* -X103371613Y-104593079D01* -X103393557Y-104585227D01* -X103416166Y-104579563D01* -X103439221Y-104576144D01* -X103462500Y-104575000D01* -X104037500Y-104575000D01* -X104060779Y-104576144D01* -G37* -G36* -X98810779Y-110576144D02* -G01* -X98833834Y-110579563D01* -X98856443Y-110585227D01* -X98878387Y-110593079D01* -X98899457Y-110603044D01* -X98919448Y-110615026D01* -X98938168Y-110628910D01* -X98955438Y-110644562D01* -X98971090Y-110661832D01* -X98984974Y-110680552D01* -X98996956Y-110700543D01* -X99006921Y-110721613D01* -X99014773Y-110743557D01* -X99020437Y-110766166D01* -X99023856Y-110789221D01* -X99025000Y-110812500D01* -X99025000Y-111387500D01* -X99023856Y-111410779D01* -X99020437Y-111433834D01* -X99014773Y-111456443D01* -X99006921Y-111478387D01* -X98996956Y-111499457D01* -X98984974Y-111519448D01* -X98971090Y-111538168D01* -X98955438Y-111555438D01* -X98938168Y-111571090D01* -X98919448Y-111584974D01* -X98899457Y-111596956D01* -X98878387Y-111606921D01* -X98856443Y-111614773D01* -X98833834Y-111620437D01* -X98810779Y-111623856D01* -X98787500Y-111625000D01* -X98312500Y-111625000D01* -X98289221Y-111623856D01* -X98266166Y-111620437D01* -X98243557Y-111614773D01* -X98221613Y-111606921D01* -X98200543Y-111596956D01* -X98180552Y-111584974D01* -X98161832Y-111571090D01* -X98144562Y-111555438D01* -X98128910Y-111538168D01* -X98115026Y-111519448D01* -X98103044Y-111499457D01* -X98093079Y-111478387D01* -X98085227Y-111456443D01* -X98079563Y-111433834D01* -X98076144Y-111410779D01* -X98075000Y-111387500D01* -X98075000Y-110812500D01* -X98076144Y-110789221D01* -X98079563Y-110766166D01* -X98085227Y-110743557D01* -X98093079Y-110721613D01* -X98103044Y-110700543D01* -X98115026Y-110680552D01* -X98128910Y-110661832D01* -X98144562Y-110644562D01* -X98161832Y-110628910D01* -X98180552Y-110615026D01* -X98200543Y-110603044D01* -X98221613Y-110593079D01* -X98243557Y-110585227D01* -X98266166Y-110579563D01* -X98289221Y-110576144D01* -X98312500Y-110575000D01* -X98787500Y-110575000D01* -X98810779Y-110576144D01* -G37* -G36* -X97310779Y-110576144D02* -G01* -X97333834Y-110579563D01* -X97356443Y-110585227D01* -X97378387Y-110593079D01* -X97399457Y-110603044D01* -X97419448Y-110615026D01* -X97438168Y-110628910D01* -X97455438Y-110644562D01* -X97471090Y-110661832D01* -X97484974Y-110680552D01* -X97496956Y-110700543D01* -X97506921Y-110721613D01* -X97514773Y-110743557D01* -X97520437Y-110766166D01* -X97523856Y-110789221D01* -X97525000Y-110812500D01* -X97525000Y-111387500D01* -X97523856Y-111410779D01* -X97520437Y-111433834D01* -X97514773Y-111456443D01* -X97506921Y-111478387D01* -X97496956Y-111499457D01* -X97484974Y-111519448D01* -X97471090Y-111538168D01* -X97455438Y-111555438D01* -X97438168Y-111571090D01* -X97419448Y-111584974D01* -X97399457Y-111596956D01* -X97378387Y-111606921D01* -X97356443Y-111614773D01* -X97333834Y-111620437D01* -X97310779Y-111623856D01* -X97287500Y-111625000D01* -X96812500Y-111625000D01* -X96789221Y-111623856D01* -X96766166Y-111620437D01* -X96743557Y-111614773D01* -X96721613Y-111606921D01* -X96700543Y-111596956D01* -X96680552Y-111584974D01* -X96661832Y-111571090D01* -X96644562Y-111555438D01* -X96628910Y-111538168D01* -X96615026Y-111519448D01* -X96603044Y-111499457D01* -X96593079Y-111478387D01* -X96585227Y-111456443D01* -X96579563Y-111433834D01* -X96576144Y-111410779D01* -X96575000Y-111387500D01* -X96575000Y-110812500D01* -X96576144Y-110789221D01* -X96579563Y-110766166D01* -X96585227Y-110743557D01* -X96593079Y-110721613D01* -X96603044Y-110700543D01* -X96615026Y-110680552D01* -X96628910Y-110661832D01* -X96644562Y-110644562D01* -X96661832Y-110628910D01* -X96680552Y-110615026D01* -X96700543Y-110603044D01* -X96721613Y-110593079D01* -X96743557Y-110585227D01* -X96766166Y-110579563D01* -X96789221Y-110576144D01* -X96812500Y-110575000D01* -X97287500Y-110575000D01* -X97310779Y-110576144D01* -G37* -G36* -X84660779Y-101176144D02* -G01* -X84683834Y-101179563D01* -X84706443Y-101185227D01* -X84728387Y-101193079D01* -X84749457Y-101203044D01* -X84769448Y-101215026D01* -X84788168Y-101228910D01* -X84805438Y-101244562D01* -X84821090Y-101261832D01* -X84834974Y-101280552D01* -X84846956Y-101300543D01* -X84856921Y-101321613D01* -X84864773Y-101343557D01* -X84870437Y-101366166D01* -X84873856Y-101389221D01* -X84875000Y-101412500D01* -X84875000Y-101887500D01* -X84873856Y-101910779D01* -X84870437Y-101933834D01* -X84864773Y-101956443D01* -X84856921Y-101978387D01* -X84846956Y-101999457D01* -X84834974Y-102019448D01* -X84821090Y-102038168D01* -X84805438Y-102055438D01* -X84788168Y-102071090D01* -X84769448Y-102084974D01* -X84749457Y-102096956D01* -X84728387Y-102106921D01* -X84706443Y-102114773D01* -X84683834Y-102120437D01* -X84660779Y-102123856D01* -X84637500Y-102125000D01* -X84062500Y-102125000D01* -X84039221Y-102123856D01* -X84016166Y-102120437D01* -X83993557Y-102114773D01* -X83971613Y-102106921D01* -X83950543Y-102096956D01* -X83930552Y-102084974D01* -X83911832Y-102071090D01* -X83894562Y-102055438D01* -X83878910Y-102038168D01* -X83865026Y-102019448D01* -X83853044Y-101999457D01* -X83843079Y-101978387D01* -X83835227Y-101956443D01* -X83829563Y-101933834D01* -X83826144Y-101910779D01* -X83825000Y-101887500D01* -X83825000Y-101412500D01* -X83826144Y-101389221D01* -X83829563Y-101366166D01* -X83835227Y-101343557D01* -X83843079Y-101321613D01* -X83853044Y-101300543D01* -X83865026Y-101280552D01* -X83878910Y-101261832D01* -X83894562Y-101244562D01* -X83911832Y-101228910D01* -X83930552Y-101215026D01* -X83950543Y-101203044D01* -X83971613Y-101193079D01* -X83993557Y-101185227D01* -X84016166Y-101179563D01* -X84039221Y-101176144D01* -X84062500Y-101175000D01* -X84637500Y-101175000D01* -X84660779Y-101176144D01* -G37* -G36* -X84660779Y-99676144D02* -G01* -X84683834Y-99679563D01* -X84706443Y-99685227D01* -X84728387Y-99693079D01* -X84749457Y-99703044D01* -X84769448Y-99715026D01* -X84788168Y-99728910D01* -X84805438Y-99744562D01* -X84821090Y-99761832D01* -X84834974Y-99780552D01* -X84846956Y-99800543D01* -X84856921Y-99821613D01* -X84864773Y-99843557D01* -X84870437Y-99866166D01* -X84873856Y-99889221D01* -X84875000Y-99912500D01* -X84875000Y-100387500D01* -X84873856Y-100410779D01* -X84870437Y-100433834D01* -X84864773Y-100456443D01* -X84856921Y-100478387D01* -X84846956Y-100499457D01* -X84834974Y-100519448D01* -X84821090Y-100538168D01* -X84805438Y-100555438D01* -X84788168Y-100571090D01* -X84769448Y-100584974D01* -X84749457Y-100596956D01* -X84728387Y-100606921D01* -X84706443Y-100614773D01* -X84683834Y-100620437D01* -X84660779Y-100623856D01* -X84637500Y-100625000D01* -X84062500Y-100625000D01* -X84039221Y-100623856D01* -X84016166Y-100620437D01* -X83993557Y-100614773D01* -X83971613Y-100606921D01* -X83950543Y-100596956D01* -X83930552Y-100584974D01* -X83911832Y-100571090D01* -X83894562Y-100555438D01* -X83878910Y-100538168D01* -X83865026Y-100519448D01* -X83853044Y-100499457D01* -X83843079Y-100478387D01* -X83835227Y-100456443D01* -X83829563Y-100433834D01* -X83826144Y-100410779D01* -X83825000Y-100387500D01* -X83825000Y-99912500D01* -X83826144Y-99889221D01* -X83829563Y-99866166D01* -X83835227Y-99843557D01* -X83843079Y-99821613D01* -X83853044Y-99800543D01* -X83865026Y-99780552D01* -X83878910Y-99761832D01* -X83894562Y-99744562D01* -X83911832Y-99728910D01* -X83930552Y-99715026D01* -X83950543Y-99703044D01* -X83971613Y-99693079D01* -X83993557Y-99685227D01* -X84016166Y-99679563D01* -X84039221Y-99676144D01* -X84062500Y-99675000D01* -X84637500Y-99675000D01* -X84660779Y-99676144D01* -G37* -G36* -X98160779Y-91176144D02* -G01* -X98183834Y-91179563D01* -X98206443Y-91185227D01* -X98228387Y-91193079D01* -X98249457Y-91203044D01* -X98269448Y-91215026D01* -X98288168Y-91228910D01* -X98305438Y-91244562D01* -X98321090Y-91261832D01* -X98334974Y-91280552D01* -X98346956Y-91300543D01* -X98356921Y-91321613D01* -X98364773Y-91343557D01* -X98370437Y-91366166D01* -X98373856Y-91389221D01* -X98375000Y-91412500D01* -X98375000Y-91987500D01* -X98373856Y-92010779D01* -X98370437Y-92033834D01* -X98364773Y-92056443D01* -X98356921Y-92078387D01* -X98346956Y-92099457D01* -X98334974Y-92119448D01* -X98321090Y-92138168D01* -X98305438Y-92155438D01* -X98288168Y-92171090D01* -X98269448Y-92184974D01* -X98249457Y-92196956D01* -X98228387Y-92206921D01* -X98206443Y-92214773D01* -X98183834Y-92220437D01* -X98160779Y-92223856D01* -X98137500Y-92225000D01* -X97662500Y-92225000D01* -X97639221Y-92223856D01* -X97616166Y-92220437D01* -X97593557Y-92214773D01* -X97571613Y-92206921D01* -X97550543Y-92196956D01* -X97530552Y-92184974D01* -X97511832Y-92171090D01* -X97494562Y-92155438D01* -X97478910Y-92138168D01* -X97465026Y-92119448D01* -X97453044Y-92099457D01* -X97443079Y-92078387D01* -X97435227Y-92056443D01* -X97429563Y-92033834D01* -X97426144Y-92010779D01* -X97425000Y-91987500D01* -X97425000Y-91412500D01* -X97426144Y-91389221D01* -X97429563Y-91366166D01* -X97435227Y-91343557D01* -X97443079Y-91321613D01* -X97453044Y-91300543D01* -X97465026Y-91280552D01* -X97478910Y-91261832D01* -X97494562Y-91244562D01* -X97511832Y-91228910D01* -X97530552Y-91215026D01* -X97550543Y-91203044D01* -X97571613Y-91193079D01* -X97593557Y-91185227D01* -X97616166Y-91179563D01* -X97639221Y-91176144D01* -X97662500Y-91175000D01* -X98137500Y-91175000D01* -X98160779Y-91176144D01* -G37* -G36* -X96660779Y-91176144D02* -G01* -X96683834Y-91179563D01* -X96706443Y-91185227D01* -X96728387Y-91193079D01* -X96749457Y-91203044D01* -X96769448Y-91215026D01* -X96788168Y-91228910D01* -X96805438Y-91244562D01* -X96821090Y-91261832D01* -X96834974Y-91280552D01* -X96846956Y-91300543D01* -X96856921Y-91321613D01* -X96864773Y-91343557D01* -X96870437Y-91366166D01* -X96873856Y-91389221D01* -X96875000Y-91412500D01* -X96875000Y-91987500D01* -X96873856Y-92010779D01* -X96870437Y-92033834D01* -X96864773Y-92056443D01* -X96856921Y-92078387D01* -X96846956Y-92099457D01* -X96834974Y-92119448D01* -X96821090Y-92138168D01* -X96805438Y-92155438D01* -X96788168Y-92171090D01* -X96769448Y-92184974D01* -X96749457Y-92196956D01* -X96728387Y-92206921D01* -X96706443Y-92214773D01* -X96683834Y-92220437D01* -X96660779Y-92223856D01* -X96637500Y-92225000D01* -X96162500Y-92225000D01* -X96139221Y-92223856D01* -X96116166Y-92220437D01* -X96093557Y-92214773D01* -X96071613Y-92206921D01* -X96050543Y-92196956D01* -X96030552Y-92184974D01* -X96011832Y-92171090D01* -X95994562Y-92155438D01* -X95978910Y-92138168D01* -X95965026Y-92119448D01* -X95953044Y-92099457D01* -X95943079Y-92078387D01* -X95935227Y-92056443D01* -X95929563Y-92033834D01* -X95926144Y-92010779D01* -X95925000Y-91987500D01* -X95925000Y-91412500D01* -X95926144Y-91389221D01* -X95929563Y-91366166D01* -X95935227Y-91343557D01* -X95943079Y-91321613D01* -X95953044Y-91300543D01* -X95965026Y-91280552D01* -X95978910Y-91261832D01* -X95994562Y-91244562D01* -X96011832Y-91228910D01* -X96030552Y-91215026D01* -X96050543Y-91203044D01* -X96071613Y-91193079D01* -X96093557Y-91185227D01* -X96116166Y-91179563D01* -X96139221Y-91176144D01* -X96162500Y-91175000D01* -X96637500Y-91175000D01* -X96660779Y-91176144D01* -G37* -G36* -X89660779Y-91176144D02* -G01* -X89683834Y-91179563D01* -X89706443Y-91185227D01* -X89728387Y-91193079D01* -X89749457Y-91203044D01* -X89769448Y-91215026D01* -X89788168Y-91228910D01* -X89805438Y-91244562D01* -X89821090Y-91261832D01* -X89834974Y-91280552D01* -X89846956Y-91300543D01* -X89856921Y-91321613D01* -X89864773Y-91343557D01* -X89870437Y-91366166D01* -X89873856Y-91389221D01* -X89875000Y-91412500D01* -X89875000Y-91987500D01* -X89873856Y-92010779D01* -X89870437Y-92033834D01* -X89864773Y-92056443D01* -X89856921Y-92078387D01* -X89846956Y-92099457D01* -X89834974Y-92119448D01* -X89821090Y-92138168D01* -X89805438Y-92155438D01* -X89788168Y-92171090D01* -X89769448Y-92184974D01* -X89749457Y-92196956D01* -X89728387Y-92206921D01* -X89706443Y-92214773D01* -X89683834Y-92220437D01* -X89660779Y-92223856D01* -X89637500Y-92225000D01* -X89162500Y-92225000D01* -X89139221Y-92223856D01* -X89116166Y-92220437D01* -X89093557Y-92214773D01* -X89071613Y-92206921D01* -X89050543Y-92196956D01* -X89030552Y-92184974D01* -X89011832Y-92171090D01* -X88994562Y-92155438D01* -X88978910Y-92138168D01* -X88965026Y-92119448D01* -X88953044Y-92099457D01* -X88943079Y-92078387D01* -X88935227Y-92056443D01* -X88929563Y-92033834D01* -X88926144Y-92010779D01* -X88925000Y-91987500D01* -X88925000Y-91412500D01* -X88926144Y-91389221D01* -X88929563Y-91366166D01* -X88935227Y-91343557D01* -X88943079Y-91321613D01* -X88953044Y-91300543D01* -X88965026Y-91280552D01* -X88978910Y-91261832D01* -X88994562Y-91244562D01* -X89011832Y-91228910D01* -X89030552Y-91215026D01* -X89050543Y-91203044D01* -X89071613Y-91193079D01* -X89093557Y-91185227D01* -X89116166Y-91179563D01* -X89139221Y-91176144D01* -X89162500Y-91175000D01* -X89637500Y-91175000D01* -X89660779Y-91176144D01* -G37* -G36* -X91160779Y-91176144D02* -G01* -X91183834Y-91179563D01* -X91206443Y-91185227D01* -X91228387Y-91193079D01* -X91249457Y-91203044D01* -X91269448Y-91215026D01* -X91288168Y-91228910D01* -X91305438Y-91244562D01* -X91321090Y-91261832D01* -X91334974Y-91280552D01* -X91346956Y-91300543D01* -X91356921Y-91321613D01* -X91364773Y-91343557D01* -X91370437Y-91366166D01* -X91373856Y-91389221D01* -X91375000Y-91412500D01* -X91375000Y-91987500D01* -X91373856Y-92010779D01* -X91370437Y-92033834D01* -X91364773Y-92056443D01* -X91356921Y-92078387D01* -X91346956Y-92099457D01* -X91334974Y-92119448D01* -X91321090Y-92138168D01* -X91305438Y-92155438D01* -X91288168Y-92171090D01* -X91269448Y-92184974D01* -X91249457Y-92196956D01* -X91228387Y-92206921D01* -X91206443Y-92214773D01* -X91183834Y-92220437D01* -X91160779Y-92223856D01* -X91137500Y-92225000D01* -X90662500Y-92225000D01* -X90639221Y-92223856D01* -X90616166Y-92220437D01* -X90593557Y-92214773D01* -X90571613Y-92206921D01* -X90550543Y-92196956D01* -X90530552Y-92184974D01* -X90511832Y-92171090D01* -X90494562Y-92155438D01* -X90478910Y-92138168D01* -X90465026Y-92119448D01* -X90453044Y-92099457D01* -X90443079Y-92078387D01* -X90435227Y-92056443D01* -X90429563Y-92033834D01* -X90426144Y-92010779D01* -X90425000Y-91987500D01* -X90425000Y-91412500D01* -X90426144Y-91389221D01* -X90429563Y-91366166D01* -X90435227Y-91343557D01* -X90443079Y-91321613D01* -X90453044Y-91300543D01* -X90465026Y-91280552D01* -X90478910Y-91261832D01* -X90494562Y-91244562D01* -X90511832Y-91228910D01* -X90530552Y-91215026D01* -X90550543Y-91203044D01* -X90571613Y-91193079D01* -X90593557Y-91185227D01* -X90616166Y-91179563D01* -X90639221Y-91176144D01* -X90662500Y-91175000D01* -X91137500Y-91175000D01* -X91160779Y-91176144D01* -G37* -G36* -X84660779Y-96776144D02* -G01* -X84683834Y-96779563D01* -X84706443Y-96785227D01* -X84728387Y-96793079D01* -X84749457Y-96803044D01* -X84769448Y-96815026D01* -X84788168Y-96828910D01* -X84805438Y-96844562D01* -X84821090Y-96861832D01* -X84834974Y-96880552D01* -X84846956Y-96900543D01* -X84856921Y-96921613D01* -X84864773Y-96943557D01* -X84870437Y-96966166D01* -X84873856Y-96989221D01* -X84875000Y-97012500D01* -X84875000Y-97487500D01* -X84873856Y-97510779D01* -X84870437Y-97533834D01* -X84864773Y-97556443D01* -X84856921Y-97578387D01* -X84846956Y-97599457D01* -X84834974Y-97619448D01* -X84821090Y-97638168D01* -X84805438Y-97655438D01* -X84788168Y-97671090D01* -X84769448Y-97684974D01* -X84749457Y-97696956D01* -X84728387Y-97706921D01* -X84706443Y-97714773D01* -X84683834Y-97720437D01* -X84660779Y-97723856D01* -X84637500Y-97725000D01* -X84062500Y-97725000D01* -X84039221Y-97723856D01* -X84016166Y-97720437D01* -X83993557Y-97714773D01* -X83971613Y-97706921D01* -X83950543Y-97696956D01* -X83930552Y-97684974D01* -X83911832Y-97671090D01* -X83894562Y-97655438D01* -X83878910Y-97638168D01* -X83865026Y-97619448D01* -X83853044Y-97599457D01* -X83843079Y-97578387D01* -X83835227Y-97556443D01* -X83829563Y-97533834D01* -X83826144Y-97510779D01* -X83825000Y-97487500D01* -X83825000Y-97012500D01* -X83826144Y-96989221D01* -X83829563Y-96966166D01* -X83835227Y-96943557D01* -X83843079Y-96921613D01* -X83853044Y-96900543D01* -X83865026Y-96880552D01* -X83878910Y-96861832D01* -X83894562Y-96844562D01* -X83911832Y-96828910D01* -X83930552Y-96815026D01* -X83950543Y-96803044D01* -X83971613Y-96793079D01* -X83993557Y-96785227D01* -X84016166Y-96779563D01* -X84039221Y-96776144D01* -X84062500Y-96775000D01* -X84637500Y-96775000D01* -X84660779Y-96776144D01* -G37* -G36* -X84660779Y-98276144D02* -G01* -X84683834Y-98279563D01* -X84706443Y-98285227D01* -X84728387Y-98293079D01* -X84749457Y-98303044D01* -X84769448Y-98315026D01* -X84788168Y-98328910D01* -X84805438Y-98344562D01* -X84821090Y-98361832D01* -X84834974Y-98380552D01* -X84846956Y-98400543D01* -X84856921Y-98421613D01* -X84864773Y-98443557D01* -X84870437Y-98466166D01* -X84873856Y-98489221D01* -X84875000Y-98512500D01* -X84875000Y-98987500D01* -X84873856Y-99010779D01* -X84870437Y-99033834D01* -X84864773Y-99056443D01* -X84856921Y-99078387D01* -X84846956Y-99099457D01* -X84834974Y-99119448D01* -X84821090Y-99138168D01* -X84805438Y-99155438D01* -X84788168Y-99171090D01* -X84769448Y-99184974D01* -X84749457Y-99196956D01* -X84728387Y-99206921D01* -X84706443Y-99214773D01* -X84683834Y-99220437D01* -X84660779Y-99223856D01* -X84637500Y-99225000D01* -X84062500Y-99225000D01* -X84039221Y-99223856D01* -X84016166Y-99220437D01* -X83993557Y-99214773D01* -X83971613Y-99206921D01* -X83950543Y-99196956D01* -X83930552Y-99184974D01* -X83911832Y-99171090D01* -X83894562Y-99155438D01* -X83878910Y-99138168D01* -X83865026Y-99119448D01* -X83853044Y-99099457D01* -X83843079Y-99078387D01* -X83835227Y-99056443D01* -X83829563Y-99033834D01* -X83826144Y-99010779D01* -X83825000Y-98987500D01* -X83825000Y-98512500D01* -X83826144Y-98489221D01* -X83829563Y-98466166D01* -X83835227Y-98443557D01* -X83843079Y-98421613D01* -X83853044Y-98400543D01* -X83865026Y-98380552D01* -X83878910Y-98361832D01* -X83894562Y-98344562D01* -X83911832Y-98328910D01* -X83930552Y-98315026D01* -X83950543Y-98303044D01* -X83971613Y-98293079D01* -X83993557Y-98285227D01* -X84016166Y-98279563D01* -X84039221Y-98276144D01* -X84062500Y-98275000D01* -X84637500Y-98275000D01* -X84660779Y-98276144D01* -G37* -G36* -X86110779Y-120076144D02* -G01* -X86133834Y-120079563D01* -X86156443Y-120085227D01* -X86178387Y-120093079D01* -X86199457Y-120103044D01* -X86219448Y-120115026D01* -X86238168Y-120128910D01* -X86255438Y-120144562D01* -X86271090Y-120161832D01* -X86284974Y-120180552D01* -X86296956Y-120200543D01* -X86306921Y-120221613D01* -X86314773Y-120243557D01* -X86320437Y-120266166D01* -X86323856Y-120289221D01* -X86325000Y-120312500D01* -X86325000Y-120787500D01* -X86323856Y-120810779D01* -X86320437Y-120833834D01* -X86314773Y-120856443D01* -X86306921Y-120878387D01* -X86296956Y-120899457D01* -X86284974Y-120919448D01* -X86271090Y-120938168D01* -X86255438Y-120955438D01* -X86238168Y-120971090D01* -X86219448Y-120984974D01* -X86199457Y-120996956D01* -X86178387Y-121006921D01* -X86156443Y-121014773D01* -X86133834Y-121020437D01* -X86110779Y-121023856D01* -X86087500Y-121025000D01* -X85512500Y-121025000D01* -X85489221Y-121023856D01* -X85466166Y-121020437D01* -X85443557Y-121014773D01* -X85421613Y-121006921D01* -X85400543Y-120996956D01* -X85380552Y-120984974D01* -X85361832Y-120971090D01* -X85344562Y-120955438D01* -X85328910Y-120938168D01* -X85315026Y-120919448D01* -X85303044Y-120899457D01* -X85293079Y-120878387D01* -X85285227Y-120856443D01* -X85279563Y-120833834D01* -X85276144Y-120810779D01* -X85275000Y-120787500D01* -X85275000Y-120312500D01* -X85276144Y-120289221D01* -X85279563Y-120266166D01* -X85285227Y-120243557D01* -X85293079Y-120221613D01* -X85303044Y-120200543D01* -X85315026Y-120180552D01* -X85328910Y-120161832D01* -X85344562Y-120144562D01* -X85361832Y-120128910D01* -X85380552Y-120115026D01* -X85400543Y-120103044D01* -X85421613Y-120093079D01* -X85443557Y-120085227D01* -X85466166Y-120079563D01* -X85489221Y-120076144D01* -X85512500Y-120075000D01* -X86087500Y-120075000D01* -X86110779Y-120076144D01* -G37* -G36* -X86110779Y-118576144D02* -G01* -X86133834Y-118579563D01* -X86156443Y-118585227D01* -X86178387Y-118593079D01* -X86199457Y-118603044D01* -X86219448Y-118615026D01* -X86238168Y-118628910D01* -X86255438Y-118644562D01* -X86271090Y-118661832D01* -X86284974Y-118680552D01* -X86296956Y-118700543D01* -X86306921Y-118721613D01* -X86314773Y-118743557D01* -X86320437Y-118766166D01* -X86323856Y-118789221D01* -X86325000Y-118812500D01* -X86325000Y-119287500D01* -X86323856Y-119310779D01* -X86320437Y-119333834D01* -X86314773Y-119356443D01* -X86306921Y-119378387D01* -X86296956Y-119399457D01* -X86284974Y-119419448D01* -X86271090Y-119438168D01* -X86255438Y-119455438D01* -X86238168Y-119471090D01* -X86219448Y-119484974D01* -X86199457Y-119496956D01* -X86178387Y-119506921D01* -X86156443Y-119514773D01* -X86133834Y-119520437D01* -X86110779Y-119523856D01* -X86087500Y-119525000D01* -X85512500Y-119525000D01* -X85489221Y-119523856D01* -X85466166Y-119520437D01* -X85443557Y-119514773D01* -X85421613Y-119506921D01* -X85400543Y-119496956D01* -X85380552Y-119484974D01* -X85361832Y-119471090D01* -X85344562Y-119455438D01* -X85328910Y-119438168D01* -X85315026Y-119419448D01* -X85303044Y-119399457D01* -X85293079Y-119378387D01* -X85285227Y-119356443D01* -X85279563Y-119333834D01* -X85276144Y-119310779D01* -X85275000Y-119287500D01* -X85275000Y-118812500D01* -X85276144Y-118789221D01* -X85279563Y-118766166D01* -X85285227Y-118743557D01* -X85293079Y-118721613D01* -X85303044Y-118700543D01* -X85315026Y-118680552D01* -X85328910Y-118661832D01* -X85344562Y-118644562D01* -X85361832Y-118628910D01* -X85380552Y-118615026D01* -X85400543Y-118603044D01* -X85421613Y-118593079D01* -X85443557Y-118585227D01* -X85466166Y-118579563D01* -X85489221Y-118576144D01* -X85512500Y-118575000D01* -X86087500Y-118575000D01* -X86110779Y-118576144D01* -G37* -G36* -X104510779Y-120076144D02* -G01* -X104533834Y-120079563D01* -X104556443Y-120085227D01* -X104578387Y-120093079D01* -X104599457Y-120103044D01* -X104619448Y-120115026D01* -X104638168Y-120128910D01* -X104655438Y-120144562D01* -X104671090Y-120161832D01* -X104684974Y-120180552D01* -X104696956Y-120200543D01* -X104706921Y-120221613D01* -X104714773Y-120243557D01* -X104720437Y-120266166D01* -X104723856Y-120289221D01* -X104725000Y-120312500D01* -X104725000Y-120787500D01* -X104723856Y-120810779D01* -X104720437Y-120833834D01* -X104714773Y-120856443D01* -X104706921Y-120878387D01* -X104696956Y-120899457D01* -X104684974Y-120919448D01* -X104671090Y-120938168D01* -X104655438Y-120955438D01* -X104638168Y-120971090D01* -X104619448Y-120984974D01* -X104599457Y-120996956D01* -X104578387Y-121006921D01* -X104556443Y-121014773D01* -X104533834Y-121020437D01* -X104510779Y-121023856D01* -X104487500Y-121025000D01* -X103912500Y-121025000D01* -X103889221Y-121023856D01* -X103866166Y-121020437D01* -X103843557Y-121014773D01* -X103821613Y-121006921D01* -X103800543Y-120996956D01* -X103780552Y-120984974D01* -X103761832Y-120971090D01* -X103744562Y-120955438D01* -X103728910Y-120938168D01* -X103715026Y-120919448D01* -X103703044Y-120899457D01* -X103693079Y-120878387D01* -X103685227Y-120856443D01* -X103679563Y-120833834D01* -X103676144Y-120810779D01* -X103675000Y-120787500D01* -X103675000Y-120312500D01* -X103676144Y-120289221D01* -X103679563Y-120266166D01* -X103685227Y-120243557D01* -X103693079Y-120221613D01* -X103703044Y-120200543D01* -X103715026Y-120180552D01* -X103728910Y-120161832D01* -X103744562Y-120144562D01* -X103761832Y-120128910D01* -X103780552Y-120115026D01* -X103800543Y-120103044D01* -X103821613Y-120093079D01* -X103843557Y-120085227D01* -X103866166Y-120079563D01* -X103889221Y-120076144D01* -X103912500Y-120075000D01* -X104487500Y-120075000D01* -X104510779Y-120076144D01* -G37* -G36* -X104510779Y-118576144D02* -G01* -X104533834Y-118579563D01* -X104556443Y-118585227D01* -X104578387Y-118593079D01* -X104599457Y-118603044D01* -X104619448Y-118615026D01* -X104638168Y-118628910D01* -X104655438Y-118644562D01* -X104671090Y-118661832D01* -X104684974Y-118680552D01* -X104696956Y-118700543D01* -X104706921Y-118721613D01* -X104714773Y-118743557D01* -X104720437Y-118766166D01* -X104723856Y-118789221D01* -X104725000Y-118812500D01* -X104725000Y-119287500D01* -X104723856Y-119310779D01* -X104720437Y-119333834D01* -X104714773Y-119356443D01* -X104706921Y-119378387D01* -X104696956Y-119399457D01* -X104684974Y-119419448D01* -X104671090Y-119438168D01* -X104655438Y-119455438D01* -X104638168Y-119471090D01* -X104619448Y-119484974D01* -X104599457Y-119496956D01* -X104578387Y-119506921D01* -X104556443Y-119514773D01* -X104533834Y-119520437D01* -X104510779Y-119523856D01* -X104487500Y-119525000D01* -X103912500Y-119525000D01* -X103889221Y-119523856D01* -X103866166Y-119520437D01* -X103843557Y-119514773D01* -X103821613Y-119506921D01* -X103800543Y-119496956D01* -X103780552Y-119484974D01* -X103761832Y-119471090D01* -X103744562Y-119455438D01* -X103728910Y-119438168D01* -X103715026Y-119419448D01* -X103703044Y-119399457D01* -X103693079Y-119378387D01* -X103685227Y-119356443D01* -X103679563Y-119333834D01* -X103676144Y-119310779D01* -X103675000Y-119287500D01* -X103675000Y-118812500D01* -X103676144Y-118789221D01* -X103679563Y-118766166D01* -X103685227Y-118743557D01* -X103693079Y-118721613D01* -X103703044Y-118700543D01* -X103715026Y-118680552D01* -X103728910Y-118661832D01* -X103744562Y-118644562D01* -X103761832Y-118628910D01* -X103780552Y-118615026D01* -X103800543Y-118603044D01* -X103821613Y-118593079D01* -X103843557Y-118585227D01* -X103866166Y-118579563D01* -X103889221Y-118576144D01* -X103912500Y-118575000D01* -X104487500Y-118575000D01* -X104510779Y-118576144D01* -G37* -G36* -X95310779Y-118576144D02* -G01* -X95333834Y-118579563D01* -X95356443Y-118585227D01* -X95378387Y-118593079D01* -X95399457Y-118603044D01* -X95419448Y-118615026D01* -X95438168Y-118628910D01* -X95455438Y-118644562D01* -X95471090Y-118661832D01* -X95484974Y-118680552D01* -X95496956Y-118700543D01* -X95506921Y-118721613D01* -X95514773Y-118743557D01* -X95520437Y-118766166D01* -X95523856Y-118789221D01* -X95525000Y-118812500D01* -X95525000Y-119287500D01* -X95523856Y-119310779D01* -X95520437Y-119333834D01* -X95514773Y-119356443D01* -X95506921Y-119378387D01* -X95496956Y-119399457D01* -X95484974Y-119419448D01* -X95471090Y-119438168D01* -X95455438Y-119455438D01* -X95438168Y-119471090D01* -X95419448Y-119484974D01* -X95399457Y-119496956D01* -X95378387Y-119506921D01* -X95356443Y-119514773D01* -X95333834Y-119520437D01* -X95310779Y-119523856D01* -X95287500Y-119525000D01* -X94712500Y-119525000D01* -X94689221Y-119523856D01* -X94666166Y-119520437D01* -X94643557Y-119514773D01* -X94621613Y-119506921D01* -X94600543Y-119496956D01* -X94580552Y-119484974D01* -X94561832Y-119471090D01* -X94544562Y-119455438D01* -X94528910Y-119438168D01* -X94515026Y-119419448D01* -X94503044Y-119399457D01* -X94493079Y-119378387D01* -X94485227Y-119356443D01* -X94479563Y-119333834D01* -X94476144Y-119310779D01* -X94475000Y-119287500D01* -X94475000Y-118812500D01* -X94476144Y-118789221D01* -X94479563Y-118766166D01* -X94485227Y-118743557D01* -X94493079Y-118721613D01* -X94503044Y-118700543D01* -X94515026Y-118680552D01* -X94528910Y-118661832D01* -X94544562Y-118644562D01* -X94561832Y-118628910D01* -X94580552Y-118615026D01* -X94600543Y-118603044D01* -X94621613Y-118593079D01* -X94643557Y-118585227D01* -X94666166Y-118579563D01* -X94689221Y-118576144D01* -X94712500Y-118575000D01* -X95287500Y-118575000D01* -X95310779Y-118576144D01* -G37* -G36* -X95310779Y-120076144D02* -G01* -X95333834Y-120079563D01* -X95356443Y-120085227D01* -X95378387Y-120093079D01* -X95399457Y-120103044D01* -X95419448Y-120115026D01* -X95438168Y-120128910D01* -X95455438Y-120144562D01* -X95471090Y-120161832D01* -X95484974Y-120180552D01* -X95496956Y-120200543D01* -X95506921Y-120221613D01* -X95514773Y-120243557D01* -X95520437Y-120266166D01* -X95523856Y-120289221D01* -X95525000Y-120312500D01* -X95525000Y-120787500D01* -X95523856Y-120810779D01* -X95520437Y-120833834D01* -X95514773Y-120856443D01* -X95506921Y-120878387D01* -X95496956Y-120899457D01* -X95484974Y-120919448D01* -X95471090Y-120938168D01* -X95455438Y-120955438D01* -X95438168Y-120971090D01* -X95419448Y-120984974D01* -X95399457Y-120996956D01* -X95378387Y-121006921D01* -X95356443Y-121014773D01* -X95333834Y-121020437D01* -X95310779Y-121023856D01* -X95287500Y-121025000D01* -X94712500Y-121025000D01* -X94689221Y-121023856D01* -X94666166Y-121020437D01* -X94643557Y-121014773D01* -X94621613Y-121006921D01* -X94600543Y-120996956D01* -X94580552Y-120984974D01* -X94561832Y-120971090D01* -X94544562Y-120955438D01* -X94528910Y-120938168D01* -X94515026Y-120919448D01* -X94503044Y-120899457D01* -X94493079Y-120878387D01* -X94485227Y-120856443D01* -X94479563Y-120833834D01* -X94476144Y-120810779D01* -X94475000Y-120787500D01* -X94475000Y-120312500D01* -X94476144Y-120289221D01* -X94479563Y-120266166D01* -X94485227Y-120243557D01* -X94493079Y-120221613D01* -X94503044Y-120200543D01* -X94515026Y-120180552D01* -X94528910Y-120161832D01* -X94544562Y-120144562D01* -X94561832Y-120128910D01* -X94580552Y-120115026D01* -X94600543Y-120103044D01* -X94621613Y-120093079D01* -X94643557Y-120085227D01* -X94666166Y-120079563D01* -X94689221Y-120076144D01* -X94712500Y-120075000D01* -X95287500Y-120075000D01* -X95310779Y-120076144D01* -G37* -G36* -X137475680Y-127521384D02* -G01* -X137503588Y-127525524D01* -X137530957Y-127532380D01* -X137557521Y-127541885D01* -X137583027Y-127553948D01* -X137607226Y-127568452D01* -X137629888Y-127585259D01* -X137650793Y-127604207D01* -X137669741Y-127625112D01* -X137686548Y-127647774D01* -X137701052Y-127671973D01* -X137713115Y-127697479D01* -X137722620Y-127724043D01* -X137729476Y-127751412D01* -X137733616Y-127779320D01* -X137735000Y-127807500D01* -X137735000Y-128732500D01* -X137733616Y-128760680D01* -X137729476Y-128788588D01* -X137722620Y-128815957D01* -X137713115Y-128842521D01* -X137701052Y-128868027D01* -X137686548Y-128892226D01* -X137669741Y-128914888D01* -X137650793Y-128935793D01* -X137629888Y-128954741D01* -X137607226Y-128971548D01* -X137583027Y-128986052D01* -X137557521Y-128998115D01* -X137530957Y-129007620D01* -X137503588Y-129014476D01* -X137475680Y-129018616D01* -X137447500Y-129020000D01* -X136872500Y-129020000D01* -X136844320Y-129018616D01* -X136816412Y-129014476D01* -X136789043Y-129007620D01* -X136762479Y-128998115D01* -X136736973Y-128986052D01* -X136712774Y-128971548D01* -X136690112Y-128954741D01* -X136669207Y-128935793D01* -X136650259Y-128914888D01* -X136633452Y-128892226D01* -X136618948Y-128868027D01* -X136606885Y-128842521D01* -X136597380Y-128815957D01* -X136590524Y-128788588D01* -X136586384Y-128760680D01* -X136585000Y-128732500D01* -X136585000Y-127807500D01* -X136586384Y-127779320D01* -X136590524Y-127751412D01* -X136597380Y-127724043D01* -X136606885Y-127697479D01* -X136618948Y-127671973D01* -X136633452Y-127647774D01* -X136650259Y-127625112D01* -X136669207Y-127604207D01* -X136690112Y-127585259D01* -X136712774Y-127568452D01* -X136736973Y-127553948D01* -X136762479Y-127541885D01* -X136789043Y-127532380D01* -X136816412Y-127525524D01* -X136844320Y-127521384D01* -X136872500Y-127520000D01* -X137447500Y-127520000D01* -X137475680Y-127521384D01* -G37* -G36* -X135775680Y-127521384D02* -G01* -X135803588Y-127525524D01* -X135830957Y-127532380D01* -X135857521Y-127541885D01* -X135883027Y-127553948D01* -X135907226Y-127568452D01* -X135929888Y-127585259D01* -X135950793Y-127604207D01* -X135969741Y-127625112D01* -X135986548Y-127647774D01* -X136001052Y-127671973D01* -X136013115Y-127697479D01* -X136022620Y-127724043D01* -X136029476Y-127751412D01* -X136033616Y-127779320D01* -X136035000Y-127807500D01* -X136035000Y-128732500D01* -X136033616Y-128760680D01* -X136029476Y-128788588D01* -X136022620Y-128815957D01* -X136013115Y-128842521D01* -X136001052Y-128868027D01* -X135986548Y-128892226D01* -X135969741Y-128914888D01* -X135950793Y-128935793D01* -X135929888Y-128954741D01* -X135907226Y-128971548D01* -X135883027Y-128986052D01* -X135857521Y-128998115D01* -X135830957Y-129007620D01* -X135803588Y-129014476D01* -X135775680Y-129018616D01* -X135747500Y-129020000D01* -X135172500Y-129020000D01* -X135144320Y-129018616D01* -X135116412Y-129014476D01* -X135089043Y-129007620D01* -X135062479Y-128998115D01* -X135036973Y-128986052D01* -X135012774Y-128971548D01* -X134990112Y-128954741D01* -X134969207Y-128935793D01* -X134950259Y-128914888D01* -X134933452Y-128892226D01* -X134918948Y-128868027D01* -X134906885Y-128842521D01* -X134897380Y-128815957D01* -X134890524Y-128788588D01* -X134886384Y-128760680D01* -X134885000Y-128732500D01* -X134885000Y-127807500D01* -X134886384Y-127779320D01* -X134890524Y-127751412D01* -X134897380Y-127724043D01* -X134906885Y-127697479D01* -X134918948Y-127671973D01* -X134933452Y-127647774D01* -X134950259Y-127625112D01* -X134969207Y-127604207D01* -X134990112Y-127585259D01* -X135012774Y-127568452D01* -X135036973Y-127553948D01* -X135062479Y-127541885D01* -X135089043Y-127532380D01* -X135116412Y-127525524D01* -X135144320Y-127521384D01* -X135172500Y-127520000D01* -X135747500Y-127520000D01* -X135775680Y-127521384D01* -G37* -G36* -X96636703Y-118301600D02* -G01* -X96648789Y-118303392D01* -X96660640Y-118306361D01* -X96672144Y-118310477D01* -X96683189Y-118315701D01* -X96693668Y-118321982D01* -X96703482Y-118329260D01* -X96712535Y-118337465D01* -X96720740Y-118346518D01* -X96728018Y-118356332D01* -X96734299Y-118366811D01* -X96739523Y-118377856D01* -X96743639Y-118389360D01* -X96746608Y-118401211D01* -X96748400Y-118413297D01* -X96749000Y-118425500D01* -X96749000Y-119674500D01* -X96748400Y-119686703D01* -X96746608Y-119698789D01* -X96743639Y-119710640D01* -X96739523Y-119722144D01* -X96734299Y-119733189D01* -X96728018Y-119743668D01* -X96720740Y-119753482D01* -X96712535Y-119762535D01* -X96703482Y-119770740D01* -X96693668Y-119778018D01* -X96683189Y-119784299D01* -X96672144Y-119789523D01* -X96660640Y-119793639D01* -X96648789Y-119796608D01* -X96636703Y-119798400D01* -X96624500Y-119799000D01* -X96375500Y-119799000D01* -X96363297Y-119798400D01* -X96351211Y-119796608D01* -X96339360Y-119793639D01* -X96327856Y-119789523D01* -X96316811Y-119784299D01* -X96306332Y-119778018D01* -X96296518Y-119770740D01* -X96287465Y-119762535D01* -X96279260Y-119753482D01* -X96271982Y-119743668D01* -X96265701Y-119733189D01* -X96260477Y-119722144D01* -X96256361Y-119710640D01* -X96253392Y-119698789D01* -X96251600Y-119686703D01* -X96251000Y-119674500D01* -X96251000Y-118425500D01* -X96251600Y-118413297D01* -X96253392Y-118401211D01* -X96256361Y-118389360D01* -X96260477Y-118377856D01* -X96265701Y-118366811D01* -X96271982Y-118356332D01* -X96279260Y-118346518D01* -X96287465Y-118337465D01* -X96296518Y-118329260D01* -X96306332Y-118321982D01* -X96316811Y-118315701D01* -X96327856Y-118310477D01* -X96339360Y-118306361D01* -X96351211Y-118303392D01* -X96363297Y-118301600D01* -X96375500Y-118301000D01* -X96624500Y-118301000D01* -X96636703Y-118301600D01* -G37* -G36* -X97286703Y-118301600D02* -G01* -X97298789Y-118303392D01* -X97310640Y-118306361D01* -X97322144Y-118310477D01* -X97333189Y-118315701D01* -X97343668Y-118321982D01* -X97353482Y-118329260D01* -X97362535Y-118337465D01* -X97370740Y-118346518D01* -X97378018Y-118356332D01* -X97384299Y-118366811D01* -X97389523Y-118377856D01* -X97393639Y-118389360D01* -X97396608Y-118401211D01* -X97398400Y-118413297D01* -X97399000Y-118425500D01* -X97399000Y-119674500D01* -X97398400Y-119686703D01* -X97396608Y-119698789D01* -X97393639Y-119710640D01* -X97389523Y-119722144D01* -X97384299Y-119733189D01* -X97378018Y-119743668D01* -X97370740Y-119753482D01* -X97362535Y-119762535D01* -X97353482Y-119770740D01* -X97343668Y-119778018D01* -X97333189Y-119784299D01* -X97322144Y-119789523D01* -X97310640Y-119793639D01* -X97298789Y-119796608D01* -X97286703Y-119798400D01* -X97274500Y-119799000D01* -X97025500Y-119799000D01* -X97013297Y-119798400D01* -X97001211Y-119796608D01* -X96989360Y-119793639D01* -X96977856Y-119789523D01* -X96966811Y-119784299D01* -X96956332Y-119778018D01* -X96946518Y-119770740D01* -X96937465Y-119762535D01* -X96929260Y-119753482D01* -X96921982Y-119743668D01* -X96915701Y-119733189D01* -X96910477Y-119722144D01* -X96906361Y-119710640D01* -X96903392Y-119698789D01* -X96901600Y-119686703D01* -X96901000Y-119674500D01* -X96901000Y-118425500D01* -X96901600Y-118413297D01* -X96903392Y-118401211D01* -X96906361Y-118389360D01* -X96910477Y-118377856D01* -X96915701Y-118366811D01* -X96921982Y-118356332D01* -X96929260Y-118346518D01* -X96937465Y-118337465D01* -X96946518Y-118329260D01* -X96956332Y-118321982D01* -X96966811Y-118315701D01* -X96977856Y-118310477D01* -X96989360Y-118306361D01* -X97001211Y-118303392D01* -X97013297Y-118301600D01* -X97025500Y-118301000D01* -X97274500Y-118301000D01* -X97286703Y-118301600D01* -G37* -G36* -X97936703Y-118301600D02* -G01* -X97948789Y-118303392D01* -X97960640Y-118306361D01* -X97972144Y-118310477D01* -X97983189Y-118315701D01* -X97993668Y-118321982D01* -X98003482Y-118329260D01* -X98012535Y-118337465D01* -X98020740Y-118346518D01* -X98028018Y-118356332D01* -X98034299Y-118366811D01* -X98039523Y-118377856D01* -X98043639Y-118389360D01* -X98046608Y-118401211D01* -X98048400Y-118413297D01* -X98049000Y-118425500D01* -X98049000Y-119674500D01* -X98048400Y-119686703D01* -X98046608Y-119698789D01* -X98043639Y-119710640D01* -X98039523Y-119722144D01* -X98034299Y-119733189D01* -X98028018Y-119743668D01* -X98020740Y-119753482D01* -X98012535Y-119762535D01* -X98003482Y-119770740D01* -X97993668Y-119778018D01* -X97983189Y-119784299D01* -X97972144Y-119789523D01* -X97960640Y-119793639D01* -X97948789Y-119796608D01* -X97936703Y-119798400D01* -X97924500Y-119799000D01* -X97675500Y-119799000D01* -X97663297Y-119798400D01* -X97651211Y-119796608D01* -X97639360Y-119793639D01* -X97627856Y-119789523D01* -X97616811Y-119784299D01* -X97606332Y-119778018D01* -X97596518Y-119770740D01* -X97587465Y-119762535D01* -X97579260Y-119753482D01* -X97571982Y-119743668D01* -X97565701Y-119733189D01* -X97560477Y-119722144D01* -X97556361Y-119710640D01* -X97553392Y-119698789D01* -X97551600Y-119686703D01* -X97551000Y-119674500D01* -X97551000Y-118425500D01* -X97551600Y-118413297D01* -X97553392Y-118401211D01* -X97556361Y-118389360D01* -X97560477Y-118377856D01* -X97565701Y-118366811D01* -X97571982Y-118356332D01* -X97579260Y-118346518D01* -X97587465Y-118337465D01* -X97596518Y-118329260D01* -X97606332Y-118321982D01* -X97616811Y-118315701D01* -X97627856Y-118310477D01* -X97639360Y-118306361D01* -X97651211Y-118303392D01* -X97663297Y-118301600D01* -X97675500Y-118301000D01* -X97924500Y-118301000D01* -X97936703Y-118301600D01* -G37* -G36* -X98586703Y-118301600D02* -G01* -X98598789Y-118303392D01* -X98610640Y-118306361D01* -X98622144Y-118310477D01* -X98633189Y-118315701D01* -X98643668Y-118321982D01* -X98653482Y-118329260D01* -X98662535Y-118337465D01* -X98670740Y-118346518D01* -X98678018Y-118356332D01* -X98684299Y-118366811D01* -X98689523Y-118377856D01* -X98693639Y-118389360D01* -X98696608Y-118401211D01* -X98698400Y-118413297D01* -X98699000Y-118425500D01* -X98699000Y-119674500D01* -X98698400Y-119686703D01* -X98696608Y-119698789D01* -X98693639Y-119710640D01* -X98689523Y-119722144D01* -X98684299Y-119733189D01* -X98678018Y-119743668D01* -X98670740Y-119753482D01* -X98662535Y-119762535D01* -X98653482Y-119770740D01* -X98643668Y-119778018D01* -X98633189Y-119784299D01* -X98622144Y-119789523D01* -X98610640Y-119793639D01* -X98598789Y-119796608D01* -X98586703Y-119798400D01* -X98574500Y-119799000D01* -X98325500Y-119799000D01* -X98313297Y-119798400D01* -X98301211Y-119796608D01* -X98289360Y-119793639D01* -X98277856Y-119789523D01* -X98266811Y-119784299D01* -X98256332Y-119778018D01* -X98246518Y-119770740D01* -X98237465Y-119762535D01* -X98229260Y-119753482D01* -X98221982Y-119743668D01* -X98215701Y-119733189D01* -X98210477Y-119722144D01* -X98206361Y-119710640D01* -X98203392Y-119698789D01* -X98201600Y-119686703D01* -X98201000Y-119674500D01* -X98201000Y-118425500D01* -X98201600Y-118413297D01* -X98203392Y-118401211D01* -X98206361Y-118389360D01* -X98210477Y-118377856D01* -X98215701Y-118366811D01* -X98221982Y-118356332D01* -X98229260Y-118346518D01* -X98237465Y-118337465D01* -X98246518Y-118329260D01* -X98256332Y-118321982D01* -X98266811Y-118315701D01* -X98277856Y-118310477D01* -X98289360Y-118306361D01* -X98301211Y-118303392D01* -X98313297Y-118301600D01* -X98325500Y-118301000D01* -X98574500Y-118301000D01* -X98586703Y-118301600D01* -G37* -G36* -X99236703Y-118301600D02* -G01* -X99248789Y-118303392D01* -X99260640Y-118306361D01* -X99272144Y-118310477D01* -X99283189Y-118315701D01* -X99293668Y-118321982D01* -X99303482Y-118329260D01* -X99312535Y-118337465D01* -X99320740Y-118346518D01* -X99328018Y-118356332D01* -X99334299Y-118366811D01* -X99339523Y-118377856D01* -X99343639Y-118389360D01* -X99346608Y-118401211D01* -X99348400Y-118413297D01* -X99349000Y-118425500D01* -X99349000Y-119674500D01* -X99348400Y-119686703D01* -X99346608Y-119698789D01* -X99343639Y-119710640D01* -X99339523Y-119722144D01* -X99334299Y-119733189D01* -X99328018Y-119743668D01* -X99320740Y-119753482D01* -X99312535Y-119762535D01* -X99303482Y-119770740D01* -X99293668Y-119778018D01* -X99283189Y-119784299D01* -X99272144Y-119789523D01* -X99260640Y-119793639D01* -X99248789Y-119796608D01* -X99236703Y-119798400D01* -X99224500Y-119799000D01* -X98975500Y-119799000D01* -X98963297Y-119798400D01* -X98951211Y-119796608D01* -X98939360Y-119793639D01* -X98927856Y-119789523D01* -X98916811Y-119784299D01* -X98906332Y-119778018D01* -X98896518Y-119770740D01* -X98887465Y-119762535D01* -X98879260Y-119753482D01* -X98871982Y-119743668D01* -X98865701Y-119733189D01* -X98860477Y-119722144D01* -X98856361Y-119710640D01* -X98853392Y-119698789D01* -X98851600Y-119686703D01* -X98851000Y-119674500D01* -X98851000Y-118425500D01* -X98851600Y-118413297D01* -X98853392Y-118401211D01* -X98856361Y-118389360D01* -X98860477Y-118377856D01* -X98865701Y-118366811D01* -X98871982Y-118356332D01* -X98879260Y-118346518D01* -X98887465Y-118337465D01* -X98896518Y-118329260D01* -X98906332Y-118321982D01* -X98916811Y-118315701D01* -X98927856Y-118310477D01* -X98939360Y-118306361D01* -X98951211Y-118303392D01* -X98963297Y-118301600D01* -X98975500Y-118301000D01* -X99224500Y-118301000D01* -X99236703Y-118301600D01* -G37* -G36* -X99886703Y-118301600D02* -G01* -X99898789Y-118303392D01* -X99910640Y-118306361D01* -X99922144Y-118310477D01* -X99933189Y-118315701D01* -X99943668Y-118321982D01* -X99953482Y-118329260D01* -X99962535Y-118337465D01* -X99970740Y-118346518D01* -X99978018Y-118356332D01* -X99984299Y-118366811D01* -X99989523Y-118377856D01* -X99993639Y-118389360D01* -X99996608Y-118401211D01* -X99998400Y-118413297D01* -X99999000Y-118425500D01* -X99999000Y-119674500D01* -X99998400Y-119686703D01* -X99996608Y-119698789D01* -X99993639Y-119710640D01* -X99989523Y-119722144D01* -X99984299Y-119733189D01* -X99978018Y-119743668D01* -X99970740Y-119753482D01* -X99962535Y-119762535D01* -X99953482Y-119770740D01* -X99943668Y-119778018D01* -X99933189Y-119784299D01* -X99922144Y-119789523D01* -X99910640Y-119793639D01* -X99898789Y-119796608D01* -X99886703Y-119798400D01* -X99874500Y-119799000D01* -X99625500Y-119799000D01* -X99613297Y-119798400D01* -X99601211Y-119796608D01* -X99589360Y-119793639D01* -X99577856Y-119789523D01* -X99566811Y-119784299D01* -X99556332Y-119778018D01* -X99546518Y-119770740D01* -X99537465Y-119762535D01* -X99529260Y-119753482D01* -X99521982Y-119743668D01* -X99515701Y-119733189D01* -X99510477Y-119722144D01* -X99506361Y-119710640D01* -X99503392Y-119698789D01* -X99501600Y-119686703D01* -X99501000Y-119674500D01* -X99501000Y-118425500D01* -X99501600Y-118413297D01* -X99503392Y-118401211D01* -X99506361Y-118389360D01* -X99510477Y-118377856D01* -X99515701Y-118366811D01* -X99521982Y-118356332D01* -X99529260Y-118346518D01* -X99537465Y-118337465D01* -X99546518Y-118329260D01* -X99556332Y-118321982D01* -X99566811Y-118315701D01* -X99577856Y-118310477D01* -X99589360Y-118306361D01* -X99601211Y-118303392D01* -X99613297Y-118301600D01* -X99625500Y-118301000D01* -X99874500Y-118301000D01* -X99886703Y-118301600D01* -G37* -G36* -X100536703Y-118301600D02* -G01* -X100548789Y-118303392D01* -X100560640Y-118306361D01* -X100572144Y-118310477D01* -X100583189Y-118315701D01* -X100593668Y-118321982D01* -X100603482Y-118329260D01* -X100612535Y-118337465D01* -X100620740Y-118346518D01* -X100628018Y-118356332D01* -X100634299Y-118366811D01* -X100639523Y-118377856D01* -X100643639Y-118389360D01* -X100646608Y-118401211D01* -X100648400Y-118413297D01* -X100649000Y-118425500D01* -X100649000Y-119674500D01* -X100648400Y-119686703D01* -X100646608Y-119698789D01* -X100643639Y-119710640D01* -X100639523Y-119722144D01* -X100634299Y-119733189D01* -X100628018Y-119743668D01* -X100620740Y-119753482D01* -X100612535Y-119762535D01* -X100603482Y-119770740D01* -X100593668Y-119778018D01* -X100583189Y-119784299D01* -X100572144Y-119789523D01* -X100560640Y-119793639D01* -X100548789Y-119796608D01* -X100536703Y-119798400D01* -X100524500Y-119799000D01* -X100275500Y-119799000D01* -X100263297Y-119798400D01* -X100251211Y-119796608D01* -X100239360Y-119793639D01* -X100227856Y-119789523D01* -X100216811Y-119784299D01* -X100206332Y-119778018D01* -X100196518Y-119770740D01* -X100187465Y-119762535D01* -X100179260Y-119753482D01* -X100171982Y-119743668D01* -X100165701Y-119733189D01* -X100160477Y-119722144D01* -X100156361Y-119710640D01* -X100153392Y-119698789D01* -X100151600Y-119686703D01* -X100151000Y-119674500D01* -X100151000Y-118425500D01* -X100151600Y-118413297D01* -X100153392Y-118401211D01* -X100156361Y-118389360D01* -X100160477Y-118377856D01* -X100165701Y-118366811D01* -X100171982Y-118356332D01* -X100179260Y-118346518D01* -X100187465Y-118337465D01* -X100196518Y-118329260D01* -X100206332Y-118321982D01* -X100216811Y-118315701D01* -X100227856Y-118310477D01* -X100239360Y-118306361D01* -X100251211Y-118303392D01* -X100263297Y-118301600D01* -X100275500Y-118301000D01* -X100524500Y-118301000D01* -X100536703Y-118301600D01* -G37* -G36* -X101186703Y-118301600D02* -G01* -X101198789Y-118303392D01* -X101210640Y-118306361D01* -X101222144Y-118310477D01* -X101233189Y-118315701D01* -X101243668Y-118321982D01* -X101253482Y-118329260D01* -X101262535Y-118337465D01* -X101270740Y-118346518D01* -X101278018Y-118356332D01* -X101284299Y-118366811D01* -X101289523Y-118377856D01* -X101293639Y-118389360D01* -X101296608Y-118401211D01* -X101298400Y-118413297D01* -X101299000Y-118425500D01* -X101299000Y-119674500D01* -X101298400Y-119686703D01* -X101296608Y-119698789D01* -X101293639Y-119710640D01* -X101289523Y-119722144D01* -X101284299Y-119733189D01* -X101278018Y-119743668D01* -X101270740Y-119753482D01* -X101262535Y-119762535D01* -X101253482Y-119770740D01* -X101243668Y-119778018D01* -X101233189Y-119784299D01* -X101222144Y-119789523D01* -X101210640Y-119793639D01* -X101198789Y-119796608D01* -X101186703Y-119798400D01* -X101174500Y-119799000D01* -X100925500Y-119799000D01* -X100913297Y-119798400D01* -X100901211Y-119796608D01* -X100889360Y-119793639D01* -X100877856Y-119789523D01* -X100866811Y-119784299D01* -X100856332Y-119778018D01* -X100846518Y-119770740D01* -X100837465Y-119762535D01* -X100829260Y-119753482D01* -X100821982Y-119743668D01* -X100815701Y-119733189D01* -X100810477Y-119722144D01* -X100806361Y-119710640D01* -X100803392Y-119698789D01* -X100801600Y-119686703D01* -X100801000Y-119674500D01* -X100801000Y-118425500D01* -X100801600Y-118413297D01* -X100803392Y-118401211D01* -X100806361Y-118389360D01* -X100810477Y-118377856D01* -X100815701Y-118366811D01* -X100821982Y-118356332D01* -X100829260Y-118346518D01* -X100837465Y-118337465D01* -X100846518Y-118329260D01* -X100856332Y-118321982D01* -X100866811Y-118315701D01* -X100877856Y-118310477D01* -X100889360Y-118306361D01* -X100901211Y-118303392D01* -X100913297Y-118301600D01* -X100925500Y-118301000D01* -X101174500Y-118301000D01* -X101186703Y-118301600D01* -G37* -G36* -X101836703Y-118301600D02* -G01* -X101848789Y-118303392D01* -X101860640Y-118306361D01* -X101872144Y-118310477D01* -X101883189Y-118315701D01* -X101893668Y-118321982D01* -X101903482Y-118329260D01* -X101912535Y-118337465D01* -X101920740Y-118346518D01* -X101928018Y-118356332D01* -X101934299Y-118366811D01* -X101939523Y-118377856D01* -X101943639Y-118389360D01* -X101946608Y-118401211D01* -X101948400Y-118413297D01* -X101949000Y-118425500D01* -X101949000Y-119674500D01* -X101948400Y-119686703D01* -X101946608Y-119698789D01* -X101943639Y-119710640D01* -X101939523Y-119722144D01* -X101934299Y-119733189D01* -X101928018Y-119743668D01* -X101920740Y-119753482D01* -X101912535Y-119762535D01* -X101903482Y-119770740D01* -X101893668Y-119778018D01* -X101883189Y-119784299D01* -X101872144Y-119789523D01* -X101860640Y-119793639D01* -X101848789Y-119796608D01* -X101836703Y-119798400D01* -X101824500Y-119799000D01* -X101575500Y-119799000D01* -X101563297Y-119798400D01* -X101551211Y-119796608D01* -X101539360Y-119793639D01* -X101527856Y-119789523D01* -X101516811Y-119784299D01* -X101506332Y-119778018D01* -X101496518Y-119770740D01* -X101487465Y-119762535D01* -X101479260Y-119753482D01* -X101471982Y-119743668D01* -X101465701Y-119733189D01* -X101460477Y-119722144D01* -X101456361Y-119710640D01* -X101453392Y-119698789D01* -X101451600Y-119686703D01* -X101451000Y-119674500D01* -X101451000Y-118425500D01* -X101451600Y-118413297D01* -X101453392Y-118401211D01* -X101456361Y-118389360D01* -X101460477Y-118377856D01* -X101465701Y-118366811D01* -X101471982Y-118356332D01* -X101479260Y-118346518D01* -X101487465Y-118337465D01* -X101496518Y-118329260D01* -X101506332Y-118321982D01* -X101516811Y-118315701D01* -X101527856Y-118310477D01* -X101539360Y-118306361D01* -X101551211Y-118303392D01* -X101563297Y-118301600D01* -X101575500Y-118301000D01* -X101824500Y-118301000D01* -X101836703Y-118301600D01* -G37* -G36* -X102486703Y-118301600D02* -G01* -X102498789Y-118303392D01* -X102510640Y-118306361D01* -X102522144Y-118310477D01* -X102533189Y-118315701D01* -X102543668Y-118321982D01* -X102553482Y-118329260D01* -X102562535Y-118337465D01* -X102570740Y-118346518D01* -X102578018Y-118356332D01* -X102584299Y-118366811D01* -X102589523Y-118377856D01* -X102593639Y-118389360D01* -X102596608Y-118401211D01* -X102598400Y-118413297D01* -X102599000Y-118425500D01* -X102599000Y-119674500D01* -X102598400Y-119686703D01* -X102596608Y-119698789D01* -X102593639Y-119710640D01* -X102589523Y-119722144D01* -X102584299Y-119733189D01* -X102578018Y-119743668D01* -X102570740Y-119753482D01* -X102562535Y-119762535D01* -X102553482Y-119770740D01* -X102543668Y-119778018D01* -X102533189Y-119784299D01* -X102522144Y-119789523D01* -X102510640Y-119793639D01* -X102498789Y-119796608D01* -X102486703Y-119798400D01* -X102474500Y-119799000D01* -X102225500Y-119799000D01* -X102213297Y-119798400D01* -X102201211Y-119796608D01* -X102189360Y-119793639D01* -X102177856Y-119789523D01* -X102166811Y-119784299D01* -X102156332Y-119778018D01* -X102146518Y-119770740D01* -X102137465Y-119762535D01* -X102129260Y-119753482D01* -X102121982Y-119743668D01* -X102115701Y-119733189D01* -X102110477Y-119722144D01* -X102106361Y-119710640D01* -X102103392Y-119698789D01* -X102101600Y-119686703D01* -X102101000Y-119674500D01* -X102101000Y-118425500D01* -X102101600Y-118413297D01* -X102103392Y-118401211D01* -X102106361Y-118389360D01* -X102110477Y-118377856D01* -X102115701Y-118366811D01* -X102121982Y-118356332D01* -X102129260Y-118346518D01* -X102137465Y-118337465D01* -X102146518Y-118329260D01* -X102156332Y-118321982D01* -X102166811Y-118315701D01* -X102177856Y-118310477D01* -X102189360Y-118306361D01* -X102201211Y-118303392D01* -X102213297Y-118301600D01* -X102225500Y-118301000D01* -X102474500Y-118301000D01* -X102486703Y-118301600D01* -G37* -G36* -X102486703Y-124201600D02* -G01* -X102498789Y-124203392D01* -X102510640Y-124206361D01* -X102522144Y-124210477D01* -X102533189Y-124215701D01* -X102543668Y-124221982D01* -X102553482Y-124229260D01* -X102562535Y-124237465D01* -X102570740Y-124246518D01* -X102578018Y-124256332D01* -X102584299Y-124266811D01* -X102589523Y-124277856D01* -X102593639Y-124289360D01* -X102596608Y-124301211D01* -X102598400Y-124313297D01* -X102599000Y-124325500D01* -X102599000Y-125574500D01* -X102598400Y-125586703D01* -X102596608Y-125598789D01* -X102593639Y-125610640D01* -X102589523Y-125622144D01* -X102584299Y-125633189D01* -X102578018Y-125643668D01* -X102570740Y-125653482D01* -X102562535Y-125662535D01* -X102553482Y-125670740D01* -X102543668Y-125678018D01* -X102533189Y-125684299D01* -X102522144Y-125689523D01* -X102510640Y-125693639D01* -X102498789Y-125696608D01* -X102486703Y-125698400D01* -X102474500Y-125699000D01* -X102225500Y-125699000D01* -X102213297Y-125698400D01* -X102201211Y-125696608D01* -X102189360Y-125693639D01* -X102177856Y-125689523D01* -X102166811Y-125684299D01* -X102156332Y-125678018D01* -X102146518Y-125670740D01* -X102137465Y-125662535D01* -X102129260Y-125653482D01* -X102121982Y-125643668D01* -X102115701Y-125633189D01* -X102110477Y-125622144D01* -X102106361Y-125610640D01* -X102103392Y-125598789D01* -X102101600Y-125586703D01* -X102101000Y-125574500D01* -X102101000Y-124325500D01* -X102101600Y-124313297D01* -X102103392Y-124301211D01* -X102106361Y-124289360D01* -X102110477Y-124277856D01* -X102115701Y-124266811D01* -X102121982Y-124256332D01* -X102129260Y-124246518D01* -X102137465Y-124237465D01* -X102146518Y-124229260D01* -X102156332Y-124221982D01* -X102166811Y-124215701D01* -X102177856Y-124210477D01* -X102189360Y-124206361D01* -X102201211Y-124203392D01* -X102213297Y-124201600D01* -X102225500Y-124201000D01* -X102474500Y-124201000D01* -X102486703Y-124201600D01* -G37* -G36* -X101836703Y-124201600D02* -G01* -X101848789Y-124203392D01* -X101860640Y-124206361D01* -X101872144Y-124210477D01* -X101883189Y-124215701D01* -X101893668Y-124221982D01* -X101903482Y-124229260D01* -X101912535Y-124237465D01* -X101920740Y-124246518D01* -X101928018Y-124256332D01* -X101934299Y-124266811D01* -X101939523Y-124277856D01* -X101943639Y-124289360D01* -X101946608Y-124301211D01* -X101948400Y-124313297D01* -X101949000Y-124325500D01* -X101949000Y-125574500D01* -X101948400Y-125586703D01* -X101946608Y-125598789D01* -X101943639Y-125610640D01* -X101939523Y-125622144D01* -X101934299Y-125633189D01* -X101928018Y-125643668D01* -X101920740Y-125653482D01* -X101912535Y-125662535D01* -X101903482Y-125670740D01* -X101893668Y-125678018D01* -X101883189Y-125684299D01* -X101872144Y-125689523D01* -X101860640Y-125693639D01* -X101848789Y-125696608D01* -X101836703Y-125698400D01* -X101824500Y-125699000D01* -X101575500Y-125699000D01* -X101563297Y-125698400D01* -X101551211Y-125696608D01* -X101539360Y-125693639D01* -X101527856Y-125689523D01* -X101516811Y-125684299D01* -X101506332Y-125678018D01* -X101496518Y-125670740D01* -X101487465Y-125662535D01* -X101479260Y-125653482D01* -X101471982Y-125643668D01* -X101465701Y-125633189D01* -X101460477Y-125622144D01* -X101456361Y-125610640D01* -X101453392Y-125598789D01* -X101451600Y-125586703D01* -X101451000Y-125574500D01* -X101451000Y-124325500D01* -X101451600Y-124313297D01* -X101453392Y-124301211D01* -X101456361Y-124289360D01* -X101460477Y-124277856D01* -X101465701Y-124266811D01* -X101471982Y-124256332D01* -X101479260Y-124246518D01* -X101487465Y-124237465D01* -X101496518Y-124229260D01* -X101506332Y-124221982D01* -X101516811Y-124215701D01* -X101527856Y-124210477D01* -X101539360Y-124206361D01* -X101551211Y-124203392D01* -X101563297Y-124201600D01* -X101575500Y-124201000D01* -X101824500Y-124201000D01* -X101836703Y-124201600D01* -G37* -G36* -X101186703Y-124201600D02* -G01* -X101198789Y-124203392D01* -X101210640Y-124206361D01* -X101222144Y-124210477D01* -X101233189Y-124215701D01* -X101243668Y-124221982D01* -X101253482Y-124229260D01* -X101262535Y-124237465D01* -X101270740Y-124246518D01* -X101278018Y-124256332D01* -X101284299Y-124266811D01* -X101289523Y-124277856D01* -X101293639Y-124289360D01* -X101296608Y-124301211D01* -X101298400Y-124313297D01* -X101299000Y-124325500D01* -X101299000Y-125574500D01* -X101298400Y-125586703D01* -X101296608Y-125598789D01* -X101293639Y-125610640D01* -X101289523Y-125622144D01* -X101284299Y-125633189D01* -X101278018Y-125643668D01* -X101270740Y-125653482D01* -X101262535Y-125662535D01* -X101253482Y-125670740D01* -X101243668Y-125678018D01* -X101233189Y-125684299D01* -X101222144Y-125689523D01* -X101210640Y-125693639D01* -X101198789Y-125696608D01* -X101186703Y-125698400D01* -X101174500Y-125699000D01* -X100925500Y-125699000D01* -X100913297Y-125698400D01* -X100901211Y-125696608D01* -X100889360Y-125693639D01* -X100877856Y-125689523D01* -X100866811Y-125684299D01* -X100856332Y-125678018D01* -X100846518Y-125670740D01* -X100837465Y-125662535D01* -X100829260Y-125653482D01* -X100821982Y-125643668D01* -X100815701Y-125633189D01* -X100810477Y-125622144D01* -X100806361Y-125610640D01* -X100803392Y-125598789D01* -X100801600Y-125586703D01* -X100801000Y-125574500D01* -X100801000Y-124325500D01* -X100801600Y-124313297D01* -X100803392Y-124301211D01* -X100806361Y-124289360D01* -X100810477Y-124277856D01* -X100815701Y-124266811D01* -X100821982Y-124256332D01* -X100829260Y-124246518D01* -X100837465Y-124237465D01* -X100846518Y-124229260D01* -X100856332Y-124221982D01* -X100866811Y-124215701D01* -X100877856Y-124210477D01* -X100889360Y-124206361D01* -X100901211Y-124203392D01* -X100913297Y-124201600D01* -X100925500Y-124201000D01* -X101174500Y-124201000D01* -X101186703Y-124201600D01* -G37* -G36* -X100536703Y-124201600D02* -G01* -X100548789Y-124203392D01* -X100560640Y-124206361D01* -X100572144Y-124210477D01* -X100583189Y-124215701D01* -X100593668Y-124221982D01* -X100603482Y-124229260D01* -X100612535Y-124237465D01* -X100620740Y-124246518D01* -X100628018Y-124256332D01* -X100634299Y-124266811D01* -X100639523Y-124277856D01* -X100643639Y-124289360D01* -X100646608Y-124301211D01* -X100648400Y-124313297D01* -X100649000Y-124325500D01* -X100649000Y-125574500D01* -X100648400Y-125586703D01* -X100646608Y-125598789D01* -X100643639Y-125610640D01* -X100639523Y-125622144D01* -X100634299Y-125633189D01* -X100628018Y-125643668D01* -X100620740Y-125653482D01* -X100612535Y-125662535D01* -X100603482Y-125670740D01* -X100593668Y-125678018D01* -X100583189Y-125684299D01* -X100572144Y-125689523D01* -X100560640Y-125693639D01* -X100548789Y-125696608D01* -X100536703Y-125698400D01* -X100524500Y-125699000D01* -X100275500Y-125699000D01* -X100263297Y-125698400D01* -X100251211Y-125696608D01* -X100239360Y-125693639D01* -X100227856Y-125689523D01* -X100216811Y-125684299D01* -X100206332Y-125678018D01* -X100196518Y-125670740D01* -X100187465Y-125662535D01* -X100179260Y-125653482D01* -X100171982Y-125643668D01* -X100165701Y-125633189D01* -X100160477Y-125622144D01* -X100156361Y-125610640D01* -X100153392Y-125598789D01* -X100151600Y-125586703D01* -X100151000Y-125574500D01* -X100151000Y-124325500D01* -X100151600Y-124313297D01* -X100153392Y-124301211D01* -X100156361Y-124289360D01* -X100160477Y-124277856D01* -X100165701Y-124266811D01* -X100171982Y-124256332D01* -X100179260Y-124246518D01* -X100187465Y-124237465D01* -X100196518Y-124229260D01* -X100206332Y-124221982D01* -X100216811Y-124215701D01* -X100227856Y-124210477D01* -X100239360Y-124206361D01* -X100251211Y-124203392D01* -X100263297Y-124201600D01* -X100275500Y-124201000D01* -X100524500Y-124201000D01* -X100536703Y-124201600D01* -G37* -G36* -X99886703Y-124201600D02* -G01* -X99898789Y-124203392D01* -X99910640Y-124206361D01* -X99922144Y-124210477D01* -X99933189Y-124215701D01* -X99943668Y-124221982D01* -X99953482Y-124229260D01* -X99962535Y-124237465D01* -X99970740Y-124246518D01* -X99978018Y-124256332D01* -X99984299Y-124266811D01* -X99989523Y-124277856D01* -X99993639Y-124289360D01* -X99996608Y-124301211D01* -X99998400Y-124313297D01* -X99999000Y-124325500D01* -X99999000Y-125574500D01* -X99998400Y-125586703D01* -X99996608Y-125598789D01* -X99993639Y-125610640D01* -X99989523Y-125622144D01* -X99984299Y-125633189D01* -X99978018Y-125643668D01* -X99970740Y-125653482D01* -X99962535Y-125662535D01* -X99953482Y-125670740D01* -X99943668Y-125678018D01* -X99933189Y-125684299D01* -X99922144Y-125689523D01* -X99910640Y-125693639D01* -X99898789Y-125696608D01* -X99886703Y-125698400D01* -X99874500Y-125699000D01* -X99625500Y-125699000D01* -X99613297Y-125698400D01* -X99601211Y-125696608D01* -X99589360Y-125693639D01* -X99577856Y-125689523D01* -X99566811Y-125684299D01* -X99556332Y-125678018D01* -X99546518Y-125670740D01* -X99537465Y-125662535D01* -X99529260Y-125653482D01* -X99521982Y-125643668D01* -X99515701Y-125633189D01* -X99510477Y-125622144D01* -X99506361Y-125610640D01* -X99503392Y-125598789D01* -X99501600Y-125586703D01* -X99501000Y-125574500D01* -X99501000Y-124325500D01* -X99501600Y-124313297D01* -X99503392Y-124301211D01* -X99506361Y-124289360D01* -X99510477Y-124277856D01* -X99515701Y-124266811D01* -X99521982Y-124256332D01* -X99529260Y-124246518D01* -X99537465Y-124237465D01* -X99546518Y-124229260D01* -X99556332Y-124221982D01* -X99566811Y-124215701D01* -X99577856Y-124210477D01* -X99589360Y-124206361D01* -X99601211Y-124203392D01* -X99613297Y-124201600D01* -X99625500Y-124201000D01* -X99874500Y-124201000D01* -X99886703Y-124201600D01* -G37* -G36* -X99236703Y-124201600D02* -G01* -X99248789Y-124203392D01* -X99260640Y-124206361D01* -X99272144Y-124210477D01* -X99283189Y-124215701D01* -X99293668Y-124221982D01* -X99303482Y-124229260D01* -X99312535Y-124237465D01* -X99320740Y-124246518D01* -X99328018Y-124256332D01* -X99334299Y-124266811D01* -X99339523Y-124277856D01* -X99343639Y-124289360D01* -X99346608Y-124301211D01* -X99348400Y-124313297D01* -X99349000Y-124325500D01* -X99349000Y-125574500D01* -X99348400Y-125586703D01* -X99346608Y-125598789D01* -X99343639Y-125610640D01* -X99339523Y-125622144D01* -X99334299Y-125633189D01* -X99328018Y-125643668D01* -X99320740Y-125653482D01* -X99312535Y-125662535D01* -X99303482Y-125670740D01* -X99293668Y-125678018D01* -X99283189Y-125684299D01* -X99272144Y-125689523D01* -X99260640Y-125693639D01* -X99248789Y-125696608D01* -X99236703Y-125698400D01* -X99224500Y-125699000D01* -X98975500Y-125699000D01* -X98963297Y-125698400D01* -X98951211Y-125696608D01* -X98939360Y-125693639D01* -X98927856Y-125689523D01* -X98916811Y-125684299D01* -X98906332Y-125678018D01* -X98896518Y-125670740D01* -X98887465Y-125662535D01* -X98879260Y-125653482D01* -X98871982Y-125643668D01* -X98865701Y-125633189D01* -X98860477Y-125622144D01* -X98856361Y-125610640D01* -X98853392Y-125598789D01* -X98851600Y-125586703D01* -X98851000Y-125574500D01* -X98851000Y-124325500D01* -X98851600Y-124313297D01* -X98853392Y-124301211D01* -X98856361Y-124289360D01* -X98860477Y-124277856D01* -X98865701Y-124266811D01* -X98871982Y-124256332D01* -X98879260Y-124246518D01* -X98887465Y-124237465D01* -X98896518Y-124229260D01* -X98906332Y-124221982D01* -X98916811Y-124215701D01* -X98927856Y-124210477D01* -X98939360Y-124206361D01* -X98951211Y-124203392D01* -X98963297Y-124201600D01* -X98975500Y-124201000D01* -X99224500Y-124201000D01* -X99236703Y-124201600D01* -G37* -G36* -X98586703Y-124201600D02* -G01* -X98598789Y-124203392D01* -X98610640Y-124206361D01* -X98622144Y-124210477D01* -X98633189Y-124215701D01* -X98643668Y-124221982D01* -X98653482Y-124229260D01* -X98662535Y-124237465D01* -X98670740Y-124246518D01* -X98678018Y-124256332D01* -X98684299Y-124266811D01* -X98689523Y-124277856D01* -X98693639Y-124289360D01* -X98696608Y-124301211D01* -X98698400Y-124313297D01* -X98699000Y-124325500D01* -X98699000Y-125574500D01* -X98698400Y-125586703D01* -X98696608Y-125598789D01* -X98693639Y-125610640D01* -X98689523Y-125622144D01* -X98684299Y-125633189D01* -X98678018Y-125643668D01* -X98670740Y-125653482D01* -X98662535Y-125662535D01* -X98653482Y-125670740D01* -X98643668Y-125678018D01* -X98633189Y-125684299D01* -X98622144Y-125689523D01* -X98610640Y-125693639D01* -X98598789Y-125696608D01* -X98586703Y-125698400D01* -X98574500Y-125699000D01* -X98325500Y-125699000D01* -X98313297Y-125698400D01* -X98301211Y-125696608D01* -X98289360Y-125693639D01* -X98277856Y-125689523D01* -X98266811Y-125684299D01* -X98256332Y-125678018D01* -X98246518Y-125670740D01* -X98237465Y-125662535D01* -X98229260Y-125653482D01* -X98221982Y-125643668D01* -X98215701Y-125633189D01* -X98210477Y-125622144D01* -X98206361Y-125610640D01* -X98203392Y-125598789D01* -X98201600Y-125586703D01* -X98201000Y-125574500D01* -X98201000Y-124325500D01* -X98201600Y-124313297D01* -X98203392Y-124301211D01* -X98206361Y-124289360D01* -X98210477Y-124277856D01* -X98215701Y-124266811D01* -X98221982Y-124256332D01* -X98229260Y-124246518D01* -X98237465Y-124237465D01* -X98246518Y-124229260D01* -X98256332Y-124221982D01* -X98266811Y-124215701D01* -X98277856Y-124210477D01* -X98289360Y-124206361D01* -X98301211Y-124203392D01* -X98313297Y-124201600D01* -X98325500Y-124201000D01* -X98574500Y-124201000D01* -X98586703Y-124201600D01* -G37* -G36* -X97936703Y-124201600D02* -G01* -X97948789Y-124203392D01* -X97960640Y-124206361D01* -X97972144Y-124210477D01* -X97983189Y-124215701D01* -X97993668Y-124221982D01* -X98003482Y-124229260D01* -X98012535Y-124237465D01* -X98020740Y-124246518D01* -X98028018Y-124256332D01* -X98034299Y-124266811D01* -X98039523Y-124277856D01* -X98043639Y-124289360D01* -X98046608Y-124301211D01* -X98048400Y-124313297D01* -X98049000Y-124325500D01* -X98049000Y-125574500D01* -X98048400Y-125586703D01* -X98046608Y-125598789D01* -X98043639Y-125610640D01* -X98039523Y-125622144D01* -X98034299Y-125633189D01* -X98028018Y-125643668D01* -X98020740Y-125653482D01* -X98012535Y-125662535D01* -X98003482Y-125670740D01* -X97993668Y-125678018D01* -X97983189Y-125684299D01* -X97972144Y-125689523D01* -X97960640Y-125693639D01* -X97948789Y-125696608D01* -X97936703Y-125698400D01* -X97924500Y-125699000D01* -X97675500Y-125699000D01* -X97663297Y-125698400D01* -X97651211Y-125696608D01* -X97639360Y-125693639D01* -X97627856Y-125689523D01* -X97616811Y-125684299D01* -X97606332Y-125678018D01* -X97596518Y-125670740D01* -X97587465Y-125662535D01* -X97579260Y-125653482D01* -X97571982Y-125643668D01* -X97565701Y-125633189D01* -X97560477Y-125622144D01* -X97556361Y-125610640D01* -X97553392Y-125598789D01* -X97551600Y-125586703D01* -X97551000Y-125574500D01* -X97551000Y-124325500D01* -X97551600Y-124313297D01* -X97553392Y-124301211D01* -X97556361Y-124289360D01* -X97560477Y-124277856D01* -X97565701Y-124266811D01* -X97571982Y-124256332D01* -X97579260Y-124246518D01* -X97587465Y-124237465D01* -X97596518Y-124229260D01* -X97606332Y-124221982D01* -X97616811Y-124215701D01* -X97627856Y-124210477D01* -X97639360Y-124206361D01* -X97651211Y-124203392D01* -X97663297Y-124201600D01* -X97675500Y-124201000D01* -X97924500Y-124201000D01* -X97936703Y-124201600D01* -G37* -G36* -X97286703Y-124201600D02* -G01* -X97298789Y-124203392D01* -X97310640Y-124206361D01* -X97322144Y-124210477D01* -X97333189Y-124215701D01* -X97343668Y-124221982D01* -X97353482Y-124229260D01* -X97362535Y-124237465D01* -X97370740Y-124246518D01* -X97378018Y-124256332D01* -X97384299Y-124266811D01* -X97389523Y-124277856D01* -X97393639Y-124289360D01* -X97396608Y-124301211D01* -X97398400Y-124313297D01* -X97399000Y-124325500D01* -X97399000Y-125574500D01* -X97398400Y-125586703D01* -X97396608Y-125598789D01* -X97393639Y-125610640D01* -X97389523Y-125622144D01* -X97384299Y-125633189D01* -X97378018Y-125643668D01* -X97370740Y-125653482D01* -X97362535Y-125662535D01* -X97353482Y-125670740D01* -X97343668Y-125678018D01* -X97333189Y-125684299D01* -X97322144Y-125689523D01* -X97310640Y-125693639D01* -X97298789Y-125696608D01* -X97286703Y-125698400D01* -X97274500Y-125699000D01* -X97025500Y-125699000D01* -X97013297Y-125698400D01* -X97001211Y-125696608D01* -X96989360Y-125693639D01* -X96977856Y-125689523D01* -X96966811Y-125684299D01* -X96956332Y-125678018D01* -X96946518Y-125670740D01* -X96937465Y-125662535D01* -X96929260Y-125653482D01* -X96921982Y-125643668D01* -X96915701Y-125633189D01* -X96910477Y-125622144D01* -X96906361Y-125610640D01* -X96903392Y-125598789D01* -X96901600Y-125586703D01* -X96901000Y-125574500D01* -X96901000Y-124325500D01* -X96901600Y-124313297D01* -X96903392Y-124301211D01* -X96906361Y-124289360D01* -X96910477Y-124277856D01* -X96915701Y-124266811D01* -X96921982Y-124256332D01* -X96929260Y-124246518D01* -X96937465Y-124237465D01* -X96946518Y-124229260D01* -X96956332Y-124221982D01* -X96966811Y-124215701D01* -X96977856Y-124210477D01* -X96989360Y-124206361D01* -X97001211Y-124203392D01* -X97013297Y-124201600D01* -X97025500Y-124201000D01* -X97274500Y-124201000D01* -X97286703Y-124201600D01* -G37* -G36* -X96636703Y-124201600D02* -G01* -X96648789Y-124203392D01* -X96660640Y-124206361D01* -X96672144Y-124210477D01* -X96683189Y-124215701D01* -X96693668Y-124221982D01* -X96703482Y-124229260D01* -X96712535Y-124237465D01* -X96720740Y-124246518D01* -X96728018Y-124256332D01* -X96734299Y-124266811D01* -X96739523Y-124277856D01* -X96743639Y-124289360D01* -X96746608Y-124301211D01* -X96748400Y-124313297D01* -X96749000Y-124325500D01* -X96749000Y-125574500D01* -X96748400Y-125586703D01* -X96746608Y-125598789D01* -X96743639Y-125610640D01* -X96739523Y-125622144D01* -X96734299Y-125633189D01* -X96728018Y-125643668D01* -X96720740Y-125653482D01* -X96712535Y-125662535D01* -X96703482Y-125670740D01* -X96693668Y-125678018D01* -X96683189Y-125684299D01* -X96672144Y-125689523D01* -X96660640Y-125693639D01* -X96648789Y-125696608D01* -X96636703Y-125698400D01* -X96624500Y-125699000D01* -X96375500Y-125699000D01* -X96363297Y-125698400D01* -X96351211Y-125696608D01* -X96339360Y-125693639D01* -X96327856Y-125689523D01* -X96316811Y-125684299D01* -X96306332Y-125678018D01* -X96296518Y-125670740D01* -X96287465Y-125662535D01* -X96279260Y-125653482D01* -X96271982Y-125643668D01* -X96265701Y-125633189D01* -X96260477Y-125622144D01* -X96256361Y-125610640D01* -X96253392Y-125598789D01* -X96251600Y-125586703D01* -X96251000Y-125574500D01* -X96251000Y-124325500D01* -X96251600Y-124313297D01* -X96253392Y-124301211D01* -X96256361Y-124289360D01* -X96260477Y-124277856D01* -X96265701Y-124266811D01* -X96271982Y-124256332D01* -X96279260Y-124246518D01* -X96287465Y-124237465D01* -X96296518Y-124229260D01* -X96306332Y-124221982D01* -X96316811Y-124215701D01* -X96327856Y-124210477D01* -X96339360Y-124206361D01* -X96351211Y-124203392D01* -X96363297Y-124201600D01* -X96375500Y-124201000D01* -X96624500Y-124201000D01* -X96636703Y-124201600D01* -G37* -G36* -X104060779Y-99676144D02* -G01* -X104083834Y-99679563D01* -X104106443Y-99685227D01* -X104128387Y-99693079D01* -X104149457Y-99703044D01* -X104169448Y-99715026D01* -X104188168Y-99728910D01* -X104205438Y-99744562D01* -X104221090Y-99761832D01* -X104234974Y-99780552D01* -X104246956Y-99800543D01* -X104256921Y-99821613D01* -X104264773Y-99843557D01* -X104270437Y-99866166D01* -X104273856Y-99889221D01* -X104275000Y-99912500D01* -X104275000Y-100387500D01* -X104273856Y-100410779D01* -X104270437Y-100433834D01* -X104264773Y-100456443D01* -X104256921Y-100478387D01* -X104246956Y-100499457D01* -X104234974Y-100519448D01* -X104221090Y-100538168D01* -X104205438Y-100555438D01* -X104188168Y-100571090D01* -X104169448Y-100584974D01* -X104149457Y-100596956D01* -X104128387Y-100606921D01* -X104106443Y-100614773D01* -X104083834Y-100620437D01* -X104060779Y-100623856D01* -X104037500Y-100625000D01* -X103462500Y-100625000D01* -X103439221Y-100623856D01* -X103416166Y-100620437D01* -X103393557Y-100614773D01* -X103371613Y-100606921D01* -X103350543Y-100596956D01* -X103330552Y-100584974D01* -X103311832Y-100571090D01* -X103294562Y-100555438D01* -X103278910Y-100538168D01* -X103265026Y-100519448D01* -X103253044Y-100499457D01* -X103243079Y-100478387D01* -X103235227Y-100456443D01* -X103229563Y-100433834D01* -X103226144Y-100410779D01* -X103225000Y-100387500D01* -X103225000Y-99912500D01* -X103226144Y-99889221D01* -X103229563Y-99866166D01* -X103235227Y-99843557D01* -X103243079Y-99821613D01* -X103253044Y-99800543D01* -X103265026Y-99780552D01* -X103278910Y-99761832D01* -X103294562Y-99744562D01* -X103311832Y-99728910D01* -X103330552Y-99715026D01* -X103350543Y-99703044D01* -X103371613Y-99693079D01* -X103393557Y-99685227D01* -X103416166Y-99679563D01* -X103439221Y-99676144D01* -X103462500Y-99675000D01* -X104037500Y-99675000D01* -X104060779Y-99676144D01* -G37* -G36* -X104060779Y-101176144D02* -G01* -X104083834Y-101179563D01* -X104106443Y-101185227D01* -X104128387Y-101193079D01* -X104149457Y-101203044D01* -X104169448Y-101215026D01* -X104188168Y-101228910D01* -X104205438Y-101244562D01* -X104221090Y-101261832D01* -X104234974Y-101280552D01* -X104246956Y-101300543D01* -X104256921Y-101321613D01* -X104264773Y-101343557D01* -X104270437Y-101366166D01* -X104273856Y-101389221D01* -X104275000Y-101412500D01* -X104275000Y-101887500D01* -X104273856Y-101910779D01* -X104270437Y-101933834D01* -X104264773Y-101956443D01* -X104256921Y-101978387D01* -X104246956Y-101999457D01* -X104234974Y-102019448D01* -X104221090Y-102038168D01* -X104205438Y-102055438D01* -X104188168Y-102071090D01* -X104169448Y-102084974D01* -X104149457Y-102096956D01* -X104128387Y-102106921D01* -X104106443Y-102114773D01* -X104083834Y-102120437D01* -X104060779Y-102123856D01* -X104037500Y-102125000D01* -X103462500Y-102125000D01* -X103439221Y-102123856D01* -X103416166Y-102120437D01* -X103393557Y-102114773D01* -X103371613Y-102106921D01* -X103350543Y-102096956D01* -X103330552Y-102084974D01* -X103311832Y-102071090D01* -X103294562Y-102055438D01* -X103278910Y-102038168D01* -X103265026Y-102019448D01* -X103253044Y-101999457D01* -X103243079Y-101978387D01* -X103235227Y-101956443D01* -X103229563Y-101933834D01* -X103226144Y-101910779D01* -X103225000Y-101887500D01* -X103225000Y-101412500D01* -X103226144Y-101389221D01* -X103229563Y-101366166D01* -X103235227Y-101343557D01* -X103243079Y-101321613D01* -X103253044Y-101300543D01* -X103265026Y-101280552D01* -X103278910Y-101261832D01* -X103294562Y-101244562D01* -X103311832Y-101228910D01* -X103330552Y-101215026D01* -X103350543Y-101203044D01* -X103371613Y-101193079D01* -X103393557Y-101185227D01* -X103416166Y-101179563D01* -X103439221Y-101176144D01* -X103462500Y-101175000D01* -X104037500Y-101175000D01* -X104060779Y-101176144D01* -G37* -G36* -X87436703Y-118301600D02* -G01* -X87448789Y-118303392D01* -X87460640Y-118306361D01* -X87472144Y-118310477D01* -X87483189Y-118315701D01* -X87493668Y-118321982D01* -X87503482Y-118329260D01* -X87512535Y-118337465D01* -X87520740Y-118346518D01* -X87528018Y-118356332D01* -X87534299Y-118366811D01* -X87539523Y-118377856D01* -X87543639Y-118389360D01* -X87546608Y-118401211D01* -X87548400Y-118413297D01* -X87549000Y-118425500D01* -X87549000Y-119674500D01* -X87548400Y-119686703D01* -X87546608Y-119698789D01* -X87543639Y-119710640D01* -X87539523Y-119722144D01* -X87534299Y-119733189D01* -X87528018Y-119743668D01* -X87520740Y-119753482D01* -X87512535Y-119762535D01* -X87503482Y-119770740D01* -X87493668Y-119778018D01* -X87483189Y-119784299D01* -X87472144Y-119789523D01* -X87460640Y-119793639D01* -X87448789Y-119796608D01* -X87436703Y-119798400D01* -X87424500Y-119799000D01* -X87175500Y-119799000D01* -X87163297Y-119798400D01* -X87151211Y-119796608D01* -X87139360Y-119793639D01* -X87127856Y-119789523D01* -X87116811Y-119784299D01* -X87106332Y-119778018D01* -X87096518Y-119770740D01* -X87087465Y-119762535D01* -X87079260Y-119753482D01* -X87071982Y-119743668D01* -X87065701Y-119733189D01* -X87060477Y-119722144D01* -X87056361Y-119710640D01* -X87053392Y-119698789D01* -X87051600Y-119686703D01* -X87051000Y-119674500D01* -X87051000Y-118425500D01* -X87051600Y-118413297D01* -X87053392Y-118401211D01* -X87056361Y-118389360D01* -X87060477Y-118377856D01* -X87065701Y-118366811D01* -X87071982Y-118356332D01* -X87079260Y-118346518D01* -X87087465Y-118337465D01* -X87096518Y-118329260D01* -X87106332Y-118321982D01* -X87116811Y-118315701D01* -X87127856Y-118310477D01* -X87139360Y-118306361D01* -X87151211Y-118303392D01* -X87163297Y-118301600D01* -X87175500Y-118301000D01* -X87424500Y-118301000D01* -X87436703Y-118301600D01* -G37* -G36* -X88086703Y-118301600D02* -G01* -X88098789Y-118303392D01* -X88110640Y-118306361D01* -X88122144Y-118310477D01* -X88133189Y-118315701D01* -X88143668Y-118321982D01* -X88153482Y-118329260D01* -X88162535Y-118337465D01* -X88170740Y-118346518D01* -X88178018Y-118356332D01* -X88184299Y-118366811D01* -X88189523Y-118377856D01* -X88193639Y-118389360D01* -X88196608Y-118401211D01* -X88198400Y-118413297D01* -X88199000Y-118425500D01* -X88199000Y-119674500D01* -X88198400Y-119686703D01* -X88196608Y-119698789D01* -X88193639Y-119710640D01* -X88189523Y-119722144D01* -X88184299Y-119733189D01* -X88178018Y-119743668D01* -X88170740Y-119753482D01* -X88162535Y-119762535D01* -X88153482Y-119770740D01* -X88143668Y-119778018D01* -X88133189Y-119784299D01* -X88122144Y-119789523D01* -X88110640Y-119793639D01* -X88098789Y-119796608D01* -X88086703Y-119798400D01* -X88074500Y-119799000D01* -X87825500Y-119799000D01* -X87813297Y-119798400D01* -X87801211Y-119796608D01* -X87789360Y-119793639D01* -X87777856Y-119789523D01* -X87766811Y-119784299D01* -X87756332Y-119778018D01* -X87746518Y-119770740D01* -X87737465Y-119762535D01* -X87729260Y-119753482D01* -X87721982Y-119743668D01* -X87715701Y-119733189D01* -X87710477Y-119722144D01* -X87706361Y-119710640D01* -X87703392Y-119698789D01* -X87701600Y-119686703D01* -X87701000Y-119674500D01* -X87701000Y-118425500D01* -X87701600Y-118413297D01* -X87703392Y-118401211D01* -X87706361Y-118389360D01* -X87710477Y-118377856D01* -X87715701Y-118366811D01* -X87721982Y-118356332D01* -X87729260Y-118346518D01* -X87737465Y-118337465D01* -X87746518Y-118329260D01* -X87756332Y-118321982D01* -X87766811Y-118315701D01* -X87777856Y-118310477D01* -X87789360Y-118306361D01* -X87801211Y-118303392D01* -X87813297Y-118301600D01* -X87825500Y-118301000D01* -X88074500Y-118301000D01* -X88086703Y-118301600D01* -G37* -G36* -X88736703Y-118301600D02* -G01* -X88748789Y-118303392D01* -X88760640Y-118306361D01* -X88772144Y-118310477D01* -X88783189Y-118315701D01* -X88793668Y-118321982D01* -X88803482Y-118329260D01* -X88812535Y-118337465D01* -X88820740Y-118346518D01* -X88828018Y-118356332D01* -X88834299Y-118366811D01* -X88839523Y-118377856D01* -X88843639Y-118389360D01* -X88846608Y-118401211D01* -X88848400Y-118413297D01* -X88849000Y-118425500D01* -X88849000Y-119674500D01* -X88848400Y-119686703D01* -X88846608Y-119698789D01* -X88843639Y-119710640D01* -X88839523Y-119722144D01* -X88834299Y-119733189D01* -X88828018Y-119743668D01* -X88820740Y-119753482D01* -X88812535Y-119762535D01* -X88803482Y-119770740D01* -X88793668Y-119778018D01* -X88783189Y-119784299D01* -X88772144Y-119789523D01* -X88760640Y-119793639D01* -X88748789Y-119796608D01* -X88736703Y-119798400D01* -X88724500Y-119799000D01* -X88475500Y-119799000D01* -X88463297Y-119798400D01* -X88451211Y-119796608D01* -X88439360Y-119793639D01* -X88427856Y-119789523D01* -X88416811Y-119784299D01* -X88406332Y-119778018D01* -X88396518Y-119770740D01* -X88387465Y-119762535D01* -X88379260Y-119753482D01* -X88371982Y-119743668D01* -X88365701Y-119733189D01* -X88360477Y-119722144D01* -X88356361Y-119710640D01* -X88353392Y-119698789D01* -X88351600Y-119686703D01* -X88351000Y-119674500D01* -X88351000Y-118425500D01* -X88351600Y-118413297D01* -X88353392Y-118401211D01* -X88356361Y-118389360D01* -X88360477Y-118377856D01* -X88365701Y-118366811D01* -X88371982Y-118356332D01* -X88379260Y-118346518D01* -X88387465Y-118337465D01* -X88396518Y-118329260D01* -X88406332Y-118321982D01* -X88416811Y-118315701D01* -X88427856Y-118310477D01* -X88439360Y-118306361D01* -X88451211Y-118303392D01* -X88463297Y-118301600D01* -X88475500Y-118301000D01* -X88724500Y-118301000D01* -X88736703Y-118301600D01* -G37* -G36* -X89386703Y-118301600D02* -G01* -X89398789Y-118303392D01* -X89410640Y-118306361D01* -X89422144Y-118310477D01* -X89433189Y-118315701D01* -X89443668Y-118321982D01* -X89453482Y-118329260D01* -X89462535Y-118337465D01* -X89470740Y-118346518D01* -X89478018Y-118356332D01* -X89484299Y-118366811D01* -X89489523Y-118377856D01* -X89493639Y-118389360D01* -X89496608Y-118401211D01* -X89498400Y-118413297D01* -X89499000Y-118425500D01* -X89499000Y-119674500D01* -X89498400Y-119686703D01* -X89496608Y-119698789D01* -X89493639Y-119710640D01* -X89489523Y-119722144D01* -X89484299Y-119733189D01* -X89478018Y-119743668D01* -X89470740Y-119753482D01* -X89462535Y-119762535D01* -X89453482Y-119770740D01* -X89443668Y-119778018D01* -X89433189Y-119784299D01* -X89422144Y-119789523D01* -X89410640Y-119793639D01* -X89398789Y-119796608D01* -X89386703Y-119798400D01* -X89374500Y-119799000D01* -X89125500Y-119799000D01* -X89113297Y-119798400D01* -X89101211Y-119796608D01* -X89089360Y-119793639D01* -X89077856Y-119789523D01* -X89066811Y-119784299D01* -X89056332Y-119778018D01* -X89046518Y-119770740D01* -X89037465Y-119762535D01* -X89029260Y-119753482D01* -X89021982Y-119743668D01* -X89015701Y-119733189D01* -X89010477Y-119722144D01* -X89006361Y-119710640D01* -X89003392Y-119698789D01* -X89001600Y-119686703D01* -X89001000Y-119674500D01* -X89001000Y-118425500D01* -X89001600Y-118413297D01* -X89003392Y-118401211D01* -X89006361Y-118389360D01* -X89010477Y-118377856D01* -X89015701Y-118366811D01* -X89021982Y-118356332D01* -X89029260Y-118346518D01* -X89037465Y-118337465D01* -X89046518Y-118329260D01* -X89056332Y-118321982D01* -X89066811Y-118315701D01* -X89077856Y-118310477D01* -X89089360Y-118306361D01* -X89101211Y-118303392D01* -X89113297Y-118301600D01* -X89125500Y-118301000D01* -X89374500Y-118301000D01* -X89386703Y-118301600D01* -G37* -G36* -X90036703Y-118301600D02* -G01* -X90048789Y-118303392D01* -X90060640Y-118306361D01* -X90072144Y-118310477D01* -X90083189Y-118315701D01* -X90093668Y-118321982D01* -X90103482Y-118329260D01* -X90112535Y-118337465D01* -X90120740Y-118346518D01* -X90128018Y-118356332D01* -X90134299Y-118366811D01* -X90139523Y-118377856D01* -X90143639Y-118389360D01* -X90146608Y-118401211D01* -X90148400Y-118413297D01* -X90149000Y-118425500D01* -X90149000Y-119674500D01* -X90148400Y-119686703D01* -X90146608Y-119698789D01* -X90143639Y-119710640D01* -X90139523Y-119722144D01* -X90134299Y-119733189D01* -X90128018Y-119743668D01* -X90120740Y-119753482D01* -X90112535Y-119762535D01* -X90103482Y-119770740D01* -X90093668Y-119778018D01* -X90083189Y-119784299D01* -X90072144Y-119789523D01* -X90060640Y-119793639D01* -X90048789Y-119796608D01* -X90036703Y-119798400D01* -X90024500Y-119799000D01* -X89775500Y-119799000D01* -X89763297Y-119798400D01* -X89751211Y-119796608D01* -X89739360Y-119793639D01* -X89727856Y-119789523D01* -X89716811Y-119784299D01* -X89706332Y-119778018D01* -X89696518Y-119770740D01* -X89687465Y-119762535D01* -X89679260Y-119753482D01* -X89671982Y-119743668D01* -X89665701Y-119733189D01* -X89660477Y-119722144D01* -X89656361Y-119710640D01* -X89653392Y-119698789D01* -X89651600Y-119686703D01* -X89651000Y-119674500D01* -X89651000Y-118425500D01* -X89651600Y-118413297D01* -X89653392Y-118401211D01* -X89656361Y-118389360D01* -X89660477Y-118377856D01* -X89665701Y-118366811D01* -X89671982Y-118356332D01* -X89679260Y-118346518D01* -X89687465Y-118337465D01* -X89696518Y-118329260D01* -X89706332Y-118321982D01* -X89716811Y-118315701D01* -X89727856Y-118310477D01* -X89739360Y-118306361D01* -X89751211Y-118303392D01* -X89763297Y-118301600D01* -X89775500Y-118301000D01* -X90024500Y-118301000D01* -X90036703Y-118301600D01* -G37* -G36* -X90686703Y-118301600D02* -G01* -X90698789Y-118303392D01* -X90710640Y-118306361D01* -X90722144Y-118310477D01* -X90733189Y-118315701D01* -X90743668Y-118321982D01* -X90753482Y-118329260D01* -X90762535Y-118337465D01* -X90770740Y-118346518D01* -X90778018Y-118356332D01* -X90784299Y-118366811D01* -X90789523Y-118377856D01* -X90793639Y-118389360D01* -X90796608Y-118401211D01* -X90798400Y-118413297D01* -X90799000Y-118425500D01* -X90799000Y-119674500D01* -X90798400Y-119686703D01* -X90796608Y-119698789D01* -X90793639Y-119710640D01* -X90789523Y-119722144D01* -X90784299Y-119733189D01* -X90778018Y-119743668D01* -X90770740Y-119753482D01* -X90762535Y-119762535D01* -X90753482Y-119770740D01* -X90743668Y-119778018D01* -X90733189Y-119784299D01* -X90722144Y-119789523D01* -X90710640Y-119793639D01* -X90698789Y-119796608D01* -X90686703Y-119798400D01* -X90674500Y-119799000D01* -X90425500Y-119799000D01* -X90413297Y-119798400D01* -X90401211Y-119796608D01* -X90389360Y-119793639D01* -X90377856Y-119789523D01* -X90366811Y-119784299D01* -X90356332Y-119778018D01* -X90346518Y-119770740D01* -X90337465Y-119762535D01* -X90329260Y-119753482D01* -X90321982Y-119743668D01* -X90315701Y-119733189D01* -X90310477Y-119722144D01* -X90306361Y-119710640D01* -X90303392Y-119698789D01* -X90301600Y-119686703D01* -X90301000Y-119674500D01* -X90301000Y-118425500D01* -X90301600Y-118413297D01* -X90303392Y-118401211D01* -X90306361Y-118389360D01* -X90310477Y-118377856D01* -X90315701Y-118366811D01* -X90321982Y-118356332D01* -X90329260Y-118346518D01* -X90337465Y-118337465D01* -X90346518Y-118329260D01* -X90356332Y-118321982D01* -X90366811Y-118315701D01* -X90377856Y-118310477D01* -X90389360Y-118306361D01* -X90401211Y-118303392D01* -X90413297Y-118301600D01* -X90425500Y-118301000D01* -X90674500Y-118301000D01* -X90686703Y-118301600D01* -G37* -G36* -X91336703Y-118301600D02* -G01* -X91348789Y-118303392D01* -X91360640Y-118306361D01* -X91372144Y-118310477D01* -X91383189Y-118315701D01* -X91393668Y-118321982D01* -X91403482Y-118329260D01* -X91412535Y-118337465D01* -X91420740Y-118346518D01* -X91428018Y-118356332D01* -X91434299Y-118366811D01* -X91439523Y-118377856D01* -X91443639Y-118389360D01* -X91446608Y-118401211D01* -X91448400Y-118413297D01* -X91449000Y-118425500D01* -X91449000Y-119674500D01* -X91448400Y-119686703D01* -X91446608Y-119698789D01* -X91443639Y-119710640D01* -X91439523Y-119722144D01* -X91434299Y-119733189D01* -X91428018Y-119743668D01* -X91420740Y-119753482D01* -X91412535Y-119762535D01* -X91403482Y-119770740D01* -X91393668Y-119778018D01* -X91383189Y-119784299D01* -X91372144Y-119789523D01* -X91360640Y-119793639D01* -X91348789Y-119796608D01* -X91336703Y-119798400D01* -X91324500Y-119799000D01* -X91075500Y-119799000D01* -X91063297Y-119798400D01* -X91051211Y-119796608D01* -X91039360Y-119793639D01* -X91027856Y-119789523D01* -X91016811Y-119784299D01* -X91006332Y-119778018D01* -X90996518Y-119770740D01* -X90987465Y-119762535D01* -X90979260Y-119753482D01* -X90971982Y-119743668D01* -X90965701Y-119733189D01* -X90960477Y-119722144D01* -X90956361Y-119710640D01* -X90953392Y-119698789D01* -X90951600Y-119686703D01* -X90951000Y-119674500D01* -X90951000Y-118425500D01* -X90951600Y-118413297D01* -X90953392Y-118401211D01* -X90956361Y-118389360D01* -X90960477Y-118377856D01* -X90965701Y-118366811D01* -X90971982Y-118356332D01* -X90979260Y-118346518D01* -X90987465Y-118337465D01* -X90996518Y-118329260D01* -X91006332Y-118321982D01* -X91016811Y-118315701D01* -X91027856Y-118310477D01* -X91039360Y-118306361D01* -X91051211Y-118303392D01* -X91063297Y-118301600D01* -X91075500Y-118301000D01* -X91324500Y-118301000D01* -X91336703Y-118301600D01* -G37* -G36* -X91986703Y-118301600D02* -G01* -X91998789Y-118303392D01* -X92010640Y-118306361D01* -X92022144Y-118310477D01* -X92033189Y-118315701D01* -X92043668Y-118321982D01* -X92053482Y-118329260D01* -X92062535Y-118337465D01* -X92070740Y-118346518D01* -X92078018Y-118356332D01* -X92084299Y-118366811D01* -X92089523Y-118377856D01* -X92093639Y-118389360D01* -X92096608Y-118401211D01* -X92098400Y-118413297D01* -X92099000Y-118425500D01* -X92099000Y-119674500D01* -X92098400Y-119686703D01* -X92096608Y-119698789D01* -X92093639Y-119710640D01* -X92089523Y-119722144D01* -X92084299Y-119733189D01* -X92078018Y-119743668D01* -X92070740Y-119753482D01* -X92062535Y-119762535D01* -X92053482Y-119770740D01* -X92043668Y-119778018D01* -X92033189Y-119784299D01* -X92022144Y-119789523D01* -X92010640Y-119793639D01* -X91998789Y-119796608D01* -X91986703Y-119798400D01* -X91974500Y-119799000D01* -X91725500Y-119799000D01* -X91713297Y-119798400D01* -X91701211Y-119796608D01* -X91689360Y-119793639D01* -X91677856Y-119789523D01* -X91666811Y-119784299D01* -X91656332Y-119778018D01* -X91646518Y-119770740D01* -X91637465Y-119762535D01* -X91629260Y-119753482D01* -X91621982Y-119743668D01* -X91615701Y-119733189D01* -X91610477Y-119722144D01* -X91606361Y-119710640D01* -X91603392Y-119698789D01* -X91601600Y-119686703D01* -X91601000Y-119674500D01* -X91601000Y-118425500D01* -X91601600Y-118413297D01* -X91603392Y-118401211D01* -X91606361Y-118389360D01* -X91610477Y-118377856D01* -X91615701Y-118366811D01* -X91621982Y-118356332D01* -X91629260Y-118346518D01* -X91637465Y-118337465D01* -X91646518Y-118329260D01* -X91656332Y-118321982D01* -X91666811Y-118315701D01* -X91677856Y-118310477D01* -X91689360Y-118306361D01* -X91701211Y-118303392D01* -X91713297Y-118301600D01* -X91725500Y-118301000D01* -X91974500Y-118301000D01* -X91986703Y-118301600D01* -G37* -G36* -X92636703Y-118301600D02* -G01* -X92648789Y-118303392D01* -X92660640Y-118306361D01* -X92672144Y-118310477D01* -X92683189Y-118315701D01* -X92693668Y-118321982D01* -X92703482Y-118329260D01* -X92712535Y-118337465D01* -X92720740Y-118346518D01* -X92728018Y-118356332D01* -X92734299Y-118366811D01* -X92739523Y-118377856D01* -X92743639Y-118389360D01* -X92746608Y-118401211D01* -X92748400Y-118413297D01* -X92749000Y-118425500D01* -X92749000Y-119674500D01* -X92748400Y-119686703D01* -X92746608Y-119698789D01* -X92743639Y-119710640D01* -X92739523Y-119722144D01* -X92734299Y-119733189D01* -X92728018Y-119743668D01* -X92720740Y-119753482D01* -X92712535Y-119762535D01* -X92703482Y-119770740D01* -X92693668Y-119778018D01* -X92683189Y-119784299D01* -X92672144Y-119789523D01* -X92660640Y-119793639D01* -X92648789Y-119796608D01* -X92636703Y-119798400D01* -X92624500Y-119799000D01* -X92375500Y-119799000D01* -X92363297Y-119798400D01* -X92351211Y-119796608D01* -X92339360Y-119793639D01* -X92327856Y-119789523D01* -X92316811Y-119784299D01* -X92306332Y-119778018D01* -X92296518Y-119770740D01* -X92287465Y-119762535D01* -X92279260Y-119753482D01* -X92271982Y-119743668D01* -X92265701Y-119733189D01* -X92260477Y-119722144D01* -X92256361Y-119710640D01* -X92253392Y-119698789D01* -X92251600Y-119686703D01* -X92251000Y-119674500D01* -X92251000Y-118425500D01* -X92251600Y-118413297D01* -X92253392Y-118401211D01* -X92256361Y-118389360D01* -X92260477Y-118377856D01* -X92265701Y-118366811D01* -X92271982Y-118356332D01* -X92279260Y-118346518D01* -X92287465Y-118337465D01* -X92296518Y-118329260D01* -X92306332Y-118321982D01* -X92316811Y-118315701D01* -X92327856Y-118310477D01* -X92339360Y-118306361D01* -X92351211Y-118303392D01* -X92363297Y-118301600D01* -X92375500Y-118301000D01* -X92624500Y-118301000D01* -X92636703Y-118301600D01* -G37* -G36* -X93286703Y-118301600D02* -G01* -X93298789Y-118303392D01* -X93310640Y-118306361D01* -X93322144Y-118310477D01* -X93333189Y-118315701D01* -X93343668Y-118321982D01* -X93353482Y-118329260D01* -X93362535Y-118337465D01* -X93370740Y-118346518D01* -X93378018Y-118356332D01* -X93384299Y-118366811D01* -X93389523Y-118377856D01* -X93393639Y-118389360D01* -X93396608Y-118401211D01* -X93398400Y-118413297D01* -X93399000Y-118425500D01* -X93399000Y-119674500D01* -X93398400Y-119686703D01* -X93396608Y-119698789D01* -X93393639Y-119710640D01* -X93389523Y-119722144D01* -X93384299Y-119733189D01* -X93378018Y-119743668D01* -X93370740Y-119753482D01* -X93362535Y-119762535D01* -X93353482Y-119770740D01* -X93343668Y-119778018D01* -X93333189Y-119784299D01* -X93322144Y-119789523D01* -X93310640Y-119793639D01* -X93298789Y-119796608D01* -X93286703Y-119798400D01* -X93274500Y-119799000D01* -X93025500Y-119799000D01* -X93013297Y-119798400D01* -X93001211Y-119796608D01* -X92989360Y-119793639D01* -X92977856Y-119789523D01* -X92966811Y-119784299D01* -X92956332Y-119778018D01* -X92946518Y-119770740D01* -X92937465Y-119762535D01* -X92929260Y-119753482D01* -X92921982Y-119743668D01* -X92915701Y-119733189D01* -X92910477Y-119722144D01* -X92906361Y-119710640D01* -X92903392Y-119698789D01* -X92901600Y-119686703D01* -X92901000Y-119674500D01* -X92901000Y-118425500D01* -X92901600Y-118413297D01* -X92903392Y-118401211D01* -X92906361Y-118389360D01* -X92910477Y-118377856D01* -X92915701Y-118366811D01* -X92921982Y-118356332D01* -X92929260Y-118346518D01* -X92937465Y-118337465D01* -X92946518Y-118329260D01* -X92956332Y-118321982D01* -X92966811Y-118315701D01* -X92977856Y-118310477D01* -X92989360Y-118306361D01* -X93001211Y-118303392D01* -X93013297Y-118301600D01* -X93025500Y-118301000D01* -X93274500Y-118301000D01* -X93286703Y-118301600D01* -G37* -G36* -X93286703Y-124201600D02* -G01* -X93298789Y-124203392D01* -X93310640Y-124206361D01* -X93322144Y-124210477D01* -X93333189Y-124215701D01* -X93343668Y-124221982D01* -X93353482Y-124229260D01* -X93362535Y-124237465D01* -X93370740Y-124246518D01* -X93378018Y-124256332D01* -X93384299Y-124266811D01* -X93389523Y-124277856D01* -X93393639Y-124289360D01* -X93396608Y-124301211D01* -X93398400Y-124313297D01* -X93399000Y-124325500D01* -X93399000Y-125574500D01* -X93398400Y-125586703D01* -X93396608Y-125598789D01* -X93393639Y-125610640D01* -X93389523Y-125622144D01* -X93384299Y-125633189D01* -X93378018Y-125643668D01* -X93370740Y-125653482D01* -X93362535Y-125662535D01* -X93353482Y-125670740D01* -X93343668Y-125678018D01* -X93333189Y-125684299D01* -X93322144Y-125689523D01* -X93310640Y-125693639D01* -X93298789Y-125696608D01* -X93286703Y-125698400D01* -X93274500Y-125699000D01* -X93025500Y-125699000D01* -X93013297Y-125698400D01* -X93001211Y-125696608D01* -X92989360Y-125693639D01* -X92977856Y-125689523D01* -X92966811Y-125684299D01* -X92956332Y-125678018D01* -X92946518Y-125670740D01* -X92937465Y-125662535D01* -X92929260Y-125653482D01* -X92921982Y-125643668D01* -X92915701Y-125633189D01* -X92910477Y-125622144D01* -X92906361Y-125610640D01* -X92903392Y-125598789D01* -X92901600Y-125586703D01* -X92901000Y-125574500D01* -X92901000Y-124325500D01* -X92901600Y-124313297D01* -X92903392Y-124301211D01* -X92906361Y-124289360D01* -X92910477Y-124277856D01* -X92915701Y-124266811D01* -X92921982Y-124256332D01* -X92929260Y-124246518D01* -X92937465Y-124237465D01* -X92946518Y-124229260D01* -X92956332Y-124221982D01* -X92966811Y-124215701D01* -X92977856Y-124210477D01* -X92989360Y-124206361D01* -X93001211Y-124203392D01* -X93013297Y-124201600D01* -X93025500Y-124201000D01* -X93274500Y-124201000D01* -X93286703Y-124201600D01* -G37* -G36* -X92636703Y-124201600D02* -G01* -X92648789Y-124203392D01* -X92660640Y-124206361D01* -X92672144Y-124210477D01* -X92683189Y-124215701D01* -X92693668Y-124221982D01* -X92703482Y-124229260D01* -X92712535Y-124237465D01* -X92720740Y-124246518D01* -X92728018Y-124256332D01* -X92734299Y-124266811D01* -X92739523Y-124277856D01* -X92743639Y-124289360D01* -X92746608Y-124301211D01* -X92748400Y-124313297D01* -X92749000Y-124325500D01* -X92749000Y-125574500D01* -X92748400Y-125586703D01* -X92746608Y-125598789D01* -X92743639Y-125610640D01* -X92739523Y-125622144D01* -X92734299Y-125633189D01* -X92728018Y-125643668D01* -X92720740Y-125653482D01* -X92712535Y-125662535D01* -X92703482Y-125670740D01* -X92693668Y-125678018D01* -X92683189Y-125684299D01* -X92672144Y-125689523D01* -X92660640Y-125693639D01* -X92648789Y-125696608D01* -X92636703Y-125698400D01* -X92624500Y-125699000D01* -X92375500Y-125699000D01* -X92363297Y-125698400D01* -X92351211Y-125696608D01* -X92339360Y-125693639D01* -X92327856Y-125689523D01* -X92316811Y-125684299D01* -X92306332Y-125678018D01* -X92296518Y-125670740D01* -X92287465Y-125662535D01* -X92279260Y-125653482D01* -X92271982Y-125643668D01* -X92265701Y-125633189D01* -X92260477Y-125622144D01* -X92256361Y-125610640D01* -X92253392Y-125598789D01* -X92251600Y-125586703D01* -X92251000Y-125574500D01* -X92251000Y-124325500D01* -X92251600Y-124313297D01* -X92253392Y-124301211D01* -X92256361Y-124289360D01* -X92260477Y-124277856D01* -X92265701Y-124266811D01* -X92271982Y-124256332D01* -X92279260Y-124246518D01* -X92287465Y-124237465D01* -X92296518Y-124229260D01* -X92306332Y-124221982D01* -X92316811Y-124215701D01* -X92327856Y-124210477D01* -X92339360Y-124206361D01* -X92351211Y-124203392D01* -X92363297Y-124201600D01* -X92375500Y-124201000D01* -X92624500Y-124201000D01* -X92636703Y-124201600D01* -G37* -G36* -X91986703Y-124201600D02* -G01* -X91998789Y-124203392D01* -X92010640Y-124206361D01* -X92022144Y-124210477D01* -X92033189Y-124215701D01* -X92043668Y-124221982D01* -X92053482Y-124229260D01* -X92062535Y-124237465D01* -X92070740Y-124246518D01* -X92078018Y-124256332D01* -X92084299Y-124266811D01* -X92089523Y-124277856D01* -X92093639Y-124289360D01* -X92096608Y-124301211D01* -X92098400Y-124313297D01* -X92099000Y-124325500D01* -X92099000Y-125574500D01* -X92098400Y-125586703D01* -X92096608Y-125598789D01* -X92093639Y-125610640D01* -X92089523Y-125622144D01* -X92084299Y-125633189D01* -X92078018Y-125643668D01* -X92070740Y-125653482D01* -X92062535Y-125662535D01* -X92053482Y-125670740D01* -X92043668Y-125678018D01* -X92033189Y-125684299D01* -X92022144Y-125689523D01* -X92010640Y-125693639D01* -X91998789Y-125696608D01* -X91986703Y-125698400D01* -X91974500Y-125699000D01* -X91725500Y-125699000D01* -X91713297Y-125698400D01* -X91701211Y-125696608D01* -X91689360Y-125693639D01* -X91677856Y-125689523D01* -X91666811Y-125684299D01* -X91656332Y-125678018D01* -X91646518Y-125670740D01* -X91637465Y-125662535D01* -X91629260Y-125653482D01* -X91621982Y-125643668D01* -X91615701Y-125633189D01* -X91610477Y-125622144D01* -X91606361Y-125610640D01* -X91603392Y-125598789D01* -X91601600Y-125586703D01* -X91601000Y-125574500D01* -X91601000Y-124325500D01* -X91601600Y-124313297D01* -X91603392Y-124301211D01* -X91606361Y-124289360D01* -X91610477Y-124277856D01* -X91615701Y-124266811D01* -X91621982Y-124256332D01* -X91629260Y-124246518D01* -X91637465Y-124237465D01* -X91646518Y-124229260D01* -X91656332Y-124221982D01* -X91666811Y-124215701D01* -X91677856Y-124210477D01* -X91689360Y-124206361D01* -X91701211Y-124203392D01* -X91713297Y-124201600D01* -X91725500Y-124201000D01* -X91974500Y-124201000D01* -X91986703Y-124201600D01* -G37* -G36* -X91336703Y-124201600D02* -G01* -X91348789Y-124203392D01* -X91360640Y-124206361D01* -X91372144Y-124210477D01* -X91383189Y-124215701D01* -X91393668Y-124221982D01* -X91403482Y-124229260D01* -X91412535Y-124237465D01* -X91420740Y-124246518D01* -X91428018Y-124256332D01* -X91434299Y-124266811D01* -X91439523Y-124277856D01* -X91443639Y-124289360D01* -X91446608Y-124301211D01* -X91448400Y-124313297D01* -X91449000Y-124325500D01* -X91449000Y-125574500D01* -X91448400Y-125586703D01* -X91446608Y-125598789D01* -X91443639Y-125610640D01* -X91439523Y-125622144D01* -X91434299Y-125633189D01* -X91428018Y-125643668D01* -X91420740Y-125653482D01* -X91412535Y-125662535D01* -X91403482Y-125670740D01* -X91393668Y-125678018D01* -X91383189Y-125684299D01* -X91372144Y-125689523D01* -X91360640Y-125693639D01* -X91348789Y-125696608D01* -X91336703Y-125698400D01* -X91324500Y-125699000D01* -X91075500Y-125699000D01* -X91063297Y-125698400D01* -X91051211Y-125696608D01* -X91039360Y-125693639D01* -X91027856Y-125689523D01* -X91016811Y-125684299D01* -X91006332Y-125678018D01* -X90996518Y-125670740D01* -X90987465Y-125662535D01* -X90979260Y-125653482D01* -X90971982Y-125643668D01* -X90965701Y-125633189D01* -X90960477Y-125622144D01* -X90956361Y-125610640D01* -X90953392Y-125598789D01* -X90951600Y-125586703D01* -X90951000Y-125574500D01* -X90951000Y-124325500D01* -X90951600Y-124313297D01* -X90953392Y-124301211D01* -X90956361Y-124289360D01* -X90960477Y-124277856D01* -X90965701Y-124266811D01* -X90971982Y-124256332D01* -X90979260Y-124246518D01* -X90987465Y-124237465D01* -X90996518Y-124229260D01* -X91006332Y-124221982D01* -X91016811Y-124215701D01* -X91027856Y-124210477D01* -X91039360Y-124206361D01* -X91051211Y-124203392D01* -X91063297Y-124201600D01* -X91075500Y-124201000D01* -X91324500Y-124201000D01* -X91336703Y-124201600D01* -G37* -G36* -X90686703Y-124201600D02* -G01* -X90698789Y-124203392D01* -X90710640Y-124206361D01* -X90722144Y-124210477D01* -X90733189Y-124215701D01* -X90743668Y-124221982D01* -X90753482Y-124229260D01* -X90762535Y-124237465D01* -X90770740Y-124246518D01* -X90778018Y-124256332D01* -X90784299Y-124266811D01* -X90789523Y-124277856D01* -X90793639Y-124289360D01* -X90796608Y-124301211D01* -X90798400Y-124313297D01* -X90799000Y-124325500D01* -X90799000Y-125574500D01* -X90798400Y-125586703D01* -X90796608Y-125598789D01* -X90793639Y-125610640D01* -X90789523Y-125622144D01* -X90784299Y-125633189D01* -X90778018Y-125643668D01* -X90770740Y-125653482D01* -X90762535Y-125662535D01* -X90753482Y-125670740D01* -X90743668Y-125678018D01* -X90733189Y-125684299D01* -X90722144Y-125689523D01* -X90710640Y-125693639D01* -X90698789Y-125696608D01* -X90686703Y-125698400D01* -X90674500Y-125699000D01* -X90425500Y-125699000D01* -X90413297Y-125698400D01* -X90401211Y-125696608D01* -X90389360Y-125693639D01* -X90377856Y-125689523D01* -X90366811Y-125684299D01* -X90356332Y-125678018D01* -X90346518Y-125670740D01* -X90337465Y-125662535D01* -X90329260Y-125653482D01* -X90321982Y-125643668D01* -X90315701Y-125633189D01* -X90310477Y-125622144D01* -X90306361Y-125610640D01* -X90303392Y-125598789D01* -X90301600Y-125586703D01* -X90301000Y-125574500D01* -X90301000Y-124325500D01* -X90301600Y-124313297D01* -X90303392Y-124301211D01* -X90306361Y-124289360D01* -X90310477Y-124277856D01* -X90315701Y-124266811D01* -X90321982Y-124256332D01* -X90329260Y-124246518D01* -X90337465Y-124237465D01* -X90346518Y-124229260D01* -X90356332Y-124221982D01* -X90366811Y-124215701D01* -X90377856Y-124210477D01* -X90389360Y-124206361D01* -X90401211Y-124203392D01* -X90413297Y-124201600D01* -X90425500Y-124201000D01* -X90674500Y-124201000D01* -X90686703Y-124201600D01* -G37* -G36* -X90036703Y-124201600D02* -G01* -X90048789Y-124203392D01* -X90060640Y-124206361D01* -X90072144Y-124210477D01* -X90083189Y-124215701D01* -X90093668Y-124221982D01* -X90103482Y-124229260D01* -X90112535Y-124237465D01* -X90120740Y-124246518D01* -X90128018Y-124256332D01* -X90134299Y-124266811D01* -X90139523Y-124277856D01* -X90143639Y-124289360D01* -X90146608Y-124301211D01* -X90148400Y-124313297D01* -X90149000Y-124325500D01* -X90149000Y-125574500D01* -X90148400Y-125586703D01* -X90146608Y-125598789D01* -X90143639Y-125610640D01* -X90139523Y-125622144D01* -X90134299Y-125633189D01* -X90128018Y-125643668D01* -X90120740Y-125653482D01* -X90112535Y-125662535D01* -X90103482Y-125670740D01* -X90093668Y-125678018D01* -X90083189Y-125684299D01* -X90072144Y-125689523D01* -X90060640Y-125693639D01* -X90048789Y-125696608D01* -X90036703Y-125698400D01* -X90024500Y-125699000D01* -X89775500Y-125699000D01* -X89763297Y-125698400D01* -X89751211Y-125696608D01* -X89739360Y-125693639D01* -X89727856Y-125689523D01* -X89716811Y-125684299D01* -X89706332Y-125678018D01* -X89696518Y-125670740D01* -X89687465Y-125662535D01* -X89679260Y-125653482D01* -X89671982Y-125643668D01* -X89665701Y-125633189D01* -X89660477Y-125622144D01* -X89656361Y-125610640D01* -X89653392Y-125598789D01* -X89651600Y-125586703D01* -X89651000Y-125574500D01* -X89651000Y-124325500D01* -X89651600Y-124313297D01* -X89653392Y-124301211D01* -X89656361Y-124289360D01* -X89660477Y-124277856D01* -X89665701Y-124266811D01* -X89671982Y-124256332D01* -X89679260Y-124246518D01* -X89687465Y-124237465D01* -X89696518Y-124229260D01* -X89706332Y-124221982D01* -X89716811Y-124215701D01* -X89727856Y-124210477D01* -X89739360Y-124206361D01* -X89751211Y-124203392D01* -X89763297Y-124201600D01* -X89775500Y-124201000D01* -X90024500Y-124201000D01* -X90036703Y-124201600D01* -G37* -G36* -X89386703Y-124201600D02* -G01* -X89398789Y-124203392D01* -X89410640Y-124206361D01* -X89422144Y-124210477D01* -X89433189Y-124215701D01* -X89443668Y-124221982D01* -X89453482Y-124229260D01* -X89462535Y-124237465D01* -X89470740Y-124246518D01* -X89478018Y-124256332D01* -X89484299Y-124266811D01* -X89489523Y-124277856D01* -X89493639Y-124289360D01* -X89496608Y-124301211D01* -X89498400Y-124313297D01* -X89499000Y-124325500D01* -X89499000Y-125574500D01* -X89498400Y-125586703D01* -X89496608Y-125598789D01* -X89493639Y-125610640D01* -X89489523Y-125622144D01* -X89484299Y-125633189D01* -X89478018Y-125643668D01* -X89470740Y-125653482D01* -X89462535Y-125662535D01* -X89453482Y-125670740D01* -X89443668Y-125678018D01* -X89433189Y-125684299D01* -X89422144Y-125689523D01* -X89410640Y-125693639D01* -X89398789Y-125696608D01* -X89386703Y-125698400D01* -X89374500Y-125699000D01* -X89125500Y-125699000D01* -X89113297Y-125698400D01* -X89101211Y-125696608D01* -X89089360Y-125693639D01* -X89077856Y-125689523D01* -X89066811Y-125684299D01* -X89056332Y-125678018D01* -X89046518Y-125670740D01* -X89037465Y-125662535D01* -X89029260Y-125653482D01* -X89021982Y-125643668D01* -X89015701Y-125633189D01* -X89010477Y-125622144D01* -X89006361Y-125610640D01* -X89003392Y-125598789D01* -X89001600Y-125586703D01* -X89001000Y-125574500D01* -X89001000Y-124325500D01* -X89001600Y-124313297D01* -X89003392Y-124301211D01* -X89006361Y-124289360D01* -X89010477Y-124277856D01* -X89015701Y-124266811D01* -X89021982Y-124256332D01* -X89029260Y-124246518D01* -X89037465Y-124237465D01* -X89046518Y-124229260D01* -X89056332Y-124221982D01* -X89066811Y-124215701D01* -X89077856Y-124210477D01* -X89089360Y-124206361D01* -X89101211Y-124203392D01* -X89113297Y-124201600D01* -X89125500Y-124201000D01* -X89374500Y-124201000D01* -X89386703Y-124201600D01* -G37* -G36* -X88736703Y-124201600D02* -G01* -X88748789Y-124203392D01* -X88760640Y-124206361D01* -X88772144Y-124210477D01* -X88783189Y-124215701D01* -X88793668Y-124221982D01* -X88803482Y-124229260D01* -X88812535Y-124237465D01* -X88820740Y-124246518D01* -X88828018Y-124256332D01* -X88834299Y-124266811D01* -X88839523Y-124277856D01* -X88843639Y-124289360D01* -X88846608Y-124301211D01* -X88848400Y-124313297D01* -X88849000Y-124325500D01* -X88849000Y-125574500D01* -X88848400Y-125586703D01* -X88846608Y-125598789D01* -X88843639Y-125610640D01* -X88839523Y-125622144D01* -X88834299Y-125633189D01* -X88828018Y-125643668D01* -X88820740Y-125653482D01* -X88812535Y-125662535D01* -X88803482Y-125670740D01* -X88793668Y-125678018D01* -X88783189Y-125684299D01* -X88772144Y-125689523D01* -X88760640Y-125693639D01* -X88748789Y-125696608D01* -X88736703Y-125698400D01* -X88724500Y-125699000D01* -X88475500Y-125699000D01* -X88463297Y-125698400D01* -X88451211Y-125696608D01* -X88439360Y-125693639D01* -X88427856Y-125689523D01* -X88416811Y-125684299D01* -X88406332Y-125678018D01* -X88396518Y-125670740D01* -X88387465Y-125662535D01* -X88379260Y-125653482D01* -X88371982Y-125643668D01* -X88365701Y-125633189D01* -X88360477Y-125622144D01* -X88356361Y-125610640D01* -X88353392Y-125598789D01* -X88351600Y-125586703D01* -X88351000Y-125574500D01* -X88351000Y-124325500D01* -X88351600Y-124313297D01* -X88353392Y-124301211D01* -X88356361Y-124289360D01* -X88360477Y-124277856D01* -X88365701Y-124266811D01* -X88371982Y-124256332D01* -X88379260Y-124246518D01* -X88387465Y-124237465D01* -X88396518Y-124229260D01* -X88406332Y-124221982D01* -X88416811Y-124215701D01* -X88427856Y-124210477D01* -X88439360Y-124206361D01* -X88451211Y-124203392D01* -X88463297Y-124201600D01* -X88475500Y-124201000D01* -X88724500Y-124201000D01* -X88736703Y-124201600D01* -G37* -G36* -X88086703Y-124201600D02* -G01* -X88098789Y-124203392D01* -X88110640Y-124206361D01* -X88122144Y-124210477D01* -X88133189Y-124215701D01* -X88143668Y-124221982D01* -X88153482Y-124229260D01* -X88162535Y-124237465D01* -X88170740Y-124246518D01* -X88178018Y-124256332D01* -X88184299Y-124266811D01* -X88189523Y-124277856D01* -X88193639Y-124289360D01* -X88196608Y-124301211D01* -X88198400Y-124313297D01* -X88199000Y-124325500D01* -X88199000Y-125574500D01* -X88198400Y-125586703D01* -X88196608Y-125598789D01* -X88193639Y-125610640D01* -X88189523Y-125622144D01* -X88184299Y-125633189D01* -X88178018Y-125643668D01* -X88170740Y-125653482D01* -X88162535Y-125662535D01* -X88153482Y-125670740D01* -X88143668Y-125678018D01* -X88133189Y-125684299D01* -X88122144Y-125689523D01* -X88110640Y-125693639D01* -X88098789Y-125696608D01* -X88086703Y-125698400D01* -X88074500Y-125699000D01* -X87825500Y-125699000D01* -X87813297Y-125698400D01* -X87801211Y-125696608D01* -X87789360Y-125693639D01* -X87777856Y-125689523D01* -X87766811Y-125684299D01* -X87756332Y-125678018D01* -X87746518Y-125670740D01* -X87737465Y-125662535D01* -X87729260Y-125653482D01* -X87721982Y-125643668D01* -X87715701Y-125633189D01* -X87710477Y-125622144D01* -X87706361Y-125610640D01* -X87703392Y-125598789D01* -X87701600Y-125586703D01* -X87701000Y-125574500D01* -X87701000Y-124325500D01* -X87701600Y-124313297D01* -X87703392Y-124301211D01* -X87706361Y-124289360D01* -X87710477Y-124277856D01* -X87715701Y-124266811D01* -X87721982Y-124256332D01* -X87729260Y-124246518D01* -X87737465Y-124237465D01* -X87746518Y-124229260D01* -X87756332Y-124221982D01* -X87766811Y-124215701D01* -X87777856Y-124210477D01* -X87789360Y-124206361D01* -X87801211Y-124203392D01* -X87813297Y-124201600D01* -X87825500Y-124201000D01* -X88074500Y-124201000D01* -X88086703Y-124201600D01* -G37* -G36* -X87436703Y-124201600D02* -G01* -X87448789Y-124203392D01* -X87460640Y-124206361D01* -X87472144Y-124210477D01* -X87483189Y-124215701D01* -X87493668Y-124221982D01* -X87503482Y-124229260D01* -X87512535Y-124237465D01* -X87520740Y-124246518D01* -X87528018Y-124256332D01* -X87534299Y-124266811D01* -X87539523Y-124277856D01* -X87543639Y-124289360D01* -X87546608Y-124301211D01* -X87548400Y-124313297D01* -X87549000Y-124325500D01* -X87549000Y-125574500D01* -X87548400Y-125586703D01* -X87546608Y-125598789D01* -X87543639Y-125610640D01* -X87539523Y-125622144D01* -X87534299Y-125633189D01* -X87528018Y-125643668D01* -X87520740Y-125653482D01* -X87512535Y-125662535D01* -X87503482Y-125670740D01* -X87493668Y-125678018D01* -X87483189Y-125684299D01* -X87472144Y-125689523D01* -X87460640Y-125693639D01* -X87448789Y-125696608D01* -X87436703Y-125698400D01* -X87424500Y-125699000D01* -X87175500Y-125699000D01* -X87163297Y-125698400D01* -X87151211Y-125696608D01* -X87139360Y-125693639D01* -X87127856Y-125689523D01* -X87116811Y-125684299D01* -X87106332Y-125678018D01* -X87096518Y-125670740D01* -X87087465Y-125662535D01* -X87079260Y-125653482D01* -X87071982Y-125643668D01* -X87065701Y-125633189D01* -X87060477Y-125622144D01* -X87056361Y-125610640D01* -X87053392Y-125598789D01* -X87051600Y-125586703D01* -X87051000Y-125574500D01* -X87051000Y-124325500D01* -X87051600Y-124313297D01* -X87053392Y-124301211D01* -X87056361Y-124289360D01* -X87060477Y-124277856D01* -X87065701Y-124266811D01* -X87071982Y-124256332D01* -X87079260Y-124246518D01* -X87087465Y-124237465D01* -X87096518Y-124229260D01* -X87106332Y-124221982D01* -X87116811Y-124215701D01* -X87127856Y-124210477D01* -X87139360Y-124206361D01* -X87151211Y-124203392D01* -X87163297Y-124201600D01* -X87175500Y-124201000D01* -X87424500Y-124201000D01* -X87436703Y-124201600D01* -G37* -G36* -X135722054Y-122801083D02* -G01* -X135743895Y-122804323D01* -X135765314Y-122809688D01* -X135786104Y-122817127D01* -X135806064Y-122826568D01* -X135825003Y-122837919D01* -X135842738Y-122851073D01* -X135859099Y-122865901D01* -X135873927Y-122882262D01* -X135887081Y-122899997D01* -X135898432Y-122918936D01* -X135907873Y-122938896D01* -X135915312Y-122959686D01* -X135920677Y-122981105D01* -X135923917Y-123002946D01* -X135925000Y-123025000D01* -X135925000Y-123475000D01* -X135923917Y-123497054D01* -X135920677Y-123518895D01* -X135915312Y-123540314D01* -X135907873Y-123561104D01* -X135898432Y-123581064D01* -X135887081Y-123600003D01* -X135873927Y-123617738D01* -X135859099Y-123634099D01* -X135842738Y-123648927D01* -X135825003Y-123662081D01* -X135806064Y-123673432D01* -X135786104Y-123682873D01* -X135765314Y-123690312D01* -X135743895Y-123695677D01* -X135722054Y-123698917D01* -X135700000Y-123700000D01* -X134700000Y-123700000D01* -X134677946Y-123698917D01* -X134656105Y-123695677D01* -X134634686Y-123690312D01* -X134613896Y-123682873D01* -X134593936Y-123673432D01* -X134574997Y-123662081D01* -X134557262Y-123648927D01* -X134540901Y-123634099D01* -X134526073Y-123617738D01* -X134512919Y-123600003D01* -X134501568Y-123581064D01* -X134492127Y-123561104D01* -X134484688Y-123540314D01* -X134479323Y-123518895D01* -X134476083Y-123497054D01* -X134475000Y-123475000D01* -X134475000Y-123025000D01* -X134476083Y-123002946D01* -X134479323Y-122981105D01* -X134484688Y-122959686D01* -X134492127Y-122938896D01* -X134501568Y-122918936D01* -X134512919Y-122899997D01* -X134526073Y-122882262D01* -X134540901Y-122865901D01* -X134557262Y-122851073D01* -X134574997Y-122837919D01* -X134593936Y-122826568D01* -X134613896Y-122817127D01* -X134634686Y-122809688D01* -X134656105Y-122804323D01* -X134677946Y-122801083D01* -X134700000Y-122800000D01* -X135700000Y-122800000D01* -X135722054Y-122801083D01* -G37* -G36* -X135722054Y-124701083D02* -G01* -X135743895Y-124704323D01* -X135765314Y-124709688D01* -X135786104Y-124717127D01* -X135806064Y-124726568D01* -X135825003Y-124737919D01* -X135842738Y-124751073D01* -X135859099Y-124765901D01* -X135873927Y-124782262D01* -X135887081Y-124799997D01* -X135898432Y-124818936D01* -X135907873Y-124838896D01* -X135915312Y-124859686D01* -X135920677Y-124881105D01* -X135923917Y-124902946D01* -X135925000Y-124925000D01* -X135925000Y-125375000D01* -X135923917Y-125397054D01* -X135920677Y-125418895D01* -X135915312Y-125440314D01* -X135907873Y-125461104D01* -X135898432Y-125481064D01* -X135887081Y-125500003D01* -X135873927Y-125517738D01* -X135859099Y-125534099D01* -X135842738Y-125548927D01* -X135825003Y-125562081D01* -X135806064Y-125573432D01* -X135786104Y-125582873D01* -X135765314Y-125590312D01* -X135743895Y-125595677D01* -X135722054Y-125598917D01* -X135700000Y-125600000D01* -X134700000Y-125600000D01* -X134677946Y-125598917D01* -X134656105Y-125595677D01* -X134634686Y-125590312D01* -X134613896Y-125582873D01* -X134593936Y-125573432D01* -X134574997Y-125562081D01* -X134557262Y-125548927D01* -X134540901Y-125534099D01* -X134526073Y-125517738D01* -X134512919Y-125500003D01* -X134501568Y-125481064D01* -X134492127Y-125461104D01* -X134484688Y-125440314D01* -X134479323Y-125418895D01* -X134476083Y-125397054D01* -X134475000Y-125375000D01* -X134475000Y-124925000D01* -X134476083Y-124902946D01* -X134479323Y-124881105D01* -X134484688Y-124859686D01* -X134492127Y-124838896D01* -X134501568Y-124818936D01* -X134512919Y-124799997D01* -X134526073Y-124782262D01* -X134540901Y-124765901D01* -X134557262Y-124751073D01* -X134574997Y-124737919D01* -X134593936Y-124726568D01* -X134613896Y-124717127D01* -X134634686Y-124709688D01* -X134656105Y-124704323D01* -X134677946Y-124701083D01* -X134700000Y-124700000D01* -X135700000Y-124700000D01* -X135722054Y-124701083D01* -G37* -G36* -X137822054Y-123751083D02* -G01* -X137843895Y-123754323D01* -X137865314Y-123759688D01* -X137886104Y-123767127D01* -X137906064Y-123776568D01* -X137925003Y-123787919D01* -X137942738Y-123801073D01* -X137959099Y-123815901D01* -X137973927Y-123832262D01* -X137987081Y-123849997D01* -X137998432Y-123868936D01* -X138007873Y-123888896D01* -X138015312Y-123909686D01* -X138020677Y-123931105D01* -X138023917Y-123952946D01* -X138025000Y-123975000D01* -X138025000Y-124425000D01* -X138023917Y-124447054D01* -X138020677Y-124468895D01* -X138015312Y-124490314D01* -X138007873Y-124511104D01* -X137998432Y-124531064D01* -X137987081Y-124550003D01* -X137973927Y-124567738D01* -X137959099Y-124584099D01* -X137942738Y-124598927D01* -X137925003Y-124612081D01* -X137906064Y-124623432D01* -X137886104Y-124632873D01* -X137865314Y-124640312D01* -X137843895Y-124645677D01* -X137822054Y-124648917D01* -X137800000Y-124650000D01* -X136800000Y-124650000D01* -X136777946Y-124648917D01* -X136756105Y-124645677D01* -X136734686Y-124640312D01* -X136713896Y-124632873D01* -X136693936Y-124623432D01* -X136674997Y-124612081D01* -X136657262Y-124598927D01* -X136640901Y-124584099D01* -X136626073Y-124567738D01* -X136612919Y-124550003D01* -X136601568Y-124531064D01* -X136592127Y-124511104D01* -X136584688Y-124490314D01* -X136579323Y-124468895D01* -X136576083Y-124447054D01* -X136575000Y-124425000D01* -X136575000Y-123975000D01* -X136576083Y-123952946D01* -X136579323Y-123931105D01* -X136584688Y-123909686D01* -X136592127Y-123888896D01* -X136601568Y-123868936D01* -X136612919Y-123849997D01* -X136626073Y-123832262D01* -X136640901Y-123815901D01* -X136657262Y-123801073D01* -X136674997Y-123787919D01* -X136693936Y-123776568D01* -X136713896Y-123767127D01* -X136734686Y-123759688D01* -X136756105Y-123754323D01* -X136777946Y-123751083D01* -X136800000Y-123750000D01* -X137800000Y-123750000D01* -X137822054Y-123751083D01* -G37* -G36* -X140590680Y-122776384D02* -G01* -X140618588Y-122780524D01* -X140645957Y-122787380D01* -X140672521Y-122796885D01* -X140698027Y-122808948D01* -X140722226Y-122823452D01* -X140744888Y-122840259D01* -X140765793Y-122859207D01* -X140784741Y-122880112D01* -X140801548Y-122902774D01* -X140816052Y-122926973D01* -X140828115Y-122952479D01* -X140837620Y-122979043D01* -X140844476Y-123006412D01* -X140848616Y-123034320D01* -X140850000Y-123062500D01* -X140850000Y-123637500D01* -X140848616Y-123665680D01* -X140844476Y-123693588D01* -X140837620Y-123720957D01* -X140828115Y-123747521D01* -X140816052Y-123773027D01* -X140801548Y-123797226D01* -X140784741Y-123819888D01* -X140765793Y-123840793D01* -X140744888Y-123859741D01* -X140722226Y-123876548D01* -X140698027Y-123891052D01* -X140672521Y-123903115D01* -X140645957Y-123912620D01* -X140618588Y-123919476D01* -X140590680Y-123923616D01* -X140562500Y-123925000D01* -X139637500Y-123925000D01* -X139609320Y-123923616D01* -X139581412Y-123919476D01* -X139554043Y-123912620D01* -X139527479Y-123903115D01* -X139501973Y-123891052D01* -X139477774Y-123876548D01* -X139455112Y-123859741D01* -X139434207Y-123840793D01* -X139415259Y-123819888D01* -X139398452Y-123797226D01* -X139383948Y-123773027D01* -X139371885Y-123747521D01* -X139362380Y-123720957D01* -X139355524Y-123693588D01* -X139351384Y-123665680D01* -X139350000Y-123637500D01* -X139350000Y-123062500D01* -X139351384Y-123034320D01* -X139355524Y-123006412D01* -X139362380Y-122979043D01* -X139371885Y-122952479D01* -X139383948Y-122926973D01* -X139398452Y-122902774D01* -X139415259Y-122880112D01* -X139434207Y-122859207D01* -X139455112Y-122840259D01* -X139477774Y-122823452D01* -X139501973Y-122808948D01* -X139527479Y-122796885D01* -X139554043Y-122787380D01* -X139581412Y-122780524D01* -X139609320Y-122776384D01* -X139637500Y-122775000D01* -X140562500Y-122775000D01* -X140590680Y-122776384D01* -G37* -G36* -X140590680Y-124476384D02* -G01* -X140618588Y-124480524D01* -X140645957Y-124487380D01* -X140672521Y-124496885D01* -X140698027Y-124508948D01* -X140722226Y-124523452D01* -X140744888Y-124540259D01* -X140765793Y-124559207D01* -X140784741Y-124580112D01* -X140801548Y-124602774D01* -X140816052Y-124626973D01* -X140828115Y-124652479D01* -X140837620Y-124679043D01* -X140844476Y-124706412D01* -X140848616Y-124734320D01* -X140850000Y-124762500D01* -X140850000Y-125337500D01* -X140848616Y-125365680D01* -X140844476Y-125393588D01* -X140837620Y-125420957D01* -X140828115Y-125447521D01* -X140816052Y-125473027D01* -X140801548Y-125497226D01* -X140784741Y-125519888D01* -X140765793Y-125540793D01* -X140744888Y-125559741D01* -X140722226Y-125576548D01* -X140698027Y-125591052D01* -X140672521Y-125603115D01* -X140645957Y-125612620D01* -X140618588Y-125619476D01* -X140590680Y-125623616D01* -X140562500Y-125625000D01* -X139637500Y-125625000D01* -X139609320Y-125623616D01* -X139581412Y-125619476D01* -X139554043Y-125612620D01* -X139527479Y-125603115D01* -X139501973Y-125591052D01* -X139477774Y-125576548D01* -X139455112Y-125559741D01* -X139434207Y-125540793D01* -X139415259Y-125519888D01* -X139398452Y-125497226D01* -X139383948Y-125473027D01* -X139371885Y-125447521D01* -X139362380Y-125420957D01* -X139355524Y-125393588D01* -X139351384Y-125365680D01* -X139350000Y-125337500D01* -X139350000Y-124762500D01* -X139351384Y-124734320D01* -X139355524Y-124706412D01* -X139362380Y-124679043D01* -X139371885Y-124652479D01* -X139383948Y-124626973D01* -X139398452Y-124602774D01* -X139415259Y-124580112D01* -X139434207Y-124559207D01* -X139455112Y-124540259D01* -X139477774Y-124523452D01* -X139501973Y-124508948D01* -X139527479Y-124496885D01* -X139554043Y-124487380D01* -X139581412Y-124480524D01* -X139609320Y-124476384D01* -X139637500Y-124475000D01* -X140562500Y-124475000D01* -X140590680Y-124476384D01* -G37* -D25* -X48133000Y-96139000D03* -X57023000Y-84709000D03* -X143002000Y-127000000D03* -X48133000Y-127000000D03* -X140462000Y-82423000D03* -D17* -G36* -X76910779Y-118576144D02* -G01* -X76933834Y-118579563D01* -X76956443Y-118585227D01* -X76978387Y-118593079D01* -X76999457Y-118603044D01* -X77019448Y-118615026D01* -X77038168Y-118628910D01* -X77055438Y-118644562D01* -X77071090Y-118661832D01* -X77084974Y-118680552D01* -X77096956Y-118700543D01* -X77106921Y-118721613D01* -X77114773Y-118743557D01* -X77120437Y-118766166D01* -X77123856Y-118789221D01* -X77125000Y-118812500D01* -X77125000Y-119287500D01* -X77123856Y-119310779D01* -X77120437Y-119333834D01* -X77114773Y-119356443D01* -X77106921Y-119378387D01* -X77096956Y-119399457D01* -X77084974Y-119419448D01* -X77071090Y-119438168D01* -X77055438Y-119455438D01* -X77038168Y-119471090D01* -X77019448Y-119484974D01* -X76999457Y-119496956D01* -X76978387Y-119506921D01* -X76956443Y-119514773D01* -X76933834Y-119520437D01* -X76910779Y-119523856D01* -X76887500Y-119525000D01* -X76312500Y-119525000D01* -X76289221Y-119523856D01* -X76266166Y-119520437D01* -X76243557Y-119514773D01* -X76221613Y-119506921D01* -X76200543Y-119496956D01* -X76180552Y-119484974D01* -X76161832Y-119471090D01* -X76144562Y-119455438D01* -X76128910Y-119438168D01* -X76115026Y-119419448D01* -X76103044Y-119399457D01* -X76093079Y-119378387D01* -X76085227Y-119356443D01* -X76079563Y-119333834D01* -X76076144Y-119310779D01* -X76075000Y-119287500D01* -X76075000Y-118812500D01* -X76076144Y-118789221D01* -X76079563Y-118766166D01* -X76085227Y-118743557D01* -X76093079Y-118721613D01* -X76103044Y-118700543D01* -X76115026Y-118680552D01* -X76128910Y-118661832D01* -X76144562Y-118644562D01* -X76161832Y-118628910D01* -X76180552Y-118615026D01* -X76200543Y-118603044D01* -X76221613Y-118593079D01* -X76243557Y-118585227D01* -X76266166Y-118579563D01* -X76289221Y-118576144D01* -X76312500Y-118575000D01* -X76887500Y-118575000D01* -X76910779Y-118576144D01* -G37* -G36* -X76910779Y-120076144D02* -G01* -X76933834Y-120079563D01* -X76956443Y-120085227D01* -X76978387Y-120093079D01* -X76999457Y-120103044D01* -X77019448Y-120115026D01* -X77038168Y-120128910D01* -X77055438Y-120144562D01* -X77071090Y-120161832D01* -X77084974Y-120180552D01* -X77096956Y-120200543D01* -X77106921Y-120221613D01* -X77114773Y-120243557D01* -X77120437Y-120266166D01* -X77123856Y-120289221D01* -X77125000Y-120312500D01* -X77125000Y-120787500D01* -X77123856Y-120810779D01* -X77120437Y-120833834D01* -X77114773Y-120856443D01* -X77106921Y-120878387D01* -X77096956Y-120899457D01* -X77084974Y-120919448D01* -X77071090Y-120938168D01* -X77055438Y-120955438D01* -X77038168Y-120971090D01* -X77019448Y-120984974D01* -X76999457Y-120996956D01* -X76978387Y-121006921D01* -X76956443Y-121014773D01* -X76933834Y-121020437D01* -X76910779Y-121023856D01* -X76887500Y-121025000D01* -X76312500Y-121025000D01* -X76289221Y-121023856D01* -X76266166Y-121020437D01* -X76243557Y-121014773D01* -X76221613Y-121006921D01* -X76200543Y-120996956D01* -X76180552Y-120984974D01* -X76161832Y-120971090D01* -X76144562Y-120955438D01* -X76128910Y-120938168D01* -X76115026Y-120919448D01* -X76103044Y-120899457D01* -X76093079Y-120878387D01* -X76085227Y-120856443D01* -X76079563Y-120833834D01* -X76076144Y-120810779D01* -X76075000Y-120787500D01* -X76075000Y-120312500D01* -X76076144Y-120289221D01* -X76079563Y-120266166D01* -X76085227Y-120243557D01* -X76093079Y-120221613D01* -X76103044Y-120200543D01* -X76115026Y-120180552D01* -X76128910Y-120161832D01* -X76144562Y-120144562D01* -X76161832Y-120128910D01* -X76180552Y-120115026D01* -X76200543Y-120103044D01* -X76221613Y-120093079D01* -X76243557Y-120085227D01* -X76266166Y-120079563D01* -X76289221Y-120076144D01* -X76312500Y-120075000D01* -X76887500Y-120075000D01* -X76910779Y-120076144D01* -G37* -G36* -X109122978Y-94301539D02* -G01* -X109133850Y-94303152D01* -X109144512Y-94305823D01* -X109154861Y-94309525D01* -X109164796Y-94314225D01* -X109174224Y-94319875D01* -X109183052Y-94326423D01* -X109191196Y-94333804D01* -X109198577Y-94341948D01* -X109205125Y-94350776D01* -X109210775Y-94360204D01* -X109215475Y-94370139D01* -X109219177Y-94380488D01* -X109221848Y-94391150D01* -X109223461Y-94402022D01* -X109224000Y-94413000D01* -X109224000Y-94887000D01* -X109223461Y-94897978D01* -X109221848Y-94908850D01* -X109219177Y-94919512D01* -X109215475Y-94929861D01* -X109210775Y-94939796D01* -X109205125Y-94949224D01* -X109198577Y-94958052D01* -X109191196Y-94966196D01* -X109183052Y-94973577D01* -X109174224Y-94980125D01* -X109164796Y-94985775D01* -X109154861Y-94990475D01* -X109144512Y-94994177D01* -X109133850Y-94996848D01* -X109122978Y-94998461D01* -X109112000Y-94999000D01* -X108888000Y-94999000D01* -X108877022Y-94998461D01* -X108866150Y-94996848D01* -X108855488Y-94994177D01* -X108845139Y-94990475D01* -X108835204Y-94985775D01* -X108825776Y-94980125D01* -X108816948Y-94973577D01* -X108808804Y-94966196D01* -X108801423Y-94958052D01* -X108794875Y-94949224D01* -X108789225Y-94939796D01* -X108784525Y-94929861D01* -X108780823Y-94919512D01* -X108778152Y-94908850D01* -X108776539Y-94897978D01* -X108776000Y-94887000D01* -X108776000Y-94413000D01* -X108776539Y-94402022D01* -X108778152Y-94391150D01* -X108780823Y-94380488D01* -X108784525Y-94370139D01* -X108789225Y-94360204D01* -X108794875Y-94350776D01* -X108801423Y-94341948D01* -X108808804Y-94333804D01* -X108816948Y-94326423D01* -X108825776Y-94319875D01* -X108835204Y-94314225D01* -X108845139Y-94309525D01* -X108855488Y-94305823D01* -X108866150Y-94303152D01* -X108877022Y-94301539D01* -X108888000Y-94301000D01* -X109112000Y-94301000D01* -X109122978Y-94301539D01* -G37* -G36* -X108545527Y-94301419D02* -G01* -X108553973Y-94302672D01* -X108562255Y-94304746D01* -X108570293Y-94307622D01* -X108578012Y-94311273D01* -X108585335Y-94315662D01* -X108592192Y-94320748D01* -X108598518Y-94326482D01* -X108604252Y-94332808D01* -X108609338Y-94339665D01* -X108613727Y-94346988D01* -X108617378Y-94354707D01* -X108620254Y-94362745D01* -X108622328Y-94371027D01* -X108623581Y-94379473D01* -X108624000Y-94388000D01* -X108624000Y-94912000D01* -X108623581Y-94920527D01* -X108622328Y-94928973D01* -X108620254Y-94937255D01* -X108617378Y-94945293D01* -X108613727Y-94953012D01* -X108609338Y-94960335D01* -X108604252Y-94967192D01* -X108598518Y-94973518D01* -X108592192Y-94979252D01* -X108585335Y-94984338D01* -X108578012Y-94988727D01* -X108570293Y-94992378D01* -X108562255Y-94995254D01* -X108553973Y-94997328D01* -X108545527Y-94998581D01* -X108537000Y-94999000D01* -X108363000Y-94999000D01* -X108354473Y-94998581D01* -X108346027Y-94997328D01* -X108337745Y-94995254D01* -X108329707Y-94992378D01* -X108321988Y-94988727D01* -X108314665Y-94984338D01* -X108307808Y-94979252D01* -X108301482Y-94973518D01* -X108295748Y-94967192D01* -X108290662Y-94960335D01* -X108286273Y-94953012D01* -X108282622Y-94945293D01* -X108279746Y-94937255D01* -X108277672Y-94928973D01* -X108276419Y-94920527D01* -X108276000Y-94912000D01* -X108276000Y-94388000D01* -X108276419Y-94379473D01* -X108277672Y-94371027D01* -X108279746Y-94362745D01* -X108282622Y-94354707D01* -X108286273Y-94346988D01* -X108290662Y-94339665D01* -X108295748Y-94332808D01* -X108301482Y-94326482D01* -X108307808Y-94320748D01* -X108314665Y-94315662D01* -X108321988Y-94311273D01* -X108329707Y-94307622D01* -X108337745Y-94304746D01* -X108346027Y-94302672D01* -X108354473Y-94301419D01* -X108363000Y-94301000D01* -X108537000Y-94301000D01* -X108545527Y-94301419D01* -G37* -G36* -X107522978Y-94301539D02* -G01* -X107533850Y-94303152D01* -X107544512Y-94305823D01* -X107554861Y-94309525D01* -X107564796Y-94314225D01* -X107574224Y-94319875D01* -X107583052Y-94326423D01* -X107591196Y-94333804D01* -X107598577Y-94341948D01* -X107605125Y-94350776D01* -X107610775Y-94360204D01* -X107615475Y-94370139D01* -X107619177Y-94380488D01* -X107621848Y-94391150D01* -X107623461Y-94402022D01* -X107624000Y-94413000D01* -X107624000Y-94887000D01* -X107623461Y-94897978D01* -X107621848Y-94908850D01* -X107619177Y-94919512D01* -X107615475Y-94929861D01* -X107610775Y-94939796D01* -X107605125Y-94949224D01* -X107598577Y-94958052D01* -X107591196Y-94966196D01* -X107583052Y-94973577D01* -X107574224Y-94980125D01* -X107564796Y-94985775D01* -X107554861Y-94990475D01* -X107544512Y-94994177D01* -X107533850Y-94996848D01* -X107522978Y-94998461D01* -X107512000Y-94999000D01* -X107288000Y-94999000D01* -X107277022Y-94998461D01* -X107266150Y-94996848D01* -X107255488Y-94994177D01* -X107245139Y-94990475D01* -X107235204Y-94985775D01* -X107225776Y-94980125D01* -X107216948Y-94973577D01* -X107208804Y-94966196D01* -X107201423Y-94958052D01* -X107194875Y-94949224D01* -X107189225Y-94939796D01* -X107184525Y-94929861D01* -X107180823Y-94919512D01* -X107178152Y-94908850D01* -X107176539Y-94897978D01* -X107176000Y-94887000D01* -X107176000Y-94413000D01* -X107176539Y-94402022D01* -X107178152Y-94391150D01* -X107180823Y-94380488D01* -X107184525Y-94370139D01* -X107189225Y-94360204D01* -X107194875Y-94350776D01* -X107201423Y-94341948D01* -X107208804Y-94333804D01* -X107216948Y-94326423D01* -X107225776Y-94319875D01* -X107235204Y-94314225D01* -X107245139Y-94309525D01* -X107255488Y-94305823D01* -X107266150Y-94303152D01* -X107277022Y-94301539D01* -X107288000Y-94301000D01* -X107512000Y-94301000D01* -X107522978Y-94301539D01* -G37* -G36* -X108045527Y-94301419D02* -G01* -X108053973Y-94302672D01* -X108062255Y-94304746D01* -X108070293Y-94307622D01* -X108078012Y-94311273D01* -X108085335Y-94315662D01* -X108092192Y-94320748D01* -X108098518Y-94326482D01* -X108104252Y-94332808D01* -X108109338Y-94339665D01* -X108113727Y-94346988D01* -X108117378Y-94354707D01* -X108120254Y-94362745D01* -X108122328Y-94371027D01* -X108123581Y-94379473D01* -X108124000Y-94388000D01* -X108124000Y-94912000D01* -X108123581Y-94920527D01* -X108122328Y-94928973D01* -X108120254Y-94937255D01* -X108117378Y-94945293D01* -X108113727Y-94953012D01* -X108109338Y-94960335D01* -X108104252Y-94967192D01* -X108098518Y-94973518D01* -X108092192Y-94979252D01* -X108085335Y-94984338D01* -X108078012Y-94988727D01* -X108070293Y-94992378D01* -X108062255Y-94995254D01* -X108053973Y-94997328D01* -X108045527Y-94998581D01* -X108037000Y-94999000D01* -X107863000Y-94999000D01* -X107854473Y-94998581D01* -X107846027Y-94997328D01* -X107837745Y-94995254D01* -X107829707Y-94992378D01* -X107821988Y-94988727D01* -X107814665Y-94984338D01* -X107807808Y-94979252D01* -X107801482Y-94973518D01* -X107795748Y-94967192D01* -X107790662Y-94960335D01* -X107786273Y-94953012D01* -X107782622Y-94945293D01* -X107779746Y-94937255D01* -X107777672Y-94928973D01* -X107776419Y-94920527D01* -X107776000Y-94912000D01* -X107776000Y-94388000D01* -X107776419Y-94379473D01* -X107777672Y-94371027D01* -X107779746Y-94362745D01* -X107782622Y-94354707D01* -X107786273Y-94346988D01* -X107790662Y-94339665D01* -X107795748Y-94332808D01* -X107801482Y-94326482D01* -X107807808Y-94320748D01* -X107814665Y-94315662D01* -X107821988Y-94311273D01* -X107829707Y-94307622D01* -X107837745Y-94304746D01* -X107846027Y-94302672D01* -X107854473Y-94301419D01* -X107863000Y-94301000D01* -X108037000Y-94301000D01* -X108045527Y-94301419D01* -G37* -G36* -X109122978Y-95301539D02* -G01* -X109133850Y-95303152D01* -X109144512Y-95305823D01* -X109154861Y-95309525D01* -X109164796Y-95314225D01* -X109174224Y-95319875D01* -X109183052Y-95326423D01* -X109191196Y-95333804D01* -X109198577Y-95341948D01* -X109205125Y-95350776D01* -X109210775Y-95360204D01* -X109215475Y-95370139D01* -X109219177Y-95380488D01* -X109221848Y-95391150D01* -X109223461Y-95402022D01* -X109224000Y-95413000D01* -X109224000Y-95887000D01* -X109223461Y-95897978D01* -X109221848Y-95908850D01* -X109219177Y-95919512D01* -X109215475Y-95929861D01* -X109210775Y-95939796D01* -X109205125Y-95949224D01* -X109198577Y-95958052D01* -X109191196Y-95966196D01* -X109183052Y-95973577D01* -X109174224Y-95980125D01* -X109164796Y-95985775D01* -X109154861Y-95990475D01* -X109144512Y-95994177D01* -X109133850Y-95996848D01* -X109122978Y-95998461D01* -X109112000Y-95999000D01* -X108888000Y-95999000D01* -X108877022Y-95998461D01* -X108866150Y-95996848D01* -X108855488Y-95994177D01* -X108845139Y-95990475D01* -X108835204Y-95985775D01* -X108825776Y-95980125D01* -X108816948Y-95973577D01* -X108808804Y-95966196D01* -X108801423Y-95958052D01* -X108794875Y-95949224D01* -X108789225Y-95939796D01* -X108784525Y-95929861D01* -X108780823Y-95919512D01* -X108778152Y-95908850D01* -X108776539Y-95897978D01* -X108776000Y-95887000D01* -X108776000Y-95413000D01* -X108776539Y-95402022D01* -X108778152Y-95391150D01* -X108780823Y-95380488D01* -X108784525Y-95370139D01* -X108789225Y-95360204D01* -X108794875Y-95350776D01* -X108801423Y-95341948D01* -X108808804Y-95333804D01* -X108816948Y-95326423D01* -X108825776Y-95319875D01* -X108835204Y-95314225D01* -X108845139Y-95309525D01* -X108855488Y-95305823D01* -X108866150Y-95303152D01* -X108877022Y-95301539D01* -X108888000Y-95301000D01* -X109112000Y-95301000D01* -X109122978Y-95301539D01* -G37* -G36* -X108045527Y-95301419D02* -G01* -X108053973Y-95302672D01* -X108062255Y-95304746D01* -X108070293Y-95307622D01* -X108078012Y-95311273D01* -X108085335Y-95315662D01* -X108092192Y-95320748D01* -X108098518Y-95326482D01* -X108104252Y-95332808D01* -X108109338Y-95339665D01* -X108113727Y-95346988D01* -X108117378Y-95354707D01* -X108120254Y-95362745D01* -X108122328Y-95371027D01* -X108123581Y-95379473D01* -X108124000Y-95388000D01* -X108124000Y-95912000D01* -X108123581Y-95920527D01* -X108122328Y-95928973D01* -X108120254Y-95937255D01* -X108117378Y-95945293D01* -X108113727Y-95953012D01* -X108109338Y-95960335D01* -X108104252Y-95967192D01* -X108098518Y-95973518D01* -X108092192Y-95979252D01* -X108085335Y-95984338D01* -X108078012Y-95988727D01* -X108070293Y-95992378D01* -X108062255Y-95995254D01* -X108053973Y-95997328D01* -X108045527Y-95998581D01* -X108037000Y-95999000D01* -X107863000Y-95999000D01* -X107854473Y-95998581D01* -X107846027Y-95997328D01* -X107837745Y-95995254D01* -X107829707Y-95992378D01* -X107821988Y-95988727D01* -X107814665Y-95984338D01* -X107807808Y-95979252D01* -X107801482Y-95973518D01* -X107795748Y-95967192D01* -X107790662Y-95960335D01* -X107786273Y-95953012D01* -X107782622Y-95945293D01* -X107779746Y-95937255D01* -X107777672Y-95928973D01* -X107776419Y-95920527D01* -X107776000Y-95912000D01* -X107776000Y-95388000D01* -X107776419Y-95379473D01* -X107777672Y-95371027D01* -X107779746Y-95362745D01* -X107782622Y-95354707D01* -X107786273Y-95346988D01* -X107790662Y-95339665D01* -X107795748Y-95332808D01* -X107801482Y-95326482D01* -X107807808Y-95320748D01* -X107814665Y-95315662D01* -X107821988Y-95311273D01* -X107829707Y-95307622D01* -X107837745Y-95304746D01* -X107846027Y-95302672D01* -X107854473Y-95301419D01* -X107863000Y-95301000D01* -X108037000Y-95301000D01* -X108045527Y-95301419D01* -G37* -G36* -X108545527Y-95301419D02* -G01* -X108553973Y-95302672D01* -X108562255Y-95304746D01* -X108570293Y-95307622D01* -X108578012Y-95311273D01* -X108585335Y-95315662D01* -X108592192Y-95320748D01* -X108598518Y-95326482D01* -X108604252Y-95332808D01* -X108609338Y-95339665D01* -X108613727Y-95346988D01* -X108617378Y-95354707D01* -X108620254Y-95362745D01* -X108622328Y-95371027D01* -X108623581Y-95379473D01* -X108624000Y-95388000D01* -X108624000Y-95912000D01* -X108623581Y-95920527D01* -X108622328Y-95928973D01* -X108620254Y-95937255D01* -X108617378Y-95945293D01* -X108613727Y-95953012D01* -X108609338Y-95960335D01* -X108604252Y-95967192D01* -X108598518Y-95973518D01* -X108592192Y-95979252D01* -X108585335Y-95984338D01* -X108578012Y-95988727D01* -X108570293Y-95992378D01* -X108562255Y-95995254D01* -X108553973Y-95997328D01* -X108545527Y-95998581D01* -X108537000Y-95999000D01* -X108363000Y-95999000D01* -X108354473Y-95998581D01* -X108346027Y-95997328D01* -X108337745Y-95995254D01* -X108329707Y-95992378D01* -X108321988Y-95988727D01* -X108314665Y-95984338D01* -X108307808Y-95979252D01* -X108301482Y-95973518D01* -X108295748Y-95967192D01* -X108290662Y-95960335D01* -X108286273Y-95953012D01* -X108282622Y-95945293D01* -X108279746Y-95937255D01* -X108277672Y-95928973D01* -X108276419Y-95920527D01* -X108276000Y-95912000D01* -X108276000Y-95388000D01* -X108276419Y-95379473D01* -X108277672Y-95371027D01* -X108279746Y-95362745D01* -X108282622Y-95354707D01* -X108286273Y-95346988D01* -X108290662Y-95339665D01* -X108295748Y-95332808D01* -X108301482Y-95326482D01* -X108307808Y-95320748D01* -X108314665Y-95315662D01* -X108321988Y-95311273D01* -X108329707Y-95307622D01* -X108337745Y-95304746D01* -X108346027Y-95302672D01* -X108354473Y-95301419D01* -X108363000Y-95301000D01* -X108537000Y-95301000D01* -X108545527Y-95301419D01* -G37* -G36* -X107522978Y-95301539D02* -G01* -X107533850Y-95303152D01* -X107544512Y-95305823D01* -X107554861Y-95309525D01* -X107564796Y-95314225D01* -X107574224Y-95319875D01* -X107583052Y-95326423D01* -X107591196Y-95333804D01* -X107598577Y-95341948D01* -X107605125Y-95350776D01* -X107610775Y-95360204D01* -X107615475Y-95370139D01* -X107619177Y-95380488D01* -X107621848Y-95391150D01* -X107623461Y-95402022D01* -X107624000Y-95413000D01* -X107624000Y-95887000D01* -X107623461Y-95897978D01* -X107621848Y-95908850D01* -X107619177Y-95919512D01* -X107615475Y-95929861D01* -X107610775Y-95939796D01* -X107605125Y-95949224D01* -X107598577Y-95958052D01* -X107591196Y-95966196D01* -X107583052Y-95973577D01* -X107574224Y-95980125D01* -X107564796Y-95985775D01* -X107554861Y-95990475D01* -X107544512Y-95994177D01* -X107533850Y-95996848D01* -X107522978Y-95998461D01* -X107512000Y-95999000D01* -X107288000Y-95999000D01* -X107277022Y-95998461D01* -X107266150Y-95996848D01* -X107255488Y-95994177D01* -X107245139Y-95990475D01* -X107235204Y-95985775D01* -X107225776Y-95980125D01* -X107216948Y-95973577D01* -X107208804Y-95966196D01* -X107201423Y-95958052D01* -X107194875Y-95949224D01* -X107189225Y-95939796D01* -X107184525Y-95929861D01* -X107180823Y-95919512D01* -X107178152Y-95908850D01* -X107176539Y-95897978D01* -X107176000Y-95887000D01* -X107176000Y-95413000D01* -X107176539Y-95402022D01* -X107178152Y-95391150D01* -X107180823Y-95380488D01* -X107184525Y-95370139D01* -X107189225Y-95360204D01* -X107194875Y-95350776D01* -X107201423Y-95341948D01* -X107208804Y-95333804D01* -X107216948Y-95326423D01* -X107225776Y-95319875D01* -X107235204Y-95314225D01* -X107245139Y-95309525D01* -X107255488Y-95305823D01* -X107266150Y-95303152D01* -X107277022Y-95301539D01* -X107288000Y-95301000D01* -X107512000Y-95301000D01* -X107522978Y-95301539D01* -G37* -G36* -X108197978Y-105226539D02* -G01* -X108208850Y-105228152D01* -X108219512Y-105230823D01* -X108229861Y-105234525D01* -X108239796Y-105239225D01* -X108249224Y-105244875D01* -X108258052Y-105251423D01* -X108266196Y-105258804D01* -X108273577Y-105266948D01* -X108280125Y-105275776D01* -X108285775Y-105285204D01* -X108290475Y-105295139D01* -X108294177Y-105305488D01* -X108296848Y-105316150D01* -X108298461Y-105327022D01* -X108299000Y-105338000D01* -X108299000Y-105562000D01* -X108298461Y-105572978D01* -X108296848Y-105583850D01* -X108294177Y-105594512D01* -X108290475Y-105604861D01* -X108285775Y-105614796D01* -X108280125Y-105624224D01* -X108273577Y-105633052D01* -X108266196Y-105641196D01* -X108258052Y-105648577D01* -X108249224Y-105655125D01* -X108239796Y-105660775D01* -X108229861Y-105665475D01* -X108219512Y-105669177D01* -X108208850Y-105671848D01* -X108197978Y-105673461D01* -X108187000Y-105674000D01* -X107713000Y-105674000D01* -X107702022Y-105673461D01* -X107691150Y-105671848D01* -X107680488Y-105669177D01* -X107670139Y-105665475D01* -X107660204Y-105660775D01* -X107650776Y-105655125D01* -X107641948Y-105648577D01* -X107633804Y-105641196D01* -X107626423Y-105633052D01* -X107619875Y-105624224D01* -X107614225Y-105614796D01* -X107609525Y-105604861D01* -X107605823Y-105594512D01* -X107603152Y-105583850D01* -X107601539Y-105572978D01* -X107601000Y-105562000D01* -X107601000Y-105338000D01* -X107601539Y-105327022D01* -X107603152Y-105316150D01* -X107605823Y-105305488D01* -X107609525Y-105295139D01* -X107614225Y-105285204D01* -X107619875Y-105275776D01* -X107626423Y-105266948D01* -X107633804Y-105258804D01* -X107641948Y-105251423D01* -X107650776Y-105244875D01* -X107660204Y-105239225D01* -X107670139Y-105234525D01* -X107680488Y-105230823D01* -X107691150Y-105228152D01* -X107702022Y-105226539D01* -X107713000Y-105226000D01* -X108187000Y-105226000D01* -X108197978Y-105226539D01* -G37* -G36* -X108220527Y-106326419D02* -G01* -X108228973Y-106327672D01* -X108237255Y-106329746D01* -X108245293Y-106332622D01* -X108253012Y-106336273D01* -X108260335Y-106340662D01* -X108267192Y-106345748D01* -X108273518Y-106351482D01* -X108279252Y-106357808D01* -X108284338Y-106364665D01* -X108288727Y-106371988D01* -X108292378Y-106379707D01* -X108295254Y-106387745D01* -X108297328Y-106396027D01* -X108298581Y-106404473D01* -X108299000Y-106413000D01* -X108299000Y-106587000D01* -X108298581Y-106595527D01* -X108297328Y-106603973D01* -X108295254Y-106612255D01* -X108292378Y-106620293D01* -X108288727Y-106628012D01* -X108284338Y-106635335D01* -X108279252Y-106642192D01* -X108273518Y-106648518D01* -X108267192Y-106654252D01* -X108260335Y-106659338D01* -X108253012Y-106663727D01* -X108245293Y-106667378D01* -X108237255Y-106670254D01* -X108228973Y-106672328D01* -X108220527Y-106673581D01* -X108212000Y-106674000D01* -X107688000Y-106674000D01* -X107679473Y-106673581D01* -X107671027Y-106672328D01* -X107662745Y-106670254D01* -X107654707Y-106667378D01* -X107646988Y-106663727D01* -X107639665Y-106659338D01* -X107632808Y-106654252D01* -X107626482Y-106648518D01* -X107620748Y-106642192D01* -X107615662Y-106635335D01* -X107611273Y-106628012D01* -X107607622Y-106620293D01* -X107604746Y-106612255D01* -X107602672Y-106603973D01* -X107601419Y-106595527D01* -X107601000Y-106587000D01* -X107601000Y-106413000D01* -X107601419Y-106404473D01* -X107602672Y-106396027D01* -X107604746Y-106387745D01* -X107607622Y-106379707D01* -X107611273Y-106371988D01* -X107615662Y-106364665D01* -X107620748Y-106357808D01* -X107626482Y-106351482D01* -X107632808Y-106345748D01* -X107639665Y-106340662D01* -X107646988Y-106336273D01* -X107654707Y-106332622D01* -X107662745Y-106329746D01* -X107671027Y-106327672D01* -X107679473Y-106326419D01* -X107688000Y-106326000D01* -X108212000Y-106326000D01* -X108220527Y-106326419D01* -G37* -G36* -X108220527Y-105826419D02* -G01* -X108228973Y-105827672D01* -X108237255Y-105829746D01* -X108245293Y-105832622D01* -X108253012Y-105836273D01* -X108260335Y-105840662D01* -X108267192Y-105845748D01* -X108273518Y-105851482D01* -X108279252Y-105857808D01* -X108284338Y-105864665D01* -X108288727Y-105871988D01* -X108292378Y-105879707D01* -X108295254Y-105887745D01* -X108297328Y-105896027D01* -X108298581Y-105904473D01* -X108299000Y-105913000D01* -X108299000Y-106087000D01* -X108298581Y-106095527D01* -X108297328Y-106103973D01* -X108295254Y-106112255D01* -X108292378Y-106120293D01* -X108288727Y-106128012D01* -X108284338Y-106135335D01* -X108279252Y-106142192D01* -X108273518Y-106148518D01* -X108267192Y-106154252D01* -X108260335Y-106159338D01* -X108253012Y-106163727D01* -X108245293Y-106167378D01* -X108237255Y-106170254D01* -X108228973Y-106172328D01* -X108220527Y-106173581D01* -X108212000Y-106174000D01* -X107688000Y-106174000D01* -X107679473Y-106173581D01* -X107671027Y-106172328D01* -X107662745Y-106170254D01* -X107654707Y-106167378D01* -X107646988Y-106163727D01* -X107639665Y-106159338D01* -X107632808Y-106154252D01* -X107626482Y-106148518D01* -X107620748Y-106142192D01* -X107615662Y-106135335D01* -X107611273Y-106128012D01* -X107607622Y-106120293D01* -X107604746Y-106112255D01* -X107602672Y-106103973D01* -X107601419Y-106095527D01* -X107601000Y-106087000D01* -X107601000Y-105913000D01* -X107601419Y-105904473D01* -X107602672Y-105896027D01* -X107604746Y-105887745D01* -X107607622Y-105879707D01* -X107611273Y-105871988D01* -X107615662Y-105864665D01* -X107620748Y-105857808D01* -X107626482Y-105851482D01* -X107632808Y-105845748D01* -X107639665Y-105840662D01* -X107646988Y-105836273D01* -X107654707Y-105832622D01* -X107662745Y-105829746D01* -X107671027Y-105827672D01* -X107679473Y-105826419D01* -X107688000Y-105826000D01* -X108212000Y-105826000D01* -X108220527Y-105826419D01* -G37* -G36* -X108197978Y-106826539D02* -G01* -X108208850Y-106828152D01* -X108219512Y-106830823D01* -X108229861Y-106834525D01* -X108239796Y-106839225D01* -X108249224Y-106844875D01* -X108258052Y-106851423D01* -X108266196Y-106858804D01* -X108273577Y-106866948D01* -X108280125Y-106875776D01* -X108285775Y-106885204D01* -X108290475Y-106895139D01* -X108294177Y-106905488D01* -X108296848Y-106916150D01* -X108298461Y-106927022D01* -X108299000Y-106938000D01* -X108299000Y-107162000D01* -X108298461Y-107172978D01* -X108296848Y-107183850D01* -X108294177Y-107194512D01* -X108290475Y-107204861D01* -X108285775Y-107214796D01* -X108280125Y-107224224D01* -X108273577Y-107233052D01* -X108266196Y-107241196D01* -X108258052Y-107248577D01* -X108249224Y-107255125D01* -X108239796Y-107260775D01* -X108229861Y-107265475D01* -X108219512Y-107269177D01* -X108208850Y-107271848D01* -X108197978Y-107273461D01* -X108187000Y-107274000D01* -X107713000Y-107274000D01* -X107702022Y-107273461D01* -X107691150Y-107271848D01* -X107680488Y-107269177D01* -X107670139Y-107265475D01* -X107660204Y-107260775D01* -X107650776Y-107255125D01* -X107641948Y-107248577D01* -X107633804Y-107241196D01* -X107626423Y-107233052D01* -X107619875Y-107224224D01* -X107614225Y-107214796D01* -X107609525Y-107204861D01* -X107605823Y-107194512D01* -X107603152Y-107183850D01* -X107601539Y-107172978D01* -X107601000Y-107162000D01* -X107601000Y-106938000D01* -X107601539Y-106927022D01* -X107603152Y-106916150D01* -X107605823Y-106905488D01* -X107609525Y-106895139D01* -X107614225Y-106885204D01* -X107619875Y-106875776D01* -X107626423Y-106866948D01* -X107633804Y-106858804D01* -X107641948Y-106851423D01* -X107650776Y-106844875D01* -X107660204Y-106839225D01* -X107670139Y-106834525D01* -X107680488Y-106830823D01* -X107691150Y-106828152D01* -X107702022Y-106826539D01* -X107713000Y-106826000D01* -X108187000Y-106826000D01* -X108197978Y-106826539D01* -G37* -G36* -X109220527Y-105826419D02* -G01* -X109228973Y-105827672D01* -X109237255Y-105829746D01* -X109245293Y-105832622D01* -X109253012Y-105836273D01* -X109260335Y-105840662D01* -X109267192Y-105845748D01* -X109273518Y-105851482D01* -X109279252Y-105857808D01* -X109284338Y-105864665D01* -X109288727Y-105871988D01* -X109292378Y-105879707D01* -X109295254Y-105887745D01* -X109297328Y-105896027D01* -X109298581Y-105904473D01* -X109299000Y-105913000D01* -X109299000Y-106087000D01* -X109298581Y-106095527D01* -X109297328Y-106103973D01* -X109295254Y-106112255D01* -X109292378Y-106120293D01* -X109288727Y-106128012D01* -X109284338Y-106135335D01* -X109279252Y-106142192D01* -X109273518Y-106148518D01* -X109267192Y-106154252D01* -X109260335Y-106159338D01* -X109253012Y-106163727D01* -X109245293Y-106167378D01* -X109237255Y-106170254D01* -X109228973Y-106172328D01* -X109220527Y-106173581D01* -X109212000Y-106174000D01* -X108688000Y-106174000D01* -X108679473Y-106173581D01* -X108671027Y-106172328D01* -X108662745Y-106170254D01* -X108654707Y-106167378D01* -X108646988Y-106163727D01* -X108639665Y-106159338D01* -X108632808Y-106154252D01* -X108626482Y-106148518D01* -X108620748Y-106142192D01* -X108615662Y-106135335D01* -X108611273Y-106128012D01* -X108607622Y-106120293D01* -X108604746Y-106112255D01* -X108602672Y-106103973D01* -X108601419Y-106095527D01* -X108601000Y-106087000D01* -X108601000Y-105913000D01* -X108601419Y-105904473D01* -X108602672Y-105896027D01* -X108604746Y-105887745D01* -X108607622Y-105879707D01* -X108611273Y-105871988D01* -X108615662Y-105864665D01* -X108620748Y-105857808D01* -X108626482Y-105851482D01* -X108632808Y-105845748D01* -X108639665Y-105840662D01* -X108646988Y-105836273D01* -X108654707Y-105832622D01* -X108662745Y-105829746D01* -X108671027Y-105827672D01* -X108679473Y-105826419D01* -X108688000Y-105826000D01* -X109212000Y-105826000D01* -X109220527Y-105826419D01* -G37* -G36* -X109197978Y-105226539D02* -G01* -X109208850Y-105228152D01* -X109219512Y-105230823D01* -X109229861Y-105234525D01* -X109239796Y-105239225D01* -X109249224Y-105244875D01* -X109258052Y-105251423D01* -X109266196Y-105258804D01* -X109273577Y-105266948D01* -X109280125Y-105275776D01* -X109285775Y-105285204D01* -X109290475Y-105295139D01* -X109294177Y-105305488D01* -X109296848Y-105316150D01* -X109298461Y-105327022D01* -X109299000Y-105338000D01* -X109299000Y-105562000D01* -X109298461Y-105572978D01* -X109296848Y-105583850D01* -X109294177Y-105594512D01* -X109290475Y-105604861D01* -X109285775Y-105614796D01* -X109280125Y-105624224D01* -X109273577Y-105633052D01* -X109266196Y-105641196D01* -X109258052Y-105648577D01* -X109249224Y-105655125D01* -X109239796Y-105660775D01* -X109229861Y-105665475D01* -X109219512Y-105669177D01* -X109208850Y-105671848D01* -X109197978Y-105673461D01* -X109187000Y-105674000D01* -X108713000Y-105674000D01* -X108702022Y-105673461D01* -X108691150Y-105671848D01* -X108680488Y-105669177D01* -X108670139Y-105665475D01* -X108660204Y-105660775D01* -X108650776Y-105655125D01* -X108641948Y-105648577D01* -X108633804Y-105641196D01* -X108626423Y-105633052D01* -X108619875Y-105624224D01* -X108614225Y-105614796D01* -X108609525Y-105604861D01* -X108605823Y-105594512D01* -X108603152Y-105583850D01* -X108601539Y-105572978D01* -X108601000Y-105562000D01* -X108601000Y-105338000D01* -X108601539Y-105327022D01* -X108603152Y-105316150D01* -X108605823Y-105305488D01* -X108609525Y-105295139D01* -X108614225Y-105285204D01* -X108619875Y-105275776D01* -X108626423Y-105266948D01* -X108633804Y-105258804D01* -X108641948Y-105251423D01* -X108650776Y-105244875D01* -X108660204Y-105239225D01* -X108670139Y-105234525D01* -X108680488Y-105230823D01* -X108691150Y-105228152D01* -X108702022Y-105226539D01* -X108713000Y-105226000D01* -X109187000Y-105226000D01* -X109197978Y-105226539D01* -G37* -G36* -X109220527Y-106326419D02* -G01* -X109228973Y-106327672D01* -X109237255Y-106329746D01* -X109245293Y-106332622D01* -X109253012Y-106336273D01* -X109260335Y-106340662D01* -X109267192Y-106345748D01* -X109273518Y-106351482D01* -X109279252Y-106357808D01* -X109284338Y-106364665D01* -X109288727Y-106371988D01* -X109292378Y-106379707D01* -X109295254Y-106387745D01* -X109297328Y-106396027D01* -X109298581Y-106404473D01* -X109299000Y-106413000D01* -X109299000Y-106587000D01* -X109298581Y-106595527D01* -X109297328Y-106603973D01* -X109295254Y-106612255D01* -X109292378Y-106620293D01* -X109288727Y-106628012D01* -X109284338Y-106635335D01* -X109279252Y-106642192D01* -X109273518Y-106648518D01* -X109267192Y-106654252D01* -X109260335Y-106659338D01* -X109253012Y-106663727D01* -X109245293Y-106667378D01* -X109237255Y-106670254D01* -X109228973Y-106672328D01* -X109220527Y-106673581D01* -X109212000Y-106674000D01* -X108688000Y-106674000D01* -X108679473Y-106673581D01* -X108671027Y-106672328D01* -X108662745Y-106670254D01* -X108654707Y-106667378D01* -X108646988Y-106663727D01* -X108639665Y-106659338D01* -X108632808Y-106654252D01* -X108626482Y-106648518D01* -X108620748Y-106642192D01* -X108615662Y-106635335D01* -X108611273Y-106628012D01* -X108607622Y-106620293D01* -X108604746Y-106612255D01* -X108602672Y-106603973D01* -X108601419Y-106595527D01* -X108601000Y-106587000D01* -X108601000Y-106413000D01* -X108601419Y-106404473D01* -X108602672Y-106396027D01* -X108604746Y-106387745D01* -X108607622Y-106379707D01* -X108611273Y-106371988D01* -X108615662Y-106364665D01* -X108620748Y-106357808D01* -X108626482Y-106351482D01* -X108632808Y-106345748D01* -X108639665Y-106340662D01* -X108646988Y-106336273D01* -X108654707Y-106332622D01* -X108662745Y-106329746D01* -X108671027Y-106327672D01* -X108679473Y-106326419D01* -X108688000Y-106326000D01* -X109212000Y-106326000D01* -X109220527Y-106326419D01* -G37* -G36* -X109197978Y-106826539D02* -G01* -X109208850Y-106828152D01* -X109219512Y-106830823D01* -X109229861Y-106834525D01* -X109239796Y-106839225D01* -X109249224Y-106844875D01* -X109258052Y-106851423D01* -X109266196Y-106858804D01* -X109273577Y-106866948D01* -X109280125Y-106875776D01* -X109285775Y-106885204D01* -X109290475Y-106895139D01* -X109294177Y-106905488D01* -X109296848Y-106916150D01* -X109298461Y-106927022D01* -X109299000Y-106938000D01* -X109299000Y-107162000D01* -X109298461Y-107172978D01* -X109296848Y-107183850D01* -X109294177Y-107194512D01* -X109290475Y-107204861D01* -X109285775Y-107214796D01* -X109280125Y-107224224D01* -X109273577Y-107233052D01* -X109266196Y-107241196D01* -X109258052Y-107248577D01* -X109249224Y-107255125D01* -X109239796Y-107260775D01* -X109229861Y-107265475D01* -X109219512Y-107269177D01* -X109208850Y-107271848D01* -X109197978Y-107273461D01* -X109187000Y-107274000D01* -X108713000Y-107274000D01* -X108702022Y-107273461D01* -X108691150Y-107271848D01* -X108680488Y-107269177D01* -X108670139Y-107265475D01* -X108660204Y-107260775D01* -X108650776Y-107255125D01* -X108641948Y-107248577D01* -X108633804Y-107241196D01* -X108626423Y-107233052D01* -X108619875Y-107224224D01* -X108614225Y-107214796D01* -X108609525Y-107204861D01* -X108605823Y-107194512D01* -X108603152Y-107183850D01* -X108601539Y-107172978D01* -X108601000Y-107162000D01* -X108601000Y-106938000D01* -X108601539Y-106927022D01* -X108603152Y-106916150D01* -X108605823Y-106905488D01* -X108609525Y-106895139D01* -X108614225Y-106885204D01* -X108619875Y-106875776D01* -X108626423Y-106866948D01* -X108633804Y-106858804D01* -X108641948Y-106851423D01* -X108650776Y-106844875D01* -X108660204Y-106839225D01* -X108670139Y-106834525D01* -X108680488Y-106830823D01* -X108691150Y-106828152D01* -X108702022Y-106826539D01* -X108713000Y-106826000D01* -X109187000Y-106826000D01* -X109197978Y-106826539D01* -G37* -G36* -X109197978Y-111226539D02* -G01* -X109208850Y-111228152D01* -X109219512Y-111230823D01* -X109229861Y-111234525D01* -X109239796Y-111239225D01* -X109249224Y-111244875D01* -X109258052Y-111251423D01* -X109266196Y-111258804D01* -X109273577Y-111266948D01* -X109280125Y-111275776D01* -X109285775Y-111285204D01* -X109290475Y-111295139D01* -X109294177Y-111305488D01* -X109296848Y-111316150D01* -X109298461Y-111327022D01* -X109299000Y-111338000D01* -X109299000Y-111562000D01* -X109298461Y-111572978D01* -X109296848Y-111583850D01* -X109294177Y-111594512D01* -X109290475Y-111604861D01* -X109285775Y-111614796D01* -X109280125Y-111624224D01* -X109273577Y-111633052D01* -X109266196Y-111641196D01* -X109258052Y-111648577D01* -X109249224Y-111655125D01* -X109239796Y-111660775D01* -X109229861Y-111665475D01* -X109219512Y-111669177D01* -X109208850Y-111671848D01* -X109197978Y-111673461D01* -X109187000Y-111674000D01* -X108713000Y-111674000D01* -X108702022Y-111673461D01* -X108691150Y-111671848D01* -X108680488Y-111669177D01* -X108670139Y-111665475D01* -X108660204Y-111660775D01* -X108650776Y-111655125D01* -X108641948Y-111648577D01* -X108633804Y-111641196D01* -X108626423Y-111633052D01* -X108619875Y-111624224D01* -X108614225Y-111614796D01* -X108609525Y-111604861D01* -X108605823Y-111594512D01* -X108603152Y-111583850D01* -X108601539Y-111572978D01* -X108601000Y-111562000D01* -X108601000Y-111338000D01* -X108601539Y-111327022D01* -X108603152Y-111316150D01* -X108605823Y-111305488D01* -X108609525Y-111295139D01* -X108614225Y-111285204D01* -X108619875Y-111275776D01* -X108626423Y-111266948D01* -X108633804Y-111258804D01* -X108641948Y-111251423D01* -X108650776Y-111244875D01* -X108660204Y-111239225D01* -X108670139Y-111234525D01* -X108680488Y-111230823D01* -X108691150Y-111228152D01* -X108702022Y-111226539D01* -X108713000Y-111226000D01* -X109187000Y-111226000D01* -X109197978Y-111226539D01* -G37* -G36* -X109220527Y-110726419D02* -G01* -X109228973Y-110727672D01* -X109237255Y-110729746D01* -X109245293Y-110732622D01* -X109253012Y-110736273D01* -X109260335Y-110740662D01* -X109267192Y-110745748D01* -X109273518Y-110751482D01* -X109279252Y-110757808D01* -X109284338Y-110764665D01* -X109288727Y-110771988D01* -X109292378Y-110779707D01* -X109295254Y-110787745D01* -X109297328Y-110796027D01* -X109298581Y-110804473D01* -X109299000Y-110813000D01* -X109299000Y-110987000D01* -X109298581Y-110995527D01* -X109297328Y-111003973D01* -X109295254Y-111012255D01* -X109292378Y-111020293D01* -X109288727Y-111028012D01* -X109284338Y-111035335D01* -X109279252Y-111042192D01* -X109273518Y-111048518D01* -X109267192Y-111054252D01* -X109260335Y-111059338D01* -X109253012Y-111063727D01* -X109245293Y-111067378D01* -X109237255Y-111070254D01* -X109228973Y-111072328D01* -X109220527Y-111073581D01* -X109212000Y-111074000D01* -X108688000Y-111074000D01* -X108679473Y-111073581D01* -X108671027Y-111072328D01* -X108662745Y-111070254D01* -X108654707Y-111067378D01* -X108646988Y-111063727D01* -X108639665Y-111059338D01* -X108632808Y-111054252D01* -X108626482Y-111048518D01* -X108620748Y-111042192D01* -X108615662Y-111035335D01* -X108611273Y-111028012D01* -X108607622Y-111020293D01* -X108604746Y-111012255D01* -X108602672Y-111003973D01* -X108601419Y-110995527D01* -X108601000Y-110987000D01* -X108601000Y-110813000D01* -X108601419Y-110804473D01* -X108602672Y-110796027D01* -X108604746Y-110787745D01* -X108607622Y-110779707D01* -X108611273Y-110771988D01* -X108615662Y-110764665D01* -X108620748Y-110757808D01* -X108626482Y-110751482D01* -X108632808Y-110745748D01* -X108639665Y-110740662D01* -X108646988Y-110736273D01* -X108654707Y-110732622D01* -X108662745Y-110729746D01* -X108671027Y-110727672D01* -X108679473Y-110726419D01* -X108688000Y-110726000D01* -X109212000Y-110726000D01* -X109220527Y-110726419D01* -G37* -G36* -X109197978Y-109626539D02* -G01* -X109208850Y-109628152D01* -X109219512Y-109630823D01* -X109229861Y-109634525D01* -X109239796Y-109639225D01* -X109249224Y-109644875D01* -X109258052Y-109651423D01* -X109266196Y-109658804D01* -X109273577Y-109666948D01* -X109280125Y-109675776D01* -X109285775Y-109685204D01* -X109290475Y-109695139D01* -X109294177Y-109705488D01* -X109296848Y-109716150D01* -X109298461Y-109727022D01* -X109299000Y-109738000D01* -X109299000Y-109962000D01* -X109298461Y-109972978D01* -X109296848Y-109983850D01* -X109294177Y-109994512D01* -X109290475Y-110004861D01* -X109285775Y-110014796D01* -X109280125Y-110024224D01* -X109273577Y-110033052D01* -X109266196Y-110041196D01* -X109258052Y-110048577D01* -X109249224Y-110055125D01* -X109239796Y-110060775D01* -X109229861Y-110065475D01* -X109219512Y-110069177D01* -X109208850Y-110071848D01* -X109197978Y-110073461D01* -X109187000Y-110074000D01* -X108713000Y-110074000D01* -X108702022Y-110073461D01* -X108691150Y-110071848D01* -X108680488Y-110069177D01* -X108670139Y-110065475D01* -X108660204Y-110060775D01* -X108650776Y-110055125D01* -X108641948Y-110048577D01* -X108633804Y-110041196D01* -X108626423Y-110033052D01* -X108619875Y-110024224D01* -X108614225Y-110014796D01* -X108609525Y-110004861D01* -X108605823Y-109994512D01* -X108603152Y-109983850D01* -X108601539Y-109972978D01* -X108601000Y-109962000D01* -X108601000Y-109738000D01* -X108601539Y-109727022D01* -X108603152Y-109716150D01* -X108605823Y-109705488D01* -X108609525Y-109695139D01* -X108614225Y-109685204D01* -X108619875Y-109675776D01* -X108626423Y-109666948D01* -X108633804Y-109658804D01* -X108641948Y-109651423D01* -X108650776Y-109644875D01* -X108660204Y-109639225D01* -X108670139Y-109634525D01* -X108680488Y-109630823D01* -X108691150Y-109628152D01* -X108702022Y-109626539D01* -X108713000Y-109626000D01* -X109187000Y-109626000D01* -X109197978Y-109626539D01* -G37* -G36* -X109220527Y-110226419D02* -G01* -X109228973Y-110227672D01* -X109237255Y-110229746D01* -X109245293Y-110232622D01* -X109253012Y-110236273D01* -X109260335Y-110240662D01* -X109267192Y-110245748D01* -X109273518Y-110251482D01* -X109279252Y-110257808D01* -X109284338Y-110264665D01* -X109288727Y-110271988D01* -X109292378Y-110279707D01* -X109295254Y-110287745D01* -X109297328Y-110296027D01* -X109298581Y-110304473D01* -X109299000Y-110313000D01* -X109299000Y-110487000D01* -X109298581Y-110495527D01* -X109297328Y-110503973D01* -X109295254Y-110512255D01* -X109292378Y-110520293D01* -X109288727Y-110528012D01* -X109284338Y-110535335D01* -X109279252Y-110542192D01* -X109273518Y-110548518D01* -X109267192Y-110554252D01* -X109260335Y-110559338D01* -X109253012Y-110563727D01* -X109245293Y-110567378D01* -X109237255Y-110570254D01* -X109228973Y-110572328D01* -X109220527Y-110573581D01* -X109212000Y-110574000D01* -X108688000Y-110574000D01* -X108679473Y-110573581D01* -X108671027Y-110572328D01* -X108662745Y-110570254D01* -X108654707Y-110567378D01* -X108646988Y-110563727D01* -X108639665Y-110559338D01* -X108632808Y-110554252D01* -X108626482Y-110548518D01* -X108620748Y-110542192D01* -X108615662Y-110535335D01* -X108611273Y-110528012D01* -X108607622Y-110520293D01* -X108604746Y-110512255D01* -X108602672Y-110503973D01* -X108601419Y-110495527D01* -X108601000Y-110487000D01* -X108601000Y-110313000D01* -X108601419Y-110304473D01* -X108602672Y-110296027D01* -X108604746Y-110287745D01* -X108607622Y-110279707D01* -X108611273Y-110271988D01* -X108615662Y-110264665D01* -X108620748Y-110257808D01* -X108626482Y-110251482D01* -X108632808Y-110245748D01* -X108639665Y-110240662D01* -X108646988Y-110236273D01* -X108654707Y-110232622D01* -X108662745Y-110229746D01* -X108671027Y-110227672D01* -X108679473Y-110226419D01* -X108688000Y-110226000D01* -X109212000Y-110226000D01* -X109220527Y-110226419D01* -G37* -G36* -X108197978Y-111226539D02* -G01* -X108208850Y-111228152D01* -X108219512Y-111230823D01* -X108229861Y-111234525D01* -X108239796Y-111239225D01* -X108249224Y-111244875D01* -X108258052Y-111251423D01* -X108266196Y-111258804D01* -X108273577Y-111266948D01* -X108280125Y-111275776D01* -X108285775Y-111285204D01* -X108290475Y-111295139D01* -X108294177Y-111305488D01* -X108296848Y-111316150D01* -X108298461Y-111327022D01* -X108299000Y-111338000D01* -X108299000Y-111562000D01* -X108298461Y-111572978D01* -X108296848Y-111583850D01* -X108294177Y-111594512D01* -X108290475Y-111604861D01* -X108285775Y-111614796D01* -X108280125Y-111624224D01* -X108273577Y-111633052D01* -X108266196Y-111641196D01* -X108258052Y-111648577D01* -X108249224Y-111655125D01* -X108239796Y-111660775D01* -X108229861Y-111665475D01* -X108219512Y-111669177D01* -X108208850Y-111671848D01* -X108197978Y-111673461D01* -X108187000Y-111674000D01* -X107713000Y-111674000D01* -X107702022Y-111673461D01* -X107691150Y-111671848D01* -X107680488Y-111669177D01* -X107670139Y-111665475D01* -X107660204Y-111660775D01* -X107650776Y-111655125D01* -X107641948Y-111648577D01* -X107633804Y-111641196D01* -X107626423Y-111633052D01* -X107619875Y-111624224D01* -X107614225Y-111614796D01* -X107609525Y-111604861D01* -X107605823Y-111594512D01* -X107603152Y-111583850D01* -X107601539Y-111572978D01* -X107601000Y-111562000D01* -X107601000Y-111338000D01* -X107601539Y-111327022D01* -X107603152Y-111316150D01* -X107605823Y-111305488D01* -X107609525Y-111295139D01* -X107614225Y-111285204D01* -X107619875Y-111275776D01* -X107626423Y-111266948D01* -X107633804Y-111258804D01* -X107641948Y-111251423D01* -X107650776Y-111244875D01* -X107660204Y-111239225D01* -X107670139Y-111234525D01* -X107680488Y-111230823D01* -X107691150Y-111228152D01* -X107702022Y-111226539D01* -X107713000Y-111226000D01* -X108187000Y-111226000D01* -X108197978Y-111226539D01* -G37* -G36* -X108220527Y-110226419D02* -G01* -X108228973Y-110227672D01* -X108237255Y-110229746D01* -X108245293Y-110232622D01* -X108253012Y-110236273D01* -X108260335Y-110240662D01* -X108267192Y-110245748D01* -X108273518Y-110251482D01* -X108279252Y-110257808D01* -X108284338Y-110264665D01* -X108288727Y-110271988D01* -X108292378Y-110279707D01* -X108295254Y-110287745D01* -X108297328Y-110296027D01* -X108298581Y-110304473D01* -X108299000Y-110313000D01* -X108299000Y-110487000D01* -X108298581Y-110495527D01* -X108297328Y-110503973D01* -X108295254Y-110512255D01* -X108292378Y-110520293D01* -X108288727Y-110528012D01* -X108284338Y-110535335D01* -X108279252Y-110542192D01* -X108273518Y-110548518D01* -X108267192Y-110554252D01* -X108260335Y-110559338D01* -X108253012Y-110563727D01* -X108245293Y-110567378D01* -X108237255Y-110570254D01* -X108228973Y-110572328D01* -X108220527Y-110573581D01* -X108212000Y-110574000D01* -X107688000Y-110574000D01* -X107679473Y-110573581D01* -X107671027Y-110572328D01* -X107662745Y-110570254D01* -X107654707Y-110567378D01* -X107646988Y-110563727D01* -X107639665Y-110559338D01* -X107632808Y-110554252D01* -X107626482Y-110548518D01* -X107620748Y-110542192D01* -X107615662Y-110535335D01* -X107611273Y-110528012D01* -X107607622Y-110520293D01* -X107604746Y-110512255D01* -X107602672Y-110503973D01* -X107601419Y-110495527D01* -X107601000Y-110487000D01* -X107601000Y-110313000D01* -X107601419Y-110304473D01* -X107602672Y-110296027D01* -X107604746Y-110287745D01* -X107607622Y-110279707D01* -X107611273Y-110271988D01* -X107615662Y-110264665D01* -X107620748Y-110257808D01* -X107626482Y-110251482D01* -X107632808Y-110245748D01* -X107639665Y-110240662D01* -X107646988Y-110236273D01* -X107654707Y-110232622D01* -X107662745Y-110229746D01* -X107671027Y-110227672D01* -X107679473Y-110226419D01* -X107688000Y-110226000D01* -X108212000Y-110226000D01* -X108220527Y-110226419D01* -G37* -G36* -X108220527Y-110726419D02* -G01* -X108228973Y-110727672D01* -X108237255Y-110729746D01* -X108245293Y-110732622D01* -X108253012Y-110736273D01* -X108260335Y-110740662D01* -X108267192Y-110745748D01* -X108273518Y-110751482D01* -X108279252Y-110757808D01* -X108284338Y-110764665D01* -X108288727Y-110771988D01* -X108292378Y-110779707D01* -X108295254Y-110787745D01* -X108297328Y-110796027D01* -X108298581Y-110804473D01* -X108299000Y-110813000D01* -X108299000Y-110987000D01* -X108298581Y-110995527D01* -X108297328Y-111003973D01* -X108295254Y-111012255D01* -X108292378Y-111020293D01* -X108288727Y-111028012D01* -X108284338Y-111035335D01* -X108279252Y-111042192D01* -X108273518Y-111048518D01* -X108267192Y-111054252D01* -X108260335Y-111059338D01* -X108253012Y-111063727D01* -X108245293Y-111067378D01* -X108237255Y-111070254D01* -X108228973Y-111072328D01* -X108220527Y-111073581D01* -X108212000Y-111074000D01* -X107688000Y-111074000D01* -X107679473Y-111073581D01* -X107671027Y-111072328D01* -X107662745Y-111070254D01* -X107654707Y-111067378D01* -X107646988Y-111063727D01* -X107639665Y-111059338D01* -X107632808Y-111054252D01* -X107626482Y-111048518D01* -X107620748Y-111042192D01* -X107615662Y-111035335D01* -X107611273Y-111028012D01* -X107607622Y-111020293D01* -X107604746Y-111012255D01* -X107602672Y-111003973D01* -X107601419Y-110995527D01* -X107601000Y-110987000D01* -X107601000Y-110813000D01* -X107601419Y-110804473D01* -X107602672Y-110796027D01* -X107604746Y-110787745D01* -X107607622Y-110779707D01* -X107611273Y-110771988D01* -X107615662Y-110764665D01* -X107620748Y-110757808D01* -X107626482Y-110751482D01* -X107632808Y-110745748D01* -X107639665Y-110740662D01* -X107646988Y-110736273D01* -X107654707Y-110732622D01* -X107662745Y-110729746D01* -X107671027Y-110727672D01* -X107679473Y-110726419D01* -X107688000Y-110726000D01* -X108212000Y-110726000D01* -X108220527Y-110726419D01* -G37* -G36* -X108197978Y-109626539D02* -G01* -X108208850Y-109628152D01* -X108219512Y-109630823D01* -X108229861Y-109634525D01* -X108239796Y-109639225D01* -X108249224Y-109644875D01* -X108258052Y-109651423D01* -X108266196Y-109658804D01* -X108273577Y-109666948D01* -X108280125Y-109675776D01* -X108285775Y-109685204D01* -X108290475Y-109695139D01* -X108294177Y-109705488D01* -X108296848Y-109716150D01* -X108298461Y-109727022D01* -X108299000Y-109738000D01* -X108299000Y-109962000D01* -X108298461Y-109972978D01* -X108296848Y-109983850D01* -X108294177Y-109994512D01* -X108290475Y-110004861D01* -X108285775Y-110014796D01* -X108280125Y-110024224D01* -X108273577Y-110033052D01* -X108266196Y-110041196D01* -X108258052Y-110048577D01* -X108249224Y-110055125D01* -X108239796Y-110060775D01* -X108229861Y-110065475D01* -X108219512Y-110069177D01* -X108208850Y-110071848D01* -X108197978Y-110073461D01* -X108187000Y-110074000D01* -X107713000Y-110074000D01* -X107702022Y-110073461D01* -X107691150Y-110071848D01* -X107680488Y-110069177D01* -X107670139Y-110065475D01* -X107660204Y-110060775D01* -X107650776Y-110055125D01* -X107641948Y-110048577D01* -X107633804Y-110041196D01* -X107626423Y-110033052D01* -X107619875Y-110024224D01* -X107614225Y-110014796D01* -X107609525Y-110004861D01* -X107605823Y-109994512D01* -X107603152Y-109983850D01* -X107601539Y-109972978D01* -X107601000Y-109962000D01* -X107601000Y-109738000D01* -X107601539Y-109727022D01* -X107603152Y-109716150D01* -X107605823Y-109705488D01* -X107609525Y-109695139D01* -X107614225Y-109685204D01* -X107619875Y-109675776D01* -X107626423Y-109666948D01* -X107633804Y-109658804D01* -X107641948Y-109651423D01* -X107650776Y-109644875D01* -X107660204Y-109639225D01* -X107670139Y-109634525D01* -X107680488Y-109630823D01* -X107691150Y-109628152D01* -X107702022Y-109626539D01* -X107713000Y-109626000D01* -X108187000Y-109626000D01* -X108197978Y-109626539D01* -G37* -G36* -X83110779Y-103827144D02* -G01* -X83133834Y-103830563D01* -X83156443Y-103836227D01* -X83178387Y-103844079D01* -X83199457Y-103854044D01* -X83219448Y-103866026D01* -X83238168Y-103879910D01* -X83255438Y-103895562D01* -X83271090Y-103912832D01* -X83284974Y-103931552D01* -X83296956Y-103951543D01* -X83306921Y-103972613D01* -X83314773Y-103994557D01* -X83320437Y-104017166D01* -X83323856Y-104040221D01* -X83325000Y-104063500D01* -X83325000Y-104538500D01* -X83323856Y-104561779D01* -X83320437Y-104584834D01* -X83314773Y-104607443D01* -X83306921Y-104629387D01* -X83296956Y-104650457D01* -X83284974Y-104670448D01* -X83271090Y-104689168D01* -X83255438Y-104706438D01* -X83238168Y-104722090D01* -X83219448Y-104735974D01* -X83199457Y-104747956D01* -X83178387Y-104757921D01* -X83156443Y-104765773D01* -X83133834Y-104771437D01* -X83110779Y-104774856D01* -X83087500Y-104776000D01* -X82512500Y-104776000D01* -X82489221Y-104774856D01* -X82466166Y-104771437D01* -X82443557Y-104765773D01* -X82421613Y-104757921D01* -X82400543Y-104747956D01* -X82380552Y-104735974D01* -X82361832Y-104722090D01* -X82344562Y-104706438D01* -X82328910Y-104689168D01* -X82315026Y-104670448D01* -X82303044Y-104650457D01* -X82293079Y-104629387D01* -X82285227Y-104607443D01* -X82279563Y-104584834D01* -X82276144Y-104561779D01* -X82275000Y-104538500D01* -X82275000Y-104063500D01* -X82276144Y-104040221D01* -X82279563Y-104017166D01* -X82285227Y-103994557D01* -X82293079Y-103972613D01* -X82303044Y-103951543D01* -X82315026Y-103931552D01* -X82328910Y-103912832D01* -X82344562Y-103895562D01* -X82361832Y-103879910D01* -X82380552Y-103866026D01* -X82400543Y-103854044D01* -X82421613Y-103844079D01* -X82443557Y-103836227D01* -X82466166Y-103830563D01* -X82489221Y-103827144D01* -X82512500Y-103826000D01* -X83087500Y-103826000D01* -X83110779Y-103827144D01* -G37* -G36* -X83110779Y-102327144D02* -G01* -X83133834Y-102330563D01* -X83156443Y-102336227D01* -X83178387Y-102344079D01* -X83199457Y-102354044D01* -X83219448Y-102366026D01* -X83238168Y-102379910D01* -X83255438Y-102395562D01* -X83271090Y-102412832D01* -X83284974Y-102431552D01* -X83296956Y-102451543D01* -X83306921Y-102472613D01* -X83314773Y-102494557D01* -X83320437Y-102517166D01* -X83323856Y-102540221D01* -X83325000Y-102563500D01* -X83325000Y-103038500D01* -X83323856Y-103061779D01* -X83320437Y-103084834D01* -X83314773Y-103107443D01* -X83306921Y-103129387D01* -X83296956Y-103150457D01* -X83284974Y-103170448D01* -X83271090Y-103189168D01* -X83255438Y-103206438D01* -X83238168Y-103222090D01* -X83219448Y-103235974D01* -X83199457Y-103247956D01* -X83178387Y-103257921D01* -X83156443Y-103265773D01* -X83133834Y-103271437D01* -X83110779Y-103274856D01* -X83087500Y-103276000D01* -X82512500Y-103276000D01* -X82489221Y-103274856D01* -X82466166Y-103271437D01* -X82443557Y-103265773D01* -X82421613Y-103257921D01* -X82400543Y-103247956D01* -X82380552Y-103235974D01* -X82361832Y-103222090D01* -X82344562Y-103206438D01* -X82328910Y-103189168D01* -X82315026Y-103170448D01* -X82303044Y-103150457D01* -X82293079Y-103129387D01* -X82285227Y-103107443D01* -X82279563Y-103084834D01* -X82276144Y-103061779D01* -X82275000Y-103038500D01* -X82275000Y-102563500D01* -X82276144Y-102540221D01* -X82279563Y-102517166D01* -X82285227Y-102494557D01* -X82293079Y-102472613D01* -X82303044Y-102451543D01* -X82315026Y-102431552D01* -X82328910Y-102412832D01* -X82344562Y-102395562D01* -X82361832Y-102379910D01* -X82380552Y-102366026D01* -X82400543Y-102354044D01* -X82421613Y-102344079D01* -X82443557Y-102336227D01* -X82466166Y-102330563D01* -X82489221Y-102327144D01* -X82512500Y-102326000D01* -X83087500Y-102326000D01* -X83110779Y-102327144D01* -G37* -G36* -X116431762Y-122160578D02* -G01* -X116443411Y-122162306D01* -X116454834Y-122165167D01* -X116465922Y-122169134D01* -X116476568Y-122174169D01* -X116486668Y-122180224D01* -X116496127Y-122187239D01* -X116504853Y-122195147D01* -X116512761Y-122203873D01* -X116519776Y-122213332D01* -X116525831Y-122223432D01* -X116530866Y-122234078D01* -X116534833Y-122245166D01* -X116537694Y-122256589D01* -X116539422Y-122268238D01* -X116540000Y-122280000D01* -X116540000Y-123120000D01* -X116539422Y-123131762D01* -X116537694Y-123143411D01* -X116534833Y-123154834D01* -X116530866Y-123165922D01* -X116525831Y-123176568D01* -X116519776Y-123186668D01* -X116512761Y-123196127D01* -X116504853Y-123204853D01* -X116496127Y-123212761D01* -X116486668Y-123219776D01* -X116476568Y-123225831D01* -X116465922Y-123230866D01* -X116454834Y-123234833D01* -X116443411Y-123237694D01* -X116431762Y-123239422D01* -X116420000Y-123240000D01* -X116180000Y-123240000D01* -X116168238Y-123239422D01* -X116156589Y-123237694D01* -X116145166Y-123234833D01* -X116134078Y-123230866D01* -X116123432Y-123225831D01* -X116113332Y-123219776D01* -X116103873Y-123212761D01* -X116095147Y-123204853D01* -X116087239Y-123196127D01* -X116080224Y-123186668D01* -X116074169Y-123176568D01* -X116069134Y-123165922D01* -X116065167Y-123154834D01* -X116062306Y-123143411D01* -X116060578Y-123131762D01* -X116060000Y-123120000D01* -X116060000Y-122280000D01* -X116060578Y-122268238D01* -X116062306Y-122256589D01* -X116065167Y-122245166D01* -X116069134Y-122234078D01* -X116074169Y-122223432D01* -X116080224Y-122213332D01* -X116087239Y-122203873D01* -X116095147Y-122195147D01* -X116103873Y-122187239D01* -X116113332Y-122180224D01* -X116123432Y-122174169D01* -X116134078Y-122169134D01* -X116145166Y-122165167D01* -X116156589Y-122162306D01* -X116168238Y-122160578D01* -X116180000Y-122160000D01* -X116420000Y-122160000D01* -X116431762Y-122160578D01* -G37* -G36* -X115131762Y-122160578D02* -G01* -X115143411Y-122162306D01* -X115154834Y-122165167D01* -X115165922Y-122169134D01* -X115176568Y-122174169D01* -X115186668Y-122180224D01* -X115196127Y-122187239D01* -X115204853Y-122195147D01* -X115212761Y-122203873D01* -X115219776Y-122213332D01* -X115225831Y-122223432D01* -X115230866Y-122234078D01* -X115234833Y-122245166D01* -X115237694Y-122256589D01* -X115239422Y-122268238D01* -X115240000Y-122280000D01* -X115240000Y-123120000D01* -X115239422Y-123131762D01* -X115237694Y-123143411D01* -X115234833Y-123154834D01* -X115230866Y-123165922D01* -X115225831Y-123176568D01* -X115219776Y-123186668D01* -X115212761Y-123196127D01* -X115204853Y-123204853D01* -X115196127Y-123212761D01* -X115186668Y-123219776D01* -X115176568Y-123225831D01* -X115165922Y-123230866D01* -X115154834Y-123234833D01* -X115143411Y-123237694D01* -X115131762Y-123239422D01* -X115120000Y-123240000D01* -X114880000Y-123240000D01* -X114868238Y-123239422D01* -X114856589Y-123237694D01* -X114845166Y-123234833D01* -X114834078Y-123230866D01* -X114823432Y-123225831D01* -X114813332Y-123219776D01* -X114803873Y-123212761D01* -X114795147Y-123204853D01* -X114787239Y-123196127D01* -X114780224Y-123186668D01* -X114774169Y-123176568D01* -X114769134Y-123165922D01* -X114765167Y-123154834D01* -X114762306Y-123143411D01* -X114760578Y-123131762D01* -X114760000Y-123120000D01* -X114760000Y-122280000D01* -X114760578Y-122268238D01* -X114762306Y-122256589D01* -X114765167Y-122245166D01* -X114769134Y-122234078D01* -X114774169Y-122223432D01* -X114780224Y-122213332D01* -X114787239Y-122203873D01* -X114795147Y-122195147D01* -X114803873Y-122187239D01* -X114813332Y-122180224D01* -X114823432Y-122174169D01* -X114834078Y-122169134D01* -X114845166Y-122165167D01* -X114856589Y-122162306D01* -X114868238Y-122160578D01* -X114880000Y-122160000D01* -X115120000Y-122160000D01* -X115131762Y-122160578D01* -G37* -G36* -X115781762Y-120460578D02* -G01* -X115793411Y-120462306D01* -X115804834Y-120465167D01* -X115815922Y-120469134D01* -X115826568Y-120474169D01* -X115836668Y-120480224D01* -X115846127Y-120487239D01* -X115854853Y-120495147D01* -X115862761Y-120503873D01* -X115869776Y-120513332D01* -X115875831Y-120523432D01* -X115880866Y-120534078D01* -X115884833Y-120545166D01* -X115887694Y-120556589D01* -X115889422Y-120568238D01* -X115890000Y-120580000D01* -X115890000Y-121420000D01* -X115889422Y-121431762D01* -X115887694Y-121443411D01* -X115884833Y-121454834D01* -X115880866Y-121465922D01* -X115875831Y-121476568D01* -X115869776Y-121486668D01* -X115862761Y-121496127D01* -X115854853Y-121504853D01* -X115846127Y-121512761D01* -X115836668Y-121519776D01* -X115826568Y-121525831D01* -X115815922Y-121530866D01* -X115804834Y-121534833D01* -X115793411Y-121537694D01* -X115781762Y-121539422D01* -X115770000Y-121540000D01* -X115530000Y-121540000D01* -X115518238Y-121539422D01* -X115506589Y-121537694D01* -X115495166Y-121534833D01* -X115484078Y-121530866D01* -X115473432Y-121525831D01* -X115463332Y-121519776D01* -X115453873Y-121512761D01* -X115445147Y-121504853D01* -X115437239Y-121496127D01* -X115430224Y-121486668D01* -X115424169Y-121476568D01* -X115419134Y-121465922D01* -X115415167Y-121454834D01* -X115412306Y-121443411D01* -X115410578Y-121431762D01* -X115410000Y-121420000D01* -X115410000Y-120580000D01* -X115410578Y-120568238D01* -X115412306Y-120556589D01* -X115415167Y-120545166D01* -X115419134Y-120534078D01* -X115424169Y-120523432D01* -X115430224Y-120513332D01* -X115437239Y-120503873D01* -X115445147Y-120495147D01* -X115453873Y-120487239D01* -X115463332Y-120480224D01* -X115473432Y-120474169D01* -X115484078Y-120469134D01* -X115495166Y-120465167D01* -X115506589Y-120462306D01* -X115518238Y-120460578D01* -X115530000Y-120460000D01* -X115770000Y-120460000D01* -X115781762Y-120460578D01* -G37* -G36* -X116431762Y-120460578D02* -G01* -X116443411Y-120462306D01* -X116454834Y-120465167D01* -X116465922Y-120469134D01* -X116476568Y-120474169D01* -X116486668Y-120480224D01* -X116496127Y-120487239D01* -X116504853Y-120495147D01* -X116512761Y-120503873D01* -X116519776Y-120513332D01* -X116525831Y-120523432D01* -X116530866Y-120534078D01* -X116534833Y-120545166D01* -X116537694Y-120556589D01* -X116539422Y-120568238D01* -X116540000Y-120580000D01* -X116540000Y-121420000D01* -X116539422Y-121431762D01* -X116537694Y-121443411D01* -X116534833Y-121454834D01* -X116530866Y-121465922D01* -X116525831Y-121476568D01* -X116519776Y-121486668D01* -X116512761Y-121496127D01* -X116504853Y-121504853D01* -X116496127Y-121512761D01* -X116486668Y-121519776D01* -X116476568Y-121525831D01* -X116465922Y-121530866D01* -X116454834Y-121534833D01* -X116443411Y-121537694D01* -X116431762Y-121539422D01* -X116420000Y-121540000D01* -X116180000Y-121540000D01* -X116168238Y-121539422D01* -X116156589Y-121537694D01* -X116145166Y-121534833D01* -X116134078Y-121530866D01* -X116123432Y-121525831D01* -X116113332Y-121519776D01* -X116103873Y-121512761D01* -X116095147Y-121504853D01* -X116087239Y-121496127D01* -X116080224Y-121486668D01* -X116074169Y-121476568D01* -X116069134Y-121465922D01* -X116065167Y-121454834D01* -X116062306Y-121443411D01* -X116060578Y-121431762D01* -X116060000Y-121420000D01* -X116060000Y-120580000D01* -X116060578Y-120568238D01* -X116062306Y-120556589D01* -X116065167Y-120545166D01* -X116069134Y-120534078D01* -X116074169Y-120523432D01* -X116080224Y-120513332D01* -X116087239Y-120503873D01* -X116095147Y-120495147D01* -X116103873Y-120487239D01* -X116113332Y-120480224D01* -X116123432Y-120474169D01* -X116134078Y-120469134D01* -X116145166Y-120465167D01* -X116156589Y-120462306D01* -X116168238Y-120460578D01* -X116180000Y-120460000D01* -X116420000Y-120460000D01* -X116431762Y-120460578D01* -G37* -G36* -X115131762Y-120460578D02* -G01* -X115143411Y-120462306D01* -X115154834Y-120465167D01* -X115165922Y-120469134D01* -X115176568Y-120474169D01* -X115186668Y-120480224D01* -X115196127Y-120487239D01* -X115204853Y-120495147D01* -X115212761Y-120503873D01* -X115219776Y-120513332D01* -X115225831Y-120523432D01* -X115230866Y-120534078D01* -X115234833Y-120545166D01* -X115237694Y-120556589D01* -X115239422Y-120568238D01* -X115240000Y-120580000D01* -X115240000Y-121420000D01* -X115239422Y-121431762D01* -X115237694Y-121443411D01* -X115234833Y-121454834D01* -X115230866Y-121465922D01* -X115225831Y-121476568D01* -X115219776Y-121486668D01* -X115212761Y-121496127D01* -X115204853Y-121504853D01* -X115196127Y-121512761D01* -X115186668Y-121519776D01* -X115176568Y-121525831D01* -X115165922Y-121530866D01* -X115154834Y-121534833D01* -X115143411Y-121537694D01* -X115131762Y-121539422D01* -X115120000Y-121540000D01* -X114880000Y-121540000D01* -X114868238Y-121539422D01* -X114856589Y-121537694D01* -X114845166Y-121534833D01* -X114834078Y-121530866D01* -X114823432Y-121525831D01* -X114813332Y-121519776D01* -X114803873Y-121512761D01* -X114795147Y-121504853D01* -X114787239Y-121496127D01* -X114780224Y-121486668D01* -X114774169Y-121476568D01* -X114769134Y-121465922D01* -X114765167Y-121454834D01* -X114762306Y-121443411D01* -X114760578Y-121431762D01* -X114760000Y-121420000D01* -X114760000Y-120580000D01* -X114760578Y-120568238D01* -X114762306Y-120556589D01* -X114765167Y-120545166D01* -X114769134Y-120534078D01* -X114774169Y-120523432D01* -X114780224Y-120513332D01* -X114787239Y-120503873D01* -X114795147Y-120495147D01* -X114803873Y-120487239D01* -X114813332Y-120480224D01* -X114823432Y-120474169D01* -X114834078Y-120469134D01* -X114845166Y-120465167D01* -X114856589Y-120462306D01* -X114868238Y-120460578D01* -X114880000Y-120460000D01* -X115120000Y-120460000D01* -X115131762Y-120460578D01* -G37* -G36* -X118110779Y-122376144D02* -G01* -X118133834Y-122379563D01* -X118156443Y-122385227D01* -X118178387Y-122393079D01* -X118199457Y-122403044D01* -X118219448Y-122415026D01* -X118238168Y-122428910D01* -X118255438Y-122444562D01* -X118271090Y-122461832D01* -X118284974Y-122480552D01* -X118296956Y-122500543D01* -X118306921Y-122521613D01* -X118314773Y-122543557D01* -X118320437Y-122566166D01* -X118323856Y-122589221D01* -X118325000Y-122612500D01* -X118325000Y-123087500D01* -X118323856Y-123110779D01* -X118320437Y-123133834D01* -X118314773Y-123156443D01* -X118306921Y-123178387D01* -X118296956Y-123199457D01* -X118284974Y-123219448D01* -X118271090Y-123238168D01* -X118255438Y-123255438D01* -X118238168Y-123271090D01* -X118219448Y-123284974D01* -X118199457Y-123296956D01* -X118178387Y-123306921D01* -X118156443Y-123314773D01* -X118133834Y-123320437D01* -X118110779Y-123323856D01* -X118087500Y-123325000D01* -X117512500Y-123325000D01* -X117489221Y-123323856D01* -X117466166Y-123320437D01* -X117443557Y-123314773D01* -X117421613Y-123306921D01* -X117400543Y-123296956D01* -X117380552Y-123284974D01* -X117361832Y-123271090D01* -X117344562Y-123255438D01* -X117328910Y-123238168D01* -X117315026Y-123219448D01* -X117303044Y-123199457D01* -X117293079Y-123178387D01* -X117285227Y-123156443D01* -X117279563Y-123133834D01* -X117276144Y-123110779D01* -X117275000Y-123087500D01* -X117275000Y-122612500D01* -X117276144Y-122589221D01* -X117279563Y-122566166D01* -X117285227Y-122543557D01* -X117293079Y-122521613D01* -X117303044Y-122500543D01* -X117315026Y-122480552D01* -X117328910Y-122461832D01* -X117344562Y-122444562D01* -X117361832Y-122428910D01* -X117380552Y-122415026D01* -X117400543Y-122403044D01* -X117421613Y-122393079D01* -X117443557Y-122385227D01* -X117466166Y-122379563D01* -X117489221Y-122376144D01* -X117512500Y-122375000D01* -X118087500Y-122375000D01* -X118110779Y-122376144D01* -G37* -G36* -X118110779Y-120876144D02* -G01* -X118133834Y-120879563D01* -X118156443Y-120885227D01* -X118178387Y-120893079D01* -X118199457Y-120903044D01* -X118219448Y-120915026D01* -X118238168Y-120928910D01* -X118255438Y-120944562D01* -X118271090Y-120961832D01* -X118284974Y-120980552D01* -X118296956Y-121000543D01* -X118306921Y-121021613D01* -X118314773Y-121043557D01* -X118320437Y-121066166D01* -X118323856Y-121089221D01* -X118325000Y-121112500D01* -X118325000Y-121587500D01* -X118323856Y-121610779D01* -X118320437Y-121633834D01* -X118314773Y-121656443D01* -X118306921Y-121678387D01* -X118296956Y-121699457D01* -X118284974Y-121719448D01* -X118271090Y-121738168D01* -X118255438Y-121755438D01* -X118238168Y-121771090D01* -X118219448Y-121784974D01* -X118199457Y-121796956D01* -X118178387Y-121806921D01* -X118156443Y-121814773D01* -X118133834Y-121820437D01* -X118110779Y-121823856D01* -X118087500Y-121825000D01* -X117512500Y-121825000D01* -X117489221Y-121823856D01* -X117466166Y-121820437D01* -X117443557Y-121814773D01* -X117421613Y-121806921D01* -X117400543Y-121796956D01* -X117380552Y-121784974D01* -X117361832Y-121771090D01* -X117344562Y-121755438D01* -X117328910Y-121738168D01* -X117315026Y-121719448D01* -X117303044Y-121699457D01* -X117293079Y-121678387D01* -X117285227Y-121656443D01* -X117279563Y-121633834D01* -X117276144Y-121610779D01* -X117275000Y-121587500D01* -X117275000Y-121112500D01* -X117276144Y-121089221D01* -X117279563Y-121066166D01* -X117285227Y-121043557D01* -X117293079Y-121021613D01* -X117303044Y-121000543D01* -X117315026Y-120980552D01* -X117328910Y-120961832D01* -X117344562Y-120944562D01* -X117361832Y-120928910D01* -X117380552Y-120915026D01* -X117400543Y-120903044D01* -X117421613Y-120893079D01* -X117443557Y-120885227D01* -X117466166Y-120879563D01* -X117489221Y-120876144D01* -X117512500Y-120875000D01* -X118087500Y-120875000D01* -X118110779Y-120876144D01* -G37* -G36* -X113160779Y-90226144D02* -G01* -X113183834Y-90229563D01* -X113206443Y-90235227D01* -X113228387Y-90243079D01* -X113249457Y-90253044D01* -X113269448Y-90265026D01* -X113288168Y-90278910D01* -X113305438Y-90294562D01* -X113321090Y-90311832D01* -X113334974Y-90330552D01* -X113346956Y-90350543D01* -X113356921Y-90371613D01* -X113364773Y-90393557D01* -X113370437Y-90416166D01* -X113373856Y-90439221D01* -X113375000Y-90462500D01* -X113375000Y-91037500D01* -X113373856Y-91060779D01* -X113370437Y-91083834D01* -X113364773Y-91106443D01* -X113356921Y-91128387D01* -X113346956Y-91149457D01* -X113334974Y-91169448D01* -X113321090Y-91188168D01* -X113305438Y-91205438D01* -X113288168Y-91221090D01* -X113269448Y-91234974D01* -X113249457Y-91246956D01* -X113228387Y-91256921D01* -X113206443Y-91264773D01* -X113183834Y-91270437D01* -X113160779Y-91273856D01* -X113137500Y-91275000D01* -X112662500Y-91275000D01* -X112639221Y-91273856D01* -X112616166Y-91270437D01* -X112593557Y-91264773D01* -X112571613Y-91256921D01* -X112550543Y-91246956D01* -X112530552Y-91234974D01* -X112511832Y-91221090D01* -X112494562Y-91205438D01* -X112478910Y-91188168D01* -X112465026Y-91169448D01* -X112453044Y-91149457D01* -X112443079Y-91128387D01* -X112435227Y-91106443D01* -X112429563Y-91083834D01* -X112426144Y-91060779D01* -X112425000Y-91037500D01* -X112425000Y-90462500D01* -X112426144Y-90439221D01* -X112429563Y-90416166D01* -X112435227Y-90393557D01* -X112443079Y-90371613D01* -X112453044Y-90350543D01* -X112465026Y-90330552D01* -X112478910Y-90311832D01* -X112494562Y-90294562D01* -X112511832Y-90278910D01* -X112530552Y-90265026D01* -X112550543Y-90253044D01* -X112571613Y-90243079D01* -X112593557Y-90235227D01* -X112616166Y-90229563D01* -X112639221Y-90226144D01* -X112662500Y-90225000D01* -X113137500Y-90225000D01* -X113160779Y-90226144D01* -G37* -G36* -X114660779Y-90226144D02* -G01* -X114683834Y-90229563D01* -X114706443Y-90235227D01* -X114728387Y-90243079D01* -X114749457Y-90253044D01* -X114769448Y-90265026D01* -X114788168Y-90278910D01* -X114805438Y-90294562D01* -X114821090Y-90311832D01* -X114834974Y-90330552D01* -X114846956Y-90350543D01* -X114856921Y-90371613D01* -X114864773Y-90393557D01* -X114870437Y-90416166D01* -X114873856Y-90439221D01* -X114875000Y-90462500D01* -X114875000Y-91037500D01* -X114873856Y-91060779D01* -X114870437Y-91083834D01* -X114864773Y-91106443D01* -X114856921Y-91128387D01* -X114846956Y-91149457D01* -X114834974Y-91169448D01* -X114821090Y-91188168D01* -X114805438Y-91205438D01* -X114788168Y-91221090D01* -X114769448Y-91234974D01* -X114749457Y-91246956D01* -X114728387Y-91256921D01* -X114706443Y-91264773D01* -X114683834Y-91270437D01* -X114660779Y-91273856D01* -X114637500Y-91275000D01* -X114162500Y-91275000D01* -X114139221Y-91273856D01* -X114116166Y-91270437D01* -X114093557Y-91264773D01* -X114071613Y-91256921D01* -X114050543Y-91246956D01* -X114030552Y-91234974D01* -X114011832Y-91221090D01* -X113994562Y-91205438D01* -X113978910Y-91188168D01* -X113965026Y-91169448D01* -X113953044Y-91149457D01* -X113943079Y-91128387D01* -X113935227Y-91106443D01* -X113929563Y-91083834D01* -X113926144Y-91060779D01* -X113925000Y-91037500D01* -X113925000Y-90462500D01* -X113926144Y-90439221D01* -X113929563Y-90416166D01* -X113935227Y-90393557D01* -X113943079Y-90371613D01* -X113953044Y-90350543D01* -X113965026Y-90330552D01* -X113978910Y-90311832D01* -X113994562Y-90294562D01* -X114011832Y-90278910D01* -X114030552Y-90265026D01* -X114050543Y-90253044D01* -X114071613Y-90243079D01* -X114093557Y-90235227D01* -X114116166Y-90229563D01* -X114139221Y-90226144D01* -X114162500Y-90225000D01* -X114637500Y-90225000D01* -X114660779Y-90226144D01* -G37* -G36* -X124660779Y-90226144D02* -G01* -X124683834Y-90229563D01* -X124706443Y-90235227D01* -X124728387Y-90243079D01* -X124749457Y-90253044D01* -X124769448Y-90265026D01* -X124788168Y-90278910D01* -X124805438Y-90294562D01* -X124821090Y-90311832D01* -X124834974Y-90330552D01* -X124846956Y-90350543D01* -X124856921Y-90371613D01* -X124864773Y-90393557D01* -X124870437Y-90416166D01* -X124873856Y-90439221D01* -X124875000Y-90462500D01* -X124875000Y-91037500D01* -X124873856Y-91060779D01* -X124870437Y-91083834D01* -X124864773Y-91106443D01* -X124856921Y-91128387D01* -X124846956Y-91149457D01* -X124834974Y-91169448D01* -X124821090Y-91188168D01* -X124805438Y-91205438D01* -X124788168Y-91221090D01* -X124769448Y-91234974D01* -X124749457Y-91246956D01* -X124728387Y-91256921D01* -X124706443Y-91264773D01* -X124683834Y-91270437D01* -X124660779Y-91273856D01* -X124637500Y-91275000D01* -X124162500Y-91275000D01* -X124139221Y-91273856D01* -X124116166Y-91270437D01* -X124093557Y-91264773D01* -X124071613Y-91256921D01* -X124050543Y-91246956D01* -X124030552Y-91234974D01* -X124011832Y-91221090D01* -X123994562Y-91205438D01* -X123978910Y-91188168D01* -X123965026Y-91169448D01* -X123953044Y-91149457D01* -X123943079Y-91128387D01* -X123935227Y-91106443D01* -X123929563Y-91083834D01* -X123926144Y-91060779D01* -X123925000Y-91037500D01* -X123925000Y-90462500D01* -X123926144Y-90439221D01* -X123929563Y-90416166D01* -X123935227Y-90393557D01* -X123943079Y-90371613D01* -X123953044Y-90350543D01* -X123965026Y-90330552D01* -X123978910Y-90311832D01* -X123994562Y-90294562D01* -X124011832Y-90278910D01* -X124030552Y-90265026D01* -X124050543Y-90253044D01* -X124071613Y-90243079D01* -X124093557Y-90235227D01* -X124116166Y-90229563D01* -X124139221Y-90226144D01* -X124162500Y-90225000D01* -X124637500Y-90225000D01* -X124660779Y-90226144D01* -G37* -G36* -X123160779Y-90226144D02* -G01* -X123183834Y-90229563D01* -X123206443Y-90235227D01* -X123228387Y-90243079D01* -X123249457Y-90253044D01* -X123269448Y-90265026D01* -X123288168Y-90278910D01* -X123305438Y-90294562D01* -X123321090Y-90311832D01* -X123334974Y-90330552D01* -X123346956Y-90350543D01* -X123356921Y-90371613D01* -X123364773Y-90393557D01* -X123370437Y-90416166D01* -X123373856Y-90439221D01* -X123375000Y-90462500D01* -X123375000Y-91037500D01* -X123373856Y-91060779D01* -X123370437Y-91083834D01* -X123364773Y-91106443D01* -X123356921Y-91128387D01* -X123346956Y-91149457D01* -X123334974Y-91169448D01* -X123321090Y-91188168D01* -X123305438Y-91205438D01* -X123288168Y-91221090D01* -X123269448Y-91234974D01* -X123249457Y-91246956D01* -X123228387Y-91256921D01* -X123206443Y-91264773D01* -X123183834Y-91270437D01* -X123160779Y-91273856D01* -X123137500Y-91275000D01* -X122662500Y-91275000D01* -X122639221Y-91273856D01* -X122616166Y-91270437D01* -X122593557Y-91264773D01* -X122571613Y-91256921D01* -X122550543Y-91246956D01* -X122530552Y-91234974D01* -X122511832Y-91221090D01* -X122494562Y-91205438D01* -X122478910Y-91188168D01* -X122465026Y-91169448D01* -X122453044Y-91149457D01* -X122443079Y-91128387D01* -X122435227Y-91106443D01* -X122429563Y-91083834D01* -X122426144Y-91060779D01* -X122425000Y-91037500D01* -X122425000Y-90462500D01* -X122426144Y-90439221D01* -X122429563Y-90416166D01* -X122435227Y-90393557D01* -X122443079Y-90371613D01* -X122453044Y-90350543D01* -X122465026Y-90330552D01* -X122478910Y-90311832D01* -X122494562Y-90294562D01* -X122511832Y-90278910D01* -X122530552Y-90265026D01* -X122550543Y-90253044D01* -X122571613Y-90243079D01* -X122593557Y-90235227D01* -X122616166Y-90229563D01* -X122639221Y-90226144D01* -X122662500Y-90225000D01* -X123137500Y-90225000D01* -X123160779Y-90226144D01* -G37* -G36* -X130840680Y-124476384D02* -G01* -X130868588Y-124480524D01* -X130895957Y-124487380D01* -X130922521Y-124496885D01* -X130948027Y-124508948D01* -X130972226Y-124523452D01* -X130994888Y-124540259D01* -X131015793Y-124559207D01* -X131034741Y-124580112D01* -X131051548Y-124602774D01* -X131066052Y-124626973D01* -X131078115Y-124652479D01* -X131087620Y-124679043D01* -X131094476Y-124706412D01* -X131098616Y-124734320D01* -X131100000Y-124762500D01* -X131100000Y-125337500D01* -X131098616Y-125365680D01* -X131094476Y-125393588D01* -X131087620Y-125420957D01* -X131078115Y-125447521D01* -X131066052Y-125473027D01* -X131051548Y-125497226D01* -X131034741Y-125519888D01* -X131015793Y-125540793D01* -X130994888Y-125559741D01* -X130972226Y-125576548D01* -X130948027Y-125591052D01* -X130922521Y-125603115D01* -X130895957Y-125612620D01* -X130868588Y-125619476D01* -X130840680Y-125623616D01* -X130812500Y-125625000D01* -X129887500Y-125625000D01* -X129859320Y-125623616D01* -X129831412Y-125619476D01* -X129804043Y-125612620D01* -X129777479Y-125603115D01* -X129751973Y-125591052D01* -X129727774Y-125576548D01* -X129705112Y-125559741D01* -X129684207Y-125540793D01* -X129665259Y-125519888D01* -X129648452Y-125497226D01* -X129633948Y-125473027D01* -X129621885Y-125447521D01* -X129612380Y-125420957D01* -X129605524Y-125393588D01* -X129601384Y-125365680D01* -X129600000Y-125337500D01* -X129600000Y-124762500D01* -X129601384Y-124734320D01* -X129605524Y-124706412D01* -X129612380Y-124679043D01* -X129621885Y-124652479D01* -X129633948Y-124626973D01* -X129648452Y-124602774D01* -X129665259Y-124580112D01* -X129684207Y-124559207D01* -X129705112Y-124540259D01* -X129727774Y-124523452D01* -X129751973Y-124508948D01* -X129777479Y-124496885D01* -X129804043Y-124487380D01* -X129831412Y-124480524D01* -X129859320Y-124476384D01* -X129887500Y-124475000D01* -X130812500Y-124475000D01* -X130840680Y-124476384D01* -G37* -G36* -X130840680Y-122776384D02* -G01* -X130868588Y-122780524D01* -X130895957Y-122787380D01* -X130922521Y-122796885D01* -X130948027Y-122808948D01* -X130972226Y-122823452D01* -X130994888Y-122840259D01* -X131015793Y-122859207D01* -X131034741Y-122880112D01* -X131051548Y-122902774D01* -X131066052Y-122926973D01* -X131078115Y-122952479D01* -X131087620Y-122979043D01* -X131094476Y-123006412D01* -X131098616Y-123034320D01* -X131100000Y-123062500D01* -X131100000Y-123637500D01* -X131098616Y-123665680D01* -X131094476Y-123693588D01* -X131087620Y-123720957D01* -X131078115Y-123747521D01* -X131066052Y-123773027D01* -X131051548Y-123797226D01* -X131034741Y-123819888D01* -X131015793Y-123840793D01* -X130994888Y-123859741D01* -X130972226Y-123876548D01* -X130948027Y-123891052D01* -X130922521Y-123903115D01* -X130895957Y-123912620D01* -X130868588Y-123919476D01* -X130840680Y-123923616D01* -X130812500Y-123925000D01* -X129887500Y-123925000D01* -X129859320Y-123923616D01* -X129831412Y-123919476D01* -X129804043Y-123912620D01* -X129777479Y-123903115D01* -X129751973Y-123891052D01* -X129727774Y-123876548D01* -X129705112Y-123859741D01* -X129684207Y-123840793D01* -X129665259Y-123819888D01* -X129648452Y-123797226D01* -X129633948Y-123773027D01* -X129621885Y-123747521D01* -X129612380Y-123720957D01* -X129605524Y-123693588D01* -X129601384Y-123665680D01* -X129600000Y-123637500D01* -X129600000Y-123062500D01* -X129601384Y-123034320D01* -X129605524Y-123006412D01* -X129612380Y-122979043D01* -X129621885Y-122952479D01* -X129633948Y-122926973D01* -X129648452Y-122902774D01* -X129665259Y-122880112D01* -X129684207Y-122859207D01* -X129705112Y-122840259D01* -X129727774Y-122823452D01* -X129751973Y-122808948D01* -X129777479Y-122796885D01* -X129804043Y-122787380D01* -X129831412Y-122780524D01* -X129859320Y-122776384D01* -X129887500Y-122775000D01* -X130812500Y-122775000D01* -X130840680Y-122776384D01* -G37* -M02* diff --git a/gerber/GR8RAM-In1_Cu.g2 b/gerber/GR8RAM-In1_Cu.g2 deleted file mode 100644 index 13ec241..0000000 --- a/gerber/GR8RAM-In1_Cu.g2 +++ /dev/null @@ -1,59308 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L2,Inr* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10R,1.727200X1.727200*% -%ADD11O,1.727200X1.727200*% -%ADD12C,2.000000*% -%ADD13C,0.800000*% -%ADD14C,1.524000*% -%ADD15C,0.500000*% -%ADD16C,0.762000*% -%ADD17C,0.600000*% -%ADD18C,0.508000*% -%ADD19C,0.152400*% -G04 APERTURE END LIST* -D10* -X64135000Y-108204000D03* -D11* -X61595000Y-108204000D03* -X64135000Y-105664000D03* -X61595000Y-105664000D03* -X64135000Y-103124000D03* -X61595000Y-103124000D03* -X64135000Y-100584000D03* -X61595000Y-100584000D03* -X64135000Y-98044000D03* -X61595000Y-98044000D03* -D12* -X140462000Y-129540000D03* -D13* -X137160000Y-127000000D03* -X138303000Y-128270000D03* -D14* -X137160000Y-129794000D03* -D15* -X60200000Y-106850000D03* -X74803000Y-83185000D03* -X79883000Y-83185000D03* -X84963000Y-84074000D03* -X90043000Y-83820000D03* -X102743000Y-80645000D03* -X119850000Y-103050000D03* -X117450000Y-103050000D03* -X118650000Y-109450000D03* -X118650000Y-107050000D03* -X118650000Y-104650000D03* -X118650000Y-110650000D03* -X118650000Y-111850000D03* -X118650000Y-113450000D03* -X118650000Y-105850000D03* -X118650000Y-108250000D03* -X144780000Y-93345000D03* -X51435000Y-131318000D03* -X143002000Y-131318000D03* -X144780000Y-129540000D03* -X46355000Y-104521000D03* -X46355000Y-124841000D03* -X46355000Y-119761000D03* -X46355000Y-109601000D03* -X71755000Y-131318000D03* -X117983000Y-80645000D03* -X112903000Y-80645000D03* -X107823000Y-80645000D03* -X97663000Y-80645000D03* -X92583000Y-80645000D03* -X87503000Y-80645000D03* -X48133000Y-131318000D03* -X46355000Y-129540000D03* -X144780000Y-82423000D03* -X144780000Y-98425000D03* -X81788000Y-129349500D03* -X79950000Y-128350000D03* -X97790000Y-131318000D03* -X85090000Y-131318000D03* -X87630000Y-131318000D03* -X102870000Y-131318000D03* -X105410000Y-131318000D03* -X107950000Y-131318000D03* -X110490000Y-131318000D03* -X113030000Y-131318000D03* -X115570000Y-131318000D03* -X118110000Y-131318000D03* -X100330000Y-131318000D03* -X95250000Y-131318000D03* -X92710000Y-131318000D03* -X90170000Y-131318000D03* -X80010000Y-131318000D03* -X77470000Y-131318000D03* -X82550000Y-131318000D03* -X138303000Y-80645000D03* -X133223000Y-80645000D03* -X128143000Y-80645000D03* -X123063000Y-80645000D03* -X46355000Y-99441000D03* -X120650000Y-131318000D03* -X125730000Y-131318000D03* -X128270000Y-131318000D03* -X123190000Y-131318000D03* -X46355000Y-114681000D03* -X144780000Y-88265000D03* -X138430000Y-131318000D03* -X111750000Y-103050000D03* -X125550000Y-104650000D03* -D16* -X126450000Y-109500000D03* -D15* -X114050000Y-92650000D03* -X123250000Y-104650000D03* -X114050000Y-103050000D03* -X123250000Y-109450000D03* -X109950000Y-107950000D03* -D13* -X110850000Y-107000000D03* -D15* -X114050000Y-107050000D03* -X111750000Y-111850000D03* -X114050000Y-111850000D03* -X130810000Y-131318000D03* -X133350000Y-131318000D03* -X135890000Y-131318000D03* -X77475000Y-120550000D03* -X95875000Y-120550000D03* -D13* -X115443000Y-129540000D03* -X114300000Y-128270000D03* -X134366000Y-128270000D03* -X135509000Y-127000000D03* -X135509000Y-129540000D03* -D15* -X83950000Y-126100000D03* -X84600000Y-124950000D03* -X93150000Y-123800000D03* -X93150000Y-126100000D03* -X93800000Y-124950000D03* -X102350000Y-123800000D03* -X103000000Y-124950000D03* -X102350000Y-126100000D03* -X98200000Y-110200000D03* -X91200000Y-110200000D03* -X102850000Y-100400000D03* -X102850000Y-102750000D03* -X85250000Y-100150000D03* -X98700000Y-92600000D03* -X96300000Y-92599990D03* -X100550000Y-102800000D03* -X100550000Y-100400000D03* -X98650000Y-94900000D03* -X91150000Y-107900000D03* -X98150000Y-107900000D03* -D13* -X74549000Y-129540000D03* -X120777000Y-129540000D03* -D15* -X102875000Y-105150000D03* -D13* -X73406000Y-128524000D03* -D15* -X104750000Y-102600000D03* -D13* -X109450000Y-103350000D03* -D15* -X109800000Y-95500000D03* -X104000000Y-108075000D03* -X104700000Y-100200000D03* -X85200000Y-97150000D03* -X106350000Y-120150000D03* -X105050000Y-120550000D03* -X106850000Y-99500000D03* -X108050000Y-104350000D03* -X89300000Y-92550000D03* -X102250000Y-109400000D03* -X105250000Y-110100000D03* -X106500000Y-105550000D03* -X110750000Y-96450000D03* -X106750000Y-97000000D03* -X108200000Y-97750000D03* -X83950000Y-123800000D03* -X85450000Y-108550000D03* -D13* -X126450000Y-114300000D03* -D15* -X103750000Y-105850000D03* -X61341000Y-117792500D03* -X62611000Y-120713500D03* -X66103500Y-124714000D03* -X65786000Y-106299000D03* -X65786000Y-107696000D03* -D17* -X112900000Y-91950000D03* -D15* -X125600000Y-94150000D03* -D13* -X132750000Y-122300000D03* -D15* -X82423000Y-80645000D03* -X77343000Y-80645000D03* -X72263000Y-80645000D03* -X62103000Y-80645000D03* -X56515000Y-131318000D03* -X61595000Y-131318000D03* -X66675000Y-131318000D03* -X48895000Y-122301000D03* -X48895000Y-112141000D03* -X48895000Y-107061000D03* -X48895000Y-101981000D03* -X48895000Y-117221000D03* -X53975000Y-122301000D03* -X53975000Y-112141000D03* -X53975000Y-117221000D03* -X51435000Y-119761000D03* -X51435000Y-124841000D03* -X51435000Y-114681000D03* -X56400000Y-99441000D03* -X56388000Y-119761000D03* -X56388000Y-124841000D03* -X56400000Y-109600000D03* -X56388000Y-114681000D03* -X56400000Y-104521000D03* -X72263000Y-80645000D03* -X67183000Y-80645000D03* -X53848000Y-128016000D03* -X64008000Y-128016000D03* -X58928000Y-128016000D03* -X69088000Y-128016000D03* -X56515000Y-94361000D03* -X53975000Y-96901000D03* -X120523000Y-83185000D03* -X115443000Y-83947000D03* -X135763000Y-83185000D03* -X130683000Y-83185000D03* -X125603000Y-83185000D03* -X130683000Y-88265000D03* -X143383000Y-85725000D03* -X144780000Y-113665000D03* -X144780000Y-118745000D03* -X144780000Y-123825000D03* -X67183000Y-90805000D03* -X62103000Y-90805000D03* -X64643000Y-93345000D03* -X58166000Y-91059000D03* -X68650000Y-110500000D03* -X68650000Y-109100000D03* -X64643000Y-83185000D03* -X69723000Y-83185000D03* -X100203000Y-83947000D03* -X89450000Y-104100000D03* -X99750000Y-107350000D03* -X59563000Y-93345000D03* -X96150000Y-111850000D03* -X58050000Y-115200000D03* -X57400000Y-110050000D03* -X66800000Y-110050000D03* -X63100000Y-116150000D03* -X61531500Y-124714000D03* -X58750000Y-123850000D03* -X57400000Y-123900000D03* -X66800000Y-101400000D03* -X101150000Y-93000000D03* -X102500000Y-117600000D03* -X110100000Y-128450000D03* -X107200000Y-128400000D03* -X105200000Y-128700000D03* -X103150000Y-129100000D03* -X102100000Y-129700000D03* -X99550000Y-128550000D03* -X86850000Y-129950000D03* -X88050000Y-129650000D03* -X90300000Y-129350000D03* -X92550000Y-129050000D03* -X94500000Y-129900000D03* -X92550000Y-127950000D03* -X90900000Y-126300000D03* -X91950000Y-129850000D03* -X89400000Y-129850000D03* -X80750000Y-129900000D03* -X88400000Y-128050000D03* -X99750000Y-114850000D03* -X106900000Y-126800000D03* -X108900000Y-126800000D03* -X97450000Y-126900000D03* -X98550000Y-128050000D03* -X95000000Y-127900000D03* -X108900000Y-113400000D03* -X108100000Y-108450000D03* -D13* -X126450000Y-95100000D03* -D15* -X68350000Y-111600000D03* -X91600000Y-92600000D03* -X112900000Y-114050000D03* -X114050000Y-113450000D03* -X111750000Y-113450000D03* -D17* -X110800000Y-102450000D03* -D15* -X111750000Y-92500000D03* -X114400000Y-121000000D03* -X115000000Y-120100000D03* -X105400000Y-111400000D03* -X87800000Y-106400000D03* -X86650000Y-120550000D03* -X85150000Y-104650000D03* -X82800000Y-102000000D03* -X83650000Y-102800000D03* -X81950000Y-102800000D03* -X77216000Y-95821500D03* -X76350000Y-104700000D03* -X87150000Y-92900000D03* -X83150000Y-93800000D03* -X86400000Y-94450000D03* -X83500000Y-100300000D03* -X83500000Y-97400000D03* -X64135000Y-122428000D03* -X69723000Y-93345000D03* -X116950000Y-121500000D03* -X118650000Y-121500000D03* -X105400000Y-113100000D03* -X125550000Y-113050000D03* -X92450000Y-112900000D03* -X87500000Y-100150000D03* -X91700000Y-94850000D03* -D13* -X127450000Y-93900000D03* -X127450000Y-108300000D03* -D15* -X69850000Y-101250000D03* -X68150000Y-101250000D03* -X140843000Y-88265000D03* -X135763000Y-88265000D03* -X75700000Y-101650000D03* -X78000000Y-107250000D03* -X78200000Y-105950000D03* -X82500000Y-97800000D03* -D16* -X127450000Y-104300000D03* -D15* -X69750000Y-112950000D03* -X51435000Y-99441000D03* -X51435000Y-104521000D03* -X51435000Y-109601000D03* -X53975000Y-101981000D03* -X53975000Y-107061000D03* -X64600000Y-114250000D03* -X63690500Y-115062000D03* -X63373000Y-117665500D03* -X87400000Y-111750000D03* -X86400000Y-109800000D03* -X46355000Y-93472000D03* -X59182000Y-80645000D03* -X51435000Y-94361000D03* -X53975000Y-91821000D03* -X56515000Y-83185000D03* -X53975000Y-85725000D03* -X51435000Y-88265000D03* -X48895000Y-90805000D03* -X55880000Y-88519000D03* -X71755000Y-114681000D03* -X71755000Y-124841000D03* -X74295000Y-122301000D03* -X71755000Y-119761000D03* -X74295000Y-117221000D03* -X69215000Y-122301000D03* -X69215000Y-117221000D03* -X76835000Y-114681000D03* -X143002000Y-80645000D03* -X84500000Y-113750000D03* -X87800000Y-114450000D03* -X110900000Y-99800000D03* -X105050000Y-98950000D03* -X107550000Y-100550000D03* -X108850000Y-100550000D03* -X109400000Y-99700000D03* -X106000000Y-100300000D03* -X57912000Y-86360000D03* -X59944000Y-84328000D03* -X117800000Y-120550000D03* -X110363000Y-83947000D03* -X105283000Y-83947000D03* -X107823000Y-90805000D03* -X110363000Y-88265000D03* -X105283000Y-88265000D03* -X100203000Y-88265000D03* -X102743000Y-90805000D03* -X117983000Y-90805000D03* -X120523000Y-88265000D03* -X115443000Y-88265000D03* -X125603000Y-88265000D03* -X128143000Y-90805000D03* -X133223000Y-90805000D03* -X130683000Y-93345000D03* -X133223000Y-95885000D03* -X143383000Y-90805000D03* -X128143000Y-95885000D03* -X130683000Y-98425000D03* -X69000000Y-102200000D03* -X101000000Y-111550000D03* -X103950000Y-114500000D03* -X106350000Y-112250000D03* -X135763000Y-93345000D03* -X138303000Y-95885000D03* -X135763000Y-98425000D03* -X143383000Y-95885000D03* -X140843000Y-98425000D03* -X140843000Y-93345000D03* -X138303000Y-90805000D03* -D13* -X130350000Y-122300000D03* -X129150000Y-123350000D03* -X131550000Y-123550000D03* -X140100000Y-122300000D03* -X141300000Y-123550000D03* -D17* -X123050000Y-91650000D03* -X123050000Y-89850000D03* -X122050000Y-90750000D03* -X113050000Y-89850000D03* -X112050000Y-90750000D03* -X123050000Y-116250000D03* -X122050000Y-115350000D03* -X123050000Y-114450000D03* -X113050000Y-116250000D03* -X112050000Y-115350000D03* -X126450000Y-105400000D03* -X127350000Y-113200000D03* -X92400000Y-111100000D03* -X91400000Y-112000000D03* -X98400000Y-112000000D03* -X99400000Y-111100000D03* -X88550000Y-91700000D03* -X89550000Y-90800000D03* -X95550000Y-91700000D03* -X96550000Y-90800000D03* -X104650000Y-105050000D03* -X76600000Y-121400000D03* -X75700000Y-120400000D03* -X84900000Y-120400000D03* -X85800000Y-121400000D03* -D15* -X97200000Y-117950000D03* -X88000000Y-117950000D03* -X78800000Y-117950000D03* -X106400000Y-117950000D03* -X143383000Y-100965000D03* -X138303000Y-100965000D03* -X144780000Y-103505000D03* -X133223000Y-100965000D03* -X143383000Y-106045000D03* -X144780000Y-108585000D03* -X144780000Y-113665000D03* -X140843000Y-113665000D03* -X135763000Y-113665000D03* -X143383000Y-116205000D03* -X133223000Y-116205000D03* -X138303000Y-116205000D03* -X77216000Y-98298000D03* -X76454000Y-97091500D03* -X128143000Y-100965000D03* -X143383000Y-121285000D03* -X128143000Y-116205000D03* -X130683000Y-113665000D03* -X135128000Y-100838000D03* -X136398000Y-100838000D03* -X72263000Y-90805000D03* -X102743000Y-86487000D03* -X97663000Y-86487000D03* -X107823000Y-86487000D03* -X117983000Y-86487000D03* -X112903000Y-86487000D03* -X92583000Y-86487000D03* -X87503000Y-86487000D03* -X82423000Y-85725000D03* -X77343000Y-85725000D03* -X67183000Y-85725000D03* -X72263000Y-85725000D03* -X62103000Y-85725000D03* -X72263000Y-85725000D03* -X123063000Y-85725000D03* -X128143000Y-85725000D03* -X133223000Y-85725000D03* -X138303000Y-85725000D03* -X74803000Y-88265000D03* -X79883000Y-88265000D03* -X84963000Y-88392000D03* -X69723000Y-88265000D03* -X64643000Y-88265000D03* -X59563000Y-88265000D03* -X77850000Y-127200000D03* -X91950000Y-126750000D03* -X86900000Y-126200000D03* -D17* -X94100000Y-120400000D03* -X103300000Y-120400000D03* -X95000000Y-121400000D03* -X104200000Y-121400000D03* -D15* -X111550000Y-126100000D03* -X110250000Y-126100000D03* -X111550000Y-123800000D03* -X112200000Y-124950000D03* -D13* -X134000000Y-123500000D03* -X135200000Y-122300000D03* -X138900000Y-123450000D03* -D15* -X130683000Y-118745000D03* -X140843000Y-118745000D03* -X128143000Y-121285000D03* -X135763000Y-118745000D03* -X138303000Y-121285000D03* -X125603000Y-118745000D03* -X123063000Y-121285000D03* -X120523000Y-118745000D03* -X115697000Y-118745000D03* -X117983000Y-116205000D03* -X125603000Y-123825000D03* -X120523000Y-123825000D03* -X123063000Y-126365000D03* -X128143000Y-126365000D03* -X125603000Y-128905000D03* -X130683000Y-128905000D03* -X113157000Y-123825000D03* -D13* -X121856500Y-128270000D03* -D15* -X95123000Y-83820000D03* -X95123000Y-88265000D03* -X83300000Y-126850000D03* -X82650000Y-126100000D03* -X79400000Y-126850000D03* -X82000000Y-126850000D03* -X78750000Y-126100000D03* -X80050000Y-126100000D03* -X80700000Y-126850000D03* -X81350000Y-126100000D03* -D13* -X77343000Y-128270000D03* -X76200000Y-129540000D03* -X119126000Y-129540000D03* -X117094000Y-129540000D03* -D15* -X106350000Y-123050000D03* -X85200000Y-127381000D03* -X108300000Y-123800000D03* -X113150000Y-127950000D03* -X108950000Y-123050000D03* -X116600000Y-125400000D03* -X107650000Y-123050000D03* -X107000000Y-123800000D03* -X132080000Y-131191000D03* -X134620000Y-131191000D03* -X134620000Y-131191000D03* -X85250000Y-106900000D03* -X72100000Y-112100000D03* -X84350000Y-107400000D03* -X85250000Y-105900000D03* -X72050000Y-110650000D03* -X84150000Y-108400000D03* -X88450000Y-98400000D03* -X82200000Y-109200000D03* -X88500000Y-99650000D03* -X82700000Y-108300000D03* -X88450000Y-101900000D03* -X83200000Y-109200000D03* -D17* -X114250000Y-91650000D03* -X114250000Y-89850000D03* -X115250000Y-90750000D03* -D15* -X104200000Y-113100000D03* -X104200000Y-111400000D03* -X69850000Y-100050000D03* -X68150000Y-100050000D03* -D18* -X123250000Y-113450000D03* -D15* -X124400000Y-91950000D03* -D18* -X123250000Y-103050000D03* -X123250000Y-111850000D03* -D15* -X125550000Y-103050000D03* -X125550000Y-107050000D03* -X123250000Y-107050000D03* -X125550000Y-92650000D03* -X114050000Y-104650000D03* -X111750000Y-109450000D03* -X114050000Y-109450000D03* -X123250000Y-92650000D03* -X125550000Y-111850000D03* -X111750000Y-104650000D03* -D13* -X110850000Y-105600000D03* -D15* -X109950000Y-104650000D03* -X77475000Y-119050000D03* -D13* -X104750000Y-103550000D03* -D15* -X100550000Y-103500000D03* -X100550000Y-101400000D03* -X97950000Y-94900000D03* -X90450000Y-107900000D03* -X102850000Y-101400000D03* -X85250000Y-101400000D03* -X90900000Y-92600000D03* -X85250000Y-99350000D03* -X97900000Y-92600000D03* -X102850000Y-103550000D03* -X97400000Y-110200000D03* -X90400000Y-110200000D03* -D13* -X104750000Y-101650000D03* -X95000000Y-118100000D03* -D15* -X86675000Y-119050000D03* -X105075000Y-119050000D03* -X105700000Y-123800000D03* -D13* -X85800000Y-118100000D03* -D15* -X95875000Y-119050000D03* -D13* -X103750000Y-102600000D03* -D15* -X106950000Y-98000000D03* -X107550000Y-97100000D03* -X105700000Y-120150000D03* -X96500000Y-120150000D03* -X96500000Y-117950000D03* -X97450000Y-107900000D03* -X105700000Y-117950000D03* -X87300000Y-120150000D03* -X78100000Y-120150000D03* -D13* -X104200000Y-118100000D03* -D15* -X124400000Y-114050000D03* -D13* -X126450000Y-102100000D03* -D15* -X84250000Y-102450000D03* -X81950000Y-104300000D03* -X81026000Y-105600500D03* -X116850000Y-122700000D03* -X83500000Y-101500000D03* -X83500000Y-98600000D03* -X64135000Y-121666000D03* -X87500000Y-99350000D03* -X87500000Y-101400000D03* -X91000000Y-94850000D03* -D13* -X127450000Y-92900000D03* -X127450000Y-107300000D03* -D15* -X67900000Y-96450000D03* -D16* -X127450000Y-103300000D03* -D15* -X69750000Y-109800000D03* -X62928500Y-117030500D03* -X82800000Y-105100000D03* -X118650000Y-122700000D03* -X117800000Y-123650000D03* -X69000000Y-99100000D03* -X103250000Y-112250000D03* -X96500000Y-123800000D03* -D13* -X130350000Y-126100000D03* -X131550000Y-124850000D03* -D17* -X124250000Y-89850000D03* -X125250000Y-90750000D03* -X124250000Y-116250000D03* -X125250000Y-115350000D03* -X114250000Y-114450000D03* -X115250000Y-115350000D03* -X114250000Y-116250000D03* -X126450000Y-91800000D03* -X126450000Y-106200000D03* -X126450000Y-111000000D03* -X127350000Y-112000000D03* -D15* -X87300000Y-123800000D03* -D17* -X89200000Y-111100000D03* -X90200000Y-112000000D03* -X96200000Y-111100000D03* -X97200000Y-112000000D03* -X90750000Y-90800000D03* -X97750000Y-90800000D03* -X98750000Y-91700000D03* -D15* -X78100000Y-117950000D03* -D17* -X76600000Y-118200000D03* -X75700000Y-119200000D03* -D15* -X87300000Y-117950000D03* -D13* -X110850000Y-110450000D03* -D15* -X105950000Y-97000000D03* -X84950000Y-119150000D03* -X94150000Y-119150000D03* -X103350000Y-119150000D03* -D13* -X129150000Y-124850000D03* -X132750000Y-126100000D03* -X134000000Y-124900000D03* -X135200000Y-126050000D03* -D15* -X100050000Y-112900000D03* -X97800000Y-106950000D03* -X87550000Y-103400000D03* -X78100000Y-123800000D03* -D18* -X114750000Y-112650000D03* -X122550000Y-112650000D03* -D15* -X108950000Y-112050000D03* -D18* -X122550000Y-111150000D03* -X114750000Y-111150000D03* -D15* -X109700000Y-111150000D03* -X114750000Y-108750000D03* -D18* -X122550000Y-108750000D03* -D15* -X108950000Y-109250000D03* -X114750000Y-110150000D03* -X122550000Y-110150000D03* -X109700000Y-110150000D03* -X114750000Y-105350000D03* -X122550000Y-105350000D03* -X109700000Y-105750000D03* -X114750000Y-103850000D03* -D18* -X122550000Y-103850000D03* -D15* -X108950000Y-104850000D03* -D18* -X122550000Y-106350000D03* -D15* -X114750000Y-106350000D03* -X109700000Y-106750000D03* -X114750000Y-107750000D03* -X122550000Y-107750000D03* -X108950000Y-107650000D03* -X122600000Y-102250000D03* -X97200000Y-96900000D03* -X123250000Y-101450000D03* -X99600000Y-104000000D03* -X122600000Y-100650000D03* -X99600000Y-102450000D03* -X99650000Y-100900000D03* -X123250000Y-99850000D03* -X102850000Y-99400000D03* -X122600000Y-99050000D03* -X102850000Y-95400000D03* -X122600000Y-95850000D03* -X121950000Y-93450000D03* -X95950000Y-95250000D03* -X100050000Y-92600000D03* -X114700000Y-93450000D03* -X99650000Y-94900000D03* -X114700000Y-95050000D03* -X99650000Y-99900000D03* -X114700000Y-99850000D03* -X100500000Y-104500000D03* -X114050000Y-101450000D03* -X100550000Y-98900000D03* -X114050000Y-99050000D03* -X102850000Y-98400000D03* -X123250000Y-98250000D03* -X100550000Y-97900000D03* -X114700000Y-98250000D03* -X102850000Y-97400000D03* -X122600000Y-97450000D03* -X100550000Y-96900000D03* -X114050000Y-97450000D03* -X102850000Y-96400000D03* -X123250000Y-96650000D03* -X100550000Y-95900000D03* -X114700000Y-96650000D03* -X114050000Y-95850000D03* -X99150000Y-96300000D03* -X123250000Y-95050000D03* -X98100000Y-96400000D03* -X96950000Y-95000000D03* -X122600000Y-94250000D03* -X114050000Y-94250000D03* -X96700000Y-95950000D03* -X79946500Y-95758000D03* -X77216000Y-103124000D03* -X82950000Y-99450000D03* -X81026000Y-95758000D03* -X88450000Y-97400000D03* -X78486000Y-103124000D03* -X79800000Y-108650000D03* -X116300000Y-120100000D03* -X90050000Y-106900000D03* -X69600000Y-97850000D03* -X78295500Y-95758000D03* -X69600000Y-95050000D03* -X79756000Y-103124000D03* -X80050000Y-107650000D03* -X90050000Y-95350000D03* -X135128000Y-90932000D03* -X89000000Y-95600000D03* -X136398000Y-90932000D03* -D19* -G36* -X143609112Y-80590131D02* -G01* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144957800Y-82430544D01* -X144957800Y-129532456D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X142994456Y-131495800D01* -X138938000Y-131495800D01* -X138923134Y-131497264D01* -X138908840Y-131501600D01* -X138895666Y-131508642D01* -X138884118Y-131518118D01* -X138874642Y-131529666D01* -X138867600Y-131542840D01* -X138863264Y-131557134D01* -X138861800Y-131572000D01* -X138861800Y-139115800D01* -X74498200Y-139115800D01* -X74498200Y-138303000D01* -X75615800Y-138303000D01* -X75615800Y-138684000D01* -X75617264Y-138698866D01* -X75621600Y-138713160D01* -X75628642Y-138726334D01* -X75638118Y-138737882D01* -X75649666Y-138747358D01* -X75662840Y-138754400D01* -X75677134Y-138758736D01* -X75692000Y-138760200D01* -X76073000Y-138760200D01* -X76087866Y-138758736D01* -X76102160Y-138754400D01* -X76115334Y-138747358D01* -X76126882Y-138737882D01* -X76136358Y-138726334D01* -X76143400Y-138713160D01* -X76147736Y-138698866D01* -X76149200Y-138684000D01* -X76149200Y-138303000D01* -X76250800Y-138303000D01* -X76250800Y-138684000D01* -X76252264Y-138698866D01* -X76256600Y-138713160D01* -X76263642Y-138726334D01* -X76273118Y-138737882D01* -X76284666Y-138747358D01* -X76297840Y-138754400D01* -X76312134Y-138758736D01* -X76327000Y-138760200D01* -X76708000Y-138760200D01* -X76722866Y-138758736D01* -X76737160Y-138754400D01* -X76750334Y-138747358D01* -X76761882Y-138737882D01* -X76771358Y-138726334D01* -X76778400Y-138713160D01* -X76782736Y-138698866D01* -X76784200Y-138684000D01* -X76784200Y-138303000D01* -X78155800Y-138303000D01* -X78155800Y-138684000D01* -X78157264Y-138698866D01* -X78161600Y-138713160D01* -X78168642Y-138726334D01* -X78178118Y-138737882D01* -X78189666Y-138747358D01* -X78202840Y-138754400D01* -X78217134Y-138758736D01* -X78232000Y-138760200D01* -X78613000Y-138760200D01* -X78627866Y-138758736D01* -X78642160Y-138754400D01* -X78655334Y-138747358D01* -X78666882Y-138737882D01* -X78676358Y-138726334D01* -X78683400Y-138713160D01* -X78687736Y-138698866D01* -X78689200Y-138684000D01* -X78689200Y-138303000D01* -X78790800Y-138303000D01* -X78790800Y-138684000D01* -X78792264Y-138698866D01* -X78796600Y-138713160D01* -X78803642Y-138726334D01* -X78813118Y-138737882D01* -X78824666Y-138747358D01* -X78837840Y-138754400D01* -X78852134Y-138758736D01* -X78867000Y-138760200D01* -X79248000Y-138760200D01* -X79262866Y-138758736D01* -X79277160Y-138754400D01* -X79290334Y-138747358D01* -X79301882Y-138737882D01* -X79311358Y-138726334D01* -X79318400Y-138713160D01* -X79322736Y-138698866D01* -X79324200Y-138684000D01* -X79324200Y-138303000D01* -X80695800Y-138303000D01* -X80695800Y-138684000D01* -X80697264Y-138698866D01* -X80701600Y-138713160D01* -X80708642Y-138726334D01* -X80718118Y-138737882D01* -X80729666Y-138747358D01* -X80742840Y-138754400D01* -X80757134Y-138758736D01* -X80772000Y-138760200D01* -X81153000Y-138760200D01* -X81167866Y-138758736D01* -X81182160Y-138754400D01* -X81195334Y-138747358D01* -X81206882Y-138737882D01* -X81216358Y-138726334D01* -X81223400Y-138713160D01* -X81227736Y-138698866D01* -X81229200Y-138684000D01* -X81229200Y-138303000D01* -X81330800Y-138303000D01* -X81330800Y-138684000D01* -X81332264Y-138698866D01* -X81336600Y-138713160D01* -X81343642Y-138726334D01* -X81353118Y-138737882D01* -X81364666Y-138747358D01* -X81377840Y-138754400D01* -X81392134Y-138758736D01* -X81407000Y-138760200D01* -X81788000Y-138760200D01* -X81802866Y-138758736D01* -X81817160Y-138754400D01* -X81830334Y-138747358D01* -X81841882Y-138737882D01* -X81851358Y-138726334D01* -X81858400Y-138713160D01* -X81862736Y-138698866D01* -X81864200Y-138684000D01* -X81864200Y-138303000D01* -X83235800Y-138303000D01* -X83235800Y-138684000D01* -X83237264Y-138698866D01* -X83241600Y-138713160D01* -X83248642Y-138726334D01* -X83258118Y-138737882D01* -X83269666Y-138747358D01* -X83282840Y-138754400D01* -X83297134Y-138758736D01* -X83312000Y-138760200D01* -X83693000Y-138760200D01* -X83707866Y-138758736D01* -X83722160Y-138754400D01* -X83735334Y-138747358D01* -X83746882Y-138737882D01* -X83756358Y-138726334D01* -X83763400Y-138713160D01* -X83767736Y-138698866D01* -X83769200Y-138684000D01* -X83769200Y-138303000D01* -X83870800Y-138303000D01* -X83870800Y-138684000D01* -X83872264Y-138698866D01* -X83876600Y-138713160D01* -X83883642Y-138726334D01* -X83893118Y-138737882D01* -X83904666Y-138747358D01* -X83917840Y-138754400D01* -X83932134Y-138758736D01* -X83947000Y-138760200D01* -X84328000Y-138760200D01* -X84342866Y-138758736D01* -X84357160Y-138754400D01* -X84370334Y-138747358D01* -X84381882Y-138737882D01* -X84391358Y-138726334D01* -X84398400Y-138713160D01* -X84402736Y-138698866D01* -X84404200Y-138684000D01* -X84404200Y-138303000D01* -X85775800Y-138303000D01* -X85775800Y-138684000D01* -X85777264Y-138698866D01* -X85781600Y-138713160D01* -X85788642Y-138726334D01* -X85798118Y-138737882D01* -X85809666Y-138747358D01* -X85822840Y-138754400D01* -X85837134Y-138758736D01* -X85852000Y-138760200D01* -X86233000Y-138760200D01* -X86247866Y-138758736D01* -X86262160Y-138754400D01* -X86275334Y-138747358D01* -X86286882Y-138737882D01* -X86296358Y-138726334D01* -X86303400Y-138713160D01* -X86307736Y-138698866D01* -X86309200Y-138684000D01* -X86309200Y-138303000D01* -X86410800Y-138303000D01* -X86410800Y-138684000D01* -X86412264Y-138698866D01* -X86416600Y-138713160D01* -X86423642Y-138726334D01* -X86433118Y-138737882D01* -X86444666Y-138747358D01* -X86457840Y-138754400D01* -X86472134Y-138758736D01* -X86487000Y-138760200D01* -X86868000Y-138760200D01* -X86882866Y-138758736D01* -X86897160Y-138754400D01* -X86910334Y-138747358D01* -X86921882Y-138737882D01* -X86931358Y-138726334D01* -X86938400Y-138713160D01* -X86942736Y-138698866D01* -X86944200Y-138684000D01* -X86944200Y-138303000D01* -X88315800Y-138303000D01* -X88315800Y-138684000D01* -X88317264Y-138698866D01* -X88321600Y-138713160D01* -X88328642Y-138726334D01* -X88338118Y-138737882D01* -X88349666Y-138747358D01* -X88362840Y-138754400D01* -X88377134Y-138758736D01* -X88392000Y-138760200D01* -X88773000Y-138760200D01* -X88787866Y-138758736D01* -X88802160Y-138754400D01* -X88815334Y-138747358D01* -X88826882Y-138737882D01* -X88836358Y-138726334D01* -X88843400Y-138713160D01* -X88847736Y-138698866D01* -X88849200Y-138684000D01* -X88849200Y-138303000D01* -X88950800Y-138303000D01* -X88950800Y-138684000D01* -X88952264Y-138698866D01* -X88956600Y-138713160D01* -X88963642Y-138726334D01* -X88973118Y-138737882D01* -X88984666Y-138747358D01* -X88997840Y-138754400D01* -X89012134Y-138758736D01* -X89027000Y-138760200D01* -X89408000Y-138760200D01* -X89422866Y-138758736D01* -X89437160Y-138754400D01* -X89450334Y-138747358D01* -X89461882Y-138737882D01* -X89471358Y-138726334D01* -X89478400Y-138713160D01* -X89482736Y-138698866D01* -X89484200Y-138684000D01* -X89484200Y-138303000D01* -X90855800Y-138303000D01* -X90855800Y-138684000D01* -X90857264Y-138698866D01* -X90861600Y-138713160D01* -X90868642Y-138726334D01* -X90878118Y-138737882D01* -X90889666Y-138747358D01* -X90902840Y-138754400D01* -X90917134Y-138758736D01* -X90932000Y-138760200D01* -X91313000Y-138760200D01* -X91327866Y-138758736D01* -X91342160Y-138754400D01* -X91355334Y-138747358D01* -X91366882Y-138737882D01* -X91376358Y-138726334D01* -X91383400Y-138713160D01* -X91387736Y-138698866D01* -X91389200Y-138684000D01* -X91389200Y-138303000D01* -X91490800Y-138303000D01* -X91490800Y-138684000D01* -X91492264Y-138698866D01* -X91496600Y-138713160D01* -X91503642Y-138726334D01* -X91513118Y-138737882D01* -X91524666Y-138747358D01* -X91537840Y-138754400D01* -X91552134Y-138758736D01* -X91567000Y-138760200D01* -X91948000Y-138760200D01* -X91962866Y-138758736D01* -X91977160Y-138754400D01* -X91990334Y-138747358D01* -X92001882Y-138737882D01* -X92011358Y-138726334D01* -X92018400Y-138713160D01* -X92022736Y-138698866D01* -X92024200Y-138684000D01* -X92024200Y-138303000D01* -X93395800Y-138303000D01* -X93395800Y-138684000D01* -X93397264Y-138698866D01* -X93401600Y-138713160D01* -X93408642Y-138726334D01* -X93418118Y-138737882D01* -X93429666Y-138747358D01* -X93442840Y-138754400D01* -X93457134Y-138758736D01* -X93472000Y-138760200D01* -X93853000Y-138760200D01* -X93867866Y-138758736D01* -X93882160Y-138754400D01* -X93895334Y-138747358D01* -X93906882Y-138737882D01* -X93916358Y-138726334D01* -X93923400Y-138713160D01* -X93927736Y-138698866D01* -X93929200Y-138684000D01* -X93929200Y-138303000D01* -X94030800Y-138303000D01* -X94030800Y-138684000D01* -X94032264Y-138698866D01* -X94036600Y-138713160D01* -X94043642Y-138726334D01* -X94053118Y-138737882D01* -X94064666Y-138747358D01* -X94077840Y-138754400D01* -X94092134Y-138758736D01* -X94107000Y-138760200D01* -X94488000Y-138760200D01* -X94502866Y-138758736D01* -X94517160Y-138754400D01* -X94530334Y-138747358D01* -X94541882Y-138737882D01* -X94551358Y-138726334D01* -X94558400Y-138713160D01* -X94562736Y-138698866D01* -X94564200Y-138684000D01* -X94564200Y-138303000D01* -X95935800Y-138303000D01* -X95935800Y-138684000D01* -X95937264Y-138698866D01* -X95941600Y-138713160D01* -X95948642Y-138726334D01* -X95958118Y-138737882D01* -X95969666Y-138747358D01* -X95982840Y-138754400D01* -X95997134Y-138758736D01* -X96012000Y-138760200D01* -X96393000Y-138760200D01* -X96407866Y-138758736D01* -X96422160Y-138754400D01* -X96435334Y-138747358D01* -X96446882Y-138737882D01* -X96456358Y-138726334D01* -X96463400Y-138713160D01* -X96467736Y-138698866D01* -X96469200Y-138684000D01* -X96469200Y-138303000D01* -X96570800Y-138303000D01* -X96570800Y-138684000D01* -X96572264Y-138698866D01* -X96576600Y-138713160D01* -X96583642Y-138726334D01* -X96593118Y-138737882D01* -X96604666Y-138747358D01* -X96617840Y-138754400D01* -X96632134Y-138758736D01* -X96647000Y-138760200D01* -X97028000Y-138760200D01* -X97042866Y-138758736D01* -X97057160Y-138754400D01* -X97070334Y-138747358D01* -X97081882Y-138737882D01* -X97091358Y-138726334D01* -X97098400Y-138713160D01* -X97102736Y-138698866D01* -X97104200Y-138684000D01* -X97104200Y-138303000D01* -X98475800Y-138303000D01* -X98475800Y-138684000D01* -X98477264Y-138698866D01* -X98481600Y-138713160D01* -X98488642Y-138726334D01* -X98498118Y-138737882D01* -X98509666Y-138747358D01* -X98522840Y-138754400D01* -X98537134Y-138758736D01* -X98552000Y-138760200D01* -X98933000Y-138760200D01* -X98947866Y-138758736D01* -X98962160Y-138754400D01* -X98975334Y-138747358D01* -X98986882Y-138737882D01* -X98996358Y-138726334D01* -X99003400Y-138713160D01* -X99007736Y-138698866D01* -X99009200Y-138684000D01* -X99009200Y-138303000D01* -X99110800Y-138303000D01* -X99110800Y-138684000D01* -X99112264Y-138698866D01* -X99116600Y-138713160D01* -X99123642Y-138726334D01* -X99133118Y-138737882D01* -X99144666Y-138747358D01* -X99157840Y-138754400D01* -X99172134Y-138758736D01* -X99187000Y-138760200D01* -X99568000Y-138760200D01* -X99582866Y-138758736D01* -X99597160Y-138754400D01* -X99610334Y-138747358D01* -X99621882Y-138737882D01* -X99631358Y-138726334D01* -X99638400Y-138713160D01* -X99642736Y-138698866D01* -X99644200Y-138684000D01* -X99644200Y-138303000D01* -X101015800Y-138303000D01* -X101015800Y-138684000D01* -X101017264Y-138698866D01* -X101021600Y-138713160D01* -X101028642Y-138726334D01* -X101038118Y-138737882D01* -X101049666Y-138747358D01* -X101062840Y-138754400D01* -X101077134Y-138758736D01* -X101092000Y-138760200D01* -X101473000Y-138760200D01* -X101487866Y-138758736D01* -X101502160Y-138754400D01* -X101515334Y-138747358D01* -X101526882Y-138737882D01* -X101536358Y-138726334D01* -X101543400Y-138713160D01* -X101547736Y-138698866D01* -X101549200Y-138684000D01* -X101549200Y-138303000D01* -X101650800Y-138303000D01* -X101650800Y-138684000D01* -X101652264Y-138698866D01* -X101656600Y-138713160D01* -X101663642Y-138726334D01* -X101673118Y-138737882D01* -X101684666Y-138747358D01* -X101697840Y-138754400D01* -X101712134Y-138758736D01* -X101727000Y-138760200D01* -X102108000Y-138760200D01* -X102122866Y-138758736D01* -X102137160Y-138754400D01* -X102150334Y-138747358D01* -X102161882Y-138737882D01* -X102171358Y-138726334D01* -X102178400Y-138713160D01* -X102182736Y-138698866D01* -X102184200Y-138684000D01* -X102184200Y-138303000D01* -X103555800Y-138303000D01* -X103555800Y-138684000D01* -X103557264Y-138698866D01* -X103561600Y-138713160D01* -X103568642Y-138726334D01* -X103578118Y-138737882D01* -X103589666Y-138747358D01* -X103602840Y-138754400D01* -X103617134Y-138758736D01* -X103632000Y-138760200D01* -X104013000Y-138760200D01* -X104027866Y-138758736D01* -X104042160Y-138754400D01* -X104055334Y-138747358D01* -X104066882Y-138737882D01* -X104076358Y-138726334D01* -X104083400Y-138713160D01* -X104087736Y-138698866D01* -X104089200Y-138684000D01* -X104089200Y-138303000D01* -X104190800Y-138303000D01* -X104190800Y-138684000D01* -X104192264Y-138698866D01* -X104196600Y-138713160D01* -X104203642Y-138726334D01* -X104213118Y-138737882D01* -X104224666Y-138747358D01* -X104237840Y-138754400D01* -X104252134Y-138758736D01* -X104267000Y-138760200D01* -X104648000Y-138760200D01* -X104662866Y-138758736D01* -X104677160Y-138754400D01* -X104690334Y-138747358D01* -X104701882Y-138737882D01* -X104711358Y-138726334D01* -X104718400Y-138713160D01* -X104722736Y-138698866D01* -X104724200Y-138684000D01* -X104724200Y-138303000D01* -X106095800Y-138303000D01* -X106095800Y-138684000D01* -X106097264Y-138698866D01* -X106101600Y-138713160D01* -X106108642Y-138726334D01* -X106118118Y-138737882D01* -X106129666Y-138747358D01* -X106142840Y-138754400D01* -X106157134Y-138758736D01* -X106172000Y-138760200D01* -X106553000Y-138760200D01* -X106567866Y-138758736D01* -X106582160Y-138754400D01* -X106595334Y-138747358D01* -X106606882Y-138737882D01* -X106616358Y-138726334D01* -X106623400Y-138713160D01* -X106627736Y-138698866D01* -X106629200Y-138684000D01* -X106629200Y-138303000D01* -X106730800Y-138303000D01* -X106730800Y-138684000D01* -X106732264Y-138698866D01* -X106736600Y-138713160D01* -X106743642Y-138726334D01* -X106753118Y-138737882D01* -X106764666Y-138747358D01* -X106777840Y-138754400D01* -X106792134Y-138758736D01* -X106807000Y-138760200D01* -X107188000Y-138760200D01* -X107202866Y-138758736D01* -X107217160Y-138754400D01* -X107230334Y-138747358D01* -X107241882Y-138737882D01* -X107251358Y-138726334D01* -X107258400Y-138713160D01* -X107262736Y-138698866D01* -X107264200Y-138684000D01* -X107264200Y-138303000D01* -X108635800Y-138303000D01* -X108635800Y-138684000D01* -X108637264Y-138698866D01* -X108641600Y-138713160D01* -X108648642Y-138726334D01* -X108658118Y-138737882D01* -X108669666Y-138747358D01* -X108682840Y-138754400D01* -X108697134Y-138758736D01* -X108712000Y-138760200D01* -X109093000Y-138760200D01* -X109107866Y-138758736D01* -X109122160Y-138754400D01* -X109135334Y-138747358D01* -X109146882Y-138737882D01* -X109156358Y-138726334D01* -X109163400Y-138713160D01* -X109167736Y-138698866D01* -X109169200Y-138684000D01* -X109169200Y-138303000D01* -X109270800Y-138303000D01* -X109270800Y-138684000D01* -X109272264Y-138698866D01* -X109276600Y-138713160D01* -X109283642Y-138726334D01* -X109293118Y-138737882D01* -X109304666Y-138747358D01* -X109317840Y-138754400D01* -X109332134Y-138758736D01* -X109347000Y-138760200D01* -X109728000Y-138760200D01* -X109742866Y-138758736D01* -X109757160Y-138754400D01* -X109770334Y-138747358D01* -X109781882Y-138737882D01* -X109791358Y-138726334D01* -X109798400Y-138713160D01* -X109802736Y-138698866D01* -X109804200Y-138684000D01* -X109804200Y-138303000D01* -X111175800Y-138303000D01* -X111175800Y-138684000D01* -X111177264Y-138698866D01* -X111181600Y-138713160D01* -X111188642Y-138726334D01* -X111198118Y-138737882D01* -X111209666Y-138747358D01* -X111222840Y-138754400D01* -X111237134Y-138758736D01* -X111252000Y-138760200D01* -X111633000Y-138760200D01* -X111647866Y-138758736D01* -X111662160Y-138754400D01* -X111675334Y-138747358D01* -X111686882Y-138737882D01* -X111696358Y-138726334D01* -X111703400Y-138713160D01* -X111707736Y-138698866D01* -X111709200Y-138684000D01* -X111709200Y-138303000D01* -X111810800Y-138303000D01* -X111810800Y-138684000D01* -X111812264Y-138698866D01* -X111816600Y-138713160D01* -X111823642Y-138726334D01* -X111833118Y-138737882D01* -X111844666Y-138747358D01* -X111857840Y-138754400D01* -X111872134Y-138758736D01* -X111887000Y-138760200D01* -X112268000Y-138760200D01* -X112282866Y-138758736D01* -X112297160Y-138754400D01* -X112310334Y-138747358D01* -X112321882Y-138737882D01* -X112331358Y-138726334D01* -X112338400Y-138713160D01* -X112342736Y-138698866D01* -X112344200Y-138684000D01* -X112344200Y-138303000D01* -X113715800Y-138303000D01* -X113715800Y-138684000D01* -X113717264Y-138698866D01* -X113721600Y-138713160D01* -X113728642Y-138726334D01* -X113738118Y-138737882D01* -X113749666Y-138747358D01* -X113762840Y-138754400D01* -X113777134Y-138758736D01* -X113792000Y-138760200D01* -X114173000Y-138760200D01* -X114187866Y-138758736D01* -X114202160Y-138754400D01* -X114215334Y-138747358D01* -X114226882Y-138737882D01* -X114236358Y-138726334D01* -X114243400Y-138713160D01* -X114247736Y-138698866D01* -X114249200Y-138684000D01* -X114249200Y-138303000D01* -X114350800Y-138303000D01* -X114350800Y-138684000D01* -X114352264Y-138698866D01* -X114356600Y-138713160D01* -X114363642Y-138726334D01* -X114373118Y-138737882D01* -X114384666Y-138747358D01* -X114397840Y-138754400D01* -X114412134Y-138758736D01* -X114427000Y-138760200D01* -X114808000Y-138760200D01* -X114822866Y-138758736D01* -X114837160Y-138754400D01* -X114850334Y-138747358D01* -X114861882Y-138737882D01* -X114871358Y-138726334D01* -X114878400Y-138713160D01* -X114882736Y-138698866D01* -X114884200Y-138684000D01* -X114884200Y-138303000D01* -X116255800Y-138303000D01* -X116255800Y-138684000D01* -X116257264Y-138698866D01* -X116261600Y-138713160D01* -X116268642Y-138726334D01* -X116278118Y-138737882D01* -X116289666Y-138747358D01* -X116302840Y-138754400D01* -X116317134Y-138758736D01* -X116332000Y-138760200D01* -X116713000Y-138760200D01* -X116727866Y-138758736D01* -X116742160Y-138754400D01* -X116755334Y-138747358D01* -X116766882Y-138737882D01* -X116776358Y-138726334D01* -X116783400Y-138713160D01* -X116787736Y-138698866D01* -X116789200Y-138684000D01* -X116789200Y-138303000D01* -X116890800Y-138303000D01* -X116890800Y-138684000D01* -X116892264Y-138698866D01* -X116896600Y-138713160D01* -X116903642Y-138726334D01* -X116913118Y-138737882D01* -X116924666Y-138747358D01* -X116937840Y-138754400D01* -X116952134Y-138758736D01* -X116967000Y-138760200D01* -X117348000Y-138760200D01* -X117362866Y-138758736D01* -X117377160Y-138754400D01* -X117390334Y-138747358D01* -X117401882Y-138737882D01* -X117411358Y-138726334D01* -X117418400Y-138713160D01* -X117422736Y-138698866D01* -X117424200Y-138684000D01* -X117424200Y-138303000D01* -X118795800Y-138303000D01* -X118795800Y-138684000D01* -X118797264Y-138698866D01* -X118801600Y-138713160D01* -X118808642Y-138726334D01* -X118818118Y-138737882D01* -X118829666Y-138747358D01* -X118842840Y-138754400D01* -X118857134Y-138758736D01* -X118872000Y-138760200D01* -X119253000Y-138760200D01* -X119267866Y-138758736D01* -X119282160Y-138754400D01* -X119295334Y-138747358D01* -X119306882Y-138737882D01* -X119316358Y-138726334D01* -X119323400Y-138713160D01* -X119327736Y-138698866D01* -X119329200Y-138684000D01* -X119329200Y-138303000D01* -X119430800Y-138303000D01* -X119430800Y-138684000D01* -X119432264Y-138698866D01* -X119436600Y-138713160D01* -X119443642Y-138726334D01* -X119453118Y-138737882D01* -X119464666Y-138747358D01* -X119477840Y-138754400D01* -X119492134Y-138758736D01* -X119507000Y-138760200D01* -X119888000Y-138760200D01* -X119902866Y-138758736D01* -X119917160Y-138754400D01* -X119930334Y-138747358D01* -X119941882Y-138737882D01* -X119951358Y-138726334D01* -X119958400Y-138713160D01* -X119962736Y-138698866D01* -X119964200Y-138684000D01* -X119964200Y-138303000D01* -X121335800Y-138303000D01* -X121335800Y-138684000D01* -X121337264Y-138698866D01* -X121341600Y-138713160D01* -X121348642Y-138726334D01* -X121358118Y-138737882D01* -X121369666Y-138747358D01* -X121382840Y-138754400D01* -X121397134Y-138758736D01* -X121412000Y-138760200D01* -X121793000Y-138760200D01* -X121807866Y-138758736D01* -X121822160Y-138754400D01* -X121835334Y-138747358D01* -X121846882Y-138737882D01* -X121856358Y-138726334D01* -X121863400Y-138713160D01* -X121867736Y-138698866D01* -X121869200Y-138684000D01* -X121869200Y-138303000D01* -X121970800Y-138303000D01* -X121970800Y-138684000D01* -X121972264Y-138698866D01* -X121976600Y-138713160D01* -X121983642Y-138726334D01* -X121993118Y-138737882D01* -X122004666Y-138747358D01* -X122017840Y-138754400D01* -X122032134Y-138758736D01* -X122047000Y-138760200D01* -X122428000Y-138760200D01* -X122442866Y-138758736D01* -X122457160Y-138754400D01* -X122470334Y-138747358D01* -X122481882Y-138737882D01* -X122491358Y-138726334D01* -X122498400Y-138713160D01* -X122502736Y-138698866D01* -X122504200Y-138684000D01* -X122504200Y-138303000D01* -X123875800Y-138303000D01* -X123875800Y-138684000D01* -X123877264Y-138698866D01* -X123881600Y-138713160D01* -X123888642Y-138726334D01* -X123898118Y-138737882D01* -X123909666Y-138747358D01* -X123922840Y-138754400D01* -X123937134Y-138758736D01* -X123952000Y-138760200D01* -X124333000Y-138760200D01* -X124347866Y-138758736D01* -X124362160Y-138754400D01* -X124375334Y-138747358D01* -X124386882Y-138737882D01* -X124396358Y-138726334D01* -X124403400Y-138713160D01* -X124407736Y-138698866D01* -X124409200Y-138684000D01* -X124409200Y-138303000D01* -X124510800Y-138303000D01* -X124510800Y-138684000D01* -X124512264Y-138698866D01* -X124516600Y-138713160D01* -X124523642Y-138726334D01* -X124533118Y-138737882D01* -X124544666Y-138747358D01* -X124557840Y-138754400D01* -X124572134Y-138758736D01* -X124587000Y-138760200D01* -X124968000Y-138760200D01* -X124982866Y-138758736D01* -X124997160Y-138754400D01* -X125010334Y-138747358D01* -X125021882Y-138737882D01* -X125031358Y-138726334D01* -X125038400Y-138713160D01* -X125042736Y-138698866D01* -X125044200Y-138684000D01* -X125044200Y-138303000D01* -X126415800Y-138303000D01* -X126415800Y-138684000D01* -X126417264Y-138698866D01* -X126421600Y-138713160D01* -X126428642Y-138726334D01* -X126438118Y-138737882D01* -X126449666Y-138747358D01* -X126462840Y-138754400D01* -X126477134Y-138758736D01* -X126492000Y-138760200D01* -X126873000Y-138760200D01* -X126887866Y-138758736D01* -X126902160Y-138754400D01* -X126915334Y-138747358D01* -X126926882Y-138737882D01* -X126936358Y-138726334D01* -X126943400Y-138713160D01* -X126947736Y-138698866D01* -X126949200Y-138684000D01* -X126949200Y-138303000D01* -X127050800Y-138303000D01* -X127050800Y-138684000D01* -X127052264Y-138698866D01* -X127056600Y-138713160D01* -X127063642Y-138726334D01* -X127073118Y-138737882D01* -X127084666Y-138747358D01* -X127097840Y-138754400D01* -X127112134Y-138758736D01* -X127127000Y-138760200D01* -X127508000Y-138760200D01* -X127522866Y-138758736D01* -X127537160Y-138754400D01* -X127550334Y-138747358D01* -X127561882Y-138737882D01* -X127571358Y-138726334D01* -X127578400Y-138713160D01* -X127582736Y-138698866D01* -X127584200Y-138684000D01* -X127584200Y-138303000D01* -X128955800Y-138303000D01* -X128955800Y-138684000D01* -X128957264Y-138698866D01* -X128961600Y-138713160D01* -X128968642Y-138726334D01* -X128978118Y-138737882D01* -X128989666Y-138747358D01* -X129002840Y-138754400D01* -X129017134Y-138758736D01* -X129032000Y-138760200D01* -X129413000Y-138760200D01* -X129427866Y-138758736D01* -X129442160Y-138754400D01* -X129455334Y-138747358D01* -X129466882Y-138737882D01* -X129476358Y-138726334D01* -X129483400Y-138713160D01* -X129487736Y-138698866D01* -X129489200Y-138684000D01* -X129489200Y-138303000D01* -X129590800Y-138303000D01* -X129590800Y-138684000D01* -X129592264Y-138698866D01* -X129596600Y-138713160D01* -X129603642Y-138726334D01* -X129613118Y-138737882D01* -X129624666Y-138747358D01* -X129637840Y-138754400D01* -X129652134Y-138758736D01* -X129667000Y-138760200D01* -X130048000Y-138760200D01* -X130062866Y-138758736D01* -X130077160Y-138754400D01* -X130090334Y-138747358D01* -X130101882Y-138737882D01* -X130111358Y-138726334D01* -X130118400Y-138713160D01* -X130122736Y-138698866D01* -X130124200Y-138684000D01* -X130124200Y-138303000D01* -X131495800Y-138303000D01* -X131495800Y-138684000D01* -X131497264Y-138698866D01* -X131501600Y-138713160D01* -X131508642Y-138726334D01* -X131518118Y-138737882D01* -X131529666Y-138747358D01* -X131542840Y-138754400D01* -X131557134Y-138758736D01* -X131572000Y-138760200D01* -X131953000Y-138760200D01* -X131967866Y-138758736D01* -X131982160Y-138754400D01* -X131995334Y-138747358D01* -X132006882Y-138737882D01* -X132016358Y-138726334D01* -X132023400Y-138713160D01* -X132027736Y-138698866D01* -X132029200Y-138684000D01* -X132029200Y-138303000D01* -X132130800Y-138303000D01* -X132130800Y-138684000D01* -X132132264Y-138698866D01* -X132136600Y-138713160D01* -X132143642Y-138726334D01* -X132153118Y-138737882D01* -X132164666Y-138747358D01* -X132177840Y-138754400D01* -X132192134Y-138758736D01* -X132207000Y-138760200D01* -X132588000Y-138760200D01* -X132602866Y-138758736D01* -X132617160Y-138754400D01* -X132630334Y-138747358D01* -X132641882Y-138737882D01* -X132651358Y-138726334D01* -X132658400Y-138713160D01* -X132662736Y-138698866D01* -X132664200Y-138684000D01* -X132664200Y-138303000D01* -X134035800Y-138303000D01* -X134035800Y-138684000D01* -X134037264Y-138698866D01* -X134041600Y-138713160D01* -X134048642Y-138726334D01* -X134058118Y-138737882D01* -X134069666Y-138747358D01* -X134082840Y-138754400D01* -X134097134Y-138758736D01* -X134112000Y-138760200D01* -X134493000Y-138760200D01* -X134507866Y-138758736D01* -X134522160Y-138754400D01* -X134535334Y-138747358D01* -X134546882Y-138737882D01* -X134556358Y-138726334D01* -X134563400Y-138713160D01* -X134567736Y-138698866D01* -X134569200Y-138684000D01* -X134569200Y-138303000D01* -X134670800Y-138303000D01* -X134670800Y-138684000D01* -X134672264Y-138698866D01* -X134676600Y-138713160D01* -X134683642Y-138726334D01* -X134693118Y-138737882D01* -X134704666Y-138747358D01* -X134717840Y-138754400D01* -X134732134Y-138758736D01* -X134747000Y-138760200D01* -X135128000Y-138760200D01* -X135142866Y-138758736D01* -X135157160Y-138754400D01* -X135170334Y-138747358D01* -X135181882Y-138737882D01* -X135191358Y-138726334D01* -X135198400Y-138713160D01* -X135202736Y-138698866D01* -X135204200Y-138684000D01* -X135204200Y-138303000D01* -X135202736Y-138288134D01* -X135198400Y-138273840D01* -X135191358Y-138260666D01* -X135181882Y-138249118D01* -X135170334Y-138239642D01* -X135157160Y-138232600D01* -X135142866Y-138228264D01* -X135128000Y-138226800D01* -X134747000Y-138226800D01* -X134732134Y-138228264D01* -X134717840Y-138232600D01* -X134704666Y-138239642D01* -X134693118Y-138249118D01* -X134683642Y-138260666D01* -X134676600Y-138273840D01* -X134672264Y-138288134D01* -X134670800Y-138303000D01* -X134569200Y-138303000D01* -X134567736Y-138288134D01* -X134563400Y-138273840D01* -X134556358Y-138260666D01* -X134546882Y-138249118D01* -X134535334Y-138239642D01* -X134522160Y-138232600D01* -X134507866Y-138228264D01* -X134493000Y-138226800D01* -X134112000Y-138226800D01* -X134097134Y-138228264D01* -X134082840Y-138232600D01* -X134069666Y-138239642D01* -X134058118Y-138249118D01* -X134048642Y-138260666D01* -X134041600Y-138273840D01* -X134037264Y-138288134D01* -X134035800Y-138303000D01* -X132664200Y-138303000D01* -X132662736Y-138288134D01* -X132658400Y-138273840D01* -X132651358Y-138260666D01* -X132641882Y-138249118D01* -X132630334Y-138239642D01* -X132617160Y-138232600D01* -X132602866Y-138228264D01* -X132588000Y-138226800D01* -X132207000Y-138226800D01* -X132192134Y-138228264D01* -X132177840Y-138232600D01* -X132164666Y-138239642D01* -X132153118Y-138249118D01* -X132143642Y-138260666D01* -X132136600Y-138273840D01* -X132132264Y-138288134D01* -X132130800Y-138303000D01* -X132029200Y-138303000D01* -X132027736Y-138288134D01* -X132023400Y-138273840D01* -X132016358Y-138260666D01* -X132006882Y-138249118D01* -X131995334Y-138239642D01* -X131982160Y-138232600D01* -X131967866Y-138228264D01* -X131953000Y-138226800D01* -X131572000Y-138226800D01* -X131557134Y-138228264D01* -X131542840Y-138232600D01* -X131529666Y-138239642D01* -X131518118Y-138249118D01* -X131508642Y-138260666D01* -X131501600Y-138273840D01* -X131497264Y-138288134D01* -X131495800Y-138303000D01* -X130124200Y-138303000D01* -X130122736Y-138288134D01* -X130118400Y-138273840D01* -X130111358Y-138260666D01* -X130101882Y-138249118D01* -X130090334Y-138239642D01* -X130077160Y-138232600D01* -X130062866Y-138228264D01* -X130048000Y-138226800D01* -X129667000Y-138226800D01* -X129652134Y-138228264D01* -X129637840Y-138232600D01* -X129624666Y-138239642D01* -X129613118Y-138249118D01* -X129603642Y-138260666D01* -X129596600Y-138273840D01* -X129592264Y-138288134D01* -X129590800Y-138303000D01* -X129489200Y-138303000D01* -X129487736Y-138288134D01* -X129483400Y-138273840D01* -X129476358Y-138260666D01* -X129466882Y-138249118D01* -X129455334Y-138239642D01* -X129442160Y-138232600D01* -X129427866Y-138228264D01* -X129413000Y-138226800D01* -X129032000Y-138226800D01* -X129017134Y-138228264D01* -X129002840Y-138232600D01* -X128989666Y-138239642D01* -X128978118Y-138249118D01* -X128968642Y-138260666D01* -X128961600Y-138273840D01* -X128957264Y-138288134D01* -X128955800Y-138303000D01* -X127584200Y-138303000D01* -X127582736Y-138288134D01* -X127578400Y-138273840D01* -X127571358Y-138260666D01* -X127561882Y-138249118D01* -X127550334Y-138239642D01* -X127537160Y-138232600D01* -X127522866Y-138228264D01* -X127508000Y-138226800D01* -X127127000Y-138226800D01* -X127112134Y-138228264D01* -X127097840Y-138232600D01* -X127084666Y-138239642D01* -X127073118Y-138249118D01* -X127063642Y-138260666D01* -X127056600Y-138273840D01* -X127052264Y-138288134D01* -X127050800Y-138303000D01* -X126949200Y-138303000D01* -X126947736Y-138288134D01* -X126943400Y-138273840D01* -X126936358Y-138260666D01* -X126926882Y-138249118D01* -X126915334Y-138239642D01* -X126902160Y-138232600D01* -X126887866Y-138228264D01* -X126873000Y-138226800D01* -X126492000Y-138226800D01* -X126477134Y-138228264D01* -X126462840Y-138232600D01* -X126449666Y-138239642D01* -X126438118Y-138249118D01* -X126428642Y-138260666D01* -X126421600Y-138273840D01* -X126417264Y-138288134D01* -X126415800Y-138303000D01* -X125044200Y-138303000D01* -X125042736Y-138288134D01* -X125038400Y-138273840D01* -X125031358Y-138260666D01* -X125021882Y-138249118D01* -X125010334Y-138239642D01* -X124997160Y-138232600D01* -X124982866Y-138228264D01* -X124968000Y-138226800D01* -X124587000Y-138226800D01* -X124572134Y-138228264D01* -X124557840Y-138232600D01* -X124544666Y-138239642D01* -X124533118Y-138249118D01* -X124523642Y-138260666D01* -X124516600Y-138273840D01* -X124512264Y-138288134D01* -X124510800Y-138303000D01* -X124409200Y-138303000D01* -X124407736Y-138288134D01* -X124403400Y-138273840D01* -X124396358Y-138260666D01* -X124386882Y-138249118D01* -X124375334Y-138239642D01* -X124362160Y-138232600D01* -X124347866Y-138228264D01* -X124333000Y-138226800D01* -X123952000Y-138226800D01* -X123937134Y-138228264D01* -X123922840Y-138232600D01* -X123909666Y-138239642D01* -X123898118Y-138249118D01* -X123888642Y-138260666D01* -X123881600Y-138273840D01* -X123877264Y-138288134D01* -X123875800Y-138303000D01* -X122504200Y-138303000D01* -X122502736Y-138288134D01* -X122498400Y-138273840D01* -X122491358Y-138260666D01* -X122481882Y-138249118D01* -X122470334Y-138239642D01* -X122457160Y-138232600D01* -X122442866Y-138228264D01* -X122428000Y-138226800D01* -X122047000Y-138226800D01* -X122032134Y-138228264D01* -X122017840Y-138232600D01* -X122004666Y-138239642D01* -X121993118Y-138249118D01* -X121983642Y-138260666D01* -X121976600Y-138273840D01* -X121972264Y-138288134D01* -X121970800Y-138303000D01* -X121869200Y-138303000D01* -X121867736Y-138288134D01* -X121863400Y-138273840D01* -X121856358Y-138260666D01* -X121846882Y-138249118D01* -X121835334Y-138239642D01* -X121822160Y-138232600D01* -X121807866Y-138228264D01* -X121793000Y-138226800D01* -X121412000Y-138226800D01* -X121397134Y-138228264D01* -X121382840Y-138232600D01* -X121369666Y-138239642D01* -X121358118Y-138249118D01* -X121348642Y-138260666D01* -X121341600Y-138273840D01* -X121337264Y-138288134D01* -X121335800Y-138303000D01* -X119964200Y-138303000D01* -X119962736Y-138288134D01* -X119958400Y-138273840D01* -X119951358Y-138260666D01* -X119941882Y-138249118D01* -X119930334Y-138239642D01* -X119917160Y-138232600D01* -X119902866Y-138228264D01* -X119888000Y-138226800D01* -X119507000Y-138226800D01* -X119492134Y-138228264D01* -X119477840Y-138232600D01* -X119464666Y-138239642D01* -X119453118Y-138249118D01* -X119443642Y-138260666D01* -X119436600Y-138273840D01* -X119432264Y-138288134D01* -X119430800Y-138303000D01* -X119329200Y-138303000D01* -X119327736Y-138288134D01* -X119323400Y-138273840D01* -X119316358Y-138260666D01* -X119306882Y-138249118D01* -X119295334Y-138239642D01* -X119282160Y-138232600D01* -X119267866Y-138228264D01* -X119253000Y-138226800D01* -X118872000Y-138226800D01* -X118857134Y-138228264D01* -X118842840Y-138232600D01* -X118829666Y-138239642D01* -X118818118Y-138249118D01* -X118808642Y-138260666D01* -X118801600Y-138273840D01* -X118797264Y-138288134D01* -X118795800Y-138303000D01* -X117424200Y-138303000D01* -X117422736Y-138288134D01* -X117418400Y-138273840D01* -X117411358Y-138260666D01* -X117401882Y-138249118D01* -X117390334Y-138239642D01* -X117377160Y-138232600D01* -X117362866Y-138228264D01* -X117348000Y-138226800D01* -X116967000Y-138226800D01* -X116952134Y-138228264D01* -X116937840Y-138232600D01* -X116924666Y-138239642D01* -X116913118Y-138249118D01* -X116903642Y-138260666D01* -X116896600Y-138273840D01* -X116892264Y-138288134D01* -X116890800Y-138303000D01* -X116789200Y-138303000D01* -X116787736Y-138288134D01* -X116783400Y-138273840D01* -X116776358Y-138260666D01* -X116766882Y-138249118D01* -X116755334Y-138239642D01* -X116742160Y-138232600D01* -X116727866Y-138228264D01* -X116713000Y-138226800D01* -X116332000Y-138226800D01* -X116317134Y-138228264D01* -X116302840Y-138232600D01* -X116289666Y-138239642D01* -X116278118Y-138249118D01* -X116268642Y-138260666D01* -X116261600Y-138273840D01* -X116257264Y-138288134D01* -X116255800Y-138303000D01* -X114884200Y-138303000D01* -X114882736Y-138288134D01* -X114878400Y-138273840D01* -X114871358Y-138260666D01* -X114861882Y-138249118D01* -X114850334Y-138239642D01* -X114837160Y-138232600D01* -X114822866Y-138228264D01* -X114808000Y-138226800D01* -X114427000Y-138226800D01* -X114412134Y-138228264D01* -X114397840Y-138232600D01* -X114384666Y-138239642D01* -X114373118Y-138249118D01* -X114363642Y-138260666D01* -X114356600Y-138273840D01* -X114352264Y-138288134D01* -X114350800Y-138303000D01* -X114249200Y-138303000D01* -X114247736Y-138288134D01* -X114243400Y-138273840D01* -X114236358Y-138260666D01* -X114226882Y-138249118D01* -X114215334Y-138239642D01* -X114202160Y-138232600D01* -X114187866Y-138228264D01* -X114173000Y-138226800D01* -X113792000Y-138226800D01* -X113777134Y-138228264D01* -X113762840Y-138232600D01* -X113749666Y-138239642D01* -X113738118Y-138249118D01* -X113728642Y-138260666D01* -X113721600Y-138273840D01* -X113717264Y-138288134D01* -X113715800Y-138303000D01* -X112344200Y-138303000D01* -X112342736Y-138288134D01* -X112338400Y-138273840D01* -X112331358Y-138260666D01* -X112321882Y-138249118D01* -X112310334Y-138239642D01* -X112297160Y-138232600D01* -X112282866Y-138228264D01* -X112268000Y-138226800D01* -X111887000Y-138226800D01* -X111872134Y-138228264D01* -X111857840Y-138232600D01* -X111844666Y-138239642D01* -X111833118Y-138249118D01* -X111823642Y-138260666D01* -X111816600Y-138273840D01* -X111812264Y-138288134D01* -X111810800Y-138303000D01* -X111709200Y-138303000D01* -X111707736Y-138288134D01* -X111703400Y-138273840D01* -X111696358Y-138260666D01* -X111686882Y-138249118D01* -X111675334Y-138239642D01* -X111662160Y-138232600D01* -X111647866Y-138228264D01* -X111633000Y-138226800D01* -X111252000Y-138226800D01* -X111237134Y-138228264D01* -X111222840Y-138232600D01* -X111209666Y-138239642D01* -X111198118Y-138249118D01* -X111188642Y-138260666D01* -X111181600Y-138273840D01* -X111177264Y-138288134D01* -X111175800Y-138303000D01* -X109804200Y-138303000D01* -X109802736Y-138288134D01* -X109798400Y-138273840D01* -X109791358Y-138260666D01* -X109781882Y-138249118D01* -X109770334Y-138239642D01* -X109757160Y-138232600D01* -X109742866Y-138228264D01* -X109728000Y-138226800D01* -X109347000Y-138226800D01* -X109332134Y-138228264D01* -X109317840Y-138232600D01* -X109304666Y-138239642D01* -X109293118Y-138249118D01* -X109283642Y-138260666D01* -X109276600Y-138273840D01* -X109272264Y-138288134D01* -X109270800Y-138303000D01* -X109169200Y-138303000D01* -X109167736Y-138288134D01* -X109163400Y-138273840D01* -X109156358Y-138260666D01* -X109146882Y-138249118D01* -X109135334Y-138239642D01* -X109122160Y-138232600D01* -X109107866Y-138228264D01* -X109093000Y-138226800D01* -X108712000Y-138226800D01* -X108697134Y-138228264D01* -X108682840Y-138232600D01* -X108669666Y-138239642D01* -X108658118Y-138249118D01* -X108648642Y-138260666D01* -X108641600Y-138273840D01* -X108637264Y-138288134D01* -X108635800Y-138303000D01* -X107264200Y-138303000D01* -X107262736Y-138288134D01* -X107258400Y-138273840D01* -X107251358Y-138260666D01* -X107241882Y-138249118D01* -X107230334Y-138239642D01* -X107217160Y-138232600D01* -X107202866Y-138228264D01* -X107188000Y-138226800D01* -X106807000Y-138226800D01* -X106792134Y-138228264D01* -X106777840Y-138232600D01* -X106764666Y-138239642D01* -X106753118Y-138249118D01* -X106743642Y-138260666D01* -X106736600Y-138273840D01* -X106732264Y-138288134D01* -X106730800Y-138303000D01* -X106629200Y-138303000D01* -X106627736Y-138288134D01* -X106623400Y-138273840D01* -X106616358Y-138260666D01* -X106606882Y-138249118D01* -X106595334Y-138239642D01* -X106582160Y-138232600D01* -X106567866Y-138228264D01* -X106553000Y-138226800D01* -X106172000Y-138226800D01* -X106157134Y-138228264D01* -X106142840Y-138232600D01* -X106129666Y-138239642D01* -X106118118Y-138249118D01* -X106108642Y-138260666D01* -X106101600Y-138273840D01* -X106097264Y-138288134D01* -X106095800Y-138303000D01* -X104724200Y-138303000D01* -X104722736Y-138288134D01* -X104718400Y-138273840D01* -X104711358Y-138260666D01* -X104701882Y-138249118D01* -X104690334Y-138239642D01* -X104677160Y-138232600D01* -X104662866Y-138228264D01* -X104648000Y-138226800D01* -X104267000Y-138226800D01* -X104252134Y-138228264D01* -X104237840Y-138232600D01* -X104224666Y-138239642D01* -X104213118Y-138249118D01* -X104203642Y-138260666D01* -X104196600Y-138273840D01* -X104192264Y-138288134D01* -X104190800Y-138303000D01* -X104089200Y-138303000D01* -X104087736Y-138288134D01* -X104083400Y-138273840D01* -X104076358Y-138260666D01* -X104066882Y-138249118D01* -X104055334Y-138239642D01* -X104042160Y-138232600D01* -X104027866Y-138228264D01* -X104013000Y-138226800D01* -X103632000Y-138226800D01* -X103617134Y-138228264D01* -X103602840Y-138232600D01* -X103589666Y-138239642D01* -X103578118Y-138249118D01* -X103568642Y-138260666D01* -X103561600Y-138273840D01* -X103557264Y-138288134D01* -X103555800Y-138303000D01* -X102184200Y-138303000D01* -X102182736Y-138288134D01* -X102178400Y-138273840D01* -X102171358Y-138260666D01* -X102161882Y-138249118D01* -X102150334Y-138239642D01* -X102137160Y-138232600D01* -X102122866Y-138228264D01* -X102108000Y-138226800D01* -X101727000Y-138226800D01* -X101712134Y-138228264D01* -X101697840Y-138232600D01* -X101684666Y-138239642D01* -X101673118Y-138249118D01* -X101663642Y-138260666D01* -X101656600Y-138273840D01* -X101652264Y-138288134D01* -X101650800Y-138303000D01* -X101549200Y-138303000D01* -X101547736Y-138288134D01* -X101543400Y-138273840D01* -X101536358Y-138260666D01* -X101526882Y-138249118D01* -X101515334Y-138239642D01* -X101502160Y-138232600D01* -X101487866Y-138228264D01* -X101473000Y-138226800D01* -X101092000Y-138226800D01* -X101077134Y-138228264D01* -X101062840Y-138232600D01* -X101049666Y-138239642D01* -X101038118Y-138249118D01* -X101028642Y-138260666D01* -X101021600Y-138273840D01* -X101017264Y-138288134D01* -X101015800Y-138303000D01* -X99644200Y-138303000D01* -X99642736Y-138288134D01* -X99638400Y-138273840D01* -X99631358Y-138260666D01* -X99621882Y-138249118D01* -X99610334Y-138239642D01* -X99597160Y-138232600D01* -X99582866Y-138228264D01* -X99568000Y-138226800D01* -X99187000Y-138226800D01* -X99172134Y-138228264D01* -X99157840Y-138232600D01* -X99144666Y-138239642D01* -X99133118Y-138249118D01* -X99123642Y-138260666D01* -X99116600Y-138273840D01* -X99112264Y-138288134D01* -X99110800Y-138303000D01* -X99009200Y-138303000D01* -X99007736Y-138288134D01* -X99003400Y-138273840D01* -X98996358Y-138260666D01* -X98986882Y-138249118D01* -X98975334Y-138239642D01* -X98962160Y-138232600D01* -X98947866Y-138228264D01* -X98933000Y-138226800D01* -X98552000Y-138226800D01* -X98537134Y-138228264D01* -X98522840Y-138232600D01* -X98509666Y-138239642D01* -X98498118Y-138249118D01* -X98488642Y-138260666D01* -X98481600Y-138273840D01* -X98477264Y-138288134D01* -X98475800Y-138303000D01* -X97104200Y-138303000D01* -X97102736Y-138288134D01* -X97098400Y-138273840D01* -X97091358Y-138260666D01* -X97081882Y-138249118D01* -X97070334Y-138239642D01* -X97057160Y-138232600D01* -X97042866Y-138228264D01* -X97028000Y-138226800D01* -X96647000Y-138226800D01* -X96632134Y-138228264D01* -X96617840Y-138232600D01* -X96604666Y-138239642D01* -X96593118Y-138249118D01* -X96583642Y-138260666D01* -X96576600Y-138273840D01* -X96572264Y-138288134D01* -X96570800Y-138303000D01* -X96469200Y-138303000D01* -X96467736Y-138288134D01* -X96463400Y-138273840D01* -X96456358Y-138260666D01* -X96446882Y-138249118D01* -X96435334Y-138239642D01* -X96422160Y-138232600D01* -X96407866Y-138228264D01* -X96393000Y-138226800D01* -X96012000Y-138226800D01* -X95997134Y-138228264D01* -X95982840Y-138232600D01* -X95969666Y-138239642D01* -X95958118Y-138249118D01* -X95948642Y-138260666D01* -X95941600Y-138273840D01* -X95937264Y-138288134D01* -X95935800Y-138303000D01* -X94564200Y-138303000D01* -X94562736Y-138288134D01* -X94558400Y-138273840D01* -X94551358Y-138260666D01* -X94541882Y-138249118D01* -X94530334Y-138239642D01* -X94517160Y-138232600D01* -X94502866Y-138228264D01* -X94488000Y-138226800D01* -X94107000Y-138226800D01* -X94092134Y-138228264D01* -X94077840Y-138232600D01* -X94064666Y-138239642D01* -X94053118Y-138249118D01* -X94043642Y-138260666D01* -X94036600Y-138273840D01* -X94032264Y-138288134D01* -X94030800Y-138303000D01* -X93929200Y-138303000D01* -X93927736Y-138288134D01* -X93923400Y-138273840D01* -X93916358Y-138260666D01* -X93906882Y-138249118D01* -X93895334Y-138239642D01* -X93882160Y-138232600D01* -X93867866Y-138228264D01* -X93853000Y-138226800D01* -X93472000Y-138226800D01* -X93457134Y-138228264D01* -X93442840Y-138232600D01* -X93429666Y-138239642D01* -X93418118Y-138249118D01* -X93408642Y-138260666D01* -X93401600Y-138273840D01* -X93397264Y-138288134D01* -X93395800Y-138303000D01* -X92024200Y-138303000D01* -X92022736Y-138288134D01* -X92018400Y-138273840D01* -X92011358Y-138260666D01* -X92001882Y-138249118D01* -X91990334Y-138239642D01* -X91977160Y-138232600D01* -X91962866Y-138228264D01* -X91948000Y-138226800D01* -X91567000Y-138226800D01* -X91552134Y-138228264D01* -X91537840Y-138232600D01* -X91524666Y-138239642D01* -X91513118Y-138249118D01* -X91503642Y-138260666D01* -X91496600Y-138273840D01* -X91492264Y-138288134D01* -X91490800Y-138303000D01* -X91389200Y-138303000D01* -X91387736Y-138288134D01* -X91383400Y-138273840D01* -X91376358Y-138260666D01* -X91366882Y-138249118D01* -X91355334Y-138239642D01* -X91342160Y-138232600D01* -X91327866Y-138228264D01* -X91313000Y-138226800D01* -X90932000Y-138226800D01* -X90917134Y-138228264D01* -X90902840Y-138232600D01* -X90889666Y-138239642D01* -X90878118Y-138249118D01* -X90868642Y-138260666D01* -X90861600Y-138273840D01* -X90857264Y-138288134D01* -X90855800Y-138303000D01* -X89484200Y-138303000D01* -X89482736Y-138288134D01* -X89478400Y-138273840D01* -X89471358Y-138260666D01* -X89461882Y-138249118D01* -X89450334Y-138239642D01* -X89437160Y-138232600D01* -X89422866Y-138228264D01* -X89408000Y-138226800D01* -X89027000Y-138226800D01* -X89012134Y-138228264D01* -X88997840Y-138232600D01* -X88984666Y-138239642D01* -X88973118Y-138249118D01* -X88963642Y-138260666D01* -X88956600Y-138273840D01* -X88952264Y-138288134D01* -X88950800Y-138303000D01* -X88849200Y-138303000D01* -X88847736Y-138288134D01* -X88843400Y-138273840D01* -X88836358Y-138260666D01* -X88826882Y-138249118D01* -X88815334Y-138239642D01* -X88802160Y-138232600D01* -X88787866Y-138228264D01* -X88773000Y-138226800D01* -X88392000Y-138226800D01* -X88377134Y-138228264D01* -X88362840Y-138232600D01* -X88349666Y-138239642D01* -X88338118Y-138249118D01* -X88328642Y-138260666D01* -X88321600Y-138273840D01* -X88317264Y-138288134D01* -X88315800Y-138303000D01* -X86944200Y-138303000D01* -X86942736Y-138288134D01* -X86938400Y-138273840D01* -X86931358Y-138260666D01* -X86921882Y-138249118D01* -X86910334Y-138239642D01* -X86897160Y-138232600D01* -X86882866Y-138228264D01* -X86868000Y-138226800D01* -X86487000Y-138226800D01* -X86472134Y-138228264D01* -X86457840Y-138232600D01* -X86444666Y-138239642D01* -X86433118Y-138249118D01* -X86423642Y-138260666D01* -X86416600Y-138273840D01* -X86412264Y-138288134D01* -X86410800Y-138303000D01* -X86309200Y-138303000D01* -X86307736Y-138288134D01* -X86303400Y-138273840D01* -X86296358Y-138260666D01* -X86286882Y-138249118D01* -X86275334Y-138239642D01* -X86262160Y-138232600D01* -X86247866Y-138228264D01* -X86233000Y-138226800D01* -X85852000Y-138226800D01* -X85837134Y-138228264D01* -X85822840Y-138232600D01* -X85809666Y-138239642D01* -X85798118Y-138249118D01* -X85788642Y-138260666D01* -X85781600Y-138273840D01* -X85777264Y-138288134D01* -X85775800Y-138303000D01* -X84404200Y-138303000D01* -X84402736Y-138288134D01* -X84398400Y-138273840D01* -X84391358Y-138260666D01* -X84381882Y-138249118D01* -X84370334Y-138239642D01* -X84357160Y-138232600D01* -X84342866Y-138228264D01* -X84328000Y-138226800D01* -X83947000Y-138226800D01* -X83932134Y-138228264D01* -X83917840Y-138232600D01* -X83904666Y-138239642D01* -X83893118Y-138249118D01* -X83883642Y-138260666D01* -X83876600Y-138273840D01* -X83872264Y-138288134D01* -X83870800Y-138303000D01* -X83769200Y-138303000D01* -X83767736Y-138288134D01* -X83763400Y-138273840D01* -X83756358Y-138260666D01* -X83746882Y-138249118D01* -X83735334Y-138239642D01* -X83722160Y-138232600D01* -X83707866Y-138228264D01* -X83693000Y-138226800D01* -X83312000Y-138226800D01* -X83297134Y-138228264D01* -X83282840Y-138232600D01* -X83269666Y-138239642D01* -X83258118Y-138249118D01* -X83248642Y-138260666D01* -X83241600Y-138273840D01* -X83237264Y-138288134D01* -X83235800Y-138303000D01* -X81864200Y-138303000D01* -X81862736Y-138288134D01* -X81858400Y-138273840D01* -X81851358Y-138260666D01* -X81841882Y-138249118D01* -X81830334Y-138239642D01* -X81817160Y-138232600D01* -X81802866Y-138228264D01* -X81788000Y-138226800D01* -X81407000Y-138226800D01* -X81392134Y-138228264D01* -X81377840Y-138232600D01* -X81364666Y-138239642D01* -X81353118Y-138249118D01* -X81343642Y-138260666D01* -X81336600Y-138273840D01* -X81332264Y-138288134D01* -X81330800Y-138303000D01* -X81229200Y-138303000D01* -X81227736Y-138288134D01* -X81223400Y-138273840D01* -X81216358Y-138260666D01* -X81206882Y-138249118D01* -X81195334Y-138239642D01* -X81182160Y-138232600D01* -X81167866Y-138228264D01* -X81153000Y-138226800D01* -X80772000Y-138226800D01* -X80757134Y-138228264D01* -X80742840Y-138232600D01* -X80729666Y-138239642D01* -X80718118Y-138249118D01* -X80708642Y-138260666D01* -X80701600Y-138273840D01* -X80697264Y-138288134D01* -X80695800Y-138303000D01* -X79324200Y-138303000D01* -X79322736Y-138288134D01* -X79318400Y-138273840D01* -X79311358Y-138260666D01* -X79301882Y-138249118D01* -X79290334Y-138239642D01* -X79277160Y-138232600D01* -X79262866Y-138228264D01* -X79248000Y-138226800D01* -X78867000Y-138226800D01* -X78852134Y-138228264D01* -X78837840Y-138232600D01* -X78824666Y-138239642D01* -X78813118Y-138249118D01* -X78803642Y-138260666D01* -X78796600Y-138273840D01* -X78792264Y-138288134D01* -X78790800Y-138303000D01* -X78689200Y-138303000D01* -X78687736Y-138288134D01* -X78683400Y-138273840D01* -X78676358Y-138260666D01* -X78666882Y-138249118D01* -X78655334Y-138239642D01* -X78642160Y-138232600D01* -X78627866Y-138228264D01* -X78613000Y-138226800D01* -X78232000Y-138226800D01* -X78217134Y-138228264D01* -X78202840Y-138232600D01* -X78189666Y-138239642D01* -X78178118Y-138249118D01* -X78168642Y-138260666D01* -X78161600Y-138273840D01* -X78157264Y-138288134D01* -X78155800Y-138303000D01* -X76784200Y-138303000D01* -X76782736Y-138288134D01* -X76778400Y-138273840D01* -X76771358Y-138260666D01* -X76761882Y-138249118D01* -X76750334Y-138239642D01* -X76737160Y-138232600D01* -X76722866Y-138228264D01* -X76708000Y-138226800D01* -X76327000Y-138226800D01* -X76312134Y-138228264D01* -X76297840Y-138232600D01* -X76284666Y-138239642D01* -X76273118Y-138249118D01* -X76263642Y-138260666D01* -X76256600Y-138273840D01* -X76252264Y-138288134D01* -X76250800Y-138303000D01* -X76149200Y-138303000D01* -X76147736Y-138288134D01* -X76143400Y-138273840D01* -X76136358Y-138260666D01* -X76126882Y-138249118D01* -X76115334Y-138239642D01* -X76102160Y-138232600D01* -X76087866Y-138228264D01* -X76073000Y-138226800D01* -X75692000Y-138226800D01* -X75677134Y-138228264D01* -X75662840Y-138232600D01* -X75649666Y-138239642D01* -X75638118Y-138249118D01* -X75628642Y-138260666D01* -X75621600Y-138273840D01* -X75617264Y-138288134D01* -X75615800Y-138303000D01* -X74498200Y-138303000D01* -X74498200Y-137668000D01* -X75615800Y-137668000D01* -X75615800Y-138049000D01* -X75617264Y-138063866D01* -X75621600Y-138078160D01* -X75628642Y-138091334D01* -X75638118Y-138102882D01* -X75649666Y-138112358D01* -X75662840Y-138119400D01* -X75677134Y-138123736D01* -X75692000Y-138125200D01* -X76073000Y-138125200D01* -X76087866Y-138123736D01* -X76102160Y-138119400D01* -X76115334Y-138112358D01* -X76126882Y-138102882D01* -X76136358Y-138091334D01* -X76143400Y-138078160D01* -X76147736Y-138063866D01* -X76149200Y-138049000D01* -X76149200Y-137668000D01* -X76250800Y-137668000D01* -X76250800Y-138049000D01* -X76252264Y-138063866D01* -X76256600Y-138078160D01* -X76263642Y-138091334D01* -X76273118Y-138102882D01* -X76284666Y-138112358D01* -X76297840Y-138119400D01* -X76312134Y-138123736D01* -X76327000Y-138125200D01* -X76708000Y-138125200D01* -X76722866Y-138123736D01* -X76737160Y-138119400D01* -X76750334Y-138112358D01* -X76761882Y-138102882D01* -X76771358Y-138091334D01* -X76778400Y-138078160D01* -X76782736Y-138063866D01* -X76784200Y-138049000D01* -X76784200Y-137668000D01* -X78155800Y-137668000D01* -X78155800Y-138049000D01* -X78157264Y-138063866D01* -X78161600Y-138078160D01* -X78168642Y-138091334D01* -X78178118Y-138102882D01* -X78189666Y-138112358D01* -X78202840Y-138119400D01* -X78217134Y-138123736D01* -X78232000Y-138125200D01* -X78613000Y-138125200D01* -X78627866Y-138123736D01* -X78642160Y-138119400D01* -X78655334Y-138112358D01* -X78666882Y-138102882D01* -X78676358Y-138091334D01* -X78683400Y-138078160D01* -X78687736Y-138063866D01* -X78689200Y-138049000D01* -X78689200Y-137668000D01* -X78790800Y-137668000D01* -X78790800Y-138049000D01* -X78792264Y-138063866D01* -X78796600Y-138078160D01* -X78803642Y-138091334D01* -X78813118Y-138102882D01* -X78824666Y-138112358D01* -X78837840Y-138119400D01* -X78852134Y-138123736D01* -X78867000Y-138125200D01* -X79248000Y-138125200D01* -X79262866Y-138123736D01* -X79277160Y-138119400D01* -X79290334Y-138112358D01* -X79301882Y-138102882D01* -X79311358Y-138091334D01* -X79318400Y-138078160D01* -X79322736Y-138063866D01* -X79324200Y-138049000D01* -X79324200Y-137668000D01* -X80695800Y-137668000D01* -X80695800Y-138049000D01* -X80697264Y-138063866D01* -X80701600Y-138078160D01* -X80708642Y-138091334D01* -X80718118Y-138102882D01* -X80729666Y-138112358D01* -X80742840Y-138119400D01* -X80757134Y-138123736D01* -X80772000Y-138125200D01* -X81153000Y-138125200D01* -X81167866Y-138123736D01* -X81182160Y-138119400D01* -X81195334Y-138112358D01* -X81206882Y-138102882D01* -X81216358Y-138091334D01* -X81223400Y-138078160D01* -X81227736Y-138063866D01* -X81229200Y-138049000D01* -X81229200Y-137668000D01* -X81330800Y-137668000D01* -X81330800Y-138049000D01* -X81332264Y-138063866D01* -X81336600Y-138078160D01* -X81343642Y-138091334D01* -X81353118Y-138102882D01* -X81364666Y-138112358D01* -X81377840Y-138119400D01* -X81392134Y-138123736D01* -X81407000Y-138125200D01* -X81788000Y-138125200D01* -X81802866Y-138123736D01* -X81817160Y-138119400D01* -X81830334Y-138112358D01* -X81841882Y-138102882D01* -X81851358Y-138091334D01* -X81858400Y-138078160D01* -X81862736Y-138063866D01* -X81864200Y-138049000D01* -X81864200Y-137668000D01* -X83235800Y-137668000D01* -X83235800Y-138049000D01* -X83237264Y-138063866D01* -X83241600Y-138078160D01* -X83248642Y-138091334D01* -X83258118Y-138102882D01* -X83269666Y-138112358D01* -X83282840Y-138119400D01* -X83297134Y-138123736D01* -X83312000Y-138125200D01* -X83693000Y-138125200D01* -X83707866Y-138123736D01* -X83722160Y-138119400D01* -X83735334Y-138112358D01* -X83746882Y-138102882D01* -X83756358Y-138091334D01* -X83763400Y-138078160D01* -X83767736Y-138063866D01* -X83769200Y-138049000D01* -X83769200Y-137668000D01* -X83870800Y-137668000D01* -X83870800Y-138049000D01* -X83872264Y-138063866D01* -X83876600Y-138078160D01* -X83883642Y-138091334D01* -X83893118Y-138102882D01* -X83904666Y-138112358D01* -X83917840Y-138119400D01* -X83932134Y-138123736D01* -X83947000Y-138125200D01* -X84328000Y-138125200D01* -X84342866Y-138123736D01* -X84357160Y-138119400D01* -X84370334Y-138112358D01* -X84381882Y-138102882D01* -X84391358Y-138091334D01* -X84398400Y-138078160D01* -X84402736Y-138063866D01* -X84404200Y-138049000D01* -X84404200Y-137668000D01* -X85775800Y-137668000D01* -X85775800Y-138049000D01* -X85777264Y-138063866D01* -X85781600Y-138078160D01* -X85788642Y-138091334D01* -X85798118Y-138102882D01* -X85809666Y-138112358D01* -X85822840Y-138119400D01* -X85837134Y-138123736D01* -X85852000Y-138125200D01* -X86233000Y-138125200D01* -X86247866Y-138123736D01* -X86262160Y-138119400D01* -X86275334Y-138112358D01* -X86286882Y-138102882D01* -X86296358Y-138091334D01* -X86303400Y-138078160D01* -X86307736Y-138063866D01* -X86309200Y-138049000D01* -X86309200Y-137668000D01* -X86410800Y-137668000D01* -X86410800Y-138049000D01* -X86412264Y-138063866D01* -X86416600Y-138078160D01* -X86423642Y-138091334D01* -X86433118Y-138102882D01* -X86444666Y-138112358D01* -X86457840Y-138119400D01* -X86472134Y-138123736D01* -X86487000Y-138125200D01* -X86868000Y-138125200D01* -X86882866Y-138123736D01* -X86897160Y-138119400D01* -X86910334Y-138112358D01* -X86921882Y-138102882D01* -X86931358Y-138091334D01* -X86938400Y-138078160D01* -X86942736Y-138063866D01* -X86944200Y-138049000D01* -X86944200Y-137668000D01* -X88315800Y-137668000D01* -X88315800Y-138049000D01* -X88317264Y-138063866D01* -X88321600Y-138078160D01* -X88328642Y-138091334D01* -X88338118Y-138102882D01* -X88349666Y-138112358D01* -X88362840Y-138119400D01* -X88377134Y-138123736D01* -X88392000Y-138125200D01* -X88773000Y-138125200D01* -X88787866Y-138123736D01* -X88802160Y-138119400D01* -X88815334Y-138112358D01* -X88826882Y-138102882D01* -X88836358Y-138091334D01* -X88843400Y-138078160D01* -X88847736Y-138063866D01* -X88849200Y-138049000D01* -X88849200Y-137668000D01* -X88950800Y-137668000D01* -X88950800Y-138049000D01* -X88952264Y-138063866D01* -X88956600Y-138078160D01* -X88963642Y-138091334D01* -X88973118Y-138102882D01* -X88984666Y-138112358D01* -X88997840Y-138119400D01* -X89012134Y-138123736D01* -X89027000Y-138125200D01* -X89408000Y-138125200D01* -X89422866Y-138123736D01* -X89437160Y-138119400D01* -X89450334Y-138112358D01* -X89461882Y-138102882D01* -X89471358Y-138091334D01* -X89478400Y-138078160D01* -X89482736Y-138063866D01* -X89484200Y-138049000D01* -X89484200Y-137668000D01* -X90855800Y-137668000D01* -X90855800Y-138049000D01* -X90857264Y-138063866D01* -X90861600Y-138078160D01* -X90868642Y-138091334D01* -X90878118Y-138102882D01* -X90889666Y-138112358D01* -X90902840Y-138119400D01* -X90917134Y-138123736D01* -X90932000Y-138125200D01* -X91313000Y-138125200D01* -X91327866Y-138123736D01* -X91342160Y-138119400D01* -X91355334Y-138112358D01* -X91366882Y-138102882D01* -X91376358Y-138091334D01* -X91383400Y-138078160D01* -X91387736Y-138063866D01* -X91389200Y-138049000D01* -X91389200Y-137668000D01* -X91490800Y-137668000D01* -X91490800Y-138049000D01* -X91492264Y-138063866D01* -X91496600Y-138078160D01* -X91503642Y-138091334D01* -X91513118Y-138102882D01* -X91524666Y-138112358D01* -X91537840Y-138119400D01* -X91552134Y-138123736D01* -X91567000Y-138125200D01* -X91948000Y-138125200D01* -X91962866Y-138123736D01* -X91977160Y-138119400D01* -X91990334Y-138112358D01* -X92001882Y-138102882D01* -X92011358Y-138091334D01* -X92018400Y-138078160D01* -X92022736Y-138063866D01* -X92024200Y-138049000D01* -X92024200Y-137668000D01* -X93395800Y-137668000D01* -X93395800Y-138049000D01* -X93397264Y-138063866D01* -X93401600Y-138078160D01* -X93408642Y-138091334D01* -X93418118Y-138102882D01* -X93429666Y-138112358D01* -X93442840Y-138119400D01* -X93457134Y-138123736D01* -X93472000Y-138125200D01* -X93853000Y-138125200D01* -X93867866Y-138123736D01* -X93882160Y-138119400D01* -X93895334Y-138112358D01* -X93906882Y-138102882D01* -X93916358Y-138091334D01* -X93923400Y-138078160D01* -X93927736Y-138063866D01* -X93929200Y-138049000D01* -X93929200Y-137668000D01* -X94030800Y-137668000D01* -X94030800Y-138049000D01* -X94032264Y-138063866D01* -X94036600Y-138078160D01* -X94043642Y-138091334D01* -X94053118Y-138102882D01* -X94064666Y-138112358D01* -X94077840Y-138119400D01* -X94092134Y-138123736D01* -X94107000Y-138125200D01* -X94488000Y-138125200D01* -X94502866Y-138123736D01* -X94517160Y-138119400D01* -X94530334Y-138112358D01* -X94541882Y-138102882D01* -X94551358Y-138091334D01* -X94558400Y-138078160D01* -X94562736Y-138063866D01* -X94564200Y-138049000D01* -X94564200Y-137668000D01* -X95935800Y-137668000D01* -X95935800Y-138049000D01* -X95937264Y-138063866D01* -X95941600Y-138078160D01* -X95948642Y-138091334D01* -X95958118Y-138102882D01* -X95969666Y-138112358D01* -X95982840Y-138119400D01* -X95997134Y-138123736D01* -X96012000Y-138125200D01* -X96393000Y-138125200D01* -X96407866Y-138123736D01* -X96422160Y-138119400D01* -X96435334Y-138112358D01* -X96446882Y-138102882D01* -X96456358Y-138091334D01* -X96463400Y-138078160D01* -X96467736Y-138063866D01* -X96469200Y-138049000D01* -X96469200Y-137668000D01* -X96570800Y-137668000D01* -X96570800Y-138049000D01* -X96572264Y-138063866D01* -X96576600Y-138078160D01* -X96583642Y-138091334D01* -X96593118Y-138102882D01* -X96604666Y-138112358D01* -X96617840Y-138119400D01* -X96632134Y-138123736D01* -X96647000Y-138125200D01* -X97028000Y-138125200D01* -X97042866Y-138123736D01* -X97057160Y-138119400D01* -X97070334Y-138112358D01* -X97081882Y-138102882D01* -X97091358Y-138091334D01* -X97098400Y-138078160D01* -X97102736Y-138063866D01* -X97104200Y-138049000D01* -X97104200Y-137668000D01* -X98475800Y-137668000D01* -X98475800Y-138049000D01* -X98477264Y-138063866D01* -X98481600Y-138078160D01* -X98488642Y-138091334D01* -X98498118Y-138102882D01* -X98509666Y-138112358D01* -X98522840Y-138119400D01* -X98537134Y-138123736D01* -X98552000Y-138125200D01* -X98933000Y-138125200D01* -X98947866Y-138123736D01* -X98962160Y-138119400D01* -X98975334Y-138112358D01* -X98986882Y-138102882D01* -X98996358Y-138091334D01* -X99003400Y-138078160D01* -X99007736Y-138063866D01* -X99009200Y-138049000D01* -X99009200Y-137668000D01* -X99110800Y-137668000D01* -X99110800Y-138049000D01* -X99112264Y-138063866D01* -X99116600Y-138078160D01* -X99123642Y-138091334D01* -X99133118Y-138102882D01* -X99144666Y-138112358D01* -X99157840Y-138119400D01* -X99172134Y-138123736D01* -X99187000Y-138125200D01* -X99568000Y-138125200D01* -X99582866Y-138123736D01* -X99597160Y-138119400D01* -X99610334Y-138112358D01* -X99621882Y-138102882D01* -X99631358Y-138091334D01* -X99638400Y-138078160D01* -X99642736Y-138063866D01* -X99644200Y-138049000D01* -X99644200Y-137668000D01* -X101015800Y-137668000D01* -X101015800Y-138049000D01* -X101017264Y-138063866D01* -X101021600Y-138078160D01* -X101028642Y-138091334D01* -X101038118Y-138102882D01* -X101049666Y-138112358D01* -X101062840Y-138119400D01* -X101077134Y-138123736D01* -X101092000Y-138125200D01* -X101473000Y-138125200D01* -X101487866Y-138123736D01* -X101502160Y-138119400D01* -X101515334Y-138112358D01* -X101526882Y-138102882D01* -X101536358Y-138091334D01* -X101543400Y-138078160D01* -X101547736Y-138063866D01* -X101549200Y-138049000D01* -X101549200Y-137668000D01* -X101650800Y-137668000D01* -X101650800Y-138049000D01* -X101652264Y-138063866D01* -X101656600Y-138078160D01* -X101663642Y-138091334D01* -X101673118Y-138102882D01* -X101684666Y-138112358D01* -X101697840Y-138119400D01* -X101712134Y-138123736D01* -X101727000Y-138125200D01* -X102108000Y-138125200D01* -X102122866Y-138123736D01* -X102137160Y-138119400D01* -X102150334Y-138112358D01* -X102161882Y-138102882D01* -X102171358Y-138091334D01* -X102178400Y-138078160D01* -X102182736Y-138063866D01* -X102184200Y-138049000D01* -X102184200Y-137668000D01* -X103555800Y-137668000D01* -X103555800Y-138049000D01* -X103557264Y-138063866D01* -X103561600Y-138078160D01* -X103568642Y-138091334D01* -X103578118Y-138102882D01* -X103589666Y-138112358D01* -X103602840Y-138119400D01* -X103617134Y-138123736D01* -X103632000Y-138125200D01* -X104013000Y-138125200D01* -X104027866Y-138123736D01* -X104042160Y-138119400D01* -X104055334Y-138112358D01* -X104066882Y-138102882D01* -X104076358Y-138091334D01* -X104083400Y-138078160D01* -X104087736Y-138063866D01* -X104089200Y-138049000D01* -X104089200Y-137668000D01* -X104190800Y-137668000D01* -X104190800Y-138049000D01* -X104192264Y-138063866D01* -X104196600Y-138078160D01* -X104203642Y-138091334D01* -X104213118Y-138102882D01* -X104224666Y-138112358D01* -X104237840Y-138119400D01* -X104252134Y-138123736D01* -X104267000Y-138125200D01* -X104648000Y-138125200D01* -X104662866Y-138123736D01* -X104677160Y-138119400D01* -X104690334Y-138112358D01* -X104701882Y-138102882D01* -X104711358Y-138091334D01* -X104718400Y-138078160D01* -X104722736Y-138063866D01* -X104724200Y-138049000D01* -X104724200Y-137668000D01* -X106095800Y-137668000D01* -X106095800Y-138049000D01* -X106097264Y-138063866D01* -X106101600Y-138078160D01* -X106108642Y-138091334D01* -X106118118Y-138102882D01* -X106129666Y-138112358D01* -X106142840Y-138119400D01* -X106157134Y-138123736D01* -X106172000Y-138125200D01* -X106553000Y-138125200D01* -X106567866Y-138123736D01* -X106582160Y-138119400D01* -X106595334Y-138112358D01* -X106606882Y-138102882D01* -X106616358Y-138091334D01* -X106623400Y-138078160D01* -X106627736Y-138063866D01* -X106629200Y-138049000D01* -X106629200Y-137668000D01* -X106730800Y-137668000D01* -X106730800Y-138049000D01* -X106732264Y-138063866D01* -X106736600Y-138078160D01* -X106743642Y-138091334D01* -X106753118Y-138102882D01* -X106764666Y-138112358D01* -X106777840Y-138119400D01* -X106792134Y-138123736D01* -X106807000Y-138125200D01* -X107188000Y-138125200D01* -X107202866Y-138123736D01* -X107217160Y-138119400D01* -X107230334Y-138112358D01* -X107241882Y-138102882D01* -X107251358Y-138091334D01* -X107258400Y-138078160D01* -X107262736Y-138063866D01* -X107264200Y-138049000D01* -X107264200Y-137668000D01* -X108635800Y-137668000D01* -X108635800Y-138049000D01* -X108637264Y-138063866D01* -X108641600Y-138078160D01* -X108648642Y-138091334D01* -X108658118Y-138102882D01* -X108669666Y-138112358D01* -X108682840Y-138119400D01* -X108697134Y-138123736D01* -X108712000Y-138125200D01* -X109093000Y-138125200D01* -X109107866Y-138123736D01* -X109122160Y-138119400D01* -X109135334Y-138112358D01* -X109146882Y-138102882D01* -X109156358Y-138091334D01* -X109163400Y-138078160D01* -X109167736Y-138063866D01* -X109169200Y-138049000D01* -X109169200Y-137668000D01* -X109270800Y-137668000D01* -X109270800Y-138049000D01* -X109272264Y-138063866D01* -X109276600Y-138078160D01* -X109283642Y-138091334D01* -X109293118Y-138102882D01* -X109304666Y-138112358D01* -X109317840Y-138119400D01* -X109332134Y-138123736D01* -X109347000Y-138125200D01* -X109728000Y-138125200D01* -X109742866Y-138123736D01* -X109757160Y-138119400D01* -X109770334Y-138112358D01* -X109781882Y-138102882D01* -X109791358Y-138091334D01* -X109798400Y-138078160D01* -X109802736Y-138063866D01* -X109804200Y-138049000D01* -X109804200Y-137668000D01* -X111175800Y-137668000D01* -X111175800Y-138049000D01* -X111177264Y-138063866D01* -X111181600Y-138078160D01* -X111188642Y-138091334D01* -X111198118Y-138102882D01* -X111209666Y-138112358D01* -X111222840Y-138119400D01* -X111237134Y-138123736D01* -X111252000Y-138125200D01* -X111633000Y-138125200D01* -X111647866Y-138123736D01* -X111662160Y-138119400D01* -X111675334Y-138112358D01* -X111686882Y-138102882D01* -X111696358Y-138091334D01* -X111703400Y-138078160D01* -X111707736Y-138063866D01* -X111709200Y-138049000D01* -X111709200Y-137668000D01* -X111810800Y-137668000D01* -X111810800Y-138049000D01* -X111812264Y-138063866D01* -X111816600Y-138078160D01* -X111823642Y-138091334D01* -X111833118Y-138102882D01* -X111844666Y-138112358D01* -X111857840Y-138119400D01* -X111872134Y-138123736D01* -X111887000Y-138125200D01* -X112268000Y-138125200D01* -X112282866Y-138123736D01* -X112297160Y-138119400D01* -X112310334Y-138112358D01* -X112321882Y-138102882D01* -X112331358Y-138091334D01* -X112338400Y-138078160D01* -X112342736Y-138063866D01* -X112344200Y-138049000D01* -X112344200Y-137668000D01* -X113715800Y-137668000D01* -X113715800Y-138049000D01* -X113717264Y-138063866D01* -X113721600Y-138078160D01* -X113728642Y-138091334D01* -X113738118Y-138102882D01* -X113749666Y-138112358D01* -X113762840Y-138119400D01* -X113777134Y-138123736D01* -X113792000Y-138125200D01* -X114173000Y-138125200D01* -X114187866Y-138123736D01* -X114202160Y-138119400D01* -X114215334Y-138112358D01* -X114226882Y-138102882D01* -X114236358Y-138091334D01* -X114243400Y-138078160D01* -X114247736Y-138063866D01* -X114249200Y-138049000D01* -X114249200Y-137668000D01* -X114350800Y-137668000D01* -X114350800Y-138049000D01* -X114352264Y-138063866D01* -X114356600Y-138078160D01* -X114363642Y-138091334D01* -X114373118Y-138102882D01* -X114384666Y-138112358D01* -X114397840Y-138119400D01* -X114412134Y-138123736D01* -X114427000Y-138125200D01* -X114808000Y-138125200D01* -X114822866Y-138123736D01* -X114837160Y-138119400D01* -X114850334Y-138112358D01* -X114861882Y-138102882D01* -X114871358Y-138091334D01* -X114878400Y-138078160D01* -X114882736Y-138063866D01* -X114884200Y-138049000D01* -X114884200Y-137668000D01* -X116255800Y-137668000D01* -X116255800Y-138049000D01* -X116257264Y-138063866D01* -X116261600Y-138078160D01* -X116268642Y-138091334D01* -X116278118Y-138102882D01* -X116289666Y-138112358D01* -X116302840Y-138119400D01* -X116317134Y-138123736D01* -X116332000Y-138125200D01* -X116713000Y-138125200D01* -X116727866Y-138123736D01* -X116742160Y-138119400D01* -X116755334Y-138112358D01* -X116766882Y-138102882D01* -X116776358Y-138091334D01* -X116783400Y-138078160D01* -X116787736Y-138063866D01* -X116789200Y-138049000D01* -X116789200Y-137668000D01* -X116890800Y-137668000D01* -X116890800Y-138049000D01* -X116892264Y-138063866D01* -X116896600Y-138078160D01* -X116903642Y-138091334D01* -X116913118Y-138102882D01* -X116924666Y-138112358D01* -X116937840Y-138119400D01* -X116952134Y-138123736D01* -X116967000Y-138125200D01* -X117348000Y-138125200D01* -X117362866Y-138123736D01* -X117377160Y-138119400D01* -X117390334Y-138112358D01* -X117401882Y-138102882D01* -X117411358Y-138091334D01* -X117418400Y-138078160D01* -X117422736Y-138063866D01* -X117424200Y-138049000D01* -X117424200Y-137668000D01* -X118795800Y-137668000D01* -X118795800Y-138049000D01* -X118797264Y-138063866D01* -X118801600Y-138078160D01* -X118808642Y-138091334D01* -X118818118Y-138102882D01* -X118829666Y-138112358D01* -X118842840Y-138119400D01* -X118857134Y-138123736D01* -X118872000Y-138125200D01* -X119253000Y-138125200D01* -X119267866Y-138123736D01* -X119282160Y-138119400D01* -X119295334Y-138112358D01* -X119306882Y-138102882D01* -X119316358Y-138091334D01* -X119323400Y-138078160D01* -X119327736Y-138063866D01* -X119329200Y-138049000D01* -X119329200Y-137668000D01* -X119430800Y-137668000D01* -X119430800Y-138049000D01* -X119432264Y-138063866D01* -X119436600Y-138078160D01* -X119443642Y-138091334D01* -X119453118Y-138102882D01* -X119464666Y-138112358D01* -X119477840Y-138119400D01* -X119492134Y-138123736D01* -X119507000Y-138125200D01* -X119888000Y-138125200D01* -X119902866Y-138123736D01* -X119917160Y-138119400D01* -X119930334Y-138112358D01* -X119941882Y-138102882D01* -X119951358Y-138091334D01* -X119958400Y-138078160D01* -X119962736Y-138063866D01* -X119964200Y-138049000D01* -X119964200Y-137668000D01* -X121335800Y-137668000D01* -X121335800Y-138049000D01* -X121337264Y-138063866D01* -X121341600Y-138078160D01* -X121348642Y-138091334D01* -X121358118Y-138102882D01* -X121369666Y-138112358D01* -X121382840Y-138119400D01* -X121397134Y-138123736D01* -X121412000Y-138125200D01* -X121793000Y-138125200D01* -X121807866Y-138123736D01* -X121822160Y-138119400D01* -X121835334Y-138112358D01* -X121846882Y-138102882D01* -X121856358Y-138091334D01* -X121863400Y-138078160D01* -X121867736Y-138063866D01* -X121869200Y-138049000D01* -X121869200Y-137668000D01* -X121970800Y-137668000D01* -X121970800Y-138049000D01* -X121972264Y-138063866D01* -X121976600Y-138078160D01* -X121983642Y-138091334D01* -X121993118Y-138102882D01* -X122004666Y-138112358D01* -X122017840Y-138119400D01* -X122032134Y-138123736D01* -X122047000Y-138125200D01* -X122428000Y-138125200D01* -X122442866Y-138123736D01* -X122457160Y-138119400D01* -X122470334Y-138112358D01* -X122481882Y-138102882D01* -X122491358Y-138091334D01* -X122498400Y-138078160D01* -X122502736Y-138063866D01* -X122504200Y-138049000D01* -X122504200Y-137668000D01* -X123875800Y-137668000D01* -X123875800Y-138049000D01* -X123877264Y-138063866D01* -X123881600Y-138078160D01* -X123888642Y-138091334D01* -X123898118Y-138102882D01* -X123909666Y-138112358D01* -X123922840Y-138119400D01* -X123937134Y-138123736D01* -X123952000Y-138125200D01* -X124333000Y-138125200D01* -X124347866Y-138123736D01* -X124362160Y-138119400D01* -X124375334Y-138112358D01* -X124386882Y-138102882D01* -X124396358Y-138091334D01* -X124403400Y-138078160D01* -X124407736Y-138063866D01* -X124409200Y-138049000D01* -X124409200Y-137668000D01* -X124510800Y-137668000D01* -X124510800Y-138049000D01* -X124512264Y-138063866D01* -X124516600Y-138078160D01* -X124523642Y-138091334D01* -X124533118Y-138102882D01* -X124544666Y-138112358D01* -X124557840Y-138119400D01* -X124572134Y-138123736D01* -X124587000Y-138125200D01* -X124968000Y-138125200D01* -X124982866Y-138123736D01* -X124997160Y-138119400D01* -X125010334Y-138112358D01* -X125021882Y-138102882D01* -X125031358Y-138091334D01* -X125038400Y-138078160D01* -X125042736Y-138063866D01* -X125044200Y-138049000D01* -X125044200Y-137668000D01* -X126415800Y-137668000D01* -X126415800Y-138049000D01* -X126417264Y-138063866D01* -X126421600Y-138078160D01* -X126428642Y-138091334D01* -X126438118Y-138102882D01* -X126449666Y-138112358D01* -X126462840Y-138119400D01* -X126477134Y-138123736D01* -X126492000Y-138125200D01* -X126873000Y-138125200D01* -X126887866Y-138123736D01* -X126902160Y-138119400D01* -X126915334Y-138112358D01* -X126926882Y-138102882D01* -X126936358Y-138091334D01* -X126943400Y-138078160D01* -X126947736Y-138063866D01* -X126949200Y-138049000D01* -X126949200Y-137668000D01* -X127050800Y-137668000D01* -X127050800Y-138049000D01* -X127052264Y-138063866D01* -X127056600Y-138078160D01* -X127063642Y-138091334D01* -X127073118Y-138102882D01* -X127084666Y-138112358D01* -X127097840Y-138119400D01* -X127112134Y-138123736D01* -X127127000Y-138125200D01* -X127508000Y-138125200D01* -X127522866Y-138123736D01* -X127537160Y-138119400D01* -X127550334Y-138112358D01* -X127561882Y-138102882D01* -X127571358Y-138091334D01* -X127578400Y-138078160D01* -X127582736Y-138063866D01* -X127584200Y-138049000D01* -X127584200Y-137668000D01* -X128955800Y-137668000D01* -X128955800Y-138049000D01* -X128957264Y-138063866D01* -X128961600Y-138078160D01* -X128968642Y-138091334D01* -X128978118Y-138102882D01* -X128989666Y-138112358D01* -X129002840Y-138119400D01* -X129017134Y-138123736D01* -X129032000Y-138125200D01* -X129413000Y-138125200D01* -X129427866Y-138123736D01* -X129442160Y-138119400D01* -X129455334Y-138112358D01* -X129466882Y-138102882D01* -X129476358Y-138091334D01* -X129483400Y-138078160D01* -X129487736Y-138063866D01* -X129489200Y-138049000D01* -X129489200Y-137668000D01* -X129590800Y-137668000D01* -X129590800Y-138049000D01* -X129592264Y-138063866D01* -X129596600Y-138078160D01* -X129603642Y-138091334D01* -X129613118Y-138102882D01* -X129624666Y-138112358D01* -X129637840Y-138119400D01* -X129652134Y-138123736D01* -X129667000Y-138125200D01* -X130048000Y-138125200D01* -X130062866Y-138123736D01* -X130077160Y-138119400D01* -X130090334Y-138112358D01* -X130101882Y-138102882D01* -X130111358Y-138091334D01* -X130118400Y-138078160D01* -X130122736Y-138063866D01* -X130124200Y-138049000D01* -X130124200Y-137668000D01* -X131495800Y-137668000D01* -X131495800Y-138049000D01* -X131497264Y-138063866D01* -X131501600Y-138078160D01* -X131508642Y-138091334D01* -X131518118Y-138102882D01* -X131529666Y-138112358D01* -X131542840Y-138119400D01* -X131557134Y-138123736D01* -X131572000Y-138125200D01* -X131953000Y-138125200D01* -X131967866Y-138123736D01* -X131982160Y-138119400D01* -X131995334Y-138112358D01* -X132006882Y-138102882D01* -X132016358Y-138091334D01* -X132023400Y-138078160D01* -X132027736Y-138063866D01* -X132029200Y-138049000D01* -X132029200Y-137668000D01* -X132130800Y-137668000D01* -X132130800Y-138049000D01* -X132132264Y-138063866D01* -X132136600Y-138078160D01* -X132143642Y-138091334D01* -X132153118Y-138102882D01* -X132164666Y-138112358D01* -X132177840Y-138119400D01* -X132192134Y-138123736D01* -X132207000Y-138125200D01* -X132588000Y-138125200D01* -X132602866Y-138123736D01* -X132617160Y-138119400D01* -X132630334Y-138112358D01* -X132641882Y-138102882D01* -X132651358Y-138091334D01* -X132658400Y-138078160D01* -X132662736Y-138063866D01* -X132664200Y-138049000D01* -X132664200Y-137668000D01* -X134035800Y-137668000D01* -X134035800Y-138049000D01* -X134037264Y-138063866D01* -X134041600Y-138078160D01* -X134048642Y-138091334D01* -X134058118Y-138102882D01* -X134069666Y-138112358D01* -X134082840Y-138119400D01* -X134097134Y-138123736D01* -X134112000Y-138125200D01* -X134493000Y-138125200D01* -X134507866Y-138123736D01* -X134522160Y-138119400D01* -X134535334Y-138112358D01* -X134546882Y-138102882D01* -X134556358Y-138091334D01* -X134563400Y-138078160D01* -X134567736Y-138063866D01* -X134569200Y-138049000D01* -X134569200Y-137668000D01* -X134670800Y-137668000D01* -X134670800Y-138049000D01* -X134672264Y-138063866D01* -X134676600Y-138078160D01* -X134683642Y-138091334D01* -X134693118Y-138102882D01* -X134704666Y-138112358D01* -X134717840Y-138119400D01* -X134732134Y-138123736D01* -X134747000Y-138125200D01* -X135128000Y-138125200D01* -X135142866Y-138123736D01* -X135157160Y-138119400D01* -X135170334Y-138112358D01* -X135181882Y-138102882D01* -X135191358Y-138091334D01* -X135198400Y-138078160D01* -X135202736Y-138063866D01* -X135204200Y-138049000D01* -X135204200Y-137668000D01* -X135202736Y-137653134D01* -X135198400Y-137638840D01* -X135191358Y-137625666D01* -X135181882Y-137614118D01* -X135170334Y-137604642D01* -X135157160Y-137597600D01* -X135142866Y-137593264D01* -X135128000Y-137591800D01* -X134747000Y-137591800D01* -X134732134Y-137593264D01* -X134717840Y-137597600D01* -X134704666Y-137604642D01* -X134693118Y-137614118D01* -X134683642Y-137625666D01* -X134676600Y-137638840D01* -X134672264Y-137653134D01* -X134670800Y-137668000D01* -X134569200Y-137668000D01* -X134567736Y-137653134D01* -X134563400Y-137638840D01* -X134556358Y-137625666D01* -X134546882Y-137614118D01* -X134535334Y-137604642D01* -X134522160Y-137597600D01* -X134507866Y-137593264D01* -X134493000Y-137591800D01* -X134112000Y-137591800D01* -X134097134Y-137593264D01* -X134082840Y-137597600D01* -X134069666Y-137604642D01* -X134058118Y-137614118D01* -X134048642Y-137625666D01* -X134041600Y-137638840D01* -X134037264Y-137653134D01* -X134035800Y-137668000D01* -X132664200Y-137668000D01* -X132662736Y-137653134D01* -X132658400Y-137638840D01* -X132651358Y-137625666D01* -X132641882Y-137614118D01* -X132630334Y-137604642D01* -X132617160Y-137597600D01* -X132602866Y-137593264D01* -X132588000Y-137591800D01* -X132207000Y-137591800D01* -X132192134Y-137593264D01* -X132177840Y-137597600D01* -X132164666Y-137604642D01* -X132153118Y-137614118D01* -X132143642Y-137625666D01* -X132136600Y-137638840D01* -X132132264Y-137653134D01* -X132130800Y-137668000D01* -X132029200Y-137668000D01* -X132027736Y-137653134D01* -X132023400Y-137638840D01* -X132016358Y-137625666D01* -X132006882Y-137614118D01* -X131995334Y-137604642D01* -X131982160Y-137597600D01* -X131967866Y-137593264D01* -X131953000Y-137591800D01* -X131572000Y-137591800D01* -X131557134Y-137593264D01* -X131542840Y-137597600D01* -X131529666Y-137604642D01* -X131518118Y-137614118D01* -X131508642Y-137625666D01* -X131501600Y-137638840D01* -X131497264Y-137653134D01* -X131495800Y-137668000D01* -X130124200Y-137668000D01* -X130122736Y-137653134D01* -X130118400Y-137638840D01* -X130111358Y-137625666D01* -X130101882Y-137614118D01* -X130090334Y-137604642D01* -X130077160Y-137597600D01* -X130062866Y-137593264D01* -X130048000Y-137591800D01* -X129667000Y-137591800D01* -X129652134Y-137593264D01* -X129637840Y-137597600D01* -X129624666Y-137604642D01* -X129613118Y-137614118D01* -X129603642Y-137625666D01* -X129596600Y-137638840D01* -X129592264Y-137653134D01* -X129590800Y-137668000D01* -X129489200Y-137668000D01* -X129487736Y-137653134D01* -X129483400Y-137638840D01* -X129476358Y-137625666D01* -X129466882Y-137614118D01* -X129455334Y-137604642D01* -X129442160Y-137597600D01* -X129427866Y-137593264D01* -X129413000Y-137591800D01* -X129032000Y-137591800D01* -X129017134Y-137593264D01* -X129002840Y-137597600D01* -X128989666Y-137604642D01* -X128978118Y-137614118D01* -X128968642Y-137625666D01* -X128961600Y-137638840D01* -X128957264Y-137653134D01* -X128955800Y-137668000D01* -X127584200Y-137668000D01* -X127582736Y-137653134D01* -X127578400Y-137638840D01* -X127571358Y-137625666D01* -X127561882Y-137614118D01* -X127550334Y-137604642D01* -X127537160Y-137597600D01* -X127522866Y-137593264D01* -X127508000Y-137591800D01* -X127127000Y-137591800D01* -X127112134Y-137593264D01* -X127097840Y-137597600D01* -X127084666Y-137604642D01* -X127073118Y-137614118D01* -X127063642Y-137625666D01* -X127056600Y-137638840D01* -X127052264Y-137653134D01* -X127050800Y-137668000D01* -X126949200Y-137668000D01* -X126947736Y-137653134D01* -X126943400Y-137638840D01* -X126936358Y-137625666D01* -X126926882Y-137614118D01* -X126915334Y-137604642D01* -X126902160Y-137597600D01* -X126887866Y-137593264D01* -X126873000Y-137591800D01* -X126492000Y-137591800D01* -X126477134Y-137593264D01* -X126462840Y-137597600D01* -X126449666Y-137604642D01* -X126438118Y-137614118D01* -X126428642Y-137625666D01* -X126421600Y-137638840D01* -X126417264Y-137653134D01* -X126415800Y-137668000D01* -X125044200Y-137668000D01* -X125042736Y-137653134D01* -X125038400Y-137638840D01* -X125031358Y-137625666D01* -X125021882Y-137614118D01* -X125010334Y-137604642D01* -X124997160Y-137597600D01* -X124982866Y-137593264D01* -X124968000Y-137591800D01* -X124587000Y-137591800D01* -X124572134Y-137593264D01* -X124557840Y-137597600D01* -X124544666Y-137604642D01* -X124533118Y-137614118D01* -X124523642Y-137625666D01* -X124516600Y-137638840D01* -X124512264Y-137653134D01* -X124510800Y-137668000D01* -X124409200Y-137668000D01* -X124407736Y-137653134D01* -X124403400Y-137638840D01* -X124396358Y-137625666D01* -X124386882Y-137614118D01* -X124375334Y-137604642D01* -X124362160Y-137597600D01* -X124347866Y-137593264D01* -X124333000Y-137591800D01* -X123952000Y-137591800D01* -X123937134Y-137593264D01* -X123922840Y-137597600D01* -X123909666Y-137604642D01* -X123898118Y-137614118D01* -X123888642Y-137625666D01* -X123881600Y-137638840D01* -X123877264Y-137653134D01* -X123875800Y-137668000D01* -X122504200Y-137668000D01* -X122502736Y-137653134D01* -X122498400Y-137638840D01* -X122491358Y-137625666D01* -X122481882Y-137614118D01* -X122470334Y-137604642D01* -X122457160Y-137597600D01* -X122442866Y-137593264D01* -X122428000Y-137591800D01* -X122047000Y-137591800D01* -X122032134Y-137593264D01* -X122017840Y-137597600D01* -X122004666Y-137604642D01* -X121993118Y-137614118D01* -X121983642Y-137625666D01* -X121976600Y-137638840D01* -X121972264Y-137653134D01* -X121970800Y-137668000D01* -X121869200Y-137668000D01* -X121867736Y-137653134D01* -X121863400Y-137638840D01* -X121856358Y-137625666D01* -X121846882Y-137614118D01* -X121835334Y-137604642D01* -X121822160Y-137597600D01* -X121807866Y-137593264D01* -X121793000Y-137591800D01* -X121412000Y-137591800D01* -X121397134Y-137593264D01* -X121382840Y-137597600D01* -X121369666Y-137604642D01* -X121358118Y-137614118D01* -X121348642Y-137625666D01* -X121341600Y-137638840D01* -X121337264Y-137653134D01* -X121335800Y-137668000D01* -X119964200Y-137668000D01* -X119962736Y-137653134D01* -X119958400Y-137638840D01* -X119951358Y-137625666D01* -X119941882Y-137614118D01* -X119930334Y-137604642D01* -X119917160Y-137597600D01* -X119902866Y-137593264D01* -X119888000Y-137591800D01* -X119507000Y-137591800D01* -X119492134Y-137593264D01* -X119477840Y-137597600D01* -X119464666Y-137604642D01* -X119453118Y-137614118D01* -X119443642Y-137625666D01* -X119436600Y-137638840D01* -X119432264Y-137653134D01* -X119430800Y-137668000D01* -X119329200Y-137668000D01* -X119327736Y-137653134D01* -X119323400Y-137638840D01* -X119316358Y-137625666D01* -X119306882Y-137614118D01* -X119295334Y-137604642D01* -X119282160Y-137597600D01* -X119267866Y-137593264D01* -X119253000Y-137591800D01* -X118872000Y-137591800D01* -X118857134Y-137593264D01* -X118842840Y-137597600D01* -X118829666Y-137604642D01* -X118818118Y-137614118D01* -X118808642Y-137625666D01* -X118801600Y-137638840D01* -X118797264Y-137653134D01* -X118795800Y-137668000D01* -X117424200Y-137668000D01* -X117422736Y-137653134D01* -X117418400Y-137638840D01* -X117411358Y-137625666D01* -X117401882Y-137614118D01* -X117390334Y-137604642D01* -X117377160Y-137597600D01* -X117362866Y-137593264D01* -X117348000Y-137591800D01* -X116967000Y-137591800D01* -X116952134Y-137593264D01* -X116937840Y-137597600D01* -X116924666Y-137604642D01* -X116913118Y-137614118D01* -X116903642Y-137625666D01* -X116896600Y-137638840D01* -X116892264Y-137653134D01* -X116890800Y-137668000D01* -X116789200Y-137668000D01* -X116787736Y-137653134D01* -X116783400Y-137638840D01* -X116776358Y-137625666D01* -X116766882Y-137614118D01* -X116755334Y-137604642D01* -X116742160Y-137597600D01* -X116727866Y-137593264D01* -X116713000Y-137591800D01* -X116332000Y-137591800D01* -X116317134Y-137593264D01* -X116302840Y-137597600D01* -X116289666Y-137604642D01* -X116278118Y-137614118D01* -X116268642Y-137625666D01* -X116261600Y-137638840D01* -X116257264Y-137653134D01* -X116255800Y-137668000D01* -X114884200Y-137668000D01* -X114882736Y-137653134D01* -X114878400Y-137638840D01* -X114871358Y-137625666D01* -X114861882Y-137614118D01* -X114850334Y-137604642D01* -X114837160Y-137597600D01* -X114822866Y-137593264D01* -X114808000Y-137591800D01* -X114427000Y-137591800D01* -X114412134Y-137593264D01* -X114397840Y-137597600D01* -X114384666Y-137604642D01* -X114373118Y-137614118D01* -X114363642Y-137625666D01* -X114356600Y-137638840D01* -X114352264Y-137653134D01* -X114350800Y-137668000D01* -X114249200Y-137668000D01* -X114247736Y-137653134D01* -X114243400Y-137638840D01* -X114236358Y-137625666D01* -X114226882Y-137614118D01* -X114215334Y-137604642D01* -X114202160Y-137597600D01* -X114187866Y-137593264D01* -X114173000Y-137591800D01* -X113792000Y-137591800D01* -X113777134Y-137593264D01* -X113762840Y-137597600D01* -X113749666Y-137604642D01* -X113738118Y-137614118D01* -X113728642Y-137625666D01* -X113721600Y-137638840D01* -X113717264Y-137653134D01* -X113715800Y-137668000D01* -X112344200Y-137668000D01* -X112342736Y-137653134D01* -X112338400Y-137638840D01* -X112331358Y-137625666D01* -X112321882Y-137614118D01* -X112310334Y-137604642D01* -X112297160Y-137597600D01* -X112282866Y-137593264D01* -X112268000Y-137591800D01* -X111887000Y-137591800D01* -X111872134Y-137593264D01* -X111857840Y-137597600D01* -X111844666Y-137604642D01* -X111833118Y-137614118D01* -X111823642Y-137625666D01* -X111816600Y-137638840D01* -X111812264Y-137653134D01* -X111810800Y-137668000D01* -X111709200Y-137668000D01* -X111707736Y-137653134D01* -X111703400Y-137638840D01* -X111696358Y-137625666D01* -X111686882Y-137614118D01* -X111675334Y-137604642D01* -X111662160Y-137597600D01* -X111647866Y-137593264D01* -X111633000Y-137591800D01* -X111252000Y-137591800D01* -X111237134Y-137593264D01* -X111222840Y-137597600D01* -X111209666Y-137604642D01* -X111198118Y-137614118D01* -X111188642Y-137625666D01* -X111181600Y-137638840D01* -X111177264Y-137653134D01* -X111175800Y-137668000D01* -X109804200Y-137668000D01* -X109802736Y-137653134D01* -X109798400Y-137638840D01* -X109791358Y-137625666D01* -X109781882Y-137614118D01* -X109770334Y-137604642D01* -X109757160Y-137597600D01* -X109742866Y-137593264D01* -X109728000Y-137591800D01* -X109347000Y-137591800D01* -X109332134Y-137593264D01* -X109317840Y-137597600D01* -X109304666Y-137604642D01* -X109293118Y-137614118D01* -X109283642Y-137625666D01* -X109276600Y-137638840D01* -X109272264Y-137653134D01* -X109270800Y-137668000D01* -X109169200Y-137668000D01* -X109167736Y-137653134D01* -X109163400Y-137638840D01* -X109156358Y-137625666D01* -X109146882Y-137614118D01* -X109135334Y-137604642D01* -X109122160Y-137597600D01* -X109107866Y-137593264D01* -X109093000Y-137591800D01* -X108712000Y-137591800D01* -X108697134Y-137593264D01* -X108682840Y-137597600D01* -X108669666Y-137604642D01* -X108658118Y-137614118D01* -X108648642Y-137625666D01* -X108641600Y-137638840D01* -X108637264Y-137653134D01* -X108635800Y-137668000D01* -X107264200Y-137668000D01* -X107262736Y-137653134D01* -X107258400Y-137638840D01* -X107251358Y-137625666D01* -X107241882Y-137614118D01* -X107230334Y-137604642D01* -X107217160Y-137597600D01* -X107202866Y-137593264D01* -X107188000Y-137591800D01* -X106807000Y-137591800D01* -X106792134Y-137593264D01* -X106777840Y-137597600D01* -X106764666Y-137604642D01* -X106753118Y-137614118D01* -X106743642Y-137625666D01* -X106736600Y-137638840D01* -X106732264Y-137653134D01* -X106730800Y-137668000D01* -X106629200Y-137668000D01* -X106627736Y-137653134D01* -X106623400Y-137638840D01* -X106616358Y-137625666D01* -X106606882Y-137614118D01* -X106595334Y-137604642D01* -X106582160Y-137597600D01* -X106567866Y-137593264D01* -X106553000Y-137591800D01* -X106172000Y-137591800D01* -X106157134Y-137593264D01* -X106142840Y-137597600D01* -X106129666Y-137604642D01* -X106118118Y-137614118D01* -X106108642Y-137625666D01* -X106101600Y-137638840D01* -X106097264Y-137653134D01* -X106095800Y-137668000D01* -X104724200Y-137668000D01* -X104722736Y-137653134D01* -X104718400Y-137638840D01* -X104711358Y-137625666D01* -X104701882Y-137614118D01* -X104690334Y-137604642D01* -X104677160Y-137597600D01* -X104662866Y-137593264D01* -X104648000Y-137591800D01* -X104267000Y-137591800D01* -X104252134Y-137593264D01* -X104237840Y-137597600D01* -X104224666Y-137604642D01* -X104213118Y-137614118D01* -X104203642Y-137625666D01* -X104196600Y-137638840D01* -X104192264Y-137653134D01* -X104190800Y-137668000D01* -X104089200Y-137668000D01* -X104087736Y-137653134D01* -X104083400Y-137638840D01* -X104076358Y-137625666D01* -X104066882Y-137614118D01* -X104055334Y-137604642D01* -X104042160Y-137597600D01* -X104027866Y-137593264D01* -X104013000Y-137591800D01* -X103632000Y-137591800D01* -X103617134Y-137593264D01* -X103602840Y-137597600D01* -X103589666Y-137604642D01* -X103578118Y-137614118D01* -X103568642Y-137625666D01* -X103561600Y-137638840D01* -X103557264Y-137653134D01* -X103555800Y-137668000D01* -X102184200Y-137668000D01* -X102182736Y-137653134D01* -X102178400Y-137638840D01* -X102171358Y-137625666D01* -X102161882Y-137614118D01* -X102150334Y-137604642D01* -X102137160Y-137597600D01* -X102122866Y-137593264D01* -X102108000Y-137591800D01* -X101727000Y-137591800D01* -X101712134Y-137593264D01* -X101697840Y-137597600D01* -X101684666Y-137604642D01* -X101673118Y-137614118D01* -X101663642Y-137625666D01* -X101656600Y-137638840D01* -X101652264Y-137653134D01* -X101650800Y-137668000D01* -X101549200Y-137668000D01* -X101547736Y-137653134D01* -X101543400Y-137638840D01* -X101536358Y-137625666D01* -X101526882Y-137614118D01* -X101515334Y-137604642D01* -X101502160Y-137597600D01* -X101487866Y-137593264D01* -X101473000Y-137591800D01* -X101092000Y-137591800D01* -X101077134Y-137593264D01* -X101062840Y-137597600D01* -X101049666Y-137604642D01* -X101038118Y-137614118D01* -X101028642Y-137625666D01* -X101021600Y-137638840D01* -X101017264Y-137653134D01* -X101015800Y-137668000D01* -X99644200Y-137668000D01* -X99642736Y-137653134D01* -X99638400Y-137638840D01* -X99631358Y-137625666D01* -X99621882Y-137614118D01* -X99610334Y-137604642D01* -X99597160Y-137597600D01* -X99582866Y-137593264D01* -X99568000Y-137591800D01* -X99187000Y-137591800D01* -X99172134Y-137593264D01* -X99157840Y-137597600D01* -X99144666Y-137604642D01* -X99133118Y-137614118D01* -X99123642Y-137625666D01* -X99116600Y-137638840D01* -X99112264Y-137653134D01* -X99110800Y-137668000D01* -X99009200Y-137668000D01* -X99007736Y-137653134D01* -X99003400Y-137638840D01* -X98996358Y-137625666D01* -X98986882Y-137614118D01* -X98975334Y-137604642D01* -X98962160Y-137597600D01* -X98947866Y-137593264D01* -X98933000Y-137591800D01* -X98552000Y-137591800D01* -X98537134Y-137593264D01* -X98522840Y-137597600D01* -X98509666Y-137604642D01* -X98498118Y-137614118D01* -X98488642Y-137625666D01* -X98481600Y-137638840D01* -X98477264Y-137653134D01* -X98475800Y-137668000D01* -X97104200Y-137668000D01* -X97102736Y-137653134D01* -X97098400Y-137638840D01* -X97091358Y-137625666D01* -X97081882Y-137614118D01* -X97070334Y-137604642D01* -X97057160Y-137597600D01* -X97042866Y-137593264D01* -X97028000Y-137591800D01* -X96647000Y-137591800D01* -X96632134Y-137593264D01* -X96617840Y-137597600D01* -X96604666Y-137604642D01* -X96593118Y-137614118D01* -X96583642Y-137625666D01* -X96576600Y-137638840D01* -X96572264Y-137653134D01* -X96570800Y-137668000D01* -X96469200Y-137668000D01* -X96467736Y-137653134D01* -X96463400Y-137638840D01* -X96456358Y-137625666D01* -X96446882Y-137614118D01* -X96435334Y-137604642D01* -X96422160Y-137597600D01* -X96407866Y-137593264D01* -X96393000Y-137591800D01* -X96012000Y-137591800D01* -X95997134Y-137593264D01* -X95982840Y-137597600D01* -X95969666Y-137604642D01* -X95958118Y-137614118D01* -X95948642Y-137625666D01* -X95941600Y-137638840D01* -X95937264Y-137653134D01* -X95935800Y-137668000D01* -X94564200Y-137668000D01* -X94562736Y-137653134D01* -X94558400Y-137638840D01* -X94551358Y-137625666D01* -X94541882Y-137614118D01* -X94530334Y-137604642D01* -X94517160Y-137597600D01* -X94502866Y-137593264D01* -X94488000Y-137591800D01* -X94107000Y-137591800D01* -X94092134Y-137593264D01* -X94077840Y-137597600D01* -X94064666Y-137604642D01* -X94053118Y-137614118D01* -X94043642Y-137625666D01* -X94036600Y-137638840D01* -X94032264Y-137653134D01* -X94030800Y-137668000D01* -X93929200Y-137668000D01* -X93927736Y-137653134D01* -X93923400Y-137638840D01* -X93916358Y-137625666D01* -X93906882Y-137614118D01* -X93895334Y-137604642D01* -X93882160Y-137597600D01* -X93867866Y-137593264D01* -X93853000Y-137591800D01* -X93472000Y-137591800D01* -X93457134Y-137593264D01* -X93442840Y-137597600D01* -X93429666Y-137604642D01* -X93418118Y-137614118D01* -X93408642Y-137625666D01* -X93401600Y-137638840D01* -X93397264Y-137653134D01* -X93395800Y-137668000D01* -X92024200Y-137668000D01* -X92022736Y-137653134D01* -X92018400Y-137638840D01* -X92011358Y-137625666D01* -X92001882Y-137614118D01* -X91990334Y-137604642D01* -X91977160Y-137597600D01* -X91962866Y-137593264D01* -X91948000Y-137591800D01* -X91567000Y-137591800D01* -X91552134Y-137593264D01* -X91537840Y-137597600D01* -X91524666Y-137604642D01* -X91513118Y-137614118D01* -X91503642Y-137625666D01* -X91496600Y-137638840D01* -X91492264Y-137653134D01* -X91490800Y-137668000D01* -X91389200Y-137668000D01* -X91387736Y-137653134D01* -X91383400Y-137638840D01* -X91376358Y-137625666D01* -X91366882Y-137614118D01* -X91355334Y-137604642D01* -X91342160Y-137597600D01* -X91327866Y-137593264D01* -X91313000Y-137591800D01* -X90932000Y-137591800D01* -X90917134Y-137593264D01* -X90902840Y-137597600D01* -X90889666Y-137604642D01* -X90878118Y-137614118D01* -X90868642Y-137625666D01* -X90861600Y-137638840D01* -X90857264Y-137653134D01* -X90855800Y-137668000D01* -X89484200Y-137668000D01* -X89482736Y-137653134D01* -X89478400Y-137638840D01* -X89471358Y-137625666D01* -X89461882Y-137614118D01* -X89450334Y-137604642D01* -X89437160Y-137597600D01* -X89422866Y-137593264D01* -X89408000Y-137591800D01* -X89027000Y-137591800D01* -X89012134Y-137593264D01* -X88997840Y-137597600D01* -X88984666Y-137604642D01* -X88973118Y-137614118D01* -X88963642Y-137625666D01* -X88956600Y-137638840D01* -X88952264Y-137653134D01* -X88950800Y-137668000D01* -X88849200Y-137668000D01* -X88847736Y-137653134D01* -X88843400Y-137638840D01* -X88836358Y-137625666D01* -X88826882Y-137614118D01* -X88815334Y-137604642D01* -X88802160Y-137597600D01* -X88787866Y-137593264D01* -X88773000Y-137591800D01* -X88392000Y-137591800D01* -X88377134Y-137593264D01* -X88362840Y-137597600D01* -X88349666Y-137604642D01* -X88338118Y-137614118D01* -X88328642Y-137625666D01* -X88321600Y-137638840D01* -X88317264Y-137653134D01* -X88315800Y-137668000D01* -X86944200Y-137668000D01* -X86942736Y-137653134D01* -X86938400Y-137638840D01* -X86931358Y-137625666D01* -X86921882Y-137614118D01* -X86910334Y-137604642D01* -X86897160Y-137597600D01* -X86882866Y-137593264D01* -X86868000Y-137591800D01* -X86487000Y-137591800D01* -X86472134Y-137593264D01* -X86457840Y-137597600D01* -X86444666Y-137604642D01* -X86433118Y-137614118D01* -X86423642Y-137625666D01* -X86416600Y-137638840D01* -X86412264Y-137653134D01* -X86410800Y-137668000D01* -X86309200Y-137668000D01* -X86307736Y-137653134D01* -X86303400Y-137638840D01* -X86296358Y-137625666D01* -X86286882Y-137614118D01* -X86275334Y-137604642D01* -X86262160Y-137597600D01* -X86247866Y-137593264D01* -X86233000Y-137591800D01* -X85852000Y-137591800D01* -X85837134Y-137593264D01* -X85822840Y-137597600D01* -X85809666Y-137604642D01* -X85798118Y-137614118D01* -X85788642Y-137625666D01* -X85781600Y-137638840D01* -X85777264Y-137653134D01* -X85775800Y-137668000D01* -X84404200Y-137668000D01* -X84402736Y-137653134D01* -X84398400Y-137638840D01* -X84391358Y-137625666D01* -X84381882Y-137614118D01* -X84370334Y-137604642D01* -X84357160Y-137597600D01* -X84342866Y-137593264D01* -X84328000Y-137591800D01* -X83947000Y-137591800D01* -X83932134Y-137593264D01* -X83917840Y-137597600D01* -X83904666Y-137604642D01* -X83893118Y-137614118D01* -X83883642Y-137625666D01* -X83876600Y-137638840D01* -X83872264Y-137653134D01* -X83870800Y-137668000D01* -X83769200Y-137668000D01* -X83767736Y-137653134D01* -X83763400Y-137638840D01* -X83756358Y-137625666D01* -X83746882Y-137614118D01* -X83735334Y-137604642D01* -X83722160Y-137597600D01* -X83707866Y-137593264D01* -X83693000Y-137591800D01* -X83312000Y-137591800D01* -X83297134Y-137593264D01* -X83282840Y-137597600D01* -X83269666Y-137604642D01* -X83258118Y-137614118D01* -X83248642Y-137625666D01* -X83241600Y-137638840D01* -X83237264Y-137653134D01* -X83235800Y-137668000D01* -X81864200Y-137668000D01* -X81862736Y-137653134D01* -X81858400Y-137638840D01* -X81851358Y-137625666D01* -X81841882Y-137614118D01* -X81830334Y-137604642D01* -X81817160Y-137597600D01* -X81802866Y-137593264D01* -X81788000Y-137591800D01* -X81407000Y-137591800D01* -X81392134Y-137593264D01* -X81377840Y-137597600D01* -X81364666Y-137604642D01* -X81353118Y-137614118D01* -X81343642Y-137625666D01* -X81336600Y-137638840D01* -X81332264Y-137653134D01* -X81330800Y-137668000D01* -X81229200Y-137668000D01* -X81227736Y-137653134D01* -X81223400Y-137638840D01* -X81216358Y-137625666D01* -X81206882Y-137614118D01* -X81195334Y-137604642D01* -X81182160Y-137597600D01* -X81167866Y-137593264D01* -X81153000Y-137591800D01* -X80772000Y-137591800D01* -X80757134Y-137593264D01* -X80742840Y-137597600D01* -X80729666Y-137604642D01* -X80718118Y-137614118D01* -X80708642Y-137625666D01* -X80701600Y-137638840D01* -X80697264Y-137653134D01* -X80695800Y-137668000D01* -X79324200Y-137668000D01* -X79322736Y-137653134D01* -X79318400Y-137638840D01* -X79311358Y-137625666D01* -X79301882Y-137614118D01* -X79290334Y-137604642D01* -X79277160Y-137597600D01* -X79262866Y-137593264D01* -X79248000Y-137591800D01* -X78867000Y-137591800D01* -X78852134Y-137593264D01* -X78837840Y-137597600D01* -X78824666Y-137604642D01* -X78813118Y-137614118D01* -X78803642Y-137625666D01* -X78796600Y-137638840D01* -X78792264Y-137653134D01* -X78790800Y-137668000D01* -X78689200Y-137668000D01* -X78687736Y-137653134D01* -X78683400Y-137638840D01* -X78676358Y-137625666D01* -X78666882Y-137614118D01* -X78655334Y-137604642D01* -X78642160Y-137597600D01* -X78627866Y-137593264D01* -X78613000Y-137591800D01* -X78232000Y-137591800D01* -X78217134Y-137593264D01* -X78202840Y-137597600D01* -X78189666Y-137604642D01* -X78178118Y-137614118D01* -X78168642Y-137625666D01* -X78161600Y-137638840D01* -X78157264Y-137653134D01* -X78155800Y-137668000D01* -X76784200Y-137668000D01* -X76782736Y-137653134D01* -X76778400Y-137638840D01* -X76771358Y-137625666D01* -X76761882Y-137614118D01* -X76750334Y-137604642D01* -X76737160Y-137597600D01* -X76722866Y-137593264D01* -X76708000Y-137591800D01* -X76327000Y-137591800D01* -X76312134Y-137593264D01* -X76297840Y-137597600D01* -X76284666Y-137604642D01* -X76273118Y-137614118D01* -X76263642Y-137625666D01* -X76256600Y-137638840D01* -X76252264Y-137653134D01* -X76250800Y-137668000D01* -X76149200Y-137668000D01* -X76147736Y-137653134D01* -X76143400Y-137638840D01* -X76136358Y-137625666D01* -X76126882Y-137614118D01* -X76115334Y-137604642D01* -X76102160Y-137597600D01* -X76087866Y-137593264D01* -X76073000Y-137591800D01* -X75692000Y-137591800D01* -X75677134Y-137593264D01* -X75662840Y-137597600D01* -X75649666Y-137604642D01* -X75638118Y-137614118D01* -X75628642Y-137625666D01* -X75621600Y-137638840D01* -X75617264Y-137653134D01* -X75615800Y-137668000D01* -X74498200Y-137668000D01* -X74498200Y-137033000D01* -X75615800Y-137033000D01* -X75615800Y-137414000D01* -X75617264Y-137428866D01* -X75621600Y-137443160D01* -X75628642Y-137456334D01* -X75638118Y-137467882D01* -X75649666Y-137477358D01* -X75662840Y-137484400D01* -X75677134Y-137488736D01* -X75692000Y-137490200D01* -X76073000Y-137490200D01* -X76087866Y-137488736D01* -X76102160Y-137484400D01* -X76115334Y-137477358D01* -X76126882Y-137467882D01* -X76136358Y-137456334D01* -X76143400Y-137443160D01* -X76147736Y-137428866D01* -X76149200Y-137414000D01* -X76149200Y-137033000D01* -X76250800Y-137033000D01* -X76250800Y-137414000D01* -X76252264Y-137428866D01* -X76256600Y-137443160D01* -X76263642Y-137456334D01* -X76273118Y-137467882D01* -X76284666Y-137477358D01* -X76297840Y-137484400D01* -X76312134Y-137488736D01* -X76327000Y-137490200D01* -X76708000Y-137490200D01* -X76722866Y-137488736D01* -X76737160Y-137484400D01* -X76750334Y-137477358D01* -X76761882Y-137467882D01* -X76771358Y-137456334D01* -X76778400Y-137443160D01* -X76782736Y-137428866D01* -X76784200Y-137414000D01* -X76784200Y-137033000D01* -X78155800Y-137033000D01* -X78155800Y-137414000D01* -X78157264Y-137428866D01* -X78161600Y-137443160D01* -X78168642Y-137456334D01* -X78178118Y-137467882D01* -X78189666Y-137477358D01* -X78202840Y-137484400D01* -X78217134Y-137488736D01* -X78232000Y-137490200D01* -X78613000Y-137490200D01* -X78627866Y-137488736D01* -X78642160Y-137484400D01* -X78655334Y-137477358D01* -X78666882Y-137467882D01* -X78676358Y-137456334D01* -X78683400Y-137443160D01* -X78687736Y-137428866D01* -X78689200Y-137414000D01* -X78689200Y-137033000D01* -X78790800Y-137033000D01* -X78790800Y-137414000D01* -X78792264Y-137428866D01* -X78796600Y-137443160D01* -X78803642Y-137456334D01* -X78813118Y-137467882D01* -X78824666Y-137477358D01* -X78837840Y-137484400D01* -X78852134Y-137488736D01* -X78867000Y-137490200D01* -X79248000Y-137490200D01* -X79262866Y-137488736D01* -X79277160Y-137484400D01* -X79290334Y-137477358D01* -X79301882Y-137467882D01* -X79311358Y-137456334D01* -X79318400Y-137443160D01* -X79322736Y-137428866D01* -X79324200Y-137414000D01* -X79324200Y-137033000D01* -X80695800Y-137033000D01* -X80695800Y-137414000D01* -X80697264Y-137428866D01* -X80701600Y-137443160D01* -X80708642Y-137456334D01* -X80718118Y-137467882D01* -X80729666Y-137477358D01* -X80742840Y-137484400D01* -X80757134Y-137488736D01* -X80772000Y-137490200D01* -X81153000Y-137490200D01* -X81167866Y-137488736D01* -X81182160Y-137484400D01* -X81195334Y-137477358D01* -X81206882Y-137467882D01* -X81216358Y-137456334D01* -X81223400Y-137443160D01* -X81227736Y-137428866D01* -X81229200Y-137414000D01* -X81229200Y-137033000D01* -X81330800Y-137033000D01* -X81330800Y-137414000D01* -X81332264Y-137428866D01* -X81336600Y-137443160D01* -X81343642Y-137456334D01* -X81353118Y-137467882D01* -X81364666Y-137477358D01* -X81377840Y-137484400D01* -X81392134Y-137488736D01* -X81407000Y-137490200D01* -X81788000Y-137490200D01* -X81802866Y-137488736D01* -X81817160Y-137484400D01* -X81830334Y-137477358D01* -X81841882Y-137467882D01* -X81851358Y-137456334D01* -X81858400Y-137443160D01* -X81862736Y-137428866D01* -X81864200Y-137414000D01* -X81864200Y-137033000D01* -X83235800Y-137033000D01* -X83235800Y-137414000D01* -X83237264Y-137428866D01* -X83241600Y-137443160D01* -X83248642Y-137456334D01* -X83258118Y-137467882D01* -X83269666Y-137477358D01* -X83282840Y-137484400D01* -X83297134Y-137488736D01* -X83312000Y-137490200D01* -X83693000Y-137490200D01* -X83707866Y-137488736D01* -X83722160Y-137484400D01* -X83735334Y-137477358D01* -X83746882Y-137467882D01* -X83756358Y-137456334D01* -X83763400Y-137443160D01* -X83767736Y-137428866D01* -X83769200Y-137414000D01* -X83769200Y-137033000D01* -X83870800Y-137033000D01* -X83870800Y-137414000D01* -X83872264Y-137428866D01* -X83876600Y-137443160D01* -X83883642Y-137456334D01* -X83893118Y-137467882D01* -X83904666Y-137477358D01* -X83917840Y-137484400D01* -X83932134Y-137488736D01* -X83947000Y-137490200D01* -X84328000Y-137490200D01* -X84342866Y-137488736D01* -X84357160Y-137484400D01* -X84370334Y-137477358D01* -X84381882Y-137467882D01* -X84391358Y-137456334D01* -X84398400Y-137443160D01* -X84402736Y-137428866D01* -X84404200Y-137414000D01* -X84404200Y-137033000D01* -X85775800Y-137033000D01* -X85775800Y-137414000D01* -X85777264Y-137428866D01* -X85781600Y-137443160D01* -X85788642Y-137456334D01* -X85798118Y-137467882D01* -X85809666Y-137477358D01* -X85822840Y-137484400D01* -X85837134Y-137488736D01* -X85852000Y-137490200D01* -X86233000Y-137490200D01* -X86247866Y-137488736D01* -X86262160Y-137484400D01* -X86275334Y-137477358D01* -X86286882Y-137467882D01* -X86296358Y-137456334D01* -X86303400Y-137443160D01* -X86307736Y-137428866D01* -X86309200Y-137414000D01* -X86309200Y-137033000D01* -X86410800Y-137033000D01* -X86410800Y-137414000D01* -X86412264Y-137428866D01* -X86416600Y-137443160D01* -X86423642Y-137456334D01* -X86433118Y-137467882D01* -X86444666Y-137477358D01* -X86457840Y-137484400D01* -X86472134Y-137488736D01* -X86487000Y-137490200D01* -X86868000Y-137490200D01* -X86882866Y-137488736D01* -X86897160Y-137484400D01* -X86910334Y-137477358D01* -X86921882Y-137467882D01* -X86931358Y-137456334D01* -X86938400Y-137443160D01* -X86942736Y-137428866D01* -X86944200Y-137414000D01* -X86944200Y-137033000D01* -X88315800Y-137033000D01* -X88315800Y-137414000D01* -X88317264Y-137428866D01* -X88321600Y-137443160D01* -X88328642Y-137456334D01* -X88338118Y-137467882D01* -X88349666Y-137477358D01* -X88362840Y-137484400D01* -X88377134Y-137488736D01* -X88392000Y-137490200D01* -X88773000Y-137490200D01* -X88787866Y-137488736D01* -X88802160Y-137484400D01* -X88815334Y-137477358D01* -X88826882Y-137467882D01* -X88836358Y-137456334D01* -X88843400Y-137443160D01* -X88847736Y-137428866D01* -X88849200Y-137414000D01* -X88849200Y-137033000D01* -X88950800Y-137033000D01* -X88950800Y-137414000D01* -X88952264Y-137428866D01* -X88956600Y-137443160D01* -X88963642Y-137456334D01* -X88973118Y-137467882D01* -X88984666Y-137477358D01* -X88997840Y-137484400D01* -X89012134Y-137488736D01* -X89027000Y-137490200D01* -X89408000Y-137490200D01* -X89422866Y-137488736D01* -X89437160Y-137484400D01* -X89450334Y-137477358D01* -X89461882Y-137467882D01* -X89471358Y-137456334D01* -X89478400Y-137443160D01* -X89482736Y-137428866D01* -X89484200Y-137414000D01* -X89484200Y-137033000D01* -X90855800Y-137033000D01* -X90855800Y-137414000D01* -X90857264Y-137428866D01* -X90861600Y-137443160D01* -X90868642Y-137456334D01* -X90878118Y-137467882D01* -X90889666Y-137477358D01* -X90902840Y-137484400D01* -X90917134Y-137488736D01* -X90932000Y-137490200D01* -X91313000Y-137490200D01* -X91327866Y-137488736D01* -X91342160Y-137484400D01* -X91355334Y-137477358D01* -X91366882Y-137467882D01* -X91376358Y-137456334D01* -X91383400Y-137443160D01* -X91387736Y-137428866D01* -X91389200Y-137414000D01* -X91389200Y-137033000D01* -X91490800Y-137033000D01* -X91490800Y-137414000D01* -X91492264Y-137428866D01* -X91496600Y-137443160D01* -X91503642Y-137456334D01* -X91513118Y-137467882D01* -X91524666Y-137477358D01* -X91537840Y-137484400D01* -X91552134Y-137488736D01* -X91567000Y-137490200D01* -X91948000Y-137490200D01* -X91962866Y-137488736D01* -X91977160Y-137484400D01* -X91990334Y-137477358D01* -X92001882Y-137467882D01* -X92011358Y-137456334D01* -X92018400Y-137443160D01* -X92022736Y-137428866D01* -X92024200Y-137414000D01* -X92024200Y-137033000D01* -X93395800Y-137033000D01* -X93395800Y-137414000D01* -X93397264Y-137428866D01* -X93401600Y-137443160D01* -X93408642Y-137456334D01* -X93418118Y-137467882D01* -X93429666Y-137477358D01* -X93442840Y-137484400D01* -X93457134Y-137488736D01* -X93472000Y-137490200D01* -X93853000Y-137490200D01* -X93867866Y-137488736D01* -X93882160Y-137484400D01* -X93895334Y-137477358D01* -X93906882Y-137467882D01* -X93916358Y-137456334D01* -X93923400Y-137443160D01* -X93927736Y-137428866D01* -X93929200Y-137414000D01* -X93929200Y-137033000D01* -X94030800Y-137033000D01* -X94030800Y-137414000D01* -X94032264Y-137428866D01* -X94036600Y-137443160D01* -X94043642Y-137456334D01* -X94053118Y-137467882D01* -X94064666Y-137477358D01* -X94077840Y-137484400D01* -X94092134Y-137488736D01* -X94107000Y-137490200D01* -X94488000Y-137490200D01* -X94502866Y-137488736D01* -X94517160Y-137484400D01* -X94530334Y-137477358D01* -X94541882Y-137467882D01* -X94551358Y-137456334D01* -X94558400Y-137443160D01* -X94562736Y-137428866D01* -X94564200Y-137414000D01* -X94564200Y-137033000D01* -X95935800Y-137033000D01* -X95935800Y-137414000D01* -X95937264Y-137428866D01* -X95941600Y-137443160D01* -X95948642Y-137456334D01* -X95958118Y-137467882D01* -X95969666Y-137477358D01* -X95982840Y-137484400D01* -X95997134Y-137488736D01* -X96012000Y-137490200D01* -X96393000Y-137490200D01* -X96407866Y-137488736D01* -X96422160Y-137484400D01* -X96435334Y-137477358D01* -X96446882Y-137467882D01* -X96456358Y-137456334D01* -X96463400Y-137443160D01* -X96467736Y-137428866D01* -X96469200Y-137414000D01* -X96469200Y-137033000D01* -X96570800Y-137033000D01* -X96570800Y-137414000D01* -X96572264Y-137428866D01* -X96576600Y-137443160D01* -X96583642Y-137456334D01* -X96593118Y-137467882D01* -X96604666Y-137477358D01* -X96617840Y-137484400D01* -X96632134Y-137488736D01* -X96647000Y-137490200D01* -X97028000Y-137490200D01* -X97042866Y-137488736D01* -X97057160Y-137484400D01* -X97070334Y-137477358D01* -X97081882Y-137467882D01* -X97091358Y-137456334D01* -X97098400Y-137443160D01* -X97102736Y-137428866D01* -X97104200Y-137414000D01* -X97104200Y-137033000D01* -X98475800Y-137033000D01* -X98475800Y-137414000D01* -X98477264Y-137428866D01* -X98481600Y-137443160D01* -X98488642Y-137456334D01* -X98498118Y-137467882D01* -X98509666Y-137477358D01* -X98522840Y-137484400D01* -X98537134Y-137488736D01* -X98552000Y-137490200D01* -X98933000Y-137490200D01* -X98947866Y-137488736D01* -X98962160Y-137484400D01* -X98975334Y-137477358D01* -X98986882Y-137467882D01* -X98996358Y-137456334D01* -X99003400Y-137443160D01* -X99007736Y-137428866D01* -X99009200Y-137414000D01* -X99009200Y-137033000D01* -X99110800Y-137033000D01* -X99110800Y-137414000D01* -X99112264Y-137428866D01* -X99116600Y-137443160D01* -X99123642Y-137456334D01* -X99133118Y-137467882D01* -X99144666Y-137477358D01* -X99157840Y-137484400D01* -X99172134Y-137488736D01* -X99187000Y-137490200D01* -X99568000Y-137490200D01* -X99582866Y-137488736D01* -X99597160Y-137484400D01* -X99610334Y-137477358D01* -X99621882Y-137467882D01* -X99631358Y-137456334D01* -X99638400Y-137443160D01* -X99642736Y-137428866D01* -X99644200Y-137414000D01* -X99644200Y-137033000D01* -X101015800Y-137033000D01* -X101015800Y-137414000D01* -X101017264Y-137428866D01* -X101021600Y-137443160D01* -X101028642Y-137456334D01* -X101038118Y-137467882D01* -X101049666Y-137477358D01* -X101062840Y-137484400D01* -X101077134Y-137488736D01* -X101092000Y-137490200D01* -X101473000Y-137490200D01* -X101487866Y-137488736D01* -X101502160Y-137484400D01* -X101515334Y-137477358D01* -X101526882Y-137467882D01* -X101536358Y-137456334D01* -X101543400Y-137443160D01* -X101547736Y-137428866D01* -X101549200Y-137414000D01* -X101549200Y-137033000D01* -X101650800Y-137033000D01* -X101650800Y-137414000D01* -X101652264Y-137428866D01* -X101656600Y-137443160D01* -X101663642Y-137456334D01* -X101673118Y-137467882D01* -X101684666Y-137477358D01* -X101697840Y-137484400D01* -X101712134Y-137488736D01* -X101727000Y-137490200D01* -X102108000Y-137490200D01* -X102122866Y-137488736D01* -X102137160Y-137484400D01* -X102150334Y-137477358D01* -X102161882Y-137467882D01* -X102171358Y-137456334D01* -X102178400Y-137443160D01* -X102182736Y-137428866D01* -X102184200Y-137414000D01* -X102184200Y-137033000D01* -X103555800Y-137033000D01* -X103555800Y-137414000D01* -X103557264Y-137428866D01* -X103561600Y-137443160D01* -X103568642Y-137456334D01* -X103578118Y-137467882D01* -X103589666Y-137477358D01* -X103602840Y-137484400D01* -X103617134Y-137488736D01* -X103632000Y-137490200D01* -X104013000Y-137490200D01* -X104027866Y-137488736D01* -X104042160Y-137484400D01* -X104055334Y-137477358D01* -X104066882Y-137467882D01* -X104076358Y-137456334D01* -X104083400Y-137443160D01* -X104087736Y-137428866D01* -X104089200Y-137414000D01* -X104089200Y-137033000D01* -X104190800Y-137033000D01* -X104190800Y-137414000D01* -X104192264Y-137428866D01* -X104196600Y-137443160D01* -X104203642Y-137456334D01* -X104213118Y-137467882D01* -X104224666Y-137477358D01* -X104237840Y-137484400D01* -X104252134Y-137488736D01* -X104267000Y-137490200D01* -X104648000Y-137490200D01* -X104662866Y-137488736D01* -X104677160Y-137484400D01* -X104690334Y-137477358D01* -X104701882Y-137467882D01* -X104711358Y-137456334D01* -X104718400Y-137443160D01* -X104722736Y-137428866D01* -X104724200Y-137414000D01* -X104724200Y-137033000D01* -X106095800Y-137033000D01* -X106095800Y-137414000D01* -X106097264Y-137428866D01* -X106101600Y-137443160D01* -X106108642Y-137456334D01* -X106118118Y-137467882D01* -X106129666Y-137477358D01* -X106142840Y-137484400D01* -X106157134Y-137488736D01* -X106172000Y-137490200D01* -X106553000Y-137490200D01* -X106567866Y-137488736D01* -X106582160Y-137484400D01* -X106595334Y-137477358D01* -X106606882Y-137467882D01* -X106616358Y-137456334D01* -X106623400Y-137443160D01* -X106627736Y-137428866D01* -X106629200Y-137414000D01* -X106629200Y-137033000D01* -X106730800Y-137033000D01* -X106730800Y-137414000D01* -X106732264Y-137428866D01* -X106736600Y-137443160D01* -X106743642Y-137456334D01* -X106753118Y-137467882D01* -X106764666Y-137477358D01* -X106777840Y-137484400D01* -X106792134Y-137488736D01* -X106807000Y-137490200D01* -X107188000Y-137490200D01* -X107202866Y-137488736D01* -X107217160Y-137484400D01* -X107230334Y-137477358D01* -X107241882Y-137467882D01* -X107251358Y-137456334D01* -X107258400Y-137443160D01* -X107262736Y-137428866D01* -X107264200Y-137414000D01* -X107264200Y-137033000D01* -X108635800Y-137033000D01* -X108635800Y-137414000D01* -X108637264Y-137428866D01* -X108641600Y-137443160D01* -X108648642Y-137456334D01* -X108658118Y-137467882D01* -X108669666Y-137477358D01* -X108682840Y-137484400D01* -X108697134Y-137488736D01* -X108712000Y-137490200D01* -X109093000Y-137490200D01* -X109107866Y-137488736D01* -X109122160Y-137484400D01* -X109135334Y-137477358D01* -X109146882Y-137467882D01* -X109156358Y-137456334D01* -X109163400Y-137443160D01* -X109167736Y-137428866D01* -X109169200Y-137414000D01* -X109169200Y-137033000D01* -X109270800Y-137033000D01* -X109270800Y-137414000D01* -X109272264Y-137428866D01* -X109276600Y-137443160D01* -X109283642Y-137456334D01* -X109293118Y-137467882D01* -X109304666Y-137477358D01* -X109317840Y-137484400D01* -X109332134Y-137488736D01* -X109347000Y-137490200D01* -X109728000Y-137490200D01* -X109742866Y-137488736D01* -X109757160Y-137484400D01* -X109770334Y-137477358D01* -X109781882Y-137467882D01* -X109791358Y-137456334D01* -X109798400Y-137443160D01* -X109802736Y-137428866D01* -X109804200Y-137414000D01* -X109804200Y-137033000D01* -X111175800Y-137033000D01* -X111175800Y-137414000D01* -X111177264Y-137428866D01* -X111181600Y-137443160D01* -X111188642Y-137456334D01* -X111198118Y-137467882D01* -X111209666Y-137477358D01* -X111222840Y-137484400D01* -X111237134Y-137488736D01* -X111252000Y-137490200D01* -X111633000Y-137490200D01* -X111647866Y-137488736D01* -X111662160Y-137484400D01* -X111675334Y-137477358D01* -X111686882Y-137467882D01* -X111696358Y-137456334D01* -X111703400Y-137443160D01* -X111707736Y-137428866D01* -X111709200Y-137414000D01* -X111709200Y-137033000D01* -X111810800Y-137033000D01* -X111810800Y-137414000D01* -X111812264Y-137428866D01* -X111816600Y-137443160D01* -X111823642Y-137456334D01* -X111833118Y-137467882D01* -X111844666Y-137477358D01* -X111857840Y-137484400D01* -X111872134Y-137488736D01* -X111887000Y-137490200D01* -X112268000Y-137490200D01* -X112282866Y-137488736D01* -X112297160Y-137484400D01* -X112310334Y-137477358D01* -X112321882Y-137467882D01* -X112331358Y-137456334D01* -X112338400Y-137443160D01* -X112342736Y-137428866D01* -X112344200Y-137414000D01* -X112344200Y-137033000D01* -X113715800Y-137033000D01* -X113715800Y-137414000D01* -X113717264Y-137428866D01* -X113721600Y-137443160D01* -X113728642Y-137456334D01* -X113738118Y-137467882D01* -X113749666Y-137477358D01* -X113762840Y-137484400D01* -X113777134Y-137488736D01* -X113792000Y-137490200D01* -X114173000Y-137490200D01* -X114187866Y-137488736D01* -X114202160Y-137484400D01* -X114215334Y-137477358D01* -X114226882Y-137467882D01* -X114236358Y-137456334D01* -X114243400Y-137443160D01* -X114247736Y-137428866D01* -X114249200Y-137414000D01* -X114249200Y-137033000D01* -X114350800Y-137033000D01* -X114350800Y-137414000D01* -X114352264Y-137428866D01* -X114356600Y-137443160D01* -X114363642Y-137456334D01* -X114373118Y-137467882D01* -X114384666Y-137477358D01* -X114397840Y-137484400D01* -X114412134Y-137488736D01* -X114427000Y-137490200D01* -X114808000Y-137490200D01* -X114822866Y-137488736D01* -X114837160Y-137484400D01* -X114850334Y-137477358D01* -X114861882Y-137467882D01* -X114871358Y-137456334D01* -X114878400Y-137443160D01* -X114882736Y-137428866D01* -X114884200Y-137414000D01* -X114884200Y-137033000D01* -X116255800Y-137033000D01* -X116255800Y-137414000D01* -X116257264Y-137428866D01* -X116261600Y-137443160D01* -X116268642Y-137456334D01* -X116278118Y-137467882D01* -X116289666Y-137477358D01* -X116302840Y-137484400D01* -X116317134Y-137488736D01* -X116332000Y-137490200D01* -X116713000Y-137490200D01* -X116727866Y-137488736D01* -X116742160Y-137484400D01* -X116755334Y-137477358D01* -X116766882Y-137467882D01* -X116776358Y-137456334D01* -X116783400Y-137443160D01* -X116787736Y-137428866D01* -X116789200Y-137414000D01* -X116789200Y-137033000D01* -X116890800Y-137033000D01* -X116890800Y-137414000D01* -X116892264Y-137428866D01* -X116896600Y-137443160D01* -X116903642Y-137456334D01* -X116913118Y-137467882D01* -X116924666Y-137477358D01* -X116937840Y-137484400D01* -X116952134Y-137488736D01* -X116967000Y-137490200D01* -X117348000Y-137490200D01* -X117362866Y-137488736D01* -X117377160Y-137484400D01* -X117390334Y-137477358D01* -X117401882Y-137467882D01* -X117411358Y-137456334D01* -X117418400Y-137443160D01* -X117422736Y-137428866D01* -X117424200Y-137414000D01* -X117424200Y-137033000D01* -X118795800Y-137033000D01* -X118795800Y-137414000D01* -X118797264Y-137428866D01* -X118801600Y-137443160D01* -X118808642Y-137456334D01* -X118818118Y-137467882D01* -X118829666Y-137477358D01* -X118842840Y-137484400D01* -X118857134Y-137488736D01* -X118872000Y-137490200D01* -X119253000Y-137490200D01* -X119267866Y-137488736D01* -X119282160Y-137484400D01* -X119295334Y-137477358D01* -X119306882Y-137467882D01* -X119316358Y-137456334D01* -X119323400Y-137443160D01* -X119327736Y-137428866D01* -X119329200Y-137414000D01* -X119329200Y-137033000D01* -X119430800Y-137033000D01* -X119430800Y-137414000D01* -X119432264Y-137428866D01* -X119436600Y-137443160D01* -X119443642Y-137456334D01* -X119453118Y-137467882D01* -X119464666Y-137477358D01* -X119477840Y-137484400D01* -X119492134Y-137488736D01* -X119507000Y-137490200D01* -X119888000Y-137490200D01* -X119902866Y-137488736D01* -X119917160Y-137484400D01* -X119930334Y-137477358D01* -X119941882Y-137467882D01* -X119951358Y-137456334D01* -X119958400Y-137443160D01* -X119962736Y-137428866D01* -X119964200Y-137414000D01* -X119964200Y-137033000D01* -X121335800Y-137033000D01* -X121335800Y-137414000D01* -X121337264Y-137428866D01* -X121341600Y-137443160D01* -X121348642Y-137456334D01* -X121358118Y-137467882D01* -X121369666Y-137477358D01* -X121382840Y-137484400D01* -X121397134Y-137488736D01* -X121412000Y-137490200D01* -X121793000Y-137490200D01* -X121807866Y-137488736D01* -X121822160Y-137484400D01* -X121835334Y-137477358D01* -X121846882Y-137467882D01* -X121856358Y-137456334D01* -X121863400Y-137443160D01* -X121867736Y-137428866D01* -X121869200Y-137414000D01* -X121869200Y-137033000D01* -X121970800Y-137033000D01* -X121970800Y-137414000D01* -X121972264Y-137428866D01* -X121976600Y-137443160D01* -X121983642Y-137456334D01* -X121993118Y-137467882D01* -X122004666Y-137477358D01* -X122017840Y-137484400D01* -X122032134Y-137488736D01* -X122047000Y-137490200D01* -X122428000Y-137490200D01* -X122442866Y-137488736D01* -X122457160Y-137484400D01* -X122470334Y-137477358D01* -X122481882Y-137467882D01* -X122491358Y-137456334D01* -X122498400Y-137443160D01* -X122502736Y-137428866D01* -X122504200Y-137414000D01* -X122504200Y-137033000D01* -X123875800Y-137033000D01* -X123875800Y-137414000D01* -X123877264Y-137428866D01* -X123881600Y-137443160D01* -X123888642Y-137456334D01* -X123898118Y-137467882D01* -X123909666Y-137477358D01* -X123922840Y-137484400D01* -X123937134Y-137488736D01* -X123952000Y-137490200D01* -X124333000Y-137490200D01* -X124347866Y-137488736D01* -X124362160Y-137484400D01* -X124375334Y-137477358D01* -X124386882Y-137467882D01* -X124396358Y-137456334D01* -X124403400Y-137443160D01* -X124407736Y-137428866D01* -X124409200Y-137414000D01* -X124409200Y-137033000D01* -X124510800Y-137033000D01* -X124510800Y-137414000D01* -X124512264Y-137428866D01* -X124516600Y-137443160D01* -X124523642Y-137456334D01* -X124533118Y-137467882D01* -X124544666Y-137477358D01* -X124557840Y-137484400D01* -X124572134Y-137488736D01* -X124587000Y-137490200D01* -X124968000Y-137490200D01* -X124982866Y-137488736D01* -X124997160Y-137484400D01* -X125010334Y-137477358D01* -X125021882Y-137467882D01* -X125031358Y-137456334D01* -X125038400Y-137443160D01* -X125042736Y-137428866D01* -X125044200Y-137414000D01* -X125044200Y-137033000D01* -X126415800Y-137033000D01* -X126415800Y-137414000D01* -X126417264Y-137428866D01* -X126421600Y-137443160D01* -X126428642Y-137456334D01* -X126438118Y-137467882D01* -X126449666Y-137477358D01* -X126462840Y-137484400D01* -X126477134Y-137488736D01* -X126492000Y-137490200D01* -X126873000Y-137490200D01* -X126887866Y-137488736D01* -X126902160Y-137484400D01* -X126915334Y-137477358D01* -X126926882Y-137467882D01* -X126936358Y-137456334D01* -X126943400Y-137443160D01* -X126947736Y-137428866D01* -X126949200Y-137414000D01* -X126949200Y-137033000D01* -X127050800Y-137033000D01* -X127050800Y-137414000D01* -X127052264Y-137428866D01* -X127056600Y-137443160D01* -X127063642Y-137456334D01* -X127073118Y-137467882D01* -X127084666Y-137477358D01* -X127097840Y-137484400D01* -X127112134Y-137488736D01* -X127127000Y-137490200D01* -X127508000Y-137490200D01* -X127522866Y-137488736D01* -X127537160Y-137484400D01* -X127550334Y-137477358D01* -X127561882Y-137467882D01* -X127571358Y-137456334D01* -X127578400Y-137443160D01* -X127582736Y-137428866D01* -X127584200Y-137414000D01* -X127584200Y-137033000D01* -X128955800Y-137033000D01* -X128955800Y-137414000D01* -X128957264Y-137428866D01* -X128961600Y-137443160D01* -X128968642Y-137456334D01* -X128978118Y-137467882D01* -X128989666Y-137477358D01* -X129002840Y-137484400D01* -X129017134Y-137488736D01* -X129032000Y-137490200D01* -X129413000Y-137490200D01* -X129427866Y-137488736D01* -X129442160Y-137484400D01* -X129455334Y-137477358D01* -X129466882Y-137467882D01* -X129476358Y-137456334D01* -X129483400Y-137443160D01* -X129487736Y-137428866D01* -X129489200Y-137414000D01* -X129489200Y-137033000D01* -X129590800Y-137033000D01* -X129590800Y-137414000D01* -X129592264Y-137428866D01* -X129596600Y-137443160D01* -X129603642Y-137456334D01* -X129613118Y-137467882D01* -X129624666Y-137477358D01* -X129637840Y-137484400D01* -X129652134Y-137488736D01* -X129667000Y-137490200D01* -X130048000Y-137490200D01* -X130062866Y-137488736D01* -X130077160Y-137484400D01* -X130090334Y-137477358D01* -X130101882Y-137467882D01* -X130111358Y-137456334D01* -X130118400Y-137443160D01* -X130122736Y-137428866D01* -X130124200Y-137414000D01* -X130124200Y-137033000D01* -X131495800Y-137033000D01* -X131495800Y-137414000D01* -X131497264Y-137428866D01* -X131501600Y-137443160D01* -X131508642Y-137456334D01* -X131518118Y-137467882D01* -X131529666Y-137477358D01* -X131542840Y-137484400D01* -X131557134Y-137488736D01* -X131572000Y-137490200D01* -X131953000Y-137490200D01* -X131967866Y-137488736D01* -X131982160Y-137484400D01* -X131995334Y-137477358D01* -X132006882Y-137467882D01* -X132016358Y-137456334D01* -X132023400Y-137443160D01* -X132027736Y-137428866D01* -X132029200Y-137414000D01* -X132029200Y-137033000D01* -X132130800Y-137033000D01* -X132130800Y-137414000D01* -X132132264Y-137428866D01* -X132136600Y-137443160D01* -X132143642Y-137456334D01* -X132153118Y-137467882D01* -X132164666Y-137477358D01* -X132177840Y-137484400D01* -X132192134Y-137488736D01* -X132207000Y-137490200D01* -X132588000Y-137490200D01* -X132602866Y-137488736D01* -X132617160Y-137484400D01* -X132630334Y-137477358D01* -X132641882Y-137467882D01* -X132651358Y-137456334D01* -X132658400Y-137443160D01* -X132662736Y-137428866D01* -X132664200Y-137414000D01* -X132664200Y-137033000D01* -X134035800Y-137033000D01* -X134035800Y-137414000D01* -X134037264Y-137428866D01* -X134041600Y-137443160D01* -X134048642Y-137456334D01* -X134058118Y-137467882D01* -X134069666Y-137477358D01* -X134082840Y-137484400D01* -X134097134Y-137488736D01* -X134112000Y-137490200D01* -X134493000Y-137490200D01* -X134507866Y-137488736D01* -X134522160Y-137484400D01* -X134535334Y-137477358D01* -X134546882Y-137467882D01* -X134556358Y-137456334D01* -X134563400Y-137443160D01* -X134567736Y-137428866D01* -X134569200Y-137414000D01* -X134569200Y-137033000D01* -X134670800Y-137033000D01* -X134670800Y-137414000D01* -X134672264Y-137428866D01* -X134676600Y-137443160D01* -X134683642Y-137456334D01* -X134693118Y-137467882D01* -X134704666Y-137477358D01* -X134717840Y-137484400D01* -X134732134Y-137488736D01* -X134747000Y-137490200D01* -X135128000Y-137490200D01* -X135142866Y-137488736D01* -X135157160Y-137484400D01* -X135170334Y-137477358D01* -X135181882Y-137467882D01* -X135191358Y-137456334D01* -X135198400Y-137443160D01* -X135202736Y-137428866D01* -X135204200Y-137414000D01* -X135204200Y-137033000D01* -X135202736Y-137018134D01* -X135198400Y-137003840D01* -X135191358Y-136990666D01* -X135181882Y-136979118D01* -X135170334Y-136969642D01* -X135157160Y-136962600D01* -X135142866Y-136958264D01* -X135128000Y-136956800D01* -X134747000Y-136956800D01* -X134732134Y-136958264D01* -X134717840Y-136962600D01* -X134704666Y-136969642D01* -X134693118Y-136979118D01* -X134683642Y-136990666D01* -X134676600Y-137003840D01* -X134672264Y-137018134D01* -X134670800Y-137033000D01* -X134569200Y-137033000D01* -X134567736Y-137018134D01* -X134563400Y-137003840D01* -X134556358Y-136990666D01* -X134546882Y-136979118D01* -X134535334Y-136969642D01* -X134522160Y-136962600D01* -X134507866Y-136958264D01* -X134493000Y-136956800D01* -X134112000Y-136956800D01* -X134097134Y-136958264D01* -X134082840Y-136962600D01* -X134069666Y-136969642D01* -X134058118Y-136979118D01* -X134048642Y-136990666D01* -X134041600Y-137003840D01* -X134037264Y-137018134D01* -X134035800Y-137033000D01* -X132664200Y-137033000D01* -X132662736Y-137018134D01* -X132658400Y-137003840D01* -X132651358Y-136990666D01* -X132641882Y-136979118D01* -X132630334Y-136969642D01* -X132617160Y-136962600D01* -X132602866Y-136958264D01* -X132588000Y-136956800D01* -X132207000Y-136956800D01* -X132192134Y-136958264D01* -X132177840Y-136962600D01* -X132164666Y-136969642D01* -X132153118Y-136979118D01* -X132143642Y-136990666D01* -X132136600Y-137003840D01* -X132132264Y-137018134D01* -X132130800Y-137033000D01* -X132029200Y-137033000D01* -X132027736Y-137018134D01* -X132023400Y-137003840D01* -X132016358Y-136990666D01* -X132006882Y-136979118D01* -X131995334Y-136969642D01* -X131982160Y-136962600D01* -X131967866Y-136958264D01* -X131953000Y-136956800D01* -X131572000Y-136956800D01* -X131557134Y-136958264D01* -X131542840Y-136962600D01* -X131529666Y-136969642D01* -X131518118Y-136979118D01* -X131508642Y-136990666D01* -X131501600Y-137003840D01* -X131497264Y-137018134D01* -X131495800Y-137033000D01* -X130124200Y-137033000D01* -X130122736Y-137018134D01* -X130118400Y-137003840D01* -X130111358Y-136990666D01* -X130101882Y-136979118D01* -X130090334Y-136969642D01* -X130077160Y-136962600D01* -X130062866Y-136958264D01* -X130048000Y-136956800D01* -X129667000Y-136956800D01* -X129652134Y-136958264D01* -X129637840Y-136962600D01* -X129624666Y-136969642D01* -X129613118Y-136979118D01* -X129603642Y-136990666D01* -X129596600Y-137003840D01* -X129592264Y-137018134D01* -X129590800Y-137033000D01* -X129489200Y-137033000D01* -X129487736Y-137018134D01* -X129483400Y-137003840D01* -X129476358Y-136990666D01* -X129466882Y-136979118D01* -X129455334Y-136969642D01* -X129442160Y-136962600D01* -X129427866Y-136958264D01* -X129413000Y-136956800D01* -X129032000Y-136956800D01* -X129017134Y-136958264D01* -X129002840Y-136962600D01* -X128989666Y-136969642D01* -X128978118Y-136979118D01* -X128968642Y-136990666D01* -X128961600Y-137003840D01* -X128957264Y-137018134D01* -X128955800Y-137033000D01* -X127584200Y-137033000D01* -X127582736Y-137018134D01* -X127578400Y-137003840D01* -X127571358Y-136990666D01* -X127561882Y-136979118D01* -X127550334Y-136969642D01* -X127537160Y-136962600D01* -X127522866Y-136958264D01* -X127508000Y-136956800D01* -X127127000Y-136956800D01* -X127112134Y-136958264D01* -X127097840Y-136962600D01* -X127084666Y-136969642D01* -X127073118Y-136979118D01* -X127063642Y-136990666D01* -X127056600Y-137003840D01* -X127052264Y-137018134D01* -X127050800Y-137033000D01* -X126949200Y-137033000D01* -X126947736Y-137018134D01* -X126943400Y-137003840D01* -X126936358Y-136990666D01* -X126926882Y-136979118D01* -X126915334Y-136969642D01* -X126902160Y-136962600D01* -X126887866Y-136958264D01* -X126873000Y-136956800D01* -X126492000Y-136956800D01* -X126477134Y-136958264D01* -X126462840Y-136962600D01* -X126449666Y-136969642D01* -X126438118Y-136979118D01* -X126428642Y-136990666D01* -X126421600Y-137003840D01* -X126417264Y-137018134D01* -X126415800Y-137033000D01* -X125044200Y-137033000D01* -X125042736Y-137018134D01* -X125038400Y-137003840D01* -X125031358Y-136990666D01* -X125021882Y-136979118D01* -X125010334Y-136969642D01* -X124997160Y-136962600D01* -X124982866Y-136958264D01* -X124968000Y-136956800D01* -X124587000Y-136956800D01* -X124572134Y-136958264D01* -X124557840Y-136962600D01* -X124544666Y-136969642D01* -X124533118Y-136979118D01* -X124523642Y-136990666D01* -X124516600Y-137003840D01* -X124512264Y-137018134D01* -X124510800Y-137033000D01* -X124409200Y-137033000D01* -X124407736Y-137018134D01* -X124403400Y-137003840D01* -X124396358Y-136990666D01* -X124386882Y-136979118D01* -X124375334Y-136969642D01* -X124362160Y-136962600D01* -X124347866Y-136958264D01* -X124333000Y-136956800D01* -X123952000Y-136956800D01* -X123937134Y-136958264D01* -X123922840Y-136962600D01* -X123909666Y-136969642D01* -X123898118Y-136979118D01* -X123888642Y-136990666D01* -X123881600Y-137003840D01* -X123877264Y-137018134D01* -X123875800Y-137033000D01* -X122504200Y-137033000D01* -X122502736Y-137018134D01* -X122498400Y-137003840D01* -X122491358Y-136990666D01* -X122481882Y-136979118D01* -X122470334Y-136969642D01* -X122457160Y-136962600D01* -X122442866Y-136958264D01* -X122428000Y-136956800D01* -X122047000Y-136956800D01* -X122032134Y-136958264D01* -X122017840Y-136962600D01* -X122004666Y-136969642D01* -X121993118Y-136979118D01* -X121983642Y-136990666D01* -X121976600Y-137003840D01* -X121972264Y-137018134D01* -X121970800Y-137033000D01* -X121869200Y-137033000D01* -X121867736Y-137018134D01* -X121863400Y-137003840D01* -X121856358Y-136990666D01* -X121846882Y-136979118D01* -X121835334Y-136969642D01* -X121822160Y-136962600D01* -X121807866Y-136958264D01* -X121793000Y-136956800D01* -X121412000Y-136956800D01* -X121397134Y-136958264D01* -X121382840Y-136962600D01* -X121369666Y-136969642D01* -X121358118Y-136979118D01* -X121348642Y-136990666D01* -X121341600Y-137003840D01* -X121337264Y-137018134D01* -X121335800Y-137033000D01* -X119964200Y-137033000D01* -X119962736Y-137018134D01* -X119958400Y-137003840D01* -X119951358Y-136990666D01* -X119941882Y-136979118D01* -X119930334Y-136969642D01* -X119917160Y-136962600D01* -X119902866Y-136958264D01* -X119888000Y-136956800D01* -X119507000Y-136956800D01* -X119492134Y-136958264D01* -X119477840Y-136962600D01* -X119464666Y-136969642D01* -X119453118Y-136979118D01* -X119443642Y-136990666D01* -X119436600Y-137003840D01* -X119432264Y-137018134D01* -X119430800Y-137033000D01* -X119329200Y-137033000D01* -X119327736Y-137018134D01* -X119323400Y-137003840D01* -X119316358Y-136990666D01* -X119306882Y-136979118D01* -X119295334Y-136969642D01* -X119282160Y-136962600D01* -X119267866Y-136958264D01* -X119253000Y-136956800D01* -X118872000Y-136956800D01* -X118857134Y-136958264D01* -X118842840Y-136962600D01* -X118829666Y-136969642D01* -X118818118Y-136979118D01* -X118808642Y-136990666D01* -X118801600Y-137003840D01* -X118797264Y-137018134D01* -X118795800Y-137033000D01* -X117424200Y-137033000D01* -X117422736Y-137018134D01* -X117418400Y-137003840D01* -X117411358Y-136990666D01* -X117401882Y-136979118D01* -X117390334Y-136969642D01* -X117377160Y-136962600D01* -X117362866Y-136958264D01* -X117348000Y-136956800D01* -X116967000Y-136956800D01* -X116952134Y-136958264D01* -X116937840Y-136962600D01* -X116924666Y-136969642D01* -X116913118Y-136979118D01* -X116903642Y-136990666D01* -X116896600Y-137003840D01* -X116892264Y-137018134D01* -X116890800Y-137033000D01* -X116789200Y-137033000D01* -X116787736Y-137018134D01* -X116783400Y-137003840D01* -X116776358Y-136990666D01* -X116766882Y-136979118D01* -X116755334Y-136969642D01* -X116742160Y-136962600D01* -X116727866Y-136958264D01* -X116713000Y-136956800D01* -X116332000Y-136956800D01* -X116317134Y-136958264D01* -X116302840Y-136962600D01* -X116289666Y-136969642D01* -X116278118Y-136979118D01* -X116268642Y-136990666D01* -X116261600Y-137003840D01* -X116257264Y-137018134D01* -X116255800Y-137033000D01* -X114884200Y-137033000D01* -X114882736Y-137018134D01* -X114878400Y-137003840D01* -X114871358Y-136990666D01* -X114861882Y-136979118D01* -X114850334Y-136969642D01* -X114837160Y-136962600D01* -X114822866Y-136958264D01* -X114808000Y-136956800D01* -X114427000Y-136956800D01* -X114412134Y-136958264D01* -X114397840Y-136962600D01* -X114384666Y-136969642D01* -X114373118Y-136979118D01* -X114363642Y-136990666D01* -X114356600Y-137003840D01* -X114352264Y-137018134D01* -X114350800Y-137033000D01* -X114249200Y-137033000D01* -X114247736Y-137018134D01* -X114243400Y-137003840D01* -X114236358Y-136990666D01* -X114226882Y-136979118D01* -X114215334Y-136969642D01* -X114202160Y-136962600D01* -X114187866Y-136958264D01* -X114173000Y-136956800D01* -X113792000Y-136956800D01* -X113777134Y-136958264D01* -X113762840Y-136962600D01* -X113749666Y-136969642D01* -X113738118Y-136979118D01* -X113728642Y-136990666D01* -X113721600Y-137003840D01* -X113717264Y-137018134D01* -X113715800Y-137033000D01* -X112344200Y-137033000D01* -X112342736Y-137018134D01* -X112338400Y-137003840D01* -X112331358Y-136990666D01* -X112321882Y-136979118D01* -X112310334Y-136969642D01* -X112297160Y-136962600D01* -X112282866Y-136958264D01* -X112268000Y-136956800D01* -X111887000Y-136956800D01* -X111872134Y-136958264D01* -X111857840Y-136962600D01* -X111844666Y-136969642D01* -X111833118Y-136979118D01* -X111823642Y-136990666D01* -X111816600Y-137003840D01* -X111812264Y-137018134D01* -X111810800Y-137033000D01* -X111709200Y-137033000D01* -X111707736Y-137018134D01* -X111703400Y-137003840D01* -X111696358Y-136990666D01* -X111686882Y-136979118D01* -X111675334Y-136969642D01* -X111662160Y-136962600D01* -X111647866Y-136958264D01* -X111633000Y-136956800D01* -X111252000Y-136956800D01* -X111237134Y-136958264D01* -X111222840Y-136962600D01* -X111209666Y-136969642D01* -X111198118Y-136979118D01* -X111188642Y-136990666D01* -X111181600Y-137003840D01* -X111177264Y-137018134D01* -X111175800Y-137033000D01* -X109804200Y-137033000D01* -X109802736Y-137018134D01* -X109798400Y-137003840D01* -X109791358Y-136990666D01* -X109781882Y-136979118D01* -X109770334Y-136969642D01* -X109757160Y-136962600D01* -X109742866Y-136958264D01* -X109728000Y-136956800D01* -X109347000Y-136956800D01* -X109332134Y-136958264D01* -X109317840Y-136962600D01* -X109304666Y-136969642D01* -X109293118Y-136979118D01* -X109283642Y-136990666D01* -X109276600Y-137003840D01* -X109272264Y-137018134D01* -X109270800Y-137033000D01* -X109169200Y-137033000D01* -X109167736Y-137018134D01* -X109163400Y-137003840D01* -X109156358Y-136990666D01* -X109146882Y-136979118D01* -X109135334Y-136969642D01* -X109122160Y-136962600D01* -X109107866Y-136958264D01* -X109093000Y-136956800D01* -X108712000Y-136956800D01* -X108697134Y-136958264D01* -X108682840Y-136962600D01* -X108669666Y-136969642D01* -X108658118Y-136979118D01* -X108648642Y-136990666D01* -X108641600Y-137003840D01* -X108637264Y-137018134D01* -X108635800Y-137033000D01* -X107264200Y-137033000D01* -X107262736Y-137018134D01* -X107258400Y-137003840D01* -X107251358Y-136990666D01* -X107241882Y-136979118D01* -X107230334Y-136969642D01* -X107217160Y-136962600D01* -X107202866Y-136958264D01* -X107188000Y-136956800D01* -X106807000Y-136956800D01* -X106792134Y-136958264D01* -X106777840Y-136962600D01* -X106764666Y-136969642D01* -X106753118Y-136979118D01* -X106743642Y-136990666D01* -X106736600Y-137003840D01* -X106732264Y-137018134D01* -X106730800Y-137033000D01* -X106629200Y-137033000D01* -X106627736Y-137018134D01* -X106623400Y-137003840D01* -X106616358Y-136990666D01* -X106606882Y-136979118D01* -X106595334Y-136969642D01* -X106582160Y-136962600D01* -X106567866Y-136958264D01* -X106553000Y-136956800D01* -X106172000Y-136956800D01* -X106157134Y-136958264D01* -X106142840Y-136962600D01* -X106129666Y-136969642D01* -X106118118Y-136979118D01* -X106108642Y-136990666D01* -X106101600Y-137003840D01* -X106097264Y-137018134D01* -X106095800Y-137033000D01* -X104724200Y-137033000D01* -X104722736Y-137018134D01* -X104718400Y-137003840D01* -X104711358Y-136990666D01* -X104701882Y-136979118D01* -X104690334Y-136969642D01* -X104677160Y-136962600D01* -X104662866Y-136958264D01* -X104648000Y-136956800D01* -X104267000Y-136956800D01* -X104252134Y-136958264D01* -X104237840Y-136962600D01* -X104224666Y-136969642D01* -X104213118Y-136979118D01* -X104203642Y-136990666D01* -X104196600Y-137003840D01* -X104192264Y-137018134D01* -X104190800Y-137033000D01* -X104089200Y-137033000D01* -X104087736Y-137018134D01* -X104083400Y-137003840D01* -X104076358Y-136990666D01* -X104066882Y-136979118D01* -X104055334Y-136969642D01* -X104042160Y-136962600D01* -X104027866Y-136958264D01* -X104013000Y-136956800D01* -X103632000Y-136956800D01* -X103617134Y-136958264D01* -X103602840Y-136962600D01* -X103589666Y-136969642D01* -X103578118Y-136979118D01* -X103568642Y-136990666D01* -X103561600Y-137003840D01* -X103557264Y-137018134D01* -X103555800Y-137033000D01* -X102184200Y-137033000D01* -X102182736Y-137018134D01* -X102178400Y-137003840D01* -X102171358Y-136990666D01* -X102161882Y-136979118D01* -X102150334Y-136969642D01* -X102137160Y-136962600D01* -X102122866Y-136958264D01* -X102108000Y-136956800D01* -X101727000Y-136956800D01* -X101712134Y-136958264D01* -X101697840Y-136962600D01* -X101684666Y-136969642D01* -X101673118Y-136979118D01* -X101663642Y-136990666D01* -X101656600Y-137003840D01* -X101652264Y-137018134D01* -X101650800Y-137033000D01* -X101549200Y-137033000D01* -X101547736Y-137018134D01* -X101543400Y-137003840D01* -X101536358Y-136990666D01* -X101526882Y-136979118D01* -X101515334Y-136969642D01* -X101502160Y-136962600D01* -X101487866Y-136958264D01* -X101473000Y-136956800D01* -X101092000Y-136956800D01* -X101077134Y-136958264D01* -X101062840Y-136962600D01* -X101049666Y-136969642D01* -X101038118Y-136979118D01* -X101028642Y-136990666D01* -X101021600Y-137003840D01* -X101017264Y-137018134D01* -X101015800Y-137033000D01* -X99644200Y-137033000D01* -X99642736Y-137018134D01* -X99638400Y-137003840D01* -X99631358Y-136990666D01* -X99621882Y-136979118D01* -X99610334Y-136969642D01* -X99597160Y-136962600D01* -X99582866Y-136958264D01* -X99568000Y-136956800D01* -X99187000Y-136956800D01* -X99172134Y-136958264D01* -X99157840Y-136962600D01* -X99144666Y-136969642D01* -X99133118Y-136979118D01* -X99123642Y-136990666D01* -X99116600Y-137003840D01* -X99112264Y-137018134D01* -X99110800Y-137033000D01* -X99009200Y-137033000D01* -X99007736Y-137018134D01* -X99003400Y-137003840D01* -X98996358Y-136990666D01* -X98986882Y-136979118D01* -X98975334Y-136969642D01* -X98962160Y-136962600D01* -X98947866Y-136958264D01* -X98933000Y-136956800D01* -X98552000Y-136956800D01* -X98537134Y-136958264D01* -X98522840Y-136962600D01* -X98509666Y-136969642D01* -X98498118Y-136979118D01* -X98488642Y-136990666D01* -X98481600Y-137003840D01* -X98477264Y-137018134D01* -X98475800Y-137033000D01* -X97104200Y-137033000D01* -X97102736Y-137018134D01* -X97098400Y-137003840D01* -X97091358Y-136990666D01* -X97081882Y-136979118D01* -X97070334Y-136969642D01* -X97057160Y-136962600D01* -X97042866Y-136958264D01* -X97028000Y-136956800D01* -X96647000Y-136956800D01* -X96632134Y-136958264D01* -X96617840Y-136962600D01* -X96604666Y-136969642D01* -X96593118Y-136979118D01* -X96583642Y-136990666D01* -X96576600Y-137003840D01* -X96572264Y-137018134D01* -X96570800Y-137033000D01* -X96469200Y-137033000D01* -X96467736Y-137018134D01* -X96463400Y-137003840D01* -X96456358Y-136990666D01* -X96446882Y-136979118D01* -X96435334Y-136969642D01* -X96422160Y-136962600D01* -X96407866Y-136958264D01* -X96393000Y-136956800D01* -X96012000Y-136956800D01* -X95997134Y-136958264D01* -X95982840Y-136962600D01* -X95969666Y-136969642D01* -X95958118Y-136979118D01* -X95948642Y-136990666D01* -X95941600Y-137003840D01* -X95937264Y-137018134D01* -X95935800Y-137033000D01* -X94564200Y-137033000D01* -X94562736Y-137018134D01* -X94558400Y-137003840D01* -X94551358Y-136990666D01* -X94541882Y-136979118D01* -X94530334Y-136969642D01* -X94517160Y-136962600D01* -X94502866Y-136958264D01* -X94488000Y-136956800D01* -X94107000Y-136956800D01* -X94092134Y-136958264D01* -X94077840Y-136962600D01* -X94064666Y-136969642D01* -X94053118Y-136979118D01* -X94043642Y-136990666D01* -X94036600Y-137003840D01* -X94032264Y-137018134D01* -X94030800Y-137033000D01* -X93929200Y-137033000D01* -X93927736Y-137018134D01* -X93923400Y-137003840D01* -X93916358Y-136990666D01* -X93906882Y-136979118D01* -X93895334Y-136969642D01* -X93882160Y-136962600D01* -X93867866Y-136958264D01* -X93853000Y-136956800D01* -X93472000Y-136956800D01* -X93457134Y-136958264D01* -X93442840Y-136962600D01* -X93429666Y-136969642D01* -X93418118Y-136979118D01* -X93408642Y-136990666D01* -X93401600Y-137003840D01* -X93397264Y-137018134D01* -X93395800Y-137033000D01* -X92024200Y-137033000D01* -X92022736Y-137018134D01* -X92018400Y-137003840D01* -X92011358Y-136990666D01* -X92001882Y-136979118D01* -X91990334Y-136969642D01* -X91977160Y-136962600D01* -X91962866Y-136958264D01* -X91948000Y-136956800D01* -X91567000Y-136956800D01* -X91552134Y-136958264D01* -X91537840Y-136962600D01* -X91524666Y-136969642D01* -X91513118Y-136979118D01* -X91503642Y-136990666D01* -X91496600Y-137003840D01* -X91492264Y-137018134D01* -X91490800Y-137033000D01* -X91389200Y-137033000D01* -X91387736Y-137018134D01* -X91383400Y-137003840D01* -X91376358Y-136990666D01* -X91366882Y-136979118D01* -X91355334Y-136969642D01* -X91342160Y-136962600D01* -X91327866Y-136958264D01* -X91313000Y-136956800D01* -X90932000Y-136956800D01* -X90917134Y-136958264D01* -X90902840Y-136962600D01* -X90889666Y-136969642D01* -X90878118Y-136979118D01* -X90868642Y-136990666D01* -X90861600Y-137003840D01* -X90857264Y-137018134D01* -X90855800Y-137033000D01* -X89484200Y-137033000D01* -X89482736Y-137018134D01* -X89478400Y-137003840D01* -X89471358Y-136990666D01* -X89461882Y-136979118D01* -X89450334Y-136969642D01* -X89437160Y-136962600D01* -X89422866Y-136958264D01* -X89408000Y-136956800D01* -X89027000Y-136956800D01* -X89012134Y-136958264D01* -X88997840Y-136962600D01* -X88984666Y-136969642D01* -X88973118Y-136979118D01* -X88963642Y-136990666D01* -X88956600Y-137003840D01* -X88952264Y-137018134D01* -X88950800Y-137033000D01* -X88849200Y-137033000D01* -X88847736Y-137018134D01* -X88843400Y-137003840D01* -X88836358Y-136990666D01* -X88826882Y-136979118D01* -X88815334Y-136969642D01* -X88802160Y-136962600D01* -X88787866Y-136958264D01* -X88773000Y-136956800D01* -X88392000Y-136956800D01* -X88377134Y-136958264D01* -X88362840Y-136962600D01* -X88349666Y-136969642D01* -X88338118Y-136979118D01* -X88328642Y-136990666D01* -X88321600Y-137003840D01* -X88317264Y-137018134D01* -X88315800Y-137033000D01* -X86944200Y-137033000D01* -X86942736Y-137018134D01* -X86938400Y-137003840D01* -X86931358Y-136990666D01* -X86921882Y-136979118D01* -X86910334Y-136969642D01* -X86897160Y-136962600D01* -X86882866Y-136958264D01* -X86868000Y-136956800D01* -X86487000Y-136956800D01* -X86472134Y-136958264D01* -X86457840Y-136962600D01* -X86444666Y-136969642D01* -X86433118Y-136979118D01* -X86423642Y-136990666D01* -X86416600Y-137003840D01* -X86412264Y-137018134D01* -X86410800Y-137033000D01* -X86309200Y-137033000D01* -X86307736Y-137018134D01* -X86303400Y-137003840D01* -X86296358Y-136990666D01* -X86286882Y-136979118D01* -X86275334Y-136969642D01* -X86262160Y-136962600D01* -X86247866Y-136958264D01* -X86233000Y-136956800D01* -X85852000Y-136956800D01* -X85837134Y-136958264D01* -X85822840Y-136962600D01* -X85809666Y-136969642D01* -X85798118Y-136979118D01* -X85788642Y-136990666D01* -X85781600Y-137003840D01* -X85777264Y-137018134D01* -X85775800Y-137033000D01* -X84404200Y-137033000D01* -X84402736Y-137018134D01* -X84398400Y-137003840D01* -X84391358Y-136990666D01* -X84381882Y-136979118D01* -X84370334Y-136969642D01* -X84357160Y-136962600D01* -X84342866Y-136958264D01* -X84328000Y-136956800D01* -X83947000Y-136956800D01* -X83932134Y-136958264D01* -X83917840Y-136962600D01* -X83904666Y-136969642D01* -X83893118Y-136979118D01* -X83883642Y-136990666D01* -X83876600Y-137003840D01* -X83872264Y-137018134D01* -X83870800Y-137033000D01* -X83769200Y-137033000D01* -X83767736Y-137018134D01* -X83763400Y-137003840D01* -X83756358Y-136990666D01* -X83746882Y-136979118D01* -X83735334Y-136969642D01* -X83722160Y-136962600D01* -X83707866Y-136958264D01* -X83693000Y-136956800D01* -X83312000Y-136956800D01* -X83297134Y-136958264D01* -X83282840Y-136962600D01* -X83269666Y-136969642D01* -X83258118Y-136979118D01* -X83248642Y-136990666D01* -X83241600Y-137003840D01* -X83237264Y-137018134D01* -X83235800Y-137033000D01* -X81864200Y-137033000D01* -X81862736Y-137018134D01* -X81858400Y-137003840D01* -X81851358Y-136990666D01* -X81841882Y-136979118D01* -X81830334Y-136969642D01* -X81817160Y-136962600D01* -X81802866Y-136958264D01* -X81788000Y-136956800D01* -X81407000Y-136956800D01* -X81392134Y-136958264D01* -X81377840Y-136962600D01* -X81364666Y-136969642D01* -X81353118Y-136979118D01* -X81343642Y-136990666D01* -X81336600Y-137003840D01* -X81332264Y-137018134D01* -X81330800Y-137033000D01* -X81229200Y-137033000D01* -X81227736Y-137018134D01* -X81223400Y-137003840D01* -X81216358Y-136990666D01* -X81206882Y-136979118D01* -X81195334Y-136969642D01* -X81182160Y-136962600D01* -X81167866Y-136958264D01* -X81153000Y-136956800D01* -X80772000Y-136956800D01* -X80757134Y-136958264D01* -X80742840Y-136962600D01* -X80729666Y-136969642D01* -X80718118Y-136979118D01* -X80708642Y-136990666D01* -X80701600Y-137003840D01* -X80697264Y-137018134D01* -X80695800Y-137033000D01* -X79324200Y-137033000D01* -X79322736Y-137018134D01* -X79318400Y-137003840D01* -X79311358Y-136990666D01* -X79301882Y-136979118D01* -X79290334Y-136969642D01* -X79277160Y-136962600D01* -X79262866Y-136958264D01* -X79248000Y-136956800D01* -X78867000Y-136956800D01* -X78852134Y-136958264D01* -X78837840Y-136962600D01* -X78824666Y-136969642D01* -X78813118Y-136979118D01* -X78803642Y-136990666D01* -X78796600Y-137003840D01* -X78792264Y-137018134D01* -X78790800Y-137033000D01* -X78689200Y-137033000D01* -X78687736Y-137018134D01* -X78683400Y-137003840D01* -X78676358Y-136990666D01* -X78666882Y-136979118D01* -X78655334Y-136969642D01* -X78642160Y-136962600D01* -X78627866Y-136958264D01* -X78613000Y-136956800D01* -X78232000Y-136956800D01* -X78217134Y-136958264D01* -X78202840Y-136962600D01* -X78189666Y-136969642D01* -X78178118Y-136979118D01* -X78168642Y-136990666D01* -X78161600Y-137003840D01* -X78157264Y-137018134D01* -X78155800Y-137033000D01* -X76784200Y-137033000D01* -X76782736Y-137018134D01* -X76778400Y-137003840D01* -X76771358Y-136990666D01* -X76761882Y-136979118D01* -X76750334Y-136969642D01* -X76737160Y-136962600D01* -X76722866Y-136958264D01* -X76708000Y-136956800D01* -X76327000Y-136956800D01* -X76312134Y-136958264D01* -X76297840Y-136962600D01* -X76284666Y-136969642D01* -X76273118Y-136979118D01* -X76263642Y-136990666D01* -X76256600Y-137003840D01* -X76252264Y-137018134D01* -X76250800Y-137033000D01* -X76149200Y-137033000D01* -X76147736Y-137018134D01* -X76143400Y-137003840D01* -X76136358Y-136990666D01* -X76126882Y-136979118D01* -X76115334Y-136969642D01* -X76102160Y-136962600D01* -X76087866Y-136958264D01* -X76073000Y-136956800D01* -X75692000Y-136956800D01* -X75677134Y-136958264D01* -X75662840Y-136962600D01* -X75649666Y-136969642D01* -X75638118Y-136979118D01* -X75628642Y-136990666D01* -X75621600Y-137003840D01* -X75617264Y-137018134D01* -X75615800Y-137033000D01* -X74498200Y-137033000D01* -X74498200Y-136398000D01* -X75615800Y-136398000D01* -X75615800Y-136779000D01* -X75617264Y-136793866D01* -X75621600Y-136808160D01* -X75628642Y-136821334D01* -X75638118Y-136832882D01* -X75649666Y-136842358D01* -X75662840Y-136849400D01* -X75677134Y-136853736D01* -X75692000Y-136855200D01* -X76073000Y-136855200D01* -X76087866Y-136853736D01* -X76102160Y-136849400D01* -X76115334Y-136842358D01* -X76126882Y-136832882D01* -X76136358Y-136821334D01* -X76143400Y-136808160D01* -X76147736Y-136793866D01* -X76149200Y-136779000D01* -X76149200Y-136398000D01* -X76250800Y-136398000D01* -X76250800Y-136779000D01* -X76252264Y-136793866D01* -X76256600Y-136808160D01* -X76263642Y-136821334D01* -X76273118Y-136832882D01* -X76284666Y-136842358D01* -X76297840Y-136849400D01* -X76312134Y-136853736D01* -X76327000Y-136855200D01* -X76708000Y-136855200D01* -X76722866Y-136853736D01* -X76737160Y-136849400D01* -X76750334Y-136842358D01* -X76761882Y-136832882D01* -X76771358Y-136821334D01* -X76778400Y-136808160D01* -X76782736Y-136793866D01* -X76784200Y-136779000D01* -X76784200Y-136398000D01* -X78155800Y-136398000D01* -X78155800Y-136779000D01* -X78157264Y-136793866D01* -X78161600Y-136808160D01* -X78168642Y-136821334D01* -X78178118Y-136832882D01* -X78189666Y-136842358D01* -X78202840Y-136849400D01* -X78217134Y-136853736D01* -X78232000Y-136855200D01* -X78613000Y-136855200D01* -X78627866Y-136853736D01* -X78642160Y-136849400D01* -X78655334Y-136842358D01* -X78666882Y-136832882D01* -X78676358Y-136821334D01* -X78683400Y-136808160D01* -X78687736Y-136793866D01* -X78689200Y-136779000D01* -X78689200Y-136398000D01* -X78790800Y-136398000D01* -X78790800Y-136779000D01* -X78792264Y-136793866D01* -X78796600Y-136808160D01* -X78803642Y-136821334D01* -X78813118Y-136832882D01* -X78824666Y-136842358D01* -X78837840Y-136849400D01* -X78852134Y-136853736D01* -X78867000Y-136855200D01* -X79248000Y-136855200D01* -X79262866Y-136853736D01* -X79277160Y-136849400D01* -X79290334Y-136842358D01* -X79301882Y-136832882D01* -X79311358Y-136821334D01* -X79318400Y-136808160D01* -X79322736Y-136793866D01* -X79324200Y-136779000D01* -X79324200Y-136398000D01* -X80695800Y-136398000D01* -X80695800Y-136779000D01* -X80697264Y-136793866D01* -X80701600Y-136808160D01* -X80708642Y-136821334D01* -X80718118Y-136832882D01* -X80729666Y-136842358D01* -X80742840Y-136849400D01* -X80757134Y-136853736D01* -X80772000Y-136855200D01* -X81153000Y-136855200D01* -X81167866Y-136853736D01* -X81182160Y-136849400D01* -X81195334Y-136842358D01* -X81206882Y-136832882D01* -X81216358Y-136821334D01* -X81223400Y-136808160D01* -X81227736Y-136793866D01* -X81229200Y-136779000D01* -X81229200Y-136398000D01* -X81330800Y-136398000D01* -X81330800Y-136779000D01* -X81332264Y-136793866D01* -X81336600Y-136808160D01* -X81343642Y-136821334D01* -X81353118Y-136832882D01* -X81364666Y-136842358D01* -X81377840Y-136849400D01* -X81392134Y-136853736D01* -X81407000Y-136855200D01* -X81788000Y-136855200D01* -X81802866Y-136853736D01* -X81817160Y-136849400D01* -X81830334Y-136842358D01* -X81841882Y-136832882D01* -X81851358Y-136821334D01* -X81858400Y-136808160D01* -X81862736Y-136793866D01* -X81864200Y-136779000D01* -X81864200Y-136398000D01* -X83235800Y-136398000D01* -X83235800Y-136779000D01* -X83237264Y-136793866D01* -X83241600Y-136808160D01* -X83248642Y-136821334D01* -X83258118Y-136832882D01* -X83269666Y-136842358D01* -X83282840Y-136849400D01* -X83297134Y-136853736D01* -X83312000Y-136855200D01* -X83693000Y-136855200D01* -X83707866Y-136853736D01* -X83722160Y-136849400D01* -X83735334Y-136842358D01* -X83746882Y-136832882D01* -X83756358Y-136821334D01* -X83763400Y-136808160D01* -X83767736Y-136793866D01* -X83769200Y-136779000D01* -X83769200Y-136398000D01* -X83870800Y-136398000D01* -X83870800Y-136779000D01* -X83872264Y-136793866D01* -X83876600Y-136808160D01* -X83883642Y-136821334D01* -X83893118Y-136832882D01* -X83904666Y-136842358D01* -X83917840Y-136849400D01* -X83932134Y-136853736D01* -X83947000Y-136855200D01* -X84328000Y-136855200D01* -X84342866Y-136853736D01* -X84357160Y-136849400D01* -X84370334Y-136842358D01* -X84381882Y-136832882D01* -X84391358Y-136821334D01* -X84398400Y-136808160D01* -X84402736Y-136793866D01* -X84404200Y-136779000D01* -X84404200Y-136398000D01* -X85775800Y-136398000D01* -X85775800Y-136779000D01* -X85777264Y-136793866D01* -X85781600Y-136808160D01* -X85788642Y-136821334D01* -X85798118Y-136832882D01* -X85809666Y-136842358D01* -X85822840Y-136849400D01* -X85837134Y-136853736D01* -X85852000Y-136855200D01* -X86233000Y-136855200D01* -X86247866Y-136853736D01* -X86262160Y-136849400D01* -X86275334Y-136842358D01* -X86286882Y-136832882D01* -X86296358Y-136821334D01* -X86303400Y-136808160D01* -X86307736Y-136793866D01* -X86309200Y-136779000D01* -X86309200Y-136398000D01* -X86410800Y-136398000D01* -X86410800Y-136779000D01* -X86412264Y-136793866D01* -X86416600Y-136808160D01* -X86423642Y-136821334D01* -X86433118Y-136832882D01* -X86444666Y-136842358D01* -X86457840Y-136849400D01* -X86472134Y-136853736D01* -X86487000Y-136855200D01* -X86868000Y-136855200D01* -X86882866Y-136853736D01* -X86897160Y-136849400D01* -X86910334Y-136842358D01* -X86921882Y-136832882D01* -X86931358Y-136821334D01* -X86938400Y-136808160D01* -X86942736Y-136793866D01* -X86944200Y-136779000D01* -X86944200Y-136398000D01* -X88315800Y-136398000D01* -X88315800Y-136779000D01* -X88317264Y-136793866D01* -X88321600Y-136808160D01* -X88328642Y-136821334D01* -X88338118Y-136832882D01* -X88349666Y-136842358D01* -X88362840Y-136849400D01* -X88377134Y-136853736D01* -X88392000Y-136855200D01* -X88773000Y-136855200D01* -X88787866Y-136853736D01* -X88802160Y-136849400D01* -X88815334Y-136842358D01* -X88826882Y-136832882D01* -X88836358Y-136821334D01* -X88843400Y-136808160D01* -X88847736Y-136793866D01* -X88849200Y-136779000D01* -X88849200Y-136398000D01* -X88950800Y-136398000D01* -X88950800Y-136779000D01* -X88952264Y-136793866D01* -X88956600Y-136808160D01* -X88963642Y-136821334D01* -X88973118Y-136832882D01* -X88984666Y-136842358D01* -X88997840Y-136849400D01* -X89012134Y-136853736D01* -X89027000Y-136855200D01* -X89408000Y-136855200D01* -X89422866Y-136853736D01* -X89437160Y-136849400D01* -X89450334Y-136842358D01* -X89461882Y-136832882D01* -X89471358Y-136821334D01* -X89478400Y-136808160D01* -X89482736Y-136793866D01* -X89484200Y-136779000D01* -X89484200Y-136398000D01* -X90855800Y-136398000D01* -X90855800Y-136779000D01* -X90857264Y-136793866D01* -X90861600Y-136808160D01* -X90868642Y-136821334D01* -X90878118Y-136832882D01* -X90889666Y-136842358D01* -X90902840Y-136849400D01* -X90917134Y-136853736D01* -X90932000Y-136855200D01* -X91313000Y-136855200D01* -X91327866Y-136853736D01* -X91342160Y-136849400D01* -X91355334Y-136842358D01* -X91366882Y-136832882D01* -X91376358Y-136821334D01* -X91383400Y-136808160D01* -X91387736Y-136793866D01* -X91389200Y-136779000D01* -X91389200Y-136398000D01* -X91490800Y-136398000D01* -X91490800Y-136779000D01* -X91492264Y-136793866D01* -X91496600Y-136808160D01* -X91503642Y-136821334D01* -X91513118Y-136832882D01* -X91524666Y-136842358D01* -X91537840Y-136849400D01* -X91552134Y-136853736D01* -X91567000Y-136855200D01* -X91948000Y-136855200D01* -X91962866Y-136853736D01* -X91977160Y-136849400D01* -X91990334Y-136842358D01* -X92001882Y-136832882D01* -X92011358Y-136821334D01* -X92018400Y-136808160D01* -X92022736Y-136793866D01* -X92024200Y-136779000D01* -X92024200Y-136398000D01* -X93395800Y-136398000D01* -X93395800Y-136779000D01* -X93397264Y-136793866D01* -X93401600Y-136808160D01* -X93408642Y-136821334D01* -X93418118Y-136832882D01* -X93429666Y-136842358D01* -X93442840Y-136849400D01* -X93457134Y-136853736D01* -X93472000Y-136855200D01* -X93853000Y-136855200D01* -X93867866Y-136853736D01* -X93882160Y-136849400D01* -X93895334Y-136842358D01* -X93906882Y-136832882D01* -X93916358Y-136821334D01* -X93923400Y-136808160D01* -X93927736Y-136793866D01* -X93929200Y-136779000D01* -X93929200Y-136398000D01* -X94030800Y-136398000D01* -X94030800Y-136779000D01* -X94032264Y-136793866D01* -X94036600Y-136808160D01* -X94043642Y-136821334D01* -X94053118Y-136832882D01* -X94064666Y-136842358D01* -X94077840Y-136849400D01* -X94092134Y-136853736D01* -X94107000Y-136855200D01* -X94488000Y-136855200D01* -X94502866Y-136853736D01* -X94517160Y-136849400D01* -X94530334Y-136842358D01* -X94541882Y-136832882D01* -X94551358Y-136821334D01* -X94558400Y-136808160D01* -X94562736Y-136793866D01* -X94564200Y-136779000D01* -X94564200Y-136398000D01* -X95935800Y-136398000D01* -X95935800Y-136779000D01* -X95937264Y-136793866D01* -X95941600Y-136808160D01* -X95948642Y-136821334D01* -X95958118Y-136832882D01* -X95969666Y-136842358D01* -X95982840Y-136849400D01* -X95997134Y-136853736D01* -X96012000Y-136855200D01* -X96393000Y-136855200D01* -X96407866Y-136853736D01* -X96422160Y-136849400D01* -X96435334Y-136842358D01* -X96446882Y-136832882D01* -X96456358Y-136821334D01* -X96463400Y-136808160D01* -X96467736Y-136793866D01* -X96469200Y-136779000D01* -X96469200Y-136398000D01* -X96570800Y-136398000D01* -X96570800Y-136779000D01* -X96572264Y-136793866D01* -X96576600Y-136808160D01* -X96583642Y-136821334D01* -X96593118Y-136832882D01* -X96604666Y-136842358D01* -X96617840Y-136849400D01* -X96632134Y-136853736D01* -X96647000Y-136855200D01* -X97028000Y-136855200D01* -X97042866Y-136853736D01* -X97057160Y-136849400D01* -X97070334Y-136842358D01* -X97081882Y-136832882D01* -X97091358Y-136821334D01* -X97098400Y-136808160D01* -X97102736Y-136793866D01* -X97104200Y-136779000D01* -X97104200Y-136398000D01* -X98475800Y-136398000D01* -X98475800Y-136779000D01* -X98477264Y-136793866D01* -X98481600Y-136808160D01* -X98488642Y-136821334D01* -X98498118Y-136832882D01* -X98509666Y-136842358D01* -X98522840Y-136849400D01* -X98537134Y-136853736D01* -X98552000Y-136855200D01* -X98933000Y-136855200D01* -X98947866Y-136853736D01* -X98962160Y-136849400D01* -X98975334Y-136842358D01* -X98986882Y-136832882D01* -X98996358Y-136821334D01* -X99003400Y-136808160D01* -X99007736Y-136793866D01* -X99009200Y-136779000D01* -X99009200Y-136398000D01* -X99110800Y-136398000D01* -X99110800Y-136779000D01* -X99112264Y-136793866D01* -X99116600Y-136808160D01* -X99123642Y-136821334D01* -X99133118Y-136832882D01* -X99144666Y-136842358D01* -X99157840Y-136849400D01* -X99172134Y-136853736D01* -X99187000Y-136855200D01* -X99568000Y-136855200D01* -X99582866Y-136853736D01* -X99597160Y-136849400D01* -X99610334Y-136842358D01* -X99621882Y-136832882D01* -X99631358Y-136821334D01* -X99638400Y-136808160D01* -X99642736Y-136793866D01* -X99644200Y-136779000D01* -X99644200Y-136398000D01* -X101015800Y-136398000D01* -X101015800Y-136779000D01* -X101017264Y-136793866D01* -X101021600Y-136808160D01* -X101028642Y-136821334D01* -X101038118Y-136832882D01* -X101049666Y-136842358D01* -X101062840Y-136849400D01* -X101077134Y-136853736D01* -X101092000Y-136855200D01* -X101473000Y-136855200D01* -X101487866Y-136853736D01* -X101502160Y-136849400D01* -X101515334Y-136842358D01* -X101526882Y-136832882D01* -X101536358Y-136821334D01* -X101543400Y-136808160D01* -X101547736Y-136793866D01* -X101549200Y-136779000D01* -X101549200Y-136398000D01* -X101650800Y-136398000D01* -X101650800Y-136779000D01* -X101652264Y-136793866D01* -X101656600Y-136808160D01* -X101663642Y-136821334D01* -X101673118Y-136832882D01* -X101684666Y-136842358D01* -X101697840Y-136849400D01* -X101712134Y-136853736D01* -X101727000Y-136855200D01* -X102108000Y-136855200D01* -X102122866Y-136853736D01* -X102137160Y-136849400D01* -X102150334Y-136842358D01* -X102161882Y-136832882D01* -X102171358Y-136821334D01* -X102178400Y-136808160D01* -X102182736Y-136793866D01* -X102184200Y-136779000D01* -X102184200Y-136398000D01* -X103555800Y-136398000D01* -X103555800Y-136779000D01* -X103557264Y-136793866D01* -X103561600Y-136808160D01* -X103568642Y-136821334D01* -X103578118Y-136832882D01* -X103589666Y-136842358D01* -X103602840Y-136849400D01* -X103617134Y-136853736D01* -X103632000Y-136855200D01* -X104013000Y-136855200D01* -X104027866Y-136853736D01* -X104042160Y-136849400D01* -X104055334Y-136842358D01* -X104066882Y-136832882D01* -X104076358Y-136821334D01* -X104083400Y-136808160D01* -X104087736Y-136793866D01* -X104089200Y-136779000D01* -X104089200Y-136398000D01* -X104190800Y-136398000D01* -X104190800Y-136779000D01* -X104192264Y-136793866D01* -X104196600Y-136808160D01* -X104203642Y-136821334D01* -X104213118Y-136832882D01* -X104224666Y-136842358D01* -X104237840Y-136849400D01* -X104252134Y-136853736D01* -X104267000Y-136855200D01* -X104648000Y-136855200D01* -X104662866Y-136853736D01* -X104677160Y-136849400D01* -X104690334Y-136842358D01* -X104701882Y-136832882D01* -X104711358Y-136821334D01* -X104718400Y-136808160D01* -X104722736Y-136793866D01* -X104724200Y-136779000D01* -X104724200Y-136398000D01* -X106095800Y-136398000D01* -X106095800Y-136779000D01* -X106097264Y-136793866D01* -X106101600Y-136808160D01* -X106108642Y-136821334D01* -X106118118Y-136832882D01* -X106129666Y-136842358D01* -X106142840Y-136849400D01* -X106157134Y-136853736D01* -X106172000Y-136855200D01* -X106553000Y-136855200D01* -X106567866Y-136853736D01* -X106582160Y-136849400D01* -X106595334Y-136842358D01* -X106606882Y-136832882D01* -X106616358Y-136821334D01* -X106623400Y-136808160D01* -X106627736Y-136793866D01* -X106629200Y-136779000D01* -X106629200Y-136398000D01* -X106730800Y-136398000D01* -X106730800Y-136779000D01* -X106732264Y-136793866D01* -X106736600Y-136808160D01* -X106743642Y-136821334D01* -X106753118Y-136832882D01* -X106764666Y-136842358D01* -X106777840Y-136849400D01* -X106792134Y-136853736D01* -X106807000Y-136855200D01* -X107188000Y-136855200D01* -X107202866Y-136853736D01* -X107217160Y-136849400D01* -X107230334Y-136842358D01* -X107241882Y-136832882D01* -X107251358Y-136821334D01* -X107258400Y-136808160D01* -X107262736Y-136793866D01* -X107264200Y-136779000D01* -X107264200Y-136398000D01* -X108635800Y-136398000D01* -X108635800Y-136779000D01* -X108637264Y-136793866D01* -X108641600Y-136808160D01* -X108648642Y-136821334D01* -X108658118Y-136832882D01* -X108669666Y-136842358D01* -X108682840Y-136849400D01* -X108697134Y-136853736D01* -X108712000Y-136855200D01* -X109093000Y-136855200D01* -X109107866Y-136853736D01* -X109122160Y-136849400D01* -X109135334Y-136842358D01* -X109146882Y-136832882D01* -X109156358Y-136821334D01* -X109163400Y-136808160D01* -X109167736Y-136793866D01* -X109169200Y-136779000D01* -X109169200Y-136398000D01* -X109270800Y-136398000D01* -X109270800Y-136779000D01* -X109272264Y-136793866D01* -X109276600Y-136808160D01* -X109283642Y-136821334D01* -X109293118Y-136832882D01* -X109304666Y-136842358D01* -X109317840Y-136849400D01* -X109332134Y-136853736D01* -X109347000Y-136855200D01* -X109728000Y-136855200D01* -X109742866Y-136853736D01* -X109757160Y-136849400D01* -X109770334Y-136842358D01* -X109781882Y-136832882D01* -X109791358Y-136821334D01* -X109798400Y-136808160D01* -X109802736Y-136793866D01* -X109804200Y-136779000D01* -X109804200Y-136398000D01* -X111175800Y-136398000D01* -X111175800Y-136779000D01* -X111177264Y-136793866D01* -X111181600Y-136808160D01* -X111188642Y-136821334D01* -X111198118Y-136832882D01* -X111209666Y-136842358D01* -X111222840Y-136849400D01* -X111237134Y-136853736D01* -X111252000Y-136855200D01* -X111633000Y-136855200D01* -X111647866Y-136853736D01* -X111662160Y-136849400D01* -X111675334Y-136842358D01* -X111686882Y-136832882D01* -X111696358Y-136821334D01* -X111703400Y-136808160D01* -X111707736Y-136793866D01* -X111709200Y-136779000D01* -X111709200Y-136398000D01* -X111810800Y-136398000D01* -X111810800Y-136779000D01* -X111812264Y-136793866D01* -X111816600Y-136808160D01* -X111823642Y-136821334D01* -X111833118Y-136832882D01* -X111844666Y-136842358D01* -X111857840Y-136849400D01* -X111872134Y-136853736D01* -X111887000Y-136855200D01* -X112268000Y-136855200D01* -X112282866Y-136853736D01* -X112297160Y-136849400D01* -X112310334Y-136842358D01* -X112321882Y-136832882D01* -X112331358Y-136821334D01* -X112338400Y-136808160D01* -X112342736Y-136793866D01* -X112344200Y-136779000D01* -X112344200Y-136398000D01* -X113715800Y-136398000D01* -X113715800Y-136779000D01* -X113717264Y-136793866D01* -X113721600Y-136808160D01* -X113728642Y-136821334D01* -X113738118Y-136832882D01* -X113749666Y-136842358D01* -X113762840Y-136849400D01* -X113777134Y-136853736D01* -X113792000Y-136855200D01* -X114173000Y-136855200D01* -X114187866Y-136853736D01* -X114202160Y-136849400D01* -X114215334Y-136842358D01* -X114226882Y-136832882D01* -X114236358Y-136821334D01* -X114243400Y-136808160D01* -X114247736Y-136793866D01* -X114249200Y-136779000D01* -X114249200Y-136398000D01* -X114350800Y-136398000D01* -X114350800Y-136779000D01* -X114352264Y-136793866D01* -X114356600Y-136808160D01* -X114363642Y-136821334D01* -X114373118Y-136832882D01* -X114384666Y-136842358D01* -X114397840Y-136849400D01* -X114412134Y-136853736D01* -X114427000Y-136855200D01* -X114808000Y-136855200D01* -X114822866Y-136853736D01* -X114837160Y-136849400D01* -X114850334Y-136842358D01* -X114861882Y-136832882D01* -X114871358Y-136821334D01* -X114878400Y-136808160D01* -X114882736Y-136793866D01* -X114884200Y-136779000D01* -X114884200Y-136398000D01* -X116255800Y-136398000D01* -X116255800Y-136779000D01* -X116257264Y-136793866D01* -X116261600Y-136808160D01* -X116268642Y-136821334D01* -X116278118Y-136832882D01* -X116289666Y-136842358D01* -X116302840Y-136849400D01* -X116317134Y-136853736D01* -X116332000Y-136855200D01* -X116713000Y-136855200D01* -X116727866Y-136853736D01* -X116742160Y-136849400D01* -X116755334Y-136842358D01* -X116766882Y-136832882D01* -X116776358Y-136821334D01* -X116783400Y-136808160D01* -X116787736Y-136793866D01* -X116789200Y-136779000D01* -X116789200Y-136398000D01* -X116890800Y-136398000D01* -X116890800Y-136779000D01* -X116892264Y-136793866D01* -X116896600Y-136808160D01* -X116903642Y-136821334D01* -X116913118Y-136832882D01* -X116924666Y-136842358D01* -X116937840Y-136849400D01* -X116952134Y-136853736D01* -X116967000Y-136855200D01* -X117348000Y-136855200D01* -X117362866Y-136853736D01* -X117377160Y-136849400D01* -X117390334Y-136842358D01* -X117401882Y-136832882D01* -X117411358Y-136821334D01* -X117418400Y-136808160D01* -X117422736Y-136793866D01* -X117424200Y-136779000D01* -X117424200Y-136398000D01* -X118795800Y-136398000D01* -X118795800Y-136779000D01* -X118797264Y-136793866D01* -X118801600Y-136808160D01* -X118808642Y-136821334D01* -X118818118Y-136832882D01* -X118829666Y-136842358D01* -X118842840Y-136849400D01* -X118857134Y-136853736D01* -X118872000Y-136855200D01* -X119253000Y-136855200D01* -X119267866Y-136853736D01* -X119282160Y-136849400D01* -X119295334Y-136842358D01* -X119306882Y-136832882D01* -X119316358Y-136821334D01* -X119323400Y-136808160D01* -X119327736Y-136793866D01* -X119329200Y-136779000D01* -X119329200Y-136398000D01* -X119430800Y-136398000D01* -X119430800Y-136779000D01* -X119432264Y-136793866D01* -X119436600Y-136808160D01* -X119443642Y-136821334D01* -X119453118Y-136832882D01* -X119464666Y-136842358D01* -X119477840Y-136849400D01* -X119492134Y-136853736D01* -X119507000Y-136855200D01* -X119888000Y-136855200D01* -X119902866Y-136853736D01* -X119917160Y-136849400D01* -X119930334Y-136842358D01* -X119941882Y-136832882D01* -X119951358Y-136821334D01* -X119958400Y-136808160D01* -X119962736Y-136793866D01* -X119964200Y-136779000D01* -X119964200Y-136398000D01* -X121335800Y-136398000D01* -X121335800Y-136779000D01* -X121337264Y-136793866D01* -X121341600Y-136808160D01* -X121348642Y-136821334D01* -X121358118Y-136832882D01* -X121369666Y-136842358D01* -X121382840Y-136849400D01* -X121397134Y-136853736D01* -X121412000Y-136855200D01* -X121793000Y-136855200D01* -X121807866Y-136853736D01* -X121822160Y-136849400D01* -X121835334Y-136842358D01* -X121846882Y-136832882D01* -X121856358Y-136821334D01* -X121863400Y-136808160D01* -X121867736Y-136793866D01* -X121869200Y-136779000D01* -X121869200Y-136398000D01* -X121970800Y-136398000D01* -X121970800Y-136779000D01* -X121972264Y-136793866D01* -X121976600Y-136808160D01* -X121983642Y-136821334D01* -X121993118Y-136832882D01* -X122004666Y-136842358D01* -X122017840Y-136849400D01* -X122032134Y-136853736D01* -X122047000Y-136855200D01* -X122428000Y-136855200D01* -X122442866Y-136853736D01* -X122457160Y-136849400D01* -X122470334Y-136842358D01* -X122481882Y-136832882D01* -X122491358Y-136821334D01* -X122498400Y-136808160D01* -X122502736Y-136793866D01* -X122504200Y-136779000D01* -X122504200Y-136398000D01* -X123875800Y-136398000D01* -X123875800Y-136779000D01* -X123877264Y-136793866D01* -X123881600Y-136808160D01* -X123888642Y-136821334D01* -X123898118Y-136832882D01* -X123909666Y-136842358D01* -X123922840Y-136849400D01* -X123937134Y-136853736D01* -X123952000Y-136855200D01* -X124333000Y-136855200D01* -X124347866Y-136853736D01* -X124362160Y-136849400D01* -X124375334Y-136842358D01* -X124386882Y-136832882D01* -X124396358Y-136821334D01* -X124403400Y-136808160D01* -X124407736Y-136793866D01* -X124409200Y-136779000D01* -X124409200Y-136398000D01* -X124510800Y-136398000D01* -X124510800Y-136779000D01* -X124512264Y-136793866D01* -X124516600Y-136808160D01* -X124523642Y-136821334D01* -X124533118Y-136832882D01* -X124544666Y-136842358D01* -X124557840Y-136849400D01* -X124572134Y-136853736D01* -X124587000Y-136855200D01* -X124968000Y-136855200D01* -X124982866Y-136853736D01* -X124997160Y-136849400D01* -X125010334Y-136842358D01* -X125021882Y-136832882D01* -X125031358Y-136821334D01* -X125038400Y-136808160D01* -X125042736Y-136793866D01* -X125044200Y-136779000D01* -X125044200Y-136398000D01* -X126415800Y-136398000D01* -X126415800Y-136779000D01* -X126417264Y-136793866D01* -X126421600Y-136808160D01* -X126428642Y-136821334D01* -X126438118Y-136832882D01* -X126449666Y-136842358D01* -X126462840Y-136849400D01* -X126477134Y-136853736D01* -X126492000Y-136855200D01* -X126873000Y-136855200D01* -X126887866Y-136853736D01* -X126902160Y-136849400D01* -X126915334Y-136842358D01* -X126926882Y-136832882D01* -X126936358Y-136821334D01* -X126943400Y-136808160D01* -X126947736Y-136793866D01* -X126949200Y-136779000D01* -X126949200Y-136398000D01* -X127050800Y-136398000D01* -X127050800Y-136779000D01* -X127052264Y-136793866D01* -X127056600Y-136808160D01* -X127063642Y-136821334D01* -X127073118Y-136832882D01* -X127084666Y-136842358D01* -X127097840Y-136849400D01* -X127112134Y-136853736D01* -X127127000Y-136855200D01* -X127508000Y-136855200D01* -X127522866Y-136853736D01* -X127537160Y-136849400D01* -X127550334Y-136842358D01* -X127561882Y-136832882D01* -X127571358Y-136821334D01* -X127578400Y-136808160D01* -X127582736Y-136793866D01* -X127584200Y-136779000D01* -X127584200Y-136398000D01* -X128955800Y-136398000D01* -X128955800Y-136779000D01* -X128957264Y-136793866D01* -X128961600Y-136808160D01* -X128968642Y-136821334D01* -X128978118Y-136832882D01* -X128989666Y-136842358D01* -X129002840Y-136849400D01* -X129017134Y-136853736D01* -X129032000Y-136855200D01* -X129413000Y-136855200D01* -X129427866Y-136853736D01* -X129442160Y-136849400D01* -X129455334Y-136842358D01* -X129466882Y-136832882D01* -X129476358Y-136821334D01* -X129483400Y-136808160D01* -X129487736Y-136793866D01* -X129489200Y-136779000D01* -X129489200Y-136398000D01* -X129590800Y-136398000D01* -X129590800Y-136779000D01* -X129592264Y-136793866D01* -X129596600Y-136808160D01* -X129603642Y-136821334D01* -X129613118Y-136832882D01* -X129624666Y-136842358D01* -X129637840Y-136849400D01* -X129652134Y-136853736D01* -X129667000Y-136855200D01* -X130048000Y-136855200D01* -X130062866Y-136853736D01* -X130077160Y-136849400D01* -X130090334Y-136842358D01* -X130101882Y-136832882D01* -X130111358Y-136821334D01* -X130118400Y-136808160D01* -X130122736Y-136793866D01* -X130124200Y-136779000D01* -X130124200Y-136398000D01* -X131495800Y-136398000D01* -X131495800Y-136779000D01* -X131497264Y-136793866D01* -X131501600Y-136808160D01* -X131508642Y-136821334D01* -X131518118Y-136832882D01* -X131529666Y-136842358D01* -X131542840Y-136849400D01* -X131557134Y-136853736D01* -X131572000Y-136855200D01* -X131953000Y-136855200D01* -X131967866Y-136853736D01* -X131982160Y-136849400D01* -X131995334Y-136842358D01* -X132006882Y-136832882D01* -X132016358Y-136821334D01* -X132023400Y-136808160D01* -X132027736Y-136793866D01* -X132029200Y-136779000D01* -X132029200Y-136398000D01* -X132130800Y-136398000D01* -X132130800Y-136779000D01* -X132132264Y-136793866D01* -X132136600Y-136808160D01* -X132143642Y-136821334D01* -X132153118Y-136832882D01* -X132164666Y-136842358D01* -X132177840Y-136849400D01* -X132192134Y-136853736D01* -X132207000Y-136855200D01* -X132588000Y-136855200D01* -X132602866Y-136853736D01* -X132617160Y-136849400D01* -X132630334Y-136842358D01* -X132641882Y-136832882D01* -X132651358Y-136821334D01* -X132658400Y-136808160D01* -X132662736Y-136793866D01* -X132664200Y-136779000D01* -X132664200Y-136398000D01* -X134035800Y-136398000D01* -X134035800Y-136779000D01* -X134037264Y-136793866D01* -X134041600Y-136808160D01* -X134048642Y-136821334D01* -X134058118Y-136832882D01* -X134069666Y-136842358D01* -X134082840Y-136849400D01* -X134097134Y-136853736D01* -X134112000Y-136855200D01* -X134493000Y-136855200D01* -X134507866Y-136853736D01* -X134522160Y-136849400D01* -X134535334Y-136842358D01* -X134546882Y-136832882D01* -X134556358Y-136821334D01* -X134563400Y-136808160D01* -X134567736Y-136793866D01* -X134569200Y-136779000D01* -X134569200Y-136398000D01* -X134670800Y-136398000D01* -X134670800Y-136779000D01* -X134672264Y-136793866D01* -X134676600Y-136808160D01* -X134683642Y-136821334D01* -X134693118Y-136832882D01* -X134704666Y-136842358D01* -X134717840Y-136849400D01* -X134732134Y-136853736D01* -X134747000Y-136855200D01* -X135128000Y-136855200D01* -X135142866Y-136853736D01* -X135157160Y-136849400D01* -X135170334Y-136842358D01* -X135181882Y-136832882D01* -X135191358Y-136821334D01* -X135198400Y-136808160D01* -X135202736Y-136793866D01* -X135204200Y-136779000D01* -X135204200Y-136398000D01* -X135202736Y-136383134D01* -X135198400Y-136368840D01* -X135191358Y-136355666D01* -X135181882Y-136344118D01* -X135170334Y-136334642D01* -X135157160Y-136327600D01* -X135142866Y-136323264D01* -X135128000Y-136321800D01* -X134747000Y-136321800D01* -X134732134Y-136323264D01* -X134717840Y-136327600D01* -X134704666Y-136334642D01* -X134693118Y-136344118D01* -X134683642Y-136355666D01* -X134676600Y-136368840D01* -X134672264Y-136383134D01* -X134670800Y-136398000D01* -X134569200Y-136398000D01* -X134567736Y-136383134D01* -X134563400Y-136368840D01* -X134556358Y-136355666D01* -X134546882Y-136344118D01* -X134535334Y-136334642D01* -X134522160Y-136327600D01* -X134507866Y-136323264D01* -X134493000Y-136321800D01* -X134112000Y-136321800D01* -X134097134Y-136323264D01* -X134082840Y-136327600D01* -X134069666Y-136334642D01* -X134058118Y-136344118D01* -X134048642Y-136355666D01* -X134041600Y-136368840D01* -X134037264Y-136383134D01* -X134035800Y-136398000D01* -X132664200Y-136398000D01* -X132662736Y-136383134D01* -X132658400Y-136368840D01* -X132651358Y-136355666D01* -X132641882Y-136344118D01* -X132630334Y-136334642D01* -X132617160Y-136327600D01* -X132602866Y-136323264D01* -X132588000Y-136321800D01* -X132207000Y-136321800D01* -X132192134Y-136323264D01* -X132177840Y-136327600D01* -X132164666Y-136334642D01* -X132153118Y-136344118D01* -X132143642Y-136355666D01* -X132136600Y-136368840D01* -X132132264Y-136383134D01* -X132130800Y-136398000D01* -X132029200Y-136398000D01* -X132027736Y-136383134D01* -X132023400Y-136368840D01* -X132016358Y-136355666D01* -X132006882Y-136344118D01* -X131995334Y-136334642D01* -X131982160Y-136327600D01* -X131967866Y-136323264D01* -X131953000Y-136321800D01* -X131572000Y-136321800D01* -X131557134Y-136323264D01* -X131542840Y-136327600D01* -X131529666Y-136334642D01* -X131518118Y-136344118D01* -X131508642Y-136355666D01* -X131501600Y-136368840D01* -X131497264Y-136383134D01* -X131495800Y-136398000D01* -X130124200Y-136398000D01* -X130122736Y-136383134D01* -X130118400Y-136368840D01* -X130111358Y-136355666D01* -X130101882Y-136344118D01* -X130090334Y-136334642D01* -X130077160Y-136327600D01* -X130062866Y-136323264D01* -X130048000Y-136321800D01* -X129667000Y-136321800D01* -X129652134Y-136323264D01* -X129637840Y-136327600D01* -X129624666Y-136334642D01* -X129613118Y-136344118D01* -X129603642Y-136355666D01* -X129596600Y-136368840D01* -X129592264Y-136383134D01* -X129590800Y-136398000D01* -X129489200Y-136398000D01* -X129487736Y-136383134D01* -X129483400Y-136368840D01* -X129476358Y-136355666D01* -X129466882Y-136344118D01* -X129455334Y-136334642D01* -X129442160Y-136327600D01* -X129427866Y-136323264D01* -X129413000Y-136321800D01* -X129032000Y-136321800D01* -X129017134Y-136323264D01* -X129002840Y-136327600D01* -X128989666Y-136334642D01* -X128978118Y-136344118D01* -X128968642Y-136355666D01* -X128961600Y-136368840D01* -X128957264Y-136383134D01* -X128955800Y-136398000D01* -X127584200Y-136398000D01* -X127582736Y-136383134D01* -X127578400Y-136368840D01* -X127571358Y-136355666D01* -X127561882Y-136344118D01* -X127550334Y-136334642D01* -X127537160Y-136327600D01* -X127522866Y-136323264D01* -X127508000Y-136321800D01* -X127127000Y-136321800D01* -X127112134Y-136323264D01* -X127097840Y-136327600D01* -X127084666Y-136334642D01* -X127073118Y-136344118D01* -X127063642Y-136355666D01* -X127056600Y-136368840D01* -X127052264Y-136383134D01* -X127050800Y-136398000D01* -X126949200Y-136398000D01* -X126947736Y-136383134D01* -X126943400Y-136368840D01* -X126936358Y-136355666D01* -X126926882Y-136344118D01* -X126915334Y-136334642D01* -X126902160Y-136327600D01* -X126887866Y-136323264D01* -X126873000Y-136321800D01* -X126492000Y-136321800D01* -X126477134Y-136323264D01* -X126462840Y-136327600D01* -X126449666Y-136334642D01* -X126438118Y-136344118D01* -X126428642Y-136355666D01* -X126421600Y-136368840D01* -X126417264Y-136383134D01* -X126415800Y-136398000D01* -X125044200Y-136398000D01* -X125042736Y-136383134D01* -X125038400Y-136368840D01* -X125031358Y-136355666D01* -X125021882Y-136344118D01* -X125010334Y-136334642D01* -X124997160Y-136327600D01* -X124982866Y-136323264D01* -X124968000Y-136321800D01* -X124587000Y-136321800D01* -X124572134Y-136323264D01* -X124557840Y-136327600D01* -X124544666Y-136334642D01* -X124533118Y-136344118D01* -X124523642Y-136355666D01* -X124516600Y-136368840D01* -X124512264Y-136383134D01* -X124510800Y-136398000D01* -X124409200Y-136398000D01* -X124407736Y-136383134D01* -X124403400Y-136368840D01* -X124396358Y-136355666D01* -X124386882Y-136344118D01* -X124375334Y-136334642D01* -X124362160Y-136327600D01* -X124347866Y-136323264D01* -X124333000Y-136321800D01* -X123952000Y-136321800D01* -X123937134Y-136323264D01* -X123922840Y-136327600D01* -X123909666Y-136334642D01* -X123898118Y-136344118D01* -X123888642Y-136355666D01* -X123881600Y-136368840D01* -X123877264Y-136383134D01* -X123875800Y-136398000D01* -X122504200Y-136398000D01* -X122502736Y-136383134D01* -X122498400Y-136368840D01* -X122491358Y-136355666D01* -X122481882Y-136344118D01* -X122470334Y-136334642D01* -X122457160Y-136327600D01* -X122442866Y-136323264D01* -X122428000Y-136321800D01* -X122047000Y-136321800D01* -X122032134Y-136323264D01* -X122017840Y-136327600D01* -X122004666Y-136334642D01* -X121993118Y-136344118D01* -X121983642Y-136355666D01* -X121976600Y-136368840D01* -X121972264Y-136383134D01* -X121970800Y-136398000D01* -X121869200Y-136398000D01* -X121867736Y-136383134D01* -X121863400Y-136368840D01* -X121856358Y-136355666D01* -X121846882Y-136344118D01* -X121835334Y-136334642D01* -X121822160Y-136327600D01* -X121807866Y-136323264D01* -X121793000Y-136321800D01* -X121412000Y-136321800D01* -X121397134Y-136323264D01* -X121382840Y-136327600D01* -X121369666Y-136334642D01* -X121358118Y-136344118D01* -X121348642Y-136355666D01* -X121341600Y-136368840D01* -X121337264Y-136383134D01* -X121335800Y-136398000D01* -X119964200Y-136398000D01* -X119962736Y-136383134D01* -X119958400Y-136368840D01* -X119951358Y-136355666D01* -X119941882Y-136344118D01* -X119930334Y-136334642D01* -X119917160Y-136327600D01* -X119902866Y-136323264D01* -X119888000Y-136321800D01* -X119507000Y-136321800D01* -X119492134Y-136323264D01* -X119477840Y-136327600D01* -X119464666Y-136334642D01* -X119453118Y-136344118D01* -X119443642Y-136355666D01* -X119436600Y-136368840D01* -X119432264Y-136383134D01* -X119430800Y-136398000D01* -X119329200Y-136398000D01* -X119327736Y-136383134D01* -X119323400Y-136368840D01* -X119316358Y-136355666D01* -X119306882Y-136344118D01* -X119295334Y-136334642D01* -X119282160Y-136327600D01* -X119267866Y-136323264D01* -X119253000Y-136321800D01* -X118872000Y-136321800D01* -X118857134Y-136323264D01* -X118842840Y-136327600D01* -X118829666Y-136334642D01* -X118818118Y-136344118D01* -X118808642Y-136355666D01* -X118801600Y-136368840D01* -X118797264Y-136383134D01* -X118795800Y-136398000D01* -X117424200Y-136398000D01* -X117422736Y-136383134D01* -X117418400Y-136368840D01* -X117411358Y-136355666D01* -X117401882Y-136344118D01* -X117390334Y-136334642D01* -X117377160Y-136327600D01* -X117362866Y-136323264D01* -X117348000Y-136321800D01* -X116967000Y-136321800D01* -X116952134Y-136323264D01* -X116937840Y-136327600D01* -X116924666Y-136334642D01* -X116913118Y-136344118D01* -X116903642Y-136355666D01* -X116896600Y-136368840D01* -X116892264Y-136383134D01* -X116890800Y-136398000D01* -X116789200Y-136398000D01* -X116787736Y-136383134D01* -X116783400Y-136368840D01* -X116776358Y-136355666D01* -X116766882Y-136344118D01* -X116755334Y-136334642D01* -X116742160Y-136327600D01* -X116727866Y-136323264D01* -X116713000Y-136321800D01* -X116332000Y-136321800D01* -X116317134Y-136323264D01* -X116302840Y-136327600D01* -X116289666Y-136334642D01* -X116278118Y-136344118D01* -X116268642Y-136355666D01* -X116261600Y-136368840D01* -X116257264Y-136383134D01* -X116255800Y-136398000D01* -X114884200Y-136398000D01* -X114882736Y-136383134D01* -X114878400Y-136368840D01* -X114871358Y-136355666D01* -X114861882Y-136344118D01* -X114850334Y-136334642D01* -X114837160Y-136327600D01* -X114822866Y-136323264D01* -X114808000Y-136321800D01* -X114427000Y-136321800D01* -X114412134Y-136323264D01* -X114397840Y-136327600D01* -X114384666Y-136334642D01* -X114373118Y-136344118D01* -X114363642Y-136355666D01* -X114356600Y-136368840D01* -X114352264Y-136383134D01* -X114350800Y-136398000D01* -X114249200Y-136398000D01* -X114247736Y-136383134D01* -X114243400Y-136368840D01* -X114236358Y-136355666D01* -X114226882Y-136344118D01* -X114215334Y-136334642D01* -X114202160Y-136327600D01* -X114187866Y-136323264D01* -X114173000Y-136321800D01* -X113792000Y-136321800D01* -X113777134Y-136323264D01* -X113762840Y-136327600D01* -X113749666Y-136334642D01* -X113738118Y-136344118D01* -X113728642Y-136355666D01* -X113721600Y-136368840D01* -X113717264Y-136383134D01* -X113715800Y-136398000D01* -X112344200Y-136398000D01* -X112342736Y-136383134D01* -X112338400Y-136368840D01* -X112331358Y-136355666D01* -X112321882Y-136344118D01* -X112310334Y-136334642D01* -X112297160Y-136327600D01* -X112282866Y-136323264D01* -X112268000Y-136321800D01* -X111887000Y-136321800D01* -X111872134Y-136323264D01* -X111857840Y-136327600D01* -X111844666Y-136334642D01* -X111833118Y-136344118D01* -X111823642Y-136355666D01* -X111816600Y-136368840D01* -X111812264Y-136383134D01* -X111810800Y-136398000D01* -X111709200Y-136398000D01* -X111707736Y-136383134D01* -X111703400Y-136368840D01* -X111696358Y-136355666D01* -X111686882Y-136344118D01* -X111675334Y-136334642D01* -X111662160Y-136327600D01* -X111647866Y-136323264D01* -X111633000Y-136321800D01* -X111252000Y-136321800D01* -X111237134Y-136323264D01* -X111222840Y-136327600D01* -X111209666Y-136334642D01* -X111198118Y-136344118D01* -X111188642Y-136355666D01* -X111181600Y-136368840D01* -X111177264Y-136383134D01* -X111175800Y-136398000D01* -X109804200Y-136398000D01* -X109802736Y-136383134D01* -X109798400Y-136368840D01* -X109791358Y-136355666D01* -X109781882Y-136344118D01* -X109770334Y-136334642D01* -X109757160Y-136327600D01* -X109742866Y-136323264D01* -X109728000Y-136321800D01* -X109347000Y-136321800D01* -X109332134Y-136323264D01* -X109317840Y-136327600D01* -X109304666Y-136334642D01* -X109293118Y-136344118D01* -X109283642Y-136355666D01* -X109276600Y-136368840D01* -X109272264Y-136383134D01* -X109270800Y-136398000D01* -X109169200Y-136398000D01* -X109167736Y-136383134D01* -X109163400Y-136368840D01* -X109156358Y-136355666D01* -X109146882Y-136344118D01* -X109135334Y-136334642D01* -X109122160Y-136327600D01* -X109107866Y-136323264D01* -X109093000Y-136321800D01* -X108712000Y-136321800D01* -X108697134Y-136323264D01* -X108682840Y-136327600D01* -X108669666Y-136334642D01* -X108658118Y-136344118D01* -X108648642Y-136355666D01* -X108641600Y-136368840D01* -X108637264Y-136383134D01* -X108635800Y-136398000D01* -X107264200Y-136398000D01* -X107262736Y-136383134D01* -X107258400Y-136368840D01* -X107251358Y-136355666D01* -X107241882Y-136344118D01* -X107230334Y-136334642D01* -X107217160Y-136327600D01* -X107202866Y-136323264D01* -X107188000Y-136321800D01* -X106807000Y-136321800D01* -X106792134Y-136323264D01* -X106777840Y-136327600D01* -X106764666Y-136334642D01* -X106753118Y-136344118D01* -X106743642Y-136355666D01* -X106736600Y-136368840D01* -X106732264Y-136383134D01* -X106730800Y-136398000D01* -X106629200Y-136398000D01* -X106627736Y-136383134D01* -X106623400Y-136368840D01* -X106616358Y-136355666D01* -X106606882Y-136344118D01* -X106595334Y-136334642D01* -X106582160Y-136327600D01* -X106567866Y-136323264D01* -X106553000Y-136321800D01* -X106172000Y-136321800D01* -X106157134Y-136323264D01* -X106142840Y-136327600D01* -X106129666Y-136334642D01* -X106118118Y-136344118D01* -X106108642Y-136355666D01* -X106101600Y-136368840D01* -X106097264Y-136383134D01* -X106095800Y-136398000D01* -X104724200Y-136398000D01* -X104722736Y-136383134D01* -X104718400Y-136368840D01* -X104711358Y-136355666D01* -X104701882Y-136344118D01* -X104690334Y-136334642D01* -X104677160Y-136327600D01* -X104662866Y-136323264D01* -X104648000Y-136321800D01* -X104267000Y-136321800D01* -X104252134Y-136323264D01* -X104237840Y-136327600D01* -X104224666Y-136334642D01* -X104213118Y-136344118D01* -X104203642Y-136355666D01* -X104196600Y-136368840D01* -X104192264Y-136383134D01* -X104190800Y-136398000D01* -X104089200Y-136398000D01* -X104087736Y-136383134D01* -X104083400Y-136368840D01* -X104076358Y-136355666D01* -X104066882Y-136344118D01* -X104055334Y-136334642D01* -X104042160Y-136327600D01* -X104027866Y-136323264D01* -X104013000Y-136321800D01* -X103632000Y-136321800D01* -X103617134Y-136323264D01* -X103602840Y-136327600D01* -X103589666Y-136334642D01* -X103578118Y-136344118D01* -X103568642Y-136355666D01* -X103561600Y-136368840D01* -X103557264Y-136383134D01* -X103555800Y-136398000D01* -X102184200Y-136398000D01* -X102182736Y-136383134D01* -X102178400Y-136368840D01* -X102171358Y-136355666D01* -X102161882Y-136344118D01* -X102150334Y-136334642D01* -X102137160Y-136327600D01* -X102122866Y-136323264D01* -X102108000Y-136321800D01* -X101727000Y-136321800D01* -X101712134Y-136323264D01* -X101697840Y-136327600D01* -X101684666Y-136334642D01* -X101673118Y-136344118D01* -X101663642Y-136355666D01* -X101656600Y-136368840D01* -X101652264Y-136383134D01* -X101650800Y-136398000D01* -X101549200Y-136398000D01* -X101547736Y-136383134D01* -X101543400Y-136368840D01* -X101536358Y-136355666D01* -X101526882Y-136344118D01* -X101515334Y-136334642D01* -X101502160Y-136327600D01* -X101487866Y-136323264D01* -X101473000Y-136321800D01* -X101092000Y-136321800D01* -X101077134Y-136323264D01* -X101062840Y-136327600D01* -X101049666Y-136334642D01* -X101038118Y-136344118D01* -X101028642Y-136355666D01* -X101021600Y-136368840D01* -X101017264Y-136383134D01* -X101015800Y-136398000D01* -X99644200Y-136398000D01* -X99642736Y-136383134D01* -X99638400Y-136368840D01* -X99631358Y-136355666D01* -X99621882Y-136344118D01* -X99610334Y-136334642D01* -X99597160Y-136327600D01* -X99582866Y-136323264D01* -X99568000Y-136321800D01* -X99187000Y-136321800D01* -X99172134Y-136323264D01* -X99157840Y-136327600D01* -X99144666Y-136334642D01* -X99133118Y-136344118D01* -X99123642Y-136355666D01* -X99116600Y-136368840D01* -X99112264Y-136383134D01* -X99110800Y-136398000D01* -X99009200Y-136398000D01* -X99007736Y-136383134D01* -X99003400Y-136368840D01* -X98996358Y-136355666D01* -X98986882Y-136344118D01* -X98975334Y-136334642D01* -X98962160Y-136327600D01* -X98947866Y-136323264D01* -X98933000Y-136321800D01* -X98552000Y-136321800D01* -X98537134Y-136323264D01* -X98522840Y-136327600D01* -X98509666Y-136334642D01* -X98498118Y-136344118D01* -X98488642Y-136355666D01* -X98481600Y-136368840D01* -X98477264Y-136383134D01* -X98475800Y-136398000D01* -X97104200Y-136398000D01* -X97102736Y-136383134D01* -X97098400Y-136368840D01* -X97091358Y-136355666D01* -X97081882Y-136344118D01* -X97070334Y-136334642D01* -X97057160Y-136327600D01* -X97042866Y-136323264D01* -X97028000Y-136321800D01* -X96647000Y-136321800D01* -X96632134Y-136323264D01* -X96617840Y-136327600D01* -X96604666Y-136334642D01* -X96593118Y-136344118D01* -X96583642Y-136355666D01* -X96576600Y-136368840D01* -X96572264Y-136383134D01* -X96570800Y-136398000D01* -X96469200Y-136398000D01* -X96467736Y-136383134D01* -X96463400Y-136368840D01* -X96456358Y-136355666D01* -X96446882Y-136344118D01* -X96435334Y-136334642D01* -X96422160Y-136327600D01* -X96407866Y-136323264D01* -X96393000Y-136321800D01* -X96012000Y-136321800D01* -X95997134Y-136323264D01* -X95982840Y-136327600D01* -X95969666Y-136334642D01* -X95958118Y-136344118D01* -X95948642Y-136355666D01* -X95941600Y-136368840D01* -X95937264Y-136383134D01* -X95935800Y-136398000D01* -X94564200Y-136398000D01* -X94562736Y-136383134D01* -X94558400Y-136368840D01* -X94551358Y-136355666D01* -X94541882Y-136344118D01* -X94530334Y-136334642D01* -X94517160Y-136327600D01* -X94502866Y-136323264D01* -X94488000Y-136321800D01* -X94107000Y-136321800D01* -X94092134Y-136323264D01* -X94077840Y-136327600D01* -X94064666Y-136334642D01* -X94053118Y-136344118D01* -X94043642Y-136355666D01* -X94036600Y-136368840D01* -X94032264Y-136383134D01* -X94030800Y-136398000D01* -X93929200Y-136398000D01* -X93927736Y-136383134D01* -X93923400Y-136368840D01* -X93916358Y-136355666D01* -X93906882Y-136344118D01* -X93895334Y-136334642D01* -X93882160Y-136327600D01* -X93867866Y-136323264D01* -X93853000Y-136321800D01* -X93472000Y-136321800D01* -X93457134Y-136323264D01* -X93442840Y-136327600D01* -X93429666Y-136334642D01* -X93418118Y-136344118D01* -X93408642Y-136355666D01* -X93401600Y-136368840D01* -X93397264Y-136383134D01* -X93395800Y-136398000D01* -X92024200Y-136398000D01* -X92022736Y-136383134D01* -X92018400Y-136368840D01* -X92011358Y-136355666D01* -X92001882Y-136344118D01* -X91990334Y-136334642D01* -X91977160Y-136327600D01* -X91962866Y-136323264D01* -X91948000Y-136321800D01* -X91567000Y-136321800D01* -X91552134Y-136323264D01* -X91537840Y-136327600D01* -X91524666Y-136334642D01* -X91513118Y-136344118D01* -X91503642Y-136355666D01* -X91496600Y-136368840D01* -X91492264Y-136383134D01* -X91490800Y-136398000D01* -X91389200Y-136398000D01* -X91387736Y-136383134D01* -X91383400Y-136368840D01* -X91376358Y-136355666D01* -X91366882Y-136344118D01* -X91355334Y-136334642D01* -X91342160Y-136327600D01* -X91327866Y-136323264D01* -X91313000Y-136321800D01* -X90932000Y-136321800D01* -X90917134Y-136323264D01* -X90902840Y-136327600D01* -X90889666Y-136334642D01* -X90878118Y-136344118D01* -X90868642Y-136355666D01* -X90861600Y-136368840D01* -X90857264Y-136383134D01* -X90855800Y-136398000D01* -X89484200Y-136398000D01* -X89482736Y-136383134D01* -X89478400Y-136368840D01* -X89471358Y-136355666D01* -X89461882Y-136344118D01* -X89450334Y-136334642D01* -X89437160Y-136327600D01* -X89422866Y-136323264D01* -X89408000Y-136321800D01* -X89027000Y-136321800D01* -X89012134Y-136323264D01* -X88997840Y-136327600D01* -X88984666Y-136334642D01* -X88973118Y-136344118D01* -X88963642Y-136355666D01* -X88956600Y-136368840D01* -X88952264Y-136383134D01* -X88950800Y-136398000D01* -X88849200Y-136398000D01* -X88847736Y-136383134D01* -X88843400Y-136368840D01* -X88836358Y-136355666D01* -X88826882Y-136344118D01* -X88815334Y-136334642D01* -X88802160Y-136327600D01* -X88787866Y-136323264D01* -X88773000Y-136321800D01* -X88392000Y-136321800D01* -X88377134Y-136323264D01* -X88362840Y-136327600D01* -X88349666Y-136334642D01* -X88338118Y-136344118D01* -X88328642Y-136355666D01* -X88321600Y-136368840D01* -X88317264Y-136383134D01* -X88315800Y-136398000D01* -X86944200Y-136398000D01* -X86942736Y-136383134D01* -X86938400Y-136368840D01* -X86931358Y-136355666D01* -X86921882Y-136344118D01* -X86910334Y-136334642D01* -X86897160Y-136327600D01* -X86882866Y-136323264D01* -X86868000Y-136321800D01* -X86487000Y-136321800D01* -X86472134Y-136323264D01* -X86457840Y-136327600D01* -X86444666Y-136334642D01* -X86433118Y-136344118D01* -X86423642Y-136355666D01* -X86416600Y-136368840D01* -X86412264Y-136383134D01* -X86410800Y-136398000D01* -X86309200Y-136398000D01* -X86307736Y-136383134D01* -X86303400Y-136368840D01* -X86296358Y-136355666D01* -X86286882Y-136344118D01* -X86275334Y-136334642D01* -X86262160Y-136327600D01* -X86247866Y-136323264D01* -X86233000Y-136321800D01* -X85852000Y-136321800D01* -X85837134Y-136323264D01* -X85822840Y-136327600D01* -X85809666Y-136334642D01* -X85798118Y-136344118D01* -X85788642Y-136355666D01* -X85781600Y-136368840D01* -X85777264Y-136383134D01* -X85775800Y-136398000D01* -X84404200Y-136398000D01* -X84402736Y-136383134D01* -X84398400Y-136368840D01* -X84391358Y-136355666D01* -X84381882Y-136344118D01* -X84370334Y-136334642D01* -X84357160Y-136327600D01* -X84342866Y-136323264D01* -X84328000Y-136321800D01* -X83947000Y-136321800D01* -X83932134Y-136323264D01* -X83917840Y-136327600D01* -X83904666Y-136334642D01* -X83893118Y-136344118D01* -X83883642Y-136355666D01* -X83876600Y-136368840D01* -X83872264Y-136383134D01* -X83870800Y-136398000D01* -X83769200Y-136398000D01* -X83767736Y-136383134D01* -X83763400Y-136368840D01* -X83756358Y-136355666D01* -X83746882Y-136344118D01* -X83735334Y-136334642D01* -X83722160Y-136327600D01* -X83707866Y-136323264D01* -X83693000Y-136321800D01* -X83312000Y-136321800D01* -X83297134Y-136323264D01* -X83282840Y-136327600D01* -X83269666Y-136334642D01* -X83258118Y-136344118D01* -X83248642Y-136355666D01* -X83241600Y-136368840D01* -X83237264Y-136383134D01* -X83235800Y-136398000D01* -X81864200Y-136398000D01* -X81862736Y-136383134D01* -X81858400Y-136368840D01* -X81851358Y-136355666D01* -X81841882Y-136344118D01* -X81830334Y-136334642D01* -X81817160Y-136327600D01* -X81802866Y-136323264D01* -X81788000Y-136321800D01* -X81407000Y-136321800D01* -X81392134Y-136323264D01* -X81377840Y-136327600D01* -X81364666Y-136334642D01* -X81353118Y-136344118D01* -X81343642Y-136355666D01* -X81336600Y-136368840D01* -X81332264Y-136383134D01* -X81330800Y-136398000D01* -X81229200Y-136398000D01* -X81227736Y-136383134D01* -X81223400Y-136368840D01* -X81216358Y-136355666D01* -X81206882Y-136344118D01* -X81195334Y-136334642D01* -X81182160Y-136327600D01* -X81167866Y-136323264D01* -X81153000Y-136321800D01* -X80772000Y-136321800D01* -X80757134Y-136323264D01* -X80742840Y-136327600D01* -X80729666Y-136334642D01* -X80718118Y-136344118D01* -X80708642Y-136355666D01* -X80701600Y-136368840D01* -X80697264Y-136383134D01* -X80695800Y-136398000D01* -X79324200Y-136398000D01* -X79322736Y-136383134D01* -X79318400Y-136368840D01* -X79311358Y-136355666D01* -X79301882Y-136344118D01* -X79290334Y-136334642D01* -X79277160Y-136327600D01* -X79262866Y-136323264D01* -X79248000Y-136321800D01* -X78867000Y-136321800D01* -X78852134Y-136323264D01* -X78837840Y-136327600D01* -X78824666Y-136334642D01* -X78813118Y-136344118D01* -X78803642Y-136355666D01* -X78796600Y-136368840D01* -X78792264Y-136383134D01* -X78790800Y-136398000D01* -X78689200Y-136398000D01* -X78687736Y-136383134D01* -X78683400Y-136368840D01* -X78676358Y-136355666D01* -X78666882Y-136344118D01* -X78655334Y-136334642D01* -X78642160Y-136327600D01* -X78627866Y-136323264D01* -X78613000Y-136321800D01* -X78232000Y-136321800D01* -X78217134Y-136323264D01* -X78202840Y-136327600D01* -X78189666Y-136334642D01* -X78178118Y-136344118D01* -X78168642Y-136355666D01* -X78161600Y-136368840D01* -X78157264Y-136383134D01* -X78155800Y-136398000D01* -X76784200Y-136398000D01* -X76782736Y-136383134D01* -X76778400Y-136368840D01* -X76771358Y-136355666D01* -X76761882Y-136344118D01* -X76750334Y-136334642D01* -X76737160Y-136327600D01* -X76722866Y-136323264D01* -X76708000Y-136321800D01* -X76327000Y-136321800D01* -X76312134Y-136323264D01* -X76297840Y-136327600D01* -X76284666Y-136334642D01* -X76273118Y-136344118D01* -X76263642Y-136355666D01* -X76256600Y-136368840D01* -X76252264Y-136383134D01* -X76250800Y-136398000D01* -X76149200Y-136398000D01* -X76147736Y-136383134D01* -X76143400Y-136368840D01* -X76136358Y-136355666D01* -X76126882Y-136344118D01* -X76115334Y-136334642D01* -X76102160Y-136327600D01* -X76087866Y-136323264D01* -X76073000Y-136321800D01* -X75692000Y-136321800D01* -X75677134Y-136323264D01* -X75662840Y-136327600D01* -X75649666Y-136334642D01* -X75638118Y-136344118D01* -X75628642Y-136355666D01* -X75621600Y-136368840D01* -X75617264Y-136383134D01* -X75615800Y-136398000D01* -X74498200Y-136398000D01* -X74498200Y-135763000D01* -X75615800Y-135763000D01* -X75615800Y-136144000D01* -X75617264Y-136158866D01* -X75621600Y-136173160D01* -X75628642Y-136186334D01* -X75638118Y-136197882D01* -X75649666Y-136207358D01* -X75662840Y-136214400D01* -X75677134Y-136218736D01* -X75692000Y-136220200D01* -X76073000Y-136220200D01* -X76087866Y-136218736D01* -X76102160Y-136214400D01* -X76115334Y-136207358D01* -X76126882Y-136197882D01* -X76136358Y-136186334D01* -X76143400Y-136173160D01* -X76147736Y-136158866D01* -X76149200Y-136144000D01* -X76149200Y-135763000D01* -X76250800Y-135763000D01* -X76250800Y-136144000D01* -X76252264Y-136158866D01* -X76256600Y-136173160D01* -X76263642Y-136186334D01* -X76273118Y-136197882D01* -X76284666Y-136207358D01* -X76297840Y-136214400D01* -X76312134Y-136218736D01* -X76327000Y-136220200D01* -X76708000Y-136220200D01* -X76722866Y-136218736D01* -X76737160Y-136214400D01* -X76750334Y-136207358D01* -X76761882Y-136197882D01* -X76771358Y-136186334D01* -X76778400Y-136173160D01* -X76782736Y-136158866D01* -X76784200Y-136144000D01* -X76784200Y-135763000D01* -X78155800Y-135763000D01* -X78155800Y-136144000D01* -X78157264Y-136158866D01* -X78161600Y-136173160D01* -X78168642Y-136186334D01* -X78178118Y-136197882D01* -X78189666Y-136207358D01* -X78202840Y-136214400D01* -X78217134Y-136218736D01* -X78232000Y-136220200D01* -X78613000Y-136220200D01* -X78627866Y-136218736D01* -X78642160Y-136214400D01* -X78655334Y-136207358D01* -X78666882Y-136197882D01* -X78676358Y-136186334D01* -X78683400Y-136173160D01* -X78687736Y-136158866D01* -X78689200Y-136144000D01* -X78689200Y-135763000D01* -X78790800Y-135763000D01* -X78790800Y-136144000D01* -X78792264Y-136158866D01* -X78796600Y-136173160D01* -X78803642Y-136186334D01* -X78813118Y-136197882D01* -X78824666Y-136207358D01* -X78837840Y-136214400D01* -X78852134Y-136218736D01* -X78867000Y-136220200D01* -X79248000Y-136220200D01* -X79262866Y-136218736D01* -X79277160Y-136214400D01* -X79290334Y-136207358D01* -X79301882Y-136197882D01* -X79311358Y-136186334D01* -X79318400Y-136173160D01* -X79322736Y-136158866D01* -X79324200Y-136144000D01* -X79324200Y-135763000D01* -X80695800Y-135763000D01* -X80695800Y-136144000D01* -X80697264Y-136158866D01* -X80701600Y-136173160D01* -X80708642Y-136186334D01* -X80718118Y-136197882D01* -X80729666Y-136207358D01* -X80742840Y-136214400D01* -X80757134Y-136218736D01* -X80772000Y-136220200D01* -X81153000Y-136220200D01* -X81167866Y-136218736D01* -X81182160Y-136214400D01* -X81195334Y-136207358D01* -X81206882Y-136197882D01* -X81216358Y-136186334D01* -X81223400Y-136173160D01* -X81227736Y-136158866D01* -X81229200Y-136144000D01* -X81229200Y-135763000D01* -X81330800Y-135763000D01* -X81330800Y-136144000D01* -X81332264Y-136158866D01* -X81336600Y-136173160D01* -X81343642Y-136186334D01* -X81353118Y-136197882D01* -X81364666Y-136207358D01* -X81377840Y-136214400D01* -X81392134Y-136218736D01* -X81407000Y-136220200D01* -X81788000Y-136220200D01* -X81802866Y-136218736D01* -X81817160Y-136214400D01* -X81830334Y-136207358D01* -X81841882Y-136197882D01* -X81851358Y-136186334D01* -X81858400Y-136173160D01* -X81862736Y-136158866D01* -X81864200Y-136144000D01* -X81864200Y-135763000D01* -X83235800Y-135763000D01* -X83235800Y-136144000D01* -X83237264Y-136158866D01* -X83241600Y-136173160D01* -X83248642Y-136186334D01* -X83258118Y-136197882D01* -X83269666Y-136207358D01* -X83282840Y-136214400D01* -X83297134Y-136218736D01* -X83312000Y-136220200D01* -X83693000Y-136220200D01* -X83707866Y-136218736D01* -X83722160Y-136214400D01* -X83735334Y-136207358D01* -X83746882Y-136197882D01* -X83756358Y-136186334D01* -X83763400Y-136173160D01* -X83767736Y-136158866D01* -X83769200Y-136144000D01* -X83769200Y-135763000D01* -X83870800Y-135763000D01* -X83870800Y-136144000D01* -X83872264Y-136158866D01* -X83876600Y-136173160D01* -X83883642Y-136186334D01* -X83893118Y-136197882D01* -X83904666Y-136207358D01* -X83917840Y-136214400D01* -X83932134Y-136218736D01* -X83947000Y-136220200D01* -X84328000Y-136220200D01* -X84342866Y-136218736D01* -X84357160Y-136214400D01* -X84370334Y-136207358D01* -X84381882Y-136197882D01* -X84391358Y-136186334D01* -X84398400Y-136173160D01* -X84402736Y-136158866D01* -X84404200Y-136144000D01* -X84404200Y-135763000D01* -X85775800Y-135763000D01* -X85775800Y-136144000D01* -X85777264Y-136158866D01* -X85781600Y-136173160D01* -X85788642Y-136186334D01* -X85798118Y-136197882D01* -X85809666Y-136207358D01* -X85822840Y-136214400D01* -X85837134Y-136218736D01* -X85852000Y-136220200D01* -X86233000Y-136220200D01* -X86247866Y-136218736D01* -X86262160Y-136214400D01* -X86275334Y-136207358D01* -X86286882Y-136197882D01* -X86296358Y-136186334D01* -X86303400Y-136173160D01* -X86307736Y-136158866D01* -X86309200Y-136144000D01* -X86309200Y-135763000D01* -X86410800Y-135763000D01* -X86410800Y-136144000D01* -X86412264Y-136158866D01* -X86416600Y-136173160D01* -X86423642Y-136186334D01* -X86433118Y-136197882D01* -X86444666Y-136207358D01* -X86457840Y-136214400D01* -X86472134Y-136218736D01* -X86487000Y-136220200D01* -X86868000Y-136220200D01* -X86882866Y-136218736D01* -X86897160Y-136214400D01* -X86910334Y-136207358D01* -X86921882Y-136197882D01* -X86931358Y-136186334D01* -X86938400Y-136173160D01* -X86942736Y-136158866D01* -X86944200Y-136144000D01* -X86944200Y-135763000D01* -X88315800Y-135763000D01* -X88315800Y-136144000D01* -X88317264Y-136158866D01* -X88321600Y-136173160D01* -X88328642Y-136186334D01* -X88338118Y-136197882D01* -X88349666Y-136207358D01* -X88362840Y-136214400D01* -X88377134Y-136218736D01* -X88392000Y-136220200D01* -X88773000Y-136220200D01* -X88787866Y-136218736D01* -X88802160Y-136214400D01* -X88815334Y-136207358D01* -X88826882Y-136197882D01* -X88836358Y-136186334D01* -X88843400Y-136173160D01* -X88847736Y-136158866D01* -X88849200Y-136144000D01* -X88849200Y-135763000D01* -X88950800Y-135763000D01* -X88950800Y-136144000D01* -X88952264Y-136158866D01* -X88956600Y-136173160D01* -X88963642Y-136186334D01* -X88973118Y-136197882D01* -X88984666Y-136207358D01* -X88997840Y-136214400D01* -X89012134Y-136218736D01* -X89027000Y-136220200D01* -X89408000Y-136220200D01* -X89422866Y-136218736D01* -X89437160Y-136214400D01* -X89450334Y-136207358D01* -X89461882Y-136197882D01* -X89471358Y-136186334D01* -X89478400Y-136173160D01* -X89482736Y-136158866D01* -X89484200Y-136144000D01* -X89484200Y-135763000D01* -X90855800Y-135763000D01* -X90855800Y-136144000D01* -X90857264Y-136158866D01* -X90861600Y-136173160D01* -X90868642Y-136186334D01* -X90878118Y-136197882D01* -X90889666Y-136207358D01* -X90902840Y-136214400D01* -X90917134Y-136218736D01* -X90932000Y-136220200D01* -X91313000Y-136220200D01* -X91327866Y-136218736D01* -X91342160Y-136214400D01* -X91355334Y-136207358D01* -X91366882Y-136197882D01* -X91376358Y-136186334D01* -X91383400Y-136173160D01* -X91387736Y-136158866D01* -X91389200Y-136144000D01* -X91389200Y-135763000D01* -X91490800Y-135763000D01* -X91490800Y-136144000D01* -X91492264Y-136158866D01* -X91496600Y-136173160D01* -X91503642Y-136186334D01* -X91513118Y-136197882D01* -X91524666Y-136207358D01* -X91537840Y-136214400D01* -X91552134Y-136218736D01* -X91567000Y-136220200D01* -X91948000Y-136220200D01* -X91962866Y-136218736D01* -X91977160Y-136214400D01* -X91990334Y-136207358D01* -X92001882Y-136197882D01* -X92011358Y-136186334D01* -X92018400Y-136173160D01* -X92022736Y-136158866D01* -X92024200Y-136144000D01* -X92024200Y-135763000D01* -X93395800Y-135763000D01* -X93395800Y-136144000D01* -X93397264Y-136158866D01* -X93401600Y-136173160D01* -X93408642Y-136186334D01* -X93418118Y-136197882D01* -X93429666Y-136207358D01* -X93442840Y-136214400D01* -X93457134Y-136218736D01* -X93472000Y-136220200D01* -X93853000Y-136220200D01* -X93867866Y-136218736D01* -X93882160Y-136214400D01* -X93895334Y-136207358D01* -X93906882Y-136197882D01* -X93916358Y-136186334D01* -X93923400Y-136173160D01* -X93927736Y-136158866D01* -X93929200Y-136144000D01* -X93929200Y-135763000D01* -X94030800Y-135763000D01* -X94030800Y-136144000D01* -X94032264Y-136158866D01* -X94036600Y-136173160D01* -X94043642Y-136186334D01* -X94053118Y-136197882D01* -X94064666Y-136207358D01* -X94077840Y-136214400D01* -X94092134Y-136218736D01* -X94107000Y-136220200D01* -X94488000Y-136220200D01* -X94502866Y-136218736D01* -X94517160Y-136214400D01* -X94530334Y-136207358D01* -X94541882Y-136197882D01* -X94551358Y-136186334D01* -X94558400Y-136173160D01* -X94562736Y-136158866D01* -X94564200Y-136144000D01* -X94564200Y-135763000D01* -X95935800Y-135763000D01* -X95935800Y-136144000D01* -X95937264Y-136158866D01* -X95941600Y-136173160D01* -X95948642Y-136186334D01* -X95958118Y-136197882D01* -X95969666Y-136207358D01* -X95982840Y-136214400D01* -X95997134Y-136218736D01* -X96012000Y-136220200D01* -X96393000Y-136220200D01* -X96407866Y-136218736D01* -X96422160Y-136214400D01* -X96435334Y-136207358D01* -X96446882Y-136197882D01* -X96456358Y-136186334D01* -X96463400Y-136173160D01* -X96467736Y-136158866D01* -X96469200Y-136144000D01* -X96469200Y-135763000D01* -X96570800Y-135763000D01* -X96570800Y-136144000D01* -X96572264Y-136158866D01* -X96576600Y-136173160D01* -X96583642Y-136186334D01* -X96593118Y-136197882D01* -X96604666Y-136207358D01* -X96617840Y-136214400D01* -X96632134Y-136218736D01* -X96647000Y-136220200D01* -X97028000Y-136220200D01* -X97042866Y-136218736D01* -X97057160Y-136214400D01* -X97070334Y-136207358D01* -X97081882Y-136197882D01* -X97091358Y-136186334D01* -X97098400Y-136173160D01* -X97102736Y-136158866D01* -X97104200Y-136144000D01* -X97104200Y-135763000D01* -X98475800Y-135763000D01* -X98475800Y-136144000D01* -X98477264Y-136158866D01* -X98481600Y-136173160D01* -X98488642Y-136186334D01* -X98498118Y-136197882D01* -X98509666Y-136207358D01* -X98522840Y-136214400D01* -X98537134Y-136218736D01* -X98552000Y-136220200D01* -X98933000Y-136220200D01* -X98947866Y-136218736D01* -X98962160Y-136214400D01* -X98975334Y-136207358D01* -X98986882Y-136197882D01* -X98996358Y-136186334D01* -X99003400Y-136173160D01* -X99007736Y-136158866D01* -X99009200Y-136144000D01* -X99009200Y-135763000D01* -X99110800Y-135763000D01* -X99110800Y-136144000D01* -X99112264Y-136158866D01* -X99116600Y-136173160D01* -X99123642Y-136186334D01* -X99133118Y-136197882D01* -X99144666Y-136207358D01* -X99157840Y-136214400D01* -X99172134Y-136218736D01* -X99187000Y-136220200D01* -X99568000Y-136220200D01* -X99582866Y-136218736D01* -X99597160Y-136214400D01* -X99610334Y-136207358D01* -X99621882Y-136197882D01* -X99631358Y-136186334D01* -X99638400Y-136173160D01* -X99642736Y-136158866D01* -X99644200Y-136144000D01* -X99644200Y-135763000D01* -X101015800Y-135763000D01* -X101015800Y-136144000D01* -X101017264Y-136158866D01* -X101021600Y-136173160D01* -X101028642Y-136186334D01* -X101038118Y-136197882D01* -X101049666Y-136207358D01* -X101062840Y-136214400D01* -X101077134Y-136218736D01* -X101092000Y-136220200D01* -X101473000Y-136220200D01* -X101487866Y-136218736D01* -X101502160Y-136214400D01* -X101515334Y-136207358D01* -X101526882Y-136197882D01* -X101536358Y-136186334D01* -X101543400Y-136173160D01* -X101547736Y-136158866D01* -X101549200Y-136144000D01* -X101549200Y-135763000D01* -X101650800Y-135763000D01* -X101650800Y-136144000D01* -X101652264Y-136158866D01* -X101656600Y-136173160D01* -X101663642Y-136186334D01* -X101673118Y-136197882D01* -X101684666Y-136207358D01* -X101697840Y-136214400D01* -X101712134Y-136218736D01* -X101727000Y-136220200D01* -X102108000Y-136220200D01* -X102122866Y-136218736D01* -X102137160Y-136214400D01* -X102150334Y-136207358D01* -X102161882Y-136197882D01* -X102171358Y-136186334D01* -X102178400Y-136173160D01* -X102182736Y-136158866D01* -X102184200Y-136144000D01* -X102184200Y-135763000D01* -X103555800Y-135763000D01* -X103555800Y-136144000D01* -X103557264Y-136158866D01* -X103561600Y-136173160D01* -X103568642Y-136186334D01* -X103578118Y-136197882D01* -X103589666Y-136207358D01* -X103602840Y-136214400D01* -X103617134Y-136218736D01* -X103632000Y-136220200D01* -X104013000Y-136220200D01* -X104027866Y-136218736D01* -X104042160Y-136214400D01* -X104055334Y-136207358D01* -X104066882Y-136197882D01* -X104076358Y-136186334D01* -X104083400Y-136173160D01* -X104087736Y-136158866D01* -X104089200Y-136144000D01* -X104089200Y-135763000D01* -X104190800Y-135763000D01* -X104190800Y-136144000D01* -X104192264Y-136158866D01* -X104196600Y-136173160D01* -X104203642Y-136186334D01* -X104213118Y-136197882D01* -X104224666Y-136207358D01* -X104237840Y-136214400D01* -X104252134Y-136218736D01* -X104267000Y-136220200D01* -X104648000Y-136220200D01* -X104662866Y-136218736D01* -X104677160Y-136214400D01* -X104690334Y-136207358D01* -X104701882Y-136197882D01* -X104711358Y-136186334D01* -X104718400Y-136173160D01* -X104722736Y-136158866D01* -X104724200Y-136144000D01* -X104724200Y-135763000D01* -X106095800Y-135763000D01* -X106095800Y-136144000D01* -X106097264Y-136158866D01* -X106101600Y-136173160D01* -X106108642Y-136186334D01* -X106118118Y-136197882D01* -X106129666Y-136207358D01* -X106142840Y-136214400D01* -X106157134Y-136218736D01* -X106172000Y-136220200D01* -X106553000Y-136220200D01* -X106567866Y-136218736D01* -X106582160Y-136214400D01* -X106595334Y-136207358D01* -X106606882Y-136197882D01* -X106616358Y-136186334D01* -X106623400Y-136173160D01* -X106627736Y-136158866D01* -X106629200Y-136144000D01* -X106629200Y-135763000D01* -X106730800Y-135763000D01* -X106730800Y-136144000D01* -X106732264Y-136158866D01* -X106736600Y-136173160D01* -X106743642Y-136186334D01* -X106753118Y-136197882D01* -X106764666Y-136207358D01* -X106777840Y-136214400D01* -X106792134Y-136218736D01* -X106807000Y-136220200D01* -X107188000Y-136220200D01* -X107202866Y-136218736D01* -X107217160Y-136214400D01* -X107230334Y-136207358D01* -X107241882Y-136197882D01* -X107251358Y-136186334D01* -X107258400Y-136173160D01* -X107262736Y-136158866D01* -X107264200Y-136144000D01* -X107264200Y-135763000D01* -X108635800Y-135763000D01* -X108635800Y-136144000D01* -X108637264Y-136158866D01* -X108641600Y-136173160D01* -X108648642Y-136186334D01* -X108658118Y-136197882D01* -X108669666Y-136207358D01* -X108682840Y-136214400D01* -X108697134Y-136218736D01* -X108712000Y-136220200D01* -X109093000Y-136220200D01* -X109107866Y-136218736D01* -X109122160Y-136214400D01* -X109135334Y-136207358D01* -X109146882Y-136197882D01* -X109156358Y-136186334D01* -X109163400Y-136173160D01* -X109167736Y-136158866D01* -X109169200Y-136144000D01* -X109169200Y-135763000D01* -X109270800Y-135763000D01* -X109270800Y-136144000D01* -X109272264Y-136158866D01* -X109276600Y-136173160D01* -X109283642Y-136186334D01* -X109293118Y-136197882D01* -X109304666Y-136207358D01* -X109317840Y-136214400D01* -X109332134Y-136218736D01* -X109347000Y-136220200D01* -X109728000Y-136220200D01* -X109742866Y-136218736D01* -X109757160Y-136214400D01* -X109770334Y-136207358D01* -X109781882Y-136197882D01* -X109791358Y-136186334D01* -X109798400Y-136173160D01* -X109802736Y-136158866D01* -X109804200Y-136144000D01* -X109804200Y-135763000D01* -X111175800Y-135763000D01* -X111175800Y-136144000D01* -X111177264Y-136158866D01* -X111181600Y-136173160D01* -X111188642Y-136186334D01* -X111198118Y-136197882D01* -X111209666Y-136207358D01* -X111222840Y-136214400D01* -X111237134Y-136218736D01* -X111252000Y-136220200D01* -X111633000Y-136220200D01* -X111647866Y-136218736D01* -X111662160Y-136214400D01* -X111675334Y-136207358D01* -X111686882Y-136197882D01* -X111696358Y-136186334D01* -X111703400Y-136173160D01* -X111707736Y-136158866D01* -X111709200Y-136144000D01* -X111709200Y-135763000D01* -X111810800Y-135763000D01* -X111810800Y-136144000D01* -X111812264Y-136158866D01* -X111816600Y-136173160D01* -X111823642Y-136186334D01* -X111833118Y-136197882D01* -X111844666Y-136207358D01* -X111857840Y-136214400D01* -X111872134Y-136218736D01* -X111887000Y-136220200D01* -X112268000Y-136220200D01* -X112282866Y-136218736D01* -X112297160Y-136214400D01* -X112310334Y-136207358D01* -X112321882Y-136197882D01* -X112331358Y-136186334D01* -X112338400Y-136173160D01* -X112342736Y-136158866D01* -X112344200Y-136144000D01* -X112344200Y-135763000D01* -X113715800Y-135763000D01* -X113715800Y-136144000D01* -X113717264Y-136158866D01* -X113721600Y-136173160D01* -X113728642Y-136186334D01* -X113738118Y-136197882D01* -X113749666Y-136207358D01* -X113762840Y-136214400D01* -X113777134Y-136218736D01* -X113792000Y-136220200D01* -X114173000Y-136220200D01* -X114187866Y-136218736D01* -X114202160Y-136214400D01* -X114215334Y-136207358D01* -X114226882Y-136197882D01* -X114236358Y-136186334D01* -X114243400Y-136173160D01* -X114247736Y-136158866D01* -X114249200Y-136144000D01* -X114249200Y-135763000D01* -X114350800Y-135763000D01* -X114350800Y-136144000D01* -X114352264Y-136158866D01* -X114356600Y-136173160D01* -X114363642Y-136186334D01* -X114373118Y-136197882D01* -X114384666Y-136207358D01* -X114397840Y-136214400D01* -X114412134Y-136218736D01* -X114427000Y-136220200D01* -X114808000Y-136220200D01* -X114822866Y-136218736D01* -X114837160Y-136214400D01* -X114850334Y-136207358D01* -X114861882Y-136197882D01* -X114871358Y-136186334D01* -X114878400Y-136173160D01* -X114882736Y-136158866D01* -X114884200Y-136144000D01* -X114884200Y-135763000D01* -X116255800Y-135763000D01* -X116255800Y-136144000D01* -X116257264Y-136158866D01* -X116261600Y-136173160D01* -X116268642Y-136186334D01* -X116278118Y-136197882D01* -X116289666Y-136207358D01* -X116302840Y-136214400D01* -X116317134Y-136218736D01* -X116332000Y-136220200D01* -X116713000Y-136220200D01* -X116727866Y-136218736D01* -X116742160Y-136214400D01* -X116755334Y-136207358D01* -X116766882Y-136197882D01* -X116776358Y-136186334D01* -X116783400Y-136173160D01* -X116787736Y-136158866D01* -X116789200Y-136144000D01* -X116789200Y-135763000D01* -X116890800Y-135763000D01* -X116890800Y-136144000D01* -X116892264Y-136158866D01* -X116896600Y-136173160D01* -X116903642Y-136186334D01* -X116913118Y-136197882D01* -X116924666Y-136207358D01* -X116937840Y-136214400D01* -X116952134Y-136218736D01* -X116967000Y-136220200D01* -X117348000Y-136220200D01* -X117362866Y-136218736D01* -X117377160Y-136214400D01* -X117390334Y-136207358D01* -X117401882Y-136197882D01* -X117411358Y-136186334D01* -X117418400Y-136173160D01* -X117422736Y-136158866D01* -X117424200Y-136144000D01* -X117424200Y-135763000D01* -X118795800Y-135763000D01* -X118795800Y-136144000D01* -X118797264Y-136158866D01* -X118801600Y-136173160D01* -X118808642Y-136186334D01* -X118818118Y-136197882D01* -X118829666Y-136207358D01* -X118842840Y-136214400D01* -X118857134Y-136218736D01* -X118872000Y-136220200D01* -X119253000Y-136220200D01* -X119267866Y-136218736D01* -X119282160Y-136214400D01* -X119295334Y-136207358D01* -X119306882Y-136197882D01* -X119316358Y-136186334D01* -X119323400Y-136173160D01* -X119327736Y-136158866D01* -X119329200Y-136144000D01* -X119329200Y-135763000D01* -X119430800Y-135763000D01* -X119430800Y-136144000D01* -X119432264Y-136158866D01* -X119436600Y-136173160D01* -X119443642Y-136186334D01* -X119453118Y-136197882D01* -X119464666Y-136207358D01* -X119477840Y-136214400D01* -X119492134Y-136218736D01* -X119507000Y-136220200D01* -X119888000Y-136220200D01* -X119902866Y-136218736D01* -X119917160Y-136214400D01* -X119930334Y-136207358D01* -X119941882Y-136197882D01* -X119951358Y-136186334D01* -X119958400Y-136173160D01* -X119962736Y-136158866D01* -X119964200Y-136144000D01* -X119964200Y-135763000D01* -X121335800Y-135763000D01* -X121335800Y-136144000D01* -X121337264Y-136158866D01* -X121341600Y-136173160D01* -X121348642Y-136186334D01* -X121358118Y-136197882D01* -X121369666Y-136207358D01* -X121382840Y-136214400D01* -X121397134Y-136218736D01* -X121412000Y-136220200D01* -X121793000Y-136220200D01* -X121807866Y-136218736D01* -X121822160Y-136214400D01* -X121835334Y-136207358D01* -X121846882Y-136197882D01* -X121856358Y-136186334D01* -X121863400Y-136173160D01* -X121867736Y-136158866D01* -X121869200Y-136144000D01* -X121869200Y-135763000D01* -X121970800Y-135763000D01* -X121970800Y-136144000D01* -X121972264Y-136158866D01* -X121976600Y-136173160D01* -X121983642Y-136186334D01* -X121993118Y-136197882D01* -X122004666Y-136207358D01* -X122017840Y-136214400D01* -X122032134Y-136218736D01* -X122047000Y-136220200D01* -X122428000Y-136220200D01* -X122442866Y-136218736D01* -X122457160Y-136214400D01* -X122470334Y-136207358D01* -X122481882Y-136197882D01* -X122491358Y-136186334D01* -X122498400Y-136173160D01* -X122502736Y-136158866D01* -X122504200Y-136144000D01* -X122504200Y-135763000D01* -X123875800Y-135763000D01* -X123875800Y-136144000D01* -X123877264Y-136158866D01* -X123881600Y-136173160D01* -X123888642Y-136186334D01* -X123898118Y-136197882D01* -X123909666Y-136207358D01* -X123922840Y-136214400D01* -X123937134Y-136218736D01* -X123952000Y-136220200D01* -X124333000Y-136220200D01* -X124347866Y-136218736D01* -X124362160Y-136214400D01* -X124375334Y-136207358D01* -X124386882Y-136197882D01* -X124396358Y-136186334D01* -X124403400Y-136173160D01* -X124407736Y-136158866D01* -X124409200Y-136144000D01* -X124409200Y-135763000D01* -X124510800Y-135763000D01* -X124510800Y-136144000D01* -X124512264Y-136158866D01* -X124516600Y-136173160D01* -X124523642Y-136186334D01* -X124533118Y-136197882D01* -X124544666Y-136207358D01* -X124557840Y-136214400D01* -X124572134Y-136218736D01* -X124587000Y-136220200D01* -X124968000Y-136220200D01* -X124982866Y-136218736D01* -X124997160Y-136214400D01* -X125010334Y-136207358D01* -X125021882Y-136197882D01* -X125031358Y-136186334D01* -X125038400Y-136173160D01* -X125042736Y-136158866D01* -X125044200Y-136144000D01* -X125044200Y-135763000D01* -X126415800Y-135763000D01* -X126415800Y-136144000D01* -X126417264Y-136158866D01* -X126421600Y-136173160D01* -X126428642Y-136186334D01* -X126438118Y-136197882D01* -X126449666Y-136207358D01* -X126462840Y-136214400D01* -X126477134Y-136218736D01* -X126492000Y-136220200D01* -X126873000Y-136220200D01* -X126887866Y-136218736D01* -X126902160Y-136214400D01* -X126915334Y-136207358D01* -X126926882Y-136197882D01* -X126936358Y-136186334D01* -X126943400Y-136173160D01* -X126947736Y-136158866D01* -X126949200Y-136144000D01* -X126949200Y-135763000D01* -X127050800Y-135763000D01* -X127050800Y-136144000D01* -X127052264Y-136158866D01* -X127056600Y-136173160D01* -X127063642Y-136186334D01* -X127073118Y-136197882D01* -X127084666Y-136207358D01* -X127097840Y-136214400D01* -X127112134Y-136218736D01* -X127127000Y-136220200D01* -X127508000Y-136220200D01* -X127522866Y-136218736D01* -X127537160Y-136214400D01* -X127550334Y-136207358D01* -X127561882Y-136197882D01* -X127571358Y-136186334D01* -X127578400Y-136173160D01* -X127582736Y-136158866D01* -X127584200Y-136144000D01* -X127584200Y-135763000D01* -X128955800Y-135763000D01* -X128955800Y-136144000D01* -X128957264Y-136158866D01* -X128961600Y-136173160D01* -X128968642Y-136186334D01* -X128978118Y-136197882D01* -X128989666Y-136207358D01* -X129002840Y-136214400D01* -X129017134Y-136218736D01* -X129032000Y-136220200D01* -X129413000Y-136220200D01* -X129427866Y-136218736D01* -X129442160Y-136214400D01* -X129455334Y-136207358D01* -X129466882Y-136197882D01* -X129476358Y-136186334D01* -X129483400Y-136173160D01* -X129487736Y-136158866D01* -X129489200Y-136144000D01* -X129489200Y-135763000D01* -X129590800Y-135763000D01* -X129590800Y-136144000D01* -X129592264Y-136158866D01* -X129596600Y-136173160D01* -X129603642Y-136186334D01* -X129613118Y-136197882D01* -X129624666Y-136207358D01* -X129637840Y-136214400D01* -X129652134Y-136218736D01* -X129667000Y-136220200D01* -X130048000Y-136220200D01* -X130062866Y-136218736D01* -X130077160Y-136214400D01* -X130090334Y-136207358D01* -X130101882Y-136197882D01* -X130111358Y-136186334D01* -X130118400Y-136173160D01* -X130122736Y-136158866D01* -X130124200Y-136144000D01* -X130124200Y-135763000D01* -X131495800Y-135763000D01* -X131495800Y-136144000D01* -X131497264Y-136158866D01* -X131501600Y-136173160D01* -X131508642Y-136186334D01* -X131518118Y-136197882D01* -X131529666Y-136207358D01* -X131542840Y-136214400D01* -X131557134Y-136218736D01* -X131572000Y-136220200D01* -X131953000Y-136220200D01* -X131967866Y-136218736D01* -X131982160Y-136214400D01* -X131995334Y-136207358D01* -X132006882Y-136197882D01* -X132016358Y-136186334D01* -X132023400Y-136173160D01* -X132027736Y-136158866D01* -X132029200Y-136144000D01* -X132029200Y-135763000D01* -X132130800Y-135763000D01* -X132130800Y-136144000D01* -X132132264Y-136158866D01* -X132136600Y-136173160D01* -X132143642Y-136186334D01* -X132153118Y-136197882D01* -X132164666Y-136207358D01* -X132177840Y-136214400D01* -X132192134Y-136218736D01* -X132207000Y-136220200D01* -X132588000Y-136220200D01* -X132602866Y-136218736D01* -X132617160Y-136214400D01* -X132630334Y-136207358D01* -X132641882Y-136197882D01* -X132651358Y-136186334D01* -X132658400Y-136173160D01* -X132662736Y-136158866D01* -X132664200Y-136144000D01* -X132664200Y-135763000D01* -X134035800Y-135763000D01* -X134035800Y-136144000D01* -X134037264Y-136158866D01* -X134041600Y-136173160D01* -X134048642Y-136186334D01* -X134058118Y-136197882D01* -X134069666Y-136207358D01* -X134082840Y-136214400D01* -X134097134Y-136218736D01* -X134112000Y-136220200D01* -X134493000Y-136220200D01* -X134507866Y-136218736D01* -X134522160Y-136214400D01* -X134535334Y-136207358D01* -X134546882Y-136197882D01* -X134556358Y-136186334D01* -X134563400Y-136173160D01* -X134567736Y-136158866D01* -X134569200Y-136144000D01* -X134569200Y-135763000D01* -X134670800Y-135763000D01* -X134670800Y-136144000D01* -X134672264Y-136158866D01* -X134676600Y-136173160D01* -X134683642Y-136186334D01* -X134693118Y-136197882D01* -X134704666Y-136207358D01* -X134717840Y-136214400D01* -X134732134Y-136218736D01* -X134747000Y-136220200D01* -X135128000Y-136220200D01* -X135142866Y-136218736D01* -X135157160Y-136214400D01* -X135170334Y-136207358D01* -X135181882Y-136197882D01* -X135191358Y-136186334D01* -X135198400Y-136173160D01* -X135202736Y-136158866D01* -X135204200Y-136144000D01* -X135204200Y-135763000D01* -X135202736Y-135748134D01* -X135198400Y-135733840D01* -X135191358Y-135720666D01* -X135181882Y-135709118D01* -X135170334Y-135699642D01* -X135157160Y-135692600D01* -X135142866Y-135688264D01* -X135128000Y-135686800D01* -X134747000Y-135686800D01* -X134732134Y-135688264D01* -X134717840Y-135692600D01* -X134704666Y-135699642D01* -X134693118Y-135709118D01* -X134683642Y-135720666D01* -X134676600Y-135733840D01* -X134672264Y-135748134D01* -X134670800Y-135763000D01* -X134569200Y-135763000D01* -X134567736Y-135748134D01* -X134563400Y-135733840D01* -X134556358Y-135720666D01* -X134546882Y-135709118D01* -X134535334Y-135699642D01* -X134522160Y-135692600D01* -X134507866Y-135688264D01* -X134493000Y-135686800D01* -X134112000Y-135686800D01* -X134097134Y-135688264D01* -X134082840Y-135692600D01* -X134069666Y-135699642D01* -X134058118Y-135709118D01* -X134048642Y-135720666D01* -X134041600Y-135733840D01* -X134037264Y-135748134D01* -X134035800Y-135763000D01* -X132664200Y-135763000D01* -X132662736Y-135748134D01* -X132658400Y-135733840D01* -X132651358Y-135720666D01* -X132641882Y-135709118D01* -X132630334Y-135699642D01* -X132617160Y-135692600D01* -X132602866Y-135688264D01* -X132588000Y-135686800D01* -X132207000Y-135686800D01* -X132192134Y-135688264D01* -X132177840Y-135692600D01* -X132164666Y-135699642D01* -X132153118Y-135709118D01* -X132143642Y-135720666D01* -X132136600Y-135733840D01* -X132132264Y-135748134D01* -X132130800Y-135763000D01* -X132029200Y-135763000D01* -X132027736Y-135748134D01* -X132023400Y-135733840D01* -X132016358Y-135720666D01* -X132006882Y-135709118D01* -X131995334Y-135699642D01* -X131982160Y-135692600D01* -X131967866Y-135688264D01* -X131953000Y-135686800D01* -X131572000Y-135686800D01* -X131557134Y-135688264D01* -X131542840Y-135692600D01* -X131529666Y-135699642D01* -X131518118Y-135709118D01* -X131508642Y-135720666D01* -X131501600Y-135733840D01* -X131497264Y-135748134D01* -X131495800Y-135763000D01* -X130124200Y-135763000D01* -X130122736Y-135748134D01* -X130118400Y-135733840D01* -X130111358Y-135720666D01* -X130101882Y-135709118D01* -X130090334Y-135699642D01* -X130077160Y-135692600D01* -X130062866Y-135688264D01* -X130048000Y-135686800D01* -X129667000Y-135686800D01* -X129652134Y-135688264D01* -X129637840Y-135692600D01* -X129624666Y-135699642D01* -X129613118Y-135709118D01* -X129603642Y-135720666D01* -X129596600Y-135733840D01* -X129592264Y-135748134D01* -X129590800Y-135763000D01* -X129489200Y-135763000D01* -X129487736Y-135748134D01* -X129483400Y-135733840D01* -X129476358Y-135720666D01* -X129466882Y-135709118D01* -X129455334Y-135699642D01* -X129442160Y-135692600D01* -X129427866Y-135688264D01* -X129413000Y-135686800D01* -X129032000Y-135686800D01* -X129017134Y-135688264D01* -X129002840Y-135692600D01* -X128989666Y-135699642D01* -X128978118Y-135709118D01* -X128968642Y-135720666D01* -X128961600Y-135733840D01* -X128957264Y-135748134D01* -X128955800Y-135763000D01* -X127584200Y-135763000D01* -X127582736Y-135748134D01* -X127578400Y-135733840D01* -X127571358Y-135720666D01* -X127561882Y-135709118D01* -X127550334Y-135699642D01* -X127537160Y-135692600D01* -X127522866Y-135688264D01* -X127508000Y-135686800D01* -X127127000Y-135686800D01* -X127112134Y-135688264D01* -X127097840Y-135692600D01* -X127084666Y-135699642D01* -X127073118Y-135709118D01* -X127063642Y-135720666D01* -X127056600Y-135733840D01* -X127052264Y-135748134D01* -X127050800Y-135763000D01* -X126949200Y-135763000D01* -X126947736Y-135748134D01* -X126943400Y-135733840D01* -X126936358Y-135720666D01* -X126926882Y-135709118D01* -X126915334Y-135699642D01* -X126902160Y-135692600D01* -X126887866Y-135688264D01* -X126873000Y-135686800D01* -X126492000Y-135686800D01* -X126477134Y-135688264D01* -X126462840Y-135692600D01* -X126449666Y-135699642D01* -X126438118Y-135709118D01* -X126428642Y-135720666D01* -X126421600Y-135733840D01* -X126417264Y-135748134D01* -X126415800Y-135763000D01* -X125044200Y-135763000D01* -X125042736Y-135748134D01* -X125038400Y-135733840D01* -X125031358Y-135720666D01* -X125021882Y-135709118D01* -X125010334Y-135699642D01* -X124997160Y-135692600D01* -X124982866Y-135688264D01* -X124968000Y-135686800D01* -X124587000Y-135686800D01* -X124572134Y-135688264D01* -X124557840Y-135692600D01* -X124544666Y-135699642D01* -X124533118Y-135709118D01* -X124523642Y-135720666D01* -X124516600Y-135733840D01* -X124512264Y-135748134D01* -X124510800Y-135763000D01* -X124409200Y-135763000D01* -X124407736Y-135748134D01* -X124403400Y-135733840D01* -X124396358Y-135720666D01* -X124386882Y-135709118D01* -X124375334Y-135699642D01* -X124362160Y-135692600D01* -X124347866Y-135688264D01* -X124333000Y-135686800D01* -X123952000Y-135686800D01* -X123937134Y-135688264D01* -X123922840Y-135692600D01* -X123909666Y-135699642D01* -X123898118Y-135709118D01* -X123888642Y-135720666D01* -X123881600Y-135733840D01* -X123877264Y-135748134D01* -X123875800Y-135763000D01* -X122504200Y-135763000D01* -X122502736Y-135748134D01* -X122498400Y-135733840D01* -X122491358Y-135720666D01* -X122481882Y-135709118D01* -X122470334Y-135699642D01* -X122457160Y-135692600D01* -X122442866Y-135688264D01* -X122428000Y-135686800D01* -X122047000Y-135686800D01* -X122032134Y-135688264D01* -X122017840Y-135692600D01* -X122004666Y-135699642D01* -X121993118Y-135709118D01* -X121983642Y-135720666D01* -X121976600Y-135733840D01* -X121972264Y-135748134D01* -X121970800Y-135763000D01* -X121869200Y-135763000D01* -X121867736Y-135748134D01* -X121863400Y-135733840D01* -X121856358Y-135720666D01* -X121846882Y-135709118D01* -X121835334Y-135699642D01* -X121822160Y-135692600D01* -X121807866Y-135688264D01* -X121793000Y-135686800D01* -X121412000Y-135686800D01* -X121397134Y-135688264D01* -X121382840Y-135692600D01* -X121369666Y-135699642D01* -X121358118Y-135709118D01* -X121348642Y-135720666D01* -X121341600Y-135733840D01* -X121337264Y-135748134D01* -X121335800Y-135763000D01* -X119964200Y-135763000D01* -X119962736Y-135748134D01* -X119958400Y-135733840D01* -X119951358Y-135720666D01* -X119941882Y-135709118D01* -X119930334Y-135699642D01* -X119917160Y-135692600D01* -X119902866Y-135688264D01* -X119888000Y-135686800D01* -X119507000Y-135686800D01* -X119492134Y-135688264D01* -X119477840Y-135692600D01* -X119464666Y-135699642D01* -X119453118Y-135709118D01* -X119443642Y-135720666D01* -X119436600Y-135733840D01* -X119432264Y-135748134D01* -X119430800Y-135763000D01* -X119329200Y-135763000D01* -X119327736Y-135748134D01* -X119323400Y-135733840D01* -X119316358Y-135720666D01* -X119306882Y-135709118D01* -X119295334Y-135699642D01* -X119282160Y-135692600D01* -X119267866Y-135688264D01* -X119253000Y-135686800D01* -X118872000Y-135686800D01* -X118857134Y-135688264D01* -X118842840Y-135692600D01* -X118829666Y-135699642D01* -X118818118Y-135709118D01* -X118808642Y-135720666D01* -X118801600Y-135733840D01* -X118797264Y-135748134D01* -X118795800Y-135763000D01* -X117424200Y-135763000D01* -X117422736Y-135748134D01* -X117418400Y-135733840D01* -X117411358Y-135720666D01* -X117401882Y-135709118D01* -X117390334Y-135699642D01* -X117377160Y-135692600D01* -X117362866Y-135688264D01* -X117348000Y-135686800D01* -X116967000Y-135686800D01* -X116952134Y-135688264D01* -X116937840Y-135692600D01* -X116924666Y-135699642D01* -X116913118Y-135709118D01* -X116903642Y-135720666D01* -X116896600Y-135733840D01* -X116892264Y-135748134D01* -X116890800Y-135763000D01* -X116789200Y-135763000D01* -X116787736Y-135748134D01* -X116783400Y-135733840D01* -X116776358Y-135720666D01* -X116766882Y-135709118D01* -X116755334Y-135699642D01* -X116742160Y-135692600D01* -X116727866Y-135688264D01* -X116713000Y-135686800D01* -X116332000Y-135686800D01* -X116317134Y-135688264D01* -X116302840Y-135692600D01* -X116289666Y-135699642D01* -X116278118Y-135709118D01* -X116268642Y-135720666D01* -X116261600Y-135733840D01* -X116257264Y-135748134D01* -X116255800Y-135763000D01* -X114884200Y-135763000D01* -X114882736Y-135748134D01* -X114878400Y-135733840D01* -X114871358Y-135720666D01* -X114861882Y-135709118D01* -X114850334Y-135699642D01* -X114837160Y-135692600D01* -X114822866Y-135688264D01* -X114808000Y-135686800D01* -X114427000Y-135686800D01* -X114412134Y-135688264D01* -X114397840Y-135692600D01* -X114384666Y-135699642D01* -X114373118Y-135709118D01* -X114363642Y-135720666D01* -X114356600Y-135733840D01* -X114352264Y-135748134D01* -X114350800Y-135763000D01* -X114249200Y-135763000D01* -X114247736Y-135748134D01* -X114243400Y-135733840D01* -X114236358Y-135720666D01* -X114226882Y-135709118D01* -X114215334Y-135699642D01* -X114202160Y-135692600D01* -X114187866Y-135688264D01* -X114173000Y-135686800D01* -X113792000Y-135686800D01* -X113777134Y-135688264D01* -X113762840Y-135692600D01* -X113749666Y-135699642D01* -X113738118Y-135709118D01* -X113728642Y-135720666D01* -X113721600Y-135733840D01* -X113717264Y-135748134D01* -X113715800Y-135763000D01* -X112344200Y-135763000D01* -X112342736Y-135748134D01* -X112338400Y-135733840D01* -X112331358Y-135720666D01* -X112321882Y-135709118D01* -X112310334Y-135699642D01* -X112297160Y-135692600D01* -X112282866Y-135688264D01* -X112268000Y-135686800D01* -X111887000Y-135686800D01* -X111872134Y-135688264D01* -X111857840Y-135692600D01* -X111844666Y-135699642D01* -X111833118Y-135709118D01* -X111823642Y-135720666D01* -X111816600Y-135733840D01* -X111812264Y-135748134D01* -X111810800Y-135763000D01* -X111709200Y-135763000D01* -X111707736Y-135748134D01* -X111703400Y-135733840D01* -X111696358Y-135720666D01* -X111686882Y-135709118D01* -X111675334Y-135699642D01* -X111662160Y-135692600D01* -X111647866Y-135688264D01* -X111633000Y-135686800D01* -X111252000Y-135686800D01* -X111237134Y-135688264D01* -X111222840Y-135692600D01* -X111209666Y-135699642D01* -X111198118Y-135709118D01* -X111188642Y-135720666D01* -X111181600Y-135733840D01* -X111177264Y-135748134D01* -X111175800Y-135763000D01* -X109804200Y-135763000D01* -X109802736Y-135748134D01* -X109798400Y-135733840D01* -X109791358Y-135720666D01* -X109781882Y-135709118D01* -X109770334Y-135699642D01* -X109757160Y-135692600D01* -X109742866Y-135688264D01* -X109728000Y-135686800D01* -X109347000Y-135686800D01* -X109332134Y-135688264D01* -X109317840Y-135692600D01* -X109304666Y-135699642D01* -X109293118Y-135709118D01* -X109283642Y-135720666D01* -X109276600Y-135733840D01* -X109272264Y-135748134D01* -X109270800Y-135763000D01* -X109169200Y-135763000D01* -X109167736Y-135748134D01* -X109163400Y-135733840D01* -X109156358Y-135720666D01* -X109146882Y-135709118D01* -X109135334Y-135699642D01* -X109122160Y-135692600D01* -X109107866Y-135688264D01* -X109093000Y-135686800D01* -X108712000Y-135686800D01* -X108697134Y-135688264D01* -X108682840Y-135692600D01* -X108669666Y-135699642D01* -X108658118Y-135709118D01* -X108648642Y-135720666D01* -X108641600Y-135733840D01* -X108637264Y-135748134D01* -X108635800Y-135763000D01* -X107264200Y-135763000D01* -X107262736Y-135748134D01* -X107258400Y-135733840D01* -X107251358Y-135720666D01* -X107241882Y-135709118D01* -X107230334Y-135699642D01* -X107217160Y-135692600D01* -X107202866Y-135688264D01* -X107188000Y-135686800D01* -X106807000Y-135686800D01* -X106792134Y-135688264D01* -X106777840Y-135692600D01* -X106764666Y-135699642D01* -X106753118Y-135709118D01* -X106743642Y-135720666D01* -X106736600Y-135733840D01* -X106732264Y-135748134D01* -X106730800Y-135763000D01* -X106629200Y-135763000D01* -X106627736Y-135748134D01* -X106623400Y-135733840D01* -X106616358Y-135720666D01* -X106606882Y-135709118D01* -X106595334Y-135699642D01* -X106582160Y-135692600D01* -X106567866Y-135688264D01* -X106553000Y-135686800D01* -X106172000Y-135686800D01* -X106157134Y-135688264D01* -X106142840Y-135692600D01* -X106129666Y-135699642D01* -X106118118Y-135709118D01* -X106108642Y-135720666D01* -X106101600Y-135733840D01* -X106097264Y-135748134D01* -X106095800Y-135763000D01* -X104724200Y-135763000D01* -X104722736Y-135748134D01* -X104718400Y-135733840D01* -X104711358Y-135720666D01* -X104701882Y-135709118D01* -X104690334Y-135699642D01* -X104677160Y-135692600D01* -X104662866Y-135688264D01* -X104648000Y-135686800D01* -X104267000Y-135686800D01* -X104252134Y-135688264D01* -X104237840Y-135692600D01* -X104224666Y-135699642D01* -X104213118Y-135709118D01* -X104203642Y-135720666D01* -X104196600Y-135733840D01* -X104192264Y-135748134D01* -X104190800Y-135763000D01* -X104089200Y-135763000D01* -X104087736Y-135748134D01* -X104083400Y-135733840D01* -X104076358Y-135720666D01* -X104066882Y-135709118D01* -X104055334Y-135699642D01* -X104042160Y-135692600D01* -X104027866Y-135688264D01* -X104013000Y-135686800D01* -X103632000Y-135686800D01* -X103617134Y-135688264D01* -X103602840Y-135692600D01* -X103589666Y-135699642D01* -X103578118Y-135709118D01* -X103568642Y-135720666D01* -X103561600Y-135733840D01* -X103557264Y-135748134D01* -X103555800Y-135763000D01* -X102184200Y-135763000D01* -X102182736Y-135748134D01* -X102178400Y-135733840D01* -X102171358Y-135720666D01* -X102161882Y-135709118D01* -X102150334Y-135699642D01* -X102137160Y-135692600D01* -X102122866Y-135688264D01* -X102108000Y-135686800D01* -X101727000Y-135686800D01* -X101712134Y-135688264D01* -X101697840Y-135692600D01* -X101684666Y-135699642D01* -X101673118Y-135709118D01* -X101663642Y-135720666D01* -X101656600Y-135733840D01* -X101652264Y-135748134D01* -X101650800Y-135763000D01* -X101549200Y-135763000D01* -X101547736Y-135748134D01* -X101543400Y-135733840D01* -X101536358Y-135720666D01* -X101526882Y-135709118D01* -X101515334Y-135699642D01* -X101502160Y-135692600D01* -X101487866Y-135688264D01* -X101473000Y-135686800D01* -X101092000Y-135686800D01* -X101077134Y-135688264D01* -X101062840Y-135692600D01* -X101049666Y-135699642D01* -X101038118Y-135709118D01* -X101028642Y-135720666D01* -X101021600Y-135733840D01* -X101017264Y-135748134D01* -X101015800Y-135763000D01* -X99644200Y-135763000D01* -X99642736Y-135748134D01* -X99638400Y-135733840D01* -X99631358Y-135720666D01* -X99621882Y-135709118D01* -X99610334Y-135699642D01* -X99597160Y-135692600D01* -X99582866Y-135688264D01* -X99568000Y-135686800D01* -X99187000Y-135686800D01* -X99172134Y-135688264D01* -X99157840Y-135692600D01* -X99144666Y-135699642D01* -X99133118Y-135709118D01* -X99123642Y-135720666D01* -X99116600Y-135733840D01* -X99112264Y-135748134D01* -X99110800Y-135763000D01* -X99009200Y-135763000D01* -X99007736Y-135748134D01* -X99003400Y-135733840D01* -X98996358Y-135720666D01* -X98986882Y-135709118D01* -X98975334Y-135699642D01* -X98962160Y-135692600D01* -X98947866Y-135688264D01* -X98933000Y-135686800D01* -X98552000Y-135686800D01* -X98537134Y-135688264D01* -X98522840Y-135692600D01* -X98509666Y-135699642D01* -X98498118Y-135709118D01* -X98488642Y-135720666D01* -X98481600Y-135733840D01* -X98477264Y-135748134D01* -X98475800Y-135763000D01* -X97104200Y-135763000D01* -X97102736Y-135748134D01* -X97098400Y-135733840D01* -X97091358Y-135720666D01* -X97081882Y-135709118D01* -X97070334Y-135699642D01* -X97057160Y-135692600D01* -X97042866Y-135688264D01* -X97028000Y-135686800D01* -X96647000Y-135686800D01* -X96632134Y-135688264D01* -X96617840Y-135692600D01* -X96604666Y-135699642D01* -X96593118Y-135709118D01* -X96583642Y-135720666D01* -X96576600Y-135733840D01* -X96572264Y-135748134D01* -X96570800Y-135763000D01* -X96469200Y-135763000D01* -X96467736Y-135748134D01* -X96463400Y-135733840D01* -X96456358Y-135720666D01* -X96446882Y-135709118D01* -X96435334Y-135699642D01* -X96422160Y-135692600D01* -X96407866Y-135688264D01* -X96393000Y-135686800D01* -X96012000Y-135686800D01* -X95997134Y-135688264D01* -X95982840Y-135692600D01* -X95969666Y-135699642D01* -X95958118Y-135709118D01* -X95948642Y-135720666D01* -X95941600Y-135733840D01* -X95937264Y-135748134D01* -X95935800Y-135763000D01* -X94564200Y-135763000D01* -X94562736Y-135748134D01* -X94558400Y-135733840D01* -X94551358Y-135720666D01* -X94541882Y-135709118D01* -X94530334Y-135699642D01* -X94517160Y-135692600D01* -X94502866Y-135688264D01* -X94488000Y-135686800D01* -X94107000Y-135686800D01* -X94092134Y-135688264D01* -X94077840Y-135692600D01* -X94064666Y-135699642D01* -X94053118Y-135709118D01* -X94043642Y-135720666D01* -X94036600Y-135733840D01* -X94032264Y-135748134D01* -X94030800Y-135763000D01* -X93929200Y-135763000D01* -X93927736Y-135748134D01* -X93923400Y-135733840D01* -X93916358Y-135720666D01* -X93906882Y-135709118D01* -X93895334Y-135699642D01* -X93882160Y-135692600D01* -X93867866Y-135688264D01* -X93853000Y-135686800D01* -X93472000Y-135686800D01* -X93457134Y-135688264D01* -X93442840Y-135692600D01* -X93429666Y-135699642D01* -X93418118Y-135709118D01* -X93408642Y-135720666D01* -X93401600Y-135733840D01* -X93397264Y-135748134D01* -X93395800Y-135763000D01* -X92024200Y-135763000D01* -X92022736Y-135748134D01* -X92018400Y-135733840D01* -X92011358Y-135720666D01* -X92001882Y-135709118D01* -X91990334Y-135699642D01* -X91977160Y-135692600D01* -X91962866Y-135688264D01* -X91948000Y-135686800D01* -X91567000Y-135686800D01* -X91552134Y-135688264D01* -X91537840Y-135692600D01* -X91524666Y-135699642D01* -X91513118Y-135709118D01* -X91503642Y-135720666D01* -X91496600Y-135733840D01* -X91492264Y-135748134D01* -X91490800Y-135763000D01* -X91389200Y-135763000D01* -X91387736Y-135748134D01* -X91383400Y-135733840D01* -X91376358Y-135720666D01* -X91366882Y-135709118D01* -X91355334Y-135699642D01* -X91342160Y-135692600D01* -X91327866Y-135688264D01* -X91313000Y-135686800D01* -X90932000Y-135686800D01* -X90917134Y-135688264D01* -X90902840Y-135692600D01* -X90889666Y-135699642D01* -X90878118Y-135709118D01* -X90868642Y-135720666D01* -X90861600Y-135733840D01* -X90857264Y-135748134D01* -X90855800Y-135763000D01* -X89484200Y-135763000D01* -X89482736Y-135748134D01* -X89478400Y-135733840D01* -X89471358Y-135720666D01* -X89461882Y-135709118D01* -X89450334Y-135699642D01* -X89437160Y-135692600D01* -X89422866Y-135688264D01* -X89408000Y-135686800D01* -X89027000Y-135686800D01* -X89012134Y-135688264D01* -X88997840Y-135692600D01* -X88984666Y-135699642D01* -X88973118Y-135709118D01* -X88963642Y-135720666D01* -X88956600Y-135733840D01* -X88952264Y-135748134D01* -X88950800Y-135763000D01* -X88849200Y-135763000D01* -X88847736Y-135748134D01* -X88843400Y-135733840D01* -X88836358Y-135720666D01* -X88826882Y-135709118D01* -X88815334Y-135699642D01* -X88802160Y-135692600D01* -X88787866Y-135688264D01* -X88773000Y-135686800D01* -X88392000Y-135686800D01* -X88377134Y-135688264D01* -X88362840Y-135692600D01* -X88349666Y-135699642D01* -X88338118Y-135709118D01* -X88328642Y-135720666D01* -X88321600Y-135733840D01* -X88317264Y-135748134D01* -X88315800Y-135763000D01* -X86944200Y-135763000D01* -X86942736Y-135748134D01* -X86938400Y-135733840D01* -X86931358Y-135720666D01* -X86921882Y-135709118D01* -X86910334Y-135699642D01* -X86897160Y-135692600D01* -X86882866Y-135688264D01* -X86868000Y-135686800D01* -X86487000Y-135686800D01* -X86472134Y-135688264D01* -X86457840Y-135692600D01* -X86444666Y-135699642D01* -X86433118Y-135709118D01* -X86423642Y-135720666D01* -X86416600Y-135733840D01* -X86412264Y-135748134D01* -X86410800Y-135763000D01* -X86309200Y-135763000D01* -X86307736Y-135748134D01* -X86303400Y-135733840D01* -X86296358Y-135720666D01* -X86286882Y-135709118D01* -X86275334Y-135699642D01* -X86262160Y-135692600D01* -X86247866Y-135688264D01* -X86233000Y-135686800D01* -X85852000Y-135686800D01* -X85837134Y-135688264D01* -X85822840Y-135692600D01* -X85809666Y-135699642D01* -X85798118Y-135709118D01* -X85788642Y-135720666D01* -X85781600Y-135733840D01* -X85777264Y-135748134D01* -X85775800Y-135763000D01* -X84404200Y-135763000D01* -X84402736Y-135748134D01* -X84398400Y-135733840D01* -X84391358Y-135720666D01* -X84381882Y-135709118D01* -X84370334Y-135699642D01* -X84357160Y-135692600D01* -X84342866Y-135688264D01* -X84328000Y-135686800D01* -X83947000Y-135686800D01* -X83932134Y-135688264D01* -X83917840Y-135692600D01* -X83904666Y-135699642D01* -X83893118Y-135709118D01* -X83883642Y-135720666D01* -X83876600Y-135733840D01* -X83872264Y-135748134D01* -X83870800Y-135763000D01* -X83769200Y-135763000D01* -X83767736Y-135748134D01* -X83763400Y-135733840D01* -X83756358Y-135720666D01* -X83746882Y-135709118D01* -X83735334Y-135699642D01* -X83722160Y-135692600D01* -X83707866Y-135688264D01* -X83693000Y-135686800D01* -X83312000Y-135686800D01* -X83297134Y-135688264D01* -X83282840Y-135692600D01* -X83269666Y-135699642D01* -X83258118Y-135709118D01* -X83248642Y-135720666D01* -X83241600Y-135733840D01* -X83237264Y-135748134D01* -X83235800Y-135763000D01* -X81864200Y-135763000D01* -X81862736Y-135748134D01* -X81858400Y-135733840D01* -X81851358Y-135720666D01* -X81841882Y-135709118D01* -X81830334Y-135699642D01* -X81817160Y-135692600D01* -X81802866Y-135688264D01* -X81788000Y-135686800D01* -X81407000Y-135686800D01* -X81392134Y-135688264D01* -X81377840Y-135692600D01* -X81364666Y-135699642D01* -X81353118Y-135709118D01* -X81343642Y-135720666D01* -X81336600Y-135733840D01* -X81332264Y-135748134D01* -X81330800Y-135763000D01* -X81229200Y-135763000D01* -X81227736Y-135748134D01* -X81223400Y-135733840D01* -X81216358Y-135720666D01* -X81206882Y-135709118D01* -X81195334Y-135699642D01* -X81182160Y-135692600D01* -X81167866Y-135688264D01* -X81153000Y-135686800D01* -X80772000Y-135686800D01* -X80757134Y-135688264D01* -X80742840Y-135692600D01* -X80729666Y-135699642D01* -X80718118Y-135709118D01* -X80708642Y-135720666D01* -X80701600Y-135733840D01* -X80697264Y-135748134D01* -X80695800Y-135763000D01* -X79324200Y-135763000D01* -X79322736Y-135748134D01* -X79318400Y-135733840D01* -X79311358Y-135720666D01* -X79301882Y-135709118D01* -X79290334Y-135699642D01* -X79277160Y-135692600D01* -X79262866Y-135688264D01* -X79248000Y-135686800D01* -X78867000Y-135686800D01* -X78852134Y-135688264D01* -X78837840Y-135692600D01* -X78824666Y-135699642D01* -X78813118Y-135709118D01* -X78803642Y-135720666D01* -X78796600Y-135733840D01* -X78792264Y-135748134D01* -X78790800Y-135763000D01* -X78689200Y-135763000D01* -X78687736Y-135748134D01* -X78683400Y-135733840D01* -X78676358Y-135720666D01* -X78666882Y-135709118D01* -X78655334Y-135699642D01* -X78642160Y-135692600D01* -X78627866Y-135688264D01* -X78613000Y-135686800D01* -X78232000Y-135686800D01* -X78217134Y-135688264D01* -X78202840Y-135692600D01* -X78189666Y-135699642D01* -X78178118Y-135709118D01* -X78168642Y-135720666D01* -X78161600Y-135733840D01* -X78157264Y-135748134D01* -X78155800Y-135763000D01* -X76784200Y-135763000D01* -X76782736Y-135748134D01* -X76778400Y-135733840D01* -X76771358Y-135720666D01* -X76761882Y-135709118D01* -X76750334Y-135699642D01* -X76737160Y-135692600D01* -X76722866Y-135688264D01* -X76708000Y-135686800D01* -X76327000Y-135686800D01* -X76312134Y-135688264D01* -X76297840Y-135692600D01* -X76284666Y-135699642D01* -X76273118Y-135709118D01* -X76263642Y-135720666D01* -X76256600Y-135733840D01* -X76252264Y-135748134D01* -X76250800Y-135763000D01* -X76149200Y-135763000D01* -X76147736Y-135748134D01* -X76143400Y-135733840D01* -X76136358Y-135720666D01* -X76126882Y-135709118D01* -X76115334Y-135699642D01* -X76102160Y-135692600D01* -X76087866Y-135688264D01* -X76073000Y-135686800D01* -X75692000Y-135686800D01* -X75677134Y-135688264D01* -X75662840Y-135692600D01* -X75649666Y-135699642D01* -X75638118Y-135709118D01* -X75628642Y-135720666D01* -X75621600Y-135733840D01* -X75617264Y-135748134D01* -X75615800Y-135763000D01* -X74498200Y-135763000D01* -X74498200Y-135128000D01* -X75615800Y-135128000D01* -X75615800Y-135509000D01* -X75617264Y-135523866D01* -X75621600Y-135538160D01* -X75628642Y-135551334D01* -X75638118Y-135562882D01* -X75649666Y-135572358D01* -X75662840Y-135579400D01* -X75677134Y-135583736D01* -X75692000Y-135585200D01* -X76073000Y-135585200D01* -X76087866Y-135583736D01* -X76102160Y-135579400D01* -X76115334Y-135572358D01* -X76126882Y-135562882D01* -X76136358Y-135551334D01* -X76143400Y-135538160D01* -X76147736Y-135523866D01* -X76149200Y-135509000D01* -X76149200Y-135128000D01* -X76250800Y-135128000D01* -X76250800Y-135509000D01* -X76252264Y-135523866D01* -X76256600Y-135538160D01* -X76263642Y-135551334D01* -X76273118Y-135562882D01* -X76284666Y-135572358D01* -X76297840Y-135579400D01* -X76312134Y-135583736D01* -X76327000Y-135585200D01* -X76708000Y-135585200D01* -X76722866Y-135583736D01* -X76737160Y-135579400D01* -X76750334Y-135572358D01* -X76761882Y-135562882D01* -X76771358Y-135551334D01* -X76778400Y-135538160D01* -X76782736Y-135523866D01* -X76784200Y-135509000D01* -X76784200Y-135128000D01* -X78155800Y-135128000D01* -X78155800Y-135509000D01* -X78157264Y-135523866D01* -X78161600Y-135538160D01* -X78168642Y-135551334D01* -X78178118Y-135562882D01* -X78189666Y-135572358D01* -X78202840Y-135579400D01* -X78217134Y-135583736D01* -X78232000Y-135585200D01* -X78613000Y-135585200D01* -X78627866Y-135583736D01* -X78642160Y-135579400D01* -X78655334Y-135572358D01* -X78666882Y-135562882D01* -X78676358Y-135551334D01* -X78683400Y-135538160D01* -X78687736Y-135523866D01* -X78689200Y-135509000D01* -X78689200Y-135128000D01* -X78790800Y-135128000D01* -X78790800Y-135509000D01* -X78792264Y-135523866D01* -X78796600Y-135538160D01* -X78803642Y-135551334D01* -X78813118Y-135562882D01* -X78824666Y-135572358D01* -X78837840Y-135579400D01* -X78852134Y-135583736D01* -X78867000Y-135585200D01* -X79248000Y-135585200D01* -X79262866Y-135583736D01* -X79277160Y-135579400D01* -X79290334Y-135572358D01* -X79301882Y-135562882D01* -X79311358Y-135551334D01* -X79318400Y-135538160D01* -X79322736Y-135523866D01* -X79324200Y-135509000D01* -X79324200Y-135128000D01* -X80695800Y-135128000D01* -X80695800Y-135509000D01* -X80697264Y-135523866D01* -X80701600Y-135538160D01* -X80708642Y-135551334D01* -X80718118Y-135562882D01* -X80729666Y-135572358D01* -X80742840Y-135579400D01* -X80757134Y-135583736D01* -X80772000Y-135585200D01* -X81153000Y-135585200D01* -X81167866Y-135583736D01* -X81182160Y-135579400D01* -X81195334Y-135572358D01* -X81206882Y-135562882D01* -X81216358Y-135551334D01* -X81223400Y-135538160D01* -X81227736Y-135523866D01* -X81229200Y-135509000D01* -X81229200Y-135128000D01* -X81330800Y-135128000D01* -X81330800Y-135509000D01* -X81332264Y-135523866D01* -X81336600Y-135538160D01* -X81343642Y-135551334D01* -X81353118Y-135562882D01* -X81364666Y-135572358D01* -X81377840Y-135579400D01* -X81392134Y-135583736D01* -X81407000Y-135585200D01* -X81788000Y-135585200D01* -X81802866Y-135583736D01* -X81817160Y-135579400D01* -X81830334Y-135572358D01* -X81841882Y-135562882D01* -X81851358Y-135551334D01* -X81858400Y-135538160D01* -X81862736Y-135523866D01* -X81864200Y-135509000D01* -X81864200Y-135128000D01* -X83235800Y-135128000D01* -X83235800Y-135509000D01* -X83237264Y-135523866D01* -X83241600Y-135538160D01* -X83248642Y-135551334D01* -X83258118Y-135562882D01* -X83269666Y-135572358D01* -X83282840Y-135579400D01* -X83297134Y-135583736D01* -X83312000Y-135585200D01* -X83693000Y-135585200D01* -X83707866Y-135583736D01* -X83722160Y-135579400D01* -X83735334Y-135572358D01* -X83746882Y-135562882D01* -X83756358Y-135551334D01* -X83763400Y-135538160D01* -X83767736Y-135523866D01* -X83769200Y-135509000D01* -X83769200Y-135128000D01* -X83870800Y-135128000D01* -X83870800Y-135509000D01* -X83872264Y-135523866D01* -X83876600Y-135538160D01* -X83883642Y-135551334D01* -X83893118Y-135562882D01* -X83904666Y-135572358D01* -X83917840Y-135579400D01* -X83932134Y-135583736D01* -X83947000Y-135585200D01* -X84328000Y-135585200D01* -X84342866Y-135583736D01* -X84357160Y-135579400D01* -X84370334Y-135572358D01* -X84381882Y-135562882D01* -X84391358Y-135551334D01* -X84398400Y-135538160D01* -X84402736Y-135523866D01* -X84404200Y-135509000D01* -X84404200Y-135128000D01* -X85775800Y-135128000D01* -X85775800Y-135509000D01* -X85777264Y-135523866D01* -X85781600Y-135538160D01* -X85788642Y-135551334D01* -X85798118Y-135562882D01* -X85809666Y-135572358D01* -X85822840Y-135579400D01* -X85837134Y-135583736D01* -X85852000Y-135585200D01* -X86233000Y-135585200D01* -X86247866Y-135583736D01* -X86262160Y-135579400D01* -X86275334Y-135572358D01* -X86286882Y-135562882D01* -X86296358Y-135551334D01* -X86303400Y-135538160D01* -X86307736Y-135523866D01* -X86309200Y-135509000D01* -X86309200Y-135128000D01* -X86410800Y-135128000D01* -X86410800Y-135509000D01* -X86412264Y-135523866D01* -X86416600Y-135538160D01* -X86423642Y-135551334D01* -X86433118Y-135562882D01* -X86444666Y-135572358D01* -X86457840Y-135579400D01* -X86472134Y-135583736D01* -X86487000Y-135585200D01* -X86868000Y-135585200D01* -X86882866Y-135583736D01* -X86897160Y-135579400D01* -X86910334Y-135572358D01* -X86921882Y-135562882D01* -X86931358Y-135551334D01* -X86938400Y-135538160D01* -X86942736Y-135523866D01* -X86944200Y-135509000D01* -X86944200Y-135128000D01* -X88315800Y-135128000D01* -X88315800Y-135509000D01* -X88317264Y-135523866D01* -X88321600Y-135538160D01* -X88328642Y-135551334D01* -X88338118Y-135562882D01* -X88349666Y-135572358D01* -X88362840Y-135579400D01* -X88377134Y-135583736D01* -X88392000Y-135585200D01* -X88773000Y-135585200D01* -X88787866Y-135583736D01* -X88802160Y-135579400D01* -X88815334Y-135572358D01* -X88826882Y-135562882D01* -X88836358Y-135551334D01* -X88843400Y-135538160D01* -X88847736Y-135523866D01* -X88849200Y-135509000D01* -X88849200Y-135128000D01* -X88950800Y-135128000D01* -X88950800Y-135509000D01* -X88952264Y-135523866D01* -X88956600Y-135538160D01* -X88963642Y-135551334D01* -X88973118Y-135562882D01* -X88984666Y-135572358D01* -X88997840Y-135579400D01* -X89012134Y-135583736D01* -X89027000Y-135585200D01* -X89408000Y-135585200D01* -X89422866Y-135583736D01* -X89437160Y-135579400D01* -X89450334Y-135572358D01* -X89461882Y-135562882D01* -X89471358Y-135551334D01* -X89478400Y-135538160D01* -X89482736Y-135523866D01* -X89484200Y-135509000D01* -X89484200Y-135128000D01* -X90855800Y-135128000D01* -X90855800Y-135509000D01* -X90857264Y-135523866D01* -X90861600Y-135538160D01* -X90868642Y-135551334D01* -X90878118Y-135562882D01* -X90889666Y-135572358D01* -X90902840Y-135579400D01* -X90917134Y-135583736D01* -X90932000Y-135585200D01* -X91313000Y-135585200D01* -X91327866Y-135583736D01* -X91342160Y-135579400D01* -X91355334Y-135572358D01* -X91366882Y-135562882D01* -X91376358Y-135551334D01* -X91383400Y-135538160D01* -X91387736Y-135523866D01* -X91389200Y-135509000D01* -X91389200Y-135128000D01* -X91490800Y-135128000D01* -X91490800Y-135509000D01* -X91492264Y-135523866D01* -X91496600Y-135538160D01* -X91503642Y-135551334D01* -X91513118Y-135562882D01* -X91524666Y-135572358D01* -X91537840Y-135579400D01* -X91552134Y-135583736D01* -X91567000Y-135585200D01* -X91948000Y-135585200D01* -X91962866Y-135583736D01* -X91977160Y-135579400D01* -X91990334Y-135572358D01* -X92001882Y-135562882D01* -X92011358Y-135551334D01* -X92018400Y-135538160D01* -X92022736Y-135523866D01* -X92024200Y-135509000D01* -X92024200Y-135128000D01* -X93395800Y-135128000D01* -X93395800Y-135509000D01* -X93397264Y-135523866D01* -X93401600Y-135538160D01* -X93408642Y-135551334D01* -X93418118Y-135562882D01* -X93429666Y-135572358D01* -X93442840Y-135579400D01* -X93457134Y-135583736D01* -X93472000Y-135585200D01* -X93853000Y-135585200D01* -X93867866Y-135583736D01* -X93882160Y-135579400D01* -X93895334Y-135572358D01* -X93906882Y-135562882D01* -X93916358Y-135551334D01* -X93923400Y-135538160D01* -X93927736Y-135523866D01* -X93929200Y-135509000D01* -X93929200Y-135128000D01* -X94030800Y-135128000D01* -X94030800Y-135509000D01* -X94032264Y-135523866D01* -X94036600Y-135538160D01* -X94043642Y-135551334D01* -X94053118Y-135562882D01* -X94064666Y-135572358D01* -X94077840Y-135579400D01* -X94092134Y-135583736D01* -X94107000Y-135585200D01* -X94488000Y-135585200D01* -X94502866Y-135583736D01* -X94517160Y-135579400D01* -X94530334Y-135572358D01* -X94541882Y-135562882D01* -X94551358Y-135551334D01* -X94558400Y-135538160D01* -X94562736Y-135523866D01* -X94564200Y-135509000D01* -X94564200Y-135128000D01* -X95935800Y-135128000D01* -X95935800Y-135509000D01* -X95937264Y-135523866D01* -X95941600Y-135538160D01* -X95948642Y-135551334D01* -X95958118Y-135562882D01* -X95969666Y-135572358D01* -X95982840Y-135579400D01* -X95997134Y-135583736D01* -X96012000Y-135585200D01* -X96393000Y-135585200D01* -X96407866Y-135583736D01* -X96422160Y-135579400D01* -X96435334Y-135572358D01* -X96446882Y-135562882D01* -X96456358Y-135551334D01* -X96463400Y-135538160D01* -X96467736Y-135523866D01* -X96469200Y-135509000D01* -X96469200Y-135128000D01* -X96570800Y-135128000D01* -X96570800Y-135509000D01* -X96572264Y-135523866D01* -X96576600Y-135538160D01* -X96583642Y-135551334D01* -X96593118Y-135562882D01* -X96604666Y-135572358D01* -X96617840Y-135579400D01* -X96632134Y-135583736D01* -X96647000Y-135585200D01* -X97028000Y-135585200D01* -X97042866Y-135583736D01* -X97057160Y-135579400D01* -X97070334Y-135572358D01* -X97081882Y-135562882D01* -X97091358Y-135551334D01* -X97098400Y-135538160D01* -X97102736Y-135523866D01* -X97104200Y-135509000D01* -X97104200Y-135128000D01* -X98475800Y-135128000D01* -X98475800Y-135509000D01* -X98477264Y-135523866D01* -X98481600Y-135538160D01* -X98488642Y-135551334D01* -X98498118Y-135562882D01* -X98509666Y-135572358D01* -X98522840Y-135579400D01* -X98537134Y-135583736D01* -X98552000Y-135585200D01* -X98933000Y-135585200D01* -X98947866Y-135583736D01* -X98962160Y-135579400D01* -X98975334Y-135572358D01* -X98986882Y-135562882D01* -X98996358Y-135551334D01* -X99003400Y-135538160D01* -X99007736Y-135523866D01* -X99009200Y-135509000D01* -X99009200Y-135128000D01* -X99110800Y-135128000D01* -X99110800Y-135509000D01* -X99112264Y-135523866D01* -X99116600Y-135538160D01* -X99123642Y-135551334D01* -X99133118Y-135562882D01* -X99144666Y-135572358D01* -X99157840Y-135579400D01* -X99172134Y-135583736D01* -X99187000Y-135585200D01* -X99568000Y-135585200D01* -X99582866Y-135583736D01* -X99597160Y-135579400D01* -X99610334Y-135572358D01* -X99621882Y-135562882D01* -X99631358Y-135551334D01* -X99638400Y-135538160D01* -X99642736Y-135523866D01* -X99644200Y-135509000D01* -X99644200Y-135128000D01* -X101015800Y-135128000D01* -X101015800Y-135509000D01* -X101017264Y-135523866D01* -X101021600Y-135538160D01* -X101028642Y-135551334D01* -X101038118Y-135562882D01* -X101049666Y-135572358D01* -X101062840Y-135579400D01* -X101077134Y-135583736D01* -X101092000Y-135585200D01* -X101473000Y-135585200D01* -X101487866Y-135583736D01* -X101502160Y-135579400D01* -X101515334Y-135572358D01* -X101526882Y-135562882D01* -X101536358Y-135551334D01* -X101543400Y-135538160D01* -X101547736Y-135523866D01* -X101549200Y-135509000D01* -X101549200Y-135128000D01* -X101650800Y-135128000D01* -X101650800Y-135509000D01* -X101652264Y-135523866D01* -X101656600Y-135538160D01* -X101663642Y-135551334D01* -X101673118Y-135562882D01* -X101684666Y-135572358D01* -X101697840Y-135579400D01* -X101712134Y-135583736D01* -X101727000Y-135585200D01* -X102108000Y-135585200D01* -X102122866Y-135583736D01* -X102137160Y-135579400D01* -X102150334Y-135572358D01* -X102161882Y-135562882D01* -X102171358Y-135551334D01* -X102178400Y-135538160D01* -X102182736Y-135523866D01* -X102184200Y-135509000D01* -X102184200Y-135128000D01* -X103555800Y-135128000D01* -X103555800Y-135509000D01* -X103557264Y-135523866D01* -X103561600Y-135538160D01* -X103568642Y-135551334D01* -X103578118Y-135562882D01* -X103589666Y-135572358D01* -X103602840Y-135579400D01* -X103617134Y-135583736D01* -X103632000Y-135585200D01* -X104013000Y-135585200D01* -X104027866Y-135583736D01* -X104042160Y-135579400D01* -X104055334Y-135572358D01* -X104066882Y-135562882D01* -X104076358Y-135551334D01* -X104083400Y-135538160D01* -X104087736Y-135523866D01* -X104089200Y-135509000D01* -X104089200Y-135128000D01* -X104190800Y-135128000D01* -X104190800Y-135509000D01* -X104192264Y-135523866D01* -X104196600Y-135538160D01* -X104203642Y-135551334D01* -X104213118Y-135562882D01* -X104224666Y-135572358D01* -X104237840Y-135579400D01* -X104252134Y-135583736D01* -X104267000Y-135585200D01* -X104648000Y-135585200D01* -X104662866Y-135583736D01* -X104677160Y-135579400D01* -X104690334Y-135572358D01* -X104701882Y-135562882D01* -X104711358Y-135551334D01* -X104718400Y-135538160D01* -X104722736Y-135523866D01* -X104724200Y-135509000D01* -X104724200Y-135128000D01* -X106095800Y-135128000D01* -X106095800Y-135509000D01* -X106097264Y-135523866D01* -X106101600Y-135538160D01* -X106108642Y-135551334D01* -X106118118Y-135562882D01* -X106129666Y-135572358D01* -X106142840Y-135579400D01* -X106157134Y-135583736D01* -X106172000Y-135585200D01* -X106553000Y-135585200D01* -X106567866Y-135583736D01* -X106582160Y-135579400D01* -X106595334Y-135572358D01* -X106606882Y-135562882D01* -X106616358Y-135551334D01* -X106623400Y-135538160D01* -X106627736Y-135523866D01* -X106629200Y-135509000D01* -X106629200Y-135128000D01* -X106730800Y-135128000D01* -X106730800Y-135509000D01* -X106732264Y-135523866D01* -X106736600Y-135538160D01* -X106743642Y-135551334D01* -X106753118Y-135562882D01* -X106764666Y-135572358D01* -X106777840Y-135579400D01* -X106792134Y-135583736D01* -X106807000Y-135585200D01* -X107188000Y-135585200D01* -X107202866Y-135583736D01* -X107217160Y-135579400D01* -X107230334Y-135572358D01* -X107241882Y-135562882D01* -X107251358Y-135551334D01* -X107258400Y-135538160D01* -X107262736Y-135523866D01* -X107264200Y-135509000D01* -X107264200Y-135128000D01* -X108635800Y-135128000D01* -X108635800Y-135509000D01* -X108637264Y-135523866D01* -X108641600Y-135538160D01* -X108648642Y-135551334D01* -X108658118Y-135562882D01* -X108669666Y-135572358D01* -X108682840Y-135579400D01* -X108697134Y-135583736D01* -X108712000Y-135585200D01* -X109093000Y-135585200D01* -X109107866Y-135583736D01* -X109122160Y-135579400D01* -X109135334Y-135572358D01* -X109146882Y-135562882D01* -X109156358Y-135551334D01* -X109163400Y-135538160D01* -X109167736Y-135523866D01* -X109169200Y-135509000D01* -X109169200Y-135128000D01* -X109270800Y-135128000D01* -X109270800Y-135509000D01* -X109272264Y-135523866D01* -X109276600Y-135538160D01* -X109283642Y-135551334D01* -X109293118Y-135562882D01* -X109304666Y-135572358D01* -X109317840Y-135579400D01* -X109332134Y-135583736D01* -X109347000Y-135585200D01* -X109728000Y-135585200D01* -X109742866Y-135583736D01* -X109757160Y-135579400D01* -X109770334Y-135572358D01* -X109781882Y-135562882D01* -X109791358Y-135551334D01* -X109798400Y-135538160D01* -X109802736Y-135523866D01* -X109804200Y-135509000D01* -X109804200Y-135128000D01* -X111175800Y-135128000D01* -X111175800Y-135509000D01* -X111177264Y-135523866D01* -X111181600Y-135538160D01* -X111188642Y-135551334D01* -X111198118Y-135562882D01* -X111209666Y-135572358D01* -X111222840Y-135579400D01* -X111237134Y-135583736D01* -X111252000Y-135585200D01* -X111633000Y-135585200D01* -X111647866Y-135583736D01* -X111662160Y-135579400D01* -X111675334Y-135572358D01* -X111686882Y-135562882D01* -X111696358Y-135551334D01* -X111703400Y-135538160D01* -X111707736Y-135523866D01* -X111709200Y-135509000D01* -X111709200Y-135128000D01* -X111810800Y-135128000D01* -X111810800Y-135509000D01* -X111812264Y-135523866D01* -X111816600Y-135538160D01* -X111823642Y-135551334D01* -X111833118Y-135562882D01* -X111844666Y-135572358D01* -X111857840Y-135579400D01* -X111872134Y-135583736D01* -X111887000Y-135585200D01* -X112268000Y-135585200D01* -X112282866Y-135583736D01* -X112297160Y-135579400D01* -X112310334Y-135572358D01* -X112321882Y-135562882D01* -X112331358Y-135551334D01* -X112338400Y-135538160D01* -X112342736Y-135523866D01* -X112344200Y-135509000D01* -X112344200Y-135128000D01* -X113715800Y-135128000D01* -X113715800Y-135509000D01* -X113717264Y-135523866D01* -X113721600Y-135538160D01* -X113728642Y-135551334D01* -X113738118Y-135562882D01* -X113749666Y-135572358D01* -X113762840Y-135579400D01* -X113777134Y-135583736D01* -X113792000Y-135585200D01* -X114173000Y-135585200D01* -X114187866Y-135583736D01* -X114202160Y-135579400D01* -X114215334Y-135572358D01* -X114226882Y-135562882D01* -X114236358Y-135551334D01* -X114243400Y-135538160D01* -X114247736Y-135523866D01* -X114249200Y-135509000D01* -X114249200Y-135128000D01* -X114350800Y-135128000D01* -X114350800Y-135509000D01* -X114352264Y-135523866D01* -X114356600Y-135538160D01* -X114363642Y-135551334D01* -X114373118Y-135562882D01* -X114384666Y-135572358D01* -X114397840Y-135579400D01* -X114412134Y-135583736D01* -X114427000Y-135585200D01* -X114808000Y-135585200D01* -X114822866Y-135583736D01* -X114837160Y-135579400D01* -X114850334Y-135572358D01* -X114861882Y-135562882D01* -X114871358Y-135551334D01* -X114878400Y-135538160D01* -X114882736Y-135523866D01* -X114884200Y-135509000D01* -X114884200Y-135128000D01* -X116255800Y-135128000D01* -X116255800Y-135509000D01* -X116257264Y-135523866D01* -X116261600Y-135538160D01* -X116268642Y-135551334D01* -X116278118Y-135562882D01* -X116289666Y-135572358D01* -X116302840Y-135579400D01* -X116317134Y-135583736D01* -X116332000Y-135585200D01* -X116713000Y-135585200D01* -X116727866Y-135583736D01* -X116742160Y-135579400D01* -X116755334Y-135572358D01* -X116766882Y-135562882D01* -X116776358Y-135551334D01* -X116783400Y-135538160D01* -X116787736Y-135523866D01* -X116789200Y-135509000D01* -X116789200Y-135128000D01* -X116890800Y-135128000D01* -X116890800Y-135509000D01* -X116892264Y-135523866D01* -X116896600Y-135538160D01* -X116903642Y-135551334D01* -X116913118Y-135562882D01* -X116924666Y-135572358D01* -X116937840Y-135579400D01* -X116952134Y-135583736D01* -X116967000Y-135585200D01* -X117348000Y-135585200D01* -X117362866Y-135583736D01* -X117377160Y-135579400D01* -X117390334Y-135572358D01* -X117401882Y-135562882D01* -X117411358Y-135551334D01* -X117418400Y-135538160D01* -X117422736Y-135523866D01* -X117424200Y-135509000D01* -X117424200Y-135128000D01* -X118795800Y-135128000D01* -X118795800Y-135509000D01* -X118797264Y-135523866D01* -X118801600Y-135538160D01* -X118808642Y-135551334D01* -X118818118Y-135562882D01* -X118829666Y-135572358D01* -X118842840Y-135579400D01* -X118857134Y-135583736D01* -X118872000Y-135585200D01* -X119253000Y-135585200D01* -X119267866Y-135583736D01* -X119282160Y-135579400D01* -X119295334Y-135572358D01* -X119306882Y-135562882D01* -X119316358Y-135551334D01* -X119323400Y-135538160D01* -X119327736Y-135523866D01* -X119329200Y-135509000D01* -X119329200Y-135128000D01* -X119430800Y-135128000D01* -X119430800Y-135509000D01* -X119432264Y-135523866D01* -X119436600Y-135538160D01* -X119443642Y-135551334D01* -X119453118Y-135562882D01* -X119464666Y-135572358D01* -X119477840Y-135579400D01* -X119492134Y-135583736D01* -X119507000Y-135585200D01* -X119888000Y-135585200D01* -X119902866Y-135583736D01* -X119917160Y-135579400D01* -X119930334Y-135572358D01* -X119941882Y-135562882D01* -X119951358Y-135551334D01* -X119958400Y-135538160D01* -X119962736Y-135523866D01* -X119964200Y-135509000D01* -X119964200Y-135128000D01* -X121335800Y-135128000D01* -X121335800Y-135509000D01* -X121337264Y-135523866D01* -X121341600Y-135538160D01* -X121348642Y-135551334D01* -X121358118Y-135562882D01* -X121369666Y-135572358D01* -X121382840Y-135579400D01* -X121397134Y-135583736D01* -X121412000Y-135585200D01* -X121793000Y-135585200D01* -X121807866Y-135583736D01* -X121822160Y-135579400D01* -X121835334Y-135572358D01* -X121846882Y-135562882D01* -X121856358Y-135551334D01* -X121863400Y-135538160D01* -X121867736Y-135523866D01* -X121869200Y-135509000D01* -X121869200Y-135128000D01* -X121970800Y-135128000D01* -X121970800Y-135509000D01* -X121972264Y-135523866D01* -X121976600Y-135538160D01* -X121983642Y-135551334D01* -X121993118Y-135562882D01* -X122004666Y-135572358D01* -X122017840Y-135579400D01* -X122032134Y-135583736D01* -X122047000Y-135585200D01* -X122428000Y-135585200D01* -X122442866Y-135583736D01* -X122457160Y-135579400D01* -X122470334Y-135572358D01* -X122481882Y-135562882D01* -X122491358Y-135551334D01* -X122498400Y-135538160D01* -X122502736Y-135523866D01* -X122504200Y-135509000D01* -X122504200Y-135128000D01* -X123875800Y-135128000D01* -X123875800Y-135509000D01* -X123877264Y-135523866D01* -X123881600Y-135538160D01* -X123888642Y-135551334D01* -X123898118Y-135562882D01* -X123909666Y-135572358D01* -X123922840Y-135579400D01* -X123937134Y-135583736D01* -X123952000Y-135585200D01* -X124333000Y-135585200D01* -X124347866Y-135583736D01* -X124362160Y-135579400D01* -X124375334Y-135572358D01* -X124386882Y-135562882D01* -X124396358Y-135551334D01* -X124403400Y-135538160D01* -X124407736Y-135523866D01* -X124409200Y-135509000D01* -X124409200Y-135128000D01* -X124510800Y-135128000D01* -X124510800Y-135509000D01* -X124512264Y-135523866D01* -X124516600Y-135538160D01* -X124523642Y-135551334D01* -X124533118Y-135562882D01* -X124544666Y-135572358D01* -X124557840Y-135579400D01* -X124572134Y-135583736D01* -X124587000Y-135585200D01* -X124968000Y-135585200D01* -X124982866Y-135583736D01* -X124997160Y-135579400D01* -X125010334Y-135572358D01* -X125021882Y-135562882D01* -X125031358Y-135551334D01* -X125038400Y-135538160D01* -X125042736Y-135523866D01* -X125044200Y-135509000D01* -X125044200Y-135128000D01* -X126415800Y-135128000D01* -X126415800Y-135509000D01* -X126417264Y-135523866D01* -X126421600Y-135538160D01* -X126428642Y-135551334D01* -X126438118Y-135562882D01* -X126449666Y-135572358D01* -X126462840Y-135579400D01* -X126477134Y-135583736D01* -X126492000Y-135585200D01* -X126873000Y-135585200D01* -X126887866Y-135583736D01* -X126902160Y-135579400D01* -X126915334Y-135572358D01* -X126926882Y-135562882D01* -X126936358Y-135551334D01* -X126943400Y-135538160D01* -X126947736Y-135523866D01* -X126949200Y-135509000D01* -X126949200Y-135128000D01* -X127050800Y-135128000D01* -X127050800Y-135509000D01* -X127052264Y-135523866D01* -X127056600Y-135538160D01* -X127063642Y-135551334D01* -X127073118Y-135562882D01* -X127084666Y-135572358D01* -X127097840Y-135579400D01* -X127112134Y-135583736D01* -X127127000Y-135585200D01* -X127508000Y-135585200D01* -X127522866Y-135583736D01* -X127537160Y-135579400D01* -X127550334Y-135572358D01* -X127561882Y-135562882D01* -X127571358Y-135551334D01* -X127578400Y-135538160D01* -X127582736Y-135523866D01* -X127584200Y-135509000D01* -X127584200Y-135128000D01* -X128955800Y-135128000D01* -X128955800Y-135509000D01* -X128957264Y-135523866D01* -X128961600Y-135538160D01* -X128968642Y-135551334D01* -X128978118Y-135562882D01* -X128989666Y-135572358D01* -X129002840Y-135579400D01* -X129017134Y-135583736D01* -X129032000Y-135585200D01* -X129413000Y-135585200D01* -X129427866Y-135583736D01* -X129442160Y-135579400D01* -X129455334Y-135572358D01* -X129466882Y-135562882D01* -X129476358Y-135551334D01* -X129483400Y-135538160D01* -X129487736Y-135523866D01* -X129489200Y-135509000D01* -X129489200Y-135128000D01* -X129590800Y-135128000D01* -X129590800Y-135509000D01* -X129592264Y-135523866D01* -X129596600Y-135538160D01* -X129603642Y-135551334D01* -X129613118Y-135562882D01* -X129624666Y-135572358D01* -X129637840Y-135579400D01* -X129652134Y-135583736D01* -X129667000Y-135585200D01* -X130048000Y-135585200D01* -X130062866Y-135583736D01* -X130077160Y-135579400D01* -X130090334Y-135572358D01* -X130101882Y-135562882D01* -X130111358Y-135551334D01* -X130118400Y-135538160D01* -X130122736Y-135523866D01* -X130124200Y-135509000D01* -X130124200Y-135128000D01* -X131495800Y-135128000D01* -X131495800Y-135509000D01* -X131497264Y-135523866D01* -X131501600Y-135538160D01* -X131508642Y-135551334D01* -X131518118Y-135562882D01* -X131529666Y-135572358D01* -X131542840Y-135579400D01* -X131557134Y-135583736D01* -X131572000Y-135585200D01* -X131953000Y-135585200D01* -X131967866Y-135583736D01* -X131982160Y-135579400D01* -X131995334Y-135572358D01* -X132006882Y-135562882D01* -X132016358Y-135551334D01* -X132023400Y-135538160D01* -X132027736Y-135523866D01* -X132029200Y-135509000D01* -X132029200Y-135128000D01* -X132130800Y-135128000D01* -X132130800Y-135509000D01* -X132132264Y-135523866D01* -X132136600Y-135538160D01* -X132143642Y-135551334D01* -X132153118Y-135562882D01* -X132164666Y-135572358D01* -X132177840Y-135579400D01* -X132192134Y-135583736D01* -X132207000Y-135585200D01* -X132588000Y-135585200D01* -X132602866Y-135583736D01* -X132617160Y-135579400D01* -X132630334Y-135572358D01* -X132641882Y-135562882D01* -X132651358Y-135551334D01* -X132658400Y-135538160D01* -X132662736Y-135523866D01* -X132664200Y-135509000D01* -X132664200Y-135128000D01* -X134035800Y-135128000D01* -X134035800Y-135509000D01* -X134037264Y-135523866D01* -X134041600Y-135538160D01* -X134048642Y-135551334D01* -X134058118Y-135562882D01* -X134069666Y-135572358D01* -X134082840Y-135579400D01* -X134097134Y-135583736D01* -X134112000Y-135585200D01* -X134493000Y-135585200D01* -X134507866Y-135583736D01* -X134522160Y-135579400D01* -X134535334Y-135572358D01* -X134546882Y-135562882D01* -X134556358Y-135551334D01* -X134563400Y-135538160D01* -X134567736Y-135523866D01* -X134569200Y-135509000D01* -X134569200Y-135128000D01* -X134670800Y-135128000D01* -X134670800Y-135509000D01* -X134672264Y-135523866D01* -X134676600Y-135538160D01* -X134683642Y-135551334D01* -X134693118Y-135562882D01* -X134704666Y-135572358D01* -X134717840Y-135579400D01* -X134732134Y-135583736D01* -X134747000Y-135585200D01* -X135128000Y-135585200D01* -X135142866Y-135583736D01* -X135157160Y-135579400D01* -X135170334Y-135572358D01* -X135181882Y-135562882D01* -X135191358Y-135551334D01* -X135198400Y-135538160D01* -X135202736Y-135523866D01* -X135204200Y-135509000D01* -X135204200Y-135128000D01* -X135202736Y-135113134D01* -X135198400Y-135098840D01* -X135191358Y-135085666D01* -X135181882Y-135074118D01* -X135170334Y-135064642D01* -X135157160Y-135057600D01* -X135142866Y-135053264D01* -X135128000Y-135051800D01* -X134747000Y-135051800D01* -X134732134Y-135053264D01* -X134717840Y-135057600D01* -X134704666Y-135064642D01* -X134693118Y-135074118D01* -X134683642Y-135085666D01* -X134676600Y-135098840D01* -X134672264Y-135113134D01* -X134670800Y-135128000D01* -X134569200Y-135128000D01* -X134567736Y-135113134D01* -X134563400Y-135098840D01* -X134556358Y-135085666D01* -X134546882Y-135074118D01* -X134535334Y-135064642D01* -X134522160Y-135057600D01* -X134507866Y-135053264D01* -X134493000Y-135051800D01* -X134112000Y-135051800D01* -X134097134Y-135053264D01* -X134082840Y-135057600D01* -X134069666Y-135064642D01* -X134058118Y-135074118D01* -X134048642Y-135085666D01* -X134041600Y-135098840D01* -X134037264Y-135113134D01* -X134035800Y-135128000D01* -X132664200Y-135128000D01* -X132662736Y-135113134D01* -X132658400Y-135098840D01* -X132651358Y-135085666D01* -X132641882Y-135074118D01* -X132630334Y-135064642D01* -X132617160Y-135057600D01* -X132602866Y-135053264D01* -X132588000Y-135051800D01* -X132207000Y-135051800D01* -X132192134Y-135053264D01* -X132177840Y-135057600D01* -X132164666Y-135064642D01* -X132153118Y-135074118D01* -X132143642Y-135085666D01* -X132136600Y-135098840D01* -X132132264Y-135113134D01* -X132130800Y-135128000D01* -X132029200Y-135128000D01* -X132027736Y-135113134D01* -X132023400Y-135098840D01* -X132016358Y-135085666D01* -X132006882Y-135074118D01* -X131995334Y-135064642D01* -X131982160Y-135057600D01* -X131967866Y-135053264D01* -X131953000Y-135051800D01* -X131572000Y-135051800D01* -X131557134Y-135053264D01* -X131542840Y-135057600D01* -X131529666Y-135064642D01* -X131518118Y-135074118D01* -X131508642Y-135085666D01* -X131501600Y-135098840D01* -X131497264Y-135113134D01* -X131495800Y-135128000D01* -X130124200Y-135128000D01* -X130122736Y-135113134D01* -X130118400Y-135098840D01* -X130111358Y-135085666D01* -X130101882Y-135074118D01* -X130090334Y-135064642D01* -X130077160Y-135057600D01* -X130062866Y-135053264D01* -X130048000Y-135051800D01* -X129667000Y-135051800D01* -X129652134Y-135053264D01* -X129637840Y-135057600D01* -X129624666Y-135064642D01* -X129613118Y-135074118D01* -X129603642Y-135085666D01* -X129596600Y-135098840D01* -X129592264Y-135113134D01* -X129590800Y-135128000D01* -X129489200Y-135128000D01* -X129487736Y-135113134D01* -X129483400Y-135098840D01* -X129476358Y-135085666D01* -X129466882Y-135074118D01* -X129455334Y-135064642D01* -X129442160Y-135057600D01* -X129427866Y-135053264D01* -X129413000Y-135051800D01* -X129032000Y-135051800D01* -X129017134Y-135053264D01* -X129002840Y-135057600D01* -X128989666Y-135064642D01* -X128978118Y-135074118D01* -X128968642Y-135085666D01* -X128961600Y-135098840D01* -X128957264Y-135113134D01* -X128955800Y-135128000D01* -X127584200Y-135128000D01* -X127582736Y-135113134D01* -X127578400Y-135098840D01* -X127571358Y-135085666D01* -X127561882Y-135074118D01* -X127550334Y-135064642D01* -X127537160Y-135057600D01* -X127522866Y-135053264D01* -X127508000Y-135051800D01* -X127127000Y-135051800D01* -X127112134Y-135053264D01* -X127097840Y-135057600D01* -X127084666Y-135064642D01* -X127073118Y-135074118D01* -X127063642Y-135085666D01* -X127056600Y-135098840D01* -X127052264Y-135113134D01* -X127050800Y-135128000D01* -X126949200Y-135128000D01* -X126947736Y-135113134D01* -X126943400Y-135098840D01* -X126936358Y-135085666D01* -X126926882Y-135074118D01* -X126915334Y-135064642D01* -X126902160Y-135057600D01* -X126887866Y-135053264D01* -X126873000Y-135051800D01* -X126492000Y-135051800D01* -X126477134Y-135053264D01* -X126462840Y-135057600D01* -X126449666Y-135064642D01* -X126438118Y-135074118D01* -X126428642Y-135085666D01* -X126421600Y-135098840D01* -X126417264Y-135113134D01* -X126415800Y-135128000D01* -X125044200Y-135128000D01* -X125042736Y-135113134D01* -X125038400Y-135098840D01* -X125031358Y-135085666D01* -X125021882Y-135074118D01* -X125010334Y-135064642D01* -X124997160Y-135057600D01* -X124982866Y-135053264D01* -X124968000Y-135051800D01* -X124587000Y-135051800D01* -X124572134Y-135053264D01* -X124557840Y-135057600D01* -X124544666Y-135064642D01* -X124533118Y-135074118D01* -X124523642Y-135085666D01* -X124516600Y-135098840D01* -X124512264Y-135113134D01* -X124510800Y-135128000D01* -X124409200Y-135128000D01* -X124407736Y-135113134D01* -X124403400Y-135098840D01* -X124396358Y-135085666D01* -X124386882Y-135074118D01* -X124375334Y-135064642D01* -X124362160Y-135057600D01* -X124347866Y-135053264D01* -X124333000Y-135051800D01* -X123952000Y-135051800D01* -X123937134Y-135053264D01* -X123922840Y-135057600D01* -X123909666Y-135064642D01* -X123898118Y-135074118D01* -X123888642Y-135085666D01* -X123881600Y-135098840D01* -X123877264Y-135113134D01* -X123875800Y-135128000D01* -X122504200Y-135128000D01* -X122502736Y-135113134D01* -X122498400Y-135098840D01* -X122491358Y-135085666D01* -X122481882Y-135074118D01* -X122470334Y-135064642D01* -X122457160Y-135057600D01* -X122442866Y-135053264D01* -X122428000Y-135051800D01* -X122047000Y-135051800D01* -X122032134Y-135053264D01* -X122017840Y-135057600D01* -X122004666Y-135064642D01* -X121993118Y-135074118D01* -X121983642Y-135085666D01* -X121976600Y-135098840D01* -X121972264Y-135113134D01* -X121970800Y-135128000D01* -X121869200Y-135128000D01* -X121867736Y-135113134D01* -X121863400Y-135098840D01* -X121856358Y-135085666D01* -X121846882Y-135074118D01* -X121835334Y-135064642D01* -X121822160Y-135057600D01* -X121807866Y-135053264D01* -X121793000Y-135051800D01* -X121412000Y-135051800D01* -X121397134Y-135053264D01* -X121382840Y-135057600D01* -X121369666Y-135064642D01* -X121358118Y-135074118D01* -X121348642Y-135085666D01* -X121341600Y-135098840D01* -X121337264Y-135113134D01* -X121335800Y-135128000D01* -X119964200Y-135128000D01* -X119962736Y-135113134D01* -X119958400Y-135098840D01* -X119951358Y-135085666D01* -X119941882Y-135074118D01* -X119930334Y-135064642D01* -X119917160Y-135057600D01* -X119902866Y-135053264D01* -X119888000Y-135051800D01* -X119507000Y-135051800D01* -X119492134Y-135053264D01* -X119477840Y-135057600D01* -X119464666Y-135064642D01* -X119453118Y-135074118D01* -X119443642Y-135085666D01* -X119436600Y-135098840D01* -X119432264Y-135113134D01* -X119430800Y-135128000D01* -X119329200Y-135128000D01* -X119327736Y-135113134D01* -X119323400Y-135098840D01* -X119316358Y-135085666D01* -X119306882Y-135074118D01* -X119295334Y-135064642D01* -X119282160Y-135057600D01* -X119267866Y-135053264D01* -X119253000Y-135051800D01* -X118872000Y-135051800D01* -X118857134Y-135053264D01* -X118842840Y-135057600D01* -X118829666Y-135064642D01* -X118818118Y-135074118D01* -X118808642Y-135085666D01* -X118801600Y-135098840D01* -X118797264Y-135113134D01* -X118795800Y-135128000D01* -X117424200Y-135128000D01* -X117422736Y-135113134D01* -X117418400Y-135098840D01* -X117411358Y-135085666D01* -X117401882Y-135074118D01* -X117390334Y-135064642D01* -X117377160Y-135057600D01* -X117362866Y-135053264D01* -X117348000Y-135051800D01* -X116967000Y-135051800D01* -X116952134Y-135053264D01* -X116937840Y-135057600D01* -X116924666Y-135064642D01* -X116913118Y-135074118D01* -X116903642Y-135085666D01* -X116896600Y-135098840D01* -X116892264Y-135113134D01* -X116890800Y-135128000D01* -X116789200Y-135128000D01* -X116787736Y-135113134D01* -X116783400Y-135098840D01* -X116776358Y-135085666D01* -X116766882Y-135074118D01* -X116755334Y-135064642D01* -X116742160Y-135057600D01* -X116727866Y-135053264D01* -X116713000Y-135051800D01* -X116332000Y-135051800D01* -X116317134Y-135053264D01* -X116302840Y-135057600D01* -X116289666Y-135064642D01* -X116278118Y-135074118D01* -X116268642Y-135085666D01* -X116261600Y-135098840D01* -X116257264Y-135113134D01* -X116255800Y-135128000D01* -X114884200Y-135128000D01* -X114882736Y-135113134D01* -X114878400Y-135098840D01* -X114871358Y-135085666D01* -X114861882Y-135074118D01* -X114850334Y-135064642D01* -X114837160Y-135057600D01* -X114822866Y-135053264D01* -X114808000Y-135051800D01* -X114427000Y-135051800D01* -X114412134Y-135053264D01* -X114397840Y-135057600D01* -X114384666Y-135064642D01* -X114373118Y-135074118D01* -X114363642Y-135085666D01* -X114356600Y-135098840D01* -X114352264Y-135113134D01* -X114350800Y-135128000D01* -X114249200Y-135128000D01* -X114247736Y-135113134D01* -X114243400Y-135098840D01* -X114236358Y-135085666D01* -X114226882Y-135074118D01* -X114215334Y-135064642D01* -X114202160Y-135057600D01* -X114187866Y-135053264D01* -X114173000Y-135051800D01* -X113792000Y-135051800D01* -X113777134Y-135053264D01* -X113762840Y-135057600D01* -X113749666Y-135064642D01* -X113738118Y-135074118D01* -X113728642Y-135085666D01* -X113721600Y-135098840D01* -X113717264Y-135113134D01* -X113715800Y-135128000D01* -X112344200Y-135128000D01* -X112342736Y-135113134D01* -X112338400Y-135098840D01* -X112331358Y-135085666D01* -X112321882Y-135074118D01* -X112310334Y-135064642D01* -X112297160Y-135057600D01* -X112282866Y-135053264D01* -X112268000Y-135051800D01* -X111887000Y-135051800D01* -X111872134Y-135053264D01* -X111857840Y-135057600D01* -X111844666Y-135064642D01* -X111833118Y-135074118D01* -X111823642Y-135085666D01* -X111816600Y-135098840D01* -X111812264Y-135113134D01* -X111810800Y-135128000D01* -X111709200Y-135128000D01* -X111707736Y-135113134D01* -X111703400Y-135098840D01* -X111696358Y-135085666D01* -X111686882Y-135074118D01* -X111675334Y-135064642D01* -X111662160Y-135057600D01* -X111647866Y-135053264D01* -X111633000Y-135051800D01* -X111252000Y-135051800D01* -X111237134Y-135053264D01* -X111222840Y-135057600D01* -X111209666Y-135064642D01* -X111198118Y-135074118D01* -X111188642Y-135085666D01* -X111181600Y-135098840D01* -X111177264Y-135113134D01* -X111175800Y-135128000D01* -X109804200Y-135128000D01* -X109802736Y-135113134D01* -X109798400Y-135098840D01* -X109791358Y-135085666D01* -X109781882Y-135074118D01* -X109770334Y-135064642D01* -X109757160Y-135057600D01* -X109742866Y-135053264D01* -X109728000Y-135051800D01* -X109347000Y-135051800D01* -X109332134Y-135053264D01* -X109317840Y-135057600D01* -X109304666Y-135064642D01* -X109293118Y-135074118D01* -X109283642Y-135085666D01* -X109276600Y-135098840D01* -X109272264Y-135113134D01* -X109270800Y-135128000D01* -X109169200Y-135128000D01* -X109167736Y-135113134D01* -X109163400Y-135098840D01* -X109156358Y-135085666D01* -X109146882Y-135074118D01* -X109135334Y-135064642D01* -X109122160Y-135057600D01* -X109107866Y-135053264D01* -X109093000Y-135051800D01* -X108712000Y-135051800D01* -X108697134Y-135053264D01* -X108682840Y-135057600D01* -X108669666Y-135064642D01* -X108658118Y-135074118D01* -X108648642Y-135085666D01* -X108641600Y-135098840D01* -X108637264Y-135113134D01* -X108635800Y-135128000D01* -X107264200Y-135128000D01* -X107262736Y-135113134D01* -X107258400Y-135098840D01* -X107251358Y-135085666D01* -X107241882Y-135074118D01* -X107230334Y-135064642D01* -X107217160Y-135057600D01* -X107202866Y-135053264D01* -X107188000Y-135051800D01* -X106807000Y-135051800D01* -X106792134Y-135053264D01* -X106777840Y-135057600D01* -X106764666Y-135064642D01* -X106753118Y-135074118D01* -X106743642Y-135085666D01* -X106736600Y-135098840D01* -X106732264Y-135113134D01* -X106730800Y-135128000D01* -X106629200Y-135128000D01* -X106627736Y-135113134D01* -X106623400Y-135098840D01* -X106616358Y-135085666D01* -X106606882Y-135074118D01* -X106595334Y-135064642D01* -X106582160Y-135057600D01* -X106567866Y-135053264D01* -X106553000Y-135051800D01* -X106172000Y-135051800D01* -X106157134Y-135053264D01* -X106142840Y-135057600D01* -X106129666Y-135064642D01* -X106118118Y-135074118D01* -X106108642Y-135085666D01* -X106101600Y-135098840D01* -X106097264Y-135113134D01* -X106095800Y-135128000D01* -X104724200Y-135128000D01* -X104722736Y-135113134D01* -X104718400Y-135098840D01* -X104711358Y-135085666D01* -X104701882Y-135074118D01* -X104690334Y-135064642D01* -X104677160Y-135057600D01* -X104662866Y-135053264D01* -X104648000Y-135051800D01* -X104267000Y-135051800D01* -X104252134Y-135053264D01* -X104237840Y-135057600D01* -X104224666Y-135064642D01* -X104213118Y-135074118D01* -X104203642Y-135085666D01* -X104196600Y-135098840D01* -X104192264Y-135113134D01* -X104190800Y-135128000D01* -X104089200Y-135128000D01* -X104087736Y-135113134D01* -X104083400Y-135098840D01* -X104076358Y-135085666D01* -X104066882Y-135074118D01* -X104055334Y-135064642D01* -X104042160Y-135057600D01* -X104027866Y-135053264D01* -X104013000Y-135051800D01* -X103632000Y-135051800D01* -X103617134Y-135053264D01* -X103602840Y-135057600D01* -X103589666Y-135064642D01* -X103578118Y-135074118D01* -X103568642Y-135085666D01* -X103561600Y-135098840D01* -X103557264Y-135113134D01* -X103555800Y-135128000D01* -X102184200Y-135128000D01* -X102182736Y-135113134D01* -X102178400Y-135098840D01* -X102171358Y-135085666D01* -X102161882Y-135074118D01* -X102150334Y-135064642D01* -X102137160Y-135057600D01* -X102122866Y-135053264D01* -X102108000Y-135051800D01* -X101727000Y-135051800D01* -X101712134Y-135053264D01* -X101697840Y-135057600D01* -X101684666Y-135064642D01* -X101673118Y-135074118D01* -X101663642Y-135085666D01* -X101656600Y-135098840D01* -X101652264Y-135113134D01* -X101650800Y-135128000D01* -X101549200Y-135128000D01* -X101547736Y-135113134D01* -X101543400Y-135098840D01* -X101536358Y-135085666D01* -X101526882Y-135074118D01* -X101515334Y-135064642D01* -X101502160Y-135057600D01* -X101487866Y-135053264D01* -X101473000Y-135051800D01* -X101092000Y-135051800D01* -X101077134Y-135053264D01* -X101062840Y-135057600D01* -X101049666Y-135064642D01* -X101038118Y-135074118D01* -X101028642Y-135085666D01* -X101021600Y-135098840D01* -X101017264Y-135113134D01* -X101015800Y-135128000D01* -X99644200Y-135128000D01* -X99642736Y-135113134D01* -X99638400Y-135098840D01* -X99631358Y-135085666D01* -X99621882Y-135074118D01* -X99610334Y-135064642D01* -X99597160Y-135057600D01* -X99582866Y-135053264D01* -X99568000Y-135051800D01* -X99187000Y-135051800D01* -X99172134Y-135053264D01* -X99157840Y-135057600D01* -X99144666Y-135064642D01* -X99133118Y-135074118D01* -X99123642Y-135085666D01* -X99116600Y-135098840D01* -X99112264Y-135113134D01* -X99110800Y-135128000D01* -X99009200Y-135128000D01* -X99007736Y-135113134D01* -X99003400Y-135098840D01* -X98996358Y-135085666D01* -X98986882Y-135074118D01* -X98975334Y-135064642D01* -X98962160Y-135057600D01* -X98947866Y-135053264D01* -X98933000Y-135051800D01* -X98552000Y-135051800D01* -X98537134Y-135053264D01* -X98522840Y-135057600D01* -X98509666Y-135064642D01* -X98498118Y-135074118D01* -X98488642Y-135085666D01* -X98481600Y-135098840D01* -X98477264Y-135113134D01* -X98475800Y-135128000D01* -X97104200Y-135128000D01* -X97102736Y-135113134D01* -X97098400Y-135098840D01* -X97091358Y-135085666D01* -X97081882Y-135074118D01* -X97070334Y-135064642D01* -X97057160Y-135057600D01* -X97042866Y-135053264D01* -X97028000Y-135051800D01* -X96647000Y-135051800D01* -X96632134Y-135053264D01* -X96617840Y-135057600D01* -X96604666Y-135064642D01* -X96593118Y-135074118D01* -X96583642Y-135085666D01* -X96576600Y-135098840D01* -X96572264Y-135113134D01* -X96570800Y-135128000D01* -X96469200Y-135128000D01* -X96467736Y-135113134D01* -X96463400Y-135098840D01* -X96456358Y-135085666D01* -X96446882Y-135074118D01* -X96435334Y-135064642D01* -X96422160Y-135057600D01* -X96407866Y-135053264D01* -X96393000Y-135051800D01* -X96012000Y-135051800D01* -X95997134Y-135053264D01* -X95982840Y-135057600D01* -X95969666Y-135064642D01* -X95958118Y-135074118D01* -X95948642Y-135085666D01* -X95941600Y-135098840D01* -X95937264Y-135113134D01* -X95935800Y-135128000D01* -X94564200Y-135128000D01* -X94562736Y-135113134D01* -X94558400Y-135098840D01* -X94551358Y-135085666D01* -X94541882Y-135074118D01* -X94530334Y-135064642D01* -X94517160Y-135057600D01* -X94502866Y-135053264D01* -X94488000Y-135051800D01* -X94107000Y-135051800D01* -X94092134Y-135053264D01* -X94077840Y-135057600D01* -X94064666Y-135064642D01* -X94053118Y-135074118D01* -X94043642Y-135085666D01* -X94036600Y-135098840D01* -X94032264Y-135113134D01* -X94030800Y-135128000D01* -X93929200Y-135128000D01* -X93927736Y-135113134D01* -X93923400Y-135098840D01* -X93916358Y-135085666D01* -X93906882Y-135074118D01* -X93895334Y-135064642D01* -X93882160Y-135057600D01* -X93867866Y-135053264D01* -X93853000Y-135051800D01* -X93472000Y-135051800D01* -X93457134Y-135053264D01* -X93442840Y-135057600D01* -X93429666Y-135064642D01* -X93418118Y-135074118D01* -X93408642Y-135085666D01* -X93401600Y-135098840D01* -X93397264Y-135113134D01* -X93395800Y-135128000D01* -X92024200Y-135128000D01* -X92022736Y-135113134D01* -X92018400Y-135098840D01* -X92011358Y-135085666D01* -X92001882Y-135074118D01* -X91990334Y-135064642D01* -X91977160Y-135057600D01* -X91962866Y-135053264D01* -X91948000Y-135051800D01* -X91567000Y-135051800D01* -X91552134Y-135053264D01* -X91537840Y-135057600D01* -X91524666Y-135064642D01* -X91513118Y-135074118D01* -X91503642Y-135085666D01* -X91496600Y-135098840D01* -X91492264Y-135113134D01* -X91490800Y-135128000D01* -X91389200Y-135128000D01* -X91387736Y-135113134D01* -X91383400Y-135098840D01* -X91376358Y-135085666D01* -X91366882Y-135074118D01* -X91355334Y-135064642D01* -X91342160Y-135057600D01* -X91327866Y-135053264D01* -X91313000Y-135051800D01* -X90932000Y-135051800D01* -X90917134Y-135053264D01* -X90902840Y-135057600D01* -X90889666Y-135064642D01* -X90878118Y-135074118D01* -X90868642Y-135085666D01* -X90861600Y-135098840D01* -X90857264Y-135113134D01* -X90855800Y-135128000D01* -X89484200Y-135128000D01* -X89482736Y-135113134D01* -X89478400Y-135098840D01* -X89471358Y-135085666D01* -X89461882Y-135074118D01* -X89450334Y-135064642D01* -X89437160Y-135057600D01* -X89422866Y-135053264D01* -X89408000Y-135051800D01* -X89027000Y-135051800D01* -X89012134Y-135053264D01* -X88997840Y-135057600D01* -X88984666Y-135064642D01* -X88973118Y-135074118D01* -X88963642Y-135085666D01* -X88956600Y-135098840D01* -X88952264Y-135113134D01* -X88950800Y-135128000D01* -X88849200Y-135128000D01* -X88847736Y-135113134D01* -X88843400Y-135098840D01* -X88836358Y-135085666D01* -X88826882Y-135074118D01* -X88815334Y-135064642D01* -X88802160Y-135057600D01* -X88787866Y-135053264D01* -X88773000Y-135051800D01* -X88392000Y-135051800D01* -X88377134Y-135053264D01* -X88362840Y-135057600D01* -X88349666Y-135064642D01* -X88338118Y-135074118D01* -X88328642Y-135085666D01* -X88321600Y-135098840D01* -X88317264Y-135113134D01* -X88315800Y-135128000D01* -X86944200Y-135128000D01* -X86942736Y-135113134D01* -X86938400Y-135098840D01* -X86931358Y-135085666D01* -X86921882Y-135074118D01* -X86910334Y-135064642D01* -X86897160Y-135057600D01* -X86882866Y-135053264D01* -X86868000Y-135051800D01* -X86487000Y-135051800D01* -X86472134Y-135053264D01* -X86457840Y-135057600D01* -X86444666Y-135064642D01* -X86433118Y-135074118D01* -X86423642Y-135085666D01* -X86416600Y-135098840D01* -X86412264Y-135113134D01* -X86410800Y-135128000D01* -X86309200Y-135128000D01* -X86307736Y-135113134D01* -X86303400Y-135098840D01* -X86296358Y-135085666D01* -X86286882Y-135074118D01* -X86275334Y-135064642D01* -X86262160Y-135057600D01* -X86247866Y-135053264D01* -X86233000Y-135051800D01* -X85852000Y-135051800D01* -X85837134Y-135053264D01* -X85822840Y-135057600D01* -X85809666Y-135064642D01* -X85798118Y-135074118D01* -X85788642Y-135085666D01* -X85781600Y-135098840D01* -X85777264Y-135113134D01* -X85775800Y-135128000D01* -X84404200Y-135128000D01* -X84402736Y-135113134D01* -X84398400Y-135098840D01* -X84391358Y-135085666D01* -X84381882Y-135074118D01* -X84370334Y-135064642D01* -X84357160Y-135057600D01* -X84342866Y-135053264D01* -X84328000Y-135051800D01* -X83947000Y-135051800D01* -X83932134Y-135053264D01* -X83917840Y-135057600D01* -X83904666Y-135064642D01* -X83893118Y-135074118D01* -X83883642Y-135085666D01* -X83876600Y-135098840D01* -X83872264Y-135113134D01* -X83870800Y-135128000D01* -X83769200Y-135128000D01* -X83767736Y-135113134D01* -X83763400Y-135098840D01* -X83756358Y-135085666D01* -X83746882Y-135074118D01* -X83735334Y-135064642D01* -X83722160Y-135057600D01* -X83707866Y-135053264D01* -X83693000Y-135051800D01* -X83312000Y-135051800D01* -X83297134Y-135053264D01* -X83282840Y-135057600D01* -X83269666Y-135064642D01* -X83258118Y-135074118D01* -X83248642Y-135085666D01* -X83241600Y-135098840D01* -X83237264Y-135113134D01* -X83235800Y-135128000D01* -X81864200Y-135128000D01* -X81862736Y-135113134D01* -X81858400Y-135098840D01* -X81851358Y-135085666D01* -X81841882Y-135074118D01* -X81830334Y-135064642D01* -X81817160Y-135057600D01* -X81802866Y-135053264D01* -X81788000Y-135051800D01* -X81407000Y-135051800D01* -X81392134Y-135053264D01* -X81377840Y-135057600D01* -X81364666Y-135064642D01* -X81353118Y-135074118D01* -X81343642Y-135085666D01* -X81336600Y-135098840D01* -X81332264Y-135113134D01* -X81330800Y-135128000D01* -X81229200Y-135128000D01* -X81227736Y-135113134D01* -X81223400Y-135098840D01* -X81216358Y-135085666D01* -X81206882Y-135074118D01* -X81195334Y-135064642D01* -X81182160Y-135057600D01* -X81167866Y-135053264D01* -X81153000Y-135051800D01* -X80772000Y-135051800D01* -X80757134Y-135053264D01* -X80742840Y-135057600D01* -X80729666Y-135064642D01* -X80718118Y-135074118D01* -X80708642Y-135085666D01* -X80701600Y-135098840D01* -X80697264Y-135113134D01* -X80695800Y-135128000D01* -X79324200Y-135128000D01* -X79322736Y-135113134D01* -X79318400Y-135098840D01* -X79311358Y-135085666D01* -X79301882Y-135074118D01* -X79290334Y-135064642D01* -X79277160Y-135057600D01* -X79262866Y-135053264D01* -X79248000Y-135051800D01* -X78867000Y-135051800D01* -X78852134Y-135053264D01* -X78837840Y-135057600D01* -X78824666Y-135064642D01* -X78813118Y-135074118D01* -X78803642Y-135085666D01* -X78796600Y-135098840D01* -X78792264Y-135113134D01* -X78790800Y-135128000D01* -X78689200Y-135128000D01* -X78687736Y-135113134D01* -X78683400Y-135098840D01* -X78676358Y-135085666D01* -X78666882Y-135074118D01* -X78655334Y-135064642D01* -X78642160Y-135057600D01* -X78627866Y-135053264D01* -X78613000Y-135051800D01* -X78232000Y-135051800D01* -X78217134Y-135053264D01* -X78202840Y-135057600D01* -X78189666Y-135064642D01* -X78178118Y-135074118D01* -X78168642Y-135085666D01* -X78161600Y-135098840D01* -X78157264Y-135113134D01* -X78155800Y-135128000D01* -X76784200Y-135128000D01* -X76782736Y-135113134D01* -X76778400Y-135098840D01* -X76771358Y-135085666D01* -X76761882Y-135074118D01* -X76750334Y-135064642D01* -X76737160Y-135057600D01* -X76722866Y-135053264D01* -X76708000Y-135051800D01* -X76327000Y-135051800D01* -X76312134Y-135053264D01* -X76297840Y-135057600D01* -X76284666Y-135064642D01* -X76273118Y-135074118D01* -X76263642Y-135085666D01* -X76256600Y-135098840D01* -X76252264Y-135113134D01* -X76250800Y-135128000D01* -X76149200Y-135128000D01* -X76147736Y-135113134D01* -X76143400Y-135098840D01* -X76136358Y-135085666D01* -X76126882Y-135074118D01* -X76115334Y-135064642D01* -X76102160Y-135057600D01* -X76087866Y-135053264D01* -X76073000Y-135051800D01* -X75692000Y-135051800D01* -X75677134Y-135053264D01* -X75662840Y-135057600D01* -X75649666Y-135064642D01* -X75638118Y-135074118D01* -X75628642Y-135085666D01* -X75621600Y-135098840D01* -X75617264Y-135113134D01* -X75615800Y-135128000D01* -X74498200Y-135128000D01* -X74498200Y-134493000D01* -X75615800Y-134493000D01* -X75615800Y-134874000D01* -X75617264Y-134888866D01* -X75621600Y-134903160D01* -X75628642Y-134916334D01* -X75638118Y-134927882D01* -X75649666Y-134937358D01* -X75662840Y-134944400D01* -X75677134Y-134948736D01* -X75692000Y-134950200D01* -X76073000Y-134950200D01* -X76087866Y-134948736D01* -X76102160Y-134944400D01* -X76115334Y-134937358D01* -X76126882Y-134927882D01* -X76136358Y-134916334D01* -X76143400Y-134903160D01* -X76147736Y-134888866D01* -X76149200Y-134874000D01* -X76149200Y-134493000D01* -X76250800Y-134493000D01* -X76250800Y-134874000D01* -X76252264Y-134888866D01* -X76256600Y-134903160D01* -X76263642Y-134916334D01* -X76273118Y-134927882D01* -X76284666Y-134937358D01* -X76297840Y-134944400D01* -X76312134Y-134948736D01* -X76327000Y-134950200D01* -X76708000Y-134950200D01* -X76722866Y-134948736D01* -X76737160Y-134944400D01* -X76750334Y-134937358D01* -X76761882Y-134927882D01* -X76771358Y-134916334D01* -X76778400Y-134903160D01* -X76782736Y-134888866D01* -X76784200Y-134874000D01* -X76784200Y-134493000D01* -X78155800Y-134493000D01* -X78155800Y-134874000D01* -X78157264Y-134888866D01* -X78161600Y-134903160D01* -X78168642Y-134916334D01* -X78178118Y-134927882D01* -X78189666Y-134937358D01* -X78202840Y-134944400D01* -X78217134Y-134948736D01* -X78232000Y-134950200D01* -X78613000Y-134950200D01* -X78627866Y-134948736D01* -X78642160Y-134944400D01* -X78655334Y-134937358D01* -X78666882Y-134927882D01* -X78676358Y-134916334D01* -X78683400Y-134903160D01* -X78687736Y-134888866D01* -X78689200Y-134874000D01* -X78689200Y-134493000D01* -X78790800Y-134493000D01* -X78790800Y-134874000D01* -X78792264Y-134888866D01* -X78796600Y-134903160D01* -X78803642Y-134916334D01* -X78813118Y-134927882D01* -X78824666Y-134937358D01* -X78837840Y-134944400D01* -X78852134Y-134948736D01* -X78867000Y-134950200D01* -X79248000Y-134950200D01* -X79262866Y-134948736D01* -X79277160Y-134944400D01* -X79290334Y-134937358D01* -X79301882Y-134927882D01* -X79311358Y-134916334D01* -X79318400Y-134903160D01* -X79322736Y-134888866D01* -X79324200Y-134874000D01* -X79324200Y-134493000D01* -X80695800Y-134493000D01* -X80695800Y-134874000D01* -X80697264Y-134888866D01* -X80701600Y-134903160D01* -X80708642Y-134916334D01* -X80718118Y-134927882D01* -X80729666Y-134937358D01* -X80742840Y-134944400D01* -X80757134Y-134948736D01* -X80772000Y-134950200D01* -X81153000Y-134950200D01* -X81167866Y-134948736D01* -X81182160Y-134944400D01* -X81195334Y-134937358D01* -X81206882Y-134927882D01* -X81216358Y-134916334D01* -X81223400Y-134903160D01* -X81227736Y-134888866D01* -X81229200Y-134874000D01* -X81229200Y-134493000D01* -X81330800Y-134493000D01* -X81330800Y-134874000D01* -X81332264Y-134888866D01* -X81336600Y-134903160D01* -X81343642Y-134916334D01* -X81353118Y-134927882D01* -X81364666Y-134937358D01* -X81377840Y-134944400D01* -X81392134Y-134948736D01* -X81407000Y-134950200D01* -X81788000Y-134950200D01* -X81802866Y-134948736D01* -X81817160Y-134944400D01* -X81830334Y-134937358D01* -X81841882Y-134927882D01* -X81851358Y-134916334D01* -X81858400Y-134903160D01* -X81862736Y-134888866D01* -X81864200Y-134874000D01* -X81864200Y-134493000D01* -X83235800Y-134493000D01* -X83235800Y-134874000D01* -X83237264Y-134888866D01* -X83241600Y-134903160D01* -X83248642Y-134916334D01* -X83258118Y-134927882D01* -X83269666Y-134937358D01* -X83282840Y-134944400D01* -X83297134Y-134948736D01* -X83312000Y-134950200D01* -X83693000Y-134950200D01* -X83707866Y-134948736D01* -X83722160Y-134944400D01* -X83735334Y-134937358D01* -X83746882Y-134927882D01* -X83756358Y-134916334D01* -X83763400Y-134903160D01* -X83767736Y-134888866D01* -X83769200Y-134874000D01* -X83769200Y-134493000D01* -X83870800Y-134493000D01* -X83870800Y-134874000D01* -X83872264Y-134888866D01* -X83876600Y-134903160D01* -X83883642Y-134916334D01* -X83893118Y-134927882D01* -X83904666Y-134937358D01* -X83917840Y-134944400D01* -X83932134Y-134948736D01* -X83947000Y-134950200D01* -X84328000Y-134950200D01* -X84342866Y-134948736D01* -X84357160Y-134944400D01* -X84370334Y-134937358D01* -X84381882Y-134927882D01* -X84391358Y-134916334D01* -X84398400Y-134903160D01* -X84402736Y-134888866D01* -X84404200Y-134874000D01* -X84404200Y-134493000D01* -X85775800Y-134493000D01* -X85775800Y-134874000D01* -X85777264Y-134888866D01* -X85781600Y-134903160D01* -X85788642Y-134916334D01* -X85798118Y-134927882D01* -X85809666Y-134937358D01* -X85822840Y-134944400D01* -X85837134Y-134948736D01* -X85852000Y-134950200D01* -X86233000Y-134950200D01* -X86247866Y-134948736D01* -X86262160Y-134944400D01* -X86275334Y-134937358D01* -X86286882Y-134927882D01* -X86296358Y-134916334D01* -X86303400Y-134903160D01* -X86307736Y-134888866D01* -X86309200Y-134874000D01* -X86309200Y-134493000D01* -X86410800Y-134493000D01* -X86410800Y-134874000D01* -X86412264Y-134888866D01* -X86416600Y-134903160D01* -X86423642Y-134916334D01* -X86433118Y-134927882D01* -X86444666Y-134937358D01* -X86457840Y-134944400D01* -X86472134Y-134948736D01* -X86487000Y-134950200D01* -X86868000Y-134950200D01* -X86882866Y-134948736D01* -X86897160Y-134944400D01* -X86910334Y-134937358D01* -X86921882Y-134927882D01* -X86931358Y-134916334D01* -X86938400Y-134903160D01* -X86942736Y-134888866D01* -X86944200Y-134874000D01* -X86944200Y-134493000D01* -X88315800Y-134493000D01* -X88315800Y-134874000D01* -X88317264Y-134888866D01* -X88321600Y-134903160D01* -X88328642Y-134916334D01* -X88338118Y-134927882D01* -X88349666Y-134937358D01* -X88362840Y-134944400D01* -X88377134Y-134948736D01* -X88392000Y-134950200D01* -X88773000Y-134950200D01* -X88787866Y-134948736D01* -X88802160Y-134944400D01* -X88815334Y-134937358D01* -X88826882Y-134927882D01* -X88836358Y-134916334D01* -X88843400Y-134903160D01* -X88847736Y-134888866D01* -X88849200Y-134874000D01* -X88849200Y-134493000D01* -X88950800Y-134493000D01* -X88950800Y-134874000D01* -X88952264Y-134888866D01* -X88956600Y-134903160D01* -X88963642Y-134916334D01* -X88973118Y-134927882D01* -X88984666Y-134937358D01* -X88997840Y-134944400D01* -X89012134Y-134948736D01* -X89027000Y-134950200D01* -X89408000Y-134950200D01* -X89422866Y-134948736D01* -X89437160Y-134944400D01* -X89450334Y-134937358D01* -X89461882Y-134927882D01* -X89471358Y-134916334D01* -X89478400Y-134903160D01* -X89482736Y-134888866D01* -X89484200Y-134874000D01* -X89484200Y-134493000D01* -X90855800Y-134493000D01* -X90855800Y-134874000D01* -X90857264Y-134888866D01* -X90861600Y-134903160D01* -X90868642Y-134916334D01* -X90878118Y-134927882D01* -X90889666Y-134937358D01* -X90902840Y-134944400D01* -X90917134Y-134948736D01* -X90932000Y-134950200D01* -X91313000Y-134950200D01* -X91327866Y-134948736D01* -X91342160Y-134944400D01* -X91355334Y-134937358D01* -X91366882Y-134927882D01* -X91376358Y-134916334D01* -X91383400Y-134903160D01* -X91387736Y-134888866D01* -X91389200Y-134874000D01* -X91389200Y-134493000D01* -X91490800Y-134493000D01* -X91490800Y-134874000D01* -X91492264Y-134888866D01* -X91496600Y-134903160D01* -X91503642Y-134916334D01* -X91513118Y-134927882D01* -X91524666Y-134937358D01* -X91537840Y-134944400D01* -X91552134Y-134948736D01* -X91567000Y-134950200D01* -X91948000Y-134950200D01* -X91962866Y-134948736D01* -X91977160Y-134944400D01* -X91990334Y-134937358D01* -X92001882Y-134927882D01* -X92011358Y-134916334D01* -X92018400Y-134903160D01* -X92022736Y-134888866D01* -X92024200Y-134874000D01* -X92024200Y-134493000D01* -X93395800Y-134493000D01* -X93395800Y-134874000D01* -X93397264Y-134888866D01* -X93401600Y-134903160D01* -X93408642Y-134916334D01* -X93418118Y-134927882D01* -X93429666Y-134937358D01* -X93442840Y-134944400D01* -X93457134Y-134948736D01* -X93472000Y-134950200D01* -X93853000Y-134950200D01* -X93867866Y-134948736D01* -X93882160Y-134944400D01* -X93895334Y-134937358D01* -X93906882Y-134927882D01* -X93916358Y-134916334D01* -X93923400Y-134903160D01* -X93927736Y-134888866D01* -X93929200Y-134874000D01* -X93929200Y-134493000D01* -X94030800Y-134493000D01* -X94030800Y-134874000D01* -X94032264Y-134888866D01* -X94036600Y-134903160D01* -X94043642Y-134916334D01* -X94053118Y-134927882D01* -X94064666Y-134937358D01* -X94077840Y-134944400D01* -X94092134Y-134948736D01* -X94107000Y-134950200D01* -X94488000Y-134950200D01* -X94502866Y-134948736D01* -X94517160Y-134944400D01* -X94530334Y-134937358D01* -X94541882Y-134927882D01* -X94551358Y-134916334D01* -X94558400Y-134903160D01* -X94562736Y-134888866D01* -X94564200Y-134874000D01* -X94564200Y-134493000D01* -X95935800Y-134493000D01* -X95935800Y-134874000D01* -X95937264Y-134888866D01* -X95941600Y-134903160D01* -X95948642Y-134916334D01* -X95958118Y-134927882D01* -X95969666Y-134937358D01* -X95982840Y-134944400D01* -X95997134Y-134948736D01* -X96012000Y-134950200D01* -X96393000Y-134950200D01* -X96407866Y-134948736D01* -X96422160Y-134944400D01* -X96435334Y-134937358D01* -X96446882Y-134927882D01* -X96456358Y-134916334D01* -X96463400Y-134903160D01* -X96467736Y-134888866D01* -X96469200Y-134874000D01* -X96469200Y-134493000D01* -X96570800Y-134493000D01* -X96570800Y-134874000D01* -X96572264Y-134888866D01* -X96576600Y-134903160D01* -X96583642Y-134916334D01* -X96593118Y-134927882D01* -X96604666Y-134937358D01* -X96617840Y-134944400D01* -X96632134Y-134948736D01* -X96647000Y-134950200D01* -X97028000Y-134950200D01* -X97042866Y-134948736D01* -X97057160Y-134944400D01* -X97070334Y-134937358D01* -X97081882Y-134927882D01* -X97091358Y-134916334D01* -X97098400Y-134903160D01* -X97102736Y-134888866D01* -X97104200Y-134874000D01* -X97104200Y-134493000D01* -X98475800Y-134493000D01* -X98475800Y-134874000D01* -X98477264Y-134888866D01* -X98481600Y-134903160D01* -X98488642Y-134916334D01* -X98498118Y-134927882D01* -X98509666Y-134937358D01* -X98522840Y-134944400D01* -X98537134Y-134948736D01* -X98552000Y-134950200D01* -X98933000Y-134950200D01* -X98947866Y-134948736D01* -X98962160Y-134944400D01* -X98975334Y-134937358D01* -X98986882Y-134927882D01* -X98996358Y-134916334D01* -X99003400Y-134903160D01* -X99007736Y-134888866D01* -X99009200Y-134874000D01* -X99009200Y-134493000D01* -X99110800Y-134493000D01* -X99110800Y-134874000D01* -X99112264Y-134888866D01* -X99116600Y-134903160D01* -X99123642Y-134916334D01* -X99133118Y-134927882D01* -X99144666Y-134937358D01* -X99157840Y-134944400D01* -X99172134Y-134948736D01* -X99187000Y-134950200D01* -X99568000Y-134950200D01* -X99582866Y-134948736D01* -X99597160Y-134944400D01* -X99610334Y-134937358D01* -X99621882Y-134927882D01* -X99631358Y-134916334D01* -X99638400Y-134903160D01* -X99642736Y-134888866D01* -X99644200Y-134874000D01* -X99644200Y-134493000D01* -X101015800Y-134493000D01* -X101015800Y-134874000D01* -X101017264Y-134888866D01* -X101021600Y-134903160D01* -X101028642Y-134916334D01* -X101038118Y-134927882D01* -X101049666Y-134937358D01* -X101062840Y-134944400D01* -X101077134Y-134948736D01* -X101092000Y-134950200D01* -X101473000Y-134950200D01* -X101487866Y-134948736D01* -X101502160Y-134944400D01* -X101515334Y-134937358D01* -X101526882Y-134927882D01* -X101536358Y-134916334D01* -X101543400Y-134903160D01* -X101547736Y-134888866D01* -X101549200Y-134874000D01* -X101549200Y-134493000D01* -X101650800Y-134493000D01* -X101650800Y-134874000D01* -X101652264Y-134888866D01* -X101656600Y-134903160D01* -X101663642Y-134916334D01* -X101673118Y-134927882D01* -X101684666Y-134937358D01* -X101697840Y-134944400D01* -X101712134Y-134948736D01* -X101727000Y-134950200D01* -X102108000Y-134950200D01* -X102122866Y-134948736D01* -X102137160Y-134944400D01* -X102150334Y-134937358D01* -X102161882Y-134927882D01* -X102171358Y-134916334D01* -X102178400Y-134903160D01* -X102182736Y-134888866D01* -X102184200Y-134874000D01* -X102184200Y-134493000D01* -X103555800Y-134493000D01* -X103555800Y-134874000D01* -X103557264Y-134888866D01* -X103561600Y-134903160D01* -X103568642Y-134916334D01* -X103578118Y-134927882D01* -X103589666Y-134937358D01* -X103602840Y-134944400D01* -X103617134Y-134948736D01* -X103632000Y-134950200D01* -X104013000Y-134950200D01* -X104027866Y-134948736D01* -X104042160Y-134944400D01* -X104055334Y-134937358D01* -X104066882Y-134927882D01* -X104076358Y-134916334D01* -X104083400Y-134903160D01* -X104087736Y-134888866D01* -X104089200Y-134874000D01* -X104089200Y-134493000D01* -X104190800Y-134493000D01* -X104190800Y-134874000D01* -X104192264Y-134888866D01* -X104196600Y-134903160D01* -X104203642Y-134916334D01* -X104213118Y-134927882D01* -X104224666Y-134937358D01* -X104237840Y-134944400D01* -X104252134Y-134948736D01* -X104267000Y-134950200D01* -X104648000Y-134950200D01* -X104662866Y-134948736D01* -X104677160Y-134944400D01* -X104690334Y-134937358D01* -X104701882Y-134927882D01* -X104711358Y-134916334D01* -X104718400Y-134903160D01* -X104722736Y-134888866D01* -X104724200Y-134874000D01* -X104724200Y-134493000D01* -X106095800Y-134493000D01* -X106095800Y-134874000D01* -X106097264Y-134888866D01* -X106101600Y-134903160D01* -X106108642Y-134916334D01* -X106118118Y-134927882D01* -X106129666Y-134937358D01* -X106142840Y-134944400D01* -X106157134Y-134948736D01* -X106172000Y-134950200D01* -X106553000Y-134950200D01* -X106567866Y-134948736D01* -X106582160Y-134944400D01* -X106595334Y-134937358D01* -X106606882Y-134927882D01* -X106616358Y-134916334D01* -X106623400Y-134903160D01* -X106627736Y-134888866D01* -X106629200Y-134874000D01* -X106629200Y-134493000D01* -X106730800Y-134493000D01* -X106730800Y-134874000D01* -X106732264Y-134888866D01* -X106736600Y-134903160D01* -X106743642Y-134916334D01* -X106753118Y-134927882D01* -X106764666Y-134937358D01* -X106777840Y-134944400D01* -X106792134Y-134948736D01* -X106807000Y-134950200D01* -X107188000Y-134950200D01* -X107202866Y-134948736D01* -X107217160Y-134944400D01* -X107230334Y-134937358D01* -X107241882Y-134927882D01* -X107251358Y-134916334D01* -X107258400Y-134903160D01* -X107262736Y-134888866D01* -X107264200Y-134874000D01* -X107264200Y-134493000D01* -X108635800Y-134493000D01* -X108635800Y-134874000D01* -X108637264Y-134888866D01* -X108641600Y-134903160D01* -X108648642Y-134916334D01* -X108658118Y-134927882D01* -X108669666Y-134937358D01* -X108682840Y-134944400D01* -X108697134Y-134948736D01* -X108712000Y-134950200D01* -X109093000Y-134950200D01* -X109107866Y-134948736D01* -X109122160Y-134944400D01* -X109135334Y-134937358D01* -X109146882Y-134927882D01* -X109156358Y-134916334D01* -X109163400Y-134903160D01* -X109167736Y-134888866D01* -X109169200Y-134874000D01* -X109169200Y-134493000D01* -X109270800Y-134493000D01* -X109270800Y-134874000D01* -X109272264Y-134888866D01* -X109276600Y-134903160D01* -X109283642Y-134916334D01* -X109293118Y-134927882D01* -X109304666Y-134937358D01* -X109317840Y-134944400D01* -X109332134Y-134948736D01* -X109347000Y-134950200D01* -X109728000Y-134950200D01* -X109742866Y-134948736D01* -X109757160Y-134944400D01* -X109770334Y-134937358D01* -X109781882Y-134927882D01* -X109791358Y-134916334D01* -X109798400Y-134903160D01* -X109802736Y-134888866D01* -X109804200Y-134874000D01* -X109804200Y-134493000D01* -X111175800Y-134493000D01* -X111175800Y-134874000D01* -X111177264Y-134888866D01* -X111181600Y-134903160D01* -X111188642Y-134916334D01* -X111198118Y-134927882D01* -X111209666Y-134937358D01* -X111222840Y-134944400D01* -X111237134Y-134948736D01* -X111252000Y-134950200D01* -X111633000Y-134950200D01* -X111647866Y-134948736D01* -X111662160Y-134944400D01* -X111675334Y-134937358D01* -X111686882Y-134927882D01* -X111696358Y-134916334D01* -X111703400Y-134903160D01* -X111707736Y-134888866D01* -X111709200Y-134874000D01* -X111709200Y-134493000D01* -X111810800Y-134493000D01* -X111810800Y-134874000D01* -X111812264Y-134888866D01* -X111816600Y-134903160D01* -X111823642Y-134916334D01* -X111833118Y-134927882D01* -X111844666Y-134937358D01* -X111857840Y-134944400D01* -X111872134Y-134948736D01* -X111887000Y-134950200D01* -X112268000Y-134950200D01* -X112282866Y-134948736D01* -X112297160Y-134944400D01* -X112310334Y-134937358D01* -X112321882Y-134927882D01* -X112331358Y-134916334D01* -X112338400Y-134903160D01* -X112342736Y-134888866D01* -X112344200Y-134874000D01* -X112344200Y-134493000D01* -X113715800Y-134493000D01* -X113715800Y-134874000D01* -X113717264Y-134888866D01* -X113721600Y-134903160D01* -X113728642Y-134916334D01* -X113738118Y-134927882D01* -X113749666Y-134937358D01* -X113762840Y-134944400D01* -X113777134Y-134948736D01* -X113792000Y-134950200D01* -X114173000Y-134950200D01* -X114187866Y-134948736D01* -X114202160Y-134944400D01* -X114215334Y-134937358D01* -X114226882Y-134927882D01* -X114236358Y-134916334D01* -X114243400Y-134903160D01* -X114247736Y-134888866D01* -X114249200Y-134874000D01* -X114249200Y-134493000D01* -X114350800Y-134493000D01* -X114350800Y-134874000D01* -X114352264Y-134888866D01* -X114356600Y-134903160D01* -X114363642Y-134916334D01* -X114373118Y-134927882D01* -X114384666Y-134937358D01* -X114397840Y-134944400D01* -X114412134Y-134948736D01* -X114427000Y-134950200D01* -X114808000Y-134950200D01* -X114822866Y-134948736D01* -X114837160Y-134944400D01* -X114850334Y-134937358D01* -X114861882Y-134927882D01* -X114871358Y-134916334D01* -X114878400Y-134903160D01* -X114882736Y-134888866D01* -X114884200Y-134874000D01* -X114884200Y-134493000D01* -X116255800Y-134493000D01* -X116255800Y-134874000D01* -X116257264Y-134888866D01* -X116261600Y-134903160D01* -X116268642Y-134916334D01* -X116278118Y-134927882D01* -X116289666Y-134937358D01* -X116302840Y-134944400D01* -X116317134Y-134948736D01* -X116332000Y-134950200D01* -X116713000Y-134950200D01* -X116727866Y-134948736D01* -X116742160Y-134944400D01* -X116755334Y-134937358D01* -X116766882Y-134927882D01* -X116776358Y-134916334D01* -X116783400Y-134903160D01* -X116787736Y-134888866D01* -X116789200Y-134874000D01* -X116789200Y-134493000D01* -X116890800Y-134493000D01* -X116890800Y-134874000D01* -X116892264Y-134888866D01* -X116896600Y-134903160D01* -X116903642Y-134916334D01* -X116913118Y-134927882D01* -X116924666Y-134937358D01* -X116937840Y-134944400D01* -X116952134Y-134948736D01* -X116967000Y-134950200D01* -X117348000Y-134950200D01* -X117362866Y-134948736D01* -X117377160Y-134944400D01* -X117390334Y-134937358D01* -X117401882Y-134927882D01* -X117411358Y-134916334D01* -X117418400Y-134903160D01* -X117422736Y-134888866D01* -X117424200Y-134874000D01* -X117424200Y-134493000D01* -X118795800Y-134493000D01* -X118795800Y-134874000D01* -X118797264Y-134888866D01* -X118801600Y-134903160D01* -X118808642Y-134916334D01* -X118818118Y-134927882D01* -X118829666Y-134937358D01* -X118842840Y-134944400D01* -X118857134Y-134948736D01* -X118872000Y-134950200D01* -X119253000Y-134950200D01* -X119267866Y-134948736D01* -X119282160Y-134944400D01* -X119295334Y-134937358D01* -X119306882Y-134927882D01* -X119316358Y-134916334D01* -X119323400Y-134903160D01* -X119327736Y-134888866D01* -X119329200Y-134874000D01* -X119329200Y-134493000D01* -X119430800Y-134493000D01* -X119430800Y-134874000D01* -X119432264Y-134888866D01* -X119436600Y-134903160D01* -X119443642Y-134916334D01* -X119453118Y-134927882D01* -X119464666Y-134937358D01* -X119477840Y-134944400D01* -X119492134Y-134948736D01* -X119507000Y-134950200D01* -X119888000Y-134950200D01* -X119902866Y-134948736D01* -X119917160Y-134944400D01* -X119930334Y-134937358D01* -X119941882Y-134927882D01* -X119951358Y-134916334D01* -X119958400Y-134903160D01* -X119962736Y-134888866D01* -X119964200Y-134874000D01* -X119964200Y-134493000D01* -X121335800Y-134493000D01* -X121335800Y-134874000D01* -X121337264Y-134888866D01* -X121341600Y-134903160D01* -X121348642Y-134916334D01* -X121358118Y-134927882D01* -X121369666Y-134937358D01* -X121382840Y-134944400D01* -X121397134Y-134948736D01* -X121412000Y-134950200D01* -X121793000Y-134950200D01* -X121807866Y-134948736D01* -X121822160Y-134944400D01* -X121835334Y-134937358D01* -X121846882Y-134927882D01* -X121856358Y-134916334D01* -X121863400Y-134903160D01* -X121867736Y-134888866D01* -X121869200Y-134874000D01* -X121869200Y-134493000D01* -X121970800Y-134493000D01* -X121970800Y-134874000D01* -X121972264Y-134888866D01* -X121976600Y-134903160D01* -X121983642Y-134916334D01* -X121993118Y-134927882D01* -X122004666Y-134937358D01* -X122017840Y-134944400D01* -X122032134Y-134948736D01* -X122047000Y-134950200D01* -X122428000Y-134950200D01* -X122442866Y-134948736D01* -X122457160Y-134944400D01* -X122470334Y-134937358D01* -X122481882Y-134927882D01* -X122491358Y-134916334D01* -X122498400Y-134903160D01* -X122502736Y-134888866D01* -X122504200Y-134874000D01* -X122504200Y-134493000D01* -X123875800Y-134493000D01* -X123875800Y-134874000D01* -X123877264Y-134888866D01* -X123881600Y-134903160D01* -X123888642Y-134916334D01* -X123898118Y-134927882D01* -X123909666Y-134937358D01* -X123922840Y-134944400D01* -X123937134Y-134948736D01* -X123952000Y-134950200D01* -X124333000Y-134950200D01* -X124347866Y-134948736D01* -X124362160Y-134944400D01* -X124375334Y-134937358D01* -X124386882Y-134927882D01* -X124396358Y-134916334D01* -X124403400Y-134903160D01* -X124407736Y-134888866D01* -X124409200Y-134874000D01* -X124409200Y-134493000D01* -X124510800Y-134493000D01* -X124510800Y-134874000D01* -X124512264Y-134888866D01* -X124516600Y-134903160D01* -X124523642Y-134916334D01* -X124533118Y-134927882D01* -X124544666Y-134937358D01* -X124557840Y-134944400D01* -X124572134Y-134948736D01* -X124587000Y-134950200D01* -X124968000Y-134950200D01* -X124982866Y-134948736D01* -X124997160Y-134944400D01* -X125010334Y-134937358D01* -X125021882Y-134927882D01* -X125031358Y-134916334D01* -X125038400Y-134903160D01* -X125042736Y-134888866D01* -X125044200Y-134874000D01* -X125044200Y-134493000D01* -X126415800Y-134493000D01* -X126415800Y-134874000D01* -X126417264Y-134888866D01* -X126421600Y-134903160D01* -X126428642Y-134916334D01* -X126438118Y-134927882D01* -X126449666Y-134937358D01* -X126462840Y-134944400D01* -X126477134Y-134948736D01* -X126492000Y-134950200D01* -X126873000Y-134950200D01* -X126887866Y-134948736D01* -X126902160Y-134944400D01* -X126915334Y-134937358D01* -X126926882Y-134927882D01* -X126936358Y-134916334D01* -X126943400Y-134903160D01* -X126947736Y-134888866D01* -X126949200Y-134874000D01* -X126949200Y-134493000D01* -X127050800Y-134493000D01* -X127050800Y-134874000D01* -X127052264Y-134888866D01* -X127056600Y-134903160D01* -X127063642Y-134916334D01* -X127073118Y-134927882D01* -X127084666Y-134937358D01* -X127097840Y-134944400D01* -X127112134Y-134948736D01* -X127127000Y-134950200D01* -X127508000Y-134950200D01* -X127522866Y-134948736D01* -X127537160Y-134944400D01* -X127550334Y-134937358D01* -X127561882Y-134927882D01* -X127571358Y-134916334D01* -X127578400Y-134903160D01* -X127582736Y-134888866D01* -X127584200Y-134874000D01* -X127584200Y-134493000D01* -X128955800Y-134493000D01* -X128955800Y-134874000D01* -X128957264Y-134888866D01* -X128961600Y-134903160D01* -X128968642Y-134916334D01* -X128978118Y-134927882D01* -X128989666Y-134937358D01* -X129002840Y-134944400D01* -X129017134Y-134948736D01* -X129032000Y-134950200D01* -X129413000Y-134950200D01* -X129427866Y-134948736D01* -X129442160Y-134944400D01* -X129455334Y-134937358D01* -X129466882Y-134927882D01* -X129476358Y-134916334D01* -X129483400Y-134903160D01* -X129487736Y-134888866D01* -X129489200Y-134874000D01* -X129489200Y-134493000D01* -X129590800Y-134493000D01* -X129590800Y-134874000D01* -X129592264Y-134888866D01* -X129596600Y-134903160D01* -X129603642Y-134916334D01* -X129613118Y-134927882D01* -X129624666Y-134937358D01* -X129637840Y-134944400D01* -X129652134Y-134948736D01* -X129667000Y-134950200D01* -X130048000Y-134950200D01* -X130062866Y-134948736D01* -X130077160Y-134944400D01* -X130090334Y-134937358D01* -X130101882Y-134927882D01* -X130111358Y-134916334D01* -X130118400Y-134903160D01* -X130122736Y-134888866D01* -X130124200Y-134874000D01* -X130124200Y-134493000D01* -X131495800Y-134493000D01* -X131495800Y-134874000D01* -X131497264Y-134888866D01* -X131501600Y-134903160D01* -X131508642Y-134916334D01* -X131518118Y-134927882D01* -X131529666Y-134937358D01* -X131542840Y-134944400D01* -X131557134Y-134948736D01* -X131572000Y-134950200D01* -X131953000Y-134950200D01* -X131967866Y-134948736D01* -X131982160Y-134944400D01* -X131995334Y-134937358D01* -X132006882Y-134927882D01* -X132016358Y-134916334D01* -X132023400Y-134903160D01* -X132027736Y-134888866D01* -X132029200Y-134874000D01* -X132029200Y-134493000D01* -X132130800Y-134493000D01* -X132130800Y-134874000D01* -X132132264Y-134888866D01* -X132136600Y-134903160D01* -X132143642Y-134916334D01* -X132153118Y-134927882D01* -X132164666Y-134937358D01* -X132177840Y-134944400D01* -X132192134Y-134948736D01* -X132207000Y-134950200D01* -X132588000Y-134950200D01* -X132602866Y-134948736D01* -X132617160Y-134944400D01* -X132630334Y-134937358D01* -X132641882Y-134927882D01* -X132651358Y-134916334D01* -X132658400Y-134903160D01* -X132662736Y-134888866D01* -X132664200Y-134874000D01* -X132664200Y-134493000D01* -X134035800Y-134493000D01* -X134035800Y-134874000D01* -X134037264Y-134888866D01* -X134041600Y-134903160D01* -X134048642Y-134916334D01* -X134058118Y-134927882D01* -X134069666Y-134937358D01* -X134082840Y-134944400D01* -X134097134Y-134948736D01* -X134112000Y-134950200D01* -X134493000Y-134950200D01* -X134507866Y-134948736D01* -X134522160Y-134944400D01* -X134535334Y-134937358D01* -X134546882Y-134927882D01* -X134556358Y-134916334D01* -X134563400Y-134903160D01* -X134567736Y-134888866D01* -X134569200Y-134874000D01* -X134569200Y-134493000D01* -X134670800Y-134493000D01* -X134670800Y-134874000D01* -X134672264Y-134888866D01* -X134676600Y-134903160D01* -X134683642Y-134916334D01* -X134693118Y-134927882D01* -X134704666Y-134937358D01* -X134717840Y-134944400D01* -X134732134Y-134948736D01* -X134747000Y-134950200D01* -X135128000Y-134950200D01* -X135142866Y-134948736D01* -X135157160Y-134944400D01* -X135170334Y-134937358D01* -X135181882Y-134927882D01* -X135191358Y-134916334D01* -X135198400Y-134903160D01* -X135202736Y-134888866D01* -X135204200Y-134874000D01* -X135204200Y-134493000D01* -X135202736Y-134478134D01* -X135198400Y-134463840D01* -X135191358Y-134450666D01* -X135181882Y-134439118D01* -X135170334Y-134429642D01* -X135157160Y-134422600D01* -X135142866Y-134418264D01* -X135128000Y-134416800D01* -X134747000Y-134416800D01* -X134732134Y-134418264D01* -X134717840Y-134422600D01* -X134704666Y-134429642D01* -X134693118Y-134439118D01* -X134683642Y-134450666D01* -X134676600Y-134463840D01* -X134672264Y-134478134D01* -X134670800Y-134493000D01* -X134569200Y-134493000D01* -X134567736Y-134478134D01* -X134563400Y-134463840D01* -X134556358Y-134450666D01* -X134546882Y-134439118D01* -X134535334Y-134429642D01* -X134522160Y-134422600D01* -X134507866Y-134418264D01* -X134493000Y-134416800D01* -X134112000Y-134416800D01* -X134097134Y-134418264D01* -X134082840Y-134422600D01* -X134069666Y-134429642D01* -X134058118Y-134439118D01* -X134048642Y-134450666D01* -X134041600Y-134463840D01* -X134037264Y-134478134D01* -X134035800Y-134493000D01* -X132664200Y-134493000D01* -X132662736Y-134478134D01* -X132658400Y-134463840D01* -X132651358Y-134450666D01* -X132641882Y-134439118D01* -X132630334Y-134429642D01* -X132617160Y-134422600D01* -X132602866Y-134418264D01* -X132588000Y-134416800D01* -X132207000Y-134416800D01* -X132192134Y-134418264D01* -X132177840Y-134422600D01* -X132164666Y-134429642D01* -X132153118Y-134439118D01* -X132143642Y-134450666D01* -X132136600Y-134463840D01* -X132132264Y-134478134D01* -X132130800Y-134493000D01* -X132029200Y-134493000D01* -X132027736Y-134478134D01* -X132023400Y-134463840D01* -X132016358Y-134450666D01* -X132006882Y-134439118D01* -X131995334Y-134429642D01* -X131982160Y-134422600D01* -X131967866Y-134418264D01* -X131953000Y-134416800D01* -X131572000Y-134416800D01* -X131557134Y-134418264D01* -X131542840Y-134422600D01* -X131529666Y-134429642D01* -X131518118Y-134439118D01* -X131508642Y-134450666D01* -X131501600Y-134463840D01* -X131497264Y-134478134D01* -X131495800Y-134493000D01* -X130124200Y-134493000D01* -X130122736Y-134478134D01* -X130118400Y-134463840D01* -X130111358Y-134450666D01* -X130101882Y-134439118D01* -X130090334Y-134429642D01* -X130077160Y-134422600D01* -X130062866Y-134418264D01* -X130048000Y-134416800D01* -X129667000Y-134416800D01* -X129652134Y-134418264D01* -X129637840Y-134422600D01* -X129624666Y-134429642D01* -X129613118Y-134439118D01* -X129603642Y-134450666D01* -X129596600Y-134463840D01* -X129592264Y-134478134D01* -X129590800Y-134493000D01* -X129489200Y-134493000D01* -X129487736Y-134478134D01* -X129483400Y-134463840D01* -X129476358Y-134450666D01* -X129466882Y-134439118D01* -X129455334Y-134429642D01* -X129442160Y-134422600D01* -X129427866Y-134418264D01* -X129413000Y-134416800D01* -X129032000Y-134416800D01* -X129017134Y-134418264D01* -X129002840Y-134422600D01* -X128989666Y-134429642D01* -X128978118Y-134439118D01* -X128968642Y-134450666D01* -X128961600Y-134463840D01* -X128957264Y-134478134D01* -X128955800Y-134493000D01* -X127584200Y-134493000D01* -X127582736Y-134478134D01* -X127578400Y-134463840D01* -X127571358Y-134450666D01* -X127561882Y-134439118D01* -X127550334Y-134429642D01* -X127537160Y-134422600D01* -X127522866Y-134418264D01* -X127508000Y-134416800D01* -X127127000Y-134416800D01* -X127112134Y-134418264D01* -X127097840Y-134422600D01* -X127084666Y-134429642D01* -X127073118Y-134439118D01* -X127063642Y-134450666D01* -X127056600Y-134463840D01* -X127052264Y-134478134D01* -X127050800Y-134493000D01* -X126949200Y-134493000D01* -X126947736Y-134478134D01* -X126943400Y-134463840D01* -X126936358Y-134450666D01* -X126926882Y-134439118D01* -X126915334Y-134429642D01* -X126902160Y-134422600D01* -X126887866Y-134418264D01* -X126873000Y-134416800D01* -X126492000Y-134416800D01* -X126477134Y-134418264D01* -X126462840Y-134422600D01* -X126449666Y-134429642D01* -X126438118Y-134439118D01* -X126428642Y-134450666D01* -X126421600Y-134463840D01* -X126417264Y-134478134D01* -X126415800Y-134493000D01* -X125044200Y-134493000D01* -X125042736Y-134478134D01* -X125038400Y-134463840D01* -X125031358Y-134450666D01* -X125021882Y-134439118D01* -X125010334Y-134429642D01* -X124997160Y-134422600D01* -X124982866Y-134418264D01* -X124968000Y-134416800D01* -X124587000Y-134416800D01* -X124572134Y-134418264D01* -X124557840Y-134422600D01* -X124544666Y-134429642D01* -X124533118Y-134439118D01* -X124523642Y-134450666D01* -X124516600Y-134463840D01* -X124512264Y-134478134D01* -X124510800Y-134493000D01* -X124409200Y-134493000D01* -X124407736Y-134478134D01* -X124403400Y-134463840D01* -X124396358Y-134450666D01* -X124386882Y-134439118D01* -X124375334Y-134429642D01* -X124362160Y-134422600D01* -X124347866Y-134418264D01* -X124333000Y-134416800D01* -X123952000Y-134416800D01* -X123937134Y-134418264D01* -X123922840Y-134422600D01* -X123909666Y-134429642D01* -X123898118Y-134439118D01* -X123888642Y-134450666D01* -X123881600Y-134463840D01* -X123877264Y-134478134D01* -X123875800Y-134493000D01* -X122504200Y-134493000D01* -X122502736Y-134478134D01* -X122498400Y-134463840D01* -X122491358Y-134450666D01* -X122481882Y-134439118D01* -X122470334Y-134429642D01* -X122457160Y-134422600D01* -X122442866Y-134418264D01* -X122428000Y-134416800D01* -X122047000Y-134416800D01* -X122032134Y-134418264D01* -X122017840Y-134422600D01* -X122004666Y-134429642D01* -X121993118Y-134439118D01* -X121983642Y-134450666D01* -X121976600Y-134463840D01* -X121972264Y-134478134D01* -X121970800Y-134493000D01* -X121869200Y-134493000D01* -X121867736Y-134478134D01* -X121863400Y-134463840D01* -X121856358Y-134450666D01* -X121846882Y-134439118D01* -X121835334Y-134429642D01* -X121822160Y-134422600D01* -X121807866Y-134418264D01* -X121793000Y-134416800D01* -X121412000Y-134416800D01* -X121397134Y-134418264D01* -X121382840Y-134422600D01* -X121369666Y-134429642D01* -X121358118Y-134439118D01* -X121348642Y-134450666D01* -X121341600Y-134463840D01* -X121337264Y-134478134D01* -X121335800Y-134493000D01* -X119964200Y-134493000D01* -X119962736Y-134478134D01* -X119958400Y-134463840D01* -X119951358Y-134450666D01* -X119941882Y-134439118D01* -X119930334Y-134429642D01* -X119917160Y-134422600D01* -X119902866Y-134418264D01* -X119888000Y-134416800D01* -X119507000Y-134416800D01* -X119492134Y-134418264D01* -X119477840Y-134422600D01* -X119464666Y-134429642D01* -X119453118Y-134439118D01* -X119443642Y-134450666D01* -X119436600Y-134463840D01* -X119432264Y-134478134D01* -X119430800Y-134493000D01* -X119329200Y-134493000D01* -X119327736Y-134478134D01* -X119323400Y-134463840D01* -X119316358Y-134450666D01* -X119306882Y-134439118D01* -X119295334Y-134429642D01* -X119282160Y-134422600D01* -X119267866Y-134418264D01* -X119253000Y-134416800D01* -X118872000Y-134416800D01* -X118857134Y-134418264D01* -X118842840Y-134422600D01* -X118829666Y-134429642D01* -X118818118Y-134439118D01* -X118808642Y-134450666D01* -X118801600Y-134463840D01* -X118797264Y-134478134D01* -X118795800Y-134493000D01* -X117424200Y-134493000D01* -X117422736Y-134478134D01* -X117418400Y-134463840D01* -X117411358Y-134450666D01* -X117401882Y-134439118D01* -X117390334Y-134429642D01* -X117377160Y-134422600D01* -X117362866Y-134418264D01* -X117348000Y-134416800D01* -X116967000Y-134416800D01* -X116952134Y-134418264D01* -X116937840Y-134422600D01* -X116924666Y-134429642D01* -X116913118Y-134439118D01* -X116903642Y-134450666D01* -X116896600Y-134463840D01* -X116892264Y-134478134D01* -X116890800Y-134493000D01* -X116789200Y-134493000D01* -X116787736Y-134478134D01* -X116783400Y-134463840D01* -X116776358Y-134450666D01* -X116766882Y-134439118D01* -X116755334Y-134429642D01* -X116742160Y-134422600D01* -X116727866Y-134418264D01* -X116713000Y-134416800D01* -X116332000Y-134416800D01* -X116317134Y-134418264D01* -X116302840Y-134422600D01* -X116289666Y-134429642D01* -X116278118Y-134439118D01* -X116268642Y-134450666D01* -X116261600Y-134463840D01* -X116257264Y-134478134D01* -X116255800Y-134493000D01* -X114884200Y-134493000D01* -X114882736Y-134478134D01* -X114878400Y-134463840D01* -X114871358Y-134450666D01* -X114861882Y-134439118D01* -X114850334Y-134429642D01* -X114837160Y-134422600D01* -X114822866Y-134418264D01* -X114808000Y-134416800D01* -X114427000Y-134416800D01* -X114412134Y-134418264D01* -X114397840Y-134422600D01* -X114384666Y-134429642D01* -X114373118Y-134439118D01* -X114363642Y-134450666D01* -X114356600Y-134463840D01* -X114352264Y-134478134D01* -X114350800Y-134493000D01* -X114249200Y-134493000D01* -X114247736Y-134478134D01* -X114243400Y-134463840D01* -X114236358Y-134450666D01* -X114226882Y-134439118D01* -X114215334Y-134429642D01* -X114202160Y-134422600D01* -X114187866Y-134418264D01* -X114173000Y-134416800D01* -X113792000Y-134416800D01* -X113777134Y-134418264D01* -X113762840Y-134422600D01* -X113749666Y-134429642D01* -X113738118Y-134439118D01* -X113728642Y-134450666D01* -X113721600Y-134463840D01* -X113717264Y-134478134D01* -X113715800Y-134493000D01* -X112344200Y-134493000D01* -X112342736Y-134478134D01* -X112338400Y-134463840D01* -X112331358Y-134450666D01* -X112321882Y-134439118D01* -X112310334Y-134429642D01* -X112297160Y-134422600D01* -X112282866Y-134418264D01* -X112268000Y-134416800D01* -X111887000Y-134416800D01* -X111872134Y-134418264D01* -X111857840Y-134422600D01* -X111844666Y-134429642D01* -X111833118Y-134439118D01* -X111823642Y-134450666D01* -X111816600Y-134463840D01* -X111812264Y-134478134D01* -X111810800Y-134493000D01* -X111709200Y-134493000D01* -X111707736Y-134478134D01* -X111703400Y-134463840D01* -X111696358Y-134450666D01* -X111686882Y-134439118D01* -X111675334Y-134429642D01* -X111662160Y-134422600D01* -X111647866Y-134418264D01* -X111633000Y-134416800D01* -X111252000Y-134416800D01* -X111237134Y-134418264D01* -X111222840Y-134422600D01* -X111209666Y-134429642D01* -X111198118Y-134439118D01* -X111188642Y-134450666D01* -X111181600Y-134463840D01* -X111177264Y-134478134D01* -X111175800Y-134493000D01* -X109804200Y-134493000D01* -X109802736Y-134478134D01* -X109798400Y-134463840D01* -X109791358Y-134450666D01* -X109781882Y-134439118D01* -X109770334Y-134429642D01* -X109757160Y-134422600D01* -X109742866Y-134418264D01* -X109728000Y-134416800D01* -X109347000Y-134416800D01* -X109332134Y-134418264D01* -X109317840Y-134422600D01* -X109304666Y-134429642D01* -X109293118Y-134439118D01* -X109283642Y-134450666D01* -X109276600Y-134463840D01* -X109272264Y-134478134D01* -X109270800Y-134493000D01* -X109169200Y-134493000D01* -X109167736Y-134478134D01* -X109163400Y-134463840D01* -X109156358Y-134450666D01* -X109146882Y-134439118D01* -X109135334Y-134429642D01* -X109122160Y-134422600D01* -X109107866Y-134418264D01* -X109093000Y-134416800D01* -X108712000Y-134416800D01* -X108697134Y-134418264D01* -X108682840Y-134422600D01* -X108669666Y-134429642D01* -X108658118Y-134439118D01* -X108648642Y-134450666D01* -X108641600Y-134463840D01* -X108637264Y-134478134D01* -X108635800Y-134493000D01* -X107264200Y-134493000D01* -X107262736Y-134478134D01* -X107258400Y-134463840D01* -X107251358Y-134450666D01* -X107241882Y-134439118D01* -X107230334Y-134429642D01* -X107217160Y-134422600D01* -X107202866Y-134418264D01* -X107188000Y-134416800D01* -X106807000Y-134416800D01* -X106792134Y-134418264D01* -X106777840Y-134422600D01* -X106764666Y-134429642D01* -X106753118Y-134439118D01* -X106743642Y-134450666D01* -X106736600Y-134463840D01* -X106732264Y-134478134D01* -X106730800Y-134493000D01* -X106629200Y-134493000D01* -X106627736Y-134478134D01* -X106623400Y-134463840D01* -X106616358Y-134450666D01* -X106606882Y-134439118D01* -X106595334Y-134429642D01* -X106582160Y-134422600D01* -X106567866Y-134418264D01* -X106553000Y-134416800D01* -X106172000Y-134416800D01* -X106157134Y-134418264D01* -X106142840Y-134422600D01* -X106129666Y-134429642D01* -X106118118Y-134439118D01* -X106108642Y-134450666D01* -X106101600Y-134463840D01* -X106097264Y-134478134D01* -X106095800Y-134493000D01* -X104724200Y-134493000D01* -X104722736Y-134478134D01* -X104718400Y-134463840D01* -X104711358Y-134450666D01* -X104701882Y-134439118D01* -X104690334Y-134429642D01* -X104677160Y-134422600D01* -X104662866Y-134418264D01* -X104648000Y-134416800D01* -X104267000Y-134416800D01* -X104252134Y-134418264D01* -X104237840Y-134422600D01* -X104224666Y-134429642D01* -X104213118Y-134439118D01* -X104203642Y-134450666D01* -X104196600Y-134463840D01* -X104192264Y-134478134D01* -X104190800Y-134493000D01* -X104089200Y-134493000D01* -X104087736Y-134478134D01* -X104083400Y-134463840D01* -X104076358Y-134450666D01* -X104066882Y-134439118D01* -X104055334Y-134429642D01* -X104042160Y-134422600D01* -X104027866Y-134418264D01* -X104013000Y-134416800D01* -X103632000Y-134416800D01* -X103617134Y-134418264D01* -X103602840Y-134422600D01* -X103589666Y-134429642D01* -X103578118Y-134439118D01* -X103568642Y-134450666D01* -X103561600Y-134463840D01* -X103557264Y-134478134D01* -X103555800Y-134493000D01* -X102184200Y-134493000D01* -X102182736Y-134478134D01* -X102178400Y-134463840D01* -X102171358Y-134450666D01* -X102161882Y-134439118D01* -X102150334Y-134429642D01* -X102137160Y-134422600D01* -X102122866Y-134418264D01* -X102108000Y-134416800D01* -X101727000Y-134416800D01* -X101712134Y-134418264D01* -X101697840Y-134422600D01* -X101684666Y-134429642D01* -X101673118Y-134439118D01* -X101663642Y-134450666D01* -X101656600Y-134463840D01* -X101652264Y-134478134D01* -X101650800Y-134493000D01* -X101549200Y-134493000D01* -X101547736Y-134478134D01* -X101543400Y-134463840D01* -X101536358Y-134450666D01* -X101526882Y-134439118D01* -X101515334Y-134429642D01* -X101502160Y-134422600D01* -X101487866Y-134418264D01* -X101473000Y-134416800D01* -X101092000Y-134416800D01* -X101077134Y-134418264D01* -X101062840Y-134422600D01* -X101049666Y-134429642D01* -X101038118Y-134439118D01* -X101028642Y-134450666D01* -X101021600Y-134463840D01* -X101017264Y-134478134D01* -X101015800Y-134493000D01* -X99644200Y-134493000D01* -X99642736Y-134478134D01* -X99638400Y-134463840D01* -X99631358Y-134450666D01* -X99621882Y-134439118D01* -X99610334Y-134429642D01* -X99597160Y-134422600D01* -X99582866Y-134418264D01* -X99568000Y-134416800D01* -X99187000Y-134416800D01* -X99172134Y-134418264D01* -X99157840Y-134422600D01* -X99144666Y-134429642D01* -X99133118Y-134439118D01* -X99123642Y-134450666D01* -X99116600Y-134463840D01* -X99112264Y-134478134D01* -X99110800Y-134493000D01* -X99009200Y-134493000D01* -X99007736Y-134478134D01* -X99003400Y-134463840D01* -X98996358Y-134450666D01* -X98986882Y-134439118D01* -X98975334Y-134429642D01* -X98962160Y-134422600D01* -X98947866Y-134418264D01* -X98933000Y-134416800D01* -X98552000Y-134416800D01* -X98537134Y-134418264D01* -X98522840Y-134422600D01* -X98509666Y-134429642D01* -X98498118Y-134439118D01* -X98488642Y-134450666D01* -X98481600Y-134463840D01* -X98477264Y-134478134D01* -X98475800Y-134493000D01* -X97104200Y-134493000D01* -X97102736Y-134478134D01* -X97098400Y-134463840D01* -X97091358Y-134450666D01* -X97081882Y-134439118D01* -X97070334Y-134429642D01* -X97057160Y-134422600D01* -X97042866Y-134418264D01* -X97028000Y-134416800D01* -X96647000Y-134416800D01* -X96632134Y-134418264D01* -X96617840Y-134422600D01* -X96604666Y-134429642D01* -X96593118Y-134439118D01* -X96583642Y-134450666D01* -X96576600Y-134463840D01* -X96572264Y-134478134D01* -X96570800Y-134493000D01* -X96469200Y-134493000D01* -X96467736Y-134478134D01* -X96463400Y-134463840D01* -X96456358Y-134450666D01* -X96446882Y-134439118D01* -X96435334Y-134429642D01* -X96422160Y-134422600D01* -X96407866Y-134418264D01* -X96393000Y-134416800D01* -X96012000Y-134416800D01* -X95997134Y-134418264D01* -X95982840Y-134422600D01* -X95969666Y-134429642D01* -X95958118Y-134439118D01* -X95948642Y-134450666D01* -X95941600Y-134463840D01* -X95937264Y-134478134D01* -X95935800Y-134493000D01* -X94564200Y-134493000D01* -X94562736Y-134478134D01* -X94558400Y-134463840D01* -X94551358Y-134450666D01* -X94541882Y-134439118D01* -X94530334Y-134429642D01* -X94517160Y-134422600D01* -X94502866Y-134418264D01* -X94488000Y-134416800D01* -X94107000Y-134416800D01* -X94092134Y-134418264D01* -X94077840Y-134422600D01* -X94064666Y-134429642D01* -X94053118Y-134439118D01* -X94043642Y-134450666D01* -X94036600Y-134463840D01* -X94032264Y-134478134D01* -X94030800Y-134493000D01* -X93929200Y-134493000D01* -X93927736Y-134478134D01* -X93923400Y-134463840D01* -X93916358Y-134450666D01* -X93906882Y-134439118D01* -X93895334Y-134429642D01* -X93882160Y-134422600D01* -X93867866Y-134418264D01* -X93853000Y-134416800D01* -X93472000Y-134416800D01* -X93457134Y-134418264D01* -X93442840Y-134422600D01* -X93429666Y-134429642D01* -X93418118Y-134439118D01* -X93408642Y-134450666D01* -X93401600Y-134463840D01* -X93397264Y-134478134D01* -X93395800Y-134493000D01* -X92024200Y-134493000D01* -X92022736Y-134478134D01* -X92018400Y-134463840D01* -X92011358Y-134450666D01* -X92001882Y-134439118D01* -X91990334Y-134429642D01* -X91977160Y-134422600D01* -X91962866Y-134418264D01* -X91948000Y-134416800D01* -X91567000Y-134416800D01* -X91552134Y-134418264D01* -X91537840Y-134422600D01* -X91524666Y-134429642D01* -X91513118Y-134439118D01* -X91503642Y-134450666D01* -X91496600Y-134463840D01* -X91492264Y-134478134D01* -X91490800Y-134493000D01* -X91389200Y-134493000D01* -X91387736Y-134478134D01* -X91383400Y-134463840D01* -X91376358Y-134450666D01* -X91366882Y-134439118D01* -X91355334Y-134429642D01* -X91342160Y-134422600D01* -X91327866Y-134418264D01* -X91313000Y-134416800D01* -X90932000Y-134416800D01* -X90917134Y-134418264D01* -X90902840Y-134422600D01* -X90889666Y-134429642D01* -X90878118Y-134439118D01* -X90868642Y-134450666D01* -X90861600Y-134463840D01* -X90857264Y-134478134D01* -X90855800Y-134493000D01* -X89484200Y-134493000D01* -X89482736Y-134478134D01* -X89478400Y-134463840D01* -X89471358Y-134450666D01* -X89461882Y-134439118D01* -X89450334Y-134429642D01* -X89437160Y-134422600D01* -X89422866Y-134418264D01* -X89408000Y-134416800D01* -X89027000Y-134416800D01* -X89012134Y-134418264D01* -X88997840Y-134422600D01* -X88984666Y-134429642D01* -X88973118Y-134439118D01* -X88963642Y-134450666D01* -X88956600Y-134463840D01* -X88952264Y-134478134D01* -X88950800Y-134493000D01* -X88849200Y-134493000D01* -X88847736Y-134478134D01* -X88843400Y-134463840D01* -X88836358Y-134450666D01* -X88826882Y-134439118D01* -X88815334Y-134429642D01* -X88802160Y-134422600D01* -X88787866Y-134418264D01* -X88773000Y-134416800D01* -X88392000Y-134416800D01* -X88377134Y-134418264D01* -X88362840Y-134422600D01* -X88349666Y-134429642D01* -X88338118Y-134439118D01* -X88328642Y-134450666D01* -X88321600Y-134463840D01* -X88317264Y-134478134D01* -X88315800Y-134493000D01* -X86944200Y-134493000D01* -X86942736Y-134478134D01* -X86938400Y-134463840D01* -X86931358Y-134450666D01* -X86921882Y-134439118D01* -X86910334Y-134429642D01* -X86897160Y-134422600D01* -X86882866Y-134418264D01* -X86868000Y-134416800D01* -X86487000Y-134416800D01* -X86472134Y-134418264D01* -X86457840Y-134422600D01* -X86444666Y-134429642D01* -X86433118Y-134439118D01* -X86423642Y-134450666D01* -X86416600Y-134463840D01* -X86412264Y-134478134D01* -X86410800Y-134493000D01* -X86309200Y-134493000D01* -X86307736Y-134478134D01* -X86303400Y-134463840D01* -X86296358Y-134450666D01* -X86286882Y-134439118D01* -X86275334Y-134429642D01* -X86262160Y-134422600D01* -X86247866Y-134418264D01* -X86233000Y-134416800D01* -X85852000Y-134416800D01* -X85837134Y-134418264D01* -X85822840Y-134422600D01* -X85809666Y-134429642D01* -X85798118Y-134439118D01* -X85788642Y-134450666D01* -X85781600Y-134463840D01* -X85777264Y-134478134D01* -X85775800Y-134493000D01* -X84404200Y-134493000D01* -X84402736Y-134478134D01* -X84398400Y-134463840D01* -X84391358Y-134450666D01* -X84381882Y-134439118D01* -X84370334Y-134429642D01* -X84357160Y-134422600D01* -X84342866Y-134418264D01* -X84328000Y-134416800D01* -X83947000Y-134416800D01* -X83932134Y-134418264D01* -X83917840Y-134422600D01* -X83904666Y-134429642D01* -X83893118Y-134439118D01* -X83883642Y-134450666D01* -X83876600Y-134463840D01* -X83872264Y-134478134D01* -X83870800Y-134493000D01* -X83769200Y-134493000D01* -X83767736Y-134478134D01* -X83763400Y-134463840D01* -X83756358Y-134450666D01* -X83746882Y-134439118D01* -X83735334Y-134429642D01* -X83722160Y-134422600D01* -X83707866Y-134418264D01* -X83693000Y-134416800D01* -X83312000Y-134416800D01* -X83297134Y-134418264D01* -X83282840Y-134422600D01* -X83269666Y-134429642D01* -X83258118Y-134439118D01* -X83248642Y-134450666D01* -X83241600Y-134463840D01* -X83237264Y-134478134D01* -X83235800Y-134493000D01* -X81864200Y-134493000D01* -X81862736Y-134478134D01* -X81858400Y-134463840D01* -X81851358Y-134450666D01* -X81841882Y-134439118D01* -X81830334Y-134429642D01* -X81817160Y-134422600D01* -X81802866Y-134418264D01* -X81788000Y-134416800D01* -X81407000Y-134416800D01* -X81392134Y-134418264D01* -X81377840Y-134422600D01* -X81364666Y-134429642D01* -X81353118Y-134439118D01* -X81343642Y-134450666D01* -X81336600Y-134463840D01* -X81332264Y-134478134D01* -X81330800Y-134493000D01* -X81229200Y-134493000D01* -X81227736Y-134478134D01* -X81223400Y-134463840D01* -X81216358Y-134450666D01* -X81206882Y-134439118D01* -X81195334Y-134429642D01* -X81182160Y-134422600D01* -X81167866Y-134418264D01* -X81153000Y-134416800D01* -X80772000Y-134416800D01* -X80757134Y-134418264D01* -X80742840Y-134422600D01* -X80729666Y-134429642D01* -X80718118Y-134439118D01* -X80708642Y-134450666D01* -X80701600Y-134463840D01* -X80697264Y-134478134D01* -X80695800Y-134493000D01* -X79324200Y-134493000D01* -X79322736Y-134478134D01* -X79318400Y-134463840D01* -X79311358Y-134450666D01* -X79301882Y-134439118D01* -X79290334Y-134429642D01* -X79277160Y-134422600D01* -X79262866Y-134418264D01* -X79248000Y-134416800D01* -X78867000Y-134416800D01* -X78852134Y-134418264D01* -X78837840Y-134422600D01* -X78824666Y-134429642D01* -X78813118Y-134439118D01* -X78803642Y-134450666D01* -X78796600Y-134463840D01* -X78792264Y-134478134D01* -X78790800Y-134493000D01* -X78689200Y-134493000D01* -X78687736Y-134478134D01* -X78683400Y-134463840D01* -X78676358Y-134450666D01* -X78666882Y-134439118D01* -X78655334Y-134429642D01* -X78642160Y-134422600D01* -X78627866Y-134418264D01* -X78613000Y-134416800D01* -X78232000Y-134416800D01* -X78217134Y-134418264D01* -X78202840Y-134422600D01* -X78189666Y-134429642D01* -X78178118Y-134439118D01* -X78168642Y-134450666D01* -X78161600Y-134463840D01* -X78157264Y-134478134D01* -X78155800Y-134493000D01* -X76784200Y-134493000D01* -X76782736Y-134478134D01* -X76778400Y-134463840D01* -X76771358Y-134450666D01* -X76761882Y-134439118D01* -X76750334Y-134429642D01* -X76737160Y-134422600D01* -X76722866Y-134418264D01* -X76708000Y-134416800D01* -X76327000Y-134416800D01* -X76312134Y-134418264D01* -X76297840Y-134422600D01* -X76284666Y-134429642D01* -X76273118Y-134439118D01* -X76263642Y-134450666D01* -X76256600Y-134463840D01* -X76252264Y-134478134D01* -X76250800Y-134493000D01* -X76149200Y-134493000D01* -X76147736Y-134478134D01* -X76143400Y-134463840D01* -X76136358Y-134450666D01* -X76126882Y-134439118D01* -X76115334Y-134429642D01* -X76102160Y-134422600D01* -X76087866Y-134418264D01* -X76073000Y-134416800D01* -X75692000Y-134416800D01* -X75677134Y-134418264D01* -X75662840Y-134422600D01* -X75649666Y-134429642D01* -X75638118Y-134439118D01* -X75628642Y-134450666D01* -X75621600Y-134463840D01* -X75617264Y-134478134D01* -X75615800Y-134493000D01* -X74498200Y-134493000D01* -X74498200Y-133858000D01* -X75615800Y-133858000D01* -X75615800Y-134239000D01* -X75617264Y-134253866D01* -X75621600Y-134268160D01* -X75628642Y-134281334D01* -X75638118Y-134292882D01* -X75649666Y-134302358D01* -X75662840Y-134309400D01* -X75677134Y-134313736D01* -X75692000Y-134315200D01* -X76073000Y-134315200D01* -X76087866Y-134313736D01* -X76102160Y-134309400D01* -X76115334Y-134302358D01* -X76126882Y-134292882D01* -X76136358Y-134281334D01* -X76143400Y-134268160D01* -X76147736Y-134253866D01* -X76149200Y-134239000D01* -X76149200Y-133858000D01* -X76250800Y-133858000D01* -X76250800Y-134239000D01* -X76252264Y-134253866D01* -X76256600Y-134268160D01* -X76263642Y-134281334D01* -X76273118Y-134292882D01* -X76284666Y-134302358D01* -X76297840Y-134309400D01* -X76312134Y-134313736D01* -X76327000Y-134315200D01* -X76708000Y-134315200D01* -X76722866Y-134313736D01* -X76737160Y-134309400D01* -X76750334Y-134302358D01* -X76761882Y-134292882D01* -X76771358Y-134281334D01* -X76778400Y-134268160D01* -X76782736Y-134253866D01* -X76784200Y-134239000D01* -X76784200Y-133858000D01* -X78155800Y-133858000D01* -X78155800Y-134239000D01* -X78157264Y-134253866D01* -X78161600Y-134268160D01* -X78168642Y-134281334D01* -X78178118Y-134292882D01* -X78189666Y-134302358D01* -X78202840Y-134309400D01* -X78217134Y-134313736D01* -X78232000Y-134315200D01* -X78613000Y-134315200D01* -X78627866Y-134313736D01* -X78642160Y-134309400D01* -X78655334Y-134302358D01* -X78666882Y-134292882D01* -X78676358Y-134281334D01* -X78683400Y-134268160D01* -X78687736Y-134253866D01* -X78689200Y-134239000D01* -X78689200Y-133858000D01* -X78790800Y-133858000D01* -X78790800Y-134239000D01* -X78792264Y-134253866D01* -X78796600Y-134268160D01* -X78803642Y-134281334D01* -X78813118Y-134292882D01* -X78824666Y-134302358D01* -X78837840Y-134309400D01* -X78852134Y-134313736D01* -X78867000Y-134315200D01* -X79248000Y-134315200D01* -X79262866Y-134313736D01* -X79277160Y-134309400D01* -X79290334Y-134302358D01* -X79301882Y-134292882D01* -X79311358Y-134281334D01* -X79318400Y-134268160D01* -X79322736Y-134253866D01* -X79324200Y-134239000D01* -X79324200Y-133858000D01* -X80695800Y-133858000D01* -X80695800Y-134239000D01* -X80697264Y-134253866D01* -X80701600Y-134268160D01* -X80708642Y-134281334D01* -X80718118Y-134292882D01* -X80729666Y-134302358D01* -X80742840Y-134309400D01* -X80757134Y-134313736D01* -X80772000Y-134315200D01* -X81153000Y-134315200D01* -X81167866Y-134313736D01* -X81182160Y-134309400D01* -X81195334Y-134302358D01* -X81206882Y-134292882D01* -X81216358Y-134281334D01* -X81223400Y-134268160D01* -X81227736Y-134253866D01* -X81229200Y-134239000D01* -X81229200Y-133858000D01* -X81330800Y-133858000D01* -X81330800Y-134239000D01* -X81332264Y-134253866D01* -X81336600Y-134268160D01* -X81343642Y-134281334D01* -X81353118Y-134292882D01* -X81364666Y-134302358D01* -X81377840Y-134309400D01* -X81392134Y-134313736D01* -X81407000Y-134315200D01* -X81788000Y-134315200D01* -X81802866Y-134313736D01* -X81817160Y-134309400D01* -X81830334Y-134302358D01* -X81841882Y-134292882D01* -X81851358Y-134281334D01* -X81858400Y-134268160D01* -X81862736Y-134253866D01* -X81864200Y-134239000D01* -X81864200Y-133858000D01* -X83235800Y-133858000D01* -X83235800Y-134239000D01* -X83237264Y-134253866D01* -X83241600Y-134268160D01* -X83248642Y-134281334D01* -X83258118Y-134292882D01* -X83269666Y-134302358D01* -X83282840Y-134309400D01* -X83297134Y-134313736D01* -X83312000Y-134315200D01* -X83693000Y-134315200D01* -X83707866Y-134313736D01* -X83722160Y-134309400D01* -X83735334Y-134302358D01* -X83746882Y-134292882D01* -X83756358Y-134281334D01* -X83763400Y-134268160D01* -X83767736Y-134253866D01* -X83769200Y-134239000D01* -X83769200Y-133858000D01* -X83870800Y-133858000D01* -X83870800Y-134239000D01* -X83872264Y-134253866D01* -X83876600Y-134268160D01* -X83883642Y-134281334D01* -X83893118Y-134292882D01* -X83904666Y-134302358D01* -X83917840Y-134309400D01* -X83932134Y-134313736D01* -X83947000Y-134315200D01* -X84328000Y-134315200D01* -X84342866Y-134313736D01* -X84357160Y-134309400D01* -X84370334Y-134302358D01* -X84381882Y-134292882D01* -X84391358Y-134281334D01* -X84398400Y-134268160D01* -X84402736Y-134253866D01* -X84404200Y-134239000D01* -X84404200Y-133858000D01* -X85775800Y-133858000D01* -X85775800Y-134239000D01* -X85777264Y-134253866D01* -X85781600Y-134268160D01* -X85788642Y-134281334D01* -X85798118Y-134292882D01* -X85809666Y-134302358D01* -X85822840Y-134309400D01* -X85837134Y-134313736D01* -X85852000Y-134315200D01* -X86233000Y-134315200D01* -X86247866Y-134313736D01* -X86262160Y-134309400D01* -X86275334Y-134302358D01* -X86286882Y-134292882D01* -X86296358Y-134281334D01* -X86303400Y-134268160D01* -X86307736Y-134253866D01* -X86309200Y-134239000D01* -X86309200Y-133858000D01* -X86410800Y-133858000D01* -X86410800Y-134239000D01* -X86412264Y-134253866D01* -X86416600Y-134268160D01* -X86423642Y-134281334D01* -X86433118Y-134292882D01* -X86444666Y-134302358D01* -X86457840Y-134309400D01* -X86472134Y-134313736D01* -X86487000Y-134315200D01* -X86868000Y-134315200D01* -X86882866Y-134313736D01* -X86897160Y-134309400D01* -X86910334Y-134302358D01* -X86921882Y-134292882D01* -X86931358Y-134281334D01* -X86938400Y-134268160D01* -X86942736Y-134253866D01* -X86944200Y-134239000D01* -X86944200Y-133858000D01* -X88315800Y-133858000D01* -X88315800Y-134239000D01* -X88317264Y-134253866D01* -X88321600Y-134268160D01* -X88328642Y-134281334D01* -X88338118Y-134292882D01* -X88349666Y-134302358D01* -X88362840Y-134309400D01* -X88377134Y-134313736D01* -X88392000Y-134315200D01* -X88773000Y-134315200D01* -X88787866Y-134313736D01* -X88802160Y-134309400D01* -X88815334Y-134302358D01* -X88826882Y-134292882D01* -X88836358Y-134281334D01* -X88843400Y-134268160D01* -X88847736Y-134253866D01* -X88849200Y-134239000D01* -X88849200Y-133858000D01* -X88950800Y-133858000D01* -X88950800Y-134239000D01* -X88952264Y-134253866D01* -X88956600Y-134268160D01* -X88963642Y-134281334D01* -X88973118Y-134292882D01* -X88984666Y-134302358D01* -X88997840Y-134309400D01* -X89012134Y-134313736D01* -X89027000Y-134315200D01* -X89408000Y-134315200D01* -X89422866Y-134313736D01* -X89437160Y-134309400D01* -X89450334Y-134302358D01* -X89461882Y-134292882D01* -X89471358Y-134281334D01* -X89478400Y-134268160D01* -X89482736Y-134253866D01* -X89484200Y-134239000D01* -X89484200Y-133858000D01* -X90855800Y-133858000D01* -X90855800Y-134239000D01* -X90857264Y-134253866D01* -X90861600Y-134268160D01* -X90868642Y-134281334D01* -X90878118Y-134292882D01* -X90889666Y-134302358D01* -X90902840Y-134309400D01* -X90917134Y-134313736D01* -X90932000Y-134315200D01* -X91313000Y-134315200D01* -X91327866Y-134313736D01* -X91342160Y-134309400D01* -X91355334Y-134302358D01* -X91366882Y-134292882D01* -X91376358Y-134281334D01* -X91383400Y-134268160D01* -X91387736Y-134253866D01* -X91389200Y-134239000D01* -X91389200Y-133858000D01* -X91490800Y-133858000D01* -X91490800Y-134239000D01* -X91492264Y-134253866D01* -X91496600Y-134268160D01* -X91503642Y-134281334D01* -X91513118Y-134292882D01* -X91524666Y-134302358D01* -X91537840Y-134309400D01* -X91552134Y-134313736D01* -X91567000Y-134315200D01* -X91948000Y-134315200D01* -X91962866Y-134313736D01* -X91977160Y-134309400D01* -X91990334Y-134302358D01* -X92001882Y-134292882D01* -X92011358Y-134281334D01* -X92018400Y-134268160D01* -X92022736Y-134253866D01* -X92024200Y-134239000D01* -X92024200Y-133858000D01* -X93395800Y-133858000D01* -X93395800Y-134239000D01* -X93397264Y-134253866D01* -X93401600Y-134268160D01* -X93408642Y-134281334D01* -X93418118Y-134292882D01* -X93429666Y-134302358D01* -X93442840Y-134309400D01* -X93457134Y-134313736D01* -X93472000Y-134315200D01* -X93853000Y-134315200D01* -X93867866Y-134313736D01* -X93882160Y-134309400D01* -X93895334Y-134302358D01* -X93906882Y-134292882D01* -X93916358Y-134281334D01* -X93923400Y-134268160D01* -X93927736Y-134253866D01* -X93929200Y-134239000D01* -X93929200Y-133858000D01* -X94030800Y-133858000D01* -X94030800Y-134239000D01* -X94032264Y-134253866D01* -X94036600Y-134268160D01* -X94043642Y-134281334D01* -X94053118Y-134292882D01* -X94064666Y-134302358D01* -X94077840Y-134309400D01* -X94092134Y-134313736D01* -X94107000Y-134315200D01* -X94488000Y-134315200D01* -X94502866Y-134313736D01* -X94517160Y-134309400D01* -X94530334Y-134302358D01* -X94541882Y-134292882D01* -X94551358Y-134281334D01* -X94558400Y-134268160D01* -X94562736Y-134253866D01* -X94564200Y-134239000D01* -X94564200Y-133858000D01* -X95935800Y-133858000D01* -X95935800Y-134239000D01* -X95937264Y-134253866D01* -X95941600Y-134268160D01* -X95948642Y-134281334D01* -X95958118Y-134292882D01* -X95969666Y-134302358D01* -X95982840Y-134309400D01* -X95997134Y-134313736D01* -X96012000Y-134315200D01* -X96393000Y-134315200D01* -X96407866Y-134313736D01* -X96422160Y-134309400D01* -X96435334Y-134302358D01* -X96446882Y-134292882D01* -X96456358Y-134281334D01* -X96463400Y-134268160D01* -X96467736Y-134253866D01* -X96469200Y-134239000D01* -X96469200Y-133858000D01* -X96570800Y-133858000D01* -X96570800Y-134239000D01* -X96572264Y-134253866D01* -X96576600Y-134268160D01* -X96583642Y-134281334D01* -X96593118Y-134292882D01* -X96604666Y-134302358D01* -X96617840Y-134309400D01* -X96632134Y-134313736D01* -X96647000Y-134315200D01* -X97028000Y-134315200D01* -X97042866Y-134313736D01* -X97057160Y-134309400D01* -X97070334Y-134302358D01* -X97081882Y-134292882D01* -X97091358Y-134281334D01* -X97098400Y-134268160D01* -X97102736Y-134253866D01* -X97104200Y-134239000D01* -X97104200Y-133858000D01* -X98475800Y-133858000D01* -X98475800Y-134239000D01* -X98477264Y-134253866D01* -X98481600Y-134268160D01* -X98488642Y-134281334D01* -X98498118Y-134292882D01* -X98509666Y-134302358D01* -X98522840Y-134309400D01* -X98537134Y-134313736D01* -X98552000Y-134315200D01* -X98933000Y-134315200D01* -X98947866Y-134313736D01* -X98962160Y-134309400D01* -X98975334Y-134302358D01* -X98986882Y-134292882D01* -X98996358Y-134281334D01* -X99003400Y-134268160D01* -X99007736Y-134253866D01* -X99009200Y-134239000D01* -X99009200Y-133858000D01* -X99110800Y-133858000D01* -X99110800Y-134239000D01* -X99112264Y-134253866D01* -X99116600Y-134268160D01* -X99123642Y-134281334D01* -X99133118Y-134292882D01* -X99144666Y-134302358D01* -X99157840Y-134309400D01* -X99172134Y-134313736D01* -X99187000Y-134315200D01* -X99568000Y-134315200D01* -X99582866Y-134313736D01* -X99597160Y-134309400D01* -X99610334Y-134302358D01* -X99621882Y-134292882D01* -X99631358Y-134281334D01* -X99638400Y-134268160D01* -X99642736Y-134253866D01* -X99644200Y-134239000D01* -X99644200Y-133858000D01* -X101015800Y-133858000D01* -X101015800Y-134239000D01* -X101017264Y-134253866D01* -X101021600Y-134268160D01* -X101028642Y-134281334D01* -X101038118Y-134292882D01* -X101049666Y-134302358D01* -X101062840Y-134309400D01* -X101077134Y-134313736D01* -X101092000Y-134315200D01* -X101473000Y-134315200D01* -X101487866Y-134313736D01* -X101502160Y-134309400D01* -X101515334Y-134302358D01* -X101526882Y-134292882D01* -X101536358Y-134281334D01* -X101543400Y-134268160D01* -X101547736Y-134253866D01* -X101549200Y-134239000D01* -X101549200Y-133858000D01* -X101650800Y-133858000D01* -X101650800Y-134239000D01* -X101652264Y-134253866D01* -X101656600Y-134268160D01* -X101663642Y-134281334D01* -X101673118Y-134292882D01* -X101684666Y-134302358D01* -X101697840Y-134309400D01* -X101712134Y-134313736D01* -X101727000Y-134315200D01* -X102108000Y-134315200D01* -X102122866Y-134313736D01* -X102137160Y-134309400D01* -X102150334Y-134302358D01* -X102161882Y-134292882D01* -X102171358Y-134281334D01* -X102178400Y-134268160D01* -X102182736Y-134253866D01* -X102184200Y-134239000D01* -X102184200Y-133858000D01* -X103555800Y-133858000D01* -X103555800Y-134239000D01* -X103557264Y-134253866D01* -X103561600Y-134268160D01* -X103568642Y-134281334D01* -X103578118Y-134292882D01* -X103589666Y-134302358D01* -X103602840Y-134309400D01* -X103617134Y-134313736D01* -X103632000Y-134315200D01* -X104013000Y-134315200D01* -X104027866Y-134313736D01* -X104042160Y-134309400D01* -X104055334Y-134302358D01* -X104066882Y-134292882D01* -X104076358Y-134281334D01* -X104083400Y-134268160D01* -X104087736Y-134253866D01* -X104089200Y-134239000D01* -X104089200Y-133858000D01* -X104190800Y-133858000D01* -X104190800Y-134239000D01* -X104192264Y-134253866D01* -X104196600Y-134268160D01* -X104203642Y-134281334D01* -X104213118Y-134292882D01* -X104224666Y-134302358D01* -X104237840Y-134309400D01* -X104252134Y-134313736D01* -X104267000Y-134315200D01* -X104648000Y-134315200D01* -X104662866Y-134313736D01* -X104677160Y-134309400D01* -X104690334Y-134302358D01* -X104701882Y-134292882D01* -X104711358Y-134281334D01* -X104718400Y-134268160D01* -X104722736Y-134253866D01* -X104724200Y-134239000D01* -X104724200Y-133858000D01* -X106095800Y-133858000D01* -X106095800Y-134239000D01* -X106097264Y-134253866D01* -X106101600Y-134268160D01* -X106108642Y-134281334D01* -X106118118Y-134292882D01* -X106129666Y-134302358D01* -X106142840Y-134309400D01* -X106157134Y-134313736D01* -X106172000Y-134315200D01* -X106553000Y-134315200D01* -X106567866Y-134313736D01* -X106582160Y-134309400D01* -X106595334Y-134302358D01* -X106606882Y-134292882D01* -X106616358Y-134281334D01* -X106623400Y-134268160D01* -X106627736Y-134253866D01* -X106629200Y-134239000D01* -X106629200Y-133858000D01* -X106730800Y-133858000D01* -X106730800Y-134239000D01* -X106732264Y-134253866D01* -X106736600Y-134268160D01* -X106743642Y-134281334D01* -X106753118Y-134292882D01* -X106764666Y-134302358D01* -X106777840Y-134309400D01* -X106792134Y-134313736D01* -X106807000Y-134315200D01* -X107188000Y-134315200D01* -X107202866Y-134313736D01* -X107217160Y-134309400D01* -X107230334Y-134302358D01* -X107241882Y-134292882D01* -X107251358Y-134281334D01* -X107258400Y-134268160D01* -X107262736Y-134253866D01* -X107264200Y-134239000D01* -X107264200Y-133858000D01* -X108635800Y-133858000D01* -X108635800Y-134239000D01* -X108637264Y-134253866D01* -X108641600Y-134268160D01* -X108648642Y-134281334D01* -X108658118Y-134292882D01* -X108669666Y-134302358D01* -X108682840Y-134309400D01* -X108697134Y-134313736D01* -X108712000Y-134315200D01* -X109093000Y-134315200D01* -X109107866Y-134313736D01* -X109122160Y-134309400D01* -X109135334Y-134302358D01* -X109146882Y-134292882D01* -X109156358Y-134281334D01* -X109163400Y-134268160D01* -X109167736Y-134253866D01* -X109169200Y-134239000D01* -X109169200Y-133858000D01* -X109270800Y-133858000D01* -X109270800Y-134239000D01* -X109272264Y-134253866D01* -X109276600Y-134268160D01* -X109283642Y-134281334D01* -X109293118Y-134292882D01* -X109304666Y-134302358D01* -X109317840Y-134309400D01* -X109332134Y-134313736D01* -X109347000Y-134315200D01* -X109728000Y-134315200D01* -X109742866Y-134313736D01* -X109757160Y-134309400D01* -X109770334Y-134302358D01* -X109781882Y-134292882D01* -X109791358Y-134281334D01* -X109798400Y-134268160D01* -X109802736Y-134253866D01* -X109804200Y-134239000D01* -X109804200Y-133858000D01* -X111175800Y-133858000D01* -X111175800Y-134239000D01* -X111177264Y-134253866D01* -X111181600Y-134268160D01* -X111188642Y-134281334D01* -X111198118Y-134292882D01* -X111209666Y-134302358D01* -X111222840Y-134309400D01* -X111237134Y-134313736D01* -X111252000Y-134315200D01* -X111633000Y-134315200D01* -X111647866Y-134313736D01* -X111662160Y-134309400D01* -X111675334Y-134302358D01* -X111686882Y-134292882D01* -X111696358Y-134281334D01* -X111703400Y-134268160D01* -X111707736Y-134253866D01* -X111709200Y-134239000D01* -X111709200Y-133858000D01* -X111810800Y-133858000D01* -X111810800Y-134239000D01* -X111812264Y-134253866D01* -X111816600Y-134268160D01* -X111823642Y-134281334D01* -X111833118Y-134292882D01* -X111844666Y-134302358D01* -X111857840Y-134309400D01* -X111872134Y-134313736D01* -X111887000Y-134315200D01* -X112268000Y-134315200D01* -X112282866Y-134313736D01* -X112297160Y-134309400D01* -X112310334Y-134302358D01* -X112321882Y-134292882D01* -X112331358Y-134281334D01* -X112338400Y-134268160D01* -X112342736Y-134253866D01* -X112344200Y-134239000D01* -X112344200Y-133858000D01* -X113715800Y-133858000D01* -X113715800Y-134239000D01* -X113717264Y-134253866D01* -X113721600Y-134268160D01* -X113728642Y-134281334D01* -X113738118Y-134292882D01* -X113749666Y-134302358D01* -X113762840Y-134309400D01* -X113777134Y-134313736D01* -X113792000Y-134315200D01* -X114173000Y-134315200D01* -X114187866Y-134313736D01* -X114202160Y-134309400D01* -X114215334Y-134302358D01* -X114226882Y-134292882D01* -X114236358Y-134281334D01* -X114243400Y-134268160D01* -X114247736Y-134253866D01* -X114249200Y-134239000D01* -X114249200Y-133858000D01* -X114350800Y-133858000D01* -X114350800Y-134239000D01* -X114352264Y-134253866D01* -X114356600Y-134268160D01* -X114363642Y-134281334D01* -X114373118Y-134292882D01* -X114384666Y-134302358D01* -X114397840Y-134309400D01* -X114412134Y-134313736D01* -X114427000Y-134315200D01* -X114808000Y-134315200D01* -X114822866Y-134313736D01* -X114837160Y-134309400D01* -X114850334Y-134302358D01* -X114861882Y-134292882D01* -X114871358Y-134281334D01* -X114878400Y-134268160D01* -X114882736Y-134253866D01* -X114884200Y-134239000D01* -X114884200Y-133858000D01* -X116255800Y-133858000D01* -X116255800Y-134239000D01* -X116257264Y-134253866D01* -X116261600Y-134268160D01* -X116268642Y-134281334D01* -X116278118Y-134292882D01* -X116289666Y-134302358D01* -X116302840Y-134309400D01* -X116317134Y-134313736D01* -X116332000Y-134315200D01* -X116713000Y-134315200D01* -X116727866Y-134313736D01* -X116742160Y-134309400D01* -X116755334Y-134302358D01* -X116766882Y-134292882D01* -X116776358Y-134281334D01* -X116783400Y-134268160D01* -X116787736Y-134253866D01* -X116789200Y-134239000D01* -X116789200Y-133858000D01* -X116890800Y-133858000D01* -X116890800Y-134239000D01* -X116892264Y-134253866D01* -X116896600Y-134268160D01* -X116903642Y-134281334D01* -X116913118Y-134292882D01* -X116924666Y-134302358D01* -X116937840Y-134309400D01* -X116952134Y-134313736D01* -X116967000Y-134315200D01* -X117348000Y-134315200D01* -X117362866Y-134313736D01* -X117377160Y-134309400D01* -X117390334Y-134302358D01* -X117401882Y-134292882D01* -X117411358Y-134281334D01* -X117418400Y-134268160D01* -X117422736Y-134253866D01* -X117424200Y-134239000D01* -X117424200Y-133858000D01* -X118795800Y-133858000D01* -X118795800Y-134239000D01* -X118797264Y-134253866D01* -X118801600Y-134268160D01* -X118808642Y-134281334D01* -X118818118Y-134292882D01* -X118829666Y-134302358D01* -X118842840Y-134309400D01* -X118857134Y-134313736D01* -X118872000Y-134315200D01* -X119253000Y-134315200D01* -X119267866Y-134313736D01* -X119282160Y-134309400D01* -X119295334Y-134302358D01* -X119306882Y-134292882D01* -X119316358Y-134281334D01* -X119323400Y-134268160D01* -X119327736Y-134253866D01* -X119329200Y-134239000D01* -X119329200Y-133858000D01* -X119430800Y-133858000D01* -X119430800Y-134239000D01* -X119432264Y-134253866D01* -X119436600Y-134268160D01* -X119443642Y-134281334D01* -X119453118Y-134292882D01* -X119464666Y-134302358D01* -X119477840Y-134309400D01* -X119492134Y-134313736D01* -X119507000Y-134315200D01* -X119888000Y-134315200D01* -X119902866Y-134313736D01* -X119917160Y-134309400D01* -X119930334Y-134302358D01* -X119941882Y-134292882D01* -X119951358Y-134281334D01* -X119958400Y-134268160D01* -X119962736Y-134253866D01* -X119964200Y-134239000D01* -X119964200Y-133858000D01* -X121335800Y-133858000D01* -X121335800Y-134239000D01* -X121337264Y-134253866D01* -X121341600Y-134268160D01* -X121348642Y-134281334D01* -X121358118Y-134292882D01* -X121369666Y-134302358D01* -X121382840Y-134309400D01* -X121397134Y-134313736D01* -X121412000Y-134315200D01* -X121793000Y-134315200D01* -X121807866Y-134313736D01* -X121822160Y-134309400D01* -X121835334Y-134302358D01* -X121846882Y-134292882D01* -X121856358Y-134281334D01* -X121863400Y-134268160D01* -X121867736Y-134253866D01* -X121869200Y-134239000D01* -X121869200Y-133858000D01* -X121970800Y-133858000D01* -X121970800Y-134239000D01* -X121972264Y-134253866D01* -X121976600Y-134268160D01* -X121983642Y-134281334D01* -X121993118Y-134292882D01* -X122004666Y-134302358D01* -X122017840Y-134309400D01* -X122032134Y-134313736D01* -X122047000Y-134315200D01* -X122428000Y-134315200D01* -X122442866Y-134313736D01* -X122457160Y-134309400D01* -X122470334Y-134302358D01* -X122481882Y-134292882D01* -X122491358Y-134281334D01* -X122498400Y-134268160D01* -X122502736Y-134253866D01* -X122504200Y-134239000D01* -X122504200Y-133858000D01* -X123875800Y-133858000D01* -X123875800Y-134239000D01* -X123877264Y-134253866D01* -X123881600Y-134268160D01* -X123888642Y-134281334D01* -X123898118Y-134292882D01* -X123909666Y-134302358D01* -X123922840Y-134309400D01* -X123937134Y-134313736D01* -X123952000Y-134315200D01* -X124333000Y-134315200D01* -X124347866Y-134313736D01* -X124362160Y-134309400D01* -X124375334Y-134302358D01* -X124386882Y-134292882D01* -X124396358Y-134281334D01* -X124403400Y-134268160D01* -X124407736Y-134253866D01* -X124409200Y-134239000D01* -X124409200Y-133858000D01* -X124510800Y-133858000D01* -X124510800Y-134239000D01* -X124512264Y-134253866D01* -X124516600Y-134268160D01* -X124523642Y-134281334D01* -X124533118Y-134292882D01* -X124544666Y-134302358D01* -X124557840Y-134309400D01* -X124572134Y-134313736D01* -X124587000Y-134315200D01* -X124968000Y-134315200D01* -X124982866Y-134313736D01* -X124997160Y-134309400D01* -X125010334Y-134302358D01* -X125021882Y-134292882D01* -X125031358Y-134281334D01* -X125038400Y-134268160D01* -X125042736Y-134253866D01* -X125044200Y-134239000D01* -X125044200Y-133858000D01* -X126415800Y-133858000D01* -X126415800Y-134239000D01* -X126417264Y-134253866D01* -X126421600Y-134268160D01* -X126428642Y-134281334D01* -X126438118Y-134292882D01* -X126449666Y-134302358D01* -X126462840Y-134309400D01* -X126477134Y-134313736D01* -X126492000Y-134315200D01* -X126873000Y-134315200D01* -X126887866Y-134313736D01* -X126902160Y-134309400D01* -X126915334Y-134302358D01* -X126926882Y-134292882D01* -X126936358Y-134281334D01* -X126943400Y-134268160D01* -X126947736Y-134253866D01* -X126949200Y-134239000D01* -X126949200Y-133858000D01* -X127050800Y-133858000D01* -X127050800Y-134239000D01* -X127052264Y-134253866D01* -X127056600Y-134268160D01* -X127063642Y-134281334D01* -X127073118Y-134292882D01* -X127084666Y-134302358D01* -X127097840Y-134309400D01* -X127112134Y-134313736D01* -X127127000Y-134315200D01* -X127508000Y-134315200D01* -X127522866Y-134313736D01* -X127537160Y-134309400D01* -X127550334Y-134302358D01* -X127561882Y-134292882D01* -X127571358Y-134281334D01* -X127578400Y-134268160D01* -X127582736Y-134253866D01* -X127584200Y-134239000D01* -X127584200Y-133858000D01* -X128955800Y-133858000D01* -X128955800Y-134239000D01* -X128957264Y-134253866D01* -X128961600Y-134268160D01* -X128968642Y-134281334D01* -X128978118Y-134292882D01* -X128989666Y-134302358D01* -X129002840Y-134309400D01* -X129017134Y-134313736D01* -X129032000Y-134315200D01* -X129413000Y-134315200D01* -X129427866Y-134313736D01* -X129442160Y-134309400D01* -X129455334Y-134302358D01* -X129466882Y-134292882D01* -X129476358Y-134281334D01* -X129483400Y-134268160D01* -X129487736Y-134253866D01* -X129489200Y-134239000D01* -X129489200Y-133858000D01* -X129590800Y-133858000D01* -X129590800Y-134239000D01* -X129592264Y-134253866D01* -X129596600Y-134268160D01* -X129603642Y-134281334D01* -X129613118Y-134292882D01* -X129624666Y-134302358D01* -X129637840Y-134309400D01* -X129652134Y-134313736D01* -X129667000Y-134315200D01* -X130048000Y-134315200D01* -X130062866Y-134313736D01* -X130077160Y-134309400D01* -X130090334Y-134302358D01* -X130101882Y-134292882D01* -X130111358Y-134281334D01* -X130118400Y-134268160D01* -X130122736Y-134253866D01* -X130124200Y-134239000D01* -X130124200Y-133858000D01* -X131495800Y-133858000D01* -X131495800Y-134239000D01* -X131497264Y-134253866D01* -X131501600Y-134268160D01* -X131508642Y-134281334D01* -X131518118Y-134292882D01* -X131529666Y-134302358D01* -X131542840Y-134309400D01* -X131557134Y-134313736D01* -X131572000Y-134315200D01* -X131953000Y-134315200D01* -X131967866Y-134313736D01* -X131982160Y-134309400D01* -X131995334Y-134302358D01* -X132006882Y-134292882D01* -X132016358Y-134281334D01* -X132023400Y-134268160D01* -X132027736Y-134253866D01* -X132029200Y-134239000D01* -X132029200Y-133858000D01* -X132130800Y-133858000D01* -X132130800Y-134239000D01* -X132132264Y-134253866D01* -X132136600Y-134268160D01* -X132143642Y-134281334D01* -X132153118Y-134292882D01* -X132164666Y-134302358D01* -X132177840Y-134309400D01* -X132192134Y-134313736D01* -X132207000Y-134315200D01* -X132588000Y-134315200D01* -X132602866Y-134313736D01* -X132617160Y-134309400D01* -X132630334Y-134302358D01* -X132641882Y-134292882D01* -X132651358Y-134281334D01* -X132658400Y-134268160D01* -X132662736Y-134253866D01* -X132664200Y-134239000D01* -X132664200Y-133858000D01* -X134035800Y-133858000D01* -X134035800Y-134239000D01* -X134037264Y-134253866D01* -X134041600Y-134268160D01* -X134048642Y-134281334D01* -X134058118Y-134292882D01* -X134069666Y-134302358D01* -X134082840Y-134309400D01* -X134097134Y-134313736D01* -X134112000Y-134315200D01* -X134493000Y-134315200D01* -X134507866Y-134313736D01* -X134522160Y-134309400D01* -X134535334Y-134302358D01* -X134546882Y-134292882D01* -X134556358Y-134281334D01* -X134563400Y-134268160D01* -X134567736Y-134253866D01* -X134569200Y-134239000D01* -X134569200Y-133858000D01* -X134670800Y-133858000D01* -X134670800Y-134239000D01* -X134672264Y-134253866D01* -X134676600Y-134268160D01* -X134683642Y-134281334D01* -X134693118Y-134292882D01* -X134704666Y-134302358D01* -X134717840Y-134309400D01* -X134732134Y-134313736D01* -X134747000Y-134315200D01* -X135128000Y-134315200D01* -X135142866Y-134313736D01* -X135157160Y-134309400D01* -X135170334Y-134302358D01* -X135181882Y-134292882D01* -X135191358Y-134281334D01* -X135198400Y-134268160D01* -X135202736Y-134253866D01* -X135204200Y-134239000D01* -X135204200Y-133858000D01* -X135202736Y-133843134D01* -X135198400Y-133828840D01* -X135191358Y-133815666D01* -X135181882Y-133804118D01* -X135170334Y-133794642D01* -X135157160Y-133787600D01* -X135142866Y-133783264D01* -X135128000Y-133781800D01* -X134747000Y-133781800D01* -X134732134Y-133783264D01* -X134717840Y-133787600D01* -X134704666Y-133794642D01* -X134693118Y-133804118D01* -X134683642Y-133815666D01* -X134676600Y-133828840D01* -X134672264Y-133843134D01* -X134670800Y-133858000D01* -X134569200Y-133858000D01* -X134567736Y-133843134D01* -X134563400Y-133828840D01* -X134556358Y-133815666D01* -X134546882Y-133804118D01* -X134535334Y-133794642D01* -X134522160Y-133787600D01* -X134507866Y-133783264D01* -X134493000Y-133781800D01* -X134112000Y-133781800D01* -X134097134Y-133783264D01* -X134082840Y-133787600D01* -X134069666Y-133794642D01* -X134058118Y-133804118D01* -X134048642Y-133815666D01* -X134041600Y-133828840D01* -X134037264Y-133843134D01* -X134035800Y-133858000D01* -X132664200Y-133858000D01* -X132662736Y-133843134D01* -X132658400Y-133828840D01* -X132651358Y-133815666D01* -X132641882Y-133804118D01* -X132630334Y-133794642D01* -X132617160Y-133787600D01* -X132602866Y-133783264D01* -X132588000Y-133781800D01* -X132207000Y-133781800D01* -X132192134Y-133783264D01* -X132177840Y-133787600D01* -X132164666Y-133794642D01* -X132153118Y-133804118D01* -X132143642Y-133815666D01* -X132136600Y-133828840D01* -X132132264Y-133843134D01* -X132130800Y-133858000D01* -X132029200Y-133858000D01* -X132027736Y-133843134D01* -X132023400Y-133828840D01* -X132016358Y-133815666D01* -X132006882Y-133804118D01* -X131995334Y-133794642D01* -X131982160Y-133787600D01* -X131967866Y-133783264D01* -X131953000Y-133781800D01* -X131572000Y-133781800D01* -X131557134Y-133783264D01* -X131542840Y-133787600D01* -X131529666Y-133794642D01* -X131518118Y-133804118D01* -X131508642Y-133815666D01* -X131501600Y-133828840D01* -X131497264Y-133843134D01* -X131495800Y-133858000D01* -X130124200Y-133858000D01* -X130122736Y-133843134D01* -X130118400Y-133828840D01* -X130111358Y-133815666D01* -X130101882Y-133804118D01* -X130090334Y-133794642D01* -X130077160Y-133787600D01* -X130062866Y-133783264D01* -X130048000Y-133781800D01* -X129667000Y-133781800D01* -X129652134Y-133783264D01* -X129637840Y-133787600D01* -X129624666Y-133794642D01* -X129613118Y-133804118D01* -X129603642Y-133815666D01* -X129596600Y-133828840D01* -X129592264Y-133843134D01* -X129590800Y-133858000D01* -X129489200Y-133858000D01* -X129487736Y-133843134D01* -X129483400Y-133828840D01* -X129476358Y-133815666D01* -X129466882Y-133804118D01* -X129455334Y-133794642D01* -X129442160Y-133787600D01* -X129427866Y-133783264D01* -X129413000Y-133781800D01* -X129032000Y-133781800D01* -X129017134Y-133783264D01* -X129002840Y-133787600D01* -X128989666Y-133794642D01* -X128978118Y-133804118D01* -X128968642Y-133815666D01* -X128961600Y-133828840D01* -X128957264Y-133843134D01* -X128955800Y-133858000D01* -X127584200Y-133858000D01* -X127582736Y-133843134D01* -X127578400Y-133828840D01* -X127571358Y-133815666D01* -X127561882Y-133804118D01* -X127550334Y-133794642D01* -X127537160Y-133787600D01* -X127522866Y-133783264D01* -X127508000Y-133781800D01* -X127127000Y-133781800D01* -X127112134Y-133783264D01* -X127097840Y-133787600D01* -X127084666Y-133794642D01* -X127073118Y-133804118D01* -X127063642Y-133815666D01* -X127056600Y-133828840D01* -X127052264Y-133843134D01* -X127050800Y-133858000D01* -X126949200Y-133858000D01* -X126947736Y-133843134D01* -X126943400Y-133828840D01* -X126936358Y-133815666D01* -X126926882Y-133804118D01* -X126915334Y-133794642D01* -X126902160Y-133787600D01* -X126887866Y-133783264D01* -X126873000Y-133781800D01* -X126492000Y-133781800D01* -X126477134Y-133783264D01* -X126462840Y-133787600D01* -X126449666Y-133794642D01* -X126438118Y-133804118D01* -X126428642Y-133815666D01* -X126421600Y-133828840D01* -X126417264Y-133843134D01* -X126415800Y-133858000D01* -X125044200Y-133858000D01* -X125042736Y-133843134D01* -X125038400Y-133828840D01* -X125031358Y-133815666D01* -X125021882Y-133804118D01* -X125010334Y-133794642D01* -X124997160Y-133787600D01* -X124982866Y-133783264D01* -X124968000Y-133781800D01* -X124587000Y-133781800D01* -X124572134Y-133783264D01* -X124557840Y-133787600D01* -X124544666Y-133794642D01* -X124533118Y-133804118D01* -X124523642Y-133815666D01* -X124516600Y-133828840D01* -X124512264Y-133843134D01* -X124510800Y-133858000D01* -X124409200Y-133858000D01* -X124407736Y-133843134D01* -X124403400Y-133828840D01* -X124396358Y-133815666D01* -X124386882Y-133804118D01* -X124375334Y-133794642D01* -X124362160Y-133787600D01* -X124347866Y-133783264D01* -X124333000Y-133781800D01* -X123952000Y-133781800D01* -X123937134Y-133783264D01* -X123922840Y-133787600D01* -X123909666Y-133794642D01* -X123898118Y-133804118D01* -X123888642Y-133815666D01* -X123881600Y-133828840D01* -X123877264Y-133843134D01* -X123875800Y-133858000D01* -X122504200Y-133858000D01* -X122502736Y-133843134D01* -X122498400Y-133828840D01* -X122491358Y-133815666D01* -X122481882Y-133804118D01* -X122470334Y-133794642D01* -X122457160Y-133787600D01* -X122442866Y-133783264D01* -X122428000Y-133781800D01* -X122047000Y-133781800D01* -X122032134Y-133783264D01* -X122017840Y-133787600D01* -X122004666Y-133794642D01* -X121993118Y-133804118D01* -X121983642Y-133815666D01* -X121976600Y-133828840D01* -X121972264Y-133843134D01* -X121970800Y-133858000D01* -X121869200Y-133858000D01* -X121867736Y-133843134D01* -X121863400Y-133828840D01* -X121856358Y-133815666D01* -X121846882Y-133804118D01* -X121835334Y-133794642D01* -X121822160Y-133787600D01* -X121807866Y-133783264D01* -X121793000Y-133781800D01* -X121412000Y-133781800D01* -X121397134Y-133783264D01* -X121382840Y-133787600D01* -X121369666Y-133794642D01* -X121358118Y-133804118D01* -X121348642Y-133815666D01* -X121341600Y-133828840D01* -X121337264Y-133843134D01* -X121335800Y-133858000D01* -X119964200Y-133858000D01* -X119962736Y-133843134D01* -X119958400Y-133828840D01* -X119951358Y-133815666D01* -X119941882Y-133804118D01* -X119930334Y-133794642D01* -X119917160Y-133787600D01* -X119902866Y-133783264D01* -X119888000Y-133781800D01* -X119507000Y-133781800D01* -X119492134Y-133783264D01* -X119477840Y-133787600D01* -X119464666Y-133794642D01* -X119453118Y-133804118D01* -X119443642Y-133815666D01* -X119436600Y-133828840D01* -X119432264Y-133843134D01* -X119430800Y-133858000D01* -X119329200Y-133858000D01* -X119327736Y-133843134D01* -X119323400Y-133828840D01* -X119316358Y-133815666D01* -X119306882Y-133804118D01* -X119295334Y-133794642D01* -X119282160Y-133787600D01* -X119267866Y-133783264D01* -X119253000Y-133781800D01* -X118872000Y-133781800D01* -X118857134Y-133783264D01* -X118842840Y-133787600D01* -X118829666Y-133794642D01* -X118818118Y-133804118D01* -X118808642Y-133815666D01* -X118801600Y-133828840D01* -X118797264Y-133843134D01* -X118795800Y-133858000D01* -X117424200Y-133858000D01* -X117422736Y-133843134D01* -X117418400Y-133828840D01* -X117411358Y-133815666D01* -X117401882Y-133804118D01* -X117390334Y-133794642D01* -X117377160Y-133787600D01* -X117362866Y-133783264D01* -X117348000Y-133781800D01* -X116967000Y-133781800D01* -X116952134Y-133783264D01* -X116937840Y-133787600D01* -X116924666Y-133794642D01* -X116913118Y-133804118D01* -X116903642Y-133815666D01* -X116896600Y-133828840D01* -X116892264Y-133843134D01* -X116890800Y-133858000D01* -X116789200Y-133858000D01* -X116787736Y-133843134D01* -X116783400Y-133828840D01* -X116776358Y-133815666D01* -X116766882Y-133804118D01* -X116755334Y-133794642D01* -X116742160Y-133787600D01* -X116727866Y-133783264D01* -X116713000Y-133781800D01* -X116332000Y-133781800D01* -X116317134Y-133783264D01* -X116302840Y-133787600D01* -X116289666Y-133794642D01* -X116278118Y-133804118D01* -X116268642Y-133815666D01* -X116261600Y-133828840D01* -X116257264Y-133843134D01* -X116255800Y-133858000D01* -X114884200Y-133858000D01* -X114882736Y-133843134D01* -X114878400Y-133828840D01* -X114871358Y-133815666D01* -X114861882Y-133804118D01* -X114850334Y-133794642D01* -X114837160Y-133787600D01* -X114822866Y-133783264D01* -X114808000Y-133781800D01* -X114427000Y-133781800D01* -X114412134Y-133783264D01* -X114397840Y-133787600D01* -X114384666Y-133794642D01* -X114373118Y-133804118D01* -X114363642Y-133815666D01* -X114356600Y-133828840D01* -X114352264Y-133843134D01* -X114350800Y-133858000D01* -X114249200Y-133858000D01* -X114247736Y-133843134D01* -X114243400Y-133828840D01* -X114236358Y-133815666D01* -X114226882Y-133804118D01* -X114215334Y-133794642D01* -X114202160Y-133787600D01* -X114187866Y-133783264D01* -X114173000Y-133781800D01* -X113792000Y-133781800D01* -X113777134Y-133783264D01* -X113762840Y-133787600D01* -X113749666Y-133794642D01* -X113738118Y-133804118D01* -X113728642Y-133815666D01* -X113721600Y-133828840D01* -X113717264Y-133843134D01* -X113715800Y-133858000D01* -X112344200Y-133858000D01* -X112342736Y-133843134D01* -X112338400Y-133828840D01* -X112331358Y-133815666D01* -X112321882Y-133804118D01* -X112310334Y-133794642D01* -X112297160Y-133787600D01* -X112282866Y-133783264D01* -X112268000Y-133781800D01* -X111887000Y-133781800D01* -X111872134Y-133783264D01* -X111857840Y-133787600D01* -X111844666Y-133794642D01* -X111833118Y-133804118D01* -X111823642Y-133815666D01* -X111816600Y-133828840D01* -X111812264Y-133843134D01* -X111810800Y-133858000D01* -X111709200Y-133858000D01* -X111707736Y-133843134D01* -X111703400Y-133828840D01* -X111696358Y-133815666D01* -X111686882Y-133804118D01* -X111675334Y-133794642D01* -X111662160Y-133787600D01* -X111647866Y-133783264D01* -X111633000Y-133781800D01* -X111252000Y-133781800D01* -X111237134Y-133783264D01* -X111222840Y-133787600D01* -X111209666Y-133794642D01* -X111198118Y-133804118D01* -X111188642Y-133815666D01* -X111181600Y-133828840D01* -X111177264Y-133843134D01* -X111175800Y-133858000D01* -X109804200Y-133858000D01* -X109802736Y-133843134D01* -X109798400Y-133828840D01* -X109791358Y-133815666D01* -X109781882Y-133804118D01* -X109770334Y-133794642D01* -X109757160Y-133787600D01* -X109742866Y-133783264D01* -X109728000Y-133781800D01* -X109347000Y-133781800D01* -X109332134Y-133783264D01* -X109317840Y-133787600D01* -X109304666Y-133794642D01* -X109293118Y-133804118D01* -X109283642Y-133815666D01* -X109276600Y-133828840D01* -X109272264Y-133843134D01* -X109270800Y-133858000D01* -X109169200Y-133858000D01* -X109167736Y-133843134D01* -X109163400Y-133828840D01* -X109156358Y-133815666D01* -X109146882Y-133804118D01* -X109135334Y-133794642D01* -X109122160Y-133787600D01* -X109107866Y-133783264D01* -X109093000Y-133781800D01* -X108712000Y-133781800D01* -X108697134Y-133783264D01* -X108682840Y-133787600D01* -X108669666Y-133794642D01* -X108658118Y-133804118D01* -X108648642Y-133815666D01* -X108641600Y-133828840D01* -X108637264Y-133843134D01* -X108635800Y-133858000D01* -X107264200Y-133858000D01* -X107262736Y-133843134D01* -X107258400Y-133828840D01* -X107251358Y-133815666D01* -X107241882Y-133804118D01* -X107230334Y-133794642D01* -X107217160Y-133787600D01* -X107202866Y-133783264D01* -X107188000Y-133781800D01* -X106807000Y-133781800D01* -X106792134Y-133783264D01* -X106777840Y-133787600D01* -X106764666Y-133794642D01* -X106753118Y-133804118D01* -X106743642Y-133815666D01* -X106736600Y-133828840D01* -X106732264Y-133843134D01* -X106730800Y-133858000D01* -X106629200Y-133858000D01* -X106627736Y-133843134D01* -X106623400Y-133828840D01* -X106616358Y-133815666D01* -X106606882Y-133804118D01* -X106595334Y-133794642D01* -X106582160Y-133787600D01* -X106567866Y-133783264D01* -X106553000Y-133781800D01* -X106172000Y-133781800D01* -X106157134Y-133783264D01* -X106142840Y-133787600D01* -X106129666Y-133794642D01* -X106118118Y-133804118D01* -X106108642Y-133815666D01* -X106101600Y-133828840D01* -X106097264Y-133843134D01* -X106095800Y-133858000D01* -X104724200Y-133858000D01* -X104722736Y-133843134D01* -X104718400Y-133828840D01* -X104711358Y-133815666D01* -X104701882Y-133804118D01* -X104690334Y-133794642D01* -X104677160Y-133787600D01* -X104662866Y-133783264D01* -X104648000Y-133781800D01* -X104267000Y-133781800D01* -X104252134Y-133783264D01* -X104237840Y-133787600D01* -X104224666Y-133794642D01* -X104213118Y-133804118D01* -X104203642Y-133815666D01* -X104196600Y-133828840D01* -X104192264Y-133843134D01* -X104190800Y-133858000D01* -X104089200Y-133858000D01* -X104087736Y-133843134D01* -X104083400Y-133828840D01* -X104076358Y-133815666D01* -X104066882Y-133804118D01* -X104055334Y-133794642D01* -X104042160Y-133787600D01* -X104027866Y-133783264D01* -X104013000Y-133781800D01* -X103632000Y-133781800D01* -X103617134Y-133783264D01* -X103602840Y-133787600D01* -X103589666Y-133794642D01* -X103578118Y-133804118D01* -X103568642Y-133815666D01* -X103561600Y-133828840D01* -X103557264Y-133843134D01* -X103555800Y-133858000D01* -X102184200Y-133858000D01* -X102182736Y-133843134D01* -X102178400Y-133828840D01* -X102171358Y-133815666D01* -X102161882Y-133804118D01* -X102150334Y-133794642D01* -X102137160Y-133787600D01* -X102122866Y-133783264D01* -X102108000Y-133781800D01* -X101727000Y-133781800D01* -X101712134Y-133783264D01* -X101697840Y-133787600D01* -X101684666Y-133794642D01* -X101673118Y-133804118D01* -X101663642Y-133815666D01* -X101656600Y-133828840D01* -X101652264Y-133843134D01* -X101650800Y-133858000D01* -X101549200Y-133858000D01* -X101547736Y-133843134D01* -X101543400Y-133828840D01* -X101536358Y-133815666D01* -X101526882Y-133804118D01* -X101515334Y-133794642D01* -X101502160Y-133787600D01* -X101487866Y-133783264D01* -X101473000Y-133781800D01* -X101092000Y-133781800D01* -X101077134Y-133783264D01* -X101062840Y-133787600D01* -X101049666Y-133794642D01* -X101038118Y-133804118D01* -X101028642Y-133815666D01* -X101021600Y-133828840D01* -X101017264Y-133843134D01* -X101015800Y-133858000D01* -X99644200Y-133858000D01* -X99642736Y-133843134D01* -X99638400Y-133828840D01* -X99631358Y-133815666D01* -X99621882Y-133804118D01* -X99610334Y-133794642D01* -X99597160Y-133787600D01* -X99582866Y-133783264D01* -X99568000Y-133781800D01* -X99187000Y-133781800D01* -X99172134Y-133783264D01* -X99157840Y-133787600D01* -X99144666Y-133794642D01* -X99133118Y-133804118D01* -X99123642Y-133815666D01* -X99116600Y-133828840D01* -X99112264Y-133843134D01* -X99110800Y-133858000D01* -X99009200Y-133858000D01* -X99007736Y-133843134D01* -X99003400Y-133828840D01* -X98996358Y-133815666D01* -X98986882Y-133804118D01* -X98975334Y-133794642D01* -X98962160Y-133787600D01* -X98947866Y-133783264D01* -X98933000Y-133781800D01* -X98552000Y-133781800D01* -X98537134Y-133783264D01* -X98522840Y-133787600D01* -X98509666Y-133794642D01* -X98498118Y-133804118D01* -X98488642Y-133815666D01* -X98481600Y-133828840D01* -X98477264Y-133843134D01* -X98475800Y-133858000D01* -X97104200Y-133858000D01* -X97102736Y-133843134D01* -X97098400Y-133828840D01* -X97091358Y-133815666D01* -X97081882Y-133804118D01* -X97070334Y-133794642D01* -X97057160Y-133787600D01* -X97042866Y-133783264D01* -X97028000Y-133781800D01* -X96647000Y-133781800D01* -X96632134Y-133783264D01* -X96617840Y-133787600D01* -X96604666Y-133794642D01* -X96593118Y-133804118D01* -X96583642Y-133815666D01* -X96576600Y-133828840D01* -X96572264Y-133843134D01* -X96570800Y-133858000D01* -X96469200Y-133858000D01* -X96467736Y-133843134D01* -X96463400Y-133828840D01* -X96456358Y-133815666D01* -X96446882Y-133804118D01* -X96435334Y-133794642D01* -X96422160Y-133787600D01* -X96407866Y-133783264D01* -X96393000Y-133781800D01* -X96012000Y-133781800D01* -X95997134Y-133783264D01* -X95982840Y-133787600D01* -X95969666Y-133794642D01* -X95958118Y-133804118D01* -X95948642Y-133815666D01* -X95941600Y-133828840D01* -X95937264Y-133843134D01* -X95935800Y-133858000D01* -X94564200Y-133858000D01* -X94562736Y-133843134D01* -X94558400Y-133828840D01* -X94551358Y-133815666D01* -X94541882Y-133804118D01* -X94530334Y-133794642D01* -X94517160Y-133787600D01* -X94502866Y-133783264D01* -X94488000Y-133781800D01* -X94107000Y-133781800D01* -X94092134Y-133783264D01* -X94077840Y-133787600D01* -X94064666Y-133794642D01* -X94053118Y-133804118D01* -X94043642Y-133815666D01* -X94036600Y-133828840D01* -X94032264Y-133843134D01* -X94030800Y-133858000D01* -X93929200Y-133858000D01* -X93927736Y-133843134D01* -X93923400Y-133828840D01* -X93916358Y-133815666D01* -X93906882Y-133804118D01* -X93895334Y-133794642D01* -X93882160Y-133787600D01* -X93867866Y-133783264D01* -X93853000Y-133781800D01* -X93472000Y-133781800D01* -X93457134Y-133783264D01* -X93442840Y-133787600D01* -X93429666Y-133794642D01* -X93418118Y-133804118D01* -X93408642Y-133815666D01* -X93401600Y-133828840D01* -X93397264Y-133843134D01* -X93395800Y-133858000D01* -X92024200Y-133858000D01* -X92022736Y-133843134D01* -X92018400Y-133828840D01* -X92011358Y-133815666D01* -X92001882Y-133804118D01* -X91990334Y-133794642D01* -X91977160Y-133787600D01* -X91962866Y-133783264D01* -X91948000Y-133781800D01* -X91567000Y-133781800D01* -X91552134Y-133783264D01* -X91537840Y-133787600D01* -X91524666Y-133794642D01* -X91513118Y-133804118D01* -X91503642Y-133815666D01* -X91496600Y-133828840D01* -X91492264Y-133843134D01* -X91490800Y-133858000D01* -X91389200Y-133858000D01* -X91387736Y-133843134D01* -X91383400Y-133828840D01* -X91376358Y-133815666D01* -X91366882Y-133804118D01* -X91355334Y-133794642D01* -X91342160Y-133787600D01* -X91327866Y-133783264D01* -X91313000Y-133781800D01* -X90932000Y-133781800D01* -X90917134Y-133783264D01* -X90902840Y-133787600D01* -X90889666Y-133794642D01* -X90878118Y-133804118D01* -X90868642Y-133815666D01* -X90861600Y-133828840D01* -X90857264Y-133843134D01* -X90855800Y-133858000D01* -X89484200Y-133858000D01* -X89482736Y-133843134D01* -X89478400Y-133828840D01* -X89471358Y-133815666D01* -X89461882Y-133804118D01* -X89450334Y-133794642D01* -X89437160Y-133787600D01* -X89422866Y-133783264D01* -X89408000Y-133781800D01* -X89027000Y-133781800D01* -X89012134Y-133783264D01* -X88997840Y-133787600D01* -X88984666Y-133794642D01* -X88973118Y-133804118D01* -X88963642Y-133815666D01* -X88956600Y-133828840D01* -X88952264Y-133843134D01* -X88950800Y-133858000D01* -X88849200Y-133858000D01* -X88847736Y-133843134D01* -X88843400Y-133828840D01* -X88836358Y-133815666D01* -X88826882Y-133804118D01* -X88815334Y-133794642D01* -X88802160Y-133787600D01* -X88787866Y-133783264D01* -X88773000Y-133781800D01* -X88392000Y-133781800D01* -X88377134Y-133783264D01* -X88362840Y-133787600D01* -X88349666Y-133794642D01* -X88338118Y-133804118D01* -X88328642Y-133815666D01* -X88321600Y-133828840D01* -X88317264Y-133843134D01* -X88315800Y-133858000D01* -X86944200Y-133858000D01* -X86942736Y-133843134D01* -X86938400Y-133828840D01* -X86931358Y-133815666D01* -X86921882Y-133804118D01* -X86910334Y-133794642D01* -X86897160Y-133787600D01* -X86882866Y-133783264D01* -X86868000Y-133781800D01* -X86487000Y-133781800D01* -X86472134Y-133783264D01* -X86457840Y-133787600D01* -X86444666Y-133794642D01* -X86433118Y-133804118D01* -X86423642Y-133815666D01* -X86416600Y-133828840D01* -X86412264Y-133843134D01* -X86410800Y-133858000D01* -X86309200Y-133858000D01* -X86307736Y-133843134D01* -X86303400Y-133828840D01* -X86296358Y-133815666D01* -X86286882Y-133804118D01* -X86275334Y-133794642D01* -X86262160Y-133787600D01* -X86247866Y-133783264D01* -X86233000Y-133781800D01* -X85852000Y-133781800D01* -X85837134Y-133783264D01* -X85822840Y-133787600D01* -X85809666Y-133794642D01* -X85798118Y-133804118D01* -X85788642Y-133815666D01* -X85781600Y-133828840D01* -X85777264Y-133843134D01* -X85775800Y-133858000D01* -X84404200Y-133858000D01* -X84402736Y-133843134D01* -X84398400Y-133828840D01* -X84391358Y-133815666D01* -X84381882Y-133804118D01* -X84370334Y-133794642D01* -X84357160Y-133787600D01* -X84342866Y-133783264D01* -X84328000Y-133781800D01* -X83947000Y-133781800D01* -X83932134Y-133783264D01* -X83917840Y-133787600D01* -X83904666Y-133794642D01* -X83893118Y-133804118D01* -X83883642Y-133815666D01* -X83876600Y-133828840D01* -X83872264Y-133843134D01* -X83870800Y-133858000D01* -X83769200Y-133858000D01* -X83767736Y-133843134D01* -X83763400Y-133828840D01* -X83756358Y-133815666D01* -X83746882Y-133804118D01* -X83735334Y-133794642D01* -X83722160Y-133787600D01* -X83707866Y-133783264D01* -X83693000Y-133781800D01* -X83312000Y-133781800D01* -X83297134Y-133783264D01* -X83282840Y-133787600D01* -X83269666Y-133794642D01* -X83258118Y-133804118D01* -X83248642Y-133815666D01* -X83241600Y-133828840D01* -X83237264Y-133843134D01* -X83235800Y-133858000D01* -X81864200Y-133858000D01* -X81862736Y-133843134D01* -X81858400Y-133828840D01* -X81851358Y-133815666D01* -X81841882Y-133804118D01* -X81830334Y-133794642D01* -X81817160Y-133787600D01* -X81802866Y-133783264D01* -X81788000Y-133781800D01* -X81407000Y-133781800D01* -X81392134Y-133783264D01* -X81377840Y-133787600D01* -X81364666Y-133794642D01* -X81353118Y-133804118D01* -X81343642Y-133815666D01* -X81336600Y-133828840D01* -X81332264Y-133843134D01* -X81330800Y-133858000D01* -X81229200Y-133858000D01* -X81227736Y-133843134D01* -X81223400Y-133828840D01* -X81216358Y-133815666D01* -X81206882Y-133804118D01* -X81195334Y-133794642D01* -X81182160Y-133787600D01* -X81167866Y-133783264D01* -X81153000Y-133781800D01* -X80772000Y-133781800D01* -X80757134Y-133783264D01* -X80742840Y-133787600D01* -X80729666Y-133794642D01* -X80718118Y-133804118D01* -X80708642Y-133815666D01* -X80701600Y-133828840D01* -X80697264Y-133843134D01* -X80695800Y-133858000D01* -X79324200Y-133858000D01* -X79322736Y-133843134D01* -X79318400Y-133828840D01* -X79311358Y-133815666D01* -X79301882Y-133804118D01* -X79290334Y-133794642D01* -X79277160Y-133787600D01* -X79262866Y-133783264D01* -X79248000Y-133781800D01* -X78867000Y-133781800D01* -X78852134Y-133783264D01* -X78837840Y-133787600D01* -X78824666Y-133794642D01* -X78813118Y-133804118D01* -X78803642Y-133815666D01* -X78796600Y-133828840D01* -X78792264Y-133843134D01* -X78790800Y-133858000D01* -X78689200Y-133858000D01* -X78687736Y-133843134D01* -X78683400Y-133828840D01* -X78676358Y-133815666D01* -X78666882Y-133804118D01* -X78655334Y-133794642D01* -X78642160Y-133787600D01* -X78627866Y-133783264D01* -X78613000Y-133781800D01* -X78232000Y-133781800D01* -X78217134Y-133783264D01* -X78202840Y-133787600D01* -X78189666Y-133794642D01* -X78178118Y-133804118D01* -X78168642Y-133815666D01* -X78161600Y-133828840D01* -X78157264Y-133843134D01* -X78155800Y-133858000D01* -X76784200Y-133858000D01* -X76782736Y-133843134D01* -X76778400Y-133828840D01* -X76771358Y-133815666D01* -X76761882Y-133804118D01* -X76750334Y-133794642D01* -X76737160Y-133787600D01* -X76722866Y-133783264D01* -X76708000Y-133781800D01* -X76327000Y-133781800D01* -X76312134Y-133783264D01* -X76297840Y-133787600D01* -X76284666Y-133794642D01* -X76273118Y-133804118D01* -X76263642Y-133815666D01* -X76256600Y-133828840D01* -X76252264Y-133843134D01* -X76250800Y-133858000D01* -X76149200Y-133858000D01* -X76147736Y-133843134D01* -X76143400Y-133828840D01* -X76136358Y-133815666D01* -X76126882Y-133804118D01* -X76115334Y-133794642D01* -X76102160Y-133787600D01* -X76087866Y-133783264D01* -X76073000Y-133781800D01* -X75692000Y-133781800D01* -X75677134Y-133783264D01* -X75662840Y-133787600D01* -X75649666Y-133794642D01* -X75638118Y-133804118D01* -X75628642Y-133815666D01* -X75621600Y-133828840D01* -X75617264Y-133843134D01* -X75615800Y-133858000D01* -X74498200Y-133858000D01* -X74498200Y-133223000D01* -X75615800Y-133223000D01* -X75615800Y-133604000D01* -X75617264Y-133618866D01* -X75621600Y-133633160D01* -X75628642Y-133646334D01* -X75638118Y-133657882D01* -X75649666Y-133667358D01* -X75662840Y-133674400D01* -X75677134Y-133678736D01* -X75692000Y-133680200D01* -X76073000Y-133680200D01* -X76087866Y-133678736D01* -X76102160Y-133674400D01* -X76115334Y-133667358D01* -X76126882Y-133657882D01* -X76136358Y-133646334D01* -X76143400Y-133633160D01* -X76147736Y-133618866D01* -X76149200Y-133604000D01* -X76149200Y-133223000D01* -X76250800Y-133223000D01* -X76250800Y-133604000D01* -X76252264Y-133618866D01* -X76256600Y-133633160D01* -X76263642Y-133646334D01* -X76273118Y-133657882D01* -X76284666Y-133667358D01* -X76297840Y-133674400D01* -X76312134Y-133678736D01* -X76327000Y-133680200D01* -X76708000Y-133680200D01* -X76722866Y-133678736D01* -X76737160Y-133674400D01* -X76750334Y-133667358D01* -X76761882Y-133657882D01* -X76771358Y-133646334D01* -X76778400Y-133633160D01* -X76782736Y-133618866D01* -X76784200Y-133604000D01* -X76784200Y-133223000D01* -X78155800Y-133223000D01* -X78155800Y-133604000D01* -X78157264Y-133618866D01* -X78161600Y-133633160D01* -X78168642Y-133646334D01* -X78178118Y-133657882D01* -X78189666Y-133667358D01* -X78202840Y-133674400D01* -X78217134Y-133678736D01* -X78232000Y-133680200D01* -X78613000Y-133680200D01* -X78627866Y-133678736D01* -X78642160Y-133674400D01* -X78655334Y-133667358D01* -X78666882Y-133657882D01* -X78676358Y-133646334D01* -X78683400Y-133633160D01* -X78687736Y-133618866D01* -X78689200Y-133604000D01* -X78689200Y-133223000D01* -X78790800Y-133223000D01* -X78790800Y-133604000D01* -X78792264Y-133618866D01* -X78796600Y-133633160D01* -X78803642Y-133646334D01* -X78813118Y-133657882D01* -X78824666Y-133667358D01* -X78837840Y-133674400D01* -X78852134Y-133678736D01* -X78867000Y-133680200D01* -X79248000Y-133680200D01* -X79262866Y-133678736D01* -X79277160Y-133674400D01* -X79290334Y-133667358D01* -X79301882Y-133657882D01* -X79311358Y-133646334D01* -X79318400Y-133633160D01* -X79322736Y-133618866D01* -X79324200Y-133604000D01* -X79324200Y-133223000D01* -X80695800Y-133223000D01* -X80695800Y-133604000D01* -X80697264Y-133618866D01* -X80701600Y-133633160D01* -X80708642Y-133646334D01* -X80718118Y-133657882D01* -X80729666Y-133667358D01* -X80742840Y-133674400D01* -X80757134Y-133678736D01* -X80772000Y-133680200D01* -X81153000Y-133680200D01* -X81167866Y-133678736D01* -X81182160Y-133674400D01* -X81195334Y-133667358D01* -X81206882Y-133657882D01* -X81216358Y-133646334D01* -X81223400Y-133633160D01* -X81227736Y-133618866D01* -X81229200Y-133604000D01* -X81229200Y-133223000D01* -X81330800Y-133223000D01* -X81330800Y-133604000D01* -X81332264Y-133618866D01* -X81336600Y-133633160D01* -X81343642Y-133646334D01* -X81353118Y-133657882D01* -X81364666Y-133667358D01* -X81377840Y-133674400D01* -X81392134Y-133678736D01* -X81407000Y-133680200D01* -X81788000Y-133680200D01* -X81802866Y-133678736D01* -X81817160Y-133674400D01* -X81830334Y-133667358D01* -X81841882Y-133657882D01* -X81851358Y-133646334D01* -X81858400Y-133633160D01* -X81862736Y-133618866D01* -X81864200Y-133604000D01* -X81864200Y-133223000D01* -X83235800Y-133223000D01* -X83235800Y-133604000D01* -X83237264Y-133618866D01* -X83241600Y-133633160D01* -X83248642Y-133646334D01* -X83258118Y-133657882D01* -X83269666Y-133667358D01* -X83282840Y-133674400D01* -X83297134Y-133678736D01* -X83312000Y-133680200D01* -X83693000Y-133680200D01* -X83707866Y-133678736D01* -X83722160Y-133674400D01* -X83735334Y-133667358D01* -X83746882Y-133657882D01* -X83756358Y-133646334D01* -X83763400Y-133633160D01* -X83767736Y-133618866D01* -X83769200Y-133604000D01* -X83769200Y-133223000D01* -X83870800Y-133223000D01* -X83870800Y-133604000D01* -X83872264Y-133618866D01* -X83876600Y-133633160D01* -X83883642Y-133646334D01* -X83893118Y-133657882D01* -X83904666Y-133667358D01* -X83917840Y-133674400D01* -X83932134Y-133678736D01* -X83947000Y-133680200D01* -X84328000Y-133680200D01* -X84342866Y-133678736D01* -X84357160Y-133674400D01* -X84370334Y-133667358D01* -X84381882Y-133657882D01* -X84391358Y-133646334D01* -X84398400Y-133633160D01* -X84402736Y-133618866D01* -X84404200Y-133604000D01* -X84404200Y-133223000D01* -X85775800Y-133223000D01* -X85775800Y-133604000D01* -X85777264Y-133618866D01* -X85781600Y-133633160D01* -X85788642Y-133646334D01* -X85798118Y-133657882D01* -X85809666Y-133667358D01* -X85822840Y-133674400D01* -X85837134Y-133678736D01* -X85852000Y-133680200D01* -X86233000Y-133680200D01* -X86247866Y-133678736D01* -X86262160Y-133674400D01* -X86275334Y-133667358D01* -X86286882Y-133657882D01* -X86296358Y-133646334D01* -X86303400Y-133633160D01* -X86307736Y-133618866D01* -X86309200Y-133604000D01* -X86309200Y-133223000D01* -X86410800Y-133223000D01* -X86410800Y-133604000D01* -X86412264Y-133618866D01* -X86416600Y-133633160D01* -X86423642Y-133646334D01* -X86433118Y-133657882D01* -X86444666Y-133667358D01* -X86457840Y-133674400D01* -X86472134Y-133678736D01* -X86487000Y-133680200D01* -X86868000Y-133680200D01* -X86882866Y-133678736D01* -X86897160Y-133674400D01* -X86910334Y-133667358D01* -X86921882Y-133657882D01* -X86931358Y-133646334D01* -X86938400Y-133633160D01* -X86942736Y-133618866D01* -X86944200Y-133604000D01* -X86944200Y-133223000D01* -X88315800Y-133223000D01* -X88315800Y-133604000D01* -X88317264Y-133618866D01* -X88321600Y-133633160D01* -X88328642Y-133646334D01* -X88338118Y-133657882D01* -X88349666Y-133667358D01* -X88362840Y-133674400D01* -X88377134Y-133678736D01* -X88392000Y-133680200D01* -X88773000Y-133680200D01* -X88787866Y-133678736D01* -X88802160Y-133674400D01* -X88815334Y-133667358D01* -X88826882Y-133657882D01* -X88836358Y-133646334D01* -X88843400Y-133633160D01* -X88847736Y-133618866D01* -X88849200Y-133604000D01* -X88849200Y-133223000D01* -X88950800Y-133223000D01* -X88950800Y-133604000D01* -X88952264Y-133618866D01* -X88956600Y-133633160D01* -X88963642Y-133646334D01* -X88973118Y-133657882D01* -X88984666Y-133667358D01* -X88997840Y-133674400D01* -X89012134Y-133678736D01* -X89027000Y-133680200D01* -X89408000Y-133680200D01* -X89422866Y-133678736D01* -X89437160Y-133674400D01* -X89450334Y-133667358D01* -X89461882Y-133657882D01* -X89471358Y-133646334D01* -X89478400Y-133633160D01* -X89482736Y-133618866D01* -X89484200Y-133604000D01* -X89484200Y-133223000D01* -X90855800Y-133223000D01* -X90855800Y-133604000D01* -X90857264Y-133618866D01* -X90861600Y-133633160D01* -X90868642Y-133646334D01* -X90878118Y-133657882D01* -X90889666Y-133667358D01* -X90902840Y-133674400D01* -X90917134Y-133678736D01* -X90932000Y-133680200D01* -X91313000Y-133680200D01* -X91327866Y-133678736D01* -X91342160Y-133674400D01* -X91355334Y-133667358D01* -X91366882Y-133657882D01* -X91376358Y-133646334D01* -X91383400Y-133633160D01* -X91387736Y-133618866D01* -X91389200Y-133604000D01* -X91389200Y-133223000D01* -X91490800Y-133223000D01* -X91490800Y-133604000D01* -X91492264Y-133618866D01* -X91496600Y-133633160D01* -X91503642Y-133646334D01* -X91513118Y-133657882D01* -X91524666Y-133667358D01* -X91537840Y-133674400D01* -X91552134Y-133678736D01* -X91567000Y-133680200D01* -X91948000Y-133680200D01* -X91962866Y-133678736D01* -X91977160Y-133674400D01* -X91990334Y-133667358D01* -X92001882Y-133657882D01* -X92011358Y-133646334D01* -X92018400Y-133633160D01* -X92022736Y-133618866D01* -X92024200Y-133604000D01* -X92024200Y-133223000D01* -X93395800Y-133223000D01* -X93395800Y-133604000D01* -X93397264Y-133618866D01* -X93401600Y-133633160D01* -X93408642Y-133646334D01* -X93418118Y-133657882D01* -X93429666Y-133667358D01* -X93442840Y-133674400D01* -X93457134Y-133678736D01* -X93472000Y-133680200D01* -X93853000Y-133680200D01* -X93867866Y-133678736D01* -X93882160Y-133674400D01* -X93895334Y-133667358D01* -X93906882Y-133657882D01* -X93916358Y-133646334D01* -X93923400Y-133633160D01* -X93927736Y-133618866D01* -X93929200Y-133604000D01* -X93929200Y-133223000D01* -X94030800Y-133223000D01* -X94030800Y-133604000D01* -X94032264Y-133618866D01* -X94036600Y-133633160D01* -X94043642Y-133646334D01* -X94053118Y-133657882D01* -X94064666Y-133667358D01* -X94077840Y-133674400D01* -X94092134Y-133678736D01* -X94107000Y-133680200D01* -X94488000Y-133680200D01* -X94502866Y-133678736D01* -X94517160Y-133674400D01* -X94530334Y-133667358D01* -X94541882Y-133657882D01* -X94551358Y-133646334D01* -X94558400Y-133633160D01* -X94562736Y-133618866D01* -X94564200Y-133604000D01* -X94564200Y-133223000D01* -X95935800Y-133223000D01* -X95935800Y-133604000D01* -X95937264Y-133618866D01* -X95941600Y-133633160D01* -X95948642Y-133646334D01* -X95958118Y-133657882D01* -X95969666Y-133667358D01* -X95982840Y-133674400D01* -X95997134Y-133678736D01* -X96012000Y-133680200D01* -X96393000Y-133680200D01* -X96407866Y-133678736D01* -X96422160Y-133674400D01* -X96435334Y-133667358D01* -X96446882Y-133657882D01* -X96456358Y-133646334D01* -X96463400Y-133633160D01* -X96467736Y-133618866D01* -X96469200Y-133604000D01* -X96469200Y-133223000D01* -X96570800Y-133223000D01* -X96570800Y-133604000D01* -X96572264Y-133618866D01* -X96576600Y-133633160D01* -X96583642Y-133646334D01* -X96593118Y-133657882D01* -X96604666Y-133667358D01* -X96617840Y-133674400D01* -X96632134Y-133678736D01* -X96647000Y-133680200D01* -X97028000Y-133680200D01* -X97042866Y-133678736D01* -X97057160Y-133674400D01* -X97070334Y-133667358D01* -X97081882Y-133657882D01* -X97091358Y-133646334D01* -X97098400Y-133633160D01* -X97102736Y-133618866D01* -X97104200Y-133604000D01* -X97104200Y-133223000D01* -X98475800Y-133223000D01* -X98475800Y-133604000D01* -X98477264Y-133618866D01* -X98481600Y-133633160D01* -X98488642Y-133646334D01* -X98498118Y-133657882D01* -X98509666Y-133667358D01* -X98522840Y-133674400D01* -X98537134Y-133678736D01* -X98552000Y-133680200D01* -X98933000Y-133680200D01* -X98947866Y-133678736D01* -X98962160Y-133674400D01* -X98975334Y-133667358D01* -X98986882Y-133657882D01* -X98996358Y-133646334D01* -X99003400Y-133633160D01* -X99007736Y-133618866D01* -X99009200Y-133604000D01* -X99009200Y-133223000D01* -X99110800Y-133223000D01* -X99110800Y-133604000D01* -X99112264Y-133618866D01* -X99116600Y-133633160D01* -X99123642Y-133646334D01* -X99133118Y-133657882D01* -X99144666Y-133667358D01* -X99157840Y-133674400D01* -X99172134Y-133678736D01* -X99187000Y-133680200D01* -X99568000Y-133680200D01* -X99582866Y-133678736D01* -X99597160Y-133674400D01* -X99610334Y-133667358D01* -X99621882Y-133657882D01* -X99631358Y-133646334D01* -X99638400Y-133633160D01* -X99642736Y-133618866D01* -X99644200Y-133604000D01* -X99644200Y-133223000D01* -X101015800Y-133223000D01* -X101015800Y-133604000D01* -X101017264Y-133618866D01* -X101021600Y-133633160D01* -X101028642Y-133646334D01* -X101038118Y-133657882D01* -X101049666Y-133667358D01* -X101062840Y-133674400D01* -X101077134Y-133678736D01* -X101092000Y-133680200D01* -X101473000Y-133680200D01* -X101487866Y-133678736D01* -X101502160Y-133674400D01* -X101515334Y-133667358D01* -X101526882Y-133657882D01* -X101536358Y-133646334D01* -X101543400Y-133633160D01* -X101547736Y-133618866D01* -X101549200Y-133604000D01* -X101549200Y-133223000D01* -X101650800Y-133223000D01* -X101650800Y-133604000D01* -X101652264Y-133618866D01* -X101656600Y-133633160D01* -X101663642Y-133646334D01* -X101673118Y-133657882D01* -X101684666Y-133667358D01* -X101697840Y-133674400D01* -X101712134Y-133678736D01* -X101727000Y-133680200D01* -X102108000Y-133680200D01* -X102122866Y-133678736D01* -X102137160Y-133674400D01* -X102150334Y-133667358D01* -X102161882Y-133657882D01* -X102171358Y-133646334D01* -X102178400Y-133633160D01* -X102182736Y-133618866D01* -X102184200Y-133604000D01* -X102184200Y-133223000D01* -X103555800Y-133223000D01* -X103555800Y-133604000D01* -X103557264Y-133618866D01* -X103561600Y-133633160D01* -X103568642Y-133646334D01* -X103578118Y-133657882D01* -X103589666Y-133667358D01* -X103602840Y-133674400D01* -X103617134Y-133678736D01* -X103632000Y-133680200D01* -X104013000Y-133680200D01* -X104027866Y-133678736D01* -X104042160Y-133674400D01* -X104055334Y-133667358D01* -X104066882Y-133657882D01* -X104076358Y-133646334D01* -X104083400Y-133633160D01* -X104087736Y-133618866D01* -X104089200Y-133604000D01* -X104089200Y-133223000D01* -X104190800Y-133223000D01* -X104190800Y-133604000D01* -X104192264Y-133618866D01* -X104196600Y-133633160D01* -X104203642Y-133646334D01* -X104213118Y-133657882D01* -X104224666Y-133667358D01* -X104237840Y-133674400D01* -X104252134Y-133678736D01* -X104267000Y-133680200D01* -X104648000Y-133680200D01* -X104662866Y-133678736D01* -X104677160Y-133674400D01* -X104690334Y-133667358D01* -X104701882Y-133657882D01* -X104711358Y-133646334D01* -X104718400Y-133633160D01* -X104722736Y-133618866D01* -X104724200Y-133604000D01* -X104724200Y-133223000D01* -X106095800Y-133223000D01* -X106095800Y-133604000D01* -X106097264Y-133618866D01* -X106101600Y-133633160D01* -X106108642Y-133646334D01* -X106118118Y-133657882D01* -X106129666Y-133667358D01* -X106142840Y-133674400D01* -X106157134Y-133678736D01* -X106172000Y-133680200D01* -X106553000Y-133680200D01* -X106567866Y-133678736D01* -X106582160Y-133674400D01* -X106595334Y-133667358D01* -X106606882Y-133657882D01* -X106616358Y-133646334D01* -X106623400Y-133633160D01* -X106627736Y-133618866D01* -X106629200Y-133604000D01* -X106629200Y-133223000D01* -X106730800Y-133223000D01* -X106730800Y-133604000D01* -X106732264Y-133618866D01* -X106736600Y-133633160D01* -X106743642Y-133646334D01* -X106753118Y-133657882D01* -X106764666Y-133667358D01* -X106777840Y-133674400D01* -X106792134Y-133678736D01* -X106807000Y-133680200D01* -X107188000Y-133680200D01* -X107202866Y-133678736D01* -X107217160Y-133674400D01* -X107230334Y-133667358D01* -X107241882Y-133657882D01* -X107251358Y-133646334D01* -X107258400Y-133633160D01* -X107262736Y-133618866D01* -X107264200Y-133604000D01* -X107264200Y-133223000D01* -X108635800Y-133223000D01* -X108635800Y-133604000D01* -X108637264Y-133618866D01* -X108641600Y-133633160D01* -X108648642Y-133646334D01* -X108658118Y-133657882D01* -X108669666Y-133667358D01* -X108682840Y-133674400D01* -X108697134Y-133678736D01* -X108712000Y-133680200D01* -X109093000Y-133680200D01* -X109107866Y-133678736D01* -X109122160Y-133674400D01* -X109135334Y-133667358D01* -X109146882Y-133657882D01* -X109156358Y-133646334D01* -X109163400Y-133633160D01* -X109167736Y-133618866D01* -X109169200Y-133604000D01* -X109169200Y-133223000D01* -X109270800Y-133223000D01* -X109270800Y-133604000D01* -X109272264Y-133618866D01* -X109276600Y-133633160D01* -X109283642Y-133646334D01* -X109293118Y-133657882D01* -X109304666Y-133667358D01* -X109317840Y-133674400D01* -X109332134Y-133678736D01* -X109347000Y-133680200D01* -X109728000Y-133680200D01* -X109742866Y-133678736D01* -X109757160Y-133674400D01* -X109770334Y-133667358D01* -X109781882Y-133657882D01* -X109791358Y-133646334D01* -X109798400Y-133633160D01* -X109802736Y-133618866D01* -X109804200Y-133604000D01* -X109804200Y-133223000D01* -X111175800Y-133223000D01* -X111175800Y-133604000D01* -X111177264Y-133618866D01* -X111181600Y-133633160D01* -X111188642Y-133646334D01* -X111198118Y-133657882D01* -X111209666Y-133667358D01* -X111222840Y-133674400D01* -X111237134Y-133678736D01* -X111252000Y-133680200D01* -X111633000Y-133680200D01* -X111647866Y-133678736D01* -X111662160Y-133674400D01* -X111675334Y-133667358D01* -X111686882Y-133657882D01* -X111696358Y-133646334D01* -X111703400Y-133633160D01* -X111707736Y-133618866D01* -X111709200Y-133604000D01* -X111709200Y-133223000D01* -X111810800Y-133223000D01* -X111810800Y-133604000D01* -X111812264Y-133618866D01* -X111816600Y-133633160D01* -X111823642Y-133646334D01* -X111833118Y-133657882D01* -X111844666Y-133667358D01* -X111857840Y-133674400D01* -X111872134Y-133678736D01* -X111887000Y-133680200D01* -X112268000Y-133680200D01* -X112282866Y-133678736D01* -X112297160Y-133674400D01* -X112310334Y-133667358D01* -X112321882Y-133657882D01* -X112331358Y-133646334D01* -X112338400Y-133633160D01* -X112342736Y-133618866D01* -X112344200Y-133604000D01* -X112344200Y-133223000D01* -X113715800Y-133223000D01* -X113715800Y-133604000D01* -X113717264Y-133618866D01* -X113721600Y-133633160D01* -X113728642Y-133646334D01* -X113738118Y-133657882D01* -X113749666Y-133667358D01* -X113762840Y-133674400D01* -X113777134Y-133678736D01* -X113792000Y-133680200D01* -X114173000Y-133680200D01* -X114187866Y-133678736D01* -X114202160Y-133674400D01* -X114215334Y-133667358D01* -X114226882Y-133657882D01* -X114236358Y-133646334D01* -X114243400Y-133633160D01* -X114247736Y-133618866D01* -X114249200Y-133604000D01* -X114249200Y-133223000D01* -X114350800Y-133223000D01* -X114350800Y-133604000D01* -X114352264Y-133618866D01* -X114356600Y-133633160D01* -X114363642Y-133646334D01* -X114373118Y-133657882D01* -X114384666Y-133667358D01* -X114397840Y-133674400D01* -X114412134Y-133678736D01* -X114427000Y-133680200D01* -X114808000Y-133680200D01* -X114822866Y-133678736D01* -X114837160Y-133674400D01* -X114850334Y-133667358D01* -X114861882Y-133657882D01* -X114871358Y-133646334D01* -X114878400Y-133633160D01* -X114882736Y-133618866D01* -X114884200Y-133604000D01* -X114884200Y-133223000D01* -X116255800Y-133223000D01* -X116255800Y-133604000D01* -X116257264Y-133618866D01* -X116261600Y-133633160D01* -X116268642Y-133646334D01* -X116278118Y-133657882D01* -X116289666Y-133667358D01* -X116302840Y-133674400D01* -X116317134Y-133678736D01* -X116332000Y-133680200D01* -X116713000Y-133680200D01* -X116727866Y-133678736D01* -X116742160Y-133674400D01* -X116755334Y-133667358D01* -X116766882Y-133657882D01* -X116776358Y-133646334D01* -X116783400Y-133633160D01* -X116787736Y-133618866D01* -X116789200Y-133604000D01* -X116789200Y-133223000D01* -X116890800Y-133223000D01* -X116890800Y-133604000D01* -X116892264Y-133618866D01* -X116896600Y-133633160D01* -X116903642Y-133646334D01* -X116913118Y-133657882D01* -X116924666Y-133667358D01* -X116937840Y-133674400D01* -X116952134Y-133678736D01* -X116967000Y-133680200D01* -X117348000Y-133680200D01* -X117362866Y-133678736D01* -X117377160Y-133674400D01* -X117390334Y-133667358D01* -X117401882Y-133657882D01* -X117411358Y-133646334D01* -X117418400Y-133633160D01* -X117422736Y-133618866D01* -X117424200Y-133604000D01* -X117424200Y-133223000D01* -X118795800Y-133223000D01* -X118795800Y-133604000D01* -X118797264Y-133618866D01* -X118801600Y-133633160D01* -X118808642Y-133646334D01* -X118818118Y-133657882D01* -X118829666Y-133667358D01* -X118842840Y-133674400D01* -X118857134Y-133678736D01* -X118872000Y-133680200D01* -X119253000Y-133680200D01* -X119267866Y-133678736D01* -X119282160Y-133674400D01* -X119295334Y-133667358D01* -X119306882Y-133657882D01* -X119316358Y-133646334D01* -X119323400Y-133633160D01* -X119327736Y-133618866D01* -X119329200Y-133604000D01* -X119329200Y-133223000D01* -X119430800Y-133223000D01* -X119430800Y-133604000D01* -X119432264Y-133618866D01* -X119436600Y-133633160D01* -X119443642Y-133646334D01* -X119453118Y-133657882D01* -X119464666Y-133667358D01* -X119477840Y-133674400D01* -X119492134Y-133678736D01* -X119507000Y-133680200D01* -X119888000Y-133680200D01* -X119902866Y-133678736D01* -X119917160Y-133674400D01* -X119930334Y-133667358D01* -X119941882Y-133657882D01* -X119951358Y-133646334D01* -X119958400Y-133633160D01* -X119962736Y-133618866D01* -X119964200Y-133604000D01* -X119964200Y-133223000D01* -X121335800Y-133223000D01* -X121335800Y-133604000D01* -X121337264Y-133618866D01* -X121341600Y-133633160D01* -X121348642Y-133646334D01* -X121358118Y-133657882D01* -X121369666Y-133667358D01* -X121382840Y-133674400D01* -X121397134Y-133678736D01* -X121412000Y-133680200D01* -X121793000Y-133680200D01* -X121807866Y-133678736D01* -X121822160Y-133674400D01* -X121835334Y-133667358D01* -X121846882Y-133657882D01* -X121856358Y-133646334D01* -X121863400Y-133633160D01* -X121867736Y-133618866D01* -X121869200Y-133604000D01* -X121869200Y-133223000D01* -X121970800Y-133223000D01* -X121970800Y-133604000D01* -X121972264Y-133618866D01* -X121976600Y-133633160D01* -X121983642Y-133646334D01* -X121993118Y-133657882D01* -X122004666Y-133667358D01* -X122017840Y-133674400D01* -X122032134Y-133678736D01* -X122047000Y-133680200D01* -X122428000Y-133680200D01* -X122442866Y-133678736D01* -X122457160Y-133674400D01* -X122470334Y-133667358D01* -X122481882Y-133657882D01* -X122491358Y-133646334D01* -X122498400Y-133633160D01* -X122502736Y-133618866D01* -X122504200Y-133604000D01* -X122504200Y-133223000D01* -X123875800Y-133223000D01* -X123875800Y-133604000D01* -X123877264Y-133618866D01* -X123881600Y-133633160D01* -X123888642Y-133646334D01* -X123898118Y-133657882D01* -X123909666Y-133667358D01* -X123922840Y-133674400D01* -X123937134Y-133678736D01* -X123952000Y-133680200D01* -X124333000Y-133680200D01* -X124347866Y-133678736D01* -X124362160Y-133674400D01* -X124375334Y-133667358D01* -X124386882Y-133657882D01* -X124396358Y-133646334D01* -X124403400Y-133633160D01* -X124407736Y-133618866D01* -X124409200Y-133604000D01* -X124409200Y-133223000D01* -X124510800Y-133223000D01* -X124510800Y-133604000D01* -X124512264Y-133618866D01* -X124516600Y-133633160D01* -X124523642Y-133646334D01* -X124533118Y-133657882D01* -X124544666Y-133667358D01* -X124557840Y-133674400D01* -X124572134Y-133678736D01* -X124587000Y-133680200D01* -X124968000Y-133680200D01* -X124982866Y-133678736D01* -X124997160Y-133674400D01* -X125010334Y-133667358D01* -X125021882Y-133657882D01* -X125031358Y-133646334D01* -X125038400Y-133633160D01* -X125042736Y-133618866D01* -X125044200Y-133604000D01* -X125044200Y-133223000D01* -X126415800Y-133223000D01* -X126415800Y-133604000D01* -X126417264Y-133618866D01* -X126421600Y-133633160D01* -X126428642Y-133646334D01* -X126438118Y-133657882D01* -X126449666Y-133667358D01* -X126462840Y-133674400D01* -X126477134Y-133678736D01* -X126492000Y-133680200D01* -X126873000Y-133680200D01* -X126887866Y-133678736D01* -X126902160Y-133674400D01* -X126915334Y-133667358D01* -X126926882Y-133657882D01* -X126936358Y-133646334D01* -X126943400Y-133633160D01* -X126947736Y-133618866D01* -X126949200Y-133604000D01* -X126949200Y-133223000D01* -X127050800Y-133223000D01* -X127050800Y-133604000D01* -X127052264Y-133618866D01* -X127056600Y-133633160D01* -X127063642Y-133646334D01* -X127073118Y-133657882D01* -X127084666Y-133667358D01* -X127097840Y-133674400D01* -X127112134Y-133678736D01* -X127127000Y-133680200D01* -X127508000Y-133680200D01* -X127522866Y-133678736D01* -X127537160Y-133674400D01* -X127550334Y-133667358D01* -X127561882Y-133657882D01* -X127571358Y-133646334D01* -X127578400Y-133633160D01* -X127582736Y-133618866D01* -X127584200Y-133604000D01* -X127584200Y-133223000D01* -X128955800Y-133223000D01* -X128955800Y-133604000D01* -X128957264Y-133618866D01* -X128961600Y-133633160D01* -X128968642Y-133646334D01* -X128978118Y-133657882D01* -X128989666Y-133667358D01* -X129002840Y-133674400D01* -X129017134Y-133678736D01* -X129032000Y-133680200D01* -X129413000Y-133680200D01* -X129427866Y-133678736D01* -X129442160Y-133674400D01* -X129455334Y-133667358D01* -X129466882Y-133657882D01* -X129476358Y-133646334D01* -X129483400Y-133633160D01* -X129487736Y-133618866D01* -X129489200Y-133604000D01* -X129489200Y-133223000D01* -X129590800Y-133223000D01* -X129590800Y-133604000D01* -X129592264Y-133618866D01* -X129596600Y-133633160D01* -X129603642Y-133646334D01* -X129613118Y-133657882D01* -X129624666Y-133667358D01* -X129637840Y-133674400D01* -X129652134Y-133678736D01* -X129667000Y-133680200D01* -X130048000Y-133680200D01* -X130062866Y-133678736D01* -X130077160Y-133674400D01* -X130090334Y-133667358D01* -X130101882Y-133657882D01* -X130111358Y-133646334D01* -X130118400Y-133633160D01* -X130122736Y-133618866D01* -X130124200Y-133604000D01* -X130124200Y-133223000D01* -X131495800Y-133223000D01* -X131495800Y-133604000D01* -X131497264Y-133618866D01* -X131501600Y-133633160D01* -X131508642Y-133646334D01* -X131518118Y-133657882D01* -X131529666Y-133667358D01* -X131542840Y-133674400D01* -X131557134Y-133678736D01* -X131572000Y-133680200D01* -X131953000Y-133680200D01* -X131967866Y-133678736D01* -X131982160Y-133674400D01* -X131995334Y-133667358D01* -X132006882Y-133657882D01* -X132016358Y-133646334D01* -X132023400Y-133633160D01* -X132027736Y-133618866D01* -X132029200Y-133604000D01* -X132029200Y-133223000D01* -X132130800Y-133223000D01* -X132130800Y-133604000D01* -X132132264Y-133618866D01* -X132136600Y-133633160D01* -X132143642Y-133646334D01* -X132153118Y-133657882D01* -X132164666Y-133667358D01* -X132177840Y-133674400D01* -X132192134Y-133678736D01* -X132207000Y-133680200D01* -X132588000Y-133680200D01* -X132602866Y-133678736D01* -X132617160Y-133674400D01* -X132630334Y-133667358D01* -X132641882Y-133657882D01* -X132651358Y-133646334D01* -X132658400Y-133633160D01* -X132662736Y-133618866D01* -X132664200Y-133604000D01* -X132664200Y-133223000D01* -X134035800Y-133223000D01* -X134035800Y-133604000D01* -X134037264Y-133618866D01* -X134041600Y-133633160D01* -X134048642Y-133646334D01* -X134058118Y-133657882D01* -X134069666Y-133667358D01* -X134082840Y-133674400D01* -X134097134Y-133678736D01* -X134112000Y-133680200D01* -X134493000Y-133680200D01* -X134507866Y-133678736D01* -X134522160Y-133674400D01* -X134535334Y-133667358D01* -X134546882Y-133657882D01* -X134556358Y-133646334D01* -X134563400Y-133633160D01* -X134567736Y-133618866D01* -X134569200Y-133604000D01* -X134569200Y-133223000D01* -X134670800Y-133223000D01* -X134670800Y-133604000D01* -X134672264Y-133618866D01* -X134676600Y-133633160D01* -X134683642Y-133646334D01* -X134693118Y-133657882D01* -X134704666Y-133667358D01* -X134717840Y-133674400D01* -X134732134Y-133678736D01* -X134747000Y-133680200D01* -X135128000Y-133680200D01* -X135142866Y-133678736D01* -X135157160Y-133674400D01* -X135170334Y-133667358D01* -X135181882Y-133657882D01* -X135191358Y-133646334D01* -X135198400Y-133633160D01* -X135202736Y-133618866D01* -X135204200Y-133604000D01* -X135204200Y-133223000D01* -X135202736Y-133208134D01* -X135198400Y-133193840D01* -X135191358Y-133180666D01* -X135181882Y-133169118D01* -X135170334Y-133159642D01* -X135157160Y-133152600D01* -X135142866Y-133148264D01* -X135128000Y-133146800D01* -X134747000Y-133146800D01* -X134732134Y-133148264D01* -X134717840Y-133152600D01* -X134704666Y-133159642D01* -X134693118Y-133169118D01* -X134683642Y-133180666D01* -X134676600Y-133193840D01* -X134672264Y-133208134D01* -X134670800Y-133223000D01* -X134569200Y-133223000D01* -X134567736Y-133208134D01* -X134563400Y-133193840D01* -X134556358Y-133180666D01* -X134546882Y-133169118D01* -X134535334Y-133159642D01* -X134522160Y-133152600D01* -X134507866Y-133148264D01* -X134493000Y-133146800D01* -X134112000Y-133146800D01* -X134097134Y-133148264D01* -X134082840Y-133152600D01* -X134069666Y-133159642D01* -X134058118Y-133169118D01* -X134048642Y-133180666D01* -X134041600Y-133193840D01* -X134037264Y-133208134D01* -X134035800Y-133223000D01* -X132664200Y-133223000D01* -X132662736Y-133208134D01* -X132658400Y-133193840D01* -X132651358Y-133180666D01* -X132641882Y-133169118D01* -X132630334Y-133159642D01* -X132617160Y-133152600D01* -X132602866Y-133148264D01* -X132588000Y-133146800D01* -X132207000Y-133146800D01* -X132192134Y-133148264D01* -X132177840Y-133152600D01* -X132164666Y-133159642D01* -X132153118Y-133169118D01* -X132143642Y-133180666D01* -X132136600Y-133193840D01* -X132132264Y-133208134D01* -X132130800Y-133223000D01* -X132029200Y-133223000D01* -X132027736Y-133208134D01* -X132023400Y-133193840D01* -X132016358Y-133180666D01* -X132006882Y-133169118D01* -X131995334Y-133159642D01* -X131982160Y-133152600D01* -X131967866Y-133148264D01* -X131953000Y-133146800D01* -X131572000Y-133146800D01* -X131557134Y-133148264D01* -X131542840Y-133152600D01* -X131529666Y-133159642D01* -X131518118Y-133169118D01* -X131508642Y-133180666D01* -X131501600Y-133193840D01* -X131497264Y-133208134D01* -X131495800Y-133223000D01* -X130124200Y-133223000D01* -X130122736Y-133208134D01* -X130118400Y-133193840D01* -X130111358Y-133180666D01* -X130101882Y-133169118D01* -X130090334Y-133159642D01* -X130077160Y-133152600D01* -X130062866Y-133148264D01* -X130048000Y-133146800D01* -X129667000Y-133146800D01* -X129652134Y-133148264D01* -X129637840Y-133152600D01* -X129624666Y-133159642D01* -X129613118Y-133169118D01* -X129603642Y-133180666D01* -X129596600Y-133193840D01* -X129592264Y-133208134D01* -X129590800Y-133223000D01* -X129489200Y-133223000D01* -X129487736Y-133208134D01* -X129483400Y-133193840D01* -X129476358Y-133180666D01* -X129466882Y-133169118D01* -X129455334Y-133159642D01* -X129442160Y-133152600D01* -X129427866Y-133148264D01* -X129413000Y-133146800D01* -X129032000Y-133146800D01* -X129017134Y-133148264D01* -X129002840Y-133152600D01* -X128989666Y-133159642D01* -X128978118Y-133169118D01* -X128968642Y-133180666D01* -X128961600Y-133193840D01* -X128957264Y-133208134D01* -X128955800Y-133223000D01* -X127584200Y-133223000D01* -X127582736Y-133208134D01* -X127578400Y-133193840D01* -X127571358Y-133180666D01* -X127561882Y-133169118D01* -X127550334Y-133159642D01* -X127537160Y-133152600D01* -X127522866Y-133148264D01* -X127508000Y-133146800D01* -X127127000Y-133146800D01* -X127112134Y-133148264D01* -X127097840Y-133152600D01* -X127084666Y-133159642D01* -X127073118Y-133169118D01* -X127063642Y-133180666D01* -X127056600Y-133193840D01* -X127052264Y-133208134D01* -X127050800Y-133223000D01* -X126949200Y-133223000D01* -X126947736Y-133208134D01* -X126943400Y-133193840D01* -X126936358Y-133180666D01* -X126926882Y-133169118D01* -X126915334Y-133159642D01* -X126902160Y-133152600D01* -X126887866Y-133148264D01* -X126873000Y-133146800D01* -X126492000Y-133146800D01* -X126477134Y-133148264D01* -X126462840Y-133152600D01* -X126449666Y-133159642D01* -X126438118Y-133169118D01* -X126428642Y-133180666D01* -X126421600Y-133193840D01* -X126417264Y-133208134D01* -X126415800Y-133223000D01* -X125044200Y-133223000D01* -X125042736Y-133208134D01* -X125038400Y-133193840D01* -X125031358Y-133180666D01* -X125021882Y-133169118D01* -X125010334Y-133159642D01* -X124997160Y-133152600D01* -X124982866Y-133148264D01* -X124968000Y-133146800D01* -X124587000Y-133146800D01* -X124572134Y-133148264D01* -X124557840Y-133152600D01* -X124544666Y-133159642D01* -X124533118Y-133169118D01* -X124523642Y-133180666D01* -X124516600Y-133193840D01* -X124512264Y-133208134D01* -X124510800Y-133223000D01* -X124409200Y-133223000D01* -X124407736Y-133208134D01* -X124403400Y-133193840D01* -X124396358Y-133180666D01* -X124386882Y-133169118D01* -X124375334Y-133159642D01* -X124362160Y-133152600D01* -X124347866Y-133148264D01* -X124333000Y-133146800D01* -X123952000Y-133146800D01* -X123937134Y-133148264D01* -X123922840Y-133152600D01* -X123909666Y-133159642D01* -X123898118Y-133169118D01* -X123888642Y-133180666D01* -X123881600Y-133193840D01* -X123877264Y-133208134D01* -X123875800Y-133223000D01* -X122504200Y-133223000D01* -X122502736Y-133208134D01* -X122498400Y-133193840D01* -X122491358Y-133180666D01* -X122481882Y-133169118D01* -X122470334Y-133159642D01* -X122457160Y-133152600D01* -X122442866Y-133148264D01* -X122428000Y-133146800D01* -X122047000Y-133146800D01* -X122032134Y-133148264D01* -X122017840Y-133152600D01* -X122004666Y-133159642D01* -X121993118Y-133169118D01* -X121983642Y-133180666D01* -X121976600Y-133193840D01* -X121972264Y-133208134D01* -X121970800Y-133223000D01* -X121869200Y-133223000D01* -X121867736Y-133208134D01* -X121863400Y-133193840D01* -X121856358Y-133180666D01* -X121846882Y-133169118D01* -X121835334Y-133159642D01* -X121822160Y-133152600D01* -X121807866Y-133148264D01* -X121793000Y-133146800D01* -X121412000Y-133146800D01* -X121397134Y-133148264D01* -X121382840Y-133152600D01* -X121369666Y-133159642D01* -X121358118Y-133169118D01* -X121348642Y-133180666D01* -X121341600Y-133193840D01* -X121337264Y-133208134D01* -X121335800Y-133223000D01* -X119964200Y-133223000D01* -X119962736Y-133208134D01* -X119958400Y-133193840D01* -X119951358Y-133180666D01* -X119941882Y-133169118D01* -X119930334Y-133159642D01* -X119917160Y-133152600D01* -X119902866Y-133148264D01* -X119888000Y-133146800D01* -X119507000Y-133146800D01* -X119492134Y-133148264D01* -X119477840Y-133152600D01* -X119464666Y-133159642D01* -X119453118Y-133169118D01* -X119443642Y-133180666D01* -X119436600Y-133193840D01* -X119432264Y-133208134D01* -X119430800Y-133223000D01* -X119329200Y-133223000D01* -X119327736Y-133208134D01* -X119323400Y-133193840D01* -X119316358Y-133180666D01* -X119306882Y-133169118D01* -X119295334Y-133159642D01* -X119282160Y-133152600D01* -X119267866Y-133148264D01* -X119253000Y-133146800D01* -X118872000Y-133146800D01* -X118857134Y-133148264D01* -X118842840Y-133152600D01* -X118829666Y-133159642D01* -X118818118Y-133169118D01* -X118808642Y-133180666D01* -X118801600Y-133193840D01* -X118797264Y-133208134D01* -X118795800Y-133223000D01* -X117424200Y-133223000D01* -X117422736Y-133208134D01* -X117418400Y-133193840D01* -X117411358Y-133180666D01* -X117401882Y-133169118D01* -X117390334Y-133159642D01* -X117377160Y-133152600D01* -X117362866Y-133148264D01* -X117348000Y-133146800D01* -X116967000Y-133146800D01* -X116952134Y-133148264D01* -X116937840Y-133152600D01* -X116924666Y-133159642D01* -X116913118Y-133169118D01* -X116903642Y-133180666D01* -X116896600Y-133193840D01* -X116892264Y-133208134D01* -X116890800Y-133223000D01* -X116789200Y-133223000D01* -X116787736Y-133208134D01* -X116783400Y-133193840D01* -X116776358Y-133180666D01* -X116766882Y-133169118D01* -X116755334Y-133159642D01* -X116742160Y-133152600D01* -X116727866Y-133148264D01* -X116713000Y-133146800D01* -X116332000Y-133146800D01* -X116317134Y-133148264D01* -X116302840Y-133152600D01* -X116289666Y-133159642D01* -X116278118Y-133169118D01* -X116268642Y-133180666D01* -X116261600Y-133193840D01* -X116257264Y-133208134D01* -X116255800Y-133223000D01* -X114884200Y-133223000D01* -X114882736Y-133208134D01* -X114878400Y-133193840D01* -X114871358Y-133180666D01* -X114861882Y-133169118D01* -X114850334Y-133159642D01* -X114837160Y-133152600D01* -X114822866Y-133148264D01* -X114808000Y-133146800D01* -X114427000Y-133146800D01* -X114412134Y-133148264D01* -X114397840Y-133152600D01* -X114384666Y-133159642D01* -X114373118Y-133169118D01* -X114363642Y-133180666D01* -X114356600Y-133193840D01* -X114352264Y-133208134D01* -X114350800Y-133223000D01* -X114249200Y-133223000D01* -X114247736Y-133208134D01* -X114243400Y-133193840D01* -X114236358Y-133180666D01* -X114226882Y-133169118D01* -X114215334Y-133159642D01* -X114202160Y-133152600D01* -X114187866Y-133148264D01* -X114173000Y-133146800D01* -X113792000Y-133146800D01* -X113777134Y-133148264D01* -X113762840Y-133152600D01* -X113749666Y-133159642D01* -X113738118Y-133169118D01* -X113728642Y-133180666D01* -X113721600Y-133193840D01* -X113717264Y-133208134D01* -X113715800Y-133223000D01* -X112344200Y-133223000D01* -X112342736Y-133208134D01* -X112338400Y-133193840D01* -X112331358Y-133180666D01* -X112321882Y-133169118D01* -X112310334Y-133159642D01* -X112297160Y-133152600D01* -X112282866Y-133148264D01* -X112268000Y-133146800D01* -X111887000Y-133146800D01* -X111872134Y-133148264D01* -X111857840Y-133152600D01* -X111844666Y-133159642D01* -X111833118Y-133169118D01* -X111823642Y-133180666D01* -X111816600Y-133193840D01* -X111812264Y-133208134D01* -X111810800Y-133223000D01* -X111709200Y-133223000D01* -X111707736Y-133208134D01* -X111703400Y-133193840D01* -X111696358Y-133180666D01* -X111686882Y-133169118D01* -X111675334Y-133159642D01* -X111662160Y-133152600D01* -X111647866Y-133148264D01* -X111633000Y-133146800D01* -X111252000Y-133146800D01* -X111237134Y-133148264D01* -X111222840Y-133152600D01* -X111209666Y-133159642D01* -X111198118Y-133169118D01* -X111188642Y-133180666D01* -X111181600Y-133193840D01* -X111177264Y-133208134D01* -X111175800Y-133223000D01* -X109804200Y-133223000D01* -X109802736Y-133208134D01* -X109798400Y-133193840D01* -X109791358Y-133180666D01* -X109781882Y-133169118D01* -X109770334Y-133159642D01* -X109757160Y-133152600D01* -X109742866Y-133148264D01* -X109728000Y-133146800D01* -X109347000Y-133146800D01* -X109332134Y-133148264D01* -X109317840Y-133152600D01* -X109304666Y-133159642D01* -X109293118Y-133169118D01* -X109283642Y-133180666D01* -X109276600Y-133193840D01* -X109272264Y-133208134D01* -X109270800Y-133223000D01* -X109169200Y-133223000D01* -X109167736Y-133208134D01* -X109163400Y-133193840D01* -X109156358Y-133180666D01* -X109146882Y-133169118D01* -X109135334Y-133159642D01* -X109122160Y-133152600D01* -X109107866Y-133148264D01* -X109093000Y-133146800D01* -X108712000Y-133146800D01* -X108697134Y-133148264D01* -X108682840Y-133152600D01* -X108669666Y-133159642D01* -X108658118Y-133169118D01* -X108648642Y-133180666D01* -X108641600Y-133193840D01* -X108637264Y-133208134D01* -X108635800Y-133223000D01* -X107264200Y-133223000D01* -X107262736Y-133208134D01* -X107258400Y-133193840D01* -X107251358Y-133180666D01* -X107241882Y-133169118D01* -X107230334Y-133159642D01* -X107217160Y-133152600D01* -X107202866Y-133148264D01* -X107188000Y-133146800D01* -X106807000Y-133146800D01* -X106792134Y-133148264D01* -X106777840Y-133152600D01* -X106764666Y-133159642D01* -X106753118Y-133169118D01* -X106743642Y-133180666D01* -X106736600Y-133193840D01* -X106732264Y-133208134D01* -X106730800Y-133223000D01* -X106629200Y-133223000D01* -X106627736Y-133208134D01* -X106623400Y-133193840D01* -X106616358Y-133180666D01* -X106606882Y-133169118D01* -X106595334Y-133159642D01* -X106582160Y-133152600D01* -X106567866Y-133148264D01* -X106553000Y-133146800D01* -X106172000Y-133146800D01* -X106157134Y-133148264D01* -X106142840Y-133152600D01* -X106129666Y-133159642D01* -X106118118Y-133169118D01* -X106108642Y-133180666D01* -X106101600Y-133193840D01* -X106097264Y-133208134D01* -X106095800Y-133223000D01* -X104724200Y-133223000D01* -X104722736Y-133208134D01* -X104718400Y-133193840D01* -X104711358Y-133180666D01* -X104701882Y-133169118D01* -X104690334Y-133159642D01* -X104677160Y-133152600D01* -X104662866Y-133148264D01* -X104648000Y-133146800D01* -X104267000Y-133146800D01* -X104252134Y-133148264D01* -X104237840Y-133152600D01* -X104224666Y-133159642D01* -X104213118Y-133169118D01* -X104203642Y-133180666D01* -X104196600Y-133193840D01* -X104192264Y-133208134D01* -X104190800Y-133223000D01* -X104089200Y-133223000D01* -X104087736Y-133208134D01* -X104083400Y-133193840D01* -X104076358Y-133180666D01* -X104066882Y-133169118D01* -X104055334Y-133159642D01* -X104042160Y-133152600D01* -X104027866Y-133148264D01* -X104013000Y-133146800D01* -X103632000Y-133146800D01* -X103617134Y-133148264D01* -X103602840Y-133152600D01* -X103589666Y-133159642D01* -X103578118Y-133169118D01* -X103568642Y-133180666D01* -X103561600Y-133193840D01* -X103557264Y-133208134D01* -X103555800Y-133223000D01* -X102184200Y-133223000D01* -X102182736Y-133208134D01* -X102178400Y-133193840D01* -X102171358Y-133180666D01* -X102161882Y-133169118D01* -X102150334Y-133159642D01* -X102137160Y-133152600D01* -X102122866Y-133148264D01* -X102108000Y-133146800D01* -X101727000Y-133146800D01* -X101712134Y-133148264D01* -X101697840Y-133152600D01* -X101684666Y-133159642D01* -X101673118Y-133169118D01* -X101663642Y-133180666D01* -X101656600Y-133193840D01* -X101652264Y-133208134D01* -X101650800Y-133223000D01* -X101549200Y-133223000D01* -X101547736Y-133208134D01* -X101543400Y-133193840D01* -X101536358Y-133180666D01* -X101526882Y-133169118D01* -X101515334Y-133159642D01* -X101502160Y-133152600D01* -X101487866Y-133148264D01* -X101473000Y-133146800D01* -X101092000Y-133146800D01* -X101077134Y-133148264D01* -X101062840Y-133152600D01* -X101049666Y-133159642D01* -X101038118Y-133169118D01* -X101028642Y-133180666D01* -X101021600Y-133193840D01* -X101017264Y-133208134D01* -X101015800Y-133223000D01* -X99644200Y-133223000D01* -X99642736Y-133208134D01* -X99638400Y-133193840D01* -X99631358Y-133180666D01* -X99621882Y-133169118D01* -X99610334Y-133159642D01* -X99597160Y-133152600D01* -X99582866Y-133148264D01* -X99568000Y-133146800D01* -X99187000Y-133146800D01* -X99172134Y-133148264D01* -X99157840Y-133152600D01* -X99144666Y-133159642D01* -X99133118Y-133169118D01* -X99123642Y-133180666D01* -X99116600Y-133193840D01* -X99112264Y-133208134D01* -X99110800Y-133223000D01* -X99009200Y-133223000D01* -X99007736Y-133208134D01* -X99003400Y-133193840D01* -X98996358Y-133180666D01* -X98986882Y-133169118D01* -X98975334Y-133159642D01* -X98962160Y-133152600D01* -X98947866Y-133148264D01* -X98933000Y-133146800D01* -X98552000Y-133146800D01* -X98537134Y-133148264D01* -X98522840Y-133152600D01* -X98509666Y-133159642D01* -X98498118Y-133169118D01* -X98488642Y-133180666D01* -X98481600Y-133193840D01* -X98477264Y-133208134D01* -X98475800Y-133223000D01* -X97104200Y-133223000D01* -X97102736Y-133208134D01* -X97098400Y-133193840D01* -X97091358Y-133180666D01* -X97081882Y-133169118D01* -X97070334Y-133159642D01* -X97057160Y-133152600D01* -X97042866Y-133148264D01* -X97028000Y-133146800D01* -X96647000Y-133146800D01* -X96632134Y-133148264D01* -X96617840Y-133152600D01* -X96604666Y-133159642D01* -X96593118Y-133169118D01* -X96583642Y-133180666D01* -X96576600Y-133193840D01* -X96572264Y-133208134D01* -X96570800Y-133223000D01* -X96469200Y-133223000D01* -X96467736Y-133208134D01* -X96463400Y-133193840D01* -X96456358Y-133180666D01* -X96446882Y-133169118D01* -X96435334Y-133159642D01* -X96422160Y-133152600D01* -X96407866Y-133148264D01* -X96393000Y-133146800D01* -X96012000Y-133146800D01* -X95997134Y-133148264D01* -X95982840Y-133152600D01* -X95969666Y-133159642D01* -X95958118Y-133169118D01* -X95948642Y-133180666D01* -X95941600Y-133193840D01* -X95937264Y-133208134D01* -X95935800Y-133223000D01* -X94564200Y-133223000D01* -X94562736Y-133208134D01* -X94558400Y-133193840D01* -X94551358Y-133180666D01* -X94541882Y-133169118D01* -X94530334Y-133159642D01* -X94517160Y-133152600D01* -X94502866Y-133148264D01* -X94488000Y-133146800D01* -X94107000Y-133146800D01* -X94092134Y-133148264D01* -X94077840Y-133152600D01* -X94064666Y-133159642D01* -X94053118Y-133169118D01* -X94043642Y-133180666D01* -X94036600Y-133193840D01* -X94032264Y-133208134D01* -X94030800Y-133223000D01* -X93929200Y-133223000D01* -X93927736Y-133208134D01* -X93923400Y-133193840D01* -X93916358Y-133180666D01* -X93906882Y-133169118D01* -X93895334Y-133159642D01* -X93882160Y-133152600D01* -X93867866Y-133148264D01* -X93853000Y-133146800D01* -X93472000Y-133146800D01* -X93457134Y-133148264D01* -X93442840Y-133152600D01* -X93429666Y-133159642D01* -X93418118Y-133169118D01* -X93408642Y-133180666D01* -X93401600Y-133193840D01* -X93397264Y-133208134D01* -X93395800Y-133223000D01* -X92024200Y-133223000D01* -X92022736Y-133208134D01* -X92018400Y-133193840D01* -X92011358Y-133180666D01* -X92001882Y-133169118D01* -X91990334Y-133159642D01* -X91977160Y-133152600D01* -X91962866Y-133148264D01* -X91948000Y-133146800D01* -X91567000Y-133146800D01* -X91552134Y-133148264D01* -X91537840Y-133152600D01* -X91524666Y-133159642D01* -X91513118Y-133169118D01* -X91503642Y-133180666D01* -X91496600Y-133193840D01* -X91492264Y-133208134D01* -X91490800Y-133223000D01* -X91389200Y-133223000D01* -X91387736Y-133208134D01* -X91383400Y-133193840D01* -X91376358Y-133180666D01* -X91366882Y-133169118D01* -X91355334Y-133159642D01* -X91342160Y-133152600D01* -X91327866Y-133148264D01* -X91313000Y-133146800D01* -X90932000Y-133146800D01* -X90917134Y-133148264D01* -X90902840Y-133152600D01* -X90889666Y-133159642D01* -X90878118Y-133169118D01* -X90868642Y-133180666D01* -X90861600Y-133193840D01* -X90857264Y-133208134D01* -X90855800Y-133223000D01* -X89484200Y-133223000D01* -X89482736Y-133208134D01* -X89478400Y-133193840D01* -X89471358Y-133180666D01* -X89461882Y-133169118D01* -X89450334Y-133159642D01* -X89437160Y-133152600D01* -X89422866Y-133148264D01* -X89408000Y-133146800D01* -X89027000Y-133146800D01* -X89012134Y-133148264D01* -X88997840Y-133152600D01* -X88984666Y-133159642D01* -X88973118Y-133169118D01* -X88963642Y-133180666D01* -X88956600Y-133193840D01* -X88952264Y-133208134D01* -X88950800Y-133223000D01* -X88849200Y-133223000D01* -X88847736Y-133208134D01* -X88843400Y-133193840D01* -X88836358Y-133180666D01* -X88826882Y-133169118D01* -X88815334Y-133159642D01* -X88802160Y-133152600D01* -X88787866Y-133148264D01* -X88773000Y-133146800D01* -X88392000Y-133146800D01* -X88377134Y-133148264D01* -X88362840Y-133152600D01* -X88349666Y-133159642D01* -X88338118Y-133169118D01* -X88328642Y-133180666D01* -X88321600Y-133193840D01* -X88317264Y-133208134D01* -X88315800Y-133223000D01* -X86944200Y-133223000D01* -X86942736Y-133208134D01* -X86938400Y-133193840D01* -X86931358Y-133180666D01* -X86921882Y-133169118D01* -X86910334Y-133159642D01* -X86897160Y-133152600D01* -X86882866Y-133148264D01* -X86868000Y-133146800D01* -X86487000Y-133146800D01* -X86472134Y-133148264D01* -X86457840Y-133152600D01* -X86444666Y-133159642D01* -X86433118Y-133169118D01* -X86423642Y-133180666D01* -X86416600Y-133193840D01* -X86412264Y-133208134D01* -X86410800Y-133223000D01* -X86309200Y-133223000D01* -X86307736Y-133208134D01* -X86303400Y-133193840D01* -X86296358Y-133180666D01* -X86286882Y-133169118D01* -X86275334Y-133159642D01* -X86262160Y-133152600D01* -X86247866Y-133148264D01* -X86233000Y-133146800D01* -X85852000Y-133146800D01* -X85837134Y-133148264D01* -X85822840Y-133152600D01* -X85809666Y-133159642D01* -X85798118Y-133169118D01* -X85788642Y-133180666D01* -X85781600Y-133193840D01* -X85777264Y-133208134D01* -X85775800Y-133223000D01* -X84404200Y-133223000D01* -X84402736Y-133208134D01* -X84398400Y-133193840D01* -X84391358Y-133180666D01* -X84381882Y-133169118D01* -X84370334Y-133159642D01* -X84357160Y-133152600D01* -X84342866Y-133148264D01* -X84328000Y-133146800D01* -X83947000Y-133146800D01* -X83932134Y-133148264D01* -X83917840Y-133152600D01* -X83904666Y-133159642D01* -X83893118Y-133169118D01* -X83883642Y-133180666D01* -X83876600Y-133193840D01* -X83872264Y-133208134D01* -X83870800Y-133223000D01* -X83769200Y-133223000D01* -X83767736Y-133208134D01* -X83763400Y-133193840D01* -X83756358Y-133180666D01* -X83746882Y-133169118D01* -X83735334Y-133159642D01* -X83722160Y-133152600D01* -X83707866Y-133148264D01* -X83693000Y-133146800D01* -X83312000Y-133146800D01* -X83297134Y-133148264D01* -X83282840Y-133152600D01* -X83269666Y-133159642D01* -X83258118Y-133169118D01* -X83248642Y-133180666D01* -X83241600Y-133193840D01* -X83237264Y-133208134D01* -X83235800Y-133223000D01* -X81864200Y-133223000D01* -X81862736Y-133208134D01* -X81858400Y-133193840D01* -X81851358Y-133180666D01* -X81841882Y-133169118D01* -X81830334Y-133159642D01* -X81817160Y-133152600D01* -X81802866Y-133148264D01* -X81788000Y-133146800D01* -X81407000Y-133146800D01* -X81392134Y-133148264D01* -X81377840Y-133152600D01* -X81364666Y-133159642D01* -X81353118Y-133169118D01* -X81343642Y-133180666D01* -X81336600Y-133193840D01* -X81332264Y-133208134D01* -X81330800Y-133223000D01* -X81229200Y-133223000D01* -X81227736Y-133208134D01* -X81223400Y-133193840D01* -X81216358Y-133180666D01* -X81206882Y-133169118D01* -X81195334Y-133159642D01* -X81182160Y-133152600D01* -X81167866Y-133148264D01* -X81153000Y-133146800D01* -X80772000Y-133146800D01* -X80757134Y-133148264D01* -X80742840Y-133152600D01* -X80729666Y-133159642D01* -X80718118Y-133169118D01* -X80708642Y-133180666D01* -X80701600Y-133193840D01* -X80697264Y-133208134D01* -X80695800Y-133223000D01* -X79324200Y-133223000D01* -X79322736Y-133208134D01* -X79318400Y-133193840D01* -X79311358Y-133180666D01* -X79301882Y-133169118D01* -X79290334Y-133159642D01* -X79277160Y-133152600D01* -X79262866Y-133148264D01* -X79248000Y-133146800D01* -X78867000Y-133146800D01* -X78852134Y-133148264D01* -X78837840Y-133152600D01* -X78824666Y-133159642D01* -X78813118Y-133169118D01* -X78803642Y-133180666D01* -X78796600Y-133193840D01* -X78792264Y-133208134D01* -X78790800Y-133223000D01* -X78689200Y-133223000D01* -X78687736Y-133208134D01* -X78683400Y-133193840D01* -X78676358Y-133180666D01* -X78666882Y-133169118D01* -X78655334Y-133159642D01* -X78642160Y-133152600D01* -X78627866Y-133148264D01* -X78613000Y-133146800D01* -X78232000Y-133146800D01* -X78217134Y-133148264D01* -X78202840Y-133152600D01* -X78189666Y-133159642D01* -X78178118Y-133169118D01* -X78168642Y-133180666D01* -X78161600Y-133193840D01* -X78157264Y-133208134D01* -X78155800Y-133223000D01* -X76784200Y-133223000D01* -X76782736Y-133208134D01* -X76778400Y-133193840D01* -X76771358Y-133180666D01* -X76761882Y-133169118D01* -X76750334Y-133159642D01* -X76737160Y-133152600D01* -X76722866Y-133148264D01* -X76708000Y-133146800D01* -X76327000Y-133146800D01* -X76312134Y-133148264D01* -X76297840Y-133152600D01* -X76284666Y-133159642D01* -X76273118Y-133169118D01* -X76263642Y-133180666D01* -X76256600Y-133193840D01* -X76252264Y-133208134D01* -X76250800Y-133223000D01* -X76149200Y-133223000D01* -X76147736Y-133208134D01* -X76143400Y-133193840D01* -X76136358Y-133180666D01* -X76126882Y-133169118D01* -X76115334Y-133159642D01* -X76102160Y-133152600D01* -X76087866Y-133148264D01* -X76073000Y-133146800D01* -X75692000Y-133146800D01* -X75677134Y-133148264D01* -X75662840Y-133152600D01* -X75649666Y-133159642D01* -X75638118Y-133169118D01* -X75628642Y-133180666D01* -X75621600Y-133193840D01* -X75617264Y-133208134D01* -X75615800Y-133223000D01* -X74498200Y-133223000D01* -X74498200Y-132588000D01* -X75615800Y-132588000D01* -X75615800Y-132969000D01* -X75617264Y-132983866D01* -X75621600Y-132998160D01* -X75628642Y-133011334D01* -X75638118Y-133022882D01* -X75649666Y-133032358D01* -X75662840Y-133039400D01* -X75677134Y-133043736D01* -X75692000Y-133045200D01* -X76073000Y-133045200D01* -X76087866Y-133043736D01* -X76102160Y-133039400D01* -X76115334Y-133032358D01* -X76126882Y-133022882D01* -X76136358Y-133011334D01* -X76143400Y-132998160D01* -X76147736Y-132983866D01* -X76149200Y-132969000D01* -X76149200Y-132588000D01* -X76250800Y-132588000D01* -X76250800Y-132969000D01* -X76252264Y-132983866D01* -X76256600Y-132998160D01* -X76263642Y-133011334D01* -X76273118Y-133022882D01* -X76284666Y-133032358D01* -X76297840Y-133039400D01* -X76312134Y-133043736D01* -X76327000Y-133045200D01* -X76708000Y-133045200D01* -X76722866Y-133043736D01* -X76737160Y-133039400D01* -X76750334Y-133032358D01* -X76761882Y-133022882D01* -X76771358Y-133011334D01* -X76778400Y-132998160D01* -X76782736Y-132983866D01* -X76784200Y-132969000D01* -X76784200Y-132588000D01* -X78155800Y-132588000D01* -X78155800Y-132969000D01* -X78157264Y-132983866D01* -X78161600Y-132998160D01* -X78168642Y-133011334D01* -X78178118Y-133022882D01* -X78189666Y-133032358D01* -X78202840Y-133039400D01* -X78217134Y-133043736D01* -X78232000Y-133045200D01* -X78613000Y-133045200D01* -X78627866Y-133043736D01* -X78642160Y-133039400D01* -X78655334Y-133032358D01* -X78666882Y-133022882D01* -X78676358Y-133011334D01* -X78683400Y-132998160D01* -X78687736Y-132983866D01* -X78689200Y-132969000D01* -X78689200Y-132588000D01* -X78790800Y-132588000D01* -X78790800Y-132969000D01* -X78792264Y-132983866D01* -X78796600Y-132998160D01* -X78803642Y-133011334D01* -X78813118Y-133022882D01* -X78824666Y-133032358D01* -X78837840Y-133039400D01* -X78852134Y-133043736D01* -X78867000Y-133045200D01* -X79248000Y-133045200D01* -X79262866Y-133043736D01* -X79277160Y-133039400D01* -X79290334Y-133032358D01* -X79301882Y-133022882D01* -X79311358Y-133011334D01* -X79318400Y-132998160D01* -X79322736Y-132983866D01* -X79324200Y-132969000D01* -X79324200Y-132588000D01* -X80695800Y-132588000D01* -X80695800Y-132969000D01* -X80697264Y-132983866D01* -X80701600Y-132998160D01* -X80708642Y-133011334D01* -X80718118Y-133022882D01* -X80729666Y-133032358D01* -X80742840Y-133039400D01* -X80757134Y-133043736D01* -X80772000Y-133045200D01* -X81153000Y-133045200D01* -X81167866Y-133043736D01* -X81182160Y-133039400D01* -X81195334Y-133032358D01* -X81206882Y-133022882D01* -X81216358Y-133011334D01* -X81223400Y-132998160D01* -X81227736Y-132983866D01* -X81229200Y-132969000D01* -X81229200Y-132588000D01* -X81330800Y-132588000D01* -X81330800Y-132969000D01* -X81332264Y-132983866D01* -X81336600Y-132998160D01* -X81343642Y-133011334D01* -X81353118Y-133022882D01* -X81364666Y-133032358D01* -X81377840Y-133039400D01* -X81392134Y-133043736D01* -X81407000Y-133045200D01* -X81788000Y-133045200D01* -X81802866Y-133043736D01* -X81817160Y-133039400D01* -X81830334Y-133032358D01* -X81841882Y-133022882D01* -X81851358Y-133011334D01* -X81858400Y-132998160D01* -X81862736Y-132983866D01* -X81864200Y-132969000D01* -X81864200Y-132588000D01* -X83235800Y-132588000D01* -X83235800Y-132969000D01* -X83237264Y-132983866D01* -X83241600Y-132998160D01* -X83248642Y-133011334D01* -X83258118Y-133022882D01* -X83269666Y-133032358D01* -X83282840Y-133039400D01* -X83297134Y-133043736D01* -X83312000Y-133045200D01* -X83693000Y-133045200D01* -X83707866Y-133043736D01* -X83722160Y-133039400D01* -X83735334Y-133032358D01* -X83746882Y-133022882D01* -X83756358Y-133011334D01* -X83763400Y-132998160D01* -X83767736Y-132983866D01* -X83769200Y-132969000D01* -X83769200Y-132588000D01* -X83870800Y-132588000D01* -X83870800Y-132969000D01* -X83872264Y-132983866D01* -X83876600Y-132998160D01* -X83883642Y-133011334D01* -X83893118Y-133022882D01* -X83904666Y-133032358D01* -X83917840Y-133039400D01* -X83932134Y-133043736D01* -X83947000Y-133045200D01* -X84328000Y-133045200D01* -X84342866Y-133043736D01* -X84357160Y-133039400D01* -X84370334Y-133032358D01* -X84381882Y-133022882D01* -X84391358Y-133011334D01* -X84398400Y-132998160D01* -X84402736Y-132983866D01* -X84404200Y-132969000D01* -X84404200Y-132588000D01* -X85775800Y-132588000D01* -X85775800Y-132969000D01* -X85777264Y-132983866D01* -X85781600Y-132998160D01* -X85788642Y-133011334D01* -X85798118Y-133022882D01* -X85809666Y-133032358D01* -X85822840Y-133039400D01* -X85837134Y-133043736D01* -X85852000Y-133045200D01* -X86233000Y-133045200D01* -X86247866Y-133043736D01* -X86262160Y-133039400D01* -X86275334Y-133032358D01* -X86286882Y-133022882D01* -X86296358Y-133011334D01* -X86303400Y-132998160D01* -X86307736Y-132983866D01* -X86309200Y-132969000D01* -X86309200Y-132588000D01* -X86410800Y-132588000D01* -X86410800Y-132969000D01* -X86412264Y-132983866D01* -X86416600Y-132998160D01* -X86423642Y-133011334D01* -X86433118Y-133022882D01* -X86444666Y-133032358D01* -X86457840Y-133039400D01* -X86472134Y-133043736D01* -X86487000Y-133045200D01* -X86868000Y-133045200D01* -X86882866Y-133043736D01* -X86897160Y-133039400D01* -X86910334Y-133032358D01* -X86921882Y-133022882D01* -X86931358Y-133011334D01* -X86938400Y-132998160D01* -X86942736Y-132983866D01* -X86944200Y-132969000D01* -X86944200Y-132588000D01* -X88315800Y-132588000D01* -X88315800Y-132969000D01* -X88317264Y-132983866D01* -X88321600Y-132998160D01* -X88328642Y-133011334D01* -X88338118Y-133022882D01* -X88349666Y-133032358D01* -X88362840Y-133039400D01* -X88377134Y-133043736D01* -X88392000Y-133045200D01* -X88773000Y-133045200D01* -X88787866Y-133043736D01* -X88802160Y-133039400D01* -X88815334Y-133032358D01* -X88826882Y-133022882D01* -X88836358Y-133011334D01* -X88843400Y-132998160D01* -X88847736Y-132983866D01* -X88849200Y-132969000D01* -X88849200Y-132588000D01* -X88950800Y-132588000D01* -X88950800Y-132969000D01* -X88952264Y-132983866D01* -X88956600Y-132998160D01* -X88963642Y-133011334D01* -X88973118Y-133022882D01* -X88984666Y-133032358D01* -X88997840Y-133039400D01* -X89012134Y-133043736D01* -X89027000Y-133045200D01* -X89408000Y-133045200D01* -X89422866Y-133043736D01* -X89437160Y-133039400D01* -X89450334Y-133032358D01* -X89461882Y-133022882D01* -X89471358Y-133011334D01* -X89478400Y-132998160D01* -X89482736Y-132983866D01* -X89484200Y-132969000D01* -X89484200Y-132588000D01* -X90855800Y-132588000D01* -X90855800Y-132969000D01* -X90857264Y-132983866D01* -X90861600Y-132998160D01* -X90868642Y-133011334D01* -X90878118Y-133022882D01* -X90889666Y-133032358D01* -X90902840Y-133039400D01* -X90917134Y-133043736D01* -X90932000Y-133045200D01* -X91313000Y-133045200D01* -X91327866Y-133043736D01* -X91342160Y-133039400D01* -X91355334Y-133032358D01* -X91366882Y-133022882D01* -X91376358Y-133011334D01* -X91383400Y-132998160D01* -X91387736Y-132983866D01* -X91389200Y-132969000D01* -X91389200Y-132588000D01* -X91490800Y-132588000D01* -X91490800Y-132969000D01* -X91492264Y-132983866D01* -X91496600Y-132998160D01* -X91503642Y-133011334D01* -X91513118Y-133022882D01* -X91524666Y-133032358D01* -X91537840Y-133039400D01* -X91552134Y-133043736D01* -X91567000Y-133045200D01* -X91948000Y-133045200D01* -X91962866Y-133043736D01* -X91977160Y-133039400D01* -X91990334Y-133032358D01* -X92001882Y-133022882D01* -X92011358Y-133011334D01* -X92018400Y-132998160D01* -X92022736Y-132983866D01* -X92024200Y-132969000D01* -X92024200Y-132588000D01* -X93395800Y-132588000D01* -X93395800Y-132969000D01* -X93397264Y-132983866D01* -X93401600Y-132998160D01* -X93408642Y-133011334D01* -X93418118Y-133022882D01* -X93429666Y-133032358D01* -X93442840Y-133039400D01* -X93457134Y-133043736D01* -X93472000Y-133045200D01* -X93853000Y-133045200D01* -X93867866Y-133043736D01* -X93882160Y-133039400D01* -X93895334Y-133032358D01* -X93906882Y-133022882D01* -X93916358Y-133011334D01* -X93923400Y-132998160D01* -X93927736Y-132983866D01* -X93929200Y-132969000D01* -X93929200Y-132588000D01* -X94030800Y-132588000D01* -X94030800Y-132969000D01* -X94032264Y-132983866D01* -X94036600Y-132998160D01* -X94043642Y-133011334D01* -X94053118Y-133022882D01* -X94064666Y-133032358D01* -X94077840Y-133039400D01* -X94092134Y-133043736D01* -X94107000Y-133045200D01* -X94488000Y-133045200D01* -X94502866Y-133043736D01* -X94517160Y-133039400D01* -X94530334Y-133032358D01* -X94541882Y-133022882D01* -X94551358Y-133011334D01* -X94558400Y-132998160D01* -X94562736Y-132983866D01* -X94564200Y-132969000D01* -X94564200Y-132588000D01* -X95935800Y-132588000D01* -X95935800Y-132969000D01* -X95937264Y-132983866D01* -X95941600Y-132998160D01* -X95948642Y-133011334D01* -X95958118Y-133022882D01* -X95969666Y-133032358D01* -X95982840Y-133039400D01* -X95997134Y-133043736D01* -X96012000Y-133045200D01* -X96393000Y-133045200D01* -X96407866Y-133043736D01* -X96422160Y-133039400D01* -X96435334Y-133032358D01* -X96446882Y-133022882D01* -X96456358Y-133011334D01* -X96463400Y-132998160D01* -X96467736Y-132983866D01* -X96469200Y-132969000D01* -X96469200Y-132588000D01* -X96570800Y-132588000D01* -X96570800Y-132969000D01* -X96572264Y-132983866D01* -X96576600Y-132998160D01* -X96583642Y-133011334D01* -X96593118Y-133022882D01* -X96604666Y-133032358D01* -X96617840Y-133039400D01* -X96632134Y-133043736D01* -X96647000Y-133045200D01* -X97028000Y-133045200D01* -X97042866Y-133043736D01* -X97057160Y-133039400D01* -X97070334Y-133032358D01* -X97081882Y-133022882D01* -X97091358Y-133011334D01* -X97098400Y-132998160D01* -X97102736Y-132983866D01* -X97104200Y-132969000D01* -X97104200Y-132588000D01* -X98475800Y-132588000D01* -X98475800Y-132969000D01* -X98477264Y-132983866D01* -X98481600Y-132998160D01* -X98488642Y-133011334D01* -X98498118Y-133022882D01* -X98509666Y-133032358D01* -X98522840Y-133039400D01* -X98537134Y-133043736D01* -X98552000Y-133045200D01* -X98933000Y-133045200D01* -X98947866Y-133043736D01* -X98962160Y-133039400D01* -X98975334Y-133032358D01* -X98986882Y-133022882D01* -X98996358Y-133011334D01* -X99003400Y-132998160D01* -X99007736Y-132983866D01* -X99009200Y-132969000D01* -X99009200Y-132588000D01* -X99110800Y-132588000D01* -X99110800Y-132969000D01* -X99112264Y-132983866D01* -X99116600Y-132998160D01* -X99123642Y-133011334D01* -X99133118Y-133022882D01* -X99144666Y-133032358D01* -X99157840Y-133039400D01* -X99172134Y-133043736D01* -X99187000Y-133045200D01* -X99568000Y-133045200D01* -X99582866Y-133043736D01* -X99597160Y-133039400D01* -X99610334Y-133032358D01* -X99621882Y-133022882D01* -X99631358Y-133011334D01* -X99638400Y-132998160D01* -X99642736Y-132983866D01* -X99644200Y-132969000D01* -X99644200Y-132588000D01* -X101015800Y-132588000D01* -X101015800Y-132969000D01* -X101017264Y-132983866D01* -X101021600Y-132998160D01* -X101028642Y-133011334D01* -X101038118Y-133022882D01* -X101049666Y-133032358D01* -X101062840Y-133039400D01* -X101077134Y-133043736D01* -X101092000Y-133045200D01* -X101473000Y-133045200D01* -X101487866Y-133043736D01* -X101502160Y-133039400D01* -X101515334Y-133032358D01* -X101526882Y-133022882D01* -X101536358Y-133011334D01* -X101543400Y-132998160D01* -X101547736Y-132983866D01* -X101549200Y-132969000D01* -X101549200Y-132588000D01* -X101650800Y-132588000D01* -X101650800Y-132969000D01* -X101652264Y-132983866D01* -X101656600Y-132998160D01* -X101663642Y-133011334D01* -X101673118Y-133022882D01* -X101684666Y-133032358D01* -X101697840Y-133039400D01* -X101712134Y-133043736D01* -X101727000Y-133045200D01* -X102108000Y-133045200D01* -X102122866Y-133043736D01* -X102137160Y-133039400D01* -X102150334Y-133032358D01* -X102161882Y-133022882D01* -X102171358Y-133011334D01* -X102178400Y-132998160D01* -X102182736Y-132983866D01* -X102184200Y-132969000D01* -X102184200Y-132588000D01* -X103555800Y-132588000D01* -X103555800Y-132969000D01* -X103557264Y-132983866D01* -X103561600Y-132998160D01* -X103568642Y-133011334D01* -X103578118Y-133022882D01* -X103589666Y-133032358D01* -X103602840Y-133039400D01* -X103617134Y-133043736D01* -X103632000Y-133045200D01* -X104013000Y-133045200D01* -X104027866Y-133043736D01* -X104042160Y-133039400D01* -X104055334Y-133032358D01* -X104066882Y-133022882D01* -X104076358Y-133011334D01* -X104083400Y-132998160D01* -X104087736Y-132983866D01* -X104089200Y-132969000D01* -X104089200Y-132588000D01* -X104190800Y-132588000D01* -X104190800Y-132969000D01* -X104192264Y-132983866D01* -X104196600Y-132998160D01* -X104203642Y-133011334D01* -X104213118Y-133022882D01* -X104224666Y-133032358D01* -X104237840Y-133039400D01* -X104252134Y-133043736D01* -X104267000Y-133045200D01* -X104648000Y-133045200D01* -X104662866Y-133043736D01* -X104677160Y-133039400D01* -X104690334Y-133032358D01* -X104701882Y-133022882D01* -X104711358Y-133011334D01* -X104718400Y-132998160D01* -X104722736Y-132983866D01* -X104724200Y-132969000D01* -X104724200Y-132588000D01* -X106095800Y-132588000D01* -X106095800Y-132969000D01* -X106097264Y-132983866D01* -X106101600Y-132998160D01* -X106108642Y-133011334D01* -X106118118Y-133022882D01* -X106129666Y-133032358D01* -X106142840Y-133039400D01* -X106157134Y-133043736D01* -X106172000Y-133045200D01* -X106553000Y-133045200D01* -X106567866Y-133043736D01* -X106582160Y-133039400D01* -X106595334Y-133032358D01* -X106606882Y-133022882D01* -X106616358Y-133011334D01* -X106623400Y-132998160D01* -X106627736Y-132983866D01* -X106629200Y-132969000D01* -X106629200Y-132588000D01* -X106730800Y-132588000D01* -X106730800Y-132969000D01* -X106732264Y-132983866D01* -X106736600Y-132998160D01* -X106743642Y-133011334D01* -X106753118Y-133022882D01* -X106764666Y-133032358D01* -X106777840Y-133039400D01* -X106792134Y-133043736D01* -X106807000Y-133045200D01* -X107188000Y-133045200D01* -X107202866Y-133043736D01* -X107217160Y-133039400D01* -X107230334Y-133032358D01* -X107241882Y-133022882D01* -X107251358Y-133011334D01* -X107258400Y-132998160D01* -X107262736Y-132983866D01* -X107264200Y-132969000D01* -X107264200Y-132588000D01* -X108635800Y-132588000D01* -X108635800Y-132969000D01* -X108637264Y-132983866D01* -X108641600Y-132998160D01* -X108648642Y-133011334D01* -X108658118Y-133022882D01* -X108669666Y-133032358D01* -X108682840Y-133039400D01* -X108697134Y-133043736D01* -X108712000Y-133045200D01* -X109093000Y-133045200D01* -X109107866Y-133043736D01* -X109122160Y-133039400D01* -X109135334Y-133032358D01* -X109146882Y-133022882D01* -X109156358Y-133011334D01* -X109163400Y-132998160D01* -X109167736Y-132983866D01* -X109169200Y-132969000D01* -X109169200Y-132588000D01* -X109270800Y-132588000D01* -X109270800Y-132969000D01* -X109272264Y-132983866D01* -X109276600Y-132998160D01* -X109283642Y-133011334D01* -X109293118Y-133022882D01* -X109304666Y-133032358D01* -X109317840Y-133039400D01* -X109332134Y-133043736D01* -X109347000Y-133045200D01* -X109728000Y-133045200D01* -X109742866Y-133043736D01* -X109757160Y-133039400D01* -X109770334Y-133032358D01* -X109781882Y-133022882D01* -X109791358Y-133011334D01* -X109798400Y-132998160D01* -X109802736Y-132983866D01* -X109804200Y-132969000D01* -X109804200Y-132588000D01* -X111175800Y-132588000D01* -X111175800Y-132969000D01* -X111177264Y-132983866D01* -X111181600Y-132998160D01* -X111188642Y-133011334D01* -X111198118Y-133022882D01* -X111209666Y-133032358D01* -X111222840Y-133039400D01* -X111237134Y-133043736D01* -X111252000Y-133045200D01* -X111633000Y-133045200D01* -X111647866Y-133043736D01* -X111662160Y-133039400D01* -X111675334Y-133032358D01* -X111686882Y-133022882D01* -X111696358Y-133011334D01* -X111703400Y-132998160D01* -X111707736Y-132983866D01* -X111709200Y-132969000D01* -X111709200Y-132588000D01* -X111810800Y-132588000D01* -X111810800Y-132969000D01* -X111812264Y-132983866D01* -X111816600Y-132998160D01* -X111823642Y-133011334D01* -X111833118Y-133022882D01* -X111844666Y-133032358D01* -X111857840Y-133039400D01* -X111872134Y-133043736D01* -X111887000Y-133045200D01* -X112268000Y-133045200D01* -X112282866Y-133043736D01* -X112297160Y-133039400D01* -X112310334Y-133032358D01* -X112321882Y-133022882D01* -X112331358Y-133011334D01* -X112338400Y-132998160D01* -X112342736Y-132983866D01* -X112344200Y-132969000D01* -X112344200Y-132588000D01* -X113715800Y-132588000D01* -X113715800Y-132969000D01* -X113717264Y-132983866D01* -X113721600Y-132998160D01* -X113728642Y-133011334D01* -X113738118Y-133022882D01* -X113749666Y-133032358D01* -X113762840Y-133039400D01* -X113777134Y-133043736D01* -X113792000Y-133045200D01* -X114173000Y-133045200D01* -X114187866Y-133043736D01* -X114202160Y-133039400D01* -X114215334Y-133032358D01* -X114226882Y-133022882D01* -X114236358Y-133011334D01* -X114243400Y-132998160D01* -X114247736Y-132983866D01* -X114249200Y-132969000D01* -X114249200Y-132588000D01* -X114350800Y-132588000D01* -X114350800Y-132969000D01* -X114352264Y-132983866D01* -X114356600Y-132998160D01* -X114363642Y-133011334D01* -X114373118Y-133022882D01* -X114384666Y-133032358D01* -X114397840Y-133039400D01* -X114412134Y-133043736D01* -X114427000Y-133045200D01* -X114808000Y-133045200D01* -X114822866Y-133043736D01* -X114837160Y-133039400D01* -X114850334Y-133032358D01* -X114861882Y-133022882D01* -X114871358Y-133011334D01* -X114878400Y-132998160D01* -X114882736Y-132983866D01* -X114884200Y-132969000D01* -X114884200Y-132588000D01* -X116255800Y-132588000D01* -X116255800Y-132969000D01* -X116257264Y-132983866D01* -X116261600Y-132998160D01* -X116268642Y-133011334D01* -X116278118Y-133022882D01* -X116289666Y-133032358D01* -X116302840Y-133039400D01* -X116317134Y-133043736D01* -X116332000Y-133045200D01* -X116713000Y-133045200D01* -X116727866Y-133043736D01* -X116742160Y-133039400D01* -X116755334Y-133032358D01* -X116766882Y-133022882D01* -X116776358Y-133011334D01* -X116783400Y-132998160D01* -X116787736Y-132983866D01* -X116789200Y-132969000D01* -X116789200Y-132588000D01* -X116890800Y-132588000D01* -X116890800Y-132969000D01* -X116892264Y-132983866D01* -X116896600Y-132998160D01* -X116903642Y-133011334D01* -X116913118Y-133022882D01* -X116924666Y-133032358D01* -X116937840Y-133039400D01* -X116952134Y-133043736D01* -X116967000Y-133045200D01* -X117348000Y-133045200D01* -X117362866Y-133043736D01* -X117377160Y-133039400D01* -X117390334Y-133032358D01* -X117401882Y-133022882D01* -X117411358Y-133011334D01* -X117418400Y-132998160D01* -X117422736Y-132983866D01* -X117424200Y-132969000D01* -X117424200Y-132588000D01* -X118795800Y-132588000D01* -X118795800Y-132969000D01* -X118797264Y-132983866D01* -X118801600Y-132998160D01* -X118808642Y-133011334D01* -X118818118Y-133022882D01* -X118829666Y-133032358D01* -X118842840Y-133039400D01* -X118857134Y-133043736D01* -X118872000Y-133045200D01* -X119253000Y-133045200D01* -X119267866Y-133043736D01* -X119282160Y-133039400D01* -X119295334Y-133032358D01* -X119306882Y-133022882D01* -X119316358Y-133011334D01* -X119323400Y-132998160D01* -X119327736Y-132983866D01* -X119329200Y-132969000D01* -X119329200Y-132588000D01* -X119430800Y-132588000D01* -X119430800Y-132969000D01* -X119432264Y-132983866D01* -X119436600Y-132998160D01* -X119443642Y-133011334D01* -X119453118Y-133022882D01* -X119464666Y-133032358D01* -X119477840Y-133039400D01* -X119492134Y-133043736D01* -X119507000Y-133045200D01* -X119888000Y-133045200D01* -X119902866Y-133043736D01* -X119917160Y-133039400D01* -X119930334Y-133032358D01* -X119941882Y-133022882D01* -X119951358Y-133011334D01* -X119958400Y-132998160D01* -X119962736Y-132983866D01* -X119964200Y-132969000D01* -X119964200Y-132588000D01* -X121335800Y-132588000D01* -X121335800Y-132969000D01* -X121337264Y-132983866D01* -X121341600Y-132998160D01* -X121348642Y-133011334D01* -X121358118Y-133022882D01* -X121369666Y-133032358D01* -X121382840Y-133039400D01* -X121397134Y-133043736D01* -X121412000Y-133045200D01* -X121793000Y-133045200D01* -X121807866Y-133043736D01* -X121822160Y-133039400D01* -X121835334Y-133032358D01* -X121846882Y-133022882D01* -X121856358Y-133011334D01* -X121863400Y-132998160D01* -X121867736Y-132983866D01* -X121869200Y-132969000D01* -X121869200Y-132588000D01* -X121970800Y-132588000D01* -X121970800Y-132969000D01* -X121972264Y-132983866D01* -X121976600Y-132998160D01* -X121983642Y-133011334D01* -X121993118Y-133022882D01* -X122004666Y-133032358D01* -X122017840Y-133039400D01* -X122032134Y-133043736D01* -X122047000Y-133045200D01* -X122428000Y-133045200D01* -X122442866Y-133043736D01* -X122457160Y-133039400D01* -X122470334Y-133032358D01* -X122481882Y-133022882D01* -X122491358Y-133011334D01* -X122498400Y-132998160D01* -X122502736Y-132983866D01* -X122504200Y-132969000D01* -X122504200Y-132588000D01* -X123875800Y-132588000D01* -X123875800Y-132969000D01* -X123877264Y-132983866D01* -X123881600Y-132998160D01* -X123888642Y-133011334D01* -X123898118Y-133022882D01* -X123909666Y-133032358D01* -X123922840Y-133039400D01* -X123937134Y-133043736D01* -X123952000Y-133045200D01* -X124333000Y-133045200D01* -X124347866Y-133043736D01* -X124362160Y-133039400D01* -X124375334Y-133032358D01* -X124386882Y-133022882D01* -X124396358Y-133011334D01* -X124403400Y-132998160D01* -X124407736Y-132983866D01* -X124409200Y-132969000D01* -X124409200Y-132588000D01* -X124510800Y-132588000D01* -X124510800Y-132969000D01* -X124512264Y-132983866D01* -X124516600Y-132998160D01* -X124523642Y-133011334D01* -X124533118Y-133022882D01* -X124544666Y-133032358D01* -X124557840Y-133039400D01* -X124572134Y-133043736D01* -X124587000Y-133045200D01* -X124968000Y-133045200D01* -X124982866Y-133043736D01* -X124997160Y-133039400D01* -X125010334Y-133032358D01* -X125021882Y-133022882D01* -X125031358Y-133011334D01* -X125038400Y-132998160D01* -X125042736Y-132983866D01* -X125044200Y-132969000D01* -X125044200Y-132588000D01* -X126415800Y-132588000D01* -X126415800Y-132969000D01* -X126417264Y-132983866D01* -X126421600Y-132998160D01* -X126428642Y-133011334D01* -X126438118Y-133022882D01* -X126449666Y-133032358D01* -X126462840Y-133039400D01* -X126477134Y-133043736D01* -X126492000Y-133045200D01* -X126873000Y-133045200D01* -X126887866Y-133043736D01* -X126902160Y-133039400D01* -X126915334Y-133032358D01* -X126926882Y-133022882D01* -X126936358Y-133011334D01* -X126943400Y-132998160D01* -X126947736Y-132983866D01* -X126949200Y-132969000D01* -X126949200Y-132588000D01* -X127050800Y-132588000D01* -X127050800Y-132969000D01* -X127052264Y-132983866D01* -X127056600Y-132998160D01* -X127063642Y-133011334D01* -X127073118Y-133022882D01* -X127084666Y-133032358D01* -X127097840Y-133039400D01* -X127112134Y-133043736D01* -X127127000Y-133045200D01* -X127508000Y-133045200D01* -X127522866Y-133043736D01* -X127537160Y-133039400D01* -X127550334Y-133032358D01* -X127561882Y-133022882D01* -X127571358Y-133011334D01* -X127578400Y-132998160D01* -X127582736Y-132983866D01* -X127584200Y-132969000D01* -X127584200Y-132588000D01* -X128955800Y-132588000D01* -X128955800Y-132969000D01* -X128957264Y-132983866D01* -X128961600Y-132998160D01* -X128968642Y-133011334D01* -X128978118Y-133022882D01* -X128989666Y-133032358D01* -X129002840Y-133039400D01* -X129017134Y-133043736D01* -X129032000Y-133045200D01* -X129413000Y-133045200D01* -X129427866Y-133043736D01* -X129442160Y-133039400D01* -X129455334Y-133032358D01* -X129466882Y-133022882D01* -X129476358Y-133011334D01* -X129483400Y-132998160D01* -X129487736Y-132983866D01* -X129489200Y-132969000D01* -X129489200Y-132588000D01* -X129590800Y-132588000D01* -X129590800Y-132969000D01* -X129592264Y-132983866D01* -X129596600Y-132998160D01* -X129603642Y-133011334D01* -X129613118Y-133022882D01* -X129624666Y-133032358D01* -X129637840Y-133039400D01* -X129652134Y-133043736D01* -X129667000Y-133045200D01* -X130048000Y-133045200D01* -X130062866Y-133043736D01* -X130077160Y-133039400D01* -X130090334Y-133032358D01* -X130101882Y-133022882D01* -X130111358Y-133011334D01* -X130118400Y-132998160D01* -X130122736Y-132983866D01* -X130124200Y-132969000D01* -X130124200Y-132588000D01* -X131495800Y-132588000D01* -X131495800Y-132969000D01* -X131497264Y-132983866D01* -X131501600Y-132998160D01* -X131508642Y-133011334D01* -X131518118Y-133022882D01* -X131529666Y-133032358D01* -X131542840Y-133039400D01* -X131557134Y-133043736D01* -X131572000Y-133045200D01* -X131953000Y-133045200D01* -X131967866Y-133043736D01* -X131982160Y-133039400D01* -X131995334Y-133032358D01* -X132006882Y-133022882D01* -X132016358Y-133011334D01* -X132023400Y-132998160D01* -X132027736Y-132983866D01* -X132029200Y-132969000D01* -X132029200Y-132588000D01* -X132130800Y-132588000D01* -X132130800Y-132969000D01* -X132132264Y-132983866D01* -X132136600Y-132998160D01* -X132143642Y-133011334D01* -X132153118Y-133022882D01* -X132164666Y-133032358D01* -X132177840Y-133039400D01* -X132192134Y-133043736D01* -X132207000Y-133045200D01* -X132588000Y-133045200D01* -X132602866Y-133043736D01* -X132617160Y-133039400D01* -X132630334Y-133032358D01* -X132641882Y-133022882D01* -X132651358Y-133011334D01* -X132658400Y-132998160D01* -X132662736Y-132983866D01* -X132664200Y-132969000D01* -X132664200Y-132588000D01* -X134035800Y-132588000D01* -X134035800Y-132969000D01* -X134037264Y-132983866D01* -X134041600Y-132998160D01* -X134048642Y-133011334D01* -X134058118Y-133022882D01* -X134069666Y-133032358D01* -X134082840Y-133039400D01* -X134097134Y-133043736D01* -X134112000Y-133045200D01* -X134493000Y-133045200D01* -X134507866Y-133043736D01* -X134522160Y-133039400D01* -X134535334Y-133032358D01* -X134546882Y-133022882D01* -X134556358Y-133011334D01* -X134563400Y-132998160D01* -X134567736Y-132983866D01* -X134569200Y-132969000D01* -X134569200Y-132588000D01* -X134670800Y-132588000D01* -X134670800Y-132969000D01* -X134672264Y-132983866D01* -X134676600Y-132998160D01* -X134683642Y-133011334D01* -X134693118Y-133022882D01* -X134704666Y-133032358D01* -X134717840Y-133039400D01* -X134732134Y-133043736D01* -X134747000Y-133045200D01* -X135128000Y-133045200D01* -X135142866Y-133043736D01* -X135157160Y-133039400D01* -X135170334Y-133032358D01* -X135181882Y-133022882D01* -X135191358Y-133011334D01* -X135198400Y-132998160D01* -X135202736Y-132983866D01* -X135204200Y-132969000D01* -X135204200Y-132588000D01* -X135202736Y-132573134D01* -X135198400Y-132558840D01* -X135191358Y-132545666D01* -X135181882Y-132534118D01* -X135170334Y-132524642D01* -X135157160Y-132517600D01* -X135142866Y-132513264D01* -X135128000Y-132511800D01* -X134747000Y-132511800D01* -X134732134Y-132513264D01* -X134717840Y-132517600D01* -X134704666Y-132524642D01* -X134693118Y-132534118D01* -X134683642Y-132545666D01* -X134676600Y-132558840D01* -X134672264Y-132573134D01* -X134670800Y-132588000D01* -X134569200Y-132588000D01* -X134567736Y-132573134D01* -X134563400Y-132558840D01* -X134556358Y-132545666D01* -X134546882Y-132534118D01* -X134535334Y-132524642D01* -X134522160Y-132517600D01* -X134507866Y-132513264D01* -X134493000Y-132511800D01* -X134112000Y-132511800D01* -X134097134Y-132513264D01* -X134082840Y-132517600D01* -X134069666Y-132524642D01* -X134058118Y-132534118D01* -X134048642Y-132545666D01* -X134041600Y-132558840D01* -X134037264Y-132573134D01* -X134035800Y-132588000D01* -X132664200Y-132588000D01* -X132662736Y-132573134D01* -X132658400Y-132558840D01* -X132651358Y-132545666D01* -X132641882Y-132534118D01* -X132630334Y-132524642D01* -X132617160Y-132517600D01* -X132602866Y-132513264D01* -X132588000Y-132511800D01* -X132207000Y-132511800D01* -X132192134Y-132513264D01* -X132177840Y-132517600D01* -X132164666Y-132524642D01* -X132153118Y-132534118D01* -X132143642Y-132545666D01* -X132136600Y-132558840D01* -X132132264Y-132573134D01* -X132130800Y-132588000D01* -X132029200Y-132588000D01* -X132027736Y-132573134D01* -X132023400Y-132558840D01* -X132016358Y-132545666D01* -X132006882Y-132534118D01* -X131995334Y-132524642D01* -X131982160Y-132517600D01* -X131967866Y-132513264D01* -X131953000Y-132511800D01* -X131572000Y-132511800D01* -X131557134Y-132513264D01* -X131542840Y-132517600D01* -X131529666Y-132524642D01* -X131518118Y-132534118D01* -X131508642Y-132545666D01* -X131501600Y-132558840D01* -X131497264Y-132573134D01* -X131495800Y-132588000D01* -X130124200Y-132588000D01* -X130122736Y-132573134D01* -X130118400Y-132558840D01* -X130111358Y-132545666D01* -X130101882Y-132534118D01* -X130090334Y-132524642D01* -X130077160Y-132517600D01* -X130062866Y-132513264D01* -X130048000Y-132511800D01* -X129667000Y-132511800D01* -X129652134Y-132513264D01* -X129637840Y-132517600D01* -X129624666Y-132524642D01* -X129613118Y-132534118D01* -X129603642Y-132545666D01* -X129596600Y-132558840D01* -X129592264Y-132573134D01* -X129590800Y-132588000D01* -X129489200Y-132588000D01* -X129487736Y-132573134D01* -X129483400Y-132558840D01* -X129476358Y-132545666D01* -X129466882Y-132534118D01* -X129455334Y-132524642D01* -X129442160Y-132517600D01* -X129427866Y-132513264D01* -X129413000Y-132511800D01* -X129032000Y-132511800D01* -X129017134Y-132513264D01* -X129002840Y-132517600D01* -X128989666Y-132524642D01* -X128978118Y-132534118D01* -X128968642Y-132545666D01* -X128961600Y-132558840D01* -X128957264Y-132573134D01* -X128955800Y-132588000D01* -X127584200Y-132588000D01* -X127582736Y-132573134D01* -X127578400Y-132558840D01* -X127571358Y-132545666D01* -X127561882Y-132534118D01* -X127550334Y-132524642D01* -X127537160Y-132517600D01* -X127522866Y-132513264D01* -X127508000Y-132511800D01* -X127127000Y-132511800D01* -X127112134Y-132513264D01* -X127097840Y-132517600D01* -X127084666Y-132524642D01* -X127073118Y-132534118D01* -X127063642Y-132545666D01* -X127056600Y-132558840D01* -X127052264Y-132573134D01* -X127050800Y-132588000D01* -X126949200Y-132588000D01* -X126947736Y-132573134D01* -X126943400Y-132558840D01* -X126936358Y-132545666D01* -X126926882Y-132534118D01* -X126915334Y-132524642D01* -X126902160Y-132517600D01* -X126887866Y-132513264D01* -X126873000Y-132511800D01* -X126492000Y-132511800D01* -X126477134Y-132513264D01* -X126462840Y-132517600D01* -X126449666Y-132524642D01* -X126438118Y-132534118D01* -X126428642Y-132545666D01* -X126421600Y-132558840D01* -X126417264Y-132573134D01* -X126415800Y-132588000D01* -X125044200Y-132588000D01* -X125042736Y-132573134D01* -X125038400Y-132558840D01* -X125031358Y-132545666D01* -X125021882Y-132534118D01* -X125010334Y-132524642D01* -X124997160Y-132517600D01* -X124982866Y-132513264D01* -X124968000Y-132511800D01* -X124587000Y-132511800D01* -X124572134Y-132513264D01* -X124557840Y-132517600D01* -X124544666Y-132524642D01* -X124533118Y-132534118D01* -X124523642Y-132545666D01* -X124516600Y-132558840D01* -X124512264Y-132573134D01* -X124510800Y-132588000D01* -X124409200Y-132588000D01* -X124407736Y-132573134D01* -X124403400Y-132558840D01* -X124396358Y-132545666D01* -X124386882Y-132534118D01* -X124375334Y-132524642D01* -X124362160Y-132517600D01* -X124347866Y-132513264D01* -X124333000Y-132511800D01* -X123952000Y-132511800D01* -X123937134Y-132513264D01* -X123922840Y-132517600D01* -X123909666Y-132524642D01* -X123898118Y-132534118D01* -X123888642Y-132545666D01* -X123881600Y-132558840D01* -X123877264Y-132573134D01* -X123875800Y-132588000D01* -X122504200Y-132588000D01* -X122502736Y-132573134D01* -X122498400Y-132558840D01* -X122491358Y-132545666D01* -X122481882Y-132534118D01* -X122470334Y-132524642D01* -X122457160Y-132517600D01* -X122442866Y-132513264D01* -X122428000Y-132511800D01* -X122047000Y-132511800D01* -X122032134Y-132513264D01* -X122017840Y-132517600D01* -X122004666Y-132524642D01* -X121993118Y-132534118D01* -X121983642Y-132545666D01* -X121976600Y-132558840D01* -X121972264Y-132573134D01* -X121970800Y-132588000D01* -X121869200Y-132588000D01* -X121867736Y-132573134D01* -X121863400Y-132558840D01* -X121856358Y-132545666D01* -X121846882Y-132534118D01* -X121835334Y-132524642D01* -X121822160Y-132517600D01* -X121807866Y-132513264D01* -X121793000Y-132511800D01* -X121412000Y-132511800D01* -X121397134Y-132513264D01* -X121382840Y-132517600D01* -X121369666Y-132524642D01* -X121358118Y-132534118D01* -X121348642Y-132545666D01* -X121341600Y-132558840D01* -X121337264Y-132573134D01* -X121335800Y-132588000D01* -X119964200Y-132588000D01* -X119962736Y-132573134D01* -X119958400Y-132558840D01* -X119951358Y-132545666D01* -X119941882Y-132534118D01* -X119930334Y-132524642D01* -X119917160Y-132517600D01* -X119902866Y-132513264D01* -X119888000Y-132511800D01* -X119507000Y-132511800D01* -X119492134Y-132513264D01* -X119477840Y-132517600D01* -X119464666Y-132524642D01* -X119453118Y-132534118D01* -X119443642Y-132545666D01* -X119436600Y-132558840D01* -X119432264Y-132573134D01* -X119430800Y-132588000D01* -X119329200Y-132588000D01* -X119327736Y-132573134D01* -X119323400Y-132558840D01* -X119316358Y-132545666D01* -X119306882Y-132534118D01* -X119295334Y-132524642D01* -X119282160Y-132517600D01* -X119267866Y-132513264D01* -X119253000Y-132511800D01* -X118872000Y-132511800D01* -X118857134Y-132513264D01* -X118842840Y-132517600D01* -X118829666Y-132524642D01* -X118818118Y-132534118D01* -X118808642Y-132545666D01* -X118801600Y-132558840D01* -X118797264Y-132573134D01* -X118795800Y-132588000D01* -X117424200Y-132588000D01* -X117422736Y-132573134D01* -X117418400Y-132558840D01* -X117411358Y-132545666D01* -X117401882Y-132534118D01* -X117390334Y-132524642D01* -X117377160Y-132517600D01* -X117362866Y-132513264D01* -X117348000Y-132511800D01* -X116967000Y-132511800D01* -X116952134Y-132513264D01* -X116937840Y-132517600D01* -X116924666Y-132524642D01* -X116913118Y-132534118D01* -X116903642Y-132545666D01* -X116896600Y-132558840D01* -X116892264Y-132573134D01* -X116890800Y-132588000D01* -X116789200Y-132588000D01* -X116787736Y-132573134D01* -X116783400Y-132558840D01* -X116776358Y-132545666D01* -X116766882Y-132534118D01* -X116755334Y-132524642D01* -X116742160Y-132517600D01* -X116727866Y-132513264D01* -X116713000Y-132511800D01* -X116332000Y-132511800D01* -X116317134Y-132513264D01* -X116302840Y-132517600D01* -X116289666Y-132524642D01* -X116278118Y-132534118D01* -X116268642Y-132545666D01* -X116261600Y-132558840D01* -X116257264Y-132573134D01* -X116255800Y-132588000D01* -X114884200Y-132588000D01* -X114882736Y-132573134D01* -X114878400Y-132558840D01* -X114871358Y-132545666D01* -X114861882Y-132534118D01* -X114850334Y-132524642D01* -X114837160Y-132517600D01* -X114822866Y-132513264D01* -X114808000Y-132511800D01* -X114427000Y-132511800D01* -X114412134Y-132513264D01* -X114397840Y-132517600D01* -X114384666Y-132524642D01* -X114373118Y-132534118D01* -X114363642Y-132545666D01* -X114356600Y-132558840D01* -X114352264Y-132573134D01* -X114350800Y-132588000D01* -X114249200Y-132588000D01* -X114247736Y-132573134D01* -X114243400Y-132558840D01* -X114236358Y-132545666D01* -X114226882Y-132534118D01* -X114215334Y-132524642D01* -X114202160Y-132517600D01* -X114187866Y-132513264D01* -X114173000Y-132511800D01* -X113792000Y-132511800D01* -X113777134Y-132513264D01* -X113762840Y-132517600D01* -X113749666Y-132524642D01* -X113738118Y-132534118D01* -X113728642Y-132545666D01* -X113721600Y-132558840D01* -X113717264Y-132573134D01* -X113715800Y-132588000D01* -X112344200Y-132588000D01* -X112342736Y-132573134D01* -X112338400Y-132558840D01* -X112331358Y-132545666D01* -X112321882Y-132534118D01* -X112310334Y-132524642D01* -X112297160Y-132517600D01* -X112282866Y-132513264D01* -X112268000Y-132511800D01* -X111887000Y-132511800D01* -X111872134Y-132513264D01* -X111857840Y-132517600D01* -X111844666Y-132524642D01* -X111833118Y-132534118D01* -X111823642Y-132545666D01* -X111816600Y-132558840D01* -X111812264Y-132573134D01* -X111810800Y-132588000D01* -X111709200Y-132588000D01* -X111707736Y-132573134D01* -X111703400Y-132558840D01* -X111696358Y-132545666D01* -X111686882Y-132534118D01* -X111675334Y-132524642D01* -X111662160Y-132517600D01* -X111647866Y-132513264D01* -X111633000Y-132511800D01* -X111252000Y-132511800D01* -X111237134Y-132513264D01* -X111222840Y-132517600D01* -X111209666Y-132524642D01* -X111198118Y-132534118D01* -X111188642Y-132545666D01* -X111181600Y-132558840D01* -X111177264Y-132573134D01* -X111175800Y-132588000D01* -X109804200Y-132588000D01* -X109802736Y-132573134D01* -X109798400Y-132558840D01* -X109791358Y-132545666D01* -X109781882Y-132534118D01* -X109770334Y-132524642D01* -X109757160Y-132517600D01* -X109742866Y-132513264D01* -X109728000Y-132511800D01* -X109347000Y-132511800D01* -X109332134Y-132513264D01* -X109317840Y-132517600D01* -X109304666Y-132524642D01* -X109293118Y-132534118D01* -X109283642Y-132545666D01* -X109276600Y-132558840D01* -X109272264Y-132573134D01* -X109270800Y-132588000D01* -X109169200Y-132588000D01* -X109167736Y-132573134D01* -X109163400Y-132558840D01* -X109156358Y-132545666D01* -X109146882Y-132534118D01* -X109135334Y-132524642D01* -X109122160Y-132517600D01* -X109107866Y-132513264D01* -X109093000Y-132511800D01* -X108712000Y-132511800D01* -X108697134Y-132513264D01* -X108682840Y-132517600D01* -X108669666Y-132524642D01* -X108658118Y-132534118D01* -X108648642Y-132545666D01* -X108641600Y-132558840D01* -X108637264Y-132573134D01* -X108635800Y-132588000D01* -X107264200Y-132588000D01* -X107262736Y-132573134D01* -X107258400Y-132558840D01* -X107251358Y-132545666D01* -X107241882Y-132534118D01* -X107230334Y-132524642D01* -X107217160Y-132517600D01* -X107202866Y-132513264D01* -X107188000Y-132511800D01* -X106807000Y-132511800D01* -X106792134Y-132513264D01* -X106777840Y-132517600D01* -X106764666Y-132524642D01* -X106753118Y-132534118D01* -X106743642Y-132545666D01* -X106736600Y-132558840D01* -X106732264Y-132573134D01* -X106730800Y-132588000D01* -X106629200Y-132588000D01* -X106627736Y-132573134D01* -X106623400Y-132558840D01* -X106616358Y-132545666D01* -X106606882Y-132534118D01* -X106595334Y-132524642D01* -X106582160Y-132517600D01* -X106567866Y-132513264D01* -X106553000Y-132511800D01* -X106172000Y-132511800D01* -X106157134Y-132513264D01* -X106142840Y-132517600D01* -X106129666Y-132524642D01* -X106118118Y-132534118D01* -X106108642Y-132545666D01* -X106101600Y-132558840D01* -X106097264Y-132573134D01* -X106095800Y-132588000D01* -X104724200Y-132588000D01* -X104722736Y-132573134D01* -X104718400Y-132558840D01* -X104711358Y-132545666D01* -X104701882Y-132534118D01* -X104690334Y-132524642D01* -X104677160Y-132517600D01* -X104662866Y-132513264D01* -X104648000Y-132511800D01* -X104267000Y-132511800D01* -X104252134Y-132513264D01* -X104237840Y-132517600D01* -X104224666Y-132524642D01* -X104213118Y-132534118D01* -X104203642Y-132545666D01* -X104196600Y-132558840D01* -X104192264Y-132573134D01* -X104190800Y-132588000D01* -X104089200Y-132588000D01* -X104087736Y-132573134D01* -X104083400Y-132558840D01* -X104076358Y-132545666D01* -X104066882Y-132534118D01* -X104055334Y-132524642D01* -X104042160Y-132517600D01* -X104027866Y-132513264D01* -X104013000Y-132511800D01* -X103632000Y-132511800D01* -X103617134Y-132513264D01* -X103602840Y-132517600D01* -X103589666Y-132524642D01* -X103578118Y-132534118D01* -X103568642Y-132545666D01* -X103561600Y-132558840D01* -X103557264Y-132573134D01* -X103555800Y-132588000D01* -X102184200Y-132588000D01* -X102182736Y-132573134D01* -X102178400Y-132558840D01* -X102171358Y-132545666D01* -X102161882Y-132534118D01* -X102150334Y-132524642D01* -X102137160Y-132517600D01* -X102122866Y-132513264D01* -X102108000Y-132511800D01* -X101727000Y-132511800D01* -X101712134Y-132513264D01* -X101697840Y-132517600D01* -X101684666Y-132524642D01* -X101673118Y-132534118D01* -X101663642Y-132545666D01* -X101656600Y-132558840D01* -X101652264Y-132573134D01* -X101650800Y-132588000D01* -X101549200Y-132588000D01* -X101547736Y-132573134D01* -X101543400Y-132558840D01* -X101536358Y-132545666D01* -X101526882Y-132534118D01* -X101515334Y-132524642D01* -X101502160Y-132517600D01* -X101487866Y-132513264D01* -X101473000Y-132511800D01* -X101092000Y-132511800D01* -X101077134Y-132513264D01* -X101062840Y-132517600D01* -X101049666Y-132524642D01* -X101038118Y-132534118D01* -X101028642Y-132545666D01* -X101021600Y-132558840D01* -X101017264Y-132573134D01* -X101015800Y-132588000D01* -X99644200Y-132588000D01* -X99642736Y-132573134D01* -X99638400Y-132558840D01* -X99631358Y-132545666D01* -X99621882Y-132534118D01* -X99610334Y-132524642D01* -X99597160Y-132517600D01* -X99582866Y-132513264D01* -X99568000Y-132511800D01* -X99187000Y-132511800D01* -X99172134Y-132513264D01* -X99157840Y-132517600D01* -X99144666Y-132524642D01* -X99133118Y-132534118D01* -X99123642Y-132545666D01* -X99116600Y-132558840D01* -X99112264Y-132573134D01* -X99110800Y-132588000D01* -X99009200Y-132588000D01* -X99007736Y-132573134D01* -X99003400Y-132558840D01* -X98996358Y-132545666D01* -X98986882Y-132534118D01* -X98975334Y-132524642D01* -X98962160Y-132517600D01* -X98947866Y-132513264D01* -X98933000Y-132511800D01* -X98552000Y-132511800D01* -X98537134Y-132513264D01* -X98522840Y-132517600D01* -X98509666Y-132524642D01* -X98498118Y-132534118D01* -X98488642Y-132545666D01* -X98481600Y-132558840D01* -X98477264Y-132573134D01* -X98475800Y-132588000D01* -X97104200Y-132588000D01* -X97102736Y-132573134D01* -X97098400Y-132558840D01* -X97091358Y-132545666D01* -X97081882Y-132534118D01* -X97070334Y-132524642D01* -X97057160Y-132517600D01* -X97042866Y-132513264D01* -X97028000Y-132511800D01* -X96647000Y-132511800D01* -X96632134Y-132513264D01* -X96617840Y-132517600D01* -X96604666Y-132524642D01* -X96593118Y-132534118D01* -X96583642Y-132545666D01* -X96576600Y-132558840D01* -X96572264Y-132573134D01* -X96570800Y-132588000D01* -X96469200Y-132588000D01* -X96467736Y-132573134D01* -X96463400Y-132558840D01* -X96456358Y-132545666D01* -X96446882Y-132534118D01* -X96435334Y-132524642D01* -X96422160Y-132517600D01* -X96407866Y-132513264D01* -X96393000Y-132511800D01* -X96012000Y-132511800D01* -X95997134Y-132513264D01* -X95982840Y-132517600D01* -X95969666Y-132524642D01* -X95958118Y-132534118D01* -X95948642Y-132545666D01* -X95941600Y-132558840D01* -X95937264Y-132573134D01* -X95935800Y-132588000D01* -X94564200Y-132588000D01* -X94562736Y-132573134D01* -X94558400Y-132558840D01* -X94551358Y-132545666D01* -X94541882Y-132534118D01* -X94530334Y-132524642D01* -X94517160Y-132517600D01* -X94502866Y-132513264D01* -X94488000Y-132511800D01* -X94107000Y-132511800D01* -X94092134Y-132513264D01* -X94077840Y-132517600D01* -X94064666Y-132524642D01* -X94053118Y-132534118D01* -X94043642Y-132545666D01* -X94036600Y-132558840D01* -X94032264Y-132573134D01* -X94030800Y-132588000D01* -X93929200Y-132588000D01* -X93927736Y-132573134D01* -X93923400Y-132558840D01* -X93916358Y-132545666D01* -X93906882Y-132534118D01* -X93895334Y-132524642D01* -X93882160Y-132517600D01* -X93867866Y-132513264D01* -X93853000Y-132511800D01* -X93472000Y-132511800D01* -X93457134Y-132513264D01* -X93442840Y-132517600D01* -X93429666Y-132524642D01* -X93418118Y-132534118D01* -X93408642Y-132545666D01* -X93401600Y-132558840D01* -X93397264Y-132573134D01* -X93395800Y-132588000D01* -X92024200Y-132588000D01* -X92022736Y-132573134D01* -X92018400Y-132558840D01* -X92011358Y-132545666D01* -X92001882Y-132534118D01* -X91990334Y-132524642D01* -X91977160Y-132517600D01* -X91962866Y-132513264D01* -X91948000Y-132511800D01* -X91567000Y-132511800D01* -X91552134Y-132513264D01* -X91537840Y-132517600D01* -X91524666Y-132524642D01* -X91513118Y-132534118D01* -X91503642Y-132545666D01* -X91496600Y-132558840D01* -X91492264Y-132573134D01* -X91490800Y-132588000D01* -X91389200Y-132588000D01* -X91387736Y-132573134D01* -X91383400Y-132558840D01* -X91376358Y-132545666D01* -X91366882Y-132534118D01* -X91355334Y-132524642D01* -X91342160Y-132517600D01* -X91327866Y-132513264D01* -X91313000Y-132511800D01* -X90932000Y-132511800D01* -X90917134Y-132513264D01* -X90902840Y-132517600D01* -X90889666Y-132524642D01* -X90878118Y-132534118D01* -X90868642Y-132545666D01* -X90861600Y-132558840D01* -X90857264Y-132573134D01* -X90855800Y-132588000D01* -X89484200Y-132588000D01* -X89482736Y-132573134D01* -X89478400Y-132558840D01* -X89471358Y-132545666D01* -X89461882Y-132534118D01* -X89450334Y-132524642D01* -X89437160Y-132517600D01* -X89422866Y-132513264D01* -X89408000Y-132511800D01* -X89027000Y-132511800D01* -X89012134Y-132513264D01* -X88997840Y-132517600D01* -X88984666Y-132524642D01* -X88973118Y-132534118D01* -X88963642Y-132545666D01* -X88956600Y-132558840D01* -X88952264Y-132573134D01* -X88950800Y-132588000D01* -X88849200Y-132588000D01* -X88847736Y-132573134D01* -X88843400Y-132558840D01* -X88836358Y-132545666D01* -X88826882Y-132534118D01* -X88815334Y-132524642D01* -X88802160Y-132517600D01* -X88787866Y-132513264D01* -X88773000Y-132511800D01* -X88392000Y-132511800D01* -X88377134Y-132513264D01* -X88362840Y-132517600D01* -X88349666Y-132524642D01* -X88338118Y-132534118D01* -X88328642Y-132545666D01* -X88321600Y-132558840D01* -X88317264Y-132573134D01* -X88315800Y-132588000D01* -X86944200Y-132588000D01* -X86942736Y-132573134D01* -X86938400Y-132558840D01* -X86931358Y-132545666D01* -X86921882Y-132534118D01* -X86910334Y-132524642D01* -X86897160Y-132517600D01* -X86882866Y-132513264D01* -X86868000Y-132511800D01* -X86487000Y-132511800D01* -X86472134Y-132513264D01* -X86457840Y-132517600D01* -X86444666Y-132524642D01* -X86433118Y-132534118D01* -X86423642Y-132545666D01* -X86416600Y-132558840D01* -X86412264Y-132573134D01* -X86410800Y-132588000D01* -X86309200Y-132588000D01* -X86307736Y-132573134D01* -X86303400Y-132558840D01* -X86296358Y-132545666D01* -X86286882Y-132534118D01* -X86275334Y-132524642D01* -X86262160Y-132517600D01* -X86247866Y-132513264D01* -X86233000Y-132511800D01* -X85852000Y-132511800D01* -X85837134Y-132513264D01* -X85822840Y-132517600D01* -X85809666Y-132524642D01* -X85798118Y-132534118D01* -X85788642Y-132545666D01* -X85781600Y-132558840D01* -X85777264Y-132573134D01* -X85775800Y-132588000D01* -X84404200Y-132588000D01* -X84402736Y-132573134D01* -X84398400Y-132558840D01* -X84391358Y-132545666D01* -X84381882Y-132534118D01* -X84370334Y-132524642D01* -X84357160Y-132517600D01* -X84342866Y-132513264D01* -X84328000Y-132511800D01* -X83947000Y-132511800D01* -X83932134Y-132513264D01* -X83917840Y-132517600D01* -X83904666Y-132524642D01* -X83893118Y-132534118D01* -X83883642Y-132545666D01* -X83876600Y-132558840D01* -X83872264Y-132573134D01* -X83870800Y-132588000D01* -X83769200Y-132588000D01* -X83767736Y-132573134D01* -X83763400Y-132558840D01* -X83756358Y-132545666D01* -X83746882Y-132534118D01* -X83735334Y-132524642D01* -X83722160Y-132517600D01* -X83707866Y-132513264D01* -X83693000Y-132511800D01* -X83312000Y-132511800D01* -X83297134Y-132513264D01* -X83282840Y-132517600D01* -X83269666Y-132524642D01* -X83258118Y-132534118D01* -X83248642Y-132545666D01* -X83241600Y-132558840D01* -X83237264Y-132573134D01* -X83235800Y-132588000D01* -X81864200Y-132588000D01* -X81862736Y-132573134D01* -X81858400Y-132558840D01* -X81851358Y-132545666D01* -X81841882Y-132534118D01* -X81830334Y-132524642D01* -X81817160Y-132517600D01* -X81802866Y-132513264D01* -X81788000Y-132511800D01* -X81407000Y-132511800D01* -X81392134Y-132513264D01* -X81377840Y-132517600D01* -X81364666Y-132524642D01* -X81353118Y-132534118D01* -X81343642Y-132545666D01* -X81336600Y-132558840D01* -X81332264Y-132573134D01* -X81330800Y-132588000D01* -X81229200Y-132588000D01* -X81227736Y-132573134D01* -X81223400Y-132558840D01* -X81216358Y-132545666D01* -X81206882Y-132534118D01* -X81195334Y-132524642D01* -X81182160Y-132517600D01* -X81167866Y-132513264D01* -X81153000Y-132511800D01* -X80772000Y-132511800D01* -X80757134Y-132513264D01* -X80742840Y-132517600D01* -X80729666Y-132524642D01* -X80718118Y-132534118D01* -X80708642Y-132545666D01* -X80701600Y-132558840D01* -X80697264Y-132573134D01* -X80695800Y-132588000D01* -X79324200Y-132588000D01* -X79322736Y-132573134D01* -X79318400Y-132558840D01* -X79311358Y-132545666D01* -X79301882Y-132534118D01* -X79290334Y-132524642D01* -X79277160Y-132517600D01* -X79262866Y-132513264D01* -X79248000Y-132511800D01* -X78867000Y-132511800D01* -X78852134Y-132513264D01* -X78837840Y-132517600D01* -X78824666Y-132524642D01* -X78813118Y-132534118D01* -X78803642Y-132545666D01* -X78796600Y-132558840D01* -X78792264Y-132573134D01* -X78790800Y-132588000D01* -X78689200Y-132588000D01* -X78687736Y-132573134D01* -X78683400Y-132558840D01* -X78676358Y-132545666D01* -X78666882Y-132534118D01* -X78655334Y-132524642D01* -X78642160Y-132517600D01* -X78627866Y-132513264D01* -X78613000Y-132511800D01* -X78232000Y-132511800D01* -X78217134Y-132513264D01* -X78202840Y-132517600D01* -X78189666Y-132524642D01* -X78178118Y-132534118D01* -X78168642Y-132545666D01* -X78161600Y-132558840D01* -X78157264Y-132573134D01* -X78155800Y-132588000D01* -X76784200Y-132588000D01* -X76782736Y-132573134D01* -X76778400Y-132558840D01* -X76771358Y-132545666D01* -X76761882Y-132534118D01* -X76750334Y-132524642D01* -X76737160Y-132517600D01* -X76722866Y-132513264D01* -X76708000Y-132511800D01* -X76327000Y-132511800D01* -X76312134Y-132513264D01* -X76297840Y-132517600D01* -X76284666Y-132524642D01* -X76273118Y-132534118D01* -X76263642Y-132545666D01* -X76256600Y-132558840D01* -X76252264Y-132573134D01* -X76250800Y-132588000D01* -X76149200Y-132588000D01* -X76147736Y-132573134D01* -X76143400Y-132558840D01* -X76136358Y-132545666D01* -X76126882Y-132534118D01* -X76115334Y-132524642D01* -X76102160Y-132517600D01* -X76087866Y-132513264D01* -X76073000Y-132511800D01* -X75692000Y-132511800D01* -X75677134Y-132513264D01* -X75662840Y-132517600D01* -X75649666Y-132524642D01* -X75638118Y-132534118D01* -X75628642Y-132545666D01* -X75621600Y-132558840D01* -X75617264Y-132573134D01* -X75615800Y-132588000D01* -X74498200Y-132588000D01* -X74498200Y-131953000D01* -X75615800Y-131953000D01* -X75615800Y-132334000D01* -X75617264Y-132348866D01* -X75621600Y-132363160D01* -X75628642Y-132376334D01* -X75638118Y-132387882D01* -X75649666Y-132397358D01* -X75662840Y-132404400D01* -X75677134Y-132408736D01* -X75692000Y-132410200D01* -X76073000Y-132410200D01* -X76087866Y-132408736D01* -X76102160Y-132404400D01* -X76115334Y-132397358D01* -X76126882Y-132387882D01* -X76136358Y-132376334D01* -X76143400Y-132363160D01* -X76147736Y-132348866D01* -X76149200Y-132334000D01* -X76149200Y-131953000D01* -X76250800Y-131953000D01* -X76250800Y-132334000D01* -X76252264Y-132348866D01* -X76256600Y-132363160D01* -X76263642Y-132376334D01* -X76273118Y-132387882D01* -X76284666Y-132397358D01* -X76297840Y-132404400D01* -X76312134Y-132408736D01* -X76327000Y-132410200D01* -X76708000Y-132410200D01* -X76722866Y-132408736D01* -X76737160Y-132404400D01* -X76750334Y-132397358D01* -X76761882Y-132387882D01* -X76771358Y-132376334D01* -X76778400Y-132363160D01* -X76782736Y-132348866D01* -X76784200Y-132334000D01* -X76784200Y-131953000D01* -X78155800Y-131953000D01* -X78155800Y-132334000D01* -X78157264Y-132348866D01* -X78161600Y-132363160D01* -X78168642Y-132376334D01* -X78178118Y-132387882D01* -X78189666Y-132397358D01* -X78202840Y-132404400D01* -X78217134Y-132408736D01* -X78232000Y-132410200D01* -X78613000Y-132410200D01* -X78627866Y-132408736D01* -X78642160Y-132404400D01* -X78655334Y-132397358D01* -X78666882Y-132387882D01* -X78676358Y-132376334D01* -X78683400Y-132363160D01* -X78687736Y-132348866D01* -X78689200Y-132334000D01* -X78689200Y-131953000D01* -X78790800Y-131953000D01* -X78790800Y-132334000D01* -X78792264Y-132348866D01* -X78796600Y-132363160D01* -X78803642Y-132376334D01* -X78813118Y-132387882D01* -X78824666Y-132397358D01* -X78837840Y-132404400D01* -X78852134Y-132408736D01* -X78867000Y-132410200D01* -X79248000Y-132410200D01* -X79262866Y-132408736D01* -X79277160Y-132404400D01* -X79290334Y-132397358D01* -X79301882Y-132387882D01* -X79311358Y-132376334D01* -X79318400Y-132363160D01* -X79322736Y-132348866D01* -X79324200Y-132334000D01* -X79324200Y-131953000D01* -X80695800Y-131953000D01* -X80695800Y-132334000D01* -X80697264Y-132348866D01* -X80701600Y-132363160D01* -X80708642Y-132376334D01* -X80718118Y-132387882D01* -X80729666Y-132397358D01* -X80742840Y-132404400D01* -X80757134Y-132408736D01* -X80772000Y-132410200D01* -X81153000Y-132410200D01* -X81167866Y-132408736D01* -X81182160Y-132404400D01* -X81195334Y-132397358D01* -X81206882Y-132387882D01* -X81216358Y-132376334D01* -X81223400Y-132363160D01* -X81227736Y-132348866D01* -X81229200Y-132334000D01* -X81229200Y-131953000D01* -X81330800Y-131953000D01* -X81330800Y-132334000D01* -X81332264Y-132348866D01* -X81336600Y-132363160D01* -X81343642Y-132376334D01* -X81353118Y-132387882D01* -X81364666Y-132397358D01* -X81377840Y-132404400D01* -X81392134Y-132408736D01* -X81407000Y-132410200D01* -X81788000Y-132410200D01* -X81802866Y-132408736D01* -X81817160Y-132404400D01* -X81830334Y-132397358D01* -X81841882Y-132387882D01* -X81851358Y-132376334D01* -X81858400Y-132363160D01* -X81862736Y-132348866D01* -X81864200Y-132334000D01* -X81864200Y-131953000D01* -X83235800Y-131953000D01* -X83235800Y-132334000D01* -X83237264Y-132348866D01* -X83241600Y-132363160D01* -X83248642Y-132376334D01* -X83258118Y-132387882D01* -X83269666Y-132397358D01* -X83282840Y-132404400D01* -X83297134Y-132408736D01* -X83312000Y-132410200D01* -X83693000Y-132410200D01* -X83707866Y-132408736D01* -X83722160Y-132404400D01* -X83735334Y-132397358D01* -X83746882Y-132387882D01* -X83756358Y-132376334D01* -X83763400Y-132363160D01* -X83767736Y-132348866D01* -X83769200Y-132334000D01* -X83769200Y-131953000D01* -X83870800Y-131953000D01* -X83870800Y-132334000D01* -X83872264Y-132348866D01* -X83876600Y-132363160D01* -X83883642Y-132376334D01* -X83893118Y-132387882D01* -X83904666Y-132397358D01* -X83917840Y-132404400D01* -X83932134Y-132408736D01* -X83947000Y-132410200D01* -X84328000Y-132410200D01* -X84342866Y-132408736D01* -X84357160Y-132404400D01* -X84370334Y-132397358D01* -X84381882Y-132387882D01* -X84391358Y-132376334D01* -X84398400Y-132363160D01* -X84402736Y-132348866D01* -X84404200Y-132334000D01* -X84404200Y-131953000D01* -X85775800Y-131953000D01* -X85775800Y-132334000D01* -X85777264Y-132348866D01* -X85781600Y-132363160D01* -X85788642Y-132376334D01* -X85798118Y-132387882D01* -X85809666Y-132397358D01* -X85822840Y-132404400D01* -X85837134Y-132408736D01* -X85852000Y-132410200D01* -X86233000Y-132410200D01* -X86247866Y-132408736D01* -X86262160Y-132404400D01* -X86275334Y-132397358D01* -X86286882Y-132387882D01* -X86296358Y-132376334D01* -X86303400Y-132363160D01* -X86307736Y-132348866D01* -X86309200Y-132334000D01* -X86309200Y-131953000D01* -X86410800Y-131953000D01* -X86410800Y-132334000D01* -X86412264Y-132348866D01* -X86416600Y-132363160D01* -X86423642Y-132376334D01* -X86433118Y-132387882D01* -X86444666Y-132397358D01* -X86457840Y-132404400D01* -X86472134Y-132408736D01* -X86487000Y-132410200D01* -X86868000Y-132410200D01* -X86882866Y-132408736D01* -X86897160Y-132404400D01* -X86910334Y-132397358D01* -X86921882Y-132387882D01* -X86931358Y-132376334D01* -X86938400Y-132363160D01* -X86942736Y-132348866D01* -X86944200Y-132334000D01* -X86944200Y-131953000D01* -X88315800Y-131953000D01* -X88315800Y-132334000D01* -X88317264Y-132348866D01* -X88321600Y-132363160D01* -X88328642Y-132376334D01* -X88338118Y-132387882D01* -X88349666Y-132397358D01* -X88362840Y-132404400D01* -X88377134Y-132408736D01* -X88392000Y-132410200D01* -X88773000Y-132410200D01* -X88787866Y-132408736D01* -X88802160Y-132404400D01* -X88815334Y-132397358D01* -X88826882Y-132387882D01* -X88836358Y-132376334D01* -X88843400Y-132363160D01* -X88847736Y-132348866D01* -X88849200Y-132334000D01* -X88849200Y-131953000D01* -X88950800Y-131953000D01* -X88950800Y-132334000D01* -X88952264Y-132348866D01* -X88956600Y-132363160D01* -X88963642Y-132376334D01* -X88973118Y-132387882D01* -X88984666Y-132397358D01* -X88997840Y-132404400D01* -X89012134Y-132408736D01* -X89027000Y-132410200D01* -X89408000Y-132410200D01* -X89422866Y-132408736D01* -X89437160Y-132404400D01* -X89450334Y-132397358D01* -X89461882Y-132387882D01* -X89471358Y-132376334D01* -X89478400Y-132363160D01* -X89482736Y-132348866D01* -X89484200Y-132334000D01* -X89484200Y-131953000D01* -X90855800Y-131953000D01* -X90855800Y-132334000D01* -X90857264Y-132348866D01* -X90861600Y-132363160D01* -X90868642Y-132376334D01* -X90878118Y-132387882D01* -X90889666Y-132397358D01* -X90902840Y-132404400D01* -X90917134Y-132408736D01* -X90932000Y-132410200D01* -X91313000Y-132410200D01* -X91327866Y-132408736D01* -X91342160Y-132404400D01* -X91355334Y-132397358D01* -X91366882Y-132387882D01* -X91376358Y-132376334D01* -X91383400Y-132363160D01* -X91387736Y-132348866D01* -X91389200Y-132334000D01* -X91389200Y-131953000D01* -X91490800Y-131953000D01* -X91490800Y-132334000D01* -X91492264Y-132348866D01* -X91496600Y-132363160D01* -X91503642Y-132376334D01* -X91513118Y-132387882D01* -X91524666Y-132397358D01* -X91537840Y-132404400D01* -X91552134Y-132408736D01* -X91567000Y-132410200D01* -X91948000Y-132410200D01* -X91962866Y-132408736D01* -X91977160Y-132404400D01* -X91990334Y-132397358D01* -X92001882Y-132387882D01* -X92011358Y-132376334D01* -X92018400Y-132363160D01* -X92022736Y-132348866D01* -X92024200Y-132334000D01* -X92024200Y-131953000D01* -X93395800Y-131953000D01* -X93395800Y-132334000D01* -X93397264Y-132348866D01* -X93401600Y-132363160D01* -X93408642Y-132376334D01* -X93418118Y-132387882D01* -X93429666Y-132397358D01* -X93442840Y-132404400D01* -X93457134Y-132408736D01* -X93472000Y-132410200D01* -X93853000Y-132410200D01* -X93867866Y-132408736D01* -X93882160Y-132404400D01* -X93895334Y-132397358D01* -X93906882Y-132387882D01* -X93916358Y-132376334D01* -X93923400Y-132363160D01* -X93927736Y-132348866D01* -X93929200Y-132334000D01* -X93929200Y-131953000D01* -X94030800Y-131953000D01* -X94030800Y-132334000D01* -X94032264Y-132348866D01* -X94036600Y-132363160D01* -X94043642Y-132376334D01* -X94053118Y-132387882D01* -X94064666Y-132397358D01* -X94077840Y-132404400D01* -X94092134Y-132408736D01* -X94107000Y-132410200D01* -X94488000Y-132410200D01* -X94502866Y-132408736D01* -X94517160Y-132404400D01* -X94530334Y-132397358D01* -X94541882Y-132387882D01* -X94551358Y-132376334D01* -X94558400Y-132363160D01* -X94562736Y-132348866D01* -X94564200Y-132334000D01* -X94564200Y-131953000D01* -X95935800Y-131953000D01* -X95935800Y-132334000D01* -X95937264Y-132348866D01* -X95941600Y-132363160D01* -X95948642Y-132376334D01* -X95958118Y-132387882D01* -X95969666Y-132397358D01* -X95982840Y-132404400D01* -X95997134Y-132408736D01* -X96012000Y-132410200D01* -X96393000Y-132410200D01* -X96407866Y-132408736D01* -X96422160Y-132404400D01* -X96435334Y-132397358D01* -X96446882Y-132387882D01* -X96456358Y-132376334D01* -X96463400Y-132363160D01* -X96467736Y-132348866D01* -X96469200Y-132334000D01* -X96469200Y-131953000D01* -X96570800Y-131953000D01* -X96570800Y-132334000D01* -X96572264Y-132348866D01* -X96576600Y-132363160D01* -X96583642Y-132376334D01* -X96593118Y-132387882D01* -X96604666Y-132397358D01* -X96617840Y-132404400D01* -X96632134Y-132408736D01* -X96647000Y-132410200D01* -X97028000Y-132410200D01* -X97042866Y-132408736D01* -X97057160Y-132404400D01* -X97070334Y-132397358D01* -X97081882Y-132387882D01* -X97091358Y-132376334D01* -X97098400Y-132363160D01* -X97102736Y-132348866D01* -X97104200Y-132334000D01* -X97104200Y-131953000D01* -X98475800Y-131953000D01* -X98475800Y-132334000D01* -X98477264Y-132348866D01* -X98481600Y-132363160D01* -X98488642Y-132376334D01* -X98498118Y-132387882D01* -X98509666Y-132397358D01* -X98522840Y-132404400D01* -X98537134Y-132408736D01* -X98552000Y-132410200D01* -X98933000Y-132410200D01* -X98947866Y-132408736D01* -X98962160Y-132404400D01* -X98975334Y-132397358D01* -X98986882Y-132387882D01* -X98996358Y-132376334D01* -X99003400Y-132363160D01* -X99007736Y-132348866D01* -X99009200Y-132334000D01* -X99009200Y-131953000D01* -X99110800Y-131953000D01* -X99110800Y-132334000D01* -X99112264Y-132348866D01* -X99116600Y-132363160D01* -X99123642Y-132376334D01* -X99133118Y-132387882D01* -X99144666Y-132397358D01* -X99157840Y-132404400D01* -X99172134Y-132408736D01* -X99187000Y-132410200D01* -X99568000Y-132410200D01* -X99582866Y-132408736D01* -X99597160Y-132404400D01* -X99610334Y-132397358D01* -X99621882Y-132387882D01* -X99631358Y-132376334D01* -X99638400Y-132363160D01* -X99642736Y-132348866D01* -X99644200Y-132334000D01* -X99644200Y-131953000D01* -X101015800Y-131953000D01* -X101015800Y-132334000D01* -X101017264Y-132348866D01* -X101021600Y-132363160D01* -X101028642Y-132376334D01* -X101038118Y-132387882D01* -X101049666Y-132397358D01* -X101062840Y-132404400D01* -X101077134Y-132408736D01* -X101092000Y-132410200D01* -X101473000Y-132410200D01* -X101487866Y-132408736D01* -X101502160Y-132404400D01* -X101515334Y-132397358D01* -X101526882Y-132387882D01* -X101536358Y-132376334D01* -X101543400Y-132363160D01* -X101547736Y-132348866D01* -X101549200Y-132334000D01* -X101549200Y-131953000D01* -X101650800Y-131953000D01* -X101650800Y-132334000D01* -X101652264Y-132348866D01* -X101656600Y-132363160D01* -X101663642Y-132376334D01* -X101673118Y-132387882D01* -X101684666Y-132397358D01* -X101697840Y-132404400D01* -X101712134Y-132408736D01* -X101727000Y-132410200D01* -X102108000Y-132410200D01* -X102122866Y-132408736D01* -X102137160Y-132404400D01* -X102150334Y-132397358D01* -X102161882Y-132387882D01* -X102171358Y-132376334D01* -X102178400Y-132363160D01* -X102182736Y-132348866D01* -X102184200Y-132334000D01* -X102184200Y-131953000D01* -X103555800Y-131953000D01* -X103555800Y-132334000D01* -X103557264Y-132348866D01* -X103561600Y-132363160D01* -X103568642Y-132376334D01* -X103578118Y-132387882D01* -X103589666Y-132397358D01* -X103602840Y-132404400D01* -X103617134Y-132408736D01* -X103632000Y-132410200D01* -X104013000Y-132410200D01* -X104027866Y-132408736D01* -X104042160Y-132404400D01* -X104055334Y-132397358D01* -X104066882Y-132387882D01* -X104076358Y-132376334D01* -X104083400Y-132363160D01* -X104087736Y-132348866D01* -X104089200Y-132334000D01* -X104089200Y-131953000D01* -X104190800Y-131953000D01* -X104190800Y-132334000D01* -X104192264Y-132348866D01* -X104196600Y-132363160D01* -X104203642Y-132376334D01* -X104213118Y-132387882D01* -X104224666Y-132397358D01* -X104237840Y-132404400D01* -X104252134Y-132408736D01* -X104267000Y-132410200D01* -X104648000Y-132410200D01* -X104662866Y-132408736D01* -X104677160Y-132404400D01* -X104690334Y-132397358D01* -X104701882Y-132387882D01* -X104711358Y-132376334D01* -X104718400Y-132363160D01* -X104722736Y-132348866D01* -X104724200Y-132334000D01* -X104724200Y-131953000D01* -X106095800Y-131953000D01* -X106095800Y-132334000D01* -X106097264Y-132348866D01* -X106101600Y-132363160D01* -X106108642Y-132376334D01* -X106118118Y-132387882D01* -X106129666Y-132397358D01* -X106142840Y-132404400D01* -X106157134Y-132408736D01* -X106172000Y-132410200D01* -X106553000Y-132410200D01* -X106567866Y-132408736D01* -X106582160Y-132404400D01* -X106595334Y-132397358D01* -X106606882Y-132387882D01* -X106616358Y-132376334D01* -X106623400Y-132363160D01* -X106627736Y-132348866D01* -X106629200Y-132334000D01* -X106629200Y-131953000D01* -X106730800Y-131953000D01* -X106730800Y-132334000D01* -X106732264Y-132348866D01* -X106736600Y-132363160D01* -X106743642Y-132376334D01* -X106753118Y-132387882D01* -X106764666Y-132397358D01* -X106777840Y-132404400D01* -X106792134Y-132408736D01* -X106807000Y-132410200D01* -X107188000Y-132410200D01* -X107202866Y-132408736D01* -X107217160Y-132404400D01* -X107230334Y-132397358D01* -X107241882Y-132387882D01* -X107251358Y-132376334D01* -X107258400Y-132363160D01* -X107262736Y-132348866D01* -X107264200Y-132334000D01* -X107264200Y-131953000D01* -X108635800Y-131953000D01* -X108635800Y-132334000D01* -X108637264Y-132348866D01* -X108641600Y-132363160D01* -X108648642Y-132376334D01* -X108658118Y-132387882D01* -X108669666Y-132397358D01* -X108682840Y-132404400D01* -X108697134Y-132408736D01* -X108712000Y-132410200D01* -X109093000Y-132410200D01* -X109107866Y-132408736D01* -X109122160Y-132404400D01* -X109135334Y-132397358D01* -X109146882Y-132387882D01* -X109156358Y-132376334D01* -X109163400Y-132363160D01* -X109167736Y-132348866D01* -X109169200Y-132334000D01* -X109169200Y-131953000D01* -X109270800Y-131953000D01* -X109270800Y-132334000D01* -X109272264Y-132348866D01* -X109276600Y-132363160D01* -X109283642Y-132376334D01* -X109293118Y-132387882D01* -X109304666Y-132397358D01* -X109317840Y-132404400D01* -X109332134Y-132408736D01* -X109347000Y-132410200D01* -X109728000Y-132410200D01* -X109742866Y-132408736D01* -X109757160Y-132404400D01* -X109770334Y-132397358D01* -X109781882Y-132387882D01* -X109791358Y-132376334D01* -X109798400Y-132363160D01* -X109802736Y-132348866D01* -X109804200Y-132334000D01* -X109804200Y-131953000D01* -X111175800Y-131953000D01* -X111175800Y-132334000D01* -X111177264Y-132348866D01* -X111181600Y-132363160D01* -X111188642Y-132376334D01* -X111198118Y-132387882D01* -X111209666Y-132397358D01* -X111222840Y-132404400D01* -X111237134Y-132408736D01* -X111252000Y-132410200D01* -X111633000Y-132410200D01* -X111647866Y-132408736D01* -X111662160Y-132404400D01* -X111675334Y-132397358D01* -X111686882Y-132387882D01* -X111696358Y-132376334D01* -X111703400Y-132363160D01* -X111707736Y-132348866D01* -X111709200Y-132334000D01* -X111709200Y-131953000D01* -X111810800Y-131953000D01* -X111810800Y-132334000D01* -X111812264Y-132348866D01* -X111816600Y-132363160D01* -X111823642Y-132376334D01* -X111833118Y-132387882D01* -X111844666Y-132397358D01* -X111857840Y-132404400D01* -X111872134Y-132408736D01* -X111887000Y-132410200D01* -X112268000Y-132410200D01* -X112282866Y-132408736D01* -X112297160Y-132404400D01* -X112310334Y-132397358D01* -X112321882Y-132387882D01* -X112331358Y-132376334D01* -X112338400Y-132363160D01* -X112342736Y-132348866D01* -X112344200Y-132334000D01* -X112344200Y-131953000D01* -X113715800Y-131953000D01* -X113715800Y-132334000D01* -X113717264Y-132348866D01* -X113721600Y-132363160D01* -X113728642Y-132376334D01* -X113738118Y-132387882D01* -X113749666Y-132397358D01* -X113762840Y-132404400D01* -X113777134Y-132408736D01* -X113792000Y-132410200D01* -X114173000Y-132410200D01* -X114187866Y-132408736D01* -X114202160Y-132404400D01* -X114215334Y-132397358D01* -X114226882Y-132387882D01* -X114236358Y-132376334D01* -X114243400Y-132363160D01* -X114247736Y-132348866D01* -X114249200Y-132334000D01* -X114249200Y-131953000D01* -X114350800Y-131953000D01* -X114350800Y-132334000D01* -X114352264Y-132348866D01* -X114356600Y-132363160D01* -X114363642Y-132376334D01* -X114373118Y-132387882D01* -X114384666Y-132397358D01* -X114397840Y-132404400D01* -X114412134Y-132408736D01* -X114427000Y-132410200D01* -X114808000Y-132410200D01* -X114822866Y-132408736D01* -X114837160Y-132404400D01* -X114850334Y-132397358D01* -X114861882Y-132387882D01* -X114871358Y-132376334D01* -X114878400Y-132363160D01* -X114882736Y-132348866D01* -X114884200Y-132334000D01* -X114884200Y-131953000D01* -X116255800Y-131953000D01* -X116255800Y-132334000D01* -X116257264Y-132348866D01* -X116261600Y-132363160D01* -X116268642Y-132376334D01* -X116278118Y-132387882D01* -X116289666Y-132397358D01* -X116302840Y-132404400D01* -X116317134Y-132408736D01* -X116332000Y-132410200D01* -X116713000Y-132410200D01* -X116727866Y-132408736D01* -X116742160Y-132404400D01* -X116755334Y-132397358D01* -X116766882Y-132387882D01* -X116776358Y-132376334D01* -X116783400Y-132363160D01* -X116787736Y-132348866D01* -X116789200Y-132334000D01* -X116789200Y-131953000D01* -X116890800Y-131953000D01* -X116890800Y-132334000D01* -X116892264Y-132348866D01* -X116896600Y-132363160D01* -X116903642Y-132376334D01* -X116913118Y-132387882D01* -X116924666Y-132397358D01* -X116937840Y-132404400D01* -X116952134Y-132408736D01* -X116967000Y-132410200D01* -X117348000Y-132410200D01* -X117362866Y-132408736D01* -X117377160Y-132404400D01* -X117390334Y-132397358D01* -X117401882Y-132387882D01* -X117411358Y-132376334D01* -X117418400Y-132363160D01* -X117422736Y-132348866D01* -X117424200Y-132334000D01* -X117424200Y-131953000D01* -X118795800Y-131953000D01* -X118795800Y-132334000D01* -X118797264Y-132348866D01* -X118801600Y-132363160D01* -X118808642Y-132376334D01* -X118818118Y-132387882D01* -X118829666Y-132397358D01* -X118842840Y-132404400D01* -X118857134Y-132408736D01* -X118872000Y-132410200D01* -X119253000Y-132410200D01* -X119267866Y-132408736D01* -X119282160Y-132404400D01* -X119295334Y-132397358D01* -X119306882Y-132387882D01* -X119316358Y-132376334D01* -X119323400Y-132363160D01* -X119327736Y-132348866D01* -X119329200Y-132334000D01* -X119329200Y-131953000D01* -X119430800Y-131953000D01* -X119430800Y-132334000D01* -X119432264Y-132348866D01* -X119436600Y-132363160D01* -X119443642Y-132376334D01* -X119453118Y-132387882D01* -X119464666Y-132397358D01* -X119477840Y-132404400D01* -X119492134Y-132408736D01* -X119507000Y-132410200D01* -X119888000Y-132410200D01* -X119902866Y-132408736D01* -X119917160Y-132404400D01* -X119930334Y-132397358D01* -X119941882Y-132387882D01* -X119951358Y-132376334D01* -X119958400Y-132363160D01* -X119962736Y-132348866D01* -X119964200Y-132334000D01* -X119964200Y-131953000D01* -X121335800Y-131953000D01* -X121335800Y-132334000D01* -X121337264Y-132348866D01* -X121341600Y-132363160D01* -X121348642Y-132376334D01* -X121358118Y-132387882D01* -X121369666Y-132397358D01* -X121382840Y-132404400D01* -X121397134Y-132408736D01* -X121412000Y-132410200D01* -X121793000Y-132410200D01* -X121807866Y-132408736D01* -X121822160Y-132404400D01* -X121835334Y-132397358D01* -X121846882Y-132387882D01* -X121856358Y-132376334D01* -X121863400Y-132363160D01* -X121867736Y-132348866D01* -X121869200Y-132334000D01* -X121869200Y-131953000D01* -X121970800Y-131953000D01* -X121970800Y-132334000D01* -X121972264Y-132348866D01* -X121976600Y-132363160D01* -X121983642Y-132376334D01* -X121993118Y-132387882D01* -X122004666Y-132397358D01* -X122017840Y-132404400D01* -X122032134Y-132408736D01* -X122047000Y-132410200D01* -X122428000Y-132410200D01* -X122442866Y-132408736D01* -X122457160Y-132404400D01* -X122470334Y-132397358D01* -X122481882Y-132387882D01* -X122491358Y-132376334D01* -X122498400Y-132363160D01* -X122502736Y-132348866D01* -X122504200Y-132334000D01* -X122504200Y-131953000D01* -X123875800Y-131953000D01* -X123875800Y-132334000D01* -X123877264Y-132348866D01* -X123881600Y-132363160D01* -X123888642Y-132376334D01* -X123898118Y-132387882D01* -X123909666Y-132397358D01* -X123922840Y-132404400D01* -X123937134Y-132408736D01* -X123952000Y-132410200D01* -X124333000Y-132410200D01* -X124347866Y-132408736D01* -X124362160Y-132404400D01* -X124375334Y-132397358D01* -X124386882Y-132387882D01* -X124396358Y-132376334D01* -X124403400Y-132363160D01* -X124407736Y-132348866D01* -X124409200Y-132334000D01* -X124409200Y-131953000D01* -X124510800Y-131953000D01* -X124510800Y-132334000D01* -X124512264Y-132348866D01* -X124516600Y-132363160D01* -X124523642Y-132376334D01* -X124533118Y-132387882D01* -X124544666Y-132397358D01* -X124557840Y-132404400D01* -X124572134Y-132408736D01* -X124587000Y-132410200D01* -X124968000Y-132410200D01* -X124982866Y-132408736D01* -X124997160Y-132404400D01* -X125010334Y-132397358D01* -X125021882Y-132387882D01* -X125031358Y-132376334D01* -X125038400Y-132363160D01* -X125042736Y-132348866D01* -X125044200Y-132334000D01* -X125044200Y-131953000D01* -X126415800Y-131953000D01* -X126415800Y-132334000D01* -X126417264Y-132348866D01* -X126421600Y-132363160D01* -X126428642Y-132376334D01* -X126438118Y-132387882D01* -X126449666Y-132397358D01* -X126462840Y-132404400D01* -X126477134Y-132408736D01* -X126492000Y-132410200D01* -X126873000Y-132410200D01* -X126887866Y-132408736D01* -X126902160Y-132404400D01* -X126915334Y-132397358D01* -X126926882Y-132387882D01* -X126936358Y-132376334D01* -X126943400Y-132363160D01* -X126947736Y-132348866D01* -X126949200Y-132334000D01* -X126949200Y-131953000D01* -X127050800Y-131953000D01* -X127050800Y-132334000D01* -X127052264Y-132348866D01* -X127056600Y-132363160D01* -X127063642Y-132376334D01* -X127073118Y-132387882D01* -X127084666Y-132397358D01* -X127097840Y-132404400D01* -X127112134Y-132408736D01* -X127127000Y-132410200D01* -X127508000Y-132410200D01* -X127522866Y-132408736D01* -X127537160Y-132404400D01* -X127550334Y-132397358D01* -X127561882Y-132387882D01* -X127571358Y-132376334D01* -X127578400Y-132363160D01* -X127582736Y-132348866D01* -X127584200Y-132334000D01* -X127584200Y-131953000D01* -X128955800Y-131953000D01* -X128955800Y-132334000D01* -X128957264Y-132348866D01* -X128961600Y-132363160D01* -X128968642Y-132376334D01* -X128978118Y-132387882D01* -X128989666Y-132397358D01* -X129002840Y-132404400D01* -X129017134Y-132408736D01* -X129032000Y-132410200D01* -X129413000Y-132410200D01* -X129427866Y-132408736D01* -X129442160Y-132404400D01* -X129455334Y-132397358D01* -X129466882Y-132387882D01* -X129476358Y-132376334D01* -X129483400Y-132363160D01* -X129487736Y-132348866D01* -X129489200Y-132334000D01* -X129489200Y-131953000D01* -X129590800Y-131953000D01* -X129590800Y-132334000D01* -X129592264Y-132348866D01* -X129596600Y-132363160D01* -X129603642Y-132376334D01* -X129613118Y-132387882D01* -X129624666Y-132397358D01* -X129637840Y-132404400D01* -X129652134Y-132408736D01* -X129667000Y-132410200D01* -X130048000Y-132410200D01* -X130062866Y-132408736D01* -X130077160Y-132404400D01* -X130090334Y-132397358D01* -X130101882Y-132387882D01* -X130111358Y-132376334D01* -X130118400Y-132363160D01* -X130122736Y-132348866D01* -X130124200Y-132334000D01* -X130124200Y-131953000D01* -X131495800Y-131953000D01* -X131495800Y-132334000D01* -X131497264Y-132348866D01* -X131501600Y-132363160D01* -X131508642Y-132376334D01* -X131518118Y-132387882D01* -X131529666Y-132397358D01* -X131542840Y-132404400D01* -X131557134Y-132408736D01* -X131572000Y-132410200D01* -X131953000Y-132410200D01* -X131967866Y-132408736D01* -X131982160Y-132404400D01* -X131995334Y-132397358D01* -X132006882Y-132387882D01* -X132016358Y-132376334D01* -X132023400Y-132363160D01* -X132027736Y-132348866D01* -X132029200Y-132334000D01* -X132029200Y-131953000D01* -X132130800Y-131953000D01* -X132130800Y-132334000D01* -X132132264Y-132348866D01* -X132136600Y-132363160D01* -X132143642Y-132376334D01* -X132153118Y-132387882D01* -X132164666Y-132397358D01* -X132177840Y-132404400D01* -X132192134Y-132408736D01* -X132207000Y-132410200D01* -X132588000Y-132410200D01* -X132602866Y-132408736D01* -X132617160Y-132404400D01* -X132630334Y-132397358D01* -X132641882Y-132387882D01* -X132651358Y-132376334D01* -X132658400Y-132363160D01* -X132662736Y-132348866D01* -X132664200Y-132334000D01* -X132664200Y-131953000D01* -X134035800Y-131953000D01* -X134035800Y-132334000D01* -X134037264Y-132348866D01* -X134041600Y-132363160D01* -X134048642Y-132376334D01* -X134058118Y-132387882D01* -X134069666Y-132397358D01* -X134082840Y-132404400D01* -X134097134Y-132408736D01* -X134112000Y-132410200D01* -X134493000Y-132410200D01* -X134507866Y-132408736D01* -X134522160Y-132404400D01* -X134535334Y-132397358D01* -X134546882Y-132387882D01* -X134556358Y-132376334D01* -X134563400Y-132363160D01* -X134567736Y-132348866D01* -X134569200Y-132334000D01* -X134569200Y-131953000D01* -X134670800Y-131953000D01* -X134670800Y-132334000D01* -X134672264Y-132348866D01* -X134676600Y-132363160D01* -X134683642Y-132376334D01* -X134693118Y-132387882D01* -X134704666Y-132397358D01* -X134717840Y-132404400D01* -X134732134Y-132408736D01* -X134747000Y-132410200D01* -X135128000Y-132410200D01* -X135142866Y-132408736D01* -X135157160Y-132404400D01* -X135170334Y-132397358D01* -X135181882Y-132387882D01* -X135191358Y-132376334D01* -X135198400Y-132363160D01* -X135202736Y-132348866D01* -X135204200Y-132334000D01* -X135204200Y-131953000D01* -X135202736Y-131938134D01* -X135198400Y-131923840D01* -X135191358Y-131910666D01* -X135181882Y-131899118D01* -X135170334Y-131889642D01* -X135157160Y-131882600D01* -X135142866Y-131878264D01* -X135128000Y-131876800D01* -X134747000Y-131876800D01* -X134732134Y-131878264D01* -X134717840Y-131882600D01* -X134704666Y-131889642D01* -X134693118Y-131899118D01* -X134683642Y-131910666D01* -X134676600Y-131923840D01* -X134672264Y-131938134D01* -X134670800Y-131953000D01* -X134569200Y-131953000D01* -X134567736Y-131938134D01* -X134563400Y-131923840D01* -X134556358Y-131910666D01* -X134546882Y-131899118D01* -X134535334Y-131889642D01* -X134522160Y-131882600D01* -X134507866Y-131878264D01* -X134493000Y-131876800D01* -X134112000Y-131876800D01* -X134097134Y-131878264D01* -X134082840Y-131882600D01* -X134069666Y-131889642D01* -X134058118Y-131899118D01* -X134048642Y-131910666D01* -X134041600Y-131923840D01* -X134037264Y-131938134D01* -X134035800Y-131953000D01* -X132664200Y-131953000D01* -X132662736Y-131938134D01* -X132658400Y-131923840D01* -X132651358Y-131910666D01* -X132641882Y-131899118D01* -X132630334Y-131889642D01* -X132617160Y-131882600D01* -X132602866Y-131878264D01* -X132588000Y-131876800D01* -X132207000Y-131876800D01* -X132192134Y-131878264D01* -X132177840Y-131882600D01* -X132164666Y-131889642D01* -X132153118Y-131899118D01* -X132143642Y-131910666D01* -X132136600Y-131923840D01* -X132132264Y-131938134D01* -X132130800Y-131953000D01* -X132029200Y-131953000D01* -X132027736Y-131938134D01* -X132023400Y-131923840D01* -X132016358Y-131910666D01* -X132006882Y-131899118D01* -X131995334Y-131889642D01* -X131982160Y-131882600D01* -X131967866Y-131878264D01* -X131953000Y-131876800D01* -X131572000Y-131876800D01* -X131557134Y-131878264D01* -X131542840Y-131882600D01* -X131529666Y-131889642D01* -X131518118Y-131899118D01* -X131508642Y-131910666D01* -X131501600Y-131923840D01* -X131497264Y-131938134D01* -X131495800Y-131953000D01* -X130124200Y-131953000D01* -X130122736Y-131938134D01* -X130118400Y-131923840D01* -X130111358Y-131910666D01* -X130101882Y-131899118D01* -X130090334Y-131889642D01* -X130077160Y-131882600D01* -X130062866Y-131878264D01* -X130048000Y-131876800D01* -X129667000Y-131876800D01* -X129652134Y-131878264D01* -X129637840Y-131882600D01* -X129624666Y-131889642D01* -X129613118Y-131899118D01* -X129603642Y-131910666D01* -X129596600Y-131923840D01* -X129592264Y-131938134D01* -X129590800Y-131953000D01* -X129489200Y-131953000D01* -X129487736Y-131938134D01* -X129483400Y-131923840D01* -X129476358Y-131910666D01* -X129466882Y-131899118D01* -X129455334Y-131889642D01* -X129442160Y-131882600D01* -X129427866Y-131878264D01* -X129413000Y-131876800D01* -X129032000Y-131876800D01* -X129017134Y-131878264D01* -X129002840Y-131882600D01* -X128989666Y-131889642D01* -X128978118Y-131899118D01* -X128968642Y-131910666D01* -X128961600Y-131923840D01* -X128957264Y-131938134D01* -X128955800Y-131953000D01* -X127584200Y-131953000D01* -X127582736Y-131938134D01* -X127578400Y-131923840D01* -X127571358Y-131910666D01* -X127561882Y-131899118D01* -X127550334Y-131889642D01* -X127537160Y-131882600D01* -X127522866Y-131878264D01* -X127508000Y-131876800D01* -X127127000Y-131876800D01* -X127112134Y-131878264D01* -X127097840Y-131882600D01* -X127084666Y-131889642D01* -X127073118Y-131899118D01* -X127063642Y-131910666D01* -X127056600Y-131923840D01* -X127052264Y-131938134D01* -X127050800Y-131953000D01* -X126949200Y-131953000D01* -X126947736Y-131938134D01* -X126943400Y-131923840D01* -X126936358Y-131910666D01* -X126926882Y-131899118D01* -X126915334Y-131889642D01* -X126902160Y-131882600D01* -X126887866Y-131878264D01* -X126873000Y-131876800D01* -X126492000Y-131876800D01* -X126477134Y-131878264D01* -X126462840Y-131882600D01* -X126449666Y-131889642D01* -X126438118Y-131899118D01* -X126428642Y-131910666D01* -X126421600Y-131923840D01* -X126417264Y-131938134D01* -X126415800Y-131953000D01* -X125044200Y-131953000D01* -X125042736Y-131938134D01* -X125038400Y-131923840D01* -X125031358Y-131910666D01* -X125021882Y-131899118D01* -X125010334Y-131889642D01* -X124997160Y-131882600D01* -X124982866Y-131878264D01* -X124968000Y-131876800D01* -X124587000Y-131876800D01* -X124572134Y-131878264D01* -X124557840Y-131882600D01* -X124544666Y-131889642D01* -X124533118Y-131899118D01* -X124523642Y-131910666D01* -X124516600Y-131923840D01* -X124512264Y-131938134D01* -X124510800Y-131953000D01* -X124409200Y-131953000D01* -X124407736Y-131938134D01* -X124403400Y-131923840D01* -X124396358Y-131910666D01* -X124386882Y-131899118D01* -X124375334Y-131889642D01* -X124362160Y-131882600D01* -X124347866Y-131878264D01* -X124333000Y-131876800D01* -X123952000Y-131876800D01* -X123937134Y-131878264D01* -X123922840Y-131882600D01* -X123909666Y-131889642D01* -X123898118Y-131899118D01* -X123888642Y-131910666D01* -X123881600Y-131923840D01* -X123877264Y-131938134D01* -X123875800Y-131953000D01* -X122504200Y-131953000D01* -X122502736Y-131938134D01* -X122498400Y-131923840D01* -X122491358Y-131910666D01* -X122481882Y-131899118D01* -X122470334Y-131889642D01* -X122457160Y-131882600D01* -X122442866Y-131878264D01* -X122428000Y-131876800D01* -X122047000Y-131876800D01* -X122032134Y-131878264D01* -X122017840Y-131882600D01* -X122004666Y-131889642D01* -X121993118Y-131899118D01* -X121983642Y-131910666D01* -X121976600Y-131923840D01* -X121972264Y-131938134D01* -X121970800Y-131953000D01* -X121869200Y-131953000D01* -X121867736Y-131938134D01* -X121863400Y-131923840D01* -X121856358Y-131910666D01* -X121846882Y-131899118D01* -X121835334Y-131889642D01* -X121822160Y-131882600D01* -X121807866Y-131878264D01* -X121793000Y-131876800D01* -X121412000Y-131876800D01* -X121397134Y-131878264D01* -X121382840Y-131882600D01* -X121369666Y-131889642D01* -X121358118Y-131899118D01* -X121348642Y-131910666D01* -X121341600Y-131923840D01* -X121337264Y-131938134D01* -X121335800Y-131953000D01* -X119964200Y-131953000D01* -X119962736Y-131938134D01* -X119958400Y-131923840D01* -X119951358Y-131910666D01* -X119941882Y-131899118D01* -X119930334Y-131889642D01* -X119917160Y-131882600D01* -X119902866Y-131878264D01* -X119888000Y-131876800D01* -X119507000Y-131876800D01* -X119492134Y-131878264D01* -X119477840Y-131882600D01* -X119464666Y-131889642D01* -X119453118Y-131899118D01* -X119443642Y-131910666D01* -X119436600Y-131923840D01* -X119432264Y-131938134D01* -X119430800Y-131953000D01* -X119329200Y-131953000D01* -X119327736Y-131938134D01* -X119323400Y-131923840D01* -X119316358Y-131910666D01* -X119306882Y-131899118D01* -X119295334Y-131889642D01* -X119282160Y-131882600D01* -X119267866Y-131878264D01* -X119253000Y-131876800D01* -X118872000Y-131876800D01* -X118857134Y-131878264D01* -X118842840Y-131882600D01* -X118829666Y-131889642D01* -X118818118Y-131899118D01* -X118808642Y-131910666D01* -X118801600Y-131923840D01* -X118797264Y-131938134D01* -X118795800Y-131953000D01* -X117424200Y-131953000D01* -X117422736Y-131938134D01* -X117418400Y-131923840D01* -X117411358Y-131910666D01* -X117401882Y-131899118D01* -X117390334Y-131889642D01* -X117377160Y-131882600D01* -X117362866Y-131878264D01* -X117348000Y-131876800D01* -X116967000Y-131876800D01* -X116952134Y-131878264D01* -X116937840Y-131882600D01* -X116924666Y-131889642D01* -X116913118Y-131899118D01* -X116903642Y-131910666D01* -X116896600Y-131923840D01* -X116892264Y-131938134D01* -X116890800Y-131953000D01* -X116789200Y-131953000D01* -X116787736Y-131938134D01* -X116783400Y-131923840D01* -X116776358Y-131910666D01* -X116766882Y-131899118D01* -X116755334Y-131889642D01* -X116742160Y-131882600D01* -X116727866Y-131878264D01* -X116713000Y-131876800D01* -X116332000Y-131876800D01* -X116317134Y-131878264D01* -X116302840Y-131882600D01* -X116289666Y-131889642D01* -X116278118Y-131899118D01* -X116268642Y-131910666D01* -X116261600Y-131923840D01* -X116257264Y-131938134D01* -X116255800Y-131953000D01* -X114884200Y-131953000D01* -X114882736Y-131938134D01* -X114878400Y-131923840D01* -X114871358Y-131910666D01* -X114861882Y-131899118D01* -X114850334Y-131889642D01* -X114837160Y-131882600D01* -X114822866Y-131878264D01* -X114808000Y-131876800D01* -X114427000Y-131876800D01* -X114412134Y-131878264D01* -X114397840Y-131882600D01* -X114384666Y-131889642D01* -X114373118Y-131899118D01* -X114363642Y-131910666D01* -X114356600Y-131923840D01* -X114352264Y-131938134D01* -X114350800Y-131953000D01* -X114249200Y-131953000D01* -X114247736Y-131938134D01* -X114243400Y-131923840D01* -X114236358Y-131910666D01* -X114226882Y-131899118D01* -X114215334Y-131889642D01* -X114202160Y-131882600D01* -X114187866Y-131878264D01* -X114173000Y-131876800D01* -X113792000Y-131876800D01* -X113777134Y-131878264D01* -X113762840Y-131882600D01* -X113749666Y-131889642D01* -X113738118Y-131899118D01* -X113728642Y-131910666D01* -X113721600Y-131923840D01* -X113717264Y-131938134D01* -X113715800Y-131953000D01* -X112344200Y-131953000D01* -X112342736Y-131938134D01* -X112338400Y-131923840D01* -X112331358Y-131910666D01* -X112321882Y-131899118D01* -X112310334Y-131889642D01* -X112297160Y-131882600D01* -X112282866Y-131878264D01* -X112268000Y-131876800D01* -X111887000Y-131876800D01* -X111872134Y-131878264D01* -X111857840Y-131882600D01* -X111844666Y-131889642D01* -X111833118Y-131899118D01* -X111823642Y-131910666D01* -X111816600Y-131923840D01* -X111812264Y-131938134D01* -X111810800Y-131953000D01* -X111709200Y-131953000D01* -X111707736Y-131938134D01* -X111703400Y-131923840D01* -X111696358Y-131910666D01* -X111686882Y-131899118D01* -X111675334Y-131889642D01* -X111662160Y-131882600D01* -X111647866Y-131878264D01* -X111633000Y-131876800D01* -X111252000Y-131876800D01* -X111237134Y-131878264D01* -X111222840Y-131882600D01* -X111209666Y-131889642D01* -X111198118Y-131899118D01* -X111188642Y-131910666D01* -X111181600Y-131923840D01* -X111177264Y-131938134D01* -X111175800Y-131953000D01* -X109804200Y-131953000D01* -X109802736Y-131938134D01* -X109798400Y-131923840D01* -X109791358Y-131910666D01* -X109781882Y-131899118D01* -X109770334Y-131889642D01* -X109757160Y-131882600D01* -X109742866Y-131878264D01* -X109728000Y-131876800D01* -X109347000Y-131876800D01* -X109332134Y-131878264D01* -X109317840Y-131882600D01* -X109304666Y-131889642D01* -X109293118Y-131899118D01* -X109283642Y-131910666D01* -X109276600Y-131923840D01* -X109272264Y-131938134D01* -X109270800Y-131953000D01* -X109169200Y-131953000D01* -X109167736Y-131938134D01* -X109163400Y-131923840D01* -X109156358Y-131910666D01* -X109146882Y-131899118D01* -X109135334Y-131889642D01* -X109122160Y-131882600D01* -X109107866Y-131878264D01* -X109093000Y-131876800D01* -X108712000Y-131876800D01* -X108697134Y-131878264D01* -X108682840Y-131882600D01* -X108669666Y-131889642D01* -X108658118Y-131899118D01* -X108648642Y-131910666D01* -X108641600Y-131923840D01* -X108637264Y-131938134D01* -X108635800Y-131953000D01* -X107264200Y-131953000D01* -X107262736Y-131938134D01* -X107258400Y-131923840D01* -X107251358Y-131910666D01* -X107241882Y-131899118D01* -X107230334Y-131889642D01* -X107217160Y-131882600D01* -X107202866Y-131878264D01* -X107188000Y-131876800D01* -X106807000Y-131876800D01* -X106792134Y-131878264D01* -X106777840Y-131882600D01* -X106764666Y-131889642D01* -X106753118Y-131899118D01* -X106743642Y-131910666D01* -X106736600Y-131923840D01* -X106732264Y-131938134D01* -X106730800Y-131953000D01* -X106629200Y-131953000D01* -X106627736Y-131938134D01* -X106623400Y-131923840D01* -X106616358Y-131910666D01* -X106606882Y-131899118D01* -X106595334Y-131889642D01* -X106582160Y-131882600D01* -X106567866Y-131878264D01* -X106553000Y-131876800D01* -X106172000Y-131876800D01* -X106157134Y-131878264D01* -X106142840Y-131882600D01* -X106129666Y-131889642D01* -X106118118Y-131899118D01* -X106108642Y-131910666D01* -X106101600Y-131923840D01* -X106097264Y-131938134D01* -X106095800Y-131953000D01* -X104724200Y-131953000D01* -X104722736Y-131938134D01* -X104718400Y-131923840D01* -X104711358Y-131910666D01* -X104701882Y-131899118D01* -X104690334Y-131889642D01* -X104677160Y-131882600D01* -X104662866Y-131878264D01* -X104648000Y-131876800D01* -X104267000Y-131876800D01* -X104252134Y-131878264D01* -X104237840Y-131882600D01* -X104224666Y-131889642D01* -X104213118Y-131899118D01* -X104203642Y-131910666D01* -X104196600Y-131923840D01* -X104192264Y-131938134D01* -X104190800Y-131953000D01* -X104089200Y-131953000D01* -X104087736Y-131938134D01* -X104083400Y-131923840D01* -X104076358Y-131910666D01* -X104066882Y-131899118D01* -X104055334Y-131889642D01* -X104042160Y-131882600D01* -X104027866Y-131878264D01* -X104013000Y-131876800D01* -X103632000Y-131876800D01* -X103617134Y-131878264D01* -X103602840Y-131882600D01* -X103589666Y-131889642D01* -X103578118Y-131899118D01* -X103568642Y-131910666D01* -X103561600Y-131923840D01* -X103557264Y-131938134D01* -X103555800Y-131953000D01* -X102184200Y-131953000D01* -X102182736Y-131938134D01* -X102178400Y-131923840D01* -X102171358Y-131910666D01* -X102161882Y-131899118D01* -X102150334Y-131889642D01* -X102137160Y-131882600D01* -X102122866Y-131878264D01* -X102108000Y-131876800D01* -X101727000Y-131876800D01* -X101712134Y-131878264D01* -X101697840Y-131882600D01* -X101684666Y-131889642D01* -X101673118Y-131899118D01* -X101663642Y-131910666D01* -X101656600Y-131923840D01* -X101652264Y-131938134D01* -X101650800Y-131953000D01* -X101549200Y-131953000D01* -X101547736Y-131938134D01* -X101543400Y-131923840D01* -X101536358Y-131910666D01* -X101526882Y-131899118D01* -X101515334Y-131889642D01* -X101502160Y-131882600D01* -X101487866Y-131878264D01* -X101473000Y-131876800D01* -X101092000Y-131876800D01* -X101077134Y-131878264D01* -X101062840Y-131882600D01* -X101049666Y-131889642D01* -X101038118Y-131899118D01* -X101028642Y-131910666D01* -X101021600Y-131923840D01* -X101017264Y-131938134D01* -X101015800Y-131953000D01* -X99644200Y-131953000D01* -X99642736Y-131938134D01* -X99638400Y-131923840D01* -X99631358Y-131910666D01* -X99621882Y-131899118D01* -X99610334Y-131889642D01* -X99597160Y-131882600D01* -X99582866Y-131878264D01* -X99568000Y-131876800D01* -X99187000Y-131876800D01* -X99172134Y-131878264D01* -X99157840Y-131882600D01* -X99144666Y-131889642D01* -X99133118Y-131899118D01* -X99123642Y-131910666D01* -X99116600Y-131923840D01* -X99112264Y-131938134D01* -X99110800Y-131953000D01* -X99009200Y-131953000D01* -X99007736Y-131938134D01* -X99003400Y-131923840D01* -X98996358Y-131910666D01* -X98986882Y-131899118D01* -X98975334Y-131889642D01* -X98962160Y-131882600D01* -X98947866Y-131878264D01* -X98933000Y-131876800D01* -X98552000Y-131876800D01* -X98537134Y-131878264D01* -X98522840Y-131882600D01* -X98509666Y-131889642D01* -X98498118Y-131899118D01* -X98488642Y-131910666D01* -X98481600Y-131923840D01* -X98477264Y-131938134D01* -X98475800Y-131953000D01* -X97104200Y-131953000D01* -X97102736Y-131938134D01* -X97098400Y-131923840D01* -X97091358Y-131910666D01* -X97081882Y-131899118D01* -X97070334Y-131889642D01* -X97057160Y-131882600D01* -X97042866Y-131878264D01* -X97028000Y-131876800D01* -X96647000Y-131876800D01* -X96632134Y-131878264D01* -X96617840Y-131882600D01* -X96604666Y-131889642D01* -X96593118Y-131899118D01* -X96583642Y-131910666D01* -X96576600Y-131923840D01* -X96572264Y-131938134D01* -X96570800Y-131953000D01* -X96469200Y-131953000D01* -X96467736Y-131938134D01* -X96463400Y-131923840D01* -X96456358Y-131910666D01* -X96446882Y-131899118D01* -X96435334Y-131889642D01* -X96422160Y-131882600D01* -X96407866Y-131878264D01* -X96393000Y-131876800D01* -X96012000Y-131876800D01* -X95997134Y-131878264D01* -X95982840Y-131882600D01* -X95969666Y-131889642D01* -X95958118Y-131899118D01* -X95948642Y-131910666D01* -X95941600Y-131923840D01* -X95937264Y-131938134D01* -X95935800Y-131953000D01* -X94564200Y-131953000D01* -X94562736Y-131938134D01* -X94558400Y-131923840D01* -X94551358Y-131910666D01* -X94541882Y-131899118D01* -X94530334Y-131889642D01* -X94517160Y-131882600D01* -X94502866Y-131878264D01* -X94488000Y-131876800D01* -X94107000Y-131876800D01* -X94092134Y-131878264D01* -X94077840Y-131882600D01* -X94064666Y-131889642D01* -X94053118Y-131899118D01* -X94043642Y-131910666D01* -X94036600Y-131923840D01* -X94032264Y-131938134D01* -X94030800Y-131953000D01* -X93929200Y-131953000D01* -X93927736Y-131938134D01* -X93923400Y-131923840D01* -X93916358Y-131910666D01* -X93906882Y-131899118D01* -X93895334Y-131889642D01* -X93882160Y-131882600D01* -X93867866Y-131878264D01* -X93853000Y-131876800D01* -X93472000Y-131876800D01* -X93457134Y-131878264D01* -X93442840Y-131882600D01* -X93429666Y-131889642D01* -X93418118Y-131899118D01* -X93408642Y-131910666D01* -X93401600Y-131923840D01* -X93397264Y-131938134D01* -X93395800Y-131953000D01* -X92024200Y-131953000D01* -X92022736Y-131938134D01* -X92018400Y-131923840D01* -X92011358Y-131910666D01* -X92001882Y-131899118D01* -X91990334Y-131889642D01* -X91977160Y-131882600D01* -X91962866Y-131878264D01* -X91948000Y-131876800D01* -X91567000Y-131876800D01* -X91552134Y-131878264D01* -X91537840Y-131882600D01* -X91524666Y-131889642D01* -X91513118Y-131899118D01* -X91503642Y-131910666D01* -X91496600Y-131923840D01* -X91492264Y-131938134D01* -X91490800Y-131953000D01* -X91389200Y-131953000D01* -X91387736Y-131938134D01* -X91383400Y-131923840D01* -X91376358Y-131910666D01* -X91366882Y-131899118D01* -X91355334Y-131889642D01* -X91342160Y-131882600D01* -X91327866Y-131878264D01* -X91313000Y-131876800D01* -X90932000Y-131876800D01* -X90917134Y-131878264D01* -X90902840Y-131882600D01* -X90889666Y-131889642D01* -X90878118Y-131899118D01* -X90868642Y-131910666D01* -X90861600Y-131923840D01* -X90857264Y-131938134D01* -X90855800Y-131953000D01* -X89484200Y-131953000D01* -X89482736Y-131938134D01* -X89478400Y-131923840D01* -X89471358Y-131910666D01* -X89461882Y-131899118D01* -X89450334Y-131889642D01* -X89437160Y-131882600D01* -X89422866Y-131878264D01* -X89408000Y-131876800D01* -X89027000Y-131876800D01* -X89012134Y-131878264D01* -X88997840Y-131882600D01* -X88984666Y-131889642D01* -X88973118Y-131899118D01* -X88963642Y-131910666D01* -X88956600Y-131923840D01* -X88952264Y-131938134D01* -X88950800Y-131953000D01* -X88849200Y-131953000D01* -X88847736Y-131938134D01* -X88843400Y-131923840D01* -X88836358Y-131910666D01* -X88826882Y-131899118D01* -X88815334Y-131889642D01* -X88802160Y-131882600D01* -X88787866Y-131878264D01* -X88773000Y-131876800D01* -X88392000Y-131876800D01* -X88377134Y-131878264D01* -X88362840Y-131882600D01* -X88349666Y-131889642D01* -X88338118Y-131899118D01* -X88328642Y-131910666D01* -X88321600Y-131923840D01* -X88317264Y-131938134D01* -X88315800Y-131953000D01* -X86944200Y-131953000D01* -X86942736Y-131938134D01* -X86938400Y-131923840D01* -X86931358Y-131910666D01* -X86921882Y-131899118D01* -X86910334Y-131889642D01* -X86897160Y-131882600D01* -X86882866Y-131878264D01* -X86868000Y-131876800D01* -X86487000Y-131876800D01* -X86472134Y-131878264D01* -X86457840Y-131882600D01* -X86444666Y-131889642D01* -X86433118Y-131899118D01* -X86423642Y-131910666D01* -X86416600Y-131923840D01* -X86412264Y-131938134D01* -X86410800Y-131953000D01* -X86309200Y-131953000D01* -X86307736Y-131938134D01* -X86303400Y-131923840D01* -X86296358Y-131910666D01* -X86286882Y-131899118D01* -X86275334Y-131889642D01* -X86262160Y-131882600D01* -X86247866Y-131878264D01* -X86233000Y-131876800D01* -X85852000Y-131876800D01* -X85837134Y-131878264D01* -X85822840Y-131882600D01* -X85809666Y-131889642D01* -X85798118Y-131899118D01* -X85788642Y-131910666D01* -X85781600Y-131923840D01* -X85777264Y-131938134D01* -X85775800Y-131953000D01* -X84404200Y-131953000D01* -X84402736Y-131938134D01* -X84398400Y-131923840D01* -X84391358Y-131910666D01* -X84381882Y-131899118D01* -X84370334Y-131889642D01* -X84357160Y-131882600D01* -X84342866Y-131878264D01* -X84328000Y-131876800D01* -X83947000Y-131876800D01* -X83932134Y-131878264D01* -X83917840Y-131882600D01* -X83904666Y-131889642D01* -X83893118Y-131899118D01* -X83883642Y-131910666D01* -X83876600Y-131923840D01* -X83872264Y-131938134D01* -X83870800Y-131953000D01* -X83769200Y-131953000D01* -X83767736Y-131938134D01* -X83763400Y-131923840D01* -X83756358Y-131910666D01* -X83746882Y-131899118D01* -X83735334Y-131889642D01* -X83722160Y-131882600D01* -X83707866Y-131878264D01* -X83693000Y-131876800D01* -X83312000Y-131876800D01* -X83297134Y-131878264D01* -X83282840Y-131882600D01* -X83269666Y-131889642D01* -X83258118Y-131899118D01* -X83248642Y-131910666D01* -X83241600Y-131923840D01* -X83237264Y-131938134D01* -X83235800Y-131953000D01* -X81864200Y-131953000D01* -X81862736Y-131938134D01* -X81858400Y-131923840D01* -X81851358Y-131910666D01* -X81841882Y-131899118D01* -X81830334Y-131889642D01* -X81817160Y-131882600D01* -X81802866Y-131878264D01* -X81788000Y-131876800D01* -X81407000Y-131876800D01* -X81392134Y-131878264D01* -X81377840Y-131882600D01* -X81364666Y-131889642D01* -X81353118Y-131899118D01* -X81343642Y-131910666D01* -X81336600Y-131923840D01* -X81332264Y-131938134D01* -X81330800Y-131953000D01* -X81229200Y-131953000D01* -X81227736Y-131938134D01* -X81223400Y-131923840D01* -X81216358Y-131910666D01* -X81206882Y-131899118D01* -X81195334Y-131889642D01* -X81182160Y-131882600D01* -X81167866Y-131878264D01* -X81153000Y-131876800D01* -X80772000Y-131876800D01* -X80757134Y-131878264D01* -X80742840Y-131882600D01* -X80729666Y-131889642D01* -X80718118Y-131899118D01* -X80708642Y-131910666D01* -X80701600Y-131923840D01* -X80697264Y-131938134D01* -X80695800Y-131953000D01* -X79324200Y-131953000D01* -X79322736Y-131938134D01* -X79318400Y-131923840D01* -X79311358Y-131910666D01* -X79301882Y-131899118D01* -X79290334Y-131889642D01* -X79277160Y-131882600D01* -X79262866Y-131878264D01* -X79248000Y-131876800D01* -X78867000Y-131876800D01* -X78852134Y-131878264D01* -X78837840Y-131882600D01* -X78824666Y-131889642D01* -X78813118Y-131899118D01* -X78803642Y-131910666D01* -X78796600Y-131923840D01* -X78792264Y-131938134D01* -X78790800Y-131953000D01* -X78689200Y-131953000D01* -X78687736Y-131938134D01* -X78683400Y-131923840D01* -X78676358Y-131910666D01* -X78666882Y-131899118D01* -X78655334Y-131889642D01* -X78642160Y-131882600D01* -X78627866Y-131878264D01* -X78613000Y-131876800D01* -X78232000Y-131876800D01* -X78217134Y-131878264D01* -X78202840Y-131882600D01* -X78189666Y-131889642D01* -X78178118Y-131899118D01* -X78168642Y-131910666D01* -X78161600Y-131923840D01* -X78157264Y-131938134D01* -X78155800Y-131953000D01* -X76784200Y-131953000D01* -X76782736Y-131938134D01* -X76778400Y-131923840D01* -X76771358Y-131910666D01* -X76761882Y-131899118D01* -X76750334Y-131889642D01* -X76737160Y-131882600D01* -X76722866Y-131878264D01* -X76708000Y-131876800D01* -X76327000Y-131876800D01* -X76312134Y-131878264D01* -X76297840Y-131882600D01* -X76284666Y-131889642D01* -X76273118Y-131899118D01* -X76263642Y-131910666D01* -X76256600Y-131923840D01* -X76252264Y-131938134D01* -X76250800Y-131953000D01* -X76149200Y-131953000D01* -X76147736Y-131938134D01* -X76143400Y-131923840D01* -X76136358Y-131910666D01* -X76126882Y-131899118D01* -X76115334Y-131889642D01* -X76102160Y-131882600D01* -X76087866Y-131878264D01* -X76073000Y-131876800D01* -X75692000Y-131876800D01* -X75677134Y-131878264D01* -X75662840Y-131882600D01* -X75649666Y-131889642D01* -X75638118Y-131899118D01* -X75628642Y-131910666D01* -X75621600Y-131923840D01* -X75617264Y-131938134D01* -X75615800Y-131953000D01* -X74498200Y-131953000D01* -X74498200Y-131572000D01* -X74496736Y-131557134D01* -X74492400Y-131542840D01* -X74485358Y-131529666D01* -X74475882Y-131518118D01* -X74464334Y-131508642D01* -X74451160Y-131501600D01* -X74436866Y-131497264D01* -X74422000Y-131495800D01* -X48140544Y-131495800D01* -X47522951Y-131372281D01* -X47066113Y-131143862D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131655870Y-131417702D01* -X131708247Y-131496090D01* -X131774910Y-131562753D01* -X131853298Y-131615130D01* -X131940397Y-131651208D01* -X132032862Y-131669600D01* -X132127138Y-131669600D01* -X132219603Y-131651208D01* -X132306702Y-131615130D01* -X132385090Y-131562753D01* -X132451753Y-131496090D01* -X132504130Y-131417702D01* -X132540208Y-131330603D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134195870Y-131417702D01* -X134248247Y-131496090D01* -X134314910Y-131562753D01* -X134393298Y-131615130D01* -X134480397Y-131651208D01* -X134572862Y-131669600D01* -X134667138Y-131669600D01* -X134759603Y-131651208D01* -X134846702Y-131615130D01* -X134925090Y-131562753D01* -X134991753Y-131496090D01* -X135044130Y-131417702D01* -X135080208Y-131330603D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X47066113Y-131143862D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46177200Y-129532456D01* -X46177200Y-129478088D01* -X75571400Y-129478088D01* -X75571400Y-129601912D01* -X75595556Y-129723356D01* -X75642941Y-129837754D01* -X75711734Y-129940709D01* -X75799291Y-130028266D01* -X75902246Y-130097059D01* -X76016644Y-130144444D01* -X76138088Y-130168600D01* -X76261912Y-130168600D01* -X76383356Y-130144444D01* -X76497754Y-130097059D01* -X76600709Y-130028266D01* -X76688266Y-129940709D01* -X76757059Y-129837754D01* -X76804444Y-129723356D01* -X76828600Y-129601912D01* -X76828600Y-129478088D01* -X116465400Y-129478088D01* -X116465400Y-129601912D01* -X116489556Y-129723356D01* -X116536941Y-129837754D01* -X116605734Y-129940709D01* -X116693291Y-130028266D01* -X116796246Y-130097059D01* -X116910644Y-130144444D01* -X117032088Y-130168600D01* -X117155912Y-130168600D01* -X117277356Y-130144444D01* -X117391754Y-130097059D01* -X117494709Y-130028266D01* -X117582266Y-129940709D01* -X117651059Y-129837754D01* -X117698444Y-129723356D01* -X117722600Y-129601912D01* -X117722600Y-129478088D01* -X118497400Y-129478088D01* -X118497400Y-129601912D01* -X118521556Y-129723356D01* -X118568941Y-129837754D01* -X118637734Y-129940709D01* -X118725291Y-130028266D01* -X118828246Y-130097059D01* -X118942644Y-130144444D01* -X119064088Y-130168600D01* -X119187912Y-130168600D01* -X119309356Y-130144444D01* -X119423754Y-130097059D01* -X119526709Y-130028266D01* -X119614266Y-129940709D01* -X119683059Y-129837754D01* -X119730444Y-129723356D01* -X119735798Y-129696434D01* -X136169400Y-129696434D01* -X136169400Y-129891566D01* -X136207468Y-130082947D01* -X136282142Y-130263225D01* -X136390551Y-130425471D01* -X136528529Y-130563449D01* -X136690775Y-130671858D01* -X136871053Y-130746532D01* -X137062434Y-130784600D01* -X137257566Y-130784600D01* -X137448947Y-130746532D01* -X137629225Y-130671858D01* -X137791471Y-130563449D01* -X137929449Y-130425471D01* -X138037858Y-130263225D01* -X138112532Y-130082947D01* -X138150600Y-129891566D01* -X138150600Y-129696434D01* -X138112532Y-129505053D01* -X138037858Y-129324775D01* -X137929449Y-129162529D01* -X137791471Y-129024551D01* -X137629225Y-128916142D01* -X137448947Y-128841468D01* -X137257566Y-128803400D01* -X137062434Y-128803400D01* -X136871053Y-128841468D01* -X136690775Y-128916142D01* -X136528529Y-129024551D01* -X136390551Y-129162529D01* -X136282142Y-129324775D01* -X136207468Y-129505053D01* -X136169400Y-129696434D01* -X119735798Y-129696434D01* -X119754600Y-129601912D01* -X119754600Y-129478088D01* -X119730444Y-129356644D01* -X119683059Y-129242246D01* -X119614266Y-129139291D01* -X119526709Y-129051734D01* -X119423754Y-128982941D01* -X119309356Y-128935556D01* -X119187912Y-128911400D01* -X119064088Y-128911400D01* -X118942644Y-128935556D01* -X118828246Y-128982941D01* -X118725291Y-129051734D01* -X118637734Y-129139291D01* -X118568941Y-129242246D01* -X118521556Y-129356644D01* -X118497400Y-129478088D01* -X117722600Y-129478088D01* -X117698444Y-129356644D01* -X117651059Y-129242246D01* -X117582266Y-129139291D01* -X117494709Y-129051734D01* -X117391754Y-128982941D01* -X117277356Y-128935556D01* -X117155912Y-128911400D01* -X117032088Y-128911400D01* -X116910644Y-128935556D01* -X116796246Y-128982941D01* -X116693291Y-129051734D01* -X116605734Y-129139291D01* -X116536941Y-129242246D01* -X116489556Y-129356644D01* -X116465400Y-129478088D01* -X76828600Y-129478088D01* -X76804444Y-129356644D01* -X76757059Y-129242246D01* -X76688266Y-129139291D01* -X76600709Y-129051734D01* -X76497754Y-128982941D01* -X76383356Y-128935556D01* -X76261912Y-128911400D01* -X76138088Y-128911400D01* -X76016644Y-128935556D01* -X75902246Y-128982941D01* -X75799291Y-129051734D01* -X75711734Y-129139291D01* -X75642941Y-129242246D01* -X75595556Y-129356644D01* -X75571400Y-129478088D01* -X46177200Y-129478088D01* -X46177200Y-128208088D01* -X76714400Y-128208088D01* -X76714400Y-128331912D01* -X76738556Y-128453356D01* -X76785941Y-128567754D01* -X76854734Y-128670709D01* -X76942291Y-128758266D01* -X77045246Y-128827059D01* -X77159644Y-128874444D01* -X77281088Y-128898600D01* -X77404912Y-128898600D01* -X77526356Y-128874444D01* -X77640754Y-128827059D01* -X77743709Y-128758266D01* -X77831266Y-128670709D01* -X77900059Y-128567754D01* -X77947444Y-128453356D01* -X77971600Y-128331912D01* -X77971600Y-128208088D01* -X77947444Y-128086644D01* -X77900059Y-127972246D01* -X77853698Y-127902862D01* -X112671400Y-127902862D01* -X112671400Y-127997138D01* -X112689792Y-128089603D01* -X112725870Y-128176702D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113553158Y-128208088D01* -X137674400Y-128208088D01* -X137674400Y-128331912D01* -X137698556Y-128453356D01* -X137745941Y-128567754D01* -X137814734Y-128670709D01* -X137902291Y-128758266D01* -X138005246Y-128827059D01* -X138119644Y-128874444D01* -X138241088Y-128898600D01* -X138364912Y-128898600D01* -X138486356Y-128874444D01* -X138600754Y-128827059D01* -X138703709Y-128758266D01* -X138791266Y-128670709D01* -X138860059Y-128567754D01* -X138907444Y-128453356D01* -X138931600Y-128331912D01* -X138931600Y-128208088D01* -X138907444Y-128086644D01* -X138860059Y-127972246D01* -X138791266Y-127869291D01* -X138703709Y-127781734D01* -X138600754Y-127712941D01* -X138486356Y-127665556D01* -X138364912Y-127641400D01* -X138241088Y-127641400D01* -X138119644Y-127665556D01* -X138005246Y-127712941D01* -X137902291Y-127781734D01* -X137814734Y-127869291D01* -X137745941Y-127972246D01* -X137698556Y-128086644D01* -X137674400Y-128208088D01* -X113553158Y-128208088D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113610208Y-127810397D01* -X113574130Y-127723298D01* -X113521753Y-127644910D01* -X113455090Y-127578247D01* -X113376702Y-127525870D01* -X113289603Y-127489792D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112725870Y-127723298D01* -X112689792Y-127810397D01* -X112671400Y-127902862D01* -X77853698Y-127902862D01* -X77831266Y-127869291D01* -X77743709Y-127781734D01* -X77640754Y-127712941D01* -X77526356Y-127665556D01* -X77404912Y-127641400D01* -X77281088Y-127641400D01* -X77159644Y-127665556D01* -X77045246Y-127712941D01* -X76942291Y-127781734D01* -X76854734Y-127869291D01* -X76785941Y-127972246D01* -X76738556Y-128086644D01* -X76714400Y-128208088D01* -X46177200Y-128208088D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48865602Y-127333862D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84739792Y-127520603D01* -X84775870Y-127607702D01* -X84828247Y-127686090D01* -X84894910Y-127752753D01* -X84973298Y-127805130D01* -X85060397Y-127841208D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85624130Y-127607702D01* -X85660208Y-127520603D01* -X85678600Y-127428138D01* -X85678600Y-127333862D01* -X85660208Y-127241397D01* -X85624130Y-127154298D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85381359Y-126938088D01* -X136531400Y-126938088D01* -X136531400Y-127061912D01* -X136555556Y-127183356D01* -X136602941Y-127297754D01* -X136671734Y-127400709D01* -X136759291Y-127488266D01* -X136862246Y-127557059D01* -X136976644Y-127604444D01* -X137098088Y-127628600D01* -X137221912Y-127628600D01* -X137343356Y-127604444D01* -X137457754Y-127557059D01* -X137560709Y-127488266D01* -X137648266Y-127400709D01* -X137717059Y-127297754D01* -X137764444Y-127183356D01* -X137788600Y-127061912D01* -X137788600Y-126938088D01* -X137785153Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X137785153Y-126920754D01* -X137764444Y-126816644D01* -X137717059Y-126702246D01* -X137648266Y-126599291D01* -X137560709Y-126511734D01* -X137457754Y-126442941D01* -X137343356Y-126395556D01* -X137221912Y-126371400D01* -X137098088Y-126371400D01* -X136976644Y-126395556D01* -X136862246Y-126442941D01* -X136759291Y-126511734D01* -X136671734Y-126599291D01* -X136602941Y-126702246D01* -X136555556Y-126816644D01* -X136531400Y-126938088D01* -X85381359Y-126938088D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X48865602Y-127333862D01* -X48906680Y-127234693D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48914151Y-126802862D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79539603Y-127310208D01* -X79626702Y-127274130D01* -X79705090Y-127221753D01* -X79771753Y-127155090D01* -X79824130Y-127076702D01* -X79860208Y-126989603D01* -X79878600Y-126897138D01* -X79878600Y-126802862D01* -X80221400Y-126802862D01* -X80221400Y-126897138D01* -X80239792Y-126989603D01* -X80275870Y-127076702D01* -X80328247Y-127155090D01* -X80394910Y-127221753D01* -X80473298Y-127274130D01* -X80560397Y-127310208D01* -X80652862Y-127328600D01* -X80747138Y-127328600D01* -X80839603Y-127310208D01* -X80926702Y-127274130D01* -X81005090Y-127221753D01* -X81071753Y-127155090D01* -X81124130Y-127076702D01* -X81160208Y-126989603D01* -X81178600Y-126897138D01* -X81178600Y-126802862D01* -X81521400Y-126802862D01* -X81521400Y-126897138D01* -X81539792Y-126989603D01* -X81575870Y-127076702D01* -X81628247Y-127155090D01* -X81694910Y-127221753D01* -X81773298Y-127274130D01* -X81860397Y-127310208D01* -X81952862Y-127328600D01* -X82047138Y-127328600D01* -X82139603Y-127310208D01* -X82226702Y-127274130D01* -X82305090Y-127221753D01* -X82371753Y-127155090D01* -X82424130Y-127076702D01* -X82460208Y-126989603D01* -X82478600Y-126897138D01* -X82478600Y-126802862D01* -X82821400Y-126802862D01* -X82821400Y-126897138D01* -X82839792Y-126989603D01* -X82875870Y-127076702D01* -X82928247Y-127155090D01* -X82994910Y-127221753D01* -X83073298Y-127274130D01* -X83160397Y-127310208D01* -X83252862Y-127328600D01* -X83347138Y-127328600D01* -X83439603Y-127310208D01* -X83526702Y-127274130D01* -X83605090Y-127221753D01* -X83671753Y-127155090D01* -X83724130Y-127076702D01* -X83760208Y-126989603D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83526702Y-126425870D01* -X83439603Y-126389792D01* -X83347138Y-126371400D01* -X83252862Y-126371400D01* -X83160397Y-126389792D01* -X83073298Y-126425870D01* -X82994910Y-126478247D01* -X82928247Y-126544910D01* -X82875870Y-126623298D01* -X82839792Y-126710397D01* -X82821400Y-126802862D01* -X82478600Y-126802862D01* -X82460208Y-126710397D01* -X82424130Y-126623298D01* -X82371753Y-126544910D01* -X82305090Y-126478247D01* -X82226702Y-126425870D01* -X82139603Y-126389792D01* -X82047138Y-126371400D01* -X81952862Y-126371400D01* -X81860397Y-126389792D01* -X81773298Y-126425870D01* -X81694910Y-126478247D01* -X81628247Y-126544910D01* -X81575870Y-126623298D01* -X81539792Y-126710397D01* -X81521400Y-126802862D01* -X81178600Y-126802862D01* -X81160208Y-126710397D01* -X81124130Y-126623298D01* -X81071753Y-126544910D01* -X81005090Y-126478247D01* -X80926702Y-126425870D01* -X80839603Y-126389792D01* -X80747138Y-126371400D01* -X80652862Y-126371400D01* -X80560397Y-126389792D01* -X80473298Y-126425870D01* -X80394910Y-126478247D01* -X80328247Y-126544910D01* -X80275870Y-126623298D01* -X80239792Y-126710397D01* -X80221400Y-126802862D01* -X79878600Y-126802862D01* -X79860208Y-126710397D01* -X79824130Y-126623298D01* -X79771753Y-126544910D01* -X79705090Y-126478247D01* -X79626702Y-126425870D01* -X79539603Y-126389792D01* -X79447138Y-126371400D01* -X79352862Y-126371400D01* -X79260397Y-126389792D01* -X79173298Y-126425870D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X48914151Y-126802862D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-126052862D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78289792Y-126239603D01* -X78325870Y-126326702D01* -X78378247Y-126405090D01* -X78444910Y-126471753D01* -X78523298Y-126524130D01* -X78610397Y-126560208D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79121753Y-126405090D01* -X79174130Y-126326702D01* -X79210208Y-126239603D01* -X79228600Y-126147138D01* -X79228600Y-126052862D01* -X79571400Y-126052862D01* -X79571400Y-126147138D01* -X79589792Y-126239603D01* -X79625870Y-126326702D01* -X79678247Y-126405090D01* -X79744910Y-126471753D01* -X79823298Y-126524130D01* -X79910397Y-126560208D01* -X80002862Y-126578600D01* -X80097138Y-126578600D01* -X80189603Y-126560208D01* -X80276702Y-126524130D01* -X80355090Y-126471753D01* -X80421753Y-126405090D01* -X80474130Y-126326702D01* -X80510208Y-126239603D01* -X80528600Y-126147138D01* -X80528600Y-126052862D01* -X80871400Y-126052862D01* -X80871400Y-126147138D01* -X80889792Y-126239603D01* -X80925870Y-126326702D01* -X80978247Y-126405090D01* -X81044910Y-126471753D01* -X81123298Y-126524130D01* -X81210397Y-126560208D01* -X81302862Y-126578600D01* -X81397138Y-126578600D01* -X81489603Y-126560208D01* -X81576702Y-126524130D01* -X81655090Y-126471753D01* -X81721753Y-126405090D01* -X81774130Y-126326702D01* -X81810208Y-126239603D01* -X81828600Y-126147138D01* -X81828600Y-126052862D01* -X82171400Y-126052862D01* -X82171400Y-126147138D01* -X82189792Y-126239603D01* -X82225870Y-126326702D01* -X82278247Y-126405090D01* -X82344910Y-126471753D01* -X82423298Y-126524130D01* -X82510397Y-126560208D01* -X82602862Y-126578600D01* -X82697138Y-126578600D01* -X82789603Y-126560208D01* -X82876702Y-126524130D01* -X82955090Y-126471753D01* -X83021753Y-126405090D01* -X83074130Y-126326702D01* -X83110208Y-126239603D01* -X83128600Y-126147138D01* -X83128600Y-126052862D01* -X83125662Y-126038088D01* -X129721400Y-126038088D01* -X129721400Y-126161912D01* -X129745556Y-126283356D01* -X129792941Y-126397754D01* -X129861734Y-126500709D01* -X129949291Y-126588266D01* -X130052246Y-126657059D01* -X130166644Y-126704444D01* -X130288088Y-126728600D01* -X130411912Y-126728600D01* -X130533356Y-126704444D01* -X130647754Y-126657059D01* -X130750709Y-126588266D01* -X130838266Y-126500709D01* -X130907059Y-126397754D01* -X130954444Y-126283356D01* -X130978600Y-126161912D01* -X130978600Y-126038088D01* -X132121400Y-126038088D01* -X132121400Y-126161912D01* -X132145556Y-126283356D01* -X132192941Y-126397754D01* -X132261734Y-126500709D01* -X132349291Y-126588266D01* -X132452246Y-126657059D01* -X132566644Y-126704444D01* -X132688088Y-126728600D01* -X132811912Y-126728600D01* -X132933356Y-126704444D01* -X133047754Y-126657059D01* -X133150709Y-126588266D01* -X133238266Y-126500709D01* -X133307059Y-126397754D01* -X133354444Y-126283356D01* -X133378600Y-126161912D01* -X133378600Y-126038088D01* -X133368655Y-125988088D01* -X134571400Y-125988088D01* -X134571400Y-126111912D01* -X134595556Y-126233356D01* -X134642941Y-126347754D01* -X134711734Y-126450709D01* -X134799291Y-126538266D01* -X134902246Y-126607059D01* -X135016644Y-126654444D01* -X135138088Y-126678600D01* -X135261912Y-126678600D01* -X135383356Y-126654444D01* -X135497754Y-126607059D01* -X135600709Y-126538266D01* -X135688266Y-126450709D01* -X135757059Y-126347754D01* -X135804444Y-126233356D01* -X135828600Y-126111912D01* -X135828600Y-125988088D01* -X135804444Y-125866644D01* -X135757059Y-125752246D01* -X135688266Y-125649291D01* -X135600709Y-125561734D01* -X135497754Y-125492941D01* -X135383356Y-125445556D01* -X135261912Y-125421400D01* -X135138088Y-125421400D01* -X135016644Y-125445556D01* -X134902246Y-125492941D01* -X134799291Y-125561734D01* -X134711734Y-125649291D01* -X134642941Y-125752246D01* -X134595556Y-125866644D01* -X134571400Y-125988088D01* -X133368655Y-125988088D01* -X133354444Y-125916644D01* -X133307059Y-125802246D01* -X133238266Y-125699291D01* -X133150709Y-125611734D01* -X133047754Y-125542941D01* -X132933356Y-125495556D01* -X132811912Y-125471400D01* -X132688088Y-125471400D01* -X132566644Y-125495556D01* -X132452246Y-125542941D01* -X132349291Y-125611734D01* -X132261734Y-125699291D01* -X132192941Y-125802246D01* -X132145556Y-125916644D01* -X132121400Y-126038088D01* -X130978600Y-126038088D01* -X130954444Y-125916644D01* -X130907059Y-125802246D01* -X130838266Y-125699291D01* -X130750709Y-125611734D01* -X130647754Y-125542941D01* -X130533356Y-125495556D01* -X130411912Y-125471400D01* -X130288088Y-125471400D01* -X130166644Y-125495556D01* -X130052246Y-125542941D01* -X129949291Y-125611734D01* -X129861734Y-125699291D01* -X129792941Y-125802246D01* -X129745556Y-125916644D01* -X129721400Y-126038088D01* -X83125662Y-126038088D01* -X83110208Y-125960397D01* -X83074130Y-125873298D01* -X83021753Y-125794910D01* -X82955090Y-125728247D01* -X82876702Y-125675870D01* -X82789603Y-125639792D01* -X82697138Y-125621400D01* -X82602862Y-125621400D01* -X82510397Y-125639792D01* -X82423298Y-125675870D01* -X82344910Y-125728247D01* -X82278247Y-125794910D01* -X82225870Y-125873298D01* -X82189792Y-125960397D01* -X82171400Y-126052862D01* -X81828600Y-126052862D01* -X81810208Y-125960397D01* -X81774130Y-125873298D01* -X81721753Y-125794910D01* -X81655090Y-125728247D01* -X81576702Y-125675870D01* -X81489603Y-125639792D01* -X81397138Y-125621400D01* -X81302862Y-125621400D01* -X81210397Y-125639792D01* -X81123298Y-125675870D01* -X81044910Y-125728247D01* -X80978247Y-125794910D01* -X80925870Y-125873298D01* -X80889792Y-125960397D01* -X80871400Y-126052862D01* -X80528600Y-126052862D01* -X80510208Y-125960397D01* -X80474130Y-125873298D01* -X80421753Y-125794910D01* -X80355090Y-125728247D01* -X80276702Y-125675870D01* -X80189603Y-125639792D01* -X80097138Y-125621400D01* -X80002862Y-125621400D01* -X79910397Y-125639792D01* -X79823298Y-125675870D01* -X79744910Y-125728247D01* -X79678247Y-125794910D01* -X79625870Y-125873298D01* -X79589792Y-125960397D01* -X79571400Y-126052862D01* -X79228600Y-126052862D01* -X79210208Y-125960397D01* -X79174130Y-125873298D01* -X79121753Y-125794910D01* -X79055090Y-125728247D01* -X78976702Y-125675870D01* -X78889603Y-125639792D01* -X78797138Y-125621400D01* -X78702862Y-125621400D01* -X78610397Y-125639792D01* -X78523298Y-125675870D01* -X78444910Y-125728247D01* -X78378247Y-125794910D01* -X78325870Y-125873298D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X46177200Y-126052862D01* -X46177200Y-125352862D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X117024130Y-125626702D01* -X117060208Y-125539603D01* -X117078600Y-125447138D01* -X117078600Y-125352862D01* -X117060208Y-125260397D01* -X117024130Y-125173298D01* -X116971753Y-125094910D01* -X116905090Y-125028247D01* -X116826702Y-124975870D01* -X116739603Y-124939792D01* -X116647138Y-124921400D01* -X116552862Y-124921400D01* -X116460397Y-124939792D01* -X116373298Y-124975870D01* -X116294910Y-125028247D01* -X116228247Y-125094910D01* -X116175870Y-125173298D01* -X116139792Y-125260397D01* -X116121400Y-125352862D01* -X46177200Y-125352862D01* -X46177200Y-124788088D01* -X128521400Y-124788088D01* -X128521400Y-124911912D01* -X128545556Y-125033356D01* -X128592941Y-125147754D01* -X128661734Y-125250709D01* -X128749291Y-125338266D01* -X128852246Y-125407059D01* -X128966644Y-125454444D01* -X129088088Y-125478600D01* -X129211912Y-125478600D01* -X129333356Y-125454444D01* -X129447754Y-125407059D01* -X129550709Y-125338266D01* -X129638266Y-125250709D01* -X129707059Y-125147754D01* -X129754444Y-125033356D01* -X129778600Y-124911912D01* -X129778600Y-124788088D01* -X130921400Y-124788088D01* -X130921400Y-124911912D01* -X130945556Y-125033356D01* -X130992941Y-125147754D01* -X131061734Y-125250709D01* -X131149291Y-125338266D01* -X131252246Y-125407059D01* -X131366644Y-125454444D01* -X131488088Y-125478600D01* -X131611912Y-125478600D01* -X131733356Y-125454444D01* -X131847754Y-125407059D01* -X131950709Y-125338266D01* -X132038266Y-125250709D01* -X132107059Y-125147754D01* -X132154444Y-125033356D01* -X132178600Y-124911912D01* -X132178600Y-124838088D01* -X133371400Y-124838088D01* -X133371400Y-124961912D01* -X133395556Y-125083356D01* -X133442941Y-125197754D01* -X133511734Y-125300709D01* -X133599291Y-125388266D01* -X133702246Y-125457059D01* -X133816644Y-125504444D01* -X133938088Y-125528600D01* -X134061912Y-125528600D01* -X134183356Y-125504444D01* -X134297754Y-125457059D01* -X134400709Y-125388266D01* -X134488266Y-125300709D01* -X134557059Y-125197754D01* -X134604444Y-125083356D01* -X134628600Y-124961912D01* -X134628600Y-124838088D01* -X134604444Y-124716644D01* -X134557059Y-124602246D01* -X134488266Y-124499291D01* -X134400709Y-124411734D01* -X134297754Y-124342941D01* -X134183356Y-124295556D01* -X134061912Y-124271400D01* -X133938088Y-124271400D01* -X133816644Y-124295556D01* -X133702246Y-124342941D01* -X133599291Y-124411734D01* -X133511734Y-124499291D01* -X133442941Y-124602246D01* -X133395556Y-124716644D01* -X133371400Y-124838088D01* -X132178600Y-124838088D01* -X132178600Y-124788088D01* -X132154444Y-124666644D01* -X132107059Y-124552246D01* -X132038266Y-124449291D01* -X131950709Y-124361734D01* -X131847754Y-124292941D01* -X131733356Y-124245556D01* -X131611912Y-124221400D01* -X131488088Y-124221400D01* -X131366644Y-124245556D01* -X131252246Y-124292941D01* -X131149291Y-124361734D01* -X131061734Y-124449291D01* -X130992941Y-124552246D01* -X130945556Y-124666644D01* -X130921400Y-124788088D01* -X129778600Y-124788088D01* -X129754444Y-124666644D01* -X129707059Y-124552246D01* -X129638266Y-124449291D01* -X129550709Y-124361734D01* -X129447754Y-124292941D01* -X129333356Y-124245556D01* -X129211912Y-124221400D01* -X129088088Y-124221400D01* -X128966644Y-124245556D01* -X128852246Y-124292941D01* -X128749291Y-124361734D01* -X128661734Y-124449291D01* -X128592941Y-124552246D01* -X128545556Y-124666644D01* -X128521400Y-124788088D01* -X46177200Y-124788088D01* -X46177200Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77794910Y-124171753D01* -X77873298Y-124224130D01* -X77960397Y-124260208D01* -X78052862Y-124278600D01* -X78147138Y-124278600D01* -X78239603Y-124260208D01* -X78326702Y-124224130D01* -X78405090Y-124171753D01* -X78471753Y-124105090D01* -X78524130Y-124026702D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X86821400Y-123752862D01* -X86821400Y-123847138D01* -X86839792Y-123939603D01* -X86875870Y-124026702D01* -X86928247Y-124105090D01* -X86994910Y-124171753D01* -X87073298Y-124224130D01* -X87160397Y-124260208D01* -X87252862Y-124278600D01* -X87347138Y-124278600D01* -X87439603Y-124260208D01* -X87526702Y-124224130D01* -X87605090Y-124171753D01* -X87671753Y-124105090D01* -X87724130Y-124026702D01* -X87760208Y-123939603D01* -X87778600Y-123847138D01* -X87778600Y-123752862D01* -X96021400Y-123752862D01* -X96021400Y-123847138D01* -X96039792Y-123939603D01* -X96075870Y-124026702D01* -X96128247Y-124105090D01* -X96194910Y-124171753D01* -X96273298Y-124224130D01* -X96360397Y-124260208D01* -X96452862Y-124278600D01* -X96547138Y-124278600D01* -X96639603Y-124260208D01* -X96726702Y-124224130D01* -X96805090Y-124171753D01* -X96871753Y-124105090D01* -X96924130Y-124026702D01* -X96960208Y-123939603D01* -X96978600Y-123847138D01* -X96978600Y-123752862D01* -X105221400Y-123752862D01* -X105221400Y-123847138D01* -X105239792Y-123939603D01* -X105275870Y-124026702D01* -X105328247Y-124105090D01* -X105394910Y-124171753D01* -X105473298Y-124224130D01* -X105560397Y-124260208D01* -X105652862Y-124278600D01* -X105747138Y-124278600D01* -X105839603Y-124260208D01* -X105926702Y-124224130D01* -X106005090Y-124171753D01* -X106071753Y-124105090D01* -X106124130Y-124026702D01* -X106160208Y-123939603D01* -X106178600Y-123847138D01* -X106178600Y-123752862D01* -X106521400Y-123752862D01* -X106521400Y-123847138D01* -X106539792Y-123939603D01* -X106575870Y-124026702D01* -X106628247Y-124105090D01* -X106694910Y-124171753D01* -X106773298Y-124224130D01* -X106860397Y-124260208D01* -X106952862Y-124278600D01* -X107047138Y-124278600D01* -X107139603Y-124260208D01* -X107226702Y-124224130D01* -X107305090Y-124171753D01* -X107371753Y-124105090D01* -X107424130Y-124026702D01* -X107460208Y-123939603D01* -X107478600Y-123847138D01* -X107478600Y-123752862D01* -X107821400Y-123752862D01* -X107821400Y-123847138D01* -X107839792Y-123939603D01* -X107875870Y-124026702D01* -X107928247Y-124105090D01* -X107994910Y-124171753D01* -X108073298Y-124224130D01* -X108160397Y-124260208D01* -X108252862Y-124278600D01* -X108347138Y-124278600D01* -X108439603Y-124260208D01* -X108526702Y-124224130D01* -X108605090Y-124171753D01* -X108671753Y-124105090D01* -X108724130Y-124026702D01* -X108760208Y-123939603D01* -X108778600Y-123847138D01* -X108778600Y-123752862D01* -X108760208Y-123660397D01* -X108736376Y-123602862D01* -X117321400Y-123602862D01* -X117321400Y-123697138D01* -X117339792Y-123789603D01* -X117375870Y-123876702D01* -X117428247Y-123955090D01* -X117494910Y-124021753D01* -X117573298Y-124074130D01* -X117660397Y-124110208D01* -X117752862Y-124128600D01* -X117847138Y-124128600D01* -X117939603Y-124110208D01* -X118026702Y-124074130D01* -X118105090Y-124021753D01* -X118171753Y-123955090D01* -X118224130Y-123876702D01* -X118260208Y-123789603D01* -X118278600Y-123697138D01* -X118278600Y-123602862D01* -X118260208Y-123510397D01* -X118224130Y-123423298D01* -X118171753Y-123344910D01* -X118105090Y-123278247D01* -X118026702Y-123225870D01* -X117939603Y-123189792D01* -X117847138Y-123171400D01* -X117752862Y-123171400D01* -X117660397Y-123189792D01* -X117573298Y-123225870D01* -X117494910Y-123278247D01* -X117428247Y-123344910D01* -X117375870Y-123423298D01* -X117339792Y-123510397D01* -X117321400Y-123602862D01* -X108736376Y-123602862D01* -X108724130Y-123573298D01* -X108671753Y-123494910D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108073298Y-123375870D01* -X107994910Y-123428247D01* -X107928247Y-123494910D01* -X107875870Y-123573298D01* -X107839792Y-123660397D01* -X107821400Y-123752862D01* -X107478600Y-123752862D01* -X107460208Y-123660397D01* -X107424130Y-123573298D01* -X107371753Y-123494910D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106773298Y-123375870D01* -X106694910Y-123428247D01* -X106628247Y-123494910D01* -X106575870Y-123573298D01* -X106539792Y-123660397D01* -X106521400Y-123752862D01* -X106178600Y-123752862D01* -X106160208Y-123660397D01* -X106124130Y-123573298D01* -X106071753Y-123494910D01* -X106005090Y-123428247D01* -X105926702Y-123375870D01* -X105839603Y-123339792D01* -X105747138Y-123321400D01* -X105652862Y-123321400D01* -X105560397Y-123339792D01* -X105473298Y-123375870D01* -X105394910Y-123428247D01* -X105328247Y-123494910D01* -X105275870Y-123573298D01* -X105239792Y-123660397D01* -X105221400Y-123752862D01* -X96978600Y-123752862D01* -X96960208Y-123660397D01* -X96924130Y-123573298D01* -X96871753Y-123494910D01* -X96805090Y-123428247D01* -X96726702Y-123375870D01* -X96639603Y-123339792D01* -X96547138Y-123321400D01* -X96452862Y-123321400D01* -X96360397Y-123339792D01* -X96273298Y-123375870D01* -X96194910Y-123428247D01* -X96128247Y-123494910D01* -X96075870Y-123573298D01* -X96039792Y-123660397D01* -X96021400Y-123752862D01* -X87778600Y-123752862D01* -X87760208Y-123660397D01* -X87724130Y-123573298D01* -X87671753Y-123494910D01* -X87605090Y-123428247D01* -X87526702Y-123375870D01* -X87439603Y-123339792D01* -X87347138Y-123321400D01* -X87252862Y-123321400D01* -X87160397Y-123339792D01* -X87073298Y-123375870D01* -X86994910Y-123428247D01* -X86928247Y-123494910D01* -X86875870Y-123573298D01* -X86839792Y-123660397D01* -X86821400Y-123752862D01* -X78578600Y-123752862D01* -X78560208Y-123660397D01* -X78524130Y-123573298D01* -X78471753Y-123494910D01* -X78405090Y-123428247D01* -X78326702Y-123375870D01* -X78239603Y-123339792D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X46177200Y-123752862D01* -X46177200Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61480154Y-121618862D01* -X63656400Y-121618862D01* -X63656400Y-121713138D01* -X63674792Y-121805603D01* -X63710870Y-121892702D01* -X63763247Y-121971090D01* -X63829910Y-122037753D01* -X63908298Y-122090130D01* -X63995397Y-122126208D01* -X64087862Y-122144600D01* -X64182138Y-122144600D01* -X64274603Y-122126208D01* -X64361702Y-122090130D01* -X64396664Y-122066769D01* -X64424368Y-122206047D01* -X64531112Y-122463751D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66524136Y-123002862D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106123298Y-123474130D01* -X106210397Y-123510208D01* -X106302862Y-123528600D01* -X106397138Y-123528600D01* -X106489603Y-123510208D01* -X106576702Y-123474130D01* -X106655090Y-123421753D01* -X106721753Y-123355090D01* -X106774130Y-123276702D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107423298Y-123474130D01* -X107510397Y-123510208D01* -X107602862Y-123528600D01* -X107697138Y-123528600D01* -X107789603Y-123510208D01* -X107876702Y-123474130D01* -X107955090Y-123421753D01* -X108021753Y-123355090D01* -X108074130Y-123276702D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108723298Y-123474130D01* -X108810397Y-123510208D01* -X108902862Y-123528600D01* -X108997138Y-123528600D01* -X109089603Y-123510208D01* -X109176702Y-123474130D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109374130Y-123276702D01* -X109410208Y-123189603D01* -X109428600Y-123097138D01* -X109428600Y-123002862D01* -X109410208Y-122910397D01* -X109374130Y-122823298D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109217099Y-122652862D01* -X116371400Y-122652862D01* -X116371400Y-122747138D01* -X116389792Y-122839603D01* -X116425870Y-122926702D01* -X116478247Y-123005090D01* -X116544910Y-123071753D01* -X116623298Y-123124130D01* -X116710397Y-123160208D01* -X116802862Y-123178600D01* -X116897138Y-123178600D01* -X116989603Y-123160208D01* -X117076702Y-123124130D01* -X117155090Y-123071753D01* -X117221753Y-123005090D01* -X117274130Y-122926702D01* -X117310208Y-122839603D01* -X117328600Y-122747138D01* -X117328600Y-122652862D01* -X118171400Y-122652862D01* -X118171400Y-122747138D01* -X118189792Y-122839603D01* -X118225870Y-122926702D01* -X118278247Y-123005090D01* -X118344910Y-123071753D01* -X118423298Y-123124130D01* -X118510397Y-123160208D01* -X118602862Y-123178600D01* -X118697138Y-123178600D01* -X118789603Y-123160208D01* -X118876702Y-123124130D01* -X118955090Y-123071753D01* -X119021753Y-123005090D01* -X119074130Y-122926702D01* -X119110208Y-122839603D01* -X119128600Y-122747138D01* -X119128600Y-122652862D01* -X119110208Y-122560397D01* -X119074130Y-122473298D01* -X119021753Y-122394910D01* -X118955090Y-122328247D01* -X118876702Y-122275870D01* -X118789603Y-122239792D01* -X118697138Y-122221400D01* -X118602862Y-122221400D01* -X118510397Y-122239792D01* -X118423298Y-122275870D01* -X118344910Y-122328247D01* -X118278247Y-122394910D01* -X118225870Y-122473298D01* -X118189792Y-122560397D01* -X118171400Y-122652862D01* -X117328600Y-122652862D01* -X117310208Y-122560397D01* -X117274130Y-122473298D01* -X117221753Y-122394910D01* -X117155090Y-122328247D01* -X117076702Y-122275870D01* -X116989603Y-122239792D01* -X116897138Y-122221400D01* -X116802862Y-122221400D01* -X116710397Y-122239792D01* -X116623298Y-122275870D01* -X116544910Y-122328247D01* -X116478247Y-122394910D01* -X116425870Y-122473298D01* -X116389792Y-122560397D01* -X116371400Y-122652862D01* -X109217099Y-122652862D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X66524136Y-123002862D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67040888Y-121122249D01* -X66885918Y-120890321D01* -X66688679Y-120693082D01* -X66456751Y-120538112D01* -X66199047Y-120431368D01* -X65925469Y-120376950D01* -X65646531Y-120376950D01* -X65372953Y-120431368D01* -X65115249Y-120538112D01* -X64883321Y-120693082D01* -X64686082Y-120890321D01* -X64531112Y-121122249D01* -X64454075Y-121308232D01* -X64440090Y-121294247D01* -X64361702Y-121241870D01* -X64274603Y-121205792D01* -X64182138Y-121187400D01* -X64087862Y-121187400D01* -X63995397Y-121205792D01* -X63908298Y-121241870D01* -X63829910Y-121294247D01* -X63763247Y-121360910D01* -X63710870Y-121439298D01* -X63674792Y-121526397D01* -X63656400Y-121618862D01* -X61480154Y-121618862D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X46177200Y-121653531D01* -X46177200Y-120197702D01* -X66967100Y-120197702D01* -X66967100Y-120340298D01* -X66994919Y-120480154D01* -X67049488Y-120611895D01* -X67128710Y-120730460D01* -X67229540Y-120831290D01* -X67348105Y-120910512D01* -X67479846Y-120965081D01* -X67619702Y-120992900D01* -X67762298Y-120992900D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68396036Y-120102862D01* -X77621400Y-120102862D01* -X77621400Y-120197138D01* -X77639792Y-120289603D01* -X77675870Y-120376702D01* -X77728247Y-120455090D01* -X77794910Y-120521753D01* -X77873298Y-120574130D01* -X77960397Y-120610208D01* -X78052862Y-120628600D01* -X78147138Y-120628600D01* -X78239603Y-120610208D01* -X78326702Y-120574130D01* -X78405090Y-120521753D01* -X78471753Y-120455090D01* -X78524130Y-120376702D01* -X78560208Y-120289603D01* -X78578600Y-120197138D01* -X78578600Y-120102862D01* -X86821400Y-120102862D01* -X86821400Y-120197138D01* -X86839792Y-120289603D01* -X86875870Y-120376702D01* -X86928247Y-120455090D01* -X86994910Y-120521753D01* -X87073298Y-120574130D01* -X87160397Y-120610208D01* -X87252862Y-120628600D01* -X87347138Y-120628600D01* -X87439603Y-120610208D01* -X87526702Y-120574130D01* -X87605090Y-120521753D01* -X87671753Y-120455090D01* -X87724130Y-120376702D01* -X87760208Y-120289603D01* -X87778600Y-120197138D01* -X87778600Y-120102862D01* -X96021400Y-120102862D01* -X96021400Y-120197138D01* -X96039792Y-120289603D01* -X96075870Y-120376702D01* -X96128247Y-120455090D01* -X96194910Y-120521753D01* -X96273298Y-120574130D01* -X96360397Y-120610208D01* -X96452862Y-120628600D01* -X96547138Y-120628600D01* -X96639603Y-120610208D01* -X96726702Y-120574130D01* -X96805090Y-120521753D01* -X96871753Y-120455090D01* -X96924130Y-120376702D01* -X96960208Y-120289603D01* -X96978600Y-120197138D01* -X96978600Y-120102862D01* -X105221400Y-120102862D01* -X105221400Y-120197138D01* -X105239792Y-120289603D01* -X105275870Y-120376702D01* -X105328247Y-120455090D01* -X105394910Y-120521753D01* -X105473298Y-120574130D01* -X105560397Y-120610208D01* -X105652862Y-120628600D01* -X105747138Y-120628600D01* -X105839603Y-120610208D01* -X105926702Y-120574130D01* -X106005090Y-120521753D01* -X106071753Y-120455090D01* -X106124130Y-120376702D01* -X106160208Y-120289603D01* -X106178600Y-120197138D01* -X106178600Y-120102862D01* -X106168655Y-120052862D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115875870Y-120326702D01* -X115928247Y-120405090D01* -X115994910Y-120471753D01* -X116073298Y-120524130D01* -X116160397Y-120560208D01* -X116252862Y-120578600D01* -X116347138Y-120578600D01* -X116439603Y-120560208D01* -X116526702Y-120524130D01* -X116605090Y-120471753D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116160397Y-119639792D01* -X116073298Y-119675870D01* -X115994910Y-119728247D01* -X115928247Y-119794910D01* -X115875870Y-119873298D01* -X115839792Y-119960397D01* -X115821400Y-120052862D01* -X106168655Y-120052862D01* -X106160208Y-120010397D01* -X106124130Y-119923298D01* -X106071753Y-119844910D01* -X106005090Y-119778247D01* -X105926702Y-119725870D01* -X105839603Y-119689792D01* -X105747138Y-119671400D01* -X105652862Y-119671400D01* -X105560397Y-119689792D01* -X105473298Y-119725870D01* -X105394910Y-119778247D01* -X105328247Y-119844910D01* -X105275870Y-119923298D01* -X105239792Y-120010397D01* -X105221400Y-120102862D01* -X96978600Y-120102862D01* -X96960208Y-120010397D01* -X96924130Y-119923298D01* -X96871753Y-119844910D01* -X96805090Y-119778247D01* -X96726702Y-119725870D01* -X96639603Y-119689792D01* -X96547138Y-119671400D01* -X96452862Y-119671400D01* -X96360397Y-119689792D01* -X96273298Y-119725870D01* -X96194910Y-119778247D01* -X96128247Y-119844910D01* -X96075870Y-119923298D01* -X96039792Y-120010397D01* -X96021400Y-120102862D01* -X87778600Y-120102862D01* -X87760208Y-120010397D01* -X87724130Y-119923298D01* -X87671753Y-119844910D01* -X87605090Y-119778247D01* -X87526702Y-119725870D01* -X87439603Y-119689792D01* -X87347138Y-119671400D01* -X87252862Y-119671400D01* -X87160397Y-119689792D01* -X87073298Y-119725870D01* -X86994910Y-119778247D01* -X86928247Y-119844910D01* -X86875870Y-119923298D01* -X86839792Y-120010397D01* -X86821400Y-120102862D01* -X78578600Y-120102862D01* -X78560208Y-120010397D01* -X78524130Y-119923298D01* -X78471753Y-119844910D01* -X78405090Y-119778247D01* -X78326702Y-119725870D01* -X78239603Y-119689792D01* -X78147138Y-119671400D01* -X78052862Y-119671400D01* -X77960397Y-119689792D01* -X77873298Y-119725870D01* -X77794910Y-119778247D01* -X77728247Y-119844910D01* -X77675870Y-119923298D01* -X77639792Y-120010397D01* -X77621400Y-120102862D01* -X68396036Y-120102862D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X66994919Y-120057846D01* -X66967100Y-120197702D01* -X46177200Y-120197702D01* -X46177200Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60788184Y-119147937D01* -X75171400Y-119147937D01* -X75171400Y-119252063D01* -X75191713Y-119354187D01* -X75231560Y-119450386D01* -X75289409Y-119536963D01* -X75363037Y-119610591D01* -X75449614Y-119668440D01* -X75545813Y-119708287D01* -X75647937Y-119728600D01* -X75752063Y-119728600D01* -X75854187Y-119708287D01* -X75950386Y-119668440D01* -X76036963Y-119610591D01* -X76110591Y-119536963D01* -X76168440Y-119450386D01* -X76208287Y-119354187D01* -X76228600Y-119252063D01* -X76228600Y-119147937D01* -X76208287Y-119045813D01* -X76190497Y-119002862D01* -X76996400Y-119002862D01* -X76996400Y-119097138D01* -X77014792Y-119189603D01* -X77050870Y-119276702D01* -X77103247Y-119355090D01* -X77169910Y-119421753D01* -X77248298Y-119474130D01* -X77335397Y-119510208D01* -X77427862Y-119528600D01* -X77522138Y-119528600D01* -X77614603Y-119510208D01* -X77701702Y-119474130D01* -X77780090Y-119421753D01* -X77846753Y-119355090D01* -X77899130Y-119276702D01* -X77935208Y-119189603D01* -X77952461Y-119102862D01* -X84471400Y-119102862D01* -X84471400Y-119197138D01* -X84489792Y-119289603D01* -X84525870Y-119376702D01* -X84578247Y-119455090D01* -X84644910Y-119521753D01* -X84723298Y-119574130D01* -X84810397Y-119610208D01* -X84902862Y-119628600D01* -X84997138Y-119628600D01* -X85089603Y-119610208D01* -X85176702Y-119574130D01* -X85255090Y-119521753D01* -X85321753Y-119455090D01* -X85374130Y-119376702D01* -X85410208Y-119289603D01* -X85428600Y-119197138D01* -X85428600Y-119102862D01* -X85410208Y-119010397D01* -X85407087Y-119002862D01* -X86196400Y-119002862D01* -X86196400Y-119097138D01* -X86214792Y-119189603D01* -X86250870Y-119276702D01* -X86303247Y-119355090D01* -X86369910Y-119421753D01* -X86448298Y-119474130D01* -X86535397Y-119510208D01* -X86627862Y-119528600D01* -X86722138Y-119528600D01* -X86814603Y-119510208D01* -X86901702Y-119474130D01* -X86980090Y-119421753D01* -X87046753Y-119355090D01* -X87099130Y-119276702D01* -X87135208Y-119189603D01* -X87152461Y-119102862D01* -X93671400Y-119102862D01* -X93671400Y-119197138D01* -X93689792Y-119289603D01* -X93725870Y-119376702D01* -X93778247Y-119455090D01* -X93844910Y-119521753D01* -X93923298Y-119574130D01* -X94010397Y-119610208D01* -X94102862Y-119628600D01* -X94197138Y-119628600D01* -X94289603Y-119610208D01* -X94376702Y-119574130D01* -X94455090Y-119521753D01* -X94521753Y-119455090D01* -X94574130Y-119376702D01* -X94610208Y-119289603D01* -X94628600Y-119197138D01* -X94628600Y-119102862D01* -X94610208Y-119010397D01* -X94607087Y-119002862D01* -X95396400Y-119002862D01* -X95396400Y-119097138D01* -X95414792Y-119189603D01* -X95450870Y-119276702D01* -X95503247Y-119355090D01* -X95569910Y-119421753D01* -X95648298Y-119474130D01* -X95735397Y-119510208D01* -X95827862Y-119528600D01* -X95922138Y-119528600D01* -X96014603Y-119510208D01* -X96101702Y-119474130D01* -X96180090Y-119421753D01* -X96246753Y-119355090D01* -X96299130Y-119276702D01* -X96335208Y-119189603D01* -X96352461Y-119102862D01* -X102871400Y-119102862D01* -X102871400Y-119197138D01* -X102889792Y-119289603D01* -X102925870Y-119376702D01* -X102978247Y-119455090D01* -X103044910Y-119521753D01* -X103123298Y-119574130D01* -X103210397Y-119610208D01* -X103302862Y-119628600D01* -X103397138Y-119628600D01* -X103489603Y-119610208D01* -X103576702Y-119574130D01* -X103655090Y-119521753D01* -X103721753Y-119455090D01* -X103774130Y-119376702D01* -X103810208Y-119289603D01* -X103828600Y-119197138D01* -X103828600Y-119102862D01* -X103810208Y-119010397D01* -X103807087Y-119002862D01* -X104596400Y-119002862D01* -X104596400Y-119097138D01* -X104614792Y-119189603D01* -X104650870Y-119276702D01* -X104703247Y-119355090D01* -X104769910Y-119421753D01* -X104848298Y-119474130D01* -X104935397Y-119510208D01* -X105027862Y-119528600D01* -X105122138Y-119528600D01* -X105214603Y-119510208D01* -X105301702Y-119474130D01* -X105380090Y-119421753D01* -X105446753Y-119355090D01* -X105499130Y-119276702D01* -X105535208Y-119189603D01* -X105553600Y-119097138D01* -X105553600Y-119002862D01* -X105535208Y-118910397D01* -X105499130Y-118823298D01* -X105446753Y-118744910D01* -X105380090Y-118678247D01* -X105301702Y-118625870D01* -X105214603Y-118589792D01* -X105122138Y-118571400D01* -X105027862Y-118571400D01* -X104935397Y-118589792D01* -X104848298Y-118625870D01* -X104769910Y-118678247D01* -X104703247Y-118744910D01* -X104650870Y-118823298D01* -X104614792Y-118910397D01* -X104596400Y-119002862D01* -X103807087Y-119002862D01* -X103774130Y-118923298D01* -X103721753Y-118844910D01* -X103655090Y-118778247D01* -X103576702Y-118725870D01* -X103489603Y-118689792D01* -X103397138Y-118671400D01* -X103302862Y-118671400D01* -X103210397Y-118689792D01* -X103123298Y-118725870D01* -X103044910Y-118778247D01* -X102978247Y-118844910D01* -X102925870Y-118923298D01* -X102889792Y-119010397D01* -X102871400Y-119102862D01* -X96352461Y-119102862D01* -X96353600Y-119097138D01* -X96353600Y-119002862D01* -X96335208Y-118910397D01* -X96299130Y-118823298D01* -X96246753Y-118744910D01* -X96180090Y-118678247D01* -X96101702Y-118625870D01* -X96014603Y-118589792D01* -X95922138Y-118571400D01* -X95827862Y-118571400D01* -X95735397Y-118589792D01* -X95648298Y-118625870D01* -X95569910Y-118678247D01* -X95503247Y-118744910D01* -X95450870Y-118823298D01* -X95414792Y-118910397D01* -X95396400Y-119002862D01* -X94607087Y-119002862D01* -X94574130Y-118923298D01* -X94521753Y-118844910D01* -X94455090Y-118778247D01* -X94376702Y-118725870D01* -X94289603Y-118689792D01* -X94197138Y-118671400D01* -X94102862Y-118671400D01* -X94010397Y-118689792D01* -X93923298Y-118725870D01* -X93844910Y-118778247D01* -X93778247Y-118844910D01* -X93725870Y-118923298D01* -X93689792Y-119010397D01* -X93671400Y-119102862D01* -X87152461Y-119102862D01* -X87153600Y-119097138D01* -X87153600Y-119002862D01* -X87135208Y-118910397D01* -X87099130Y-118823298D01* -X87046753Y-118744910D01* -X86980090Y-118678247D01* -X86901702Y-118625870D01* -X86814603Y-118589792D01* -X86722138Y-118571400D01* -X86627862Y-118571400D01* -X86535397Y-118589792D01* -X86448298Y-118625870D01* -X86369910Y-118678247D01* -X86303247Y-118744910D01* -X86250870Y-118823298D01* -X86214792Y-118910397D01* -X86196400Y-119002862D01* -X85407087Y-119002862D01* -X85374130Y-118923298D01* -X85321753Y-118844910D01* -X85255090Y-118778247D01* -X85176702Y-118725870D01* -X85089603Y-118689792D01* -X84997138Y-118671400D01* -X84902862Y-118671400D01* -X84810397Y-118689792D01* -X84723298Y-118725870D01* -X84644910Y-118778247D01* -X84578247Y-118844910D01* -X84525870Y-118923298D01* -X84489792Y-119010397D01* -X84471400Y-119102862D01* -X77952461Y-119102862D01* -X77953600Y-119097138D01* -X77953600Y-119002862D01* -X77935208Y-118910397D01* -X77899130Y-118823298D01* -X77846753Y-118744910D01* -X77780090Y-118678247D01* -X77701702Y-118625870D01* -X77614603Y-118589792D01* -X77522138Y-118571400D01* -X77427862Y-118571400D01* -X77335397Y-118589792D01* -X77248298Y-118625870D01* -X77169910Y-118678247D01* -X77103247Y-118744910D01* -X77050870Y-118823298D01* -X77014792Y-118910397D01* -X76996400Y-119002862D01* -X76190497Y-119002862D01* -X76168440Y-118949614D01* -X76110591Y-118863037D01* -X76036963Y-118789409D01* -X75950386Y-118731560D01* -X75854187Y-118691713D01* -X75752063Y-118671400D01* -X75647937Y-118671400D01* -X75545813Y-118691713D01* -X75449614Y-118731560D01* -X75363037Y-118789409D01* -X75289409Y-118863037D01* -X75231560Y-118949614D01* -X75191713Y-119045813D01* -X75171400Y-119147937D01* -X60788184Y-119147937D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X46177200Y-119181702D01* -X46177200Y-118165702D01* -X66967100Y-118165702D01* -X66967100Y-118308298D01* -X66994919Y-118448154D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68411367Y-118147937D01* -X76071400Y-118147937D01* -X76071400Y-118252063D01* -X76091713Y-118354187D01* -X76131560Y-118450386D01* -X76189409Y-118536963D01* -X76263037Y-118610591D01* -X76349614Y-118668440D01* -X76445813Y-118708287D01* -X76547937Y-118728600D01* -X76652063Y-118728600D01* -X76754187Y-118708287D01* -X76850386Y-118668440D01* -X76936963Y-118610591D01* -X77010591Y-118536963D01* -X77068440Y-118450386D01* -X77108287Y-118354187D01* -X77128600Y-118252063D01* -X77128600Y-118147937D01* -X77108287Y-118045813D01* -X77068440Y-117949614D01* -X77037202Y-117902862D01* -X77621400Y-117902862D01* -X77621400Y-117997138D01* -X77639792Y-118089603D01* -X77675870Y-118176702D01* -X77728247Y-118255090D01* -X77794910Y-118321753D01* -X77873298Y-118374130D01* -X77960397Y-118410208D01* -X78052862Y-118428600D01* -X78147138Y-118428600D01* -X78239603Y-118410208D01* -X78326702Y-118374130D01* -X78405090Y-118321753D01* -X78471753Y-118255090D01* -X78524130Y-118176702D01* -X78560208Y-118089603D01* -X78570454Y-118038088D01* -X85171400Y-118038088D01* -X85171400Y-118161912D01* -X85195556Y-118283356D01* -X85242941Y-118397754D01* -X85311734Y-118500709D01* -X85399291Y-118588266D01* -X85502246Y-118657059D01* -X85616644Y-118704444D01* -X85738088Y-118728600D01* -X85861912Y-118728600D01* -X85983356Y-118704444D01* -X86097754Y-118657059D01* -X86200709Y-118588266D01* -X86288266Y-118500709D01* -X86357059Y-118397754D01* -X86404444Y-118283356D01* -X86428600Y-118161912D01* -X86428600Y-118038088D01* -X86404444Y-117916644D01* -X86398736Y-117902862D01* -X86821400Y-117902862D01* -X86821400Y-117997138D01* -X86839792Y-118089603D01* -X86875870Y-118176702D01* -X86928247Y-118255090D01* -X86994910Y-118321753D01* -X87073298Y-118374130D01* -X87160397Y-118410208D01* -X87252862Y-118428600D01* -X87347138Y-118428600D01* -X87439603Y-118410208D01* -X87526702Y-118374130D01* -X87605090Y-118321753D01* -X87671753Y-118255090D01* -X87724130Y-118176702D01* -X87760208Y-118089603D01* -X87770454Y-118038088D01* -X94371400Y-118038088D01* -X94371400Y-118161912D01* -X94395556Y-118283356D01* -X94442941Y-118397754D01* -X94511734Y-118500709D01* -X94599291Y-118588266D01* -X94702246Y-118657059D01* -X94816644Y-118704444D01* -X94938088Y-118728600D01* -X95061912Y-118728600D01* -X95183356Y-118704444D01* -X95297754Y-118657059D01* -X95400709Y-118588266D01* -X95488266Y-118500709D01* -X95557059Y-118397754D01* -X95604444Y-118283356D01* -X95628600Y-118161912D01* -X95628600Y-118038088D01* -X95604444Y-117916644D01* -X95598736Y-117902862D01* -X96021400Y-117902862D01* -X96021400Y-117997138D01* -X96039792Y-118089603D01* -X96075870Y-118176702D01* -X96128247Y-118255090D01* -X96194910Y-118321753D01* -X96273298Y-118374130D01* -X96360397Y-118410208D01* -X96452862Y-118428600D01* -X96547138Y-118428600D01* -X96639603Y-118410208D01* -X96726702Y-118374130D01* -X96805090Y-118321753D01* -X96871753Y-118255090D01* -X96924130Y-118176702D01* -X96960208Y-118089603D01* -X96970454Y-118038088D01* -X103571400Y-118038088D01* -X103571400Y-118161912D01* -X103595556Y-118283356D01* -X103642941Y-118397754D01* -X103711734Y-118500709D01* -X103799291Y-118588266D01* -X103902246Y-118657059D01* -X104016644Y-118704444D01* -X104138088Y-118728600D01* -X104261912Y-118728600D01* -X104383356Y-118704444D01* -X104497754Y-118657059D01* -X104600709Y-118588266D01* -X104688266Y-118500709D01* -X104757059Y-118397754D01* -X104804444Y-118283356D01* -X104828600Y-118161912D01* -X104828600Y-118038088D01* -X104804444Y-117916644D01* -X104798736Y-117902862D01* -X105221400Y-117902862D01* -X105221400Y-117997138D01* -X105239792Y-118089603D01* -X105275870Y-118176702D01* -X105328247Y-118255090D01* -X105394910Y-118321753D01* -X105473298Y-118374130D01* -X105560397Y-118410208D01* -X105652862Y-118428600D01* -X105747138Y-118428600D01* -X105839603Y-118410208D01* -X105926702Y-118374130D01* -X106005090Y-118321753D01* -X106071753Y-118255090D01* -X106124130Y-118176702D01* -X106160208Y-118089603D01* -X106178600Y-117997138D01* -X106178600Y-117902862D01* -X106160208Y-117810397D01* -X106124130Y-117723298D01* -X106071753Y-117644910D01* -X106005090Y-117578247D01* -X105926702Y-117525870D01* -X105839603Y-117489792D01* -X105747138Y-117471400D01* -X105652862Y-117471400D01* -X105560397Y-117489792D01* -X105473298Y-117525870D01* -X105394910Y-117578247D01* -X105328247Y-117644910D01* -X105275870Y-117723298D01* -X105239792Y-117810397D01* -X105221400Y-117902862D01* -X104798736Y-117902862D01* -X104757059Y-117802246D01* -X104688266Y-117699291D01* -X104600709Y-117611734D01* -X104497754Y-117542941D01* -X104383356Y-117495556D01* -X104261912Y-117471400D01* -X104138088Y-117471400D01* -X104016644Y-117495556D01* -X103902246Y-117542941D01* -X103799291Y-117611734D01* -X103711734Y-117699291D01* -X103642941Y-117802246D01* -X103595556Y-117916644D01* -X103571400Y-118038088D01* -X96970454Y-118038088D01* -X96978600Y-117997138D01* -X96978600Y-117902862D01* -X96960208Y-117810397D01* -X96924130Y-117723298D01* -X96871753Y-117644910D01* -X96805090Y-117578247D01* -X96726702Y-117525870D01* -X96639603Y-117489792D01* -X96547138Y-117471400D01* -X96452862Y-117471400D01* -X96360397Y-117489792D01* -X96273298Y-117525870D01* -X96194910Y-117578247D01* -X96128247Y-117644910D01* -X96075870Y-117723298D01* -X96039792Y-117810397D01* -X96021400Y-117902862D01* -X95598736Y-117902862D01* -X95557059Y-117802246D01* -X95488266Y-117699291D01* -X95400709Y-117611734D01* -X95297754Y-117542941D01* -X95183356Y-117495556D01* -X95061912Y-117471400D01* -X94938088Y-117471400D01* -X94816644Y-117495556D01* -X94702246Y-117542941D01* -X94599291Y-117611734D01* -X94511734Y-117699291D01* -X94442941Y-117802246D01* -X94395556Y-117916644D01* -X94371400Y-118038088D01* -X87770454Y-118038088D01* -X87778600Y-117997138D01* -X87778600Y-117902862D01* -X87760208Y-117810397D01* -X87724130Y-117723298D01* -X87671753Y-117644910D01* -X87605090Y-117578247D01* -X87526702Y-117525870D01* -X87439603Y-117489792D01* -X87347138Y-117471400D01* -X87252862Y-117471400D01* -X87160397Y-117489792D01* -X87073298Y-117525870D01* -X86994910Y-117578247D01* -X86928247Y-117644910D01* -X86875870Y-117723298D01* -X86839792Y-117810397D01* -X86821400Y-117902862D01* -X86398736Y-117902862D01* -X86357059Y-117802246D01* -X86288266Y-117699291D01* -X86200709Y-117611734D01* -X86097754Y-117542941D01* -X85983356Y-117495556D01* -X85861912Y-117471400D01* -X85738088Y-117471400D01* -X85616644Y-117495556D01* -X85502246Y-117542941D01* -X85399291Y-117611734D01* -X85311734Y-117699291D01* -X85242941Y-117802246D01* -X85195556Y-117916644D01* -X85171400Y-118038088D01* -X78570454Y-118038088D01* -X78578600Y-117997138D01* -X78578600Y-117902862D01* -X78560208Y-117810397D01* -X78524130Y-117723298D01* -X78471753Y-117644910D01* -X78405090Y-117578247D01* -X78326702Y-117525870D01* -X78239603Y-117489792D01* -X78147138Y-117471400D01* -X78052862Y-117471400D01* -X77960397Y-117489792D01* -X77873298Y-117525870D01* -X77794910Y-117578247D01* -X77728247Y-117644910D01* -X77675870Y-117723298D01* -X77639792Y-117810397D01* -X77621400Y-117902862D01* -X77037202Y-117902862D01* -X77010591Y-117863037D01* -X76936963Y-117789409D01* -X76850386Y-117731560D01* -X76754187Y-117691713D01* -X76652063Y-117671400D01* -X76547937Y-117671400D01* -X76445813Y-117691713D01* -X76349614Y-117731560D01* -X76263037Y-117789409D01* -X76189409Y-117863037D01* -X76131560Y-117949614D01* -X76091713Y-118045813D01* -X76071400Y-118147937D01* -X68411367Y-118147937D01* -X68387081Y-118025846D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67479846Y-117540919D01* -X67348105Y-117595488D01* -X67229540Y-117674710D01* -X67128710Y-117775540D01* -X67049488Y-117894105D01* -X66994919Y-118025846D01* -X66967100Y-118165702D01* -X46177200Y-118165702D01* -X46177200Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60973679Y-117812918D01* -X61170918Y-117615679D01* -X61325888Y-117383751D01* -X61432632Y-117126047D01* -X61461013Y-116983362D01* -X62449900Y-116983362D01* -X62449900Y-117077638D01* -X62468292Y-117170103D01* -X62504370Y-117257202D01* -X62556747Y-117335590D01* -X62623410Y-117402253D01* -X62701798Y-117454630D01* -X62788897Y-117490708D01* -X62881362Y-117509100D01* -X62975638Y-117509100D01* -X63068103Y-117490708D01* -X63155202Y-117454630D01* -X63233590Y-117402253D01* -X63300253Y-117335590D01* -X63352630Y-117257202D01* -X63388708Y-117170103D01* -X63407100Y-117077638D01* -X63407100Y-116983362D01* -X63388708Y-116890897D01* -X63352630Y-116803798D01* -X63300253Y-116725410D01* -X63233590Y-116658747D01* -X63155202Y-116606370D01* -X63075923Y-116573531D01* -X64369950Y-116573531D01* -X64369950Y-116852469D01* -X64424368Y-117126047D01* -X64531112Y-117383751D01* -X64686082Y-117615679D01* -X64883321Y-117812918D01* -X65115249Y-117967888D01* -X65372953Y-118074632D01* -X65646531Y-118129050D01* -X65925469Y-118129050D01* -X66199047Y-118074632D01* -X66456751Y-117967888D01* -X66688679Y-117812918D01* -X66885918Y-117615679D01* -X67040888Y-117383751D01* -X67147632Y-117126047D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67105376Y-116197937D01* -X113721400Y-116197937D01* -X113721400Y-116302063D01* -X113741713Y-116404187D01* -X113781560Y-116500386D01* -X113839409Y-116586963D01* -X113913037Y-116660591D01* -X113999614Y-116718440D01* -X114095813Y-116758287D01* -X114197937Y-116778600D01* -X114302063Y-116778600D01* -X114404187Y-116758287D01* -X114500386Y-116718440D01* -X114586963Y-116660591D01* -X114660591Y-116586963D01* -X114718440Y-116500386D01* -X114758287Y-116404187D01* -X114778600Y-116302063D01* -X114778600Y-116197937D01* -X123721400Y-116197937D01* -X123721400Y-116302063D01* -X123741713Y-116404187D01* -X123781560Y-116500386D01* -X123839409Y-116586963D01* -X123913037Y-116660591D01* -X123999614Y-116718440D01* -X124095813Y-116758287D01* -X124197937Y-116778600D01* -X124302063Y-116778600D01* -X124404187Y-116758287D01* -X124500386Y-116718440D01* -X124586963Y-116660591D01* -X124660591Y-116586963D01* -X124718440Y-116500386D01* -X124758287Y-116404187D01* -X124778600Y-116302063D01* -X124778600Y-116197937D01* -X124758287Y-116095813D01* -X124718440Y-115999614D01* -X124660591Y-115913037D01* -X124586963Y-115839409D01* -X124500386Y-115781560D01* -X124404187Y-115741713D01* -X124302063Y-115721400D01* -X124197937Y-115721400D01* -X124095813Y-115741713D01* -X123999614Y-115781560D01* -X123913037Y-115839409D01* -X123839409Y-115913037D01* -X123781560Y-115999614D01* -X123741713Y-116095813D01* -X123721400Y-116197937D01* -X114778600Y-116197937D01* -X114758287Y-116095813D01* -X114718440Y-115999614D01* -X114660591Y-115913037D01* -X114586963Y-115839409D01* -X114500386Y-115781560D01* -X114404187Y-115741713D01* -X114302063Y-115721400D01* -X114197937Y-115721400D01* -X114095813Y-115741713D01* -X113999614Y-115781560D01* -X113913037Y-115839409D01* -X113839409Y-115913037D01* -X113781560Y-115999614D01* -X113741713Y-116095813D01* -X113721400Y-116197937D01* -X67105376Y-116197937D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65930431Y-115297937D01* -X114721400Y-115297937D01* -X114721400Y-115402063D01* -X114741713Y-115504187D01* -X114781560Y-115600386D01* -X114839409Y-115686963D01* -X114913037Y-115760591D01* -X114999614Y-115818440D01* -X115095813Y-115858287D01* -X115197937Y-115878600D01* -X115302063Y-115878600D01* -X115404187Y-115858287D01* -X115500386Y-115818440D01* -X115586963Y-115760591D01* -X115660591Y-115686963D01* -X115718440Y-115600386D01* -X115758287Y-115504187D01* -X115778600Y-115402063D01* -X115778600Y-115297937D01* -X124721400Y-115297937D01* -X124721400Y-115402063D01* -X124741713Y-115504187D01* -X124781560Y-115600386D01* -X124839409Y-115686963D01* -X124913037Y-115760591D01* -X124999614Y-115818440D01* -X125095813Y-115858287D01* -X125197937Y-115878600D01* -X125302063Y-115878600D01* -X125404187Y-115858287D01* -X125500386Y-115818440D01* -X125586963Y-115760591D01* -X125660591Y-115686963D01* -X125718440Y-115600386D01* -X125758287Y-115504187D01* -X125778600Y-115402063D01* -X125778600Y-115297937D01* -X125758287Y-115195813D01* -X125718440Y-115099614D01* -X125660591Y-115013037D01* -X125586963Y-114939409D01* -X125500386Y-114881560D01* -X125404187Y-114841713D01* -X125302063Y-114821400D01* -X125197937Y-114821400D01* -X125095813Y-114841713D01* -X124999614Y-114881560D01* -X124913037Y-114939409D01* -X124839409Y-115013037D01* -X124781560Y-115099614D01* -X124741713Y-115195813D01* -X124721400Y-115297937D01* -X115778600Y-115297937D01* -X115758287Y-115195813D01* -X115718440Y-115099614D01* -X115660591Y-115013037D01* -X115586963Y-114939409D01* -X115500386Y-114881560D01* -X115404187Y-114841713D01* -X115302063Y-114821400D01* -X115197937Y-114821400D01* -X115095813Y-114841713D01* -X114999614Y-114881560D01* -X114913037Y-114939409D01* -X114839409Y-115013037D01* -X114781560Y-115099614D01* -X114741713Y-115195813D01* -X114721400Y-115297937D01* -X65930431Y-115297937D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64424368Y-116299953D01* -X64369950Y-116573531D01* -X63075923Y-116573531D01* -X63068103Y-116570292D01* -X62975638Y-116551900D01* -X62881362Y-116551900D01* -X62788897Y-116570292D01* -X62701798Y-116606370D01* -X62623410Y-116658747D01* -X62556747Y-116725410D01* -X62504370Y-116803798D01* -X62468292Y-116890897D01* -X62449900Y-116983362D01* -X61461013Y-116983362D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X46177200Y-116573531D01* -X46177200Y-114397937D01* -X113721400Y-114397937D01* -X113721400Y-114502063D01* -X113741713Y-114604187D01* -X113781560Y-114700386D01* -X113839409Y-114786963D01* -X113913037Y-114860591D01* -X113999614Y-114918440D01* -X114095813Y-114958287D01* -X114197937Y-114978600D01* -X114302063Y-114978600D01* -X114404187Y-114958287D01* -X114500386Y-114918440D01* -X114586963Y-114860591D01* -X114660591Y-114786963D01* -X114718440Y-114700386D01* -X114758287Y-114604187D01* -X114778600Y-114502063D01* -X114778600Y-114397937D01* -X114758287Y-114295813D01* -X114718440Y-114199614D01* -X114660591Y-114113037D01* -X114586963Y-114039409D01* -X114532267Y-114002862D01* -X123921400Y-114002862D01* -X123921400Y-114097138D01* -X123939792Y-114189603D01* -X123975870Y-114276702D01* -X124028247Y-114355090D01* -X124094910Y-114421753D01* -X124173298Y-114474130D01* -X124260397Y-114510208D01* -X124352862Y-114528600D01* -X124447138Y-114528600D01* -X124539603Y-114510208D01* -X124626702Y-114474130D01* -X124705090Y-114421753D01* -X124771753Y-114355090D01* -X124824130Y-114276702D01* -X124860208Y-114189603D01* -X124878600Y-114097138D01* -X124878600Y-114002862D01* -X124860208Y-113910397D01* -X124824130Y-113823298D01* -X124771753Y-113744910D01* -X124705090Y-113678247D01* -X124626702Y-113625870D01* -X124539603Y-113589792D01* -X124447138Y-113571400D01* -X124352862Y-113571400D01* -X124260397Y-113589792D01* -X124173298Y-113625870D01* -X124094910Y-113678247D01* -X124028247Y-113744910D01* -X123975870Y-113823298D01* -X123939792Y-113910397D01* -X123921400Y-114002862D01* -X114532267Y-114002862D01* -X114500386Y-113981560D01* -X114404187Y-113941713D01* -X114302063Y-113921400D01* -X114197937Y-113921400D01* -X114095813Y-113941713D01* -X113999614Y-113981560D01* -X113913037Y-114039409D01* -X113839409Y-114113037D01* -X113781560Y-114199614D01* -X113741713Y-114295813D01* -X113721400Y-114397937D01* -X46177200Y-114397937D01* -X46177200Y-112852862D01* -X99571400Y-112852862D01* -X99571400Y-112947138D01* -X99589792Y-113039603D01* -X99625870Y-113126702D01* -X99678247Y-113205090D01* -X99744910Y-113271753D01* -X99823298Y-113324130D01* -X99910397Y-113360208D01* -X100002862Y-113378600D01* -X100097138Y-113378600D01* -X100189603Y-113360208D01* -X100276702Y-113324130D01* -X100355090Y-113271753D01* -X100421753Y-113205090D01* -X100474130Y-113126702D01* -X100504715Y-113052862D01* -X103721400Y-113052862D01* -X103721400Y-113147138D01* -X103739792Y-113239603D01* -X103775870Y-113326702D01* -X103828247Y-113405090D01* -X103894910Y-113471753D01* -X103973298Y-113524130D01* -X104060397Y-113560208D01* -X104152862Y-113578600D01* -X104247138Y-113578600D01* -X104339603Y-113560208D01* -X104426702Y-113524130D01* -X104505090Y-113471753D01* -X104571753Y-113405090D01* -X104573504Y-113402468D01* -X122767400Y-113402468D01* -X122767400Y-113497532D01* -X122785946Y-113590769D01* -X122822326Y-113678597D01* -X122875140Y-113757640D01* -X122942360Y-113824860D01* -X123021403Y-113877674D01* -X123109231Y-113914054D01* -X123202468Y-113932600D01* -X123297532Y-113932600D01* -X123390769Y-113914054D01* -X123478597Y-113877674D01* -X123557640Y-113824860D01* -X123624860Y-113757640D01* -X123677674Y-113678597D01* -X123714054Y-113590769D01* -X123732600Y-113497532D01* -X123732600Y-113402468D01* -X123714054Y-113309231D01* -X123677674Y-113221403D01* -X123624860Y-113142360D01* -X123557640Y-113075140D01* -X123478597Y-113022326D01* -X123390769Y-112985946D01* -X123297532Y-112967400D01* -X123202468Y-112967400D01* -X123109231Y-112985946D01* -X123021403Y-113022326D01* -X122942360Y-113075140D01* -X122875140Y-113142360D01* -X122822326Y-113221403D01* -X122785946Y-113309231D01* -X122767400Y-113402468D01* -X104573504Y-113402468D01* -X104624130Y-113326702D01* -X104660208Y-113239603D01* -X104678600Y-113147138D01* -X104678600Y-113052862D01* -X104660208Y-112960397D01* -X104624130Y-112873298D01* -X104571753Y-112794910D01* -X104505090Y-112728247D01* -X104426702Y-112675870D01* -X104339603Y-112639792D01* -X104247138Y-112621400D01* -X104152862Y-112621400D01* -X104060397Y-112639792D01* -X103973298Y-112675870D01* -X103894910Y-112728247D01* -X103828247Y-112794910D01* -X103775870Y-112873298D01* -X103739792Y-112960397D01* -X103721400Y-113052862D01* -X100504715Y-113052862D01* -X100510208Y-113039603D01* -X100528600Y-112947138D01* -X100528600Y-112852862D01* -X100510208Y-112760397D01* -X100474130Y-112673298D01* -X100421753Y-112594910D01* -X100355090Y-112528247D01* -X100276702Y-112475870D01* -X100189603Y-112439792D01* -X100097138Y-112421400D01* -X100002862Y-112421400D01* -X99910397Y-112439792D01* -X99823298Y-112475870D01* -X99744910Y-112528247D01* -X99678247Y-112594910D01* -X99625870Y-112673298D01* -X99589792Y-112760397D01* -X99571400Y-112852862D01* -X46177200Y-112852862D01* -X46177200Y-112052862D01* -X71621400Y-112052862D01* -X71621400Y-112147138D01* -X71639792Y-112239603D01* -X71675870Y-112326702D01* -X71728247Y-112405090D01* -X71794910Y-112471753D01* -X71873298Y-112524130D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72524130Y-112326702D01* -X72560208Y-112239603D01* -X72578600Y-112147138D01* -X72578600Y-112052862D01* -X72560208Y-111960397D01* -X72555047Y-111947937D01* -X89671400Y-111947937D01* -X89671400Y-112052063D01* -X89691713Y-112154187D01* -X89731560Y-112250386D01* -X89789409Y-112336963D01* -X89863037Y-112410591D01* -X89949614Y-112468440D01* -X90045813Y-112508287D01* -X90147937Y-112528600D01* -X90252063Y-112528600D01* -X90354187Y-112508287D01* -X90450386Y-112468440D01* -X90536963Y-112410591D01* -X90610591Y-112336963D01* -X90668440Y-112250386D01* -X90708287Y-112154187D01* -X90728600Y-112052063D01* -X90728600Y-111947937D01* -X96671400Y-111947937D01* -X96671400Y-112052063D01* -X96691713Y-112154187D01* -X96731560Y-112250386D01* -X96789409Y-112336963D01* -X96863037Y-112410591D01* -X96949614Y-112468440D01* -X97045813Y-112508287D01* -X97147937Y-112528600D01* -X97252063Y-112528600D01* -X97354187Y-112508287D01* -X97450386Y-112468440D01* -X97536963Y-112410591D01* -X97610591Y-112336963D01* -X97668440Y-112250386D01* -X97688125Y-112202862D01* -X102771400Y-112202862D01* -X102771400Y-112297138D01* -X102789792Y-112389603D01* -X102825870Y-112476702D01* -X102878247Y-112555090D01* -X102944910Y-112621753D01* -X103023298Y-112674130D01* -X103110397Y-112710208D01* -X103202862Y-112728600D01* -X103297138Y-112728600D01* -X103389603Y-112710208D01* -X103476702Y-112674130D01* -X103555090Y-112621753D01* -X103574375Y-112602468D01* -X114267400Y-112602468D01* -X114267400Y-112697532D01* -X114285946Y-112790769D01* -X114322326Y-112878597D01* -X114375140Y-112957640D01* -X114442360Y-113024860D01* -X114521403Y-113077674D01* -X114609231Y-113114054D01* -X114702468Y-113132600D01* -X114797532Y-113132600D01* -X114890769Y-113114054D01* -X114978597Y-113077674D01* -X115057640Y-113024860D01* -X115124860Y-112957640D01* -X115177674Y-112878597D01* -X115214054Y-112790769D01* -X115232600Y-112697532D01* -X115232600Y-112602468D01* -X122067400Y-112602468D01* -X122067400Y-112697532D01* -X122085946Y-112790769D01* -X122122326Y-112878597D01* -X122175140Y-112957640D01* -X122242360Y-113024860D01* -X122321403Y-113077674D01* -X122409231Y-113114054D01* -X122502468Y-113132600D01* -X122597532Y-113132600D01* -X122690769Y-113114054D01* -X122778597Y-113077674D01* -X122857640Y-113024860D01* -X122924860Y-112957640D01* -X122977674Y-112878597D01* -X123014054Y-112790769D01* -X123032600Y-112697532D01* -X123032600Y-112602468D01* -X123014054Y-112509231D01* -X122977674Y-112421403D01* -X122924860Y-112342360D01* -X122857640Y-112275140D01* -X122778597Y-112222326D01* -X122690769Y-112185946D01* -X122597532Y-112167400D01* -X122502468Y-112167400D01* -X122409231Y-112185946D01* -X122321403Y-112222326D01* -X122242360Y-112275140D01* -X122175140Y-112342360D01* -X122122326Y-112421403D01* -X122085946Y-112509231D01* -X122067400Y-112602468D01* -X115232600Y-112602468D01* -X115214054Y-112509231D01* -X115177674Y-112421403D01* -X115124860Y-112342360D01* -X115057640Y-112275140D01* -X114978597Y-112222326D01* -X114890769Y-112185946D01* -X114797532Y-112167400D01* -X114702468Y-112167400D01* -X114609231Y-112185946D01* -X114521403Y-112222326D01* -X114442360Y-112275140D01* -X114375140Y-112342360D01* -X114322326Y-112421403D01* -X114285946Y-112509231D01* -X114267400Y-112602468D01* -X103574375Y-112602468D01* -X103621753Y-112555090D01* -X103674130Y-112476702D01* -X103710208Y-112389603D01* -X103728600Y-112297138D01* -X103728600Y-112202862D01* -X103710208Y-112110397D01* -X103674130Y-112023298D01* -X103660476Y-112002862D01* -X108471400Y-112002862D01* -X108471400Y-112097138D01* -X108489792Y-112189603D01* -X108525870Y-112276702D01* -X108578247Y-112355090D01* -X108644910Y-112421753D01* -X108723298Y-112474130D01* -X108810397Y-112510208D01* -X108902862Y-112528600D01* -X108997138Y-112528600D01* -X109089603Y-112510208D01* -X109176702Y-112474130D01* -X109255090Y-112421753D01* -X109321753Y-112355090D01* -X109374130Y-112276702D01* -X109410208Y-112189603D01* -X109428600Y-112097138D01* -X109428600Y-112002862D01* -X109410208Y-111910397D01* -X109374130Y-111823298D01* -X109360212Y-111802468D01* -X122767400Y-111802468D01* -X122767400Y-111897532D01* -X122785946Y-111990769D01* -X122822326Y-112078597D01* -X122875140Y-112157640D01* -X122942360Y-112224860D01* -X123021403Y-112277674D01* -X123109231Y-112314054D01* -X123202468Y-112332600D01* -X123297532Y-112332600D01* -X123390769Y-112314054D01* -X123478597Y-112277674D01* -X123557640Y-112224860D01* -X123624860Y-112157640D01* -X123677674Y-112078597D01* -X123714054Y-111990769D01* -X123732600Y-111897532D01* -X123732600Y-111802862D01* -X125071400Y-111802862D01* -X125071400Y-111897138D01* -X125089792Y-111989603D01* -X125125870Y-112076702D01* -X125178247Y-112155090D01* -X125244910Y-112221753D01* -X125323298Y-112274130D01* -X125410397Y-112310208D01* -X125502862Y-112328600D01* -X125597138Y-112328600D01* -X125689603Y-112310208D01* -X125776702Y-112274130D01* -X125855090Y-112221753D01* -X125921753Y-112155090D01* -X125974130Y-112076702D01* -X126010208Y-111989603D01* -X126018495Y-111947937D01* -X126821400Y-111947937D01* -X126821400Y-112052063D01* -X126841713Y-112154187D01* -X126881560Y-112250386D01* -X126939409Y-112336963D01* -X127013037Y-112410591D01* -X127099614Y-112468440D01* -X127195813Y-112508287D01* -X127297937Y-112528600D01* -X127402063Y-112528600D01* -X127504187Y-112508287D01* -X127600386Y-112468440D01* -X127686963Y-112410591D01* -X127760591Y-112336963D01* -X127818440Y-112250386D01* -X127858287Y-112154187D01* -X127878600Y-112052063D01* -X127878600Y-111947937D01* -X127858287Y-111845813D01* -X127818440Y-111749614D01* -X127760591Y-111663037D01* -X127686963Y-111589409D01* -X127600386Y-111531560D01* -X127504187Y-111491713D01* -X127402063Y-111471400D01* -X127297937Y-111471400D01* -X127195813Y-111491713D01* -X127099614Y-111531560D01* -X127013037Y-111589409D01* -X126939409Y-111663037D01* -X126881560Y-111749614D01* -X126841713Y-111845813D01* -X126821400Y-111947937D01* -X126018495Y-111947937D01* -X126028600Y-111897138D01* -X126028600Y-111802862D01* -X126010208Y-111710397D01* -X125974130Y-111623298D01* -X125921753Y-111544910D01* -X125855090Y-111478247D01* -X125776702Y-111425870D01* -X125689603Y-111389792D01* -X125597138Y-111371400D01* -X125502862Y-111371400D01* -X125410397Y-111389792D01* -X125323298Y-111425870D01* -X125244910Y-111478247D01* -X125178247Y-111544910D01* -X125125870Y-111623298D01* -X125089792Y-111710397D01* -X125071400Y-111802862D01* -X123732600Y-111802862D01* -X123732600Y-111802468D01* -X123714054Y-111709231D01* -X123677674Y-111621403D01* -X123624860Y-111542360D01* -X123557640Y-111475140D01* -X123478597Y-111422326D01* -X123390769Y-111385946D01* -X123297532Y-111367400D01* -X123202468Y-111367400D01* -X123109231Y-111385946D01* -X123021403Y-111422326D01* -X122942360Y-111475140D01* -X122875140Y-111542360D01* -X122822326Y-111621403D01* -X122785946Y-111709231D01* -X122767400Y-111802468D01* -X109360212Y-111802468D01* -X109321753Y-111744910D01* -X109255090Y-111678247D01* -X109176702Y-111625870D01* -X109089603Y-111589792D01* -X108997138Y-111571400D01* -X108902862Y-111571400D01* -X108810397Y-111589792D01* -X108723298Y-111625870D01* -X108644910Y-111678247D01* -X108578247Y-111744910D01* -X108525870Y-111823298D01* -X108489792Y-111910397D01* -X108471400Y-112002862D01* -X103660476Y-112002862D01* -X103621753Y-111944910D01* -X103555090Y-111878247D01* -X103476702Y-111825870D01* -X103389603Y-111789792D01* -X103297138Y-111771400D01* -X103202862Y-111771400D01* -X103110397Y-111789792D01* -X103023298Y-111825870D01* -X102944910Y-111878247D01* -X102878247Y-111944910D01* -X102825870Y-112023298D01* -X102789792Y-112110397D01* -X102771400Y-112202862D01* -X97688125Y-112202862D01* -X97708287Y-112154187D01* -X97728600Y-112052063D01* -X97728600Y-111947937D01* -X97708287Y-111845813D01* -X97668440Y-111749614D01* -X97610591Y-111663037D01* -X97536963Y-111589409D01* -X97450386Y-111531560D01* -X97354187Y-111491713D01* -X97252063Y-111471400D01* -X97147937Y-111471400D01* -X97045813Y-111491713D01* -X96949614Y-111531560D01* -X96863037Y-111589409D01* -X96789409Y-111663037D01* -X96731560Y-111749614D01* -X96691713Y-111845813D01* -X96671400Y-111947937D01* -X90728600Y-111947937D01* -X90708287Y-111845813D01* -X90668440Y-111749614D01* -X90610591Y-111663037D01* -X90536963Y-111589409D01* -X90450386Y-111531560D01* -X90354187Y-111491713D01* -X90252063Y-111471400D01* -X90147937Y-111471400D01* -X90045813Y-111491713D01* -X89949614Y-111531560D01* -X89863037Y-111589409D01* -X89789409Y-111663037D01* -X89731560Y-111749614D01* -X89691713Y-111845813D01* -X89671400Y-111947937D01* -X72555047Y-111947937D01* -X72524130Y-111873298D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71873298Y-111675870D01* -X71794910Y-111728247D01* -X71728247Y-111794910D01* -X71675870Y-111873298D01* -X71639792Y-111960397D01* -X71621400Y-112052862D01* -X46177200Y-112052862D01* -X46177200Y-110602862D01* -X71571400Y-110602862D01* -X71571400Y-110697138D01* -X71589792Y-110789603D01* -X71625870Y-110876702D01* -X71678247Y-110955090D01* -X71744910Y-111021753D01* -X71823298Y-111074130D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72315902Y-111047937D01* -X88671400Y-111047937D01* -X88671400Y-111152063D01* -X88691713Y-111254187D01* -X88731560Y-111350386D01* -X88789409Y-111436963D01* -X88863037Y-111510591D01* -X88949614Y-111568440D01* -X89045813Y-111608287D01* -X89147937Y-111628600D01* -X89252063Y-111628600D01* -X89354187Y-111608287D01* -X89450386Y-111568440D01* -X89536963Y-111510591D01* -X89610591Y-111436963D01* -X89668440Y-111350386D01* -X89708287Y-111254187D01* -X89728600Y-111152063D01* -X89728600Y-111047937D01* -X95671400Y-111047937D01* -X95671400Y-111152063D01* -X95691713Y-111254187D01* -X95731560Y-111350386D01* -X95789409Y-111436963D01* -X95863037Y-111510591D01* -X95949614Y-111568440D01* -X96045813Y-111608287D01* -X96147937Y-111628600D01* -X96252063Y-111628600D01* -X96354187Y-111608287D01* -X96450386Y-111568440D01* -X96536963Y-111510591D01* -X96610591Y-111436963D01* -X96666785Y-111352862D01* -X103721400Y-111352862D01* -X103721400Y-111447138D01* -X103739792Y-111539603D01* -X103775870Y-111626702D01* -X103828247Y-111705090D01* -X103894910Y-111771753D01* -X103973298Y-111824130D01* -X104060397Y-111860208D01* -X104152862Y-111878600D01* -X104247138Y-111878600D01* -X104339603Y-111860208D01* -X104426702Y-111824130D01* -X104505090Y-111771753D01* -X104571753Y-111705090D01* -X104624130Y-111626702D01* -X104660208Y-111539603D01* -X104678600Y-111447138D01* -X104678600Y-111352862D01* -X104660208Y-111260397D01* -X104624130Y-111173298D01* -X104577067Y-111102862D01* -X109221400Y-111102862D01* -X109221400Y-111197138D01* -X109239792Y-111289603D01* -X109275870Y-111376702D01* -X109328247Y-111455090D01* -X109394910Y-111521753D01* -X109473298Y-111574130D01* -X109560397Y-111610208D01* -X109652862Y-111628600D01* -X109747138Y-111628600D01* -X109839603Y-111610208D01* -X109926702Y-111574130D01* -X110005090Y-111521753D01* -X110071753Y-111455090D01* -X110124130Y-111376702D01* -X110160208Y-111289603D01* -X110178600Y-111197138D01* -X110178600Y-111102862D01* -X110178522Y-111102468D01* -X114267400Y-111102468D01* -X114267400Y-111197532D01* -X114285946Y-111290769D01* -X114322326Y-111378597D01* -X114375140Y-111457640D01* -X114442360Y-111524860D01* -X114521403Y-111577674D01* -X114609231Y-111614054D01* -X114702468Y-111632600D01* -X114797532Y-111632600D01* -X114890769Y-111614054D01* -X114978597Y-111577674D01* -X115057640Y-111524860D01* -X115124860Y-111457640D01* -X115177674Y-111378597D01* -X115214054Y-111290769D01* -X115232600Y-111197532D01* -X115232600Y-111102468D01* -X122067400Y-111102468D01* -X122067400Y-111197532D01* -X122085946Y-111290769D01* -X122122326Y-111378597D01* -X122175140Y-111457640D01* -X122242360Y-111524860D01* -X122321403Y-111577674D01* -X122409231Y-111614054D01* -X122502468Y-111632600D01* -X122597532Y-111632600D01* -X122690769Y-111614054D01* -X122778597Y-111577674D01* -X122857640Y-111524860D01* -X122924860Y-111457640D01* -X122977674Y-111378597D01* -X123014054Y-111290769D01* -X123032600Y-111197532D01* -X123032600Y-111102468D01* -X123014054Y-111009231D01* -X122988665Y-110947937D01* -X125921400Y-110947937D01* -X125921400Y-111052063D01* -X125941713Y-111154187D01* -X125981560Y-111250386D01* -X126039409Y-111336963D01* -X126113037Y-111410591D01* -X126199614Y-111468440D01* -X126295813Y-111508287D01* -X126397937Y-111528600D01* -X126502063Y-111528600D01* -X126604187Y-111508287D01* -X126700386Y-111468440D01* -X126786963Y-111410591D01* -X126860591Y-111336963D01* -X126918440Y-111250386D01* -X126958287Y-111154187D01* -X126978600Y-111052063D01* -X126978600Y-110947937D01* -X126958287Y-110845813D01* -X126918440Y-110749614D01* -X126860591Y-110663037D01* -X126786963Y-110589409D01* -X126700386Y-110531560D01* -X126604187Y-110491713D01* -X126502063Y-110471400D01* -X126397937Y-110471400D01* -X126295813Y-110491713D01* -X126199614Y-110531560D01* -X126113037Y-110589409D01* -X126039409Y-110663037D01* -X125981560Y-110749614D01* -X125941713Y-110845813D01* -X125921400Y-110947937D01* -X122988665Y-110947937D01* -X122977674Y-110921403D01* -X122924860Y-110842360D01* -X122857640Y-110775140D01* -X122778597Y-110722326D01* -X122690769Y-110685946D01* -X122597532Y-110667400D01* -X122502468Y-110667400D01* -X122409231Y-110685946D01* -X122321403Y-110722326D01* -X122242360Y-110775140D01* -X122175140Y-110842360D01* -X122122326Y-110921403D01* -X122085946Y-111009231D01* -X122067400Y-111102468D01* -X115232600Y-111102468D01* -X115214054Y-111009231D01* -X115177674Y-110921403D01* -X115124860Y-110842360D01* -X115057640Y-110775140D01* -X114978597Y-110722326D01* -X114890769Y-110685946D01* -X114797532Y-110667400D01* -X114702468Y-110667400D01* -X114609231Y-110685946D01* -X114521403Y-110722326D01* -X114442360Y-110775140D01* -X114375140Y-110842360D01* -X114322326Y-110921403D01* -X114285946Y-111009231D01* -X114267400Y-111102468D01* -X110178522Y-111102468D01* -X110160208Y-111010397D01* -X110124130Y-110923298D01* -X110071753Y-110844910D01* -X110005090Y-110778247D01* -X109926702Y-110725870D01* -X109839603Y-110689792D01* -X109747138Y-110671400D01* -X109652862Y-110671400D01* -X109560397Y-110689792D01* -X109473298Y-110725870D01* -X109394910Y-110778247D01* -X109328247Y-110844910D01* -X109275870Y-110923298D01* -X109239792Y-111010397D01* -X109221400Y-111102862D01* -X104577067Y-111102862D01* -X104571753Y-111094910D01* -X104505090Y-111028247D01* -X104426702Y-110975870D01* -X104339603Y-110939792D01* -X104247138Y-110921400D01* -X104152862Y-110921400D01* -X104060397Y-110939792D01* -X103973298Y-110975870D01* -X103894910Y-111028247D01* -X103828247Y-111094910D01* -X103775870Y-111173298D01* -X103739792Y-111260397D01* -X103721400Y-111352862D01* -X96666785Y-111352862D01* -X96668440Y-111350386D01* -X96708287Y-111254187D01* -X96728600Y-111152063D01* -X96728600Y-111047937D01* -X96708287Y-110945813D01* -X96668440Y-110849614D01* -X96610591Y-110763037D01* -X96536963Y-110689409D01* -X96450386Y-110631560D01* -X96354187Y-110591713D01* -X96252063Y-110571400D01* -X96147937Y-110571400D01* -X96045813Y-110591713D01* -X95949614Y-110631560D01* -X95863037Y-110689409D01* -X95789409Y-110763037D01* -X95731560Y-110849614D01* -X95691713Y-110945813D01* -X95671400Y-111047937D01* -X89728600Y-111047937D01* -X89708287Y-110945813D01* -X89668440Y-110849614D01* -X89610591Y-110763037D01* -X89536963Y-110689409D01* -X89450386Y-110631560D01* -X89354187Y-110591713D01* -X89252063Y-110571400D01* -X89147937Y-110571400D01* -X89045813Y-110591713D01* -X88949614Y-110631560D01* -X88863037Y-110689409D01* -X88789409Y-110763037D01* -X88731560Y-110849614D01* -X88691713Y-110945813D01* -X88671400Y-111047937D01* -X72315902Y-111047937D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72474130Y-110876702D01* -X72510208Y-110789603D01* -X72528600Y-110697138D01* -X72528600Y-110602862D01* -X72510208Y-110510397D01* -X72474130Y-110423298D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X71910397Y-110189792D01* -X71823298Y-110225870D01* -X71744910Y-110278247D01* -X71678247Y-110344910D01* -X71625870Y-110423298D01* -X71589792Y-110510397D01* -X71571400Y-110602862D01* -X46177200Y-110602862D01* -X46177200Y-109752862D01* -X69271400Y-109752862D01* -X69271400Y-109847138D01* -X69289792Y-109939603D01* -X69325870Y-110026702D01* -X69378247Y-110105090D01* -X69444910Y-110171753D01* -X69523298Y-110224130D01* -X69610397Y-110260208D01* -X69702862Y-110278600D01* -X69797138Y-110278600D01* -X69889603Y-110260208D01* -X69976702Y-110224130D01* -X70055090Y-110171753D01* -X70073981Y-110152862D01* -X89921400Y-110152862D01* -X89921400Y-110247138D01* -X89939792Y-110339603D01* -X89975870Y-110426702D01* -X90028247Y-110505090D01* -X90094910Y-110571753D01* -X90173298Y-110624130D01* -X90260397Y-110660208D01* -X90352862Y-110678600D01* -X90447138Y-110678600D01* -X90539603Y-110660208D01* -X90626702Y-110624130D01* -X90705090Y-110571753D01* -X90771753Y-110505090D01* -X90824130Y-110426702D01* -X90860208Y-110339603D01* -X90878600Y-110247138D01* -X90878600Y-110152862D01* -X96921400Y-110152862D01* -X96921400Y-110247138D01* -X96939792Y-110339603D01* -X96975870Y-110426702D01* -X97028247Y-110505090D01* -X97094910Y-110571753D01* -X97173298Y-110624130D01* -X97260397Y-110660208D01* -X97352862Y-110678600D01* -X97447138Y-110678600D01* -X97539603Y-110660208D01* -X97626702Y-110624130D01* -X97705090Y-110571753D01* -X97771753Y-110505090D01* -X97824130Y-110426702D01* -X97860208Y-110339603D01* -X97878600Y-110247138D01* -X97878600Y-110152862D01* -X97868655Y-110102862D01* -X109221400Y-110102862D01* -X109221400Y-110197138D01* -X109239792Y-110289603D01* -X109275870Y-110376702D01* -X109328247Y-110455090D01* -X109394910Y-110521753D01* -X109473298Y-110574130D01* -X109560397Y-110610208D01* -X109652862Y-110628600D01* -X109747138Y-110628600D01* -X109839603Y-110610208D01* -X109926702Y-110574130D01* -X110005090Y-110521753D01* -X110071753Y-110455090D01* -X110116522Y-110388088D01* -X110221400Y-110388088D01* -X110221400Y-110511912D01* -X110245556Y-110633356D01* -X110292941Y-110747754D01* -X110361734Y-110850709D01* -X110449291Y-110938266D01* -X110552246Y-111007059D01* -X110666644Y-111054444D01* -X110788088Y-111078600D01* -X110911912Y-111078600D01* -X111033356Y-111054444D01* -X111147754Y-111007059D01* -X111250709Y-110938266D01* -X111338266Y-110850709D01* -X111407059Y-110747754D01* -X111454444Y-110633356D01* -X111478600Y-110511912D01* -X111478600Y-110388088D01* -X111454444Y-110266644D01* -X111407059Y-110152246D01* -X111374062Y-110102862D01* -X114271400Y-110102862D01* -X114271400Y-110197138D01* -X114289792Y-110289603D01* -X114325870Y-110376702D01* -X114378247Y-110455090D01* -X114444910Y-110521753D01* -X114523298Y-110574130D01* -X114610397Y-110610208D01* -X114702862Y-110628600D01* -X114797138Y-110628600D01* -X114889603Y-110610208D01* -X114976702Y-110574130D01* -X115055090Y-110521753D01* -X115121753Y-110455090D01* -X115174130Y-110376702D01* -X115210208Y-110289603D01* -X115228600Y-110197138D01* -X115228600Y-110102862D01* -X122071400Y-110102862D01* -X122071400Y-110197138D01* -X122089792Y-110289603D01* -X122125870Y-110376702D01* -X122178247Y-110455090D01* -X122244910Y-110521753D01* -X122323298Y-110574130D01* -X122410397Y-110610208D01* -X122502862Y-110628600D01* -X122597138Y-110628600D01* -X122689603Y-110610208D01* -X122776702Y-110574130D01* -X122855090Y-110521753D01* -X122921753Y-110455090D01* -X122974130Y-110376702D01* -X123010208Y-110289603D01* -X123028600Y-110197138D01* -X123028600Y-110102862D01* -X123010208Y-110010397D01* -X122974130Y-109923298D01* -X122921753Y-109844910D01* -X122855090Y-109778247D01* -X122776702Y-109725870D01* -X122689603Y-109689792D01* -X122597138Y-109671400D01* -X122502862Y-109671400D01* -X122410397Y-109689792D01* -X122323298Y-109725870D01* -X122244910Y-109778247D01* -X122178247Y-109844910D01* -X122125870Y-109923298D01* -X122089792Y-110010397D01* -X122071400Y-110102862D01* -X115228600Y-110102862D01* -X115210208Y-110010397D01* -X115174130Y-109923298D01* -X115121753Y-109844910D01* -X115055090Y-109778247D01* -X114976702Y-109725870D01* -X114889603Y-109689792D01* -X114797138Y-109671400D01* -X114702862Y-109671400D01* -X114610397Y-109689792D01* -X114523298Y-109725870D01* -X114444910Y-109778247D01* -X114378247Y-109844910D01* -X114325870Y-109923298D01* -X114289792Y-110010397D01* -X114271400Y-110102862D01* -X111374062Y-110102862D01* -X111338266Y-110049291D01* -X111250709Y-109961734D01* -X111147754Y-109892941D01* -X111033356Y-109845556D01* -X110911912Y-109821400D01* -X110788088Y-109821400D01* -X110666644Y-109845556D01* -X110552246Y-109892941D01* -X110449291Y-109961734D01* -X110361734Y-110049291D01* -X110292941Y-110152246D01* -X110245556Y-110266644D01* -X110221400Y-110388088D01* -X110116522Y-110388088D01* -X110124130Y-110376702D01* -X110160208Y-110289603D01* -X110178600Y-110197138D01* -X110178600Y-110102862D01* -X110160208Y-110010397D01* -X110124130Y-109923298D01* -X110071753Y-109844910D01* -X110005090Y-109778247D01* -X109926702Y-109725870D01* -X109839603Y-109689792D01* -X109747138Y-109671400D01* -X109652862Y-109671400D01* -X109560397Y-109689792D01* -X109473298Y-109725870D01* -X109394910Y-109778247D01* -X109328247Y-109844910D01* -X109275870Y-109923298D01* -X109239792Y-110010397D01* -X109221400Y-110102862D01* -X97868655Y-110102862D01* -X97860208Y-110060397D01* -X97824130Y-109973298D01* -X97771753Y-109894910D01* -X97705090Y-109828247D01* -X97626702Y-109775870D01* -X97539603Y-109739792D01* -X97447138Y-109721400D01* -X97352862Y-109721400D01* -X97260397Y-109739792D01* -X97173298Y-109775870D01* -X97094910Y-109828247D01* -X97028247Y-109894910D01* -X96975870Y-109973298D01* -X96939792Y-110060397D01* -X96921400Y-110152862D01* -X90878600Y-110152862D01* -X90860208Y-110060397D01* -X90824130Y-109973298D01* -X90771753Y-109894910D01* -X90705090Y-109828247D01* -X90626702Y-109775870D01* -X90539603Y-109739792D01* -X90447138Y-109721400D01* -X90352862Y-109721400D01* -X90260397Y-109739792D01* -X90173298Y-109775870D01* -X90094910Y-109828247D01* -X90028247Y-109894910D01* -X89975870Y-109973298D01* -X89939792Y-110060397D01* -X89921400Y-110152862D01* -X70073981Y-110152862D01* -X70121753Y-110105090D01* -X70174130Y-110026702D01* -X70210208Y-109939603D01* -X70228600Y-109847138D01* -X70228600Y-109752862D01* -X70210208Y-109660397D01* -X70174130Y-109573298D01* -X70121753Y-109494910D01* -X70055090Y-109428247D01* -X69976702Y-109375870D01* -X69889603Y-109339792D01* -X69797138Y-109321400D01* -X69702862Y-109321400D01* -X69610397Y-109339792D01* -X69523298Y-109375870D01* -X69444910Y-109428247D01* -X69378247Y-109494910D01* -X69325870Y-109573298D01* -X69289792Y-109660397D01* -X69271400Y-109752862D01* -X46177200Y-109752862D01* -X46177200Y-108447359D01* -X60530257Y-108447359D01* -X60574492Y-108593195D01* -X60670029Y-108784808D01* -X60801112Y-108954101D01* -X60962704Y-109094568D01* -X61148595Y-109200811D01* -X61351641Y-109268747D01* -X61521200Y-109236558D01* -X61521200Y-108277800D01* -X61668800Y-108277800D01* -X61668800Y-109236558D01* -X61838359Y-109268747D01* -X62041405Y-109200811D01* -X62227296Y-109094568D01* -X62388888Y-108954101D01* -X62519971Y-108784808D01* -X62615508Y-108593195D01* -X62659743Y-108447359D01* -X62627416Y-108277800D01* -X61668800Y-108277800D01* -X61521200Y-108277800D01* -X60562584Y-108277800D01* -X60530257Y-108447359D01* -X46177200Y-108447359D01* -X46177200Y-107960641D01* -X60530257Y-107960641D01* -X60562584Y-108130200D01* -X61521200Y-108130200D01* -X61521200Y-107171442D01* -X61668800Y-107171442D01* -X61668800Y-108130200D01* -X62627416Y-108130200D01* -X62659743Y-107960641D01* -X62615508Y-107814805D01* -X62519971Y-107623192D01* -X62388888Y-107453899D01* -X62258320Y-107340400D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65211622Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82152862Y-109678600D01* -X82247138Y-109678600D01* -X82339603Y-109660208D01* -X82426702Y-109624130D01* -X82505090Y-109571753D01* -X82571753Y-109505090D01* -X82624130Y-109426702D01* -X82660208Y-109339603D01* -X82678600Y-109247138D01* -X82678600Y-109152862D01* -X82721400Y-109152862D01* -X82721400Y-109247138D01* -X82739792Y-109339603D01* -X82775870Y-109426702D01* -X82828247Y-109505090D01* -X82894910Y-109571753D01* -X82973298Y-109624130D01* -X83060397Y-109660208D01* -X83152862Y-109678600D01* -X83247138Y-109678600D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109202862D01* -X108471400Y-109202862D01* -X108471400Y-109297138D01* -X108489792Y-109389603D01* -X108525870Y-109476702D01* -X108578247Y-109555090D01* -X108644910Y-109621753D01* -X108723298Y-109674130D01* -X108810397Y-109710208D01* -X108902862Y-109728600D01* -X108997138Y-109728600D01* -X109089603Y-109710208D01* -X109176702Y-109674130D01* -X109255090Y-109621753D01* -X109321753Y-109555090D01* -X109374130Y-109476702D01* -X109404715Y-109402862D01* -X111271400Y-109402862D01* -X111271400Y-109497138D01* -X111289792Y-109589603D01* -X111325870Y-109676702D01* -X111378247Y-109755090D01* -X111444910Y-109821753D01* -X111523298Y-109874130D01* -X111610397Y-109910208D01* -X111702862Y-109928600D01* -X111797138Y-109928600D01* -X111889603Y-109910208D01* -X111976702Y-109874130D01* -X112055090Y-109821753D01* -X112121753Y-109755090D01* -X112174130Y-109676702D01* -X112210208Y-109589603D01* -X112228600Y-109497138D01* -X112228600Y-109402862D01* -X113571400Y-109402862D01* -X113571400Y-109497138D01* -X113589792Y-109589603D01* -X113625870Y-109676702D01* -X113678247Y-109755090D01* -X113744910Y-109821753D01* -X113823298Y-109874130D01* -X113910397Y-109910208D01* -X114002862Y-109928600D01* -X114097138Y-109928600D01* -X114189603Y-109910208D01* -X114276702Y-109874130D01* -X114355090Y-109821753D01* -X114421753Y-109755090D01* -X114474130Y-109676702D01* -X114510208Y-109589603D01* -X114528600Y-109497138D01* -X114528600Y-109402862D01* -X114510208Y-109310397D01* -X114474130Y-109223298D01* -X114421753Y-109144910D01* -X114355090Y-109078247D01* -X114276702Y-109025870D01* -X114189603Y-108989792D01* -X114097138Y-108971400D01* -X114002862Y-108971400D01* -X113910397Y-108989792D01* -X113823298Y-109025870D01* -X113744910Y-109078247D01* -X113678247Y-109144910D01* -X113625870Y-109223298D01* -X113589792Y-109310397D01* -X113571400Y-109402862D01* -X112228600Y-109402862D01* -X112210208Y-109310397D01* -X112174130Y-109223298D01* -X112121753Y-109144910D01* -X112055090Y-109078247D01* -X111976702Y-109025870D01* -X111889603Y-108989792D01* -X111797138Y-108971400D01* -X111702862Y-108971400D01* -X111610397Y-108989792D01* -X111523298Y-109025870D01* -X111444910Y-109078247D01* -X111378247Y-109144910D01* -X111325870Y-109223298D01* -X111289792Y-109310397D01* -X111271400Y-109402862D01* -X109404715Y-109402862D01* -X109410208Y-109389603D01* -X109428600Y-109297138D01* -X109428600Y-109202862D01* -X109410208Y-109110397D01* -X109374130Y-109023298D01* -X109321753Y-108944910D01* -X109255090Y-108878247D01* -X109176702Y-108825870D01* -X109089603Y-108789792D01* -X108997138Y-108771400D01* -X108902862Y-108771400D01* -X108810397Y-108789792D01* -X108723298Y-108825870D01* -X108644910Y-108878247D01* -X108578247Y-108944910D01* -X108525870Y-109023298D01* -X108489792Y-109110397D01* -X108471400Y-109202862D01* -X83678600Y-109202862D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83426702Y-108775870D01* -X83339603Y-108739792D01* -X83247138Y-108721400D01* -X83152862Y-108721400D01* -X83060397Y-108739792D01* -X82973298Y-108775870D01* -X82894910Y-108828247D01* -X82828247Y-108894910D01* -X82775870Y-108973298D01* -X82739792Y-109060397D01* -X82721400Y-109152862D01* -X82678600Y-109152862D01* -X82660208Y-109060397D01* -X82624130Y-108973298D01* -X82571753Y-108894910D01* -X82505090Y-108828247D01* -X82426702Y-108775870D01* -X82339603Y-108739792D01* -X82247138Y-108721400D01* -X82152862Y-108721400D01* -X82060397Y-108739792D01* -X81973298Y-108775870D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X65211622Y-109152862D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-108602862D01* -X79321400Y-108602862D01* -X79321400Y-108697138D01* -X79339792Y-108789603D01* -X79375870Y-108876702D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79573298Y-109074130D01* -X79660397Y-109110208D01* -X79752862Y-109128600D01* -X79847138Y-109128600D01* -X79939603Y-109110208D01* -X80026702Y-109074130D01* -X80105090Y-109021753D01* -X80171753Y-108955090D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80067099Y-108252862D01* -X82221400Y-108252862D01* -X82221400Y-108347138D01* -X82239792Y-108439603D01* -X82275870Y-108526702D01* -X82328247Y-108605090D01* -X82394910Y-108671753D01* -X82473298Y-108724130D01* -X82560397Y-108760208D01* -X82652862Y-108778600D01* -X82747138Y-108778600D01* -X82839603Y-108760208D01* -X82926702Y-108724130D01* -X83005090Y-108671753D01* -X83071753Y-108605090D01* -X83124130Y-108526702D01* -X83160208Y-108439603D01* -X83177461Y-108352862D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84102862Y-108878600D01* -X84197138Y-108878600D01* -X84289603Y-108860208D01* -X84376702Y-108824130D01* -X84455090Y-108771753D01* -X84521753Y-108705090D01* -X84523241Y-108702862D01* -X114271400Y-108702862D01* -X114271400Y-108797138D01* -X114289792Y-108889603D01* -X114325870Y-108976702D01* -X114378247Y-109055090D01* -X114444910Y-109121753D01* -X114523298Y-109174130D01* -X114610397Y-109210208D01* -X114702862Y-109228600D01* -X114797138Y-109228600D01* -X114889603Y-109210208D01* -X114976702Y-109174130D01* -X115055090Y-109121753D01* -X115121753Y-109055090D01* -X115174130Y-108976702D01* -X115210208Y-108889603D01* -X115228600Y-108797138D01* -X115228600Y-108702862D01* -X115228522Y-108702468D01* -X122067400Y-108702468D01* -X122067400Y-108797532D01* -X122085946Y-108890769D01* -X122122326Y-108978597D01* -X122175140Y-109057640D01* -X122242360Y-109124860D01* -X122321403Y-109177674D01* -X122409231Y-109214054D01* -X122502468Y-109232600D01* -X122597532Y-109232600D01* -X122690769Y-109214054D01* -X122778597Y-109177674D01* -X122857640Y-109124860D01* -X122924860Y-109057640D01* -X122977674Y-108978597D01* -X123014054Y-108890769D01* -X123032600Y-108797532D01* -X123032600Y-108702468D01* -X123014054Y-108609231D01* -X122977674Y-108521403D01* -X122924860Y-108442360D01* -X122857640Y-108375140D01* -X122778597Y-108322326D01* -X122690769Y-108285946D01* -X122597532Y-108267400D01* -X122502468Y-108267400D01* -X122409231Y-108285946D01* -X122321403Y-108322326D01* -X122242360Y-108375140D01* -X122175140Y-108442360D01* -X122122326Y-108521403D01* -X122085946Y-108609231D01* -X122067400Y-108702468D01* -X115228522Y-108702468D01* -X115210208Y-108610397D01* -X115174130Y-108523298D01* -X115121753Y-108444910D01* -X115055090Y-108378247D01* -X114976702Y-108325870D01* -X114889603Y-108289792D01* -X114797138Y-108271400D01* -X114702862Y-108271400D01* -X114610397Y-108289792D01* -X114523298Y-108325870D01* -X114444910Y-108378247D01* -X114378247Y-108444910D01* -X114325870Y-108523298D01* -X114289792Y-108610397D01* -X114271400Y-108702862D01* -X84523241Y-108702862D01* -X84574130Y-108626702D01* -X84610208Y-108539603D01* -X84628600Y-108447138D01* -X84628600Y-108352862D01* -X84610208Y-108260397D01* -X84574130Y-108173298D01* -X84521753Y-108094910D01* -X84455090Y-108028247D01* -X84376702Y-107975870D01* -X84289603Y-107939792D01* -X84197138Y-107921400D01* -X84102862Y-107921400D01* -X84010397Y-107939792D01* -X83923298Y-107975870D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83177461Y-108352862D01* -X83178600Y-108347138D01* -X83178600Y-108252862D01* -X83160208Y-108160397D01* -X83124130Y-108073298D01* -X83071753Y-107994910D01* -X83005090Y-107928247D01* -X82926702Y-107875870D01* -X82839603Y-107839792D01* -X82747138Y-107821400D01* -X82652862Y-107821400D01* -X82560397Y-107839792D01* -X82473298Y-107875870D01* -X82394910Y-107928247D01* -X82328247Y-107994910D01* -X82275870Y-108073298D01* -X82239792Y-108160397D01* -X82221400Y-108252862D01* -X80067099Y-108252862D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79375870Y-108423298D01* -X79339792Y-108510397D01* -X79321400Y-108602862D01* -X65228306Y-108602862D01* -X65228306Y-107602862D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80355090Y-108021753D01* -X80421753Y-107955090D01* -X80474130Y-107876702D01* -X80510208Y-107789603D01* -X80528600Y-107697138D01* -X80528600Y-107602862D01* -X80510208Y-107510397D01* -X80474130Y-107423298D01* -X80427067Y-107352862D01* -X83871400Y-107352862D01* -X83871400Y-107447138D01* -X83889792Y-107539603D01* -X83925870Y-107626702D01* -X83978247Y-107705090D01* -X84044910Y-107771753D01* -X84123298Y-107824130D01* -X84210397Y-107860208D01* -X84302862Y-107878600D01* -X84397138Y-107878600D01* -X84489603Y-107860208D01* -X84507337Y-107852862D01* -X89971400Y-107852862D01* -X89971400Y-107947138D01* -X89989792Y-108039603D01* -X90025870Y-108126702D01* -X90078247Y-108205090D01* -X90144910Y-108271753D01* -X90223298Y-108324130D01* -X90310397Y-108360208D01* -X90402862Y-108378600D01* -X90497138Y-108378600D01* -X90589603Y-108360208D01* -X90676702Y-108324130D01* -X90755090Y-108271753D01* -X90821753Y-108205090D01* -X90874130Y-108126702D01* -X90910208Y-108039603D01* -X90928600Y-107947138D01* -X90928600Y-107852862D01* -X96971400Y-107852862D01* -X96971400Y-107947138D01* -X96989792Y-108039603D01* -X97025870Y-108126702D01* -X97078247Y-108205090D01* -X97144910Y-108271753D01* -X97223298Y-108324130D01* -X97310397Y-108360208D01* -X97402862Y-108378600D01* -X97497138Y-108378600D01* -X97589603Y-108360208D01* -X97676702Y-108324130D01* -X97755090Y-108271753D01* -X97821753Y-108205090D01* -X97874130Y-108126702D01* -X97910208Y-108039603D01* -X97928600Y-107947138D01* -X97928600Y-107852862D01* -X97910208Y-107760397D01* -X97874130Y-107673298D01* -X97827067Y-107602862D01* -X108471400Y-107602862D01* -X108471400Y-107697138D01* -X108489792Y-107789603D01* -X108525870Y-107876702D01* -X108578247Y-107955090D01* -X108644910Y-108021753D01* -X108723298Y-108074130D01* -X108810397Y-108110208D01* -X108902862Y-108128600D01* -X108997138Y-108128600D01* -X109089603Y-108110208D01* -X109176702Y-108074130D01* -X109255090Y-108021753D01* -X109321753Y-107955090D01* -X109374130Y-107876702D01* -X109410208Y-107789603D01* -X109427461Y-107702862D01* -X114271400Y-107702862D01* -X114271400Y-107797138D01* -X114289792Y-107889603D01* -X114325870Y-107976702D01* -X114378247Y-108055090D01* -X114444910Y-108121753D01* -X114523298Y-108174130D01* -X114610397Y-108210208D01* -X114702862Y-108228600D01* -X114797138Y-108228600D01* -X114889603Y-108210208D01* -X114976702Y-108174130D01* -X115055090Y-108121753D01* -X115121753Y-108055090D01* -X115174130Y-107976702D01* -X115210208Y-107889603D01* -X115228600Y-107797138D01* -X115228600Y-107702862D01* -X122071400Y-107702862D01* -X122071400Y-107797138D01* -X122089792Y-107889603D01* -X122125870Y-107976702D01* -X122178247Y-108055090D01* -X122244910Y-108121753D01* -X122323298Y-108174130D01* -X122410397Y-108210208D01* -X122502862Y-108228600D01* -X122597138Y-108228600D01* -X122689603Y-108210208D01* -X122776702Y-108174130D01* -X122855090Y-108121753D01* -X122921753Y-108055090D01* -X122974130Y-107976702D01* -X123010208Y-107889603D01* -X123028600Y-107797138D01* -X123028600Y-107702862D01* -X123010208Y-107610397D01* -X122974130Y-107523298D01* -X122921753Y-107444910D01* -X122855090Y-107378247D01* -X122776702Y-107325870D01* -X122689603Y-107289792D01* -X122597138Y-107271400D01* -X122502862Y-107271400D01* -X122410397Y-107289792D01* -X122323298Y-107325870D01* -X122244910Y-107378247D01* -X122178247Y-107444910D01* -X122125870Y-107523298D01* -X122089792Y-107610397D01* -X122071400Y-107702862D01* -X115228600Y-107702862D01* -X115210208Y-107610397D01* -X115174130Y-107523298D01* -X115121753Y-107444910D01* -X115055090Y-107378247D01* -X114976702Y-107325870D01* -X114889603Y-107289792D01* -X114797138Y-107271400D01* -X114702862Y-107271400D01* -X114610397Y-107289792D01* -X114523298Y-107325870D01* -X114444910Y-107378247D01* -X114378247Y-107444910D01* -X114325870Y-107523298D01* -X114289792Y-107610397D01* -X114271400Y-107702862D01* -X109427461Y-107702862D01* -X109428600Y-107697138D01* -X109428600Y-107602862D01* -X109410208Y-107510397D01* -X109374130Y-107423298D01* -X109321753Y-107344910D01* -X109255090Y-107278247D01* -X109176702Y-107225870D01* -X109089603Y-107189792D01* -X108997138Y-107171400D01* -X108902862Y-107171400D01* -X108810397Y-107189792D01* -X108723298Y-107225870D01* -X108644910Y-107278247D01* -X108578247Y-107344910D01* -X108525870Y-107423298D01* -X108489792Y-107510397D01* -X108471400Y-107602862D01* -X97827067Y-107602862D01* -X97821753Y-107594910D01* -X97755090Y-107528247D01* -X97676702Y-107475870D01* -X97589603Y-107439792D01* -X97497138Y-107421400D01* -X97402862Y-107421400D01* -X97310397Y-107439792D01* -X97223298Y-107475870D01* -X97144910Y-107528247D01* -X97078247Y-107594910D01* -X97025870Y-107673298D01* -X96989792Y-107760397D01* -X96971400Y-107852862D01* -X90928600Y-107852862D01* -X90910208Y-107760397D01* -X90874130Y-107673298D01* -X90821753Y-107594910D01* -X90755090Y-107528247D01* -X90676702Y-107475870D01* -X90589603Y-107439792D01* -X90497138Y-107421400D01* -X90402862Y-107421400D01* -X90310397Y-107439792D01* -X90223298Y-107475870D01* -X90144910Y-107528247D01* -X90078247Y-107594910D01* -X90025870Y-107673298D01* -X89989792Y-107760397D01* -X89971400Y-107852862D01* -X84507337Y-107852862D01* -X84576702Y-107824130D01* -X84655090Y-107771753D01* -X84721753Y-107705090D01* -X84774130Y-107626702D01* -X84810208Y-107539603D01* -X84828600Y-107447138D01* -X84828600Y-107352862D01* -X84810208Y-107260397D01* -X84774130Y-107173298D01* -X84721753Y-107094910D01* -X84655090Y-107028247D01* -X84576702Y-106975870D01* -X84489603Y-106939792D01* -X84397138Y-106921400D01* -X84302862Y-106921400D01* -X84210397Y-106939792D01* -X84123298Y-106975870D01* -X84044910Y-107028247D01* -X83978247Y-107094910D01* -X83925870Y-107173298D01* -X83889792Y-107260397D01* -X83871400Y-107352862D01* -X80427067Y-107352862D01* -X80421753Y-107344910D01* -X80355090Y-107278247D01* -X80276702Y-107225870D01* -X80189603Y-107189792D01* -X80097138Y-107171400D01* -X80002862Y-107171400D01* -X79910397Y-107189792D01* -X79823298Y-107225870D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X65228306Y-107602862D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65189594Y-107212782D01* -X65161027Y-107177973D01* -X65126218Y-107149406D01* -X65086505Y-107128179D01* -X65043413Y-107115108D01* -X64998600Y-107110694D01* -X63271400Y-107110694D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X62258320Y-107340400D01* -X62227296Y-107313432D01* -X62041405Y-107207189D01* -X61838359Y-107139253D01* -X61668800Y-107171442D01* -X61521200Y-107171442D01* -X61351641Y-107139253D01* -X61148595Y-107207189D01* -X60962704Y-107313432D01* -X60801112Y-107453899D01* -X60670029Y-107623192D01* -X60574492Y-107814805D01* -X60530257Y-107960641D01* -X46177200Y-107960641D01* -X46177200Y-106852862D01* -X84771400Y-106852862D01* -X84771400Y-106947138D01* -X84789792Y-107039603D01* -X84825870Y-107126702D01* -X84878247Y-107205090D01* -X84944910Y-107271753D01* -X85023298Y-107324130D01* -X85110397Y-107360208D01* -X85202862Y-107378600D01* -X85297138Y-107378600D01* -X85389603Y-107360208D01* -X85476702Y-107324130D01* -X85555090Y-107271753D01* -X85621753Y-107205090D01* -X85674130Y-107126702D01* -X85710208Y-107039603D01* -X85728600Y-106947138D01* -X85728600Y-106852862D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89823298Y-107324130D01* -X89910397Y-107360208D01* -X90002862Y-107378600D01* -X90097138Y-107378600D01* -X90189603Y-107360208D01* -X90276702Y-107324130D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90474130Y-107126702D01* -X90510208Y-107039603D01* -X90528600Y-106947138D01* -X90528600Y-106902862D01* -X97321400Y-106902862D01* -X97321400Y-106997138D01* -X97339792Y-107089603D01* -X97375870Y-107176702D01* -X97428247Y-107255090D01* -X97494910Y-107321753D01* -X97573298Y-107374130D01* -X97660397Y-107410208D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97939603Y-107410208D01* -X98026702Y-107374130D01* -X98105090Y-107321753D01* -X98171753Y-107255090D01* -X98224130Y-107176702D01* -X98260208Y-107089603D01* -X98278600Y-106997138D01* -X98278600Y-106902862D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98210476Y-106702862D01* -X109221400Y-106702862D01* -X109221400Y-106797138D01* -X109239792Y-106889603D01* -X109275870Y-106976702D01* -X109328247Y-107055090D01* -X109394910Y-107121753D01* -X109473298Y-107174130D01* -X109560397Y-107210208D01* -X109652862Y-107228600D01* -X109747138Y-107228600D01* -X109839603Y-107210208D01* -X109926702Y-107174130D01* -X110005090Y-107121753D01* -X110071753Y-107055090D01* -X110106650Y-107002862D01* -X122771400Y-107002862D01* -X122771400Y-107097138D01* -X122789792Y-107189603D01* -X122825870Y-107276702D01* -X122878247Y-107355090D01* -X122944910Y-107421753D01* -X123023298Y-107474130D01* -X123110397Y-107510208D01* -X123202862Y-107528600D01* -X123297138Y-107528600D01* -X123389603Y-107510208D01* -X123476702Y-107474130D01* -X123555090Y-107421753D01* -X123621753Y-107355090D01* -X123674130Y-107276702D01* -X123710208Y-107189603D01* -X123728600Y-107097138D01* -X123728600Y-107002862D01* -X125071400Y-107002862D01* -X125071400Y-107097138D01* -X125089792Y-107189603D01* -X125125870Y-107276702D01* -X125178247Y-107355090D01* -X125244910Y-107421753D01* -X125323298Y-107474130D01* -X125410397Y-107510208D01* -X125502862Y-107528600D01* -X125597138Y-107528600D01* -X125689603Y-107510208D01* -X125776702Y-107474130D01* -X125855090Y-107421753D01* -X125921753Y-107355090D01* -X125974130Y-107276702D01* -X125990124Y-107238088D01* -X126821400Y-107238088D01* -X126821400Y-107361912D01* -X126845556Y-107483356D01* -X126892941Y-107597754D01* -X126961734Y-107700709D01* -X127049291Y-107788266D01* -X127152246Y-107857059D01* -X127266644Y-107904444D01* -X127388088Y-107928600D01* -X127511912Y-107928600D01* -X127633356Y-107904444D01* -X127747754Y-107857059D01* -X127850709Y-107788266D01* -X127938266Y-107700709D01* -X128007059Y-107597754D01* -X128054444Y-107483356D01* -X128078600Y-107361912D01* -X128078600Y-107238088D01* -X128054444Y-107116644D01* -X128007059Y-107002246D01* -X127938266Y-106899291D01* -X127850709Y-106811734D01* -X127747754Y-106742941D01* -X127633356Y-106695556D01* -X127511912Y-106671400D01* -X127388088Y-106671400D01* -X127266644Y-106695556D01* -X127152246Y-106742941D01* -X127049291Y-106811734D01* -X126961734Y-106899291D01* -X126892941Y-107002246D01* -X126845556Y-107116644D01* -X126821400Y-107238088D01* -X125990124Y-107238088D01* -X126010208Y-107189603D01* -X126028600Y-107097138D01* -X126028600Y-107002862D01* -X126010208Y-106910397D01* -X125974130Y-106823298D01* -X125921753Y-106744910D01* -X125855090Y-106678247D01* -X125776702Y-106625870D01* -X125689603Y-106589792D01* -X125597138Y-106571400D01* -X125502862Y-106571400D01* -X125410397Y-106589792D01* -X125323298Y-106625870D01* -X125244910Y-106678247D01* -X125178247Y-106744910D01* -X125125870Y-106823298D01* -X125089792Y-106910397D01* -X125071400Y-107002862D01* -X123728600Y-107002862D01* -X123710208Y-106910397D01* -X123674130Y-106823298D01* -X123621753Y-106744910D01* -X123555090Y-106678247D01* -X123476702Y-106625870D01* -X123389603Y-106589792D01* -X123297138Y-106571400D01* -X123202862Y-106571400D01* -X123110397Y-106589792D01* -X123023298Y-106625870D01* -X122944910Y-106678247D01* -X122878247Y-106744910D01* -X122825870Y-106823298D01* -X122789792Y-106910397D01* -X122771400Y-107002862D01* -X110106650Y-107002862D01* -X110124130Y-106976702D01* -X110160208Y-106889603D01* -X110178600Y-106797138D01* -X110178600Y-106702862D01* -X110160208Y-106610397D01* -X110124130Y-106523298D01* -X110071753Y-106444910D01* -X110005090Y-106378247D01* -X109926702Y-106325870D01* -X109871157Y-106302862D01* -X114271400Y-106302862D01* -X114271400Y-106397138D01* -X114289792Y-106489603D01* -X114325870Y-106576702D01* -X114378247Y-106655090D01* -X114444910Y-106721753D01* -X114523298Y-106774130D01* -X114610397Y-106810208D01* -X114702862Y-106828600D01* -X114797138Y-106828600D01* -X114889603Y-106810208D01* -X114976702Y-106774130D01* -X115055090Y-106721753D01* -X115121753Y-106655090D01* -X115174130Y-106576702D01* -X115210208Y-106489603D01* -X115228600Y-106397138D01* -X115228600Y-106302862D01* -X115228522Y-106302468D01* -X122067400Y-106302468D01* -X122067400Y-106397532D01* -X122085946Y-106490769D01* -X122122326Y-106578597D01* -X122175140Y-106657640D01* -X122242360Y-106724860D01* -X122321403Y-106777674D01* -X122409231Y-106814054D01* -X122502468Y-106832600D01* -X122597532Y-106832600D01* -X122690769Y-106814054D01* -X122778597Y-106777674D01* -X122857640Y-106724860D01* -X122924860Y-106657640D01* -X122977674Y-106578597D01* -X123014054Y-106490769D01* -X123032600Y-106397532D01* -X123032600Y-106302468D01* -X123014054Y-106209231D01* -X122988665Y-106147937D01* -X125921400Y-106147937D01* -X125921400Y-106252063D01* -X125941713Y-106354187D01* -X125981560Y-106450386D01* -X126039409Y-106536963D01* -X126113037Y-106610591D01* -X126199614Y-106668440D01* -X126295813Y-106708287D01* -X126397937Y-106728600D01* -X126502063Y-106728600D01* -X126604187Y-106708287D01* -X126700386Y-106668440D01* -X126786963Y-106610591D01* -X126860591Y-106536963D01* -X126918440Y-106450386D01* -X126958287Y-106354187D01* -X126978600Y-106252063D01* -X126978600Y-106147937D01* -X126958287Y-106045813D01* -X126918440Y-105949614D01* -X126860591Y-105863037D01* -X126786963Y-105789409D01* -X126700386Y-105731560D01* -X126604187Y-105691713D01* -X126502063Y-105671400D01* -X126397937Y-105671400D01* -X126295813Y-105691713D01* -X126199614Y-105731560D01* -X126113037Y-105789409D01* -X126039409Y-105863037D01* -X125981560Y-105949614D01* -X125941713Y-106045813D01* -X125921400Y-106147937D01* -X122988665Y-106147937D01* -X122977674Y-106121403D01* -X122924860Y-106042360D01* -X122857640Y-105975140D01* -X122778597Y-105922326D01* -X122690769Y-105885946D01* -X122597532Y-105867400D01* -X122502468Y-105867400D01* -X122409231Y-105885946D01* -X122321403Y-105922326D01* -X122242360Y-105975140D01* -X122175140Y-106042360D01* -X122122326Y-106121403D01* -X122085946Y-106209231D01* -X122067400Y-106302468D01* -X115228522Y-106302468D01* -X115210208Y-106210397D01* -X115174130Y-106123298D01* -X115121753Y-106044910D01* -X115055090Y-105978247D01* -X114976702Y-105925870D01* -X114889603Y-105889792D01* -X114797138Y-105871400D01* -X114702862Y-105871400D01* -X114610397Y-105889792D01* -X114523298Y-105925870D01* -X114444910Y-105978247D01* -X114378247Y-106044910D01* -X114325870Y-106123298D01* -X114289792Y-106210397D01* -X114271400Y-106302862D01* -X109871157Y-106302862D01* -X109839603Y-106289792D01* -X109747138Y-106271400D01* -X109652862Y-106271400D01* -X109560397Y-106289792D01* -X109473298Y-106325870D01* -X109394910Y-106378247D01* -X109328247Y-106444910D01* -X109275870Y-106523298D01* -X109239792Y-106610397D01* -X109221400Y-106702862D01* -X98210476Y-106702862D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97321400Y-106902862D01* -X90528600Y-106902862D01* -X90528600Y-106852862D01* -X90510208Y-106760397D01* -X90474130Y-106673298D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X85728600Y-106852862D01* -X85710208Y-106760397D01* -X85674130Y-106673298D01* -X85621753Y-106594910D01* -X85555090Y-106528247D01* -X85476702Y-106475870D01* -X85389603Y-106439792D01* -X85297138Y-106421400D01* -X85202862Y-106421400D01* -X85110397Y-106439792D01* -X85023298Y-106475870D01* -X84944910Y-106528247D01* -X84878247Y-106594910D01* -X84825870Y-106673298D01* -X84789792Y-106760397D01* -X84771400Y-106852862D01* -X46177200Y-106852862D01* -X46177200Y-105556428D01* -X60502800Y-105556428D01* -X60502800Y-105771572D01* -X60544772Y-105982583D01* -X60627105Y-106181351D01* -X60746633Y-106360237D01* -X60898763Y-106512367D01* -X61077649Y-106631895D01* -X61276417Y-106714228D01* -X61487428Y-106756200D01* -X61702572Y-106756200D01* -X61913583Y-106714228D01* -X62112351Y-106631895D01* -X62291237Y-106512367D01* -X62443367Y-106360237D01* -X62562895Y-106181351D01* -X62645228Y-105982583D01* -X62687200Y-105771572D01* -X62687200Y-105556428D01* -X63042800Y-105556428D01* -X63042800Y-105771572D01* -X63084772Y-105982583D01* -X63167105Y-106181351D01* -X63286633Y-106360237D01* -X63438763Y-106512367D01* -X63617649Y-106631895D01* -X63816417Y-106714228D01* -X64027428Y-106756200D01* -X64242572Y-106756200D01* -X64453583Y-106714228D01* -X64652351Y-106631895D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65227200Y-105771572D01* -X65227200Y-105556428D01* -X65226591Y-105553362D01* -X80547400Y-105553362D01* -X80547400Y-105647638D01* -X80565792Y-105740103D01* -X80601870Y-105827202D01* -X80654247Y-105905590D01* -X80720910Y-105972253D01* -X80799298Y-106024630D01* -X80886397Y-106060708D01* -X80978862Y-106079100D01* -X81073138Y-106079100D01* -X81165603Y-106060708D01* -X81252702Y-106024630D01* -X81331090Y-105972253D01* -X81397753Y-105905590D01* -X81432984Y-105852862D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84878247Y-106205090D01* -X84944910Y-106271753D01* -X85023298Y-106324130D01* -X85110397Y-106360208D01* -X85202862Y-106378600D01* -X85297138Y-106378600D01* -X85389603Y-106360208D01* -X85476702Y-106324130D01* -X85555090Y-106271753D01* -X85621753Y-106205090D01* -X85674130Y-106126702D01* -X85710208Y-106039603D01* -X85728600Y-105947138D01* -X85728600Y-105852862D01* -X85710208Y-105760397D01* -X85686376Y-105702862D01* -X109221400Y-105702862D01* -X109221400Y-105797138D01* -X109239792Y-105889603D01* -X109275870Y-105976702D01* -X109328247Y-106055090D01* -X109394910Y-106121753D01* -X109473298Y-106174130D01* -X109560397Y-106210208D01* -X109652862Y-106228600D01* -X109747138Y-106228600D01* -X109839603Y-106210208D01* -X109926702Y-106174130D01* -X110005090Y-106121753D01* -X110071753Y-106055090D01* -X110124130Y-105976702D01* -X110160208Y-105889603D01* -X110178600Y-105797138D01* -X110178600Y-105702862D01* -X110160208Y-105610397D01* -X110130257Y-105538088D01* -X110221400Y-105538088D01* -X110221400Y-105661912D01* -X110245556Y-105783356D01* -X110292941Y-105897754D01* -X110361734Y-106000709D01* -X110449291Y-106088266D01* -X110552246Y-106157059D01* -X110666644Y-106204444D01* -X110788088Y-106228600D01* -X110911912Y-106228600D01* -X111033356Y-106204444D01* -X111147754Y-106157059D01* -X111250709Y-106088266D01* -X111338266Y-106000709D01* -X111407059Y-105897754D01* -X111454444Y-105783356D01* -X111478600Y-105661912D01* -X111478600Y-105538088D01* -X111454444Y-105416644D01* -X111407315Y-105302862D01* -X114271400Y-105302862D01* -X114271400Y-105397138D01* -X114289792Y-105489603D01* -X114325870Y-105576702D01* -X114378247Y-105655090D01* -X114444910Y-105721753D01* -X114523298Y-105774130D01* -X114610397Y-105810208D01* -X114702862Y-105828600D01* -X114797138Y-105828600D01* -X114889603Y-105810208D01* -X114976702Y-105774130D01* -X115055090Y-105721753D01* -X115121753Y-105655090D01* -X115174130Y-105576702D01* -X115210208Y-105489603D01* -X115228600Y-105397138D01* -X115228600Y-105302862D01* -X122071400Y-105302862D01* -X122071400Y-105397138D01* -X122089792Y-105489603D01* -X122125870Y-105576702D01* -X122178247Y-105655090D01* -X122244910Y-105721753D01* -X122323298Y-105774130D01* -X122410397Y-105810208D01* -X122502862Y-105828600D01* -X122597138Y-105828600D01* -X122689603Y-105810208D01* -X122776702Y-105774130D01* -X122855090Y-105721753D01* -X122921753Y-105655090D01* -X122974130Y-105576702D01* -X123010208Y-105489603D01* -X123028600Y-105397138D01* -X123028600Y-105302862D01* -X123010208Y-105210397D01* -X122974130Y-105123298D01* -X122921753Y-105044910D01* -X122855090Y-104978247D01* -X122776702Y-104925870D01* -X122689603Y-104889792D01* -X122597138Y-104871400D01* -X122502862Y-104871400D01* -X122410397Y-104889792D01* -X122323298Y-104925870D01* -X122244910Y-104978247D01* -X122178247Y-105044910D01* -X122125870Y-105123298D01* -X122089792Y-105210397D01* -X122071400Y-105302862D01* -X115228600Y-105302862D01* -X115210208Y-105210397D01* -X115174130Y-105123298D01* -X115121753Y-105044910D01* -X115055090Y-104978247D01* -X114976702Y-104925870D01* -X114889603Y-104889792D01* -X114797138Y-104871400D01* -X114702862Y-104871400D01* -X114610397Y-104889792D01* -X114523298Y-104925870D01* -X114444910Y-104978247D01* -X114378247Y-105044910D01* -X114325870Y-105123298D01* -X114289792Y-105210397D01* -X114271400Y-105302862D01* -X111407315Y-105302862D01* -X111407059Y-105302246D01* -X111338266Y-105199291D01* -X111250709Y-105111734D01* -X111147754Y-105042941D01* -X111033356Y-104995556D01* -X110911912Y-104971400D01* -X110788088Y-104971400D01* -X110666644Y-104995556D01* -X110552246Y-105042941D01* -X110449291Y-105111734D01* -X110361734Y-105199291D01* -X110292941Y-105302246D01* -X110245556Y-105416644D01* -X110221400Y-105538088D01* -X110130257Y-105538088D01* -X110124130Y-105523298D01* -X110071753Y-105444910D01* -X110005090Y-105378247D01* -X109926702Y-105325870D01* -X109839603Y-105289792D01* -X109747138Y-105271400D01* -X109652862Y-105271400D01* -X109560397Y-105289792D01* -X109473298Y-105325870D01* -X109394910Y-105378247D01* -X109328247Y-105444910D01* -X109275870Y-105523298D01* -X109239792Y-105610397D01* -X109221400Y-105702862D01* -X85686376Y-105702862D01* -X85674130Y-105673298D01* -X85621753Y-105594910D01* -X85555090Y-105528247D01* -X85476702Y-105475870D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X81432984Y-105852862D01* -X81450130Y-105827202D01* -X81486208Y-105740103D01* -X81504600Y-105647638D01* -X81504600Y-105553362D01* -X81486208Y-105460897D01* -X81450130Y-105373798D01* -X81397753Y-105295410D01* -X81331090Y-105228747D01* -X81252702Y-105176370D01* -X81165603Y-105140292D01* -X81073138Y-105121900D01* -X80978862Y-105121900D01* -X80886397Y-105140292D01* -X80799298Y-105176370D01* -X80720910Y-105228747D01* -X80654247Y-105295410D01* -X80601870Y-105373798D01* -X80565792Y-105460897D01* -X80547400Y-105553362D01* -X65226591Y-105553362D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X65040229Y-105052862D01* -X82321400Y-105052862D01* -X82321400Y-105147138D01* -X82339792Y-105239603D01* -X82375870Y-105326702D01* -X82428247Y-105405090D01* -X82494910Y-105471753D01* -X82573298Y-105524130D01* -X82660397Y-105560208D01* -X82752862Y-105578600D01* -X82847138Y-105578600D01* -X82939603Y-105560208D01* -X83026702Y-105524130D01* -X83105090Y-105471753D01* -X83171753Y-105405090D01* -X83224130Y-105326702D01* -X83260208Y-105239603D01* -X83278600Y-105147138D01* -X83278600Y-105052862D01* -X83260208Y-104960397D01* -X83224130Y-104873298D01* -X83171753Y-104794910D01* -X83105090Y-104728247D01* -X83026702Y-104675870D01* -X82939603Y-104639792D01* -X82847138Y-104621400D01* -X82752862Y-104621400D01* -X82660397Y-104639792D01* -X82573298Y-104675870D01* -X82494910Y-104728247D01* -X82428247Y-104794910D01* -X82375870Y-104873298D01* -X82339792Y-104960397D01* -X82321400Y-105052862D01* -X65040229Y-105052862D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63167105Y-105146649D01* -X63084772Y-105345417D01* -X63042800Y-105556428D01* -X62687200Y-105556428D01* -X62645228Y-105345417D01* -X62562895Y-105146649D01* -X62443367Y-104967763D01* -X62291237Y-104815633D01* -X62112351Y-104696105D01* -X61913583Y-104613772D01* -X61702572Y-104571800D01* -X61487428Y-104571800D01* -X61276417Y-104613772D01* -X61077649Y-104696105D01* -X60898763Y-104815633D01* -X60746633Y-104967763D01* -X60627105Y-105146649D01* -X60544772Y-105345417D01* -X60502800Y-105556428D01* -X46177200Y-105556428D01* -X46177200Y-104252862D01* -X81471400Y-104252862D01* -X81471400Y-104347138D01* -X81489792Y-104439603D01* -X81525870Y-104526702D01* -X81578247Y-104605090D01* -X81644910Y-104671753D01* -X81723298Y-104724130D01* -X81810397Y-104760208D01* -X81902862Y-104778600D01* -X81997138Y-104778600D01* -X82089603Y-104760208D01* -X82176702Y-104724130D01* -X82255090Y-104671753D01* -X82321753Y-104605090D01* -X82374130Y-104526702D01* -X82410208Y-104439603D01* -X82428600Y-104347138D01* -X82428600Y-104252862D01* -X82410208Y-104160397D01* -X82374130Y-104073298D01* -X82321753Y-103994910D01* -X82279705Y-103952862D01* -X99121400Y-103952862D01* -X99121400Y-104047138D01* -X99139792Y-104139603D01* -X99175870Y-104226702D01* -X99228247Y-104305090D01* -X99294910Y-104371753D01* -X99373298Y-104424130D01* -X99460397Y-104460208D01* -X99552862Y-104478600D01* -X99647138Y-104478600D01* -X99739603Y-104460208D01* -X99757337Y-104452862D01* -X100021400Y-104452862D01* -X100021400Y-104547138D01* -X100039792Y-104639603D01* -X100075870Y-104726702D01* -X100128247Y-104805090D01* -X100194910Y-104871753D01* -X100273298Y-104924130D01* -X100360397Y-104960208D01* -X100452862Y-104978600D01* -X100547138Y-104978600D01* -X100639603Y-104960208D01* -X100726702Y-104924130D01* -X100805090Y-104871753D01* -X100871753Y-104805090D01* -X100873241Y-104802862D01* -X108471400Y-104802862D01* -X108471400Y-104897138D01* -X108489792Y-104989603D01* -X108525870Y-105076702D01* -X108578247Y-105155090D01* -X108644910Y-105221753D01* -X108723298Y-105274130D01* -X108810397Y-105310208D01* -X108902862Y-105328600D01* -X108997138Y-105328600D01* -X109089603Y-105310208D01* -X109176702Y-105274130D01* -X109255090Y-105221753D01* -X109321753Y-105155090D01* -X109374130Y-105076702D01* -X109410208Y-104989603D01* -X109428600Y-104897138D01* -X109428600Y-104802862D01* -X109410208Y-104710397D01* -X109374130Y-104623298D01* -X109360476Y-104602862D01* -X109471400Y-104602862D01* -X109471400Y-104697138D01* -X109489792Y-104789603D01* -X109525870Y-104876702D01* -X109578247Y-104955090D01* -X109644910Y-105021753D01* -X109723298Y-105074130D01* -X109810397Y-105110208D01* -X109902862Y-105128600D01* -X109997138Y-105128600D01* -X110089603Y-105110208D01* -X110176702Y-105074130D01* -X110255090Y-105021753D01* -X110321753Y-104955090D01* -X110374130Y-104876702D01* -X110410208Y-104789603D01* -X110428600Y-104697138D01* -X110428600Y-104602862D01* -X111271400Y-104602862D01* -X111271400Y-104697138D01* -X111289792Y-104789603D01* -X111325870Y-104876702D01* -X111378247Y-104955090D01* -X111444910Y-105021753D01* -X111523298Y-105074130D01* -X111610397Y-105110208D01* -X111702862Y-105128600D01* -X111797138Y-105128600D01* -X111889603Y-105110208D01* -X111976702Y-105074130D01* -X112055090Y-105021753D01* -X112121753Y-104955090D01* -X112174130Y-104876702D01* -X112210208Y-104789603D01* -X112228600Y-104697138D01* -X112228600Y-104602862D01* -X113571400Y-104602862D01* -X113571400Y-104697138D01* -X113589792Y-104789603D01* -X113625870Y-104876702D01* -X113678247Y-104955090D01* -X113744910Y-105021753D01* -X113823298Y-105074130D01* -X113910397Y-105110208D01* -X114002862Y-105128600D01* -X114097138Y-105128600D01* -X114189603Y-105110208D01* -X114276702Y-105074130D01* -X114355090Y-105021753D01* -X114421753Y-104955090D01* -X114474130Y-104876702D01* -X114510208Y-104789603D01* -X114528600Y-104697138D01* -X114528600Y-104602862D01* -X114510208Y-104510397D01* -X114474130Y-104423298D01* -X114421753Y-104344910D01* -X114355090Y-104278247D01* -X114276702Y-104225870D01* -X114189603Y-104189792D01* -X114097138Y-104171400D01* -X114002862Y-104171400D01* -X113910397Y-104189792D01* -X113823298Y-104225870D01* -X113744910Y-104278247D01* -X113678247Y-104344910D01* -X113625870Y-104423298D01* -X113589792Y-104510397D01* -X113571400Y-104602862D01* -X112228600Y-104602862D01* -X112210208Y-104510397D01* -X112174130Y-104423298D01* -X112121753Y-104344910D01* -X112055090Y-104278247D01* -X111976702Y-104225870D01* -X111889603Y-104189792D01* -X111797138Y-104171400D01* -X111702862Y-104171400D01* -X111610397Y-104189792D01* -X111523298Y-104225870D01* -X111444910Y-104278247D01* -X111378247Y-104344910D01* -X111325870Y-104423298D01* -X111289792Y-104510397D01* -X111271400Y-104602862D01* -X110428600Y-104602862D01* -X110410208Y-104510397D01* -X110374130Y-104423298D01* -X110321753Y-104344910D01* -X110255090Y-104278247D01* -X110176702Y-104225870D01* -X110089603Y-104189792D01* -X109997138Y-104171400D01* -X109902862Y-104171400D01* -X109810397Y-104189792D01* -X109723298Y-104225870D01* -X109644910Y-104278247D01* -X109578247Y-104344910D01* -X109525870Y-104423298D01* -X109489792Y-104510397D01* -X109471400Y-104602862D01* -X109360476Y-104602862D01* -X109321753Y-104544910D01* -X109255090Y-104478247D01* -X109176702Y-104425870D01* -X109089603Y-104389792D01* -X108997138Y-104371400D01* -X108902862Y-104371400D01* -X108810397Y-104389792D01* -X108723298Y-104425870D01* -X108644910Y-104478247D01* -X108578247Y-104544910D01* -X108525870Y-104623298D01* -X108489792Y-104710397D01* -X108471400Y-104802862D01* -X100873241Y-104802862D01* -X100924130Y-104726702D01* -X100960208Y-104639603D01* -X100978600Y-104547138D01* -X100978600Y-104452862D01* -X100960208Y-104360397D01* -X100924130Y-104273298D01* -X100871753Y-104194910D01* -X100805090Y-104128247D01* -X100726702Y-104075870D01* -X100639603Y-104039792D01* -X100547138Y-104021400D01* -X100452862Y-104021400D01* -X100360397Y-104039792D01* -X100273298Y-104075870D01* -X100194910Y-104128247D01* -X100128247Y-104194910D01* -X100075870Y-104273298D01* -X100039792Y-104360397D01* -X100021400Y-104452862D01* -X99757337Y-104452862D01* -X99826702Y-104424130D01* -X99905090Y-104371753D01* -X99971753Y-104305090D01* -X100024130Y-104226702D01* -X100060208Y-104139603D01* -X100078600Y-104047138D01* -X100078600Y-103952862D01* -X100060208Y-103860397D01* -X100024130Y-103773298D01* -X99971753Y-103694910D01* -X99905090Y-103628247D01* -X99826702Y-103575870D01* -X99739603Y-103539792D01* -X99647138Y-103521400D01* -X99552862Y-103521400D01* -X99460397Y-103539792D01* -X99373298Y-103575870D01* -X99294910Y-103628247D01* -X99228247Y-103694910D01* -X99175870Y-103773298D01* -X99139792Y-103860397D01* -X99121400Y-103952862D01* -X82279705Y-103952862D01* -X82255090Y-103928247D01* -X82176702Y-103875870D01* -X82089603Y-103839792D01* -X81997138Y-103821400D01* -X81902862Y-103821400D01* -X81810397Y-103839792D01* -X81723298Y-103875870D01* -X81644910Y-103928247D01* -X81578247Y-103994910D01* -X81525870Y-104073298D01* -X81489792Y-104160397D01* -X81471400Y-104252862D01* -X46177200Y-104252862D01* -X46177200Y-103016428D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61276417Y-104174228D01* -X61487428Y-104216200D01* -X61702572Y-104216200D01* -X61913583Y-104174228D01* -X62112351Y-104091895D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63286633Y-103820237D01* -X63438763Y-103972367D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103076862D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76844247Y-103429090D01* -X76910910Y-103495753D01* -X76989298Y-103548130D01* -X77076397Y-103584208D01* -X77168862Y-103602600D01* -X77263138Y-103602600D01* -X77355603Y-103584208D01* -X77442702Y-103548130D01* -X77521090Y-103495753D01* -X77587753Y-103429090D01* -X77640130Y-103350702D01* -X77676208Y-103263603D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78114247Y-103429090D01* -X78180910Y-103495753D01* -X78259298Y-103548130D01* -X78346397Y-103584208D01* -X78438862Y-103602600D01* -X78533138Y-103602600D01* -X78625603Y-103584208D01* -X78712702Y-103548130D01* -X78791090Y-103495753D01* -X78857753Y-103429090D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79384247Y-103429090D01* -X79450910Y-103495753D01* -X79529298Y-103548130D01* -X79616397Y-103584208D01* -X79708862Y-103602600D01* -X79803138Y-103602600D01* -X79895603Y-103584208D01* -X79982702Y-103548130D01* -X80061090Y-103495753D01* -X80127753Y-103429090D01* -X80178686Y-103352862D01* -X87071400Y-103352862D01* -X87071400Y-103447138D01* -X87089792Y-103539603D01* -X87125870Y-103626702D01* -X87178247Y-103705090D01* -X87244910Y-103771753D01* -X87323298Y-103824130D01* -X87410397Y-103860208D01* -X87502862Y-103878600D01* -X87597138Y-103878600D01* -X87689603Y-103860208D01* -X87776702Y-103824130D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88027461Y-103452862D01* -X100071400Y-103452862D01* -X100071400Y-103547138D01* -X100089792Y-103639603D01* -X100125870Y-103726702D01* -X100178247Y-103805090D01* -X100244910Y-103871753D01* -X100323298Y-103924130D01* -X100410397Y-103960208D01* -X100502862Y-103978600D01* -X100597138Y-103978600D01* -X100689603Y-103960208D01* -X100776702Y-103924130D01* -X100855090Y-103871753D01* -X100921753Y-103805090D01* -X100974130Y-103726702D01* -X101010208Y-103639603D01* -X101028600Y-103547138D01* -X101028600Y-103502862D01* -X102371400Y-103502862D01* -X102371400Y-103597138D01* -X102389792Y-103689603D01* -X102425870Y-103776702D01* -X102478247Y-103855090D01* -X102544910Y-103921753D01* -X102623298Y-103974130D01* -X102710397Y-104010208D01* -X102802862Y-104028600D01* -X102897138Y-104028600D01* -X102989603Y-104010208D01* -X103076702Y-103974130D01* -X103155090Y-103921753D01* -X103221753Y-103855090D01* -X103274130Y-103776702D01* -X103310208Y-103689603D01* -X103328600Y-103597138D01* -X103328600Y-103502862D01* -X103325662Y-103488088D01* -X104121400Y-103488088D01* -X104121400Y-103611912D01* -X104145556Y-103733356D01* -X104192941Y-103847754D01* -X104261734Y-103950709D01* -X104349291Y-104038266D01* -X104452246Y-104107059D01* -X104566644Y-104154444D01* -X104688088Y-104178600D01* -X104811912Y-104178600D01* -X104933356Y-104154444D01* -X105047754Y-104107059D01* -X105150709Y-104038266D01* -X105238266Y-103950709D01* -X105307059Y-103847754D01* -X105325653Y-103802862D01* -X114271400Y-103802862D01* -X114271400Y-103897138D01* -X114289792Y-103989603D01* -X114325870Y-104076702D01* -X114378247Y-104155090D01* -X114444910Y-104221753D01* -X114523298Y-104274130D01* -X114610397Y-104310208D01* -X114702862Y-104328600D01* -X114797138Y-104328600D01* -X114889603Y-104310208D01* -X114976702Y-104274130D01* -X115055090Y-104221753D01* -X115121753Y-104155090D01* -X115174130Y-104076702D01* -X115210208Y-103989603D01* -X115228600Y-103897138D01* -X115228600Y-103802862D01* -X115228522Y-103802468D01* -X122067400Y-103802468D01* -X122067400Y-103897532D01* -X122085946Y-103990769D01* -X122122326Y-104078597D01* -X122175140Y-104157640D01* -X122242360Y-104224860D01* -X122321403Y-104277674D01* -X122409231Y-104314054D01* -X122502468Y-104332600D01* -X122597532Y-104332600D01* -X122690769Y-104314054D01* -X122778597Y-104277674D01* -X122857640Y-104224860D01* -X122924860Y-104157640D01* -X122977674Y-104078597D01* -X123014054Y-103990769D01* -X123032600Y-103897532D01* -X123032600Y-103802468D01* -X123014054Y-103709231D01* -X122977674Y-103621403D01* -X122924860Y-103542360D01* -X122857640Y-103475140D01* -X122778597Y-103422326D01* -X122690769Y-103385946D01* -X122597532Y-103367400D01* -X122502468Y-103367400D01* -X122409231Y-103385946D01* -X122321403Y-103422326D01* -X122242360Y-103475140D01* -X122175140Y-103542360D01* -X122122326Y-103621403D01* -X122085946Y-103709231D01* -X122067400Y-103802468D01* -X115228522Y-103802468D01* -X115210208Y-103710397D01* -X115174130Y-103623298D01* -X115121753Y-103544910D01* -X115055090Y-103478247D01* -X114976702Y-103425870D01* -X114889603Y-103389792D01* -X114797138Y-103371400D01* -X114702862Y-103371400D01* -X114610397Y-103389792D01* -X114523298Y-103425870D01* -X114444910Y-103478247D01* -X114378247Y-103544910D01* -X114325870Y-103623298D01* -X114289792Y-103710397D01* -X114271400Y-103802862D01* -X105325653Y-103802862D01* -X105354444Y-103733356D01* -X105378600Y-103611912D01* -X105378600Y-103488088D01* -X105354444Y-103366644D01* -X105307059Y-103252246D01* -X105238266Y-103149291D01* -X105150709Y-103061734D01* -X105062013Y-103002468D01* -X122767400Y-103002468D01* -X122767400Y-103097532D01* -X122785946Y-103190769D01* -X122822326Y-103278597D01* -X122875140Y-103357640D01* -X122942360Y-103424860D01* -X123021403Y-103477674D01* -X123109231Y-103514054D01* -X123202468Y-103532600D01* -X123297532Y-103532600D01* -X123390769Y-103514054D01* -X123478597Y-103477674D01* -X123557640Y-103424860D01* -X123624860Y-103357640D01* -X123677674Y-103278597D01* -X123714054Y-103190769D01* -X123732600Y-103097532D01* -X123732600Y-103002862D01* -X125071400Y-103002862D01* -X125071400Y-103097138D01* -X125089792Y-103189603D01* -X125125870Y-103276702D01* -X125178247Y-103355090D01* -X125244910Y-103421753D01* -X125323298Y-103474130D01* -X125410397Y-103510208D01* -X125502862Y-103528600D01* -X125597138Y-103528600D01* -X125689603Y-103510208D01* -X125776702Y-103474130D01* -X125855090Y-103421753D01* -X125921753Y-103355090D01* -X125974130Y-103276702D01* -X125989349Y-103239960D01* -X126840400Y-103239960D01* -X126840400Y-103360040D01* -X126863826Y-103477814D01* -X126909779Y-103588754D01* -X126976492Y-103688598D01* -X127061402Y-103773508D01* -X127161246Y-103840221D01* -X127272186Y-103886174D01* -X127389960Y-103909600D01* -X127510040Y-103909600D01* -X127627814Y-103886174D01* -X127738754Y-103840221D01* -X127838598Y-103773508D01* -X127923508Y-103688598D01* -X127990221Y-103588754D01* -X128036174Y-103477814D01* -X128059600Y-103360040D01* -X128059600Y-103239960D01* -X128036174Y-103122186D01* -X127990221Y-103011246D01* -X127923508Y-102911402D01* -X127838598Y-102826492D01* -X127738754Y-102759779D01* -X127627814Y-102713826D01* -X127510040Y-102690400D01* -X127389960Y-102690400D01* -X127272186Y-102713826D01* -X127161246Y-102759779D01* -X127061402Y-102826492D01* -X126976492Y-102911402D01* -X126909779Y-103011246D01* -X126863826Y-103122186D01* -X126840400Y-103239960D01* -X125989349Y-103239960D01* -X126010208Y-103189603D01* -X126028600Y-103097138D01* -X126028600Y-103002862D01* -X126010208Y-102910397D01* -X125974130Y-102823298D01* -X125921753Y-102744910D01* -X125855090Y-102678247D01* -X125776702Y-102625870D01* -X125689603Y-102589792D01* -X125597138Y-102571400D01* -X125502862Y-102571400D01* -X125410397Y-102589792D01* -X125323298Y-102625870D01* -X125244910Y-102678247D01* -X125178247Y-102744910D01* -X125125870Y-102823298D01* -X125089792Y-102910397D01* -X125071400Y-103002862D01* -X123732600Y-103002862D01* -X123732600Y-103002468D01* -X123714054Y-102909231D01* -X123677674Y-102821403D01* -X123624860Y-102742360D01* -X123557640Y-102675140D01* -X123478597Y-102622326D01* -X123390769Y-102585946D01* -X123297532Y-102567400D01* -X123202468Y-102567400D01* -X123109231Y-102585946D01* -X123021403Y-102622326D01* -X122942360Y-102675140D01* -X122875140Y-102742360D01* -X122822326Y-102821403D01* -X122785946Y-102909231D01* -X122767400Y-103002468D01* -X105062013Y-103002468D01* -X105047754Y-102992941D01* -X104933356Y-102945556D01* -X104811912Y-102921400D01* -X104688088Y-102921400D01* -X104566644Y-102945556D01* -X104452246Y-102992941D01* -X104349291Y-103061734D01* -X104261734Y-103149291D01* -X104192941Y-103252246D01* -X104145556Y-103366644D01* -X104121400Y-103488088D01* -X103325662Y-103488088D01* -X103310208Y-103410397D01* -X103274130Y-103323298D01* -X103221753Y-103244910D01* -X103155090Y-103178247D01* -X103076702Y-103125870D01* -X102989603Y-103089792D01* -X102897138Y-103071400D01* -X102802862Y-103071400D01* -X102710397Y-103089792D01* -X102623298Y-103125870D01* -X102544910Y-103178247D01* -X102478247Y-103244910D01* -X102425870Y-103323298D01* -X102389792Y-103410397D01* -X102371400Y-103502862D01* -X101028600Y-103502862D01* -X101028600Y-103452862D01* -X101010208Y-103360397D01* -X100974130Y-103273298D01* -X100921753Y-103194910D01* -X100855090Y-103128247D01* -X100776702Y-103075870D01* -X100689603Y-103039792D01* -X100597138Y-103021400D01* -X100502862Y-103021400D01* -X100410397Y-103039792D01* -X100323298Y-103075870D01* -X100244910Y-103128247D01* -X100178247Y-103194910D01* -X100125870Y-103273298D01* -X100089792Y-103360397D01* -X100071400Y-103452862D01* -X88027461Y-103452862D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87323298Y-102975870D01* -X87244910Y-103028247D01* -X87178247Y-103094910D01* -X87125870Y-103173298D01* -X87089792Y-103260397D01* -X87071400Y-103352862D01* -X80178686Y-103352862D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79616397Y-102663792D01* -X79529298Y-102699870D01* -X79450910Y-102752247D01* -X79384247Y-102818910D01* -X79331870Y-102897298D01* -X79295792Y-102984397D01* -X79277400Y-103076862D01* -X78964600Y-103076862D01* -X78946208Y-102984397D01* -X78910130Y-102897298D01* -X78857753Y-102818910D01* -X78791090Y-102752247D01* -X78712702Y-102699870D01* -X78625603Y-102663792D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78346397Y-102663792D01* -X78259298Y-102699870D01* -X78180910Y-102752247D01* -X78114247Y-102818910D01* -X78061870Y-102897298D01* -X78025792Y-102984397D01* -X78007400Y-103076862D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X65227200Y-103076862D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64958466Y-102402862D01* -X83771400Y-102402862D01* -X83771400Y-102497138D01* -X83789792Y-102589603D01* -X83825870Y-102676702D01* -X83878247Y-102755090D01* -X83944910Y-102821753D01* -X84023298Y-102874130D01* -X84110397Y-102910208D01* -X84202862Y-102928600D01* -X84297138Y-102928600D01* -X84389603Y-102910208D01* -X84476702Y-102874130D01* -X84555090Y-102821753D01* -X84621753Y-102755090D01* -X84674130Y-102676702D01* -X84710208Y-102589603D01* -X84728600Y-102497138D01* -X84728600Y-102402862D01* -X99121400Y-102402862D01* -X99121400Y-102497138D01* -X99139792Y-102589603D01* -X99175870Y-102676702D01* -X99228247Y-102755090D01* -X99294910Y-102821753D01* -X99373298Y-102874130D01* -X99460397Y-102910208D01* -X99552862Y-102928600D01* -X99647138Y-102928600D01* -X99739603Y-102910208D01* -X99826702Y-102874130D01* -X99905090Y-102821753D01* -X99971753Y-102755090D01* -X100024130Y-102676702D01* -X100060208Y-102589603D01* -X100070454Y-102538088D01* -X103121400Y-102538088D01* -X103121400Y-102661912D01* -X103145556Y-102783356D01* -X103192941Y-102897754D01* -X103261734Y-103000709D01* -X103349291Y-103088266D01* -X103452246Y-103157059D01* -X103566644Y-103204444D01* -X103688088Y-103228600D01* -X103811912Y-103228600D01* -X103933356Y-103204444D01* -X104047754Y-103157059D01* -X104150709Y-103088266D01* -X104238266Y-103000709D01* -X104307059Y-102897754D01* -X104354444Y-102783356D01* -X104378600Y-102661912D01* -X104378600Y-102538088D01* -X104354444Y-102416644D01* -X104307059Y-102302246D01* -X104238266Y-102199291D01* -X104150709Y-102111734D01* -X104047754Y-102042941D01* -X103933356Y-101995556D01* -X103811912Y-101971400D01* -X103688088Y-101971400D01* -X103566644Y-101995556D01* -X103452246Y-102042941D01* -X103349291Y-102111734D01* -X103261734Y-102199291D01* -X103192941Y-102302246D01* -X103145556Y-102416644D01* -X103121400Y-102538088D01* -X100070454Y-102538088D01* -X100078600Y-102497138D01* -X100078600Y-102402862D01* -X100060208Y-102310397D01* -X100024130Y-102223298D01* -X99971753Y-102144910D01* -X99905090Y-102078247D01* -X99826702Y-102025870D01* -X99739603Y-101989792D01* -X99647138Y-101971400D01* -X99552862Y-101971400D01* -X99460397Y-101989792D01* -X99373298Y-102025870D01* -X99294910Y-102078247D01* -X99228247Y-102144910D01* -X99175870Y-102223298D01* -X99139792Y-102310397D01* -X99121400Y-102402862D01* -X84728600Y-102402862D01* -X84710208Y-102310397D01* -X84674130Y-102223298D01* -X84621753Y-102144910D01* -X84555090Y-102078247D01* -X84476702Y-102025870D01* -X84389603Y-101989792D01* -X84297138Y-101971400D01* -X84202862Y-101971400D01* -X84110397Y-101989792D01* -X84023298Y-102025870D01* -X83944910Y-102078247D01* -X83878247Y-102144910D01* -X83825870Y-102223298D01* -X83789792Y-102310397D01* -X83771400Y-102402862D01* -X64958466Y-102402862D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X46177200Y-103016428D01* -X46177200Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64800564Y-101452862D01* -X83021400Y-101452862D01* -X83021400Y-101547138D01* -X83039792Y-101639603D01* -X83075870Y-101726702D01* -X83128247Y-101805090D01* -X83194910Y-101871753D01* -X83273298Y-101924130D01* -X83360397Y-101960208D01* -X83452862Y-101978600D01* -X83547138Y-101978600D01* -X83639603Y-101960208D01* -X83726702Y-101924130D01* -X83805090Y-101871753D01* -X83871753Y-101805090D01* -X83924130Y-101726702D01* -X83960208Y-101639603D01* -X83978600Y-101547138D01* -X83978600Y-101452862D01* -X83960208Y-101360397D01* -X83957087Y-101352862D01* -X84771400Y-101352862D01* -X84771400Y-101447138D01* -X84789792Y-101539603D01* -X84825870Y-101626702D01* -X84878247Y-101705090D01* -X84944910Y-101771753D01* -X85023298Y-101824130D01* -X85110397Y-101860208D01* -X85202862Y-101878600D01* -X85297138Y-101878600D01* -X85389603Y-101860208D01* -X85476702Y-101824130D01* -X85555090Y-101771753D01* -X85621753Y-101705090D01* -X85674130Y-101626702D01* -X85710208Y-101539603D01* -X85728600Y-101447138D01* -X85728600Y-101352862D01* -X87021400Y-101352862D01* -X87021400Y-101447138D01* -X87039792Y-101539603D01* -X87075870Y-101626702D01* -X87128247Y-101705090D01* -X87194910Y-101771753D01* -X87273298Y-101824130D01* -X87360397Y-101860208D01* -X87452862Y-101878600D01* -X87547138Y-101878600D01* -X87639603Y-101860208D01* -X87657337Y-101852862D01* -X87971400Y-101852862D01* -X87971400Y-101947138D01* -X87989792Y-102039603D01* -X88025870Y-102126702D01* -X88078247Y-102205090D01* -X88144910Y-102271753D01* -X88223298Y-102324130D01* -X88310397Y-102360208D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88025870Y-101673298D01* -X87989792Y-101760397D01* -X87971400Y-101852862D01* -X87657337Y-101852862D01* -X87726702Y-101824130D01* -X87805090Y-101771753D01* -X87871753Y-101705090D01* -X87924130Y-101626702D01* -X87960208Y-101539603D01* -X87978600Y-101447138D01* -X87978600Y-101352862D01* -X87960208Y-101260397D01* -X87924130Y-101173298D01* -X87871753Y-101094910D01* -X87805090Y-101028247D01* -X87726702Y-100975870D01* -X87639603Y-100939792D01* -X87547138Y-100921400D01* -X87452862Y-100921400D01* -X87360397Y-100939792D01* -X87273298Y-100975870D01* -X87194910Y-101028247D01* -X87128247Y-101094910D01* -X87075870Y-101173298D01* -X87039792Y-101260397D01* -X87021400Y-101352862D01* -X85728600Y-101352862D01* -X85710208Y-101260397D01* -X85674130Y-101173298D01* -X85621753Y-101094910D01* -X85555090Y-101028247D01* -X85476702Y-100975870D01* -X85389603Y-100939792D01* -X85297138Y-100921400D01* -X85202862Y-100921400D01* -X85110397Y-100939792D01* -X85023298Y-100975870D01* -X84944910Y-101028247D01* -X84878247Y-101094910D01* -X84825870Y-101173298D01* -X84789792Y-101260397D01* -X84771400Y-101352862D01* -X83957087Y-101352862D01* -X83924130Y-101273298D01* -X83871753Y-101194910D01* -X83805090Y-101128247D01* -X83726702Y-101075870D01* -X83639603Y-101039792D01* -X83547138Y-101021400D01* -X83452862Y-101021400D01* -X83360397Y-101039792D01* -X83273298Y-101075870D01* -X83194910Y-101128247D01* -X83128247Y-101194910D01* -X83075870Y-101273298D01* -X83039792Y-101360397D01* -X83021400Y-101452862D01* -X64800564Y-101452862D01* -X64831237Y-101432367D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65195117Y-100852862D01* -X99171400Y-100852862D01* -X99171400Y-100947138D01* -X99189792Y-101039603D01* -X99225870Y-101126702D01* -X99278247Y-101205090D01* -X99344910Y-101271753D01* -X99423298Y-101324130D01* -X99510397Y-101360208D01* -X99602862Y-101378600D01* -X99697138Y-101378600D01* -X99789603Y-101360208D01* -X99807337Y-101352862D01* -X100071400Y-101352862D01* -X100071400Y-101447138D01* -X100089792Y-101539603D01* -X100125870Y-101626702D01* -X100178247Y-101705090D01* -X100244910Y-101771753D01* -X100323298Y-101824130D01* -X100410397Y-101860208D01* -X100502862Y-101878600D01* -X100597138Y-101878600D01* -X100689603Y-101860208D01* -X100776702Y-101824130D01* -X100855090Y-101771753D01* -X100921753Y-101705090D01* -X100974130Y-101626702D01* -X101010208Y-101539603D01* -X101028600Y-101447138D01* -X101028600Y-101352862D01* -X102371400Y-101352862D01* -X102371400Y-101447138D01* -X102389792Y-101539603D01* -X102425870Y-101626702D01* -X102478247Y-101705090D01* -X102544910Y-101771753D01* -X102623298Y-101824130D01* -X102710397Y-101860208D01* -X102802862Y-101878600D01* -X102897138Y-101878600D01* -X102989603Y-101860208D01* -X103076702Y-101824130D01* -X103155090Y-101771753D01* -X103221753Y-101705090D01* -X103274130Y-101626702D01* -X103290124Y-101588088D01* -X104121400Y-101588088D01* -X104121400Y-101711912D01* -X104145556Y-101833356D01* -X104192941Y-101947754D01* -X104261734Y-102050709D01* -X104349291Y-102138266D01* -X104452246Y-102207059D01* -X104566644Y-102254444D01* -X104688088Y-102278600D01* -X104811912Y-102278600D01* -X104933356Y-102254444D01* -X105047754Y-102207059D01* -X105054035Y-102202862D01* -X122121400Y-102202862D01* -X122121400Y-102297138D01* -X122139792Y-102389603D01* -X122175870Y-102476702D01* -X122228247Y-102555090D01* -X122294910Y-102621753D01* -X122373298Y-102674130D01* -X122460397Y-102710208D01* -X122552862Y-102728600D01* -X122647138Y-102728600D01* -X122739603Y-102710208D01* -X122826702Y-102674130D01* -X122905090Y-102621753D01* -X122971753Y-102555090D01* -X123024130Y-102476702D01* -X123060208Y-102389603D01* -X123078600Y-102297138D01* -X123078600Y-102202862D01* -X123060208Y-102110397D01* -X123030257Y-102038088D01* -X125821400Y-102038088D01* -X125821400Y-102161912D01* -X125845556Y-102283356D01* -X125892941Y-102397754D01* -X125961734Y-102500709D01* -X126049291Y-102588266D01* -X126152246Y-102657059D01* -X126266644Y-102704444D01* -X126388088Y-102728600D01* -X126511912Y-102728600D01* -X126633356Y-102704444D01* -X126747754Y-102657059D01* -X126850709Y-102588266D01* -X126938266Y-102500709D01* -X127007059Y-102397754D01* -X127054444Y-102283356D01* -X127078600Y-102161912D01* -X127078600Y-102038088D01* -X127054444Y-101916644D01* -X127007059Y-101802246D01* -X126938266Y-101699291D01* -X126850709Y-101611734D01* -X126747754Y-101542941D01* -X126633356Y-101495556D01* -X126511912Y-101471400D01* -X126388088Y-101471400D01* -X126266644Y-101495556D01* -X126152246Y-101542941D01* -X126049291Y-101611734D01* -X125961734Y-101699291D01* -X125892941Y-101802246D01* -X125845556Y-101916644D01* -X125821400Y-102038088D01* -X123030257Y-102038088D01* -X123024130Y-102023298D01* -X122971753Y-101944910D01* -X122905090Y-101878247D01* -X122826702Y-101825870D01* -X122739603Y-101789792D01* -X122647138Y-101771400D01* -X122552862Y-101771400D01* -X122460397Y-101789792D01* -X122373298Y-101825870D01* -X122294910Y-101878247D01* -X122228247Y-101944910D01* -X122175870Y-102023298D01* -X122139792Y-102110397D01* -X122121400Y-102202862D01* -X105054035Y-102202862D01* -X105150709Y-102138266D01* -X105238266Y-102050709D01* -X105307059Y-101947754D01* -X105354444Y-101833356D01* -X105378600Y-101711912D01* -X105378600Y-101588088D01* -X105354444Y-101466644D01* -X105328025Y-101402862D01* -X113571400Y-101402862D01* -X113571400Y-101497138D01* -X113589792Y-101589603D01* -X113625870Y-101676702D01* -X113678247Y-101755090D01* -X113744910Y-101821753D01* -X113823298Y-101874130D01* -X113910397Y-101910208D01* -X114002862Y-101928600D01* -X114097138Y-101928600D01* -X114189603Y-101910208D01* -X114276702Y-101874130D01* -X114355090Y-101821753D01* -X114421753Y-101755090D01* -X114474130Y-101676702D01* -X114510208Y-101589603D01* -X114528600Y-101497138D01* -X114528600Y-101402862D01* -X122771400Y-101402862D01* -X122771400Y-101497138D01* -X122789792Y-101589603D01* -X122825870Y-101676702D01* -X122878247Y-101755090D01* -X122944910Y-101821753D01* -X123023298Y-101874130D01* -X123110397Y-101910208D01* -X123202862Y-101928600D01* -X123297138Y-101928600D01* -X123389603Y-101910208D01* -X123476702Y-101874130D01* -X123555090Y-101821753D01* -X123621753Y-101755090D01* -X123674130Y-101676702D01* -X123710208Y-101589603D01* -X123728600Y-101497138D01* -X123728600Y-101402862D01* -X123710208Y-101310397D01* -X123674130Y-101223298D01* -X123621753Y-101144910D01* -X123555090Y-101078247D01* -X123476702Y-101025870D01* -X123389603Y-100989792D01* -X123297138Y-100971400D01* -X123202862Y-100971400D01* -X123110397Y-100989792D01* -X123023298Y-101025870D01* -X122944910Y-101078247D01* -X122878247Y-101144910D01* -X122825870Y-101223298D01* -X122789792Y-101310397D01* -X122771400Y-101402862D01* -X114528600Y-101402862D01* -X114510208Y-101310397D01* -X114474130Y-101223298D01* -X114421753Y-101144910D01* -X114355090Y-101078247D01* -X114276702Y-101025870D01* -X114189603Y-100989792D01* -X114097138Y-100971400D01* -X114002862Y-100971400D01* -X113910397Y-100989792D01* -X113823298Y-101025870D01* -X113744910Y-101078247D01* -X113678247Y-101144910D01* -X113625870Y-101223298D01* -X113589792Y-101310397D01* -X113571400Y-101402862D01* -X105328025Y-101402862D01* -X105307059Y-101352246D01* -X105238266Y-101249291D01* -X105150709Y-101161734D01* -X105047754Y-101092941D01* -X104933356Y-101045556D01* -X104811912Y-101021400D01* -X104688088Y-101021400D01* -X104566644Y-101045556D01* -X104452246Y-101092941D01* -X104349291Y-101161734D01* -X104261734Y-101249291D01* -X104192941Y-101352246D01* -X104145556Y-101466644D01* -X104121400Y-101588088D01* -X103290124Y-101588088D01* -X103310208Y-101539603D01* -X103328600Y-101447138D01* -X103328600Y-101352862D01* -X103310208Y-101260397D01* -X103274130Y-101173298D01* -X103221753Y-101094910D01* -X103155090Y-101028247D01* -X103076702Y-100975870D01* -X102989603Y-100939792D01* -X102897138Y-100921400D01* -X102802862Y-100921400D01* -X102710397Y-100939792D01* -X102623298Y-100975870D01* -X102544910Y-101028247D01* -X102478247Y-101094910D01* -X102425870Y-101173298D01* -X102389792Y-101260397D01* -X102371400Y-101352862D01* -X101028600Y-101352862D01* -X101010208Y-101260397D01* -X100974130Y-101173298D01* -X100921753Y-101094910D01* -X100855090Y-101028247D01* -X100776702Y-100975870D01* -X100689603Y-100939792D01* -X100597138Y-100921400D01* -X100502862Y-100921400D01* -X100410397Y-100939792D01* -X100323298Y-100975870D01* -X100244910Y-101028247D01* -X100178247Y-101094910D01* -X100125870Y-101173298D01* -X100089792Y-101260397D01* -X100071400Y-101352862D01* -X99807337Y-101352862D01* -X99876702Y-101324130D01* -X99955090Y-101271753D01* -X100021753Y-101205090D01* -X100074130Y-101126702D01* -X100110208Y-101039603D01* -X100128600Y-100947138D01* -X100128600Y-100852862D01* -X100110208Y-100760397D01* -X100074130Y-100673298D01* -X100027067Y-100602862D01* -X122121400Y-100602862D01* -X122121400Y-100697138D01* -X122139792Y-100789603D01* -X122175870Y-100876702D01* -X122228247Y-100955090D01* -X122294910Y-101021753D01* -X122373298Y-101074130D01* -X122460397Y-101110208D01* -X122552862Y-101128600D01* -X122647138Y-101128600D01* -X122739603Y-101110208D01* -X122826702Y-101074130D01* -X122905090Y-101021753D01* -X122971753Y-100955090D01* -X123024130Y-100876702D01* -X123060208Y-100789603D01* -X123078600Y-100697138D01* -X123078600Y-100602862D01* -X123060208Y-100510397D01* -X123024130Y-100423298D01* -X122971753Y-100344910D01* -X122905090Y-100278247D01* -X122826702Y-100225870D01* -X122739603Y-100189792D01* -X122647138Y-100171400D01* -X122552862Y-100171400D01* -X122460397Y-100189792D01* -X122373298Y-100225870D01* -X122294910Y-100278247D01* -X122228247Y-100344910D01* -X122175870Y-100423298D01* -X122139792Y-100510397D01* -X122121400Y-100602862D01* -X100027067Y-100602862D01* -X100021753Y-100594910D01* -X99955090Y-100528247D01* -X99876702Y-100475870D01* -X99789603Y-100439792D01* -X99697138Y-100421400D01* -X99602862Y-100421400D01* -X99510397Y-100439792D01* -X99423298Y-100475870D01* -X99344910Y-100528247D01* -X99278247Y-100594910D01* -X99225870Y-100673298D01* -X99189792Y-100760397D01* -X99171400Y-100852862D01* -X65195117Y-100852862D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65102895Y-100066649D01* -X65060274Y-100002862D01* -X67671400Y-100002862D01* -X67671400Y-100097138D01* -X67689792Y-100189603D01* -X67725870Y-100276702D01* -X67778247Y-100355090D01* -X67844910Y-100421753D01* -X67923298Y-100474130D01* -X68010397Y-100510208D01* -X68102862Y-100528600D01* -X68197138Y-100528600D01* -X68289603Y-100510208D01* -X68376702Y-100474130D01* -X68455090Y-100421753D01* -X68521753Y-100355090D01* -X68574130Y-100276702D01* -X68610208Y-100189603D01* -X68628600Y-100097138D01* -X68628600Y-100002862D01* -X69371400Y-100002862D01* -X69371400Y-100097138D01* -X69389792Y-100189603D01* -X69425870Y-100276702D01* -X69478247Y-100355090D01* -X69544910Y-100421753D01* -X69623298Y-100474130D01* -X69710397Y-100510208D01* -X69802862Y-100528600D01* -X69897138Y-100528600D01* -X69989603Y-100510208D01* -X70076702Y-100474130D01* -X70155090Y-100421753D01* -X70221753Y-100355090D01* -X70274130Y-100276702D01* -X70310208Y-100189603D01* -X70328600Y-100097138D01* -X70328600Y-100002862D01* -X70310208Y-99910397D01* -X70274130Y-99823298D01* -X70221753Y-99744910D01* -X70155090Y-99678247D01* -X70076702Y-99625870D01* -X69989603Y-99589792D01* -X69897138Y-99571400D01* -X69802862Y-99571400D01* -X69710397Y-99589792D01* -X69623298Y-99625870D01* -X69544910Y-99678247D01* -X69478247Y-99744910D01* -X69425870Y-99823298D01* -X69389792Y-99910397D01* -X69371400Y-100002862D01* -X68628600Y-100002862D01* -X68610208Y-99910397D01* -X68574130Y-99823298D01* -X68521753Y-99744910D01* -X68455090Y-99678247D01* -X68376702Y-99625870D01* -X68289603Y-99589792D01* -X68197138Y-99571400D01* -X68102862Y-99571400D01* -X68010397Y-99589792D01* -X67923298Y-99625870D01* -X67844910Y-99678247D01* -X67778247Y-99744910D01* -X67725870Y-99823298D01* -X67689792Y-99910397D01* -X67671400Y-100002862D01* -X65060274Y-100002862D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X46177200Y-100476428D01* -X46177200Y-98287359D01* -X60530257Y-98287359D01* -X60574492Y-98433195D01* -X60670029Y-98624808D01* -X60801112Y-98794101D01* -X60962704Y-98934568D01* -X61148595Y-99040811D01* -X61351641Y-99108747D01* -X61521200Y-99076558D01* -X61521200Y-98117800D01* -X61668800Y-98117800D01* -X61668800Y-99076558D01* -X61838359Y-99108747D01* -X62041405Y-99040811D01* -X62227296Y-98934568D01* -X62388888Y-98794101D01* -X62519971Y-98624808D01* -X62615508Y-98433195D01* -X62659743Y-98287359D01* -X62627416Y-98117800D01* -X61668800Y-98117800D01* -X61521200Y-98117800D01* -X60562584Y-98117800D01* -X60530257Y-98287359D01* -X46177200Y-98287359D01* -X46177200Y-97800641D01* -X60530257Y-97800641D01* -X60562584Y-97970200D01* -X61521200Y-97970200D01* -X61521200Y-97011442D01* -X61668800Y-97011442D01* -X61668800Y-97970200D01* -X62627416Y-97970200D01* -X62633854Y-97936428D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64553448Y-99052862D01* -X68521400Y-99052862D01* -X68521400Y-99147138D01* -X68539792Y-99239603D01* -X68575870Y-99326702D01* -X68628247Y-99405090D01* -X68694910Y-99471753D01* -X68773298Y-99524130D01* -X68860397Y-99560208D01* -X68952862Y-99578600D01* -X69047138Y-99578600D01* -X69139603Y-99560208D01* -X69226702Y-99524130D01* -X69305090Y-99471753D01* -X69371753Y-99405090D01* -X69373241Y-99402862D01* -X82471400Y-99402862D01* -X82471400Y-99497138D01* -X82489792Y-99589603D01* -X82525870Y-99676702D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83374130Y-99676702D01* -X83410208Y-99589603D01* -X83428600Y-99497138D01* -X83428600Y-99402862D01* -X83410208Y-99310397D01* -X83407087Y-99302862D01* -X84771400Y-99302862D01* -X84771400Y-99397138D01* -X84789792Y-99489603D01* -X84825870Y-99576702D01* -X84878247Y-99655090D01* -X84944910Y-99721753D01* -X85023298Y-99774130D01* -X85110397Y-99810208D01* -X85202862Y-99828600D01* -X85297138Y-99828600D01* -X85389603Y-99810208D01* -X85476702Y-99774130D01* -X85555090Y-99721753D01* -X85621753Y-99655090D01* -X85674130Y-99576702D01* -X85710208Y-99489603D01* -X85728600Y-99397138D01* -X85728600Y-99302862D01* -X87021400Y-99302862D01* -X87021400Y-99397138D01* -X87039792Y-99489603D01* -X87075870Y-99576702D01* -X87128247Y-99655090D01* -X87194910Y-99721753D01* -X87273298Y-99774130D01* -X87360397Y-99810208D01* -X87452862Y-99828600D01* -X87547138Y-99828600D01* -X87639603Y-99810208D01* -X87726702Y-99774130D01* -X87805090Y-99721753D01* -X87871753Y-99655090D01* -X87906650Y-99602862D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88934004Y-99852862D01* -X99171400Y-99852862D01* -X99171400Y-99947138D01* -X99189792Y-100039603D01* -X99225870Y-100126702D01* -X99278247Y-100205090D01* -X99344910Y-100271753D01* -X99423298Y-100324130D01* -X99510397Y-100360208D01* -X99602862Y-100378600D01* -X99697138Y-100378600D01* -X99789603Y-100360208D01* -X99876702Y-100324130D01* -X99955090Y-100271753D01* -X100021753Y-100205090D01* -X100074130Y-100126702D01* -X100110208Y-100039603D01* -X100128600Y-99947138D01* -X100128600Y-99852862D01* -X100110208Y-99760397D01* -X100074130Y-99673298D01* -X100021753Y-99594910D01* -X99955090Y-99528247D01* -X99876702Y-99475870D01* -X99789603Y-99439792D01* -X99697138Y-99421400D01* -X99602862Y-99421400D01* -X99510397Y-99439792D01* -X99423298Y-99475870D01* -X99344910Y-99528247D01* -X99278247Y-99594910D01* -X99225870Y-99673298D01* -X99189792Y-99760397D01* -X99171400Y-99852862D01* -X88934004Y-99852862D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88726702Y-99225870D01* -X88639603Y-99189792D01* -X88547138Y-99171400D01* -X88452862Y-99171400D01* -X88360397Y-99189792D01* -X88273298Y-99225870D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X87906650Y-99602862D01* -X87924130Y-99576702D01* -X87960208Y-99489603D01* -X87978600Y-99397138D01* -X87978600Y-99302862D01* -X87960208Y-99210397D01* -X87924130Y-99123298D01* -X87871753Y-99044910D01* -X87805090Y-98978247D01* -X87726702Y-98925870D01* -X87639603Y-98889792D01* -X87547138Y-98871400D01* -X87452862Y-98871400D01* -X87360397Y-98889792D01* -X87273298Y-98925870D01* -X87194910Y-98978247D01* -X87128247Y-99044910D01* -X87075870Y-99123298D01* -X87039792Y-99210397D01* -X87021400Y-99302862D01* -X85728600Y-99302862D01* -X85710208Y-99210397D01* -X85674130Y-99123298D01* -X85621753Y-99044910D01* -X85555090Y-98978247D01* -X85476702Y-98925870D01* -X85389603Y-98889792D01* -X85297138Y-98871400D01* -X85202862Y-98871400D01* -X85110397Y-98889792D01* -X85023298Y-98925870D01* -X84944910Y-98978247D01* -X84878247Y-99044910D01* -X84825870Y-99123298D01* -X84789792Y-99210397D01* -X84771400Y-99302862D01* -X83407087Y-99302862D01* -X83374130Y-99223298D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82525870Y-99223298D01* -X82489792Y-99310397D01* -X82471400Y-99402862D01* -X69373241Y-99402862D01* -X69424130Y-99326702D01* -X69460208Y-99239603D01* -X69478600Y-99147138D01* -X69478600Y-99052862D01* -X69460208Y-98960397D01* -X69424130Y-98873298D01* -X69371753Y-98794910D01* -X69305090Y-98728247D01* -X69226702Y-98675870D01* -X69139603Y-98639792D01* -X69047138Y-98621400D01* -X68952862Y-98621400D01* -X68860397Y-98639792D01* -X68773298Y-98675870D01* -X68694910Y-98728247D01* -X68628247Y-98794910D01* -X68575870Y-98873298D01* -X68539792Y-98960397D01* -X68521400Y-99052862D01* -X64553448Y-99052862D01* -X64652351Y-99011895D01* -X64831237Y-98892367D01* -X64983367Y-98740237D01* -X65102895Y-98561351D01* -X65106411Y-98552862D01* -X83021400Y-98552862D01* -X83021400Y-98647138D01* -X83039792Y-98739603D01* -X83075870Y-98826702D01* -X83128247Y-98905090D01* -X83194910Y-98971753D01* -X83273298Y-99024130D01* -X83360397Y-99060208D01* -X83452862Y-99078600D01* -X83547138Y-99078600D01* -X83639603Y-99060208D01* -X83726702Y-99024130D01* -X83805090Y-98971753D01* -X83871753Y-98905090D01* -X83924130Y-98826702D01* -X83960208Y-98739603D01* -X83978600Y-98647138D01* -X83978600Y-98552862D01* -X83960208Y-98460397D01* -X83924130Y-98373298D01* -X83910476Y-98352862D01* -X87971400Y-98352862D01* -X87971400Y-98447138D01* -X87989792Y-98539603D01* -X88025870Y-98626702D01* -X88078247Y-98705090D01* -X88144910Y-98771753D01* -X88223298Y-98824130D01* -X88310397Y-98860208D01* -X88402862Y-98878600D01* -X88497138Y-98878600D01* -X88589603Y-98860208D01* -X88607337Y-98852862D01* -X100071400Y-98852862D01* -X100071400Y-98947138D01* -X100089792Y-99039603D01* -X100125870Y-99126702D01* -X100178247Y-99205090D01* -X100244910Y-99271753D01* -X100323298Y-99324130D01* -X100410397Y-99360208D01* -X100502862Y-99378600D01* -X100597138Y-99378600D01* -X100689603Y-99360208D01* -X100707337Y-99352862D01* -X102371400Y-99352862D01* -X102371400Y-99447138D01* -X102389792Y-99539603D01* -X102425870Y-99626702D01* -X102478247Y-99705090D01* -X102544910Y-99771753D01* -X102623298Y-99824130D01* -X102710397Y-99860208D01* -X102802862Y-99878600D01* -X102897138Y-99878600D01* -X102989603Y-99860208D01* -X103076702Y-99824130D01* -X103108531Y-99802862D01* -X114221400Y-99802862D01* -X114221400Y-99897138D01* -X114239792Y-99989603D01* -X114275870Y-100076702D01* -X114328247Y-100155090D01* -X114394910Y-100221753D01* -X114473298Y-100274130D01* -X114560397Y-100310208D01* -X114652862Y-100328600D01* -X114747138Y-100328600D01* -X114839603Y-100310208D01* -X114926702Y-100274130D01* -X115005090Y-100221753D01* -X115071753Y-100155090D01* -X115124130Y-100076702D01* -X115160208Y-99989603D01* -X115178600Y-99897138D01* -X115178600Y-99802862D01* -X122771400Y-99802862D01* -X122771400Y-99897138D01* -X122789792Y-99989603D01* -X122825870Y-100076702D01* -X122878247Y-100155090D01* -X122944910Y-100221753D01* -X123023298Y-100274130D01* -X123110397Y-100310208D01* -X123202862Y-100328600D01* -X123297138Y-100328600D01* -X123389603Y-100310208D01* -X123476702Y-100274130D01* -X123555090Y-100221753D01* -X123621753Y-100155090D01* -X123674130Y-100076702D01* -X123710208Y-99989603D01* -X123728600Y-99897138D01* -X123728600Y-99802862D01* -X123710208Y-99710397D01* -X123674130Y-99623298D01* -X123621753Y-99544910D01* -X123555090Y-99478247D01* -X123476702Y-99425870D01* -X123389603Y-99389792D01* -X123297138Y-99371400D01* -X123202862Y-99371400D01* -X123110397Y-99389792D01* -X123023298Y-99425870D01* -X122944910Y-99478247D01* -X122878247Y-99544910D01* -X122825870Y-99623298D01* -X122789792Y-99710397D01* -X122771400Y-99802862D01* -X115178600Y-99802862D01* -X115160208Y-99710397D01* -X115124130Y-99623298D01* -X115071753Y-99544910D01* -X115005090Y-99478247D01* -X114926702Y-99425870D01* -X114839603Y-99389792D01* -X114747138Y-99371400D01* -X114652862Y-99371400D01* -X114560397Y-99389792D01* -X114473298Y-99425870D01* -X114394910Y-99478247D01* -X114328247Y-99544910D01* -X114275870Y-99623298D01* -X114239792Y-99710397D01* -X114221400Y-99802862D01* -X103108531Y-99802862D01* -X103155090Y-99771753D01* -X103221753Y-99705090D01* -X103274130Y-99626702D01* -X103310208Y-99539603D01* -X103328600Y-99447138D01* -X103328600Y-99352862D01* -X103310208Y-99260397D01* -X103274130Y-99173298D01* -X103221753Y-99094910D01* -X103155090Y-99028247D01* -X103117099Y-99002862D01* -X113571400Y-99002862D01* -X113571400Y-99097138D01* -X113589792Y-99189603D01* -X113625870Y-99276702D01* -X113678247Y-99355090D01* -X113744910Y-99421753D01* -X113823298Y-99474130D01* -X113910397Y-99510208D01* -X114002862Y-99528600D01* -X114097138Y-99528600D01* -X114189603Y-99510208D01* -X114276702Y-99474130D01* -X114355090Y-99421753D01* -X114421753Y-99355090D01* -X114474130Y-99276702D01* -X114510208Y-99189603D01* -X114528600Y-99097138D01* -X114528600Y-99002862D01* -X122121400Y-99002862D01* -X122121400Y-99097138D01* -X122139792Y-99189603D01* -X122175870Y-99276702D01* -X122228247Y-99355090D01* -X122294910Y-99421753D01* -X122373298Y-99474130D01* -X122460397Y-99510208D01* -X122552862Y-99528600D01* -X122647138Y-99528600D01* -X122739603Y-99510208D01* -X122826702Y-99474130D01* -X122905090Y-99421753D01* -X122971753Y-99355090D01* -X123024130Y-99276702D01* -X123060208Y-99189603D01* -X123078600Y-99097138D01* -X123078600Y-99002862D01* -X123060208Y-98910397D01* -X123024130Y-98823298D01* -X122971753Y-98744910D01* -X122905090Y-98678247D01* -X122826702Y-98625870D01* -X122739603Y-98589792D01* -X122647138Y-98571400D01* -X122552862Y-98571400D01* -X122460397Y-98589792D01* -X122373298Y-98625870D01* -X122294910Y-98678247D01* -X122228247Y-98744910D01* -X122175870Y-98823298D01* -X122139792Y-98910397D01* -X122121400Y-99002862D01* -X114528600Y-99002862D01* -X114510208Y-98910397D01* -X114474130Y-98823298D01* -X114421753Y-98744910D01* -X114355090Y-98678247D01* -X114276702Y-98625870D01* -X114189603Y-98589792D01* -X114097138Y-98571400D01* -X114002862Y-98571400D01* -X113910397Y-98589792D01* -X113823298Y-98625870D01* -X113744910Y-98678247D01* -X113678247Y-98744910D01* -X113625870Y-98823298D01* -X113589792Y-98910397D01* -X113571400Y-99002862D01* -X103117099Y-99002862D01* -X103076702Y-98975870D01* -X102989603Y-98939792D01* -X102897138Y-98921400D01* -X102802862Y-98921400D01* -X102710397Y-98939792D01* -X102623298Y-98975870D01* -X102544910Y-99028247D01* -X102478247Y-99094910D01* -X102425870Y-99173298D01* -X102389792Y-99260397D01* -X102371400Y-99352862D01* -X100707337Y-99352862D01* -X100776702Y-99324130D01* -X100855090Y-99271753D01* -X100921753Y-99205090D01* -X100974130Y-99126702D01* -X101010208Y-99039603D01* -X101028600Y-98947138D01* -X101028600Y-98852862D01* -X101010208Y-98760397D01* -X100974130Y-98673298D01* -X100921753Y-98594910D01* -X100855090Y-98528247D01* -X100776702Y-98475870D01* -X100689603Y-98439792D01* -X100597138Y-98421400D01* -X100502862Y-98421400D01* -X100410397Y-98439792D01* -X100323298Y-98475870D01* -X100244910Y-98528247D01* -X100178247Y-98594910D01* -X100125870Y-98673298D01* -X100089792Y-98760397D01* -X100071400Y-98852862D01* -X88607337Y-98852862D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88223298Y-97975870D01* -X88144910Y-98028247D01* -X88078247Y-98094910D01* -X88025870Y-98173298D01* -X87989792Y-98260397D01* -X87971400Y-98352862D01* -X83910476Y-98352862D01* -X83871753Y-98294910D01* -X83805090Y-98228247D01* -X83726702Y-98175870D01* -X83639603Y-98139792D01* -X83547138Y-98121400D01* -X83452862Y-98121400D01* -X83360397Y-98139792D01* -X83273298Y-98175870D01* -X83194910Y-98228247D01* -X83128247Y-98294910D01* -X83075870Y-98373298D01* -X83039792Y-98460397D01* -X83021400Y-98552862D01* -X65106411Y-98552862D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65200633Y-97802862D01* -X69121400Y-97802862D01* -X69121400Y-97897138D01* -X69139792Y-97989603D01* -X69175870Y-98076702D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70060208Y-97710397D01* -X70024130Y-97623298D01* -X69971753Y-97544910D01* -X69905090Y-97478247D01* -X69826702Y-97425870D01* -X69739603Y-97389792D01* -X69647138Y-97371400D01* -X69552862Y-97371400D01* -X69460397Y-97389792D01* -X69373298Y-97425870D01* -X69294910Y-97478247D01* -X69228247Y-97544910D01* -X69175870Y-97623298D01* -X69139792Y-97710397D01* -X69121400Y-97802862D01* -X65200633Y-97802862D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64986775Y-97352862D01* -X87971400Y-97352862D01* -X87971400Y-97447138D01* -X87989792Y-97539603D01* -X88025870Y-97626702D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88607337Y-97852862D01* -X100071400Y-97852862D01* -X100071400Y-97947138D01* -X100089792Y-98039603D01* -X100125870Y-98126702D01* -X100178247Y-98205090D01* -X100244910Y-98271753D01* -X100323298Y-98324130D01* -X100410397Y-98360208D01* -X100502862Y-98378600D01* -X100597138Y-98378600D01* -X100689603Y-98360208D01* -X100707337Y-98352862D01* -X102371400Y-98352862D01* -X102371400Y-98447138D01* -X102389792Y-98539603D01* -X102425870Y-98626702D01* -X102478247Y-98705090D01* -X102544910Y-98771753D01* -X102623298Y-98824130D01* -X102710397Y-98860208D01* -X102802862Y-98878600D01* -X102897138Y-98878600D01* -X102989603Y-98860208D01* -X103076702Y-98824130D01* -X103155090Y-98771753D01* -X103221753Y-98705090D01* -X103274130Y-98626702D01* -X103310208Y-98539603D01* -X103328600Y-98447138D01* -X103328600Y-98352862D01* -X103310208Y-98260397D01* -X103274130Y-98173298D01* -X103221753Y-98094910D01* -X103155090Y-98028247D01* -X103076702Y-97975870D01* -X103021157Y-97952862D01* -X106471400Y-97952862D01* -X106471400Y-98047138D01* -X106489792Y-98139603D01* -X106525870Y-98226702D01* -X106578247Y-98305090D01* -X106644910Y-98371753D01* -X106723298Y-98424130D01* -X106810397Y-98460208D01* -X106902862Y-98478600D01* -X106997138Y-98478600D01* -X107089603Y-98460208D01* -X107176702Y-98424130D01* -X107255090Y-98371753D01* -X107321753Y-98305090D01* -X107374130Y-98226702D01* -X107384004Y-98202862D01* -X114221400Y-98202862D01* -X114221400Y-98297138D01* -X114239792Y-98389603D01* -X114275870Y-98476702D01* -X114328247Y-98555090D01* -X114394910Y-98621753D01* -X114473298Y-98674130D01* -X114560397Y-98710208D01* -X114652862Y-98728600D01* -X114747138Y-98728600D01* -X114839603Y-98710208D01* -X114926702Y-98674130D01* -X115005090Y-98621753D01* -X115071753Y-98555090D01* -X115124130Y-98476702D01* -X115160208Y-98389603D01* -X115178600Y-98297138D01* -X115178600Y-98202862D01* -X122771400Y-98202862D01* -X122771400Y-98297138D01* -X122789792Y-98389603D01* -X122825870Y-98476702D01* -X122878247Y-98555090D01* -X122944910Y-98621753D01* -X123023298Y-98674130D01* -X123110397Y-98710208D01* -X123202862Y-98728600D01* -X123297138Y-98728600D01* -X123389603Y-98710208D01* -X123476702Y-98674130D01* -X123555090Y-98621753D01* -X123621753Y-98555090D01* -X123674130Y-98476702D01* -X123710208Y-98389603D01* -X123728600Y-98297138D01* -X123728600Y-98202862D01* -X123710208Y-98110397D01* -X123674130Y-98023298D01* -X123621753Y-97944910D01* -X123555090Y-97878247D01* -X123476702Y-97825870D01* -X123389603Y-97789792D01* -X123297138Y-97771400D01* -X123202862Y-97771400D01* -X123110397Y-97789792D01* -X123023298Y-97825870D01* -X122944910Y-97878247D01* -X122878247Y-97944910D01* -X122825870Y-98023298D01* -X122789792Y-98110397D01* -X122771400Y-98202862D01* -X115178600Y-98202862D01* -X115160208Y-98110397D01* -X115124130Y-98023298D01* -X115071753Y-97944910D01* -X115005090Y-97878247D01* -X114926702Y-97825870D01* -X114839603Y-97789792D01* -X114747138Y-97771400D01* -X114652862Y-97771400D01* -X114560397Y-97789792D01* -X114473298Y-97825870D01* -X114394910Y-97878247D01* -X114328247Y-97944910D01* -X114275870Y-98023298D01* -X114239792Y-98110397D01* -X114221400Y-98202862D01* -X107384004Y-98202862D01* -X107410208Y-98139603D01* -X107428600Y-98047138D01* -X107428600Y-97952862D01* -X107410208Y-97860397D01* -X107374130Y-97773298D01* -X107321753Y-97694910D01* -X107255090Y-97628247D01* -X107176702Y-97575870D01* -X107089603Y-97539792D01* -X106997138Y-97521400D01* -X106902862Y-97521400D01* -X106810397Y-97539792D01* -X106723298Y-97575870D01* -X106644910Y-97628247D01* -X106578247Y-97694910D01* -X106525870Y-97773298D01* -X106489792Y-97860397D01* -X106471400Y-97952862D01* -X103021157Y-97952862D01* -X102989603Y-97939792D01* -X102897138Y-97921400D01* -X102802862Y-97921400D01* -X102710397Y-97939792D01* -X102623298Y-97975870D01* -X102544910Y-98028247D01* -X102478247Y-98094910D01* -X102425870Y-98173298D01* -X102389792Y-98260397D01* -X102371400Y-98352862D01* -X100707337Y-98352862D01* -X100776702Y-98324130D01* -X100855090Y-98271753D01* -X100921753Y-98205090D01* -X100974130Y-98126702D01* -X101010208Y-98039603D01* -X101028600Y-97947138D01* -X101028600Y-97852862D01* -X101010208Y-97760397D01* -X100974130Y-97673298D01* -X100921753Y-97594910D01* -X100855090Y-97528247D01* -X100776702Y-97475870D01* -X100689603Y-97439792D01* -X100597138Y-97421400D01* -X100502862Y-97421400D01* -X100410397Y-97439792D01* -X100323298Y-97475870D01* -X100244910Y-97528247D01* -X100178247Y-97594910D01* -X100125870Y-97673298D01* -X100089792Y-97760397D01* -X100071400Y-97852862D01* -X88607337Y-97852862D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88025870Y-97173298D01* -X87989792Y-97260397D01* -X87971400Y-97352862D01* -X64986775Y-97352862D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X62633854Y-97936428D01* -X62659743Y-97800641D01* -X62615508Y-97654805D01* -X62519971Y-97463192D01* -X62388888Y-97293899D01* -X62227296Y-97153432D01* -X62041405Y-97047189D01* -X61838359Y-96979253D01* -X61668800Y-97011442D01* -X61521200Y-97011442D01* -X61351641Y-96979253D01* -X61148595Y-97047189D01* -X60962704Y-97153432D01* -X60801112Y-97293899D01* -X60670029Y-97463192D01* -X60574492Y-97654805D01* -X60530257Y-97800641D01* -X46177200Y-97800641D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48894597Y-96402862D01* -X67421400Y-96402862D01* -X67421400Y-96497138D01* -X67439792Y-96589603D01* -X67475870Y-96676702D01* -X67528247Y-96755090D01* -X67594910Y-96821753D01* -X67673298Y-96874130D01* -X67760397Y-96910208D01* -X67852862Y-96928600D01* -X67947138Y-96928600D01* -X68039603Y-96910208D01* -X68126702Y-96874130D01* -X68158531Y-96852862D01* -X96721400Y-96852862D01* -X96721400Y-96947138D01* -X96739792Y-97039603D01* -X96775870Y-97126702D01* -X96828247Y-97205090D01* -X96894910Y-97271753D01* -X96973298Y-97324130D01* -X97060397Y-97360208D01* -X97152862Y-97378600D01* -X97247138Y-97378600D01* -X97339603Y-97360208D01* -X97426702Y-97324130D01* -X97505090Y-97271753D01* -X97571753Y-97205090D01* -X97624130Y-97126702D01* -X97660208Y-97039603D01* -X97678600Y-96947138D01* -X97678600Y-96852862D01* -X97660208Y-96760397D01* -X97624130Y-96673298D01* -X97571753Y-96594910D01* -X97505090Y-96528247D01* -X97426702Y-96475870D01* -X97339603Y-96439792D01* -X97247138Y-96421400D01* -X97152862Y-96421400D01* -X97060397Y-96439792D01* -X96973298Y-96475870D01* -X96894910Y-96528247D01* -X96828247Y-96594910D01* -X96775870Y-96673298D01* -X96739792Y-96760397D01* -X96721400Y-96852862D01* -X68158531Y-96852862D01* -X68205090Y-96821753D01* -X68271753Y-96755090D01* -X68324130Y-96676702D01* -X68360208Y-96589603D01* -X68378600Y-96497138D01* -X68378600Y-96402862D01* -X68360208Y-96310397D01* -X68324130Y-96223298D01* -X68271753Y-96144910D01* -X68205090Y-96078247D01* -X68126702Y-96025870D01* -X68039603Y-95989792D01* -X67947138Y-95971400D01* -X67852862Y-95971400D01* -X67760397Y-95989792D01* -X67673298Y-96025870D01* -X67594910Y-96078247D01* -X67528247Y-96144910D01* -X67475870Y-96223298D01* -X67439792Y-96310397D01* -X67421400Y-96402862D01* -X48894597Y-96402862D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48846027Y-95757879D01* -X48814612Y-95710862D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77835292Y-95897603D01* -X77871370Y-95984702D01* -X77923747Y-96063090D01* -X77990410Y-96129753D01* -X78068798Y-96182130D01* -X78155897Y-96218208D01* -X78248362Y-96236600D01* -X78342638Y-96236600D01* -X78435103Y-96218208D01* -X78522202Y-96182130D01* -X78600590Y-96129753D01* -X78667253Y-96063090D01* -X78719630Y-95984702D01* -X78755708Y-95897603D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79486292Y-95897603D01* -X79522370Y-95984702D01* -X79574747Y-96063090D01* -X79641410Y-96129753D01* -X79719798Y-96182130D01* -X79806897Y-96218208D01* -X79899362Y-96236600D01* -X79993638Y-96236600D01* -X80086103Y-96218208D01* -X80173202Y-96182130D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80654247Y-96063090D01* -X80720910Y-96129753D01* -X80799298Y-96182130D01* -X80886397Y-96218208D01* -X80978862Y-96236600D01* -X81073138Y-96236600D01* -X81165603Y-96218208D01* -X81252702Y-96182130D01* -X81331090Y-96129753D01* -X81397753Y-96063090D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81459063Y-95552862D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89373241Y-95902862D01* -X96221400Y-95902862D01* -X96221400Y-95997138D01* -X96239792Y-96089603D01* -X96275870Y-96176702D01* -X96328247Y-96255090D01* -X96394910Y-96321753D01* -X96473298Y-96374130D01* -X96560397Y-96410208D01* -X96652862Y-96428600D01* -X96747138Y-96428600D01* -X96839603Y-96410208D01* -X96926702Y-96374130D01* -X96958531Y-96352862D01* -X97621400Y-96352862D01* -X97621400Y-96447138D01* -X97639792Y-96539603D01* -X97675870Y-96626702D01* -X97728247Y-96705090D01* -X97794910Y-96771753D01* -X97873298Y-96824130D01* -X97960397Y-96860208D01* -X98052862Y-96878600D01* -X98147138Y-96878600D01* -X98239603Y-96860208D01* -X98257337Y-96852862D01* -X100071400Y-96852862D01* -X100071400Y-96947138D01* -X100089792Y-97039603D01* -X100125870Y-97126702D01* -X100178247Y-97205090D01* -X100244910Y-97271753D01* -X100323298Y-97324130D01* -X100410397Y-97360208D01* -X100502862Y-97378600D01* -X100597138Y-97378600D01* -X100689603Y-97360208D01* -X100707337Y-97352862D01* -X102371400Y-97352862D01* -X102371400Y-97447138D01* -X102389792Y-97539603D01* -X102425870Y-97626702D01* -X102478247Y-97705090D01* -X102544910Y-97771753D01* -X102623298Y-97824130D01* -X102710397Y-97860208D01* -X102802862Y-97878600D01* -X102897138Y-97878600D01* -X102989603Y-97860208D01* -X103076702Y-97824130D01* -X103155090Y-97771753D01* -X103221753Y-97705090D01* -X103274130Y-97626702D01* -X103310208Y-97539603D01* -X103328600Y-97447138D01* -X103328600Y-97352862D01* -X103310208Y-97260397D01* -X103274130Y-97173298D01* -X103221753Y-97094910D01* -X103155090Y-97028247D01* -X103076702Y-96975870D01* -X103021157Y-96952862D01* -X105471400Y-96952862D01* -X105471400Y-97047138D01* -X105489792Y-97139603D01* -X105525870Y-97226702D01* -X105578247Y-97305090D01* -X105644910Y-97371753D01* -X105723298Y-97424130D01* -X105810397Y-97460208D01* -X105902862Y-97478600D01* -X105997138Y-97478600D01* -X106089603Y-97460208D01* -X106176702Y-97424130D01* -X106255090Y-97371753D01* -X106321753Y-97305090D01* -X106374130Y-97226702D01* -X106410208Y-97139603D01* -X106427461Y-97052862D01* -X107071400Y-97052862D01* -X107071400Y-97147138D01* -X107089792Y-97239603D01* -X107125870Y-97326702D01* -X107178247Y-97405090D01* -X107244910Y-97471753D01* -X107323298Y-97524130D01* -X107410397Y-97560208D01* -X107502862Y-97578600D01* -X107597138Y-97578600D01* -X107689603Y-97560208D01* -X107776702Y-97524130D01* -X107855090Y-97471753D01* -X107921753Y-97405090D01* -X107923241Y-97402862D01* -X113571400Y-97402862D01* -X113571400Y-97497138D01* -X113589792Y-97589603D01* -X113625870Y-97676702D01* -X113678247Y-97755090D01* -X113744910Y-97821753D01* -X113823298Y-97874130D01* -X113910397Y-97910208D01* -X114002862Y-97928600D01* -X114097138Y-97928600D01* -X114189603Y-97910208D01* -X114276702Y-97874130D01* -X114355090Y-97821753D01* -X114421753Y-97755090D01* -X114474130Y-97676702D01* -X114510208Y-97589603D01* -X114528600Y-97497138D01* -X114528600Y-97402862D01* -X122121400Y-97402862D01* -X122121400Y-97497138D01* -X122139792Y-97589603D01* -X122175870Y-97676702D01* -X122228247Y-97755090D01* -X122294910Y-97821753D01* -X122373298Y-97874130D01* -X122460397Y-97910208D01* -X122552862Y-97928600D01* -X122647138Y-97928600D01* -X122739603Y-97910208D01* -X122826702Y-97874130D01* -X122905090Y-97821753D01* -X122971753Y-97755090D01* -X123024130Y-97676702D01* -X123060208Y-97589603D01* -X123078600Y-97497138D01* -X123078600Y-97402862D01* -X123060208Y-97310397D01* -X123024130Y-97223298D01* -X122971753Y-97144910D01* -X122905090Y-97078247D01* -X122826702Y-97025870D01* -X122739603Y-96989792D01* -X122647138Y-96971400D01* -X122552862Y-96971400D01* -X122460397Y-96989792D01* -X122373298Y-97025870D01* -X122294910Y-97078247D01* -X122228247Y-97144910D01* -X122175870Y-97223298D01* -X122139792Y-97310397D01* -X122121400Y-97402862D01* -X114528600Y-97402862D01* -X114510208Y-97310397D01* -X114474130Y-97223298D01* -X114421753Y-97144910D01* -X114355090Y-97078247D01* -X114276702Y-97025870D01* -X114189603Y-96989792D01* -X114097138Y-96971400D01* -X114002862Y-96971400D01* -X113910397Y-96989792D01* -X113823298Y-97025870D01* -X113744910Y-97078247D01* -X113678247Y-97144910D01* -X113625870Y-97223298D01* -X113589792Y-97310397D01* -X113571400Y-97402862D01* -X107923241Y-97402862D01* -X107974130Y-97326702D01* -X108010208Y-97239603D01* -X108028600Y-97147138D01* -X108028600Y-97052862D01* -X108010208Y-96960397D01* -X107974130Y-96873298D01* -X107921753Y-96794910D01* -X107855090Y-96728247D01* -X107776702Y-96675870D01* -X107689603Y-96639792D01* -X107597138Y-96621400D01* -X107502862Y-96621400D01* -X107410397Y-96639792D01* -X107323298Y-96675870D01* -X107244910Y-96728247D01* -X107178247Y-96794910D01* -X107125870Y-96873298D01* -X107089792Y-96960397D01* -X107071400Y-97052862D01* -X106427461Y-97052862D01* -X106428600Y-97047138D01* -X106428600Y-96952862D01* -X106410208Y-96860397D01* -X106374130Y-96773298D01* -X106321753Y-96694910D01* -X106255090Y-96628247D01* -X106217099Y-96602862D01* -X114221400Y-96602862D01* -X114221400Y-96697138D01* -X114239792Y-96789603D01* -X114275870Y-96876702D01* -X114328247Y-96955090D01* -X114394910Y-97021753D01* -X114473298Y-97074130D01* -X114560397Y-97110208D01* -X114652862Y-97128600D01* -X114747138Y-97128600D01* -X114839603Y-97110208D01* -X114926702Y-97074130D01* -X115005090Y-97021753D01* -X115071753Y-96955090D01* -X115124130Y-96876702D01* -X115160208Y-96789603D01* -X115178600Y-96697138D01* -X115178600Y-96602862D01* -X122771400Y-96602862D01* -X122771400Y-96697138D01* -X122789792Y-96789603D01* -X122825870Y-96876702D01* -X122878247Y-96955090D01* -X122944910Y-97021753D01* -X123023298Y-97074130D01* -X123110397Y-97110208D01* -X123202862Y-97128600D01* -X123297138Y-97128600D01* -X123389603Y-97110208D01* -X123476702Y-97074130D01* -X123555090Y-97021753D01* -X123621753Y-96955090D01* -X123674130Y-96876702D01* -X123710208Y-96789603D01* -X123728600Y-96697138D01* -X123728600Y-96602862D01* -X123710208Y-96510397D01* -X123674130Y-96423298D01* -X123621753Y-96344910D01* -X123555090Y-96278247D01* -X123476702Y-96225870D01* -X123389603Y-96189792D01* -X123297138Y-96171400D01* -X123202862Y-96171400D01* -X123110397Y-96189792D01* -X123023298Y-96225870D01* -X122944910Y-96278247D01* -X122878247Y-96344910D01* -X122825870Y-96423298D01* -X122789792Y-96510397D01* -X122771400Y-96602862D01* -X115178600Y-96602862D01* -X115160208Y-96510397D01* -X115124130Y-96423298D01* -X115071753Y-96344910D01* -X115005090Y-96278247D01* -X114926702Y-96225870D01* -X114839603Y-96189792D01* -X114747138Y-96171400D01* -X114652862Y-96171400D01* -X114560397Y-96189792D01* -X114473298Y-96225870D01* -X114394910Y-96278247D01* -X114328247Y-96344910D01* -X114275870Y-96423298D01* -X114239792Y-96510397D01* -X114221400Y-96602862D01* -X106217099Y-96602862D01* -X106176702Y-96575870D01* -X106089603Y-96539792D01* -X105997138Y-96521400D01* -X105902862Y-96521400D01* -X105810397Y-96539792D01* -X105723298Y-96575870D01* -X105644910Y-96628247D01* -X105578247Y-96694910D01* -X105525870Y-96773298D01* -X105489792Y-96860397D01* -X105471400Y-96952862D01* -X103021157Y-96952862D01* -X102989603Y-96939792D01* -X102897138Y-96921400D01* -X102802862Y-96921400D01* -X102710397Y-96939792D01* -X102623298Y-96975870D01* -X102544910Y-97028247D01* -X102478247Y-97094910D01* -X102425870Y-97173298D01* -X102389792Y-97260397D01* -X102371400Y-97352862D01* -X100707337Y-97352862D01* -X100776702Y-97324130D01* -X100855090Y-97271753D01* -X100921753Y-97205090D01* -X100974130Y-97126702D01* -X101010208Y-97039603D01* -X101028600Y-96947138D01* -X101028600Y-96852862D01* -X101010208Y-96760397D01* -X100974130Y-96673298D01* -X100921753Y-96594910D01* -X100855090Y-96528247D01* -X100776702Y-96475870D01* -X100689603Y-96439792D01* -X100597138Y-96421400D01* -X100502862Y-96421400D01* -X100410397Y-96439792D01* -X100323298Y-96475870D01* -X100244910Y-96528247D01* -X100178247Y-96594910D01* -X100125870Y-96673298D01* -X100089792Y-96760397D01* -X100071400Y-96852862D01* -X98257337Y-96852862D01* -X98326702Y-96824130D01* -X98405090Y-96771753D01* -X98471753Y-96705090D01* -X98524130Y-96626702D01* -X98560208Y-96539603D01* -X98578600Y-96447138D01* -X98578600Y-96352862D01* -X98560208Y-96260397D01* -X98557087Y-96252862D01* -X98671400Y-96252862D01* -X98671400Y-96347138D01* -X98689792Y-96439603D01* -X98725870Y-96526702D01* -X98778247Y-96605090D01* -X98844910Y-96671753D01* -X98923298Y-96724130D01* -X99010397Y-96760208D01* -X99102862Y-96778600D01* -X99197138Y-96778600D01* -X99289603Y-96760208D01* -X99376702Y-96724130D01* -X99455090Y-96671753D01* -X99521753Y-96605090D01* -X99574130Y-96526702D01* -X99610208Y-96439603D01* -X99628600Y-96347138D01* -X99628600Y-96252862D01* -X99610208Y-96160397D01* -X99574130Y-96073298D01* -X99521753Y-95994910D01* -X99455090Y-95928247D01* -X99376702Y-95875870D01* -X99321157Y-95852862D01* -X100071400Y-95852862D01* -X100071400Y-95947138D01* -X100089792Y-96039603D01* -X100125870Y-96126702D01* -X100178247Y-96205090D01* -X100244910Y-96271753D01* -X100323298Y-96324130D01* -X100410397Y-96360208D01* -X100502862Y-96378600D01* -X100597138Y-96378600D01* -X100689603Y-96360208D01* -X100707337Y-96352862D01* -X102371400Y-96352862D01* -X102371400Y-96447138D01* -X102389792Y-96539603D01* -X102425870Y-96626702D01* -X102478247Y-96705090D01* -X102544910Y-96771753D01* -X102623298Y-96824130D01* -X102710397Y-96860208D01* -X102802862Y-96878600D01* -X102897138Y-96878600D01* -X102989603Y-96860208D01* -X103076702Y-96824130D01* -X103155090Y-96771753D01* -X103221753Y-96705090D01* -X103274130Y-96626702D01* -X103310208Y-96539603D01* -X103328600Y-96447138D01* -X103328600Y-96352862D01* -X103310208Y-96260397D01* -X103274130Y-96173298D01* -X103221753Y-96094910D01* -X103155090Y-96028247D01* -X103076702Y-95975870D01* -X102989603Y-95939792D01* -X102897138Y-95921400D01* -X102802862Y-95921400D01* -X102710397Y-95939792D01* -X102623298Y-95975870D01* -X102544910Y-96028247D01* -X102478247Y-96094910D01* -X102425870Y-96173298D01* -X102389792Y-96260397D01* -X102371400Y-96352862D01* -X100707337Y-96352862D01* -X100776702Y-96324130D01* -X100855090Y-96271753D01* -X100921753Y-96205090D01* -X100974130Y-96126702D01* -X101010208Y-96039603D01* -X101028600Y-95947138D01* -X101028600Y-95852862D01* -X101010208Y-95760397D01* -X100974130Y-95673298D01* -X100921753Y-95594910D01* -X100855090Y-95528247D01* -X100776702Y-95475870D01* -X100689603Y-95439792D01* -X100597138Y-95421400D01* -X100502862Y-95421400D01* -X100410397Y-95439792D01* -X100323298Y-95475870D01* -X100244910Y-95528247D01* -X100178247Y-95594910D01* -X100125870Y-95673298D01* -X100089792Y-95760397D01* -X100071400Y-95852862D01* -X99321157Y-95852862D01* -X99289603Y-95839792D01* -X99197138Y-95821400D01* -X99102862Y-95821400D01* -X99010397Y-95839792D01* -X98923298Y-95875870D01* -X98844910Y-95928247D01* -X98778247Y-95994910D01* -X98725870Y-96073298D01* -X98689792Y-96160397D01* -X98671400Y-96252862D01* -X98557087Y-96252862D01* -X98524130Y-96173298D01* -X98471753Y-96094910D01* -X98405090Y-96028247D01* -X98326702Y-95975870D01* -X98239603Y-95939792D01* -X98147138Y-95921400D01* -X98052862Y-95921400D01* -X97960397Y-95939792D01* -X97873298Y-95975870D01* -X97794910Y-96028247D01* -X97728247Y-96094910D01* -X97675870Y-96173298D01* -X97639792Y-96260397D01* -X97621400Y-96352862D01* -X96958531Y-96352862D01* -X97005090Y-96321753D01* -X97071753Y-96255090D01* -X97124130Y-96176702D01* -X97160208Y-96089603D01* -X97178600Y-95997138D01* -X97178600Y-95902862D01* -X97160208Y-95810397D01* -X97124130Y-95723298D01* -X97071753Y-95644910D01* -X97005090Y-95578247D01* -X96926702Y-95525870D01* -X96839603Y-95489792D01* -X96747138Y-95471400D01* -X96652862Y-95471400D01* -X96560397Y-95489792D01* -X96473298Y-95525870D01* -X96394910Y-95578247D01* -X96328247Y-95644910D01* -X96275870Y-95723298D01* -X96239792Y-95810397D01* -X96221400Y-95902862D01* -X89373241Y-95902862D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89478600Y-95647138D01* -X89478600Y-95552862D01* -X89460208Y-95460397D01* -X89424130Y-95373298D01* -X89377067Y-95302862D01* -X89571400Y-95302862D01* -X89571400Y-95397138D01* -X89589792Y-95489603D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90474130Y-95123298D01* -X90421753Y-95044910D01* -X90355090Y-94978247D01* -X90276702Y-94925870D01* -X90189603Y-94889792D01* -X90097138Y-94871400D01* -X90002862Y-94871400D01* -X89910397Y-94889792D01* -X89823298Y-94925870D01* -X89744910Y-94978247D01* -X89678247Y-95044910D01* -X89625870Y-95123298D01* -X89589792Y-95210397D01* -X89571400Y-95302862D01* -X89377067Y-95302862D01* -X89371753Y-95294910D01* -X89305090Y-95228247D01* -X89226702Y-95175870D01* -X89139603Y-95139792D01* -X89047138Y-95121400D01* -X88952862Y-95121400D01* -X88860397Y-95139792D01* -X88773298Y-95175870D01* -X88694910Y-95228247D01* -X88628247Y-95294910D01* -X88575870Y-95373298D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X81459063Y-95552862D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81252702Y-95333870D01* -X81165603Y-95297792D01* -X81073138Y-95279400D01* -X80978862Y-95279400D01* -X80886397Y-95297792D01* -X80799298Y-95333870D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80173202Y-95333870D01* -X80086103Y-95297792D01* -X79993638Y-95279400D01* -X79899362Y-95279400D01* -X79806897Y-95297792D01* -X79719798Y-95333870D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X48814612Y-95710862D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-95002862D01* -X69121400Y-95002862D01* -X69121400Y-95097138D01* -X69139792Y-95189603D01* -X69175870Y-95276702D01* -X69228247Y-95355090D01* -X69294910Y-95421753D01* -X69373298Y-95474130D01* -X69460397Y-95510208D01* -X69552862Y-95528600D01* -X69647138Y-95528600D01* -X69739603Y-95510208D01* -X69826702Y-95474130D01* -X69905090Y-95421753D01* -X69971753Y-95355090D01* -X70024130Y-95276702D01* -X70060208Y-95189603D01* -X70078600Y-95097138D01* -X70078600Y-95002862D01* -X70060208Y-94910397D01* -X70024130Y-94823298D01* -X70010476Y-94802862D01* -X90521400Y-94802862D01* -X90521400Y-94897138D01* -X90539792Y-94989603D01* -X90575870Y-95076702D01* -X90628247Y-95155090D01* -X90694910Y-95221753D01* -X90773298Y-95274130D01* -X90860397Y-95310208D01* -X90952862Y-95328600D01* -X91047138Y-95328600D01* -X91139603Y-95310208D01* -X91226702Y-95274130D01* -X91305090Y-95221753D01* -X91323981Y-95202862D01* -X95471400Y-95202862D01* -X95471400Y-95297138D01* -X95489792Y-95389603D01* -X95525870Y-95476702D01* -X95578247Y-95555090D01* -X95644910Y-95621753D01* -X95723298Y-95674130D01* -X95810397Y-95710208D01* -X95902862Y-95728600D01* -X95997138Y-95728600D01* -X96089603Y-95710208D01* -X96176702Y-95674130D01* -X96255090Y-95621753D01* -X96321753Y-95555090D01* -X96374130Y-95476702D01* -X96410208Y-95389603D01* -X96428600Y-95297138D01* -X96428600Y-95202862D01* -X96410208Y-95110397D01* -X96374130Y-95023298D01* -X96327067Y-94952862D01* -X96471400Y-94952862D01* -X96471400Y-95047138D01* -X96489792Y-95139603D01* -X96525870Y-95226702D01* -X96578247Y-95305090D01* -X96644910Y-95371753D01* -X96723298Y-95424130D01* -X96810397Y-95460208D01* -X96902862Y-95478600D01* -X96997138Y-95478600D01* -X97089603Y-95460208D01* -X97176702Y-95424130D01* -X97255090Y-95371753D01* -X97321753Y-95305090D01* -X97374130Y-95226702D01* -X97410208Y-95139603D01* -X97428600Y-95047138D01* -X97428600Y-94952862D01* -X97410208Y-94860397D01* -X97407087Y-94852862D01* -X97471400Y-94852862D01* -X97471400Y-94947138D01* -X97489792Y-95039603D01* -X97525870Y-95126702D01* -X97578247Y-95205090D01* -X97644910Y-95271753D01* -X97723298Y-95324130D01* -X97810397Y-95360208D01* -X97902862Y-95378600D01* -X97997138Y-95378600D01* -X98089603Y-95360208D01* -X98176702Y-95324130D01* -X98255090Y-95271753D01* -X98321753Y-95205090D01* -X98374130Y-95126702D01* -X98410208Y-95039603D01* -X98428600Y-94947138D01* -X98428600Y-94852862D01* -X99171400Y-94852862D01* -X99171400Y-94947138D01* -X99189792Y-95039603D01* -X99225870Y-95126702D01* -X99278247Y-95205090D01* -X99344910Y-95271753D01* -X99423298Y-95324130D01* -X99510397Y-95360208D01* -X99602862Y-95378600D01* -X99697138Y-95378600D01* -X99789603Y-95360208D01* -X99807337Y-95352862D01* -X102371400Y-95352862D01* -X102371400Y-95447138D01* -X102389792Y-95539603D01* -X102425870Y-95626702D01* -X102478247Y-95705090D01* -X102544910Y-95771753D01* -X102623298Y-95824130D01* -X102710397Y-95860208D01* -X102802862Y-95878600D01* -X102897138Y-95878600D01* -X102989603Y-95860208D01* -X103076702Y-95824130D01* -X103108531Y-95802862D01* -X113571400Y-95802862D01* -X113571400Y-95897138D01* -X113589792Y-95989603D01* -X113625870Y-96076702D01* -X113678247Y-96155090D01* -X113744910Y-96221753D01* -X113823298Y-96274130D01* -X113910397Y-96310208D01* -X114002862Y-96328600D01* -X114097138Y-96328600D01* -X114189603Y-96310208D01* -X114276702Y-96274130D01* -X114355090Y-96221753D01* -X114421753Y-96155090D01* -X114474130Y-96076702D01* -X114510208Y-95989603D01* -X114528600Y-95897138D01* -X114528600Y-95802862D01* -X122121400Y-95802862D01* -X122121400Y-95897138D01* -X122139792Y-95989603D01* -X122175870Y-96076702D01* -X122228247Y-96155090D01* -X122294910Y-96221753D01* -X122373298Y-96274130D01* -X122460397Y-96310208D01* -X122552862Y-96328600D01* -X122647138Y-96328600D01* -X122739603Y-96310208D01* -X122826702Y-96274130D01* -X122905090Y-96221753D01* -X122971753Y-96155090D01* -X123024130Y-96076702D01* -X123060208Y-95989603D01* -X123078600Y-95897138D01* -X123078600Y-95802862D01* -X123060208Y-95710397D01* -X123024130Y-95623298D01* -X122971753Y-95544910D01* -X122905090Y-95478247D01* -X122826702Y-95425870D01* -X122739603Y-95389792D01* -X122647138Y-95371400D01* -X122552862Y-95371400D01* -X122460397Y-95389792D01* -X122373298Y-95425870D01* -X122294910Y-95478247D01* -X122228247Y-95544910D01* -X122175870Y-95623298D01* -X122139792Y-95710397D01* -X122121400Y-95802862D01* -X114528600Y-95802862D01* -X114510208Y-95710397D01* -X114474130Y-95623298D01* -X114421753Y-95544910D01* -X114355090Y-95478247D01* -X114276702Y-95425870D01* -X114189603Y-95389792D01* -X114097138Y-95371400D01* -X114002862Y-95371400D01* -X113910397Y-95389792D01* -X113823298Y-95425870D01* -X113744910Y-95478247D01* -X113678247Y-95544910D01* -X113625870Y-95623298D01* -X113589792Y-95710397D01* -X113571400Y-95802862D01* -X103108531Y-95802862D01* -X103155090Y-95771753D01* -X103221753Y-95705090D01* -X103274130Y-95626702D01* -X103310208Y-95539603D01* -X103328600Y-95447138D01* -X103328600Y-95352862D01* -X103310208Y-95260397D01* -X103274130Y-95173298D01* -X103221753Y-95094910D01* -X103155090Y-95028247D01* -X103117099Y-95002862D01* -X114221400Y-95002862D01* -X114221400Y-95097138D01* -X114239792Y-95189603D01* -X114275870Y-95276702D01* -X114328247Y-95355090D01* -X114394910Y-95421753D01* -X114473298Y-95474130D01* -X114560397Y-95510208D01* -X114652862Y-95528600D01* -X114747138Y-95528600D01* -X114839603Y-95510208D01* -X114926702Y-95474130D01* -X115005090Y-95421753D01* -X115071753Y-95355090D01* -X115124130Y-95276702D01* -X115160208Y-95189603D01* -X115178600Y-95097138D01* -X115178600Y-95002862D01* -X122771400Y-95002862D01* -X122771400Y-95097138D01* -X122789792Y-95189603D01* -X122825870Y-95276702D01* -X122878247Y-95355090D01* -X122944910Y-95421753D01* -X123023298Y-95474130D01* -X123110397Y-95510208D01* -X123202862Y-95528600D01* -X123297138Y-95528600D01* -X123389603Y-95510208D01* -X123476702Y-95474130D01* -X123555090Y-95421753D01* -X123621753Y-95355090D01* -X123674130Y-95276702D01* -X123710208Y-95189603D01* -X123728600Y-95097138D01* -X123728600Y-95002862D01* -X123710208Y-94910397D01* -X123674130Y-94823298D01* -X123621753Y-94744910D01* -X123555090Y-94678247D01* -X123476702Y-94625870D01* -X123389603Y-94589792D01* -X123297138Y-94571400D01* -X123202862Y-94571400D01* -X123110397Y-94589792D01* -X123023298Y-94625870D01* -X122944910Y-94678247D01* -X122878247Y-94744910D01* -X122825870Y-94823298D01* -X122789792Y-94910397D01* -X122771400Y-95002862D01* -X115178600Y-95002862D01* -X115160208Y-94910397D01* -X115124130Y-94823298D01* -X115071753Y-94744910D01* -X115005090Y-94678247D01* -X114926702Y-94625870D01* -X114839603Y-94589792D01* -X114747138Y-94571400D01* -X114652862Y-94571400D01* -X114560397Y-94589792D01* -X114473298Y-94625870D01* -X114394910Y-94678247D01* -X114328247Y-94744910D01* -X114275870Y-94823298D01* -X114239792Y-94910397D01* -X114221400Y-95002862D01* -X103117099Y-95002862D01* -X103076702Y-94975870D01* -X102989603Y-94939792D01* -X102897138Y-94921400D01* -X102802862Y-94921400D01* -X102710397Y-94939792D01* -X102623298Y-94975870D01* -X102544910Y-95028247D01* -X102478247Y-95094910D01* -X102425870Y-95173298D01* -X102389792Y-95260397D01* -X102371400Y-95352862D01* -X99807337Y-95352862D01* -X99876702Y-95324130D01* -X99955090Y-95271753D01* -X100021753Y-95205090D01* -X100074130Y-95126702D01* -X100110208Y-95039603D01* -X100128600Y-94947138D01* -X100128600Y-94852862D01* -X100110208Y-94760397D01* -X100074130Y-94673298D01* -X100021753Y-94594910D01* -X99955090Y-94528247D01* -X99876702Y-94475870D01* -X99789603Y-94439792D01* -X99697138Y-94421400D01* -X99602862Y-94421400D01* -X99510397Y-94439792D01* -X99423298Y-94475870D01* -X99344910Y-94528247D01* -X99278247Y-94594910D01* -X99225870Y-94673298D01* -X99189792Y-94760397D01* -X99171400Y-94852862D01* -X98428600Y-94852862D01* -X98410208Y-94760397D01* -X98374130Y-94673298D01* -X98321753Y-94594910D01* -X98255090Y-94528247D01* -X98176702Y-94475870D01* -X98089603Y-94439792D01* -X97997138Y-94421400D01* -X97902862Y-94421400D01* -X97810397Y-94439792D01* -X97723298Y-94475870D01* -X97644910Y-94528247D01* -X97578247Y-94594910D01* -X97525870Y-94673298D01* -X97489792Y-94760397D01* -X97471400Y-94852862D01* -X97407087Y-94852862D01* -X97374130Y-94773298D01* -X97321753Y-94694910D01* -X97255090Y-94628247D01* -X97176702Y-94575870D01* -X97089603Y-94539792D01* -X96997138Y-94521400D01* -X96902862Y-94521400D01* -X96810397Y-94539792D01* -X96723298Y-94575870D01* -X96644910Y-94628247D01* -X96578247Y-94694910D01* -X96525870Y-94773298D01* -X96489792Y-94860397D01* -X96471400Y-94952862D01* -X96327067Y-94952862D01* -X96321753Y-94944910D01* -X96255090Y-94878247D01* -X96176702Y-94825870D01* -X96089603Y-94789792D01* -X95997138Y-94771400D01* -X95902862Y-94771400D01* -X95810397Y-94789792D01* -X95723298Y-94825870D01* -X95644910Y-94878247D01* -X95578247Y-94944910D01* -X95525870Y-95023298D01* -X95489792Y-95110397D01* -X95471400Y-95202862D01* -X91323981Y-95202862D01* -X91371753Y-95155090D01* -X91424130Y-95076702D01* -X91460208Y-94989603D01* -X91478600Y-94897138D01* -X91478600Y-94802862D01* -X91460208Y-94710397D01* -X91424130Y-94623298D01* -X91371753Y-94544910D01* -X91305090Y-94478247D01* -X91226702Y-94425870D01* -X91139603Y-94389792D01* -X91047138Y-94371400D01* -X90952862Y-94371400D01* -X90860397Y-94389792D01* -X90773298Y-94425870D01* -X90694910Y-94478247D01* -X90628247Y-94544910D01* -X90575870Y-94623298D01* -X90539792Y-94710397D01* -X90521400Y-94802862D01* -X70010476Y-94802862D01* -X69971753Y-94744910D01* -X69905090Y-94678247D01* -X69826702Y-94625870D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69175870Y-94823298D01* -X69139792Y-94910397D01* -X69121400Y-95002862D01* -X46177200Y-95002862D01* -X46177200Y-94202862D01* -X113571400Y-94202862D01* -X113571400Y-94297138D01* -X113589792Y-94389603D01* -X113625870Y-94476702D01* -X113678247Y-94555090D01* -X113744910Y-94621753D01* -X113823298Y-94674130D01* -X113910397Y-94710208D01* -X114002862Y-94728600D01* -X114097138Y-94728600D01* -X114189603Y-94710208D01* -X114276702Y-94674130D01* -X114355090Y-94621753D01* -X114421753Y-94555090D01* -X114474130Y-94476702D01* -X114510208Y-94389603D01* -X114528600Y-94297138D01* -X114528600Y-94202862D01* -X122121400Y-94202862D01* -X122121400Y-94297138D01* -X122139792Y-94389603D01* -X122175870Y-94476702D01* -X122228247Y-94555090D01* -X122294910Y-94621753D01* -X122373298Y-94674130D01* -X122460397Y-94710208D01* -X122552862Y-94728600D01* -X122647138Y-94728600D01* -X122739603Y-94710208D01* -X122826702Y-94674130D01* -X122905090Y-94621753D01* -X122971753Y-94555090D01* -X123024130Y-94476702D01* -X123060208Y-94389603D01* -X123078600Y-94297138D01* -X123078600Y-94202862D01* -X123060208Y-94110397D01* -X123024130Y-94023298D01* -X122971753Y-93944910D01* -X122905090Y-93878247D01* -X122826702Y-93825870D01* -X122739603Y-93789792D01* -X122647138Y-93771400D01* -X122552862Y-93771400D01* -X122460397Y-93789792D01* -X122373298Y-93825870D01* -X122294910Y-93878247D01* -X122228247Y-93944910D01* -X122175870Y-94023298D01* -X122139792Y-94110397D01* -X122121400Y-94202862D01* -X114528600Y-94202862D01* -X114510208Y-94110397D01* -X114474130Y-94023298D01* -X114421753Y-93944910D01* -X114355090Y-93878247D01* -X114276702Y-93825870D01* -X114189603Y-93789792D01* -X114097138Y-93771400D01* -X114002862Y-93771400D01* -X113910397Y-93789792D01* -X113823298Y-93825870D01* -X113744910Y-93878247D01* -X113678247Y-93944910D01* -X113625870Y-94023298D01* -X113589792Y-94110397D01* -X113571400Y-94202862D01* -X46177200Y-94202862D01* -X46177200Y-93606544D01* -X46217936Y-93402862D01* -X114221400Y-93402862D01* -X114221400Y-93497138D01* -X114239792Y-93589603D01* -X114275870Y-93676702D01* -X114328247Y-93755090D01* -X114394910Y-93821753D01* -X114473298Y-93874130D01* -X114560397Y-93910208D01* -X114652862Y-93928600D01* -X114747138Y-93928600D01* -X114839603Y-93910208D01* -X114926702Y-93874130D01* -X115005090Y-93821753D01* -X115071753Y-93755090D01* -X115124130Y-93676702D01* -X115160208Y-93589603D01* -X115178600Y-93497138D01* -X115178600Y-93402862D01* -X121471400Y-93402862D01* -X121471400Y-93497138D01* -X121489792Y-93589603D01* -X121525870Y-93676702D01* -X121578247Y-93755090D01* -X121644910Y-93821753D01* -X121723298Y-93874130D01* -X121810397Y-93910208D01* -X121902862Y-93928600D01* -X121997138Y-93928600D01* -X122089603Y-93910208D01* -X122176702Y-93874130D01* -X122255090Y-93821753D01* -X122321753Y-93755090D01* -X122374130Y-93676702D01* -X122410208Y-93589603D01* -X122428600Y-93497138D01* -X122428600Y-93402862D01* -X122410208Y-93310397D01* -X122374130Y-93223298D01* -X122321753Y-93144910D01* -X122255090Y-93078247D01* -X122176702Y-93025870D01* -X122089603Y-92989792D01* -X121997138Y-92971400D01* -X121902862Y-92971400D01* -X121810397Y-92989792D01* -X121723298Y-93025870D01* -X121644910Y-93078247D01* -X121578247Y-93144910D01* -X121525870Y-93223298D01* -X121489792Y-93310397D01* -X121471400Y-93402862D01* -X115178600Y-93402862D01* -X115160208Y-93310397D01* -X115124130Y-93223298D01* -X115071753Y-93144910D01* -X115005090Y-93078247D01* -X114926702Y-93025870D01* -X114839603Y-92989792D01* -X114747138Y-92971400D01* -X114652862Y-92971400D01* -X114560397Y-92989792D01* -X114473298Y-93025870D01* -X114394910Y-93078247D01* -X114328247Y-93144910D01* -X114275870Y-93223298D01* -X114239792Y-93310397D01* -X114221400Y-93402862D01* -X46217936Y-93402862D01* -X46300719Y-92988951D01* -X46518763Y-92552862D01* -X90421400Y-92552862D01* -X90421400Y-92647138D01* -X90439792Y-92739603D01* -X90475870Y-92826702D01* -X90528247Y-92905090D01* -X90594910Y-92971753D01* -X90673298Y-93024130D01* -X90760397Y-93060208D01* -X90852862Y-93078600D01* -X90947138Y-93078600D01* -X91039603Y-93060208D01* -X91126702Y-93024130D01* -X91205090Y-92971753D01* -X91271753Y-92905090D01* -X91324130Y-92826702D01* -X91360208Y-92739603D01* -X91378600Y-92647138D01* -X91378600Y-92552862D01* -X97421400Y-92552862D01* -X97421400Y-92647138D01* -X97439792Y-92739603D01* -X97475870Y-92826702D01* -X97528247Y-92905090D01* -X97594910Y-92971753D01* -X97673298Y-93024130D01* -X97760397Y-93060208D01* -X97852862Y-93078600D01* -X97947138Y-93078600D01* -X98039603Y-93060208D01* -X98126702Y-93024130D01* -X98205090Y-92971753D01* -X98271753Y-92905090D01* -X98324130Y-92826702D01* -X98360208Y-92739603D01* -X98378600Y-92647138D01* -X98378600Y-92552862D01* -X99571400Y-92552862D01* -X99571400Y-92647138D01* -X99589792Y-92739603D01* -X99625870Y-92826702D01* -X99678247Y-92905090D01* -X99744910Y-92971753D01* -X99823298Y-93024130D01* -X99910397Y-93060208D01* -X100002862Y-93078600D01* -X100097138Y-93078600D01* -X100189603Y-93060208D01* -X100276702Y-93024130D01* -X100355090Y-92971753D01* -X100421753Y-92905090D01* -X100474130Y-92826702D01* -X100510208Y-92739603D01* -X100528600Y-92647138D01* -X100528600Y-92602862D01* -X122771400Y-92602862D01* -X122771400Y-92697138D01* -X122789792Y-92789603D01* -X122825870Y-92876702D01* -X122878247Y-92955090D01* -X122944910Y-93021753D01* -X123023298Y-93074130D01* -X123110397Y-93110208D01* -X123202862Y-93128600D01* -X123297138Y-93128600D01* -X123389603Y-93110208D01* -X123476702Y-93074130D01* -X123555090Y-93021753D01* -X123621753Y-92955090D01* -X123674130Y-92876702D01* -X123710208Y-92789603D01* -X123728600Y-92697138D01* -X123728600Y-92602862D01* -X125071400Y-92602862D01* -X125071400Y-92697138D01* -X125089792Y-92789603D01* -X125125870Y-92876702D01* -X125178247Y-92955090D01* -X125244910Y-93021753D01* -X125323298Y-93074130D01* -X125410397Y-93110208D01* -X125502862Y-93128600D01* -X125597138Y-93128600D01* -X125689603Y-93110208D01* -X125776702Y-93074130D01* -X125855090Y-93021753D01* -X125921753Y-92955090D01* -X125974130Y-92876702D01* -X125990124Y-92838088D01* -X126821400Y-92838088D01* -X126821400Y-92961912D01* -X126845556Y-93083356D01* -X126892941Y-93197754D01* -X126961734Y-93300709D01* -X127049291Y-93388266D01* -X127152246Y-93457059D01* -X127266644Y-93504444D01* -X127388088Y-93528600D01* -X127511912Y-93528600D01* -X127633356Y-93504444D01* -X127747754Y-93457059D01* -X127850709Y-93388266D01* -X127938266Y-93300709D01* -X128007059Y-93197754D01* -X128054444Y-93083356D01* -X128078600Y-92961912D01* -X128078600Y-92838088D01* -X128054444Y-92716644D01* -X128007059Y-92602246D01* -X127938266Y-92499291D01* -X127850709Y-92411734D01* -X127747754Y-92342941D01* -X127633356Y-92295556D01* -X127511912Y-92271400D01* -X127388088Y-92271400D01* -X127266644Y-92295556D01* -X127152246Y-92342941D01* -X127049291Y-92411734D01* -X126961734Y-92499291D01* -X126892941Y-92602246D01* -X126845556Y-92716644D01* -X126821400Y-92838088D01* -X125990124Y-92838088D01* -X126010208Y-92789603D01* -X126028600Y-92697138D01* -X126028600Y-92602862D01* -X126010208Y-92510397D01* -X125974130Y-92423298D01* -X125921753Y-92344910D01* -X125855090Y-92278247D01* -X125776702Y-92225870D01* -X125689603Y-92189792D01* -X125597138Y-92171400D01* -X125502862Y-92171400D01* -X125410397Y-92189792D01* -X125323298Y-92225870D01* -X125244910Y-92278247D01* -X125178247Y-92344910D01* -X125125870Y-92423298D01* -X125089792Y-92510397D01* -X125071400Y-92602862D01* -X123728600Y-92602862D01* -X123710208Y-92510397D01* -X123674130Y-92423298D01* -X123621753Y-92344910D01* -X123555090Y-92278247D01* -X123476702Y-92225870D01* -X123389603Y-92189792D01* -X123297138Y-92171400D01* -X123202862Y-92171400D01* -X123110397Y-92189792D01* -X123023298Y-92225870D01* -X122944910Y-92278247D01* -X122878247Y-92344910D01* -X122825870Y-92423298D01* -X122789792Y-92510397D01* -X122771400Y-92602862D01* -X100528600Y-92602862D01* -X100528600Y-92552862D01* -X100510208Y-92460397D01* -X100474130Y-92373298D01* -X100421753Y-92294910D01* -X100355090Y-92228247D01* -X100276702Y-92175870D01* -X100189603Y-92139792D01* -X100097138Y-92121400D01* -X100002862Y-92121400D01* -X99910397Y-92139792D01* -X99823298Y-92175870D01* -X99744910Y-92228247D01* -X99678247Y-92294910D01* -X99625870Y-92373298D01* -X99589792Y-92460397D01* -X99571400Y-92552862D01* -X98378600Y-92552862D01* -X98360208Y-92460397D01* -X98324130Y-92373298D01* -X98271753Y-92294910D01* -X98205090Y-92228247D01* -X98126702Y-92175870D01* -X98039603Y-92139792D01* -X97947138Y-92121400D01* -X97852862Y-92121400D01* -X97760397Y-92139792D01* -X97673298Y-92175870D01* -X97594910Y-92228247D01* -X97528247Y-92294910D01* -X97475870Y-92373298D01* -X97439792Y-92460397D01* -X97421400Y-92552862D01* -X91378600Y-92552862D01* -X91360208Y-92460397D01* -X91324130Y-92373298D01* -X91271753Y-92294910D01* -X91205090Y-92228247D01* -X91126702Y-92175870D01* -X91039603Y-92139792D01* -X90947138Y-92121400D01* -X90852862Y-92121400D01* -X90760397Y-92139792D01* -X90673298Y-92175870D01* -X90594910Y-92228247D01* -X90528247Y-92294910D01* -X90475870Y-92373298D01* -X90439792Y-92460397D01* -X90421400Y-92552862D01* -X46518763Y-92552862D01* -X46544624Y-92501140D01* -X47397827Y-91647937D01* -X98221400Y-91647937D01* -X98221400Y-91752063D01* -X98241713Y-91854187D01* -X98281560Y-91950386D01* -X98339409Y-92036963D01* -X98413037Y-92110591D01* -X98499614Y-92168440D01* -X98595813Y-92208287D01* -X98697937Y-92228600D01* -X98802063Y-92228600D01* -X98904187Y-92208287D01* -X99000386Y-92168440D01* -X99086963Y-92110591D01* -X99160591Y-92036963D01* -X99218440Y-91950386D01* -X99258287Y-91854187D01* -X99278600Y-91752063D01* -X99278600Y-91647937D01* -X99268655Y-91597937D01* -X113721400Y-91597937D01* -X113721400Y-91702063D01* -X113741713Y-91804187D01* -X113781560Y-91900386D01* -X113839409Y-91986963D01* -X113913037Y-92060591D01* -X113999614Y-92118440D01* -X114095813Y-92158287D01* -X114197937Y-92178600D01* -X114302063Y-92178600D01* -X114404187Y-92158287D01* -X114500386Y-92118440D01* -X114586963Y-92060591D01* -X114660591Y-91986963D01* -X114716785Y-91902862D01* -X123921400Y-91902862D01* -X123921400Y-91997138D01* -X123939792Y-92089603D01* -X123975870Y-92176702D01* -X124028247Y-92255090D01* -X124094910Y-92321753D01* -X124173298Y-92374130D01* -X124260397Y-92410208D01* -X124352862Y-92428600D01* -X124447138Y-92428600D01* -X124539603Y-92410208D01* -X124626702Y-92374130D01* -X124705090Y-92321753D01* -X124771753Y-92255090D01* -X124824130Y-92176702D01* -X124860208Y-92089603D01* -X124878600Y-91997138D01* -X124878600Y-91902862D01* -X124860208Y-91810397D01* -X124834336Y-91747937D01* -X125921400Y-91747937D01* -X125921400Y-91852063D01* -X125941713Y-91954187D01* -X125981560Y-92050386D01* -X126039409Y-92136963D01* -X126113037Y-92210591D01* -X126199614Y-92268440D01* -X126295813Y-92308287D01* -X126397937Y-92328600D01* -X126502063Y-92328600D01* -X126604187Y-92308287D01* -X126700386Y-92268440D01* -X126786963Y-92210591D01* -X126860591Y-92136963D01* -X126918440Y-92050386D01* -X126958287Y-91954187D01* -X126978600Y-91852063D01* -X126978600Y-91747937D01* -X126958287Y-91645813D01* -X126918440Y-91549614D01* -X126860591Y-91463037D01* -X126786963Y-91389409D01* -X126700386Y-91331560D01* -X126604187Y-91291713D01* -X126502063Y-91271400D01* -X126397937Y-91271400D01* -X126295813Y-91291713D01* -X126199614Y-91331560D01* -X126113037Y-91389409D01* -X126039409Y-91463037D01* -X125981560Y-91549614D01* -X125941713Y-91645813D01* -X125921400Y-91747937D01* -X124834336Y-91747937D01* -X124824130Y-91723298D01* -X124771753Y-91644910D01* -X124705090Y-91578247D01* -X124626702Y-91525870D01* -X124539603Y-91489792D01* -X124447138Y-91471400D01* -X124352862Y-91471400D01* -X124260397Y-91489792D01* -X124173298Y-91525870D01* -X124094910Y-91578247D01* -X124028247Y-91644910D01* -X123975870Y-91723298D01* -X123939792Y-91810397D01* -X123921400Y-91902862D01* -X114716785Y-91902862D01* -X114718440Y-91900386D01* -X114758287Y-91804187D01* -X114778600Y-91702063D01* -X114778600Y-91597937D01* -X114758287Y-91495813D01* -X114718440Y-91399614D01* -X114660591Y-91313037D01* -X114586963Y-91239409D01* -X114500386Y-91181560D01* -X114404187Y-91141713D01* -X114302063Y-91121400D01* -X114197937Y-91121400D01* -X114095813Y-91141713D01* -X113999614Y-91181560D01* -X113913037Y-91239409D01* -X113839409Y-91313037D01* -X113781560Y-91399614D01* -X113741713Y-91495813D01* -X113721400Y-91597937D01* -X99268655Y-91597937D01* -X99258287Y-91545813D01* -X99218440Y-91449614D01* -X99160591Y-91363037D01* -X99086963Y-91289409D01* -X99000386Y-91231560D01* -X98904187Y-91191713D01* -X98802063Y-91171400D01* -X98697937Y-91171400D01* -X98595813Y-91191713D01* -X98499614Y-91231560D01* -X98413037Y-91289409D01* -X98339409Y-91363037D01* -X98281560Y-91449614D01* -X98241713Y-91545813D01* -X98221400Y-91647937D01* -X47397827Y-91647937D01* -X48297827Y-90747937D01* -X90221400Y-90747937D01* -X90221400Y-90852063D01* -X90241713Y-90954187D01* -X90281560Y-91050386D01* -X90339409Y-91136963D01* -X90413037Y-91210591D01* -X90499614Y-91268440D01* -X90595813Y-91308287D01* -X90697937Y-91328600D01* -X90802063Y-91328600D01* -X90904187Y-91308287D01* -X91000386Y-91268440D01* -X91086963Y-91210591D01* -X91160591Y-91136963D01* -X91218440Y-91050386D01* -X91258287Y-90954187D01* -X91278600Y-90852063D01* -X91278600Y-90747937D01* -X97221400Y-90747937D01* -X97221400Y-90852063D01* -X97241713Y-90954187D01* -X97281560Y-91050386D01* -X97339409Y-91136963D01* -X97413037Y-91210591D01* -X97499614Y-91268440D01* -X97595813Y-91308287D01* -X97697937Y-91328600D01* -X97802063Y-91328600D01* -X97904187Y-91308287D01* -X98000386Y-91268440D01* -X98086963Y-91210591D01* -X98160591Y-91136963D01* -X98218440Y-91050386D01* -X98258287Y-90954187D01* -X98278600Y-90852063D01* -X98278600Y-90747937D01* -X98268655Y-90697937D01* -X114721400Y-90697937D01* -X114721400Y-90802063D01* -X114741713Y-90904187D01* -X114781560Y-91000386D01* -X114839409Y-91086963D01* -X114913037Y-91160591D01* -X114999614Y-91218440D01* -X115095813Y-91258287D01* -X115197937Y-91278600D01* -X115302063Y-91278600D01* -X115404187Y-91258287D01* -X115500386Y-91218440D01* -X115586963Y-91160591D01* -X115660591Y-91086963D01* -X115718440Y-91000386D01* -X115758287Y-90904187D01* -X115778600Y-90802063D01* -X115778600Y-90697937D01* -X124721400Y-90697937D01* -X124721400Y-90802063D01* -X124741713Y-90904187D01* -X124781560Y-91000386D01* -X124839409Y-91086963D01* -X124913037Y-91160591D01* -X124999614Y-91218440D01* -X125095813Y-91258287D01* -X125197937Y-91278600D01* -X125302063Y-91278600D01* -X125404187Y-91258287D01* -X125500386Y-91218440D01* -X125586963Y-91160591D01* -X125660591Y-91086963D01* -X125718440Y-91000386D01* -X125758287Y-90904187D01* -X125762130Y-90884862D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134822910Y-91303753D01* -X134901298Y-91356130D01* -X134988397Y-91392208D01* -X135080862Y-91410600D01* -X135175138Y-91410600D01* -X135267603Y-91392208D01* -X135354702Y-91356130D01* -X135433090Y-91303753D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135588208Y-91071603D01* -X135606600Y-90979138D01* -X135606600Y-90884862D01* -X135919400Y-90884862D01* -X135919400Y-90979138D01* -X135937792Y-91071603D01* -X135973870Y-91158702D01* -X136026247Y-91237090D01* -X136092910Y-91303753D01* -X136171298Y-91356130D01* -X136258397Y-91392208D01* -X136350862Y-91410600D01* -X136445138Y-91410600D01* -X136537603Y-91392208D01* -X136624702Y-91356130D01* -X136703090Y-91303753D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X135973870Y-90705298D01* -X135937792Y-90792397D01* -X135919400Y-90884862D01* -X135606600Y-90884862D01* -X135588208Y-90792397D01* -X135552130Y-90705298D01* -X135499753Y-90626910D01* -X135433090Y-90560247D01* -X135354702Y-90507870D01* -X135267603Y-90471792D01* -X135175138Y-90453400D01* -X135080862Y-90453400D01* -X134988397Y-90471792D01* -X134901298Y-90507870D01* -X134822910Y-90560247D01* -X134756247Y-90626910D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X125762130Y-90884862D01* -X125778600Y-90802063D01* -X125778600Y-90697937D01* -X125758287Y-90595813D01* -X125718440Y-90499614D01* -X125660591Y-90413037D01* -X125586963Y-90339409D01* -X125500386Y-90281560D01* -X125404187Y-90241713D01* -X125302063Y-90221400D01* -X125197937Y-90221400D01* -X125095813Y-90241713D01* -X124999614Y-90281560D01* -X124913037Y-90339409D01* -X124839409Y-90413037D01* -X124781560Y-90499614D01* -X124741713Y-90595813D01* -X124721400Y-90697937D01* -X115778600Y-90697937D01* -X115758287Y-90595813D01* -X115718440Y-90499614D01* -X115660591Y-90413037D01* -X115586963Y-90339409D01* -X115500386Y-90281560D01* -X115404187Y-90241713D01* -X115302063Y-90221400D01* -X115197937Y-90221400D01* -X115095813Y-90241713D01* -X114999614Y-90281560D01* -X114913037Y-90339409D01* -X114839409Y-90413037D01* -X114781560Y-90499614D01* -X114741713Y-90595813D01* -X114721400Y-90697937D01* -X98268655Y-90697937D01* -X98258287Y-90645813D01* -X98218440Y-90549614D01* -X98160591Y-90463037D01* -X98086963Y-90389409D01* -X98000386Y-90331560D01* -X97904187Y-90291713D01* -X97802063Y-90271400D01* -X97697937Y-90271400D01* -X97595813Y-90291713D01* -X97499614Y-90331560D01* -X97413037Y-90389409D01* -X97339409Y-90463037D01* -X97281560Y-90549614D01* -X97241713Y-90645813D01* -X97221400Y-90747937D01* -X91278600Y-90747937D01* -X91258287Y-90645813D01* -X91218440Y-90549614D01* -X91160591Y-90463037D01* -X91086963Y-90389409D01* -X91000386Y-90331560D01* -X90904187Y-90291713D01* -X90802063Y-90271400D01* -X90697937Y-90271400D01* -X90595813Y-90291713D01* -X90499614Y-90331560D01* -X90413037Y-90389409D01* -X90339409Y-90463037D01* -X90281560Y-90549614D01* -X90241713Y-90645813D01* -X90221400Y-90747937D01* -X48297827Y-90747937D01* -X49247827Y-89797937D01* -X113721400Y-89797937D01* -X113721400Y-89902063D01* -X113741713Y-90004187D01* -X113781560Y-90100386D01* -X113839409Y-90186963D01* -X113913037Y-90260591D01* -X113999614Y-90318440D01* -X114095813Y-90358287D01* -X114197937Y-90378600D01* -X114302063Y-90378600D01* -X114404187Y-90358287D01* -X114500386Y-90318440D01* -X114586963Y-90260591D01* -X114660591Y-90186963D01* -X114718440Y-90100386D01* -X114758287Y-90004187D01* -X114778600Y-89902063D01* -X114778600Y-89797937D01* -X123721400Y-89797937D01* -X123721400Y-89902063D01* -X123741713Y-90004187D01* -X123781560Y-90100386D01* -X123839409Y-90186963D01* -X123913037Y-90260591D01* -X123999614Y-90318440D01* -X124095813Y-90358287D01* -X124197937Y-90378600D01* -X124302063Y-90378600D01* -X124404187Y-90358287D01* -X124500386Y-90318440D01* -X124586963Y-90260591D01* -X124660591Y-90186963D01* -X124718440Y-90100386D01* -X124758287Y-90004187D01* -X124778600Y-89902063D01* -X124778600Y-89797937D01* -X124758287Y-89695813D01* -X124718440Y-89599614D01* -X124660591Y-89513037D01* -X124586963Y-89439409D01* -X124500386Y-89381560D01* -X124404187Y-89341713D01* -X124302063Y-89321400D01* -X124197937Y-89321400D01* -X124095813Y-89341713D01* -X123999614Y-89381560D01* -X123913037Y-89439409D01* -X123839409Y-89513037D01* -X123781560Y-89599614D01* -X123741713Y-89695813D01* -X123721400Y-89797937D01* -X114778600Y-89797937D01* -X114758287Y-89695813D01* -X114718440Y-89599614D01* -X114660591Y-89513037D01* -X114586963Y-89439409D01* -X114500386Y-89381560D01* -X114404187Y-89341713D01* -X114302063Y-89321400D01* -X114197937Y-89321400D01* -X114095813Y-89341713D01* -X113999614Y-89381560D01* -X113913037Y-89439409D01* -X113839409Y-89513037D01* -X113781560Y-89599614D01* -X113741713Y-89695813D01* -X113721400Y-89797937D01* -X49247827Y-89797937D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56702010Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X56702010Y-82343754D01* -X58211140Y-80834624D01* -X58698951Y-80590719D01* -X59316544Y-80467200D01* -X142994456Y-80467200D01* -X143609112Y-80590131D01* -G37* -X143609112Y-80590131D02* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144957800Y-82430544D01* -X144957800Y-129532456D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X142994456Y-131495800D01* -X138938000Y-131495800D01* -X138923134Y-131497264D01* -X138908840Y-131501600D01* -X138895666Y-131508642D01* -X138884118Y-131518118D01* -X138874642Y-131529666D01* -X138867600Y-131542840D01* -X138863264Y-131557134D01* -X138861800Y-131572000D01* -X138861800Y-139115800D01* -X74498200Y-139115800D01* -X74498200Y-138303000D01* -X75615800Y-138303000D01* -X75615800Y-138684000D01* -X75617264Y-138698866D01* -X75621600Y-138713160D01* -X75628642Y-138726334D01* -X75638118Y-138737882D01* -X75649666Y-138747358D01* -X75662840Y-138754400D01* -X75677134Y-138758736D01* -X75692000Y-138760200D01* -X76073000Y-138760200D01* -X76087866Y-138758736D01* -X76102160Y-138754400D01* -X76115334Y-138747358D01* -X76126882Y-138737882D01* -X76136358Y-138726334D01* -X76143400Y-138713160D01* -X76147736Y-138698866D01* -X76149200Y-138684000D01* -X76149200Y-138303000D01* -X76250800Y-138303000D01* -X76250800Y-138684000D01* -X76252264Y-138698866D01* -X76256600Y-138713160D01* -X76263642Y-138726334D01* -X76273118Y-138737882D01* -X76284666Y-138747358D01* -X76297840Y-138754400D01* -X76312134Y-138758736D01* -X76327000Y-138760200D01* -X76708000Y-138760200D01* -X76722866Y-138758736D01* -X76737160Y-138754400D01* -X76750334Y-138747358D01* -X76761882Y-138737882D01* -X76771358Y-138726334D01* -X76778400Y-138713160D01* -X76782736Y-138698866D01* -X76784200Y-138684000D01* -X76784200Y-138303000D01* -X78155800Y-138303000D01* -X78155800Y-138684000D01* -X78157264Y-138698866D01* -X78161600Y-138713160D01* -X78168642Y-138726334D01* -X78178118Y-138737882D01* -X78189666Y-138747358D01* -X78202840Y-138754400D01* -X78217134Y-138758736D01* -X78232000Y-138760200D01* -X78613000Y-138760200D01* -X78627866Y-138758736D01* -X78642160Y-138754400D01* -X78655334Y-138747358D01* -X78666882Y-138737882D01* -X78676358Y-138726334D01* -X78683400Y-138713160D01* -X78687736Y-138698866D01* -X78689200Y-138684000D01* -X78689200Y-138303000D01* -X78790800Y-138303000D01* -X78790800Y-138684000D01* -X78792264Y-138698866D01* -X78796600Y-138713160D01* -X78803642Y-138726334D01* -X78813118Y-138737882D01* -X78824666Y-138747358D01* -X78837840Y-138754400D01* -X78852134Y-138758736D01* -X78867000Y-138760200D01* -X79248000Y-138760200D01* -X79262866Y-138758736D01* -X79277160Y-138754400D01* -X79290334Y-138747358D01* -X79301882Y-138737882D01* -X79311358Y-138726334D01* -X79318400Y-138713160D01* -X79322736Y-138698866D01* -X79324200Y-138684000D01* -X79324200Y-138303000D01* -X80695800Y-138303000D01* -X80695800Y-138684000D01* -X80697264Y-138698866D01* -X80701600Y-138713160D01* -X80708642Y-138726334D01* -X80718118Y-138737882D01* -X80729666Y-138747358D01* -X80742840Y-138754400D01* -X80757134Y-138758736D01* -X80772000Y-138760200D01* -X81153000Y-138760200D01* -X81167866Y-138758736D01* -X81182160Y-138754400D01* -X81195334Y-138747358D01* -X81206882Y-138737882D01* -X81216358Y-138726334D01* -X81223400Y-138713160D01* -X81227736Y-138698866D01* -X81229200Y-138684000D01* -X81229200Y-138303000D01* -X81330800Y-138303000D01* -X81330800Y-138684000D01* -X81332264Y-138698866D01* -X81336600Y-138713160D01* -X81343642Y-138726334D01* -X81353118Y-138737882D01* -X81364666Y-138747358D01* -X81377840Y-138754400D01* -X81392134Y-138758736D01* -X81407000Y-138760200D01* -X81788000Y-138760200D01* -X81802866Y-138758736D01* -X81817160Y-138754400D01* -X81830334Y-138747358D01* -X81841882Y-138737882D01* -X81851358Y-138726334D01* -X81858400Y-138713160D01* -X81862736Y-138698866D01* -X81864200Y-138684000D01* -X81864200Y-138303000D01* -X83235800Y-138303000D01* -X83235800Y-138684000D01* -X83237264Y-138698866D01* -X83241600Y-138713160D01* -X83248642Y-138726334D01* -X83258118Y-138737882D01* -X83269666Y-138747358D01* -X83282840Y-138754400D01* -X83297134Y-138758736D01* -X83312000Y-138760200D01* -X83693000Y-138760200D01* -X83707866Y-138758736D01* -X83722160Y-138754400D01* -X83735334Y-138747358D01* -X83746882Y-138737882D01* -X83756358Y-138726334D01* -X83763400Y-138713160D01* -X83767736Y-138698866D01* -X83769200Y-138684000D01* -X83769200Y-138303000D01* -X83870800Y-138303000D01* -X83870800Y-138684000D01* -X83872264Y-138698866D01* -X83876600Y-138713160D01* -X83883642Y-138726334D01* -X83893118Y-138737882D01* -X83904666Y-138747358D01* -X83917840Y-138754400D01* -X83932134Y-138758736D01* -X83947000Y-138760200D01* -X84328000Y-138760200D01* -X84342866Y-138758736D01* -X84357160Y-138754400D01* -X84370334Y-138747358D01* -X84381882Y-138737882D01* -X84391358Y-138726334D01* -X84398400Y-138713160D01* -X84402736Y-138698866D01* -X84404200Y-138684000D01* -X84404200Y-138303000D01* -X85775800Y-138303000D01* -X85775800Y-138684000D01* -X85777264Y-138698866D01* -X85781600Y-138713160D01* -X85788642Y-138726334D01* -X85798118Y-138737882D01* -X85809666Y-138747358D01* -X85822840Y-138754400D01* -X85837134Y-138758736D01* -X85852000Y-138760200D01* -X86233000Y-138760200D01* -X86247866Y-138758736D01* -X86262160Y-138754400D01* -X86275334Y-138747358D01* -X86286882Y-138737882D01* -X86296358Y-138726334D01* -X86303400Y-138713160D01* -X86307736Y-138698866D01* -X86309200Y-138684000D01* -X86309200Y-138303000D01* -X86410800Y-138303000D01* -X86410800Y-138684000D01* -X86412264Y-138698866D01* -X86416600Y-138713160D01* -X86423642Y-138726334D01* -X86433118Y-138737882D01* -X86444666Y-138747358D01* -X86457840Y-138754400D01* -X86472134Y-138758736D01* -X86487000Y-138760200D01* -X86868000Y-138760200D01* -X86882866Y-138758736D01* -X86897160Y-138754400D01* -X86910334Y-138747358D01* -X86921882Y-138737882D01* -X86931358Y-138726334D01* -X86938400Y-138713160D01* -X86942736Y-138698866D01* -X86944200Y-138684000D01* -X86944200Y-138303000D01* -X88315800Y-138303000D01* -X88315800Y-138684000D01* -X88317264Y-138698866D01* -X88321600Y-138713160D01* -X88328642Y-138726334D01* -X88338118Y-138737882D01* -X88349666Y-138747358D01* -X88362840Y-138754400D01* -X88377134Y-138758736D01* -X88392000Y-138760200D01* -X88773000Y-138760200D01* -X88787866Y-138758736D01* -X88802160Y-138754400D01* -X88815334Y-138747358D01* -X88826882Y-138737882D01* -X88836358Y-138726334D01* -X88843400Y-138713160D01* -X88847736Y-138698866D01* -X88849200Y-138684000D01* -X88849200Y-138303000D01* -X88950800Y-138303000D01* -X88950800Y-138684000D01* -X88952264Y-138698866D01* -X88956600Y-138713160D01* -X88963642Y-138726334D01* -X88973118Y-138737882D01* -X88984666Y-138747358D01* -X88997840Y-138754400D01* -X89012134Y-138758736D01* -X89027000Y-138760200D01* -X89408000Y-138760200D01* -X89422866Y-138758736D01* -X89437160Y-138754400D01* -X89450334Y-138747358D01* -X89461882Y-138737882D01* -X89471358Y-138726334D01* -X89478400Y-138713160D01* -X89482736Y-138698866D01* -X89484200Y-138684000D01* -X89484200Y-138303000D01* -X90855800Y-138303000D01* -X90855800Y-138684000D01* -X90857264Y-138698866D01* -X90861600Y-138713160D01* -X90868642Y-138726334D01* -X90878118Y-138737882D01* -X90889666Y-138747358D01* -X90902840Y-138754400D01* -X90917134Y-138758736D01* -X90932000Y-138760200D01* -X91313000Y-138760200D01* -X91327866Y-138758736D01* -X91342160Y-138754400D01* -X91355334Y-138747358D01* -X91366882Y-138737882D01* -X91376358Y-138726334D01* -X91383400Y-138713160D01* -X91387736Y-138698866D01* -X91389200Y-138684000D01* -X91389200Y-138303000D01* -X91490800Y-138303000D01* -X91490800Y-138684000D01* -X91492264Y-138698866D01* -X91496600Y-138713160D01* -X91503642Y-138726334D01* -X91513118Y-138737882D01* -X91524666Y-138747358D01* -X91537840Y-138754400D01* -X91552134Y-138758736D01* -X91567000Y-138760200D01* -X91948000Y-138760200D01* -X91962866Y-138758736D01* -X91977160Y-138754400D01* -X91990334Y-138747358D01* -X92001882Y-138737882D01* -X92011358Y-138726334D01* -X92018400Y-138713160D01* -X92022736Y-138698866D01* -X92024200Y-138684000D01* -X92024200Y-138303000D01* -X93395800Y-138303000D01* -X93395800Y-138684000D01* -X93397264Y-138698866D01* -X93401600Y-138713160D01* -X93408642Y-138726334D01* -X93418118Y-138737882D01* -X93429666Y-138747358D01* -X93442840Y-138754400D01* -X93457134Y-138758736D01* -X93472000Y-138760200D01* -X93853000Y-138760200D01* -X93867866Y-138758736D01* -X93882160Y-138754400D01* -X93895334Y-138747358D01* -X93906882Y-138737882D01* -X93916358Y-138726334D01* -X93923400Y-138713160D01* -X93927736Y-138698866D01* -X93929200Y-138684000D01* -X93929200Y-138303000D01* -X94030800Y-138303000D01* -X94030800Y-138684000D01* -X94032264Y-138698866D01* -X94036600Y-138713160D01* -X94043642Y-138726334D01* -X94053118Y-138737882D01* -X94064666Y-138747358D01* -X94077840Y-138754400D01* -X94092134Y-138758736D01* -X94107000Y-138760200D01* -X94488000Y-138760200D01* -X94502866Y-138758736D01* -X94517160Y-138754400D01* -X94530334Y-138747358D01* -X94541882Y-138737882D01* -X94551358Y-138726334D01* -X94558400Y-138713160D01* -X94562736Y-138698866D01* -X94564200Y-138684000D01* -X94564200Y-138303000D01* -X95935800Y-138303000D01* -X95935800Y-138684000D01* -X95937264Y-138698866D01* -X95941600Y-138713160D01* -X95948642Y-138726334D01* -X95958118Y-138737882D01* -X95969666Y-138747358D01* -X95982840Y-138754400D01* -X95997134Y-138758736D01* -X96012000Y-138760200D01* -X96393000Y-138760200D01* -X96407866Y-138758736D01* -X96422160Y-138754400D01* -X96435334Y-138747358D01* -X96446882Y-138737882D01* -X96456358Y-138726334D01* -X96463400Y-138713160D01* -X96467736Y-138698866D01* -X96469200Y-138684000D01* -X96469200Y-138303000D01* -X96570800Y-138303000D01* -X96570800Y-138684000D01* -X96572264Y-138698866D01* -X96576600Y-138713160D01* -X96583642Y-138726334D01* -X96593118Y-138737882D01* -X96604666Y-138747358D01* -X96617840Y-138754400D01* -X96632134Y-138758736D01* -X96647000Y-138760200D01* -X97028000Y-138760200D01* -X97042866Y-138758736D01* -X97057160Y-138754400D01* -X97070334Y-138747358D01* -X97081882Y-138737882D01* -X97091358Y-138726334D01* -X97098400Y-138713160D01* -X97102736Y-138698866D01* -X97104200Y-138684000D01* -X97104200Y-138303000D01* -X98475800Y-138303000D01* -X98475800Y-138684000D01* -X98477264Y-138698866D01* -X98481600Y-138713160D01* -X98488642Y-138726334D01* -X98498118Y-138737882D01* -X98509666Y-138747358D01* -X98522840Y-138754400D01* -X98537134Y-138758736D01* -X98552000Y-138760200D01* -X98933000Y-138760200D01* -X98947866Y-138758736D01* -X98962160Y-138754400D01* -X98975334Y-138747358D01* -X98986882Y-138737882D01* -X98996358Y-138726334D01* -X99003400Y-138713160D01* -X99007736Y-138698866D01* -X99009200Y-138684000D01* -X99009200Y-138303000D01* -X99110800Y-138303000D01* -X99110800Y-138684000D01* -X99112264Y-138698866D01* -X99116600Y-138713160D01* -X99123642Y-138726334D01* -X99133118Y-138737882D01* -X99144666Y-138747358D01* -X99157840Y-138754400D01* -X99172134Y-138758736D01* -X99187000Y-138760200D01* -X99568000Y-138760200D01* -X99582866Y-138758736D01* -X99597160Y-138754400D01* -X99610334Y-138747358D01* -X99621882Y-138737882D01* -X99631358Y-138726334D01* -X99638400Y-138713160D01* -X99642736Y-138698866D01* -X99644200Y-138684000D01* -X99644200Y-138303000D01* -X101015800Y-138303000D01* -X101015800Y-138684000D01* -X101017264Y-138698866D01* -X101021600Y-138713160D01* -X101028642Y-138726334D01* -X101038118Y-138737882D01* -X101049666Y-138747358D01* -X101062840Y-138754400D01* -X101077134Y-138758736D01* -X101092000Y-138760200D01* -X101473000Y-138760200D01* -X101487866Y-138758736D01* -X101502160Y-138754400D01* -X101515334Y-138747358D01* -X101526882Y-138737882D01* -X101536358Y-138726334D01* -X101543400Y-138713160D01* -X101547736Y-138698866D01* -X101549200Y-138684000D01* -X101549200Y-138303000D01* -X101650800Y-138303000D01* -X101650800Y-138684000D01* -X101652264Y-138698866D01* -X101656600Y-138713160D01* -X101663642Y-138726334D01* -X101673118Y-138737882D01* -X101684666Y-138747358D01* -X101697840Y-138754400D01* -X101712134Y-138758736D01* -X101727000Y-138760200D01* -X102108000Y-138760200D01* -X102122866Y-138758736D01* -X102137160Y-138754400D01* -X102150334Y-138747358D01* -X102161882Y-138737882D01* -X102171358Y-138726334D01* -X102178400Y-138713160D01* -X102182736Y-138698866D01* -X102184200Y-138684000D01* -X102184200Y-138303000D01* -X103555800Y-138303000D01* -X103555800Y-138684000D01* -X103557264Y-138698866D01* -X103561600Y-138713160D01* -X103568642Y-138726334D01* -X103578118Y-138737882D01* -X103589666Y-138747358D01* -X103602840Y-138754400D01* -X103617134Y-138758736D01* -X103632000Y-138760200D01* -X104013000Y-138760200D01* -X104027866Y-138758736D01* -X104042160Y-138754400D01* -X104055334Y-138747358D01* -X104066882Y-138737882D01* -X104076358Y-138726334D01* -X104083400Y-138713160D01* -X104087736Y-138698866D01* -X104089200Y-138684000D01* -X104089200Y-138303000D01* -X104190800Y-138303000D01* -X104190800Y-138684000D01* -X104192264Y-138698866D01* -X104196600Y-138713160D01* -X104203642Y-138726334D01* -X104213118Y-138737882D01* -X104224666Y-138747358D01* -X104237840Y-138754400D01* -X104252134Y-138758736D01* -X104267000Y-138760200D01* -X104648000Y-138760200D01* -X104662866Y-138758736D01* -X104677160Y-138754400D01* -X104690334Y-138747358D01* -X104701882Y-138737882D01* -X104711358Y-138726334D01* -X104718400Y-138713160D01* -X104722736Y-138698866D01* -X104724200Y-138684000D01* -X104724200Y-138303000D01* -X106095800Y-138303000D01* -X106095800Y-138684000D01* -X106097264Y-138698866D01* -X106101600Y-138713160D01* -X106108642Y-138726334D01* -X106118118Y-138737882D01* -X106129666Y-138747358D01* -X106142840Y-138754400D01* -X106157134Y-138758736D01* -X106172000Y-138760200D01* -X106553000Y-138760200D01* -X106567866Y-138758736D01* -X106582160Y-138754400D01* -X106595334Y-138747358D01* -X106606882Y-138737882D01* -X106616358Y-138726334D01* -X106623400Y-138713160D01* -X106627736Y-138698866D01* -X106629200Y-138684000D01* -X106629200Y-138303000D01* -X106730800Y-138303000D01* -X106730800Y-138684000D01* -X106732264Y-138698866D01* -X106736600Y-138713160D01* -X106743642Y-138726334D01* -X106753118Y-138737882D01* -X106764666Y-138747358D01* -X106777840Y-138754400D01* -X106792134Y-138758736D01* -X106807000Y-138760200D01* -X107188000Y-138760200D01* -X107202866Y-138758736D01* -X107217160Y-138754400D01* -X107230334Y-138747358D01* -X107241882Y-138737882D01* -X107251358Y-138726334D01* -X107258400Y-138713160D01* -X107262736Y-138698866D01* -X107264200Y-138684000D01* -X107264200Y-138303000D01* -X108635800Y-138303000D01* -X108635800Y-138684000D01* -X108637264Y-138698866D01* -X108641600Y-138713160D01* -X108648642Y-138726334D01* -X108658118Y-138737882D01* -X108669666Y-138747358D01* -X108682840Y-138754400D01* -X108697134Y-138758736D01* -X108712000Y-138760200D01* -X109093000Y-138760200D01* -X109107866Y-138758736D01* -X109122160Y-138754400D01* -X109135334Y-138747358D01* -X109146882Y-138737882D01* -X109156358Y-138726334D01* -X109163400Y-138713160D01* -X109167736Y-138698866D01* -X109169200Y-138684000D01* -X109169200Y-138303000D01* -X109270800Y-138303000D01* -X109270800Y-138684000D01* -X109272264Y-138698866D01* -X109276600Y-138713160D01* -X109283642Y-138726334D01* -X109293118Y-138737882D01* -X109304666Y-138747358D01* -X109317840Y-138754400D01* -X109332134Y-138758736D01* -X109347000Y-138760200D01* -X109728000Y-138760200D01* -X109742866Y-138758736D01* -X109757160Y-138754400D01* -X109770334Y-138747358D01* -X109781882Y-138737882D01* -X109791358Y-138726334D01* -X109798400Y-138713160D01* -X109802736Y-138698866D01* -X109804200Y-138684000D01* -X109804200Y-138303000D01* -X111175800Y-138303000D01* -X111175800Y-138684000D01* -X111177264Y-138698866D01* -X111181600Y-138713160D01* -X111188642Y-138726334D01* -X111198118Y-138737882D01* -X111209666Y-138747358D01* -X111222840Y-138754400D01* -X111237134Y-138758736D01* -X111252000Y-138760200D01* -X111633000Y-138760200D01* -X111647866Y-138758736D01* -X111662160Y-138754400D01* -X111675334Y-138747358D01* -X111686882Y-138737882D01* -X111696358Y-138726334D01* -X111703400Y-138713160D01* -X111707736Y-138698866D01* -X111709200Y-138684000D01* -X111709200Y-138303000D01* -X111810800Y-138303000D01* -X111810800Y-138684000D01* -X111812264Y-138698866D01* -X111816600Y-138713160D01* -X111823642Y-138726334D01* -X111833118Y-138737882D01* -X111844666Y-138747358D01* -X111857840Y-138754400D01* -X111872134Y-138758736D01* -X111887000Y-138760200D01* -X112268000Y-138760200D01* -X112282866Y-138758736D01* -X112297160Y-138754400D01* -X112310334Y-138747358D01* -X112321882Y-138737882D01* -X112331358Y-138726334D01* -X112338400Y-138713160D01* -X112342736Y-138698866D01* -X112344200Y-138684000D01* -X112344200Y-138303000D01* -X113715800Y-138303000D01* -X113715800Y-138684000D01* -X113717264Y-138698866D01* -X113721600Y-138713160D01* -X113728642Y-138726334D01* -X113738118Y-138737882D01* -X113749666Y-138747358D01* -X113762840Y-138754400D01* -X113777134Y-138758736D01* -X113792000Y-138760200D01* -X114173000Y-138760200D01* -X114187866Y-138758736D01* -X114202160Y-138754400D01* -X114215334Y-138747358D01* -X114226882Y-138737882D01* -X114236358Y-138726334D01* -X114243400Y-138713160D01* -X114247736Y-138698866D01* -X114249200Y-138684000D01* -X114249200Y-138303000D01* -X114350800Y-138303000D01* -X114350800Y-138684000D01* -X114352264Y-138698866D01* -X114356600Y-138713160D01* -X114363642Y-138726334D01* -X114373118Y-138737882D01* -X114384666Y-138747358D01* -X114397840Y-138754400D01* -X114412134Y-138758736D01* -X114427000Y-138760200D01* -X114808000Y-138760200D01* -X114822866Y-138758736D01* -X114837160Y-138754400D01* -X114850334Y-138747358D01* -X114861882Y-138737882D01* -X114871358Y-138726334D01* -X114878400Y-138713160D01* -X114882736Y-138698866D01* -X114884200Y-138684000D01* -X114884200Y-138303000D01* -X116255800Y-138303000D01* -X116255800Y-138684000D01* -X116257264Y-138698866D01* -X116261600Y-138713160D01* -X116268642Y-138726334D01* -X116278118Y-138737882D01* -X116289666Y-138747358D01* -X116302840Y-138754400D01* -X116317134Y-138758736D01* -X116332000Y-138760200D01* -X116713000Y-138760200D01* -X116727866Y-138758736D01* -X116742160Y-138754400D01* -X116755334Y-138747358D01* -X116766882Y-138737882D01* -X116776358Y-138726334D01* -X116783400Y-138713160D01* -X116787736Y-138698866D01* -X116789200Y-138684000D01* -X116789200Y-138303000D01* -X116890800Y-138303000D01* -X116890800Y-138684000D01* -X116892264Y-138698866D01* -X116896600Y-138713160D01* -X116903642Y-138726334D01* -X116913118Y-138737882D01* -X116924666Y-138747358D01* -X116937840Y-138754400D01* -X116952134Y-138758736D01* -X116967000Y-138760200D01* -X117348000Y-138760200D01* -X117362866Y-138758736D01* -X117377160Y-138754400D01* -X117390334Y-138747358D01* -X117401882Y-138737882D01* -X117411358Y-138726334D01* -X117418400Y-138713160D01* -X117422736Y-138698866D01* -X117424200Y-138684000D01* -X117424200Y-138303000D01* -X118795800Y-138303000D01* -X118795800Y-138684000D01* -X118797264Y-138698866D01* -X118801600Y-138713160D01* -X118808642Y-138726334D01* -X118818118Y-138737882D01* -X118829666Y-138747358D01* -X118842840Y-138754400D01* -X118857134Y-138758736D01* -X118872000Y-138760200D01* -X119253000Y-138760200D01* -X119267866Y-138758736D01* -X119282160Y-138754400D01* -X119295334Y-138747358D01* -X119306882Y-138737882D01* -X119316358Y-138726334D01* -X119323400Y-138713160D01* -X119327736Y-138698866D01* -X119329200Y-138684000D01* -X119329200Y-138303000D01* -X119430800Y-138303000D01* -X119430800Y-138684000D01* -X119432264Y-138698866D01* -X119436600Y-138713160D01* -X119443642Y-138726334D01* -X119453118Y-138737882D01* -X119464666Y-138747358D01* -X119477840Y-138754400D01* -X119492134Y-138758736D01* -X119507000Y-138760200D01* -X119888000Y-138760200D01* -X119902866Y-138758736D01* -X119917160Y-138754400D01* -X119930334Y-138747358D01* -X119941882Y-138737882D01* -X119951358Y-138726334D01* -X119958400Y-138713160D01* -X119962736Y-138698866D01* -X119964200Y-138684000D01* -X119964200Y-138303000D01* -X121335800Y-138303000D01* -X121335800Y-138684000D01* -X121337264Y-138698866D01* -X121341600Y-138713160D01* -X121348642Y-138726334D01* -X121358118Y-138737882D01* -X121369666Y-138747358D01* -X121382840Y-138754400D01* -X121397134Y-138758736D01* -X121412000Y-138760200D01* -X121793000Y-138760200D01* -X121807866Y-138758736D01* -X121822160Y-138754400D01* -X121835334Y-138747358D01* -X121846882Y-138737882D01* -X121856358Y-138726334D01* -X121863400Y-138713160D01* -X121867736Y-138698866D01* -X121869200Y-138684000D01* -X121869200Y-138303000D01* -X121970800Y-138303000D01* -X121970800Y-138684000D01* -X121972264Y-138698866D01* -X121976600Y-138713160D01* -X121983642Y-138726334D01* -X121993118Y-138737882D01* -X122004666Y-138747358D01* -X122017840Y-138754400D01* -X122032134Y-138758736D01* -X122047000Y-138760200D01* -X122428000Y-138760200D01* -X122442866Y-138758736D01* -X122457160Y-138754400D01* -X122470334Y-138747358D01* -X122481882Y-138737882D01* -X122491358Y-138726334D01* -X122498400Y-138713160D01* -X122502736Y-138698866D01* -X122504200Y-138684000D01* -X122504200Y-138303000D01* -X123875800Y-138303000D01* -X123875800Y-138684000D01* -X123877264Y-138698866D01* -X123881600Y-138713160D01* -X123888642Y-138726334D01* -X123898118Y-138737882D01* -X123909666Y-138747358D01* -X123922840Y-138754400D01* -X123937134Y-138758736D01* -X123952000Y-138760200D01* -X124333000Y-138760200D01* -X124347866Y-138758736D01* -X124362160Y-138754400D01* -X124375334Y-138747358D01* -X124386882Y-138737882D01* -X124396358Y-138726334D01* -X124403400Y-138713160D01* -X124407736Y-138698866D01* -X124409200Y-138684000D01* -X124409200Y-138303000D01* -X124510800Y-138303000D01* -X124510800Y-138684000D01* -X124512264Y-138698866D01* -X124516600Y-138713160D01* -X124523642Y-138726334D01* -X124533118Y-138737882D01* -X124544666Y-138747358D01* -X124557840Y-138754400D01* -X124572134Y-138758736D01* -X124587000Y-138760200D01* -X124968000Y-138760200D01* -X124982866Y-138758736D01* -X124997160Y-138754400D01* -X125010334Y-138747358D01* -X125021882Y-138737882D01* -X125031358Y-138726334D01* -X125038400Y-138713160D01* -X125042736Y-138698866D01* -X125044200Y-138684000D01* -X125044200Y-138303000D01* -X126415800Y-138303000D01* -X126415800Y-138684000D01* -X126417264Y-138698866D01* -X126421600Y-138713160D01* -X126428642Y-138726334D01* -X126438118Y-138737882D01* -X126449666Y-138747358D01* -X126462840Y-138754400D01* -X126477134Y-138758736D01* -X126492000Y-138760200D01* -X126873000Y-138760200D01* -X126887866Y-138758736D01* -X126902160Y-138754400D01* -X126915334Y-138747358D01* -X126926882Y-138737882D01* -X126936358Y-138726334D01* -X126943400Y-138713160D01* -X126947736Y-138698866D01* -X126949200Y-138684000D01* -X126949200Y-138303000D01* -X127050800Y-138303000D01* -X127050800Y-138684000D01* -X127052264Y-138698866D01* -X127056600Y-138713160D01* -X127063642Y-138726334D01* -X127073118Y-138737882D01* -X127084666Y-138747358D01* -X127097840Y-138754400D01* -X127112134Y-138758736D01* -X127127000Y-138760200D01* -X127508000Y-138760200D01* -X127522866Y-138758736D01* -X127537160Y-138754400D01* -X127550334Y-138747358D01* -X127561882Y-138737882D01* -X127571358Y-138726334D01* -X127578400Y-138713160D01* -X127582736Y-138698866D01* -X127584200Y-138684000D01* -X127584200Y-138303000D01* -X128955800Y-138303000D01* -X128955800Y-138684000D01* -X128957264Y-138698866D01* -X128961600Y-138713160D01* -X128968642Y-138726334D01* -X128978118Y-138737882D01* -X128989666Y-138747358D01* -X129002840Y-138754400D01* -X129017134Y-138758736D01* -X129032000Y-138760200D01* -X129413000Y-138760200D01* -X129427866Y-138758736D01* -X129442160Y-138754400D01* -X129455334Y-138747358D01* -X129466882Y-138737882D01* -X129476358Y-138726334D01* -X129483400Y-138713160D01* -X129487736Y-138698866D01* -X129489200Y-138684000D01* -X129489200Y-138303000D01* -X129590800Y-138303000D01* -X129590800Y-138684000D01* -X129592264Y-138698866D01* -X129596600Y-138713160D01* -X129603642Y-138726334D01* -X129613118Y-138737882D01* -X129624666Y-138747358D01* -X129637840Y-138754400D01* -X129652134Y-138758736D01* -X129667000Y-138760200D01* -X130048000Y-138760200D01* -X130062866Y-138758736D01* -X130077160Y-138754400D01* -X130090334Y-138747358D01* -X130101882Y-138737882D01* -X130111358Y-138726334D01* -X130118400Y-138713160D01* -X130122736Y-138698866D01* -X130124200Y-138684000D01* -X130124200Y-138303000D01* -X131495800Y-138303000D01* -X131495800Y-138684000D01* -X131497264Y-138698866D01* -X131501600Y-138713160D01* -X131508642Y-138726334D01* -X131518118Y-138737882D01* -X131529666Y-138747358D01* -X131542840Y-138754400D01* -X131557134Y-138758736D01* -X131572000Y-138760200D01* -X131953000Y-138760200D01* -X131967866Y-138758736D01* -X131982160Y-138754400D01* -X131995334Y-138747358D01* -X132006882Y-138737882D01* -X132016358Y-138726334D01* -X132023400Y-138713160D01* -X132027736Y-138698866D01* -X132029200Y-138684000D01* -X132029200Y-138303000D01* -X132130800Y-138303000D01* -X132130800Y-138684000D01* -X132132264Y-138698866D01* -X132136600Y-138713160D01* -X132143642Y-138726334D01* -X132153118Y-138737882D01* -X132164666Y-138747358D01* -X132177840Y-138754400D01* -X132192134Y-138758736D01* -X132207000Y-138760200D01* -X132588000Y-138760200D01* -X132602866Y-138758736D01* -X132617160Y-138754400D01* -X132630334Y-138747358D01* -X132641882Y-138737882D01* -X132651358Y-138726334D01* -X132658400Y-138713160D01* -X132662736Y-138698866D01* -X132664200Y-138684000D01* -X132664200Y-138303000D01* -X134035800Y-138303000D01* -X134035800Y-138684000D01* -X134037264Y-138698866D01* -X134041600Y-138713160D01* -X134048642Y-138726334D01* -X134058118Y-138737882D01* -X134069666Y-138747358D01* -X134082840Y-138754400D01* -X134097134Y-138758736D01* -X134112000Y-138760200D01* -X134493000Y-138760200D01* -X134507866Y-138758736D01* -X134522160Y-138754400D01* -X134535334Y-138747358D01* -X134546882Y-138737882D01* -X134556358Y-138726334D01* -X134563400Y-138713160D01* -X134567736Y-138698866D01* -X134569200Y-138684000D01* -X134569200Y-138303000D01* -X134670800Y-138303000D01* -X134670800Y-138684000D01* -X134672264Y-138698866D01* -X134676600Y-138713160D01* -X134683642Y-138726334D01* -X134693118Y-138737882D01* -X134704666Y-138747358D01* -X134717840Y-138754400D01* -X134732134Y-138758736D01* -X134747000Y-138760200D01* -X135128000Y-138760200D01* -X135142866Y-138758736D01* -X135157160Y-138754400D01* -X135170334Y-138747358D01* -X135181882Y-138737882D01* -X135191358Y-138726334D01* -X135198400Y-138713160D01* -X135202736Y-138698866D01* -X135204200Y-138684000D01* -X135204200Y-138303000D01* -X135202736Y-138288134D01* -X135198400Y-138273840D01* -X135191358Y-138260666D01* -X135181882Y-138249118D01* -X135170334Y-138239642D01* -X135157160Y-138232600D01* -X135142866Y-138228264D01* -X135128000Y-138226800D01* -X134747000Y-138226800D01* -X134732134Y-138228264D01* -X134717840Y-138232600D01* -X134704666Y-138239642D01* -X134693118Y-138249118D01* -X134683642Y-138260666D01* -X134676600Y-138273840D01* -X134672264Y-138288134D01* -X134670800Y-138303000D01* -X134569200Y-138303000D01* -X134567736Y-138288134D01* -X134563400Y-138273840D01* -X134556358Y-138260666D01* -X134546882Y-138249118D01* -X134535334Y-138239642D01* -X134522160Y-138232600D01* -X134507866Y-138228264D01* -X134493000Y-138226800D01* -X134112000Y-138226800D01* -X134097134Y-138228264D01* -X134082840Y-138232600D01* -X134069666Y-138239642D01* -X134058118Y-138249118D01* -X134048642Y-138260666D01* -X134041600Y-138273840D01* -X134037264Y-138288134D01* -X134035800Y-138303000D01* -X132664200Y-138303000D01* -X132662736Y-138288134D01* -X132658400Y-138273840D01* -X132651358Y-138260666D01* -X132641882Y-138249118D01* -X132630334Y-138239642D01* -X132617160Y-138232600D01* -X132602866Y-138228264D01* -X132588000Y-138226800D01* -X132207000Y-138226800D01* -X132192134Y-138228264D01* -X132177840Y-138232600D01* -X132164666Y-138239642D01* -X132153118Y-138249118D01* -X132143642Y-138260666D01* -X132136600Y-138273840D01* -X132132264Y-138288134D01* -X132130800Y-138303000D01* -X132029200Y-138303000D01* -X132027736Y-138288134D01* -X132023400Y-138273840D01* -X132016358Y-138260666D01* -X132006882Y-138249118D01* -X131995334Y-138239642D01* -X131982160Y-138232600D01* -X131967866Y-138228264D01* -X131953000Y-138226800D01* -X131572000Y-138226800D01* -X131557134Y-138228264D01* -X131542840Y-138232600D01* -X131529666Y-138239642D01* -X131518118Y-138249118D01* -X131508642Y-138260666D01* -X131501600Y-138273840D01* -X131497264Y-138288134D01* -X131495800Y-138303000D01* -X130124200Y-138303000D01* -X130122736Y-138288134D01* -X130118400Y-138273840D01* -X130111358Y-138260666D01* -X130101882Y-138249118D01* -X130090334Y-138239642D01* -X130077160Y-138232600D01* -X130062866Y-138228264D01* -X130048000Y-138226800D01* -X129667000Y-138226800D01* -X129652134Y-138228264D01* -X129637840Y-138232600D01* -X129624666Y-138239642D01* -X129613118Y-138249118D01* -X129603642Y-138260666D01* -X129596600Y-138273840D01* -X129592264Y-138288134D01* -X129590800Y-138303000D01* -X129489200Y-138303000D01* -X129487736Y-138288134D01* -X129483400Y-138273840D01* -X129476358Y-138260666D01* -X129466882Y-138249118D01* -X129455334Y-138239642D01* -X129442160Y-138232600D01* -X129427866Y-138228264D01* -X129413000Y-138226800D01* -X129032000Y-138226800D01* -X129017134Y-138228264D01* -X129002840Y-138232600D01* -X128989666Y-138239642D01* -X128978118Y-138249118D01* -X128968642Y-138260666D01* -X128961600Y-138273840D01* -X128957264Y-138288134D01* -X128955800Y-138303000D01* -X127584200Y-138303000D01* -X127582736Y-138288134D01* -X127578400Y-138273840D01* -X127571358Y-138260666D01* -X127561882Y-138249118D01* -X127550334Y-138239642D01* -X127537160Y-138232600D01* -X127522866Y-138228264D01* -X127508000Y-138226800D01* -X127127000Y-138226800D01* -X127112134Y-138228264D01* -X127097840Y-138232600D01* -X127084666Y-138239642D01* -X127073118Y-138249118D01* -X127063642Y-138260666D01* -X127056600Y-138273840D01* -X127052264Y-138288134D01* -X127050800Y-138303000D01* -X126949200Y-138303000D01* -X126947736Y-138288134D01* -X126943400Y-138273840D01* -X126936358Y-138260666D01* -X126926882Y-138249118D01* -X126915334Y-138239642D01* -X126902160Y-138232600D01* -X126887866Y-138228264D01* -X126873000Y-138226800D01* -X126492000Y-138226800D01* -X126477134Y-138228264D01* -X126462840Y-138232600D01* -X126449666Y-138239642D01* -X126438118Y-138249118D01* -X126428642Y-138260666D01* -X126421600Y-138273840D01* -X126417264Y-138288134D01* -X126415800Y-138303000D01* -X125044200Y-138303000D01* -X125042736Y-138288134D01* -X125038400Y-138273840D01* -X125031358Y-138260666D01* -X125021882Y-138249118D01* -X125010334Y-138239642D01* -X124997160Y-138232600D01* -X124982866Y-138228264D01* -X124968000Y-138226800D01* -X124587000Y-138226800D01* -X124572134Y-138228264D01* -X124557840Y-138232600D01* -X124544666Y-138239642D01* -X124533118Y-138249118D01* -X124523642Y-138260666D01* -X124516600Y-138273840D01* -X124512264Y-138288134D01* -X124510800Y-138303000D01* -X124409200Y-138303000D01* -X124407736Y-138288134D01* -X124403400Y-138273840D01* -X124396358Y-138260666D01* -X124386882Y-138249118D01* -X124375334Y-138239642D01* -X124362160Y-138232600D01* -X124347866Y-138228264D01* -X124333000Y-138226800D01* -X123952000Y-138226800D01* -X123937134Y-138228264D01* -X123922840Y-138232600D01* -X123909666Y-138239642D01* -X123898118Y-138249118D01* -X123888642Y-138260666D01* -X123881600Y-138273840D01* -X123877264Y-138288134D01* -X123875800Y-138303000D01* -X122504200Y-138303000D01* -X122502736Y-138288134D01* -X122498400Y-138273840D01* -X122491358Y-138260666D01* -X122481882Y-138249118D01* -X122470334Y-138239642D01* -X122457160Y-138232600D01* -X122442866Y-138228264D01* -X122428000Y-138226800D01* -X122047000Y-138226800D01* -X122032134Y-138228264D01* -X122017840Y-138232600D01* -X122004666Y-138239642D01* -X121993118Y-138249118D01* -X121983642Y-138260666D01* -X121976600Y-138273840D01* -X121972264Y-138288134D01* -X121970800Y-138303000D01* -X121869200Y-138303000D01* -X121867736Y-138288134D01* -X121863400Y-138273840D01* -X121856358Y-138260666D01* -X121846882Y-138249118D01* -X121835334Y-138239642D01* -X121822160Y-138232600D01* -X121807866Y-138228264D01* -X121793000Y-138226800D01* -X121412000Y-138226800D01* -X121397134Y-138228264D01* -X121382840Y-138232600D01* -X121369666Y-138239642D01* -X121358118Y-138249118D01* -X121348642Y-138260666D01* -X121341600Y-138273840D01* -X121337264Y-138288134D01* -X121335800Y-138303000D01* -X119964200Y-138303000D01* -X119962736Y-138288134D01* -X119958400Y-138273840D01* -X119951358Y-138260666D01* -X119941882Y-138249118D01* -X119930334Y-138239642D01* -X119917160Y-138232600D01* -X119902866Y-138228264D01* -X119888000Y-138226800D01* -X119507000Y-138226800D01* -X119492134Y-138228264D01* -X119477840Y-138232600D01* -X119464666Y-138239642D01* -X119453118Y-138249118D01* -X119443642Y-138260666D01* -X119436600Y-138273840D01* -X119432264Y-138288134D01* -X119430800Y-138303000D01* -X119329200Y-138303000D01* -X119327736Y-138288134D01* -X119323400Y-138273840D01* -X119316358Y-138260666D01* -X119306882Y-138249118D01* -X119295334Y-138239642D01* -X119282160Y-138232600D01* -X119267866Y-138228264D01* -X119253000Y-138226800D01* -X118872000Y-138226800D01* -X118857134Y-138228264D01* -X118842840Y-138232600D01* -X118829666Y-138239642D01* -X118818118Y-138249118D01* -X118808642Y-138260666D01* -X118801600Y-138273840D01* -X118797264Y-138288134D01* -X118795800Y-138303000D01* -X117424200Y-138303000D01* -X117422736Y-138288134D01* -X117418400Y-138273840D01* -X117411358Y-138260666D01* -X117401882Y-138249118D01* -X117390334Y-138239642D01* -X117377160Y-138232600D01* -X117362866Y-138228264D01* -X117348000Y-138226800D01* -X116967000Y-138226800D01* -X116952134Y-138228264D01* -X116937840Y-138232600D01* -X116924666Y-138239642D01* -X116913118Y-138249118D01* -X116903642Y-138260666D01* -X116896600Y-138273840D01* -X116892264Y-138288134D01* -X116890800Y-138303000D01* -X116789200Y-138303000D01* -X116787736Y-138288134D01* -X116783400Y-138273840D01* -X116776358Y-138260666D01* -X116766882Y-138249118D01* -X116755334Y-138239642D01* -X116742160Y-138232600D01* -X116727866Y-138228264D01* -X116713000Y-138226800D01* -X116332000Y-138226800D01* -X116317134Y-138228264D01* -X116302840Y-138232600D01* -X116289666Y-138239642D01* -X116278118Y-138249118D01* -X116268642Y-138260666D01* -X116261600Y-138273840D01* -X116257264Y-138288134D01* -X116255800Y-138303000D01* -X114884200Y-138303000D01* -X114882736Y-138288134D01* -X114878400Y-138273840D01* -X114871358Y-138260666D01* -X114861882Y-138249118D01* -X114850334Y-138239642D01* -X114837160Y-138232600D01* -X114822866Y-138228264D01* -X114808000Y-138226800D01* -X114427000Y-138226800D01* -X114412134Y-138228264D01* -X114397840Y-138232600D01* -X114384666Y-138239642D01* -X114373118Y-138249118D01* -X114363642Y-138260666D01* -X114356600Y-138273840D01* -X114352264Y-138288134D01* -X114350800Y-138303000D01* -X114249200Y-138303000D01* -X114247736Y-138288134D01* -X114243400Y-138273840D01* -X114236358Y-138260666D01* -X114226882Y-138249118D01* -X114215334Y-138239642D01* -X114202160Y-138232600D01* -X114187866Y-138228264D01* -X114173000Y-138226800D01* -X113792000Y-138226800D01* -X113777134Y-138228264D01* -X113762840Y-138232600D01* -X113749666Y-138239642D01* -X113738118Y-138249118D01* -X113728642Y-138260666D01* -X113721600Y-138273840D01* -X113717264Y-138288134D01* -X113715800Y-138303000D01* -X112344200Y-138303000D01* -X112342736Y-138288134D01* -X112338400Y-138273840D01* -X112331358Y-138260666D01* -X112321882Y-138249118D01* -X112310334Y-138239642D01* -X112297160Y-138232600D01* -X112282866Y-138228264D01* -X112268000Y-138226800D01* -X111887000Y-138226800D01* -X111872134Y-138228264D01* -X111857840Y-138232600D01* -X111844666Y-138239642D01* -X111833118Y-138249118D01* -X111823642Y-138260666D01* -X111816600Y-138273840D01* -X111812264Y-138288134D01* -X111810800Y-138303000D01* -X111709200Y-138303000D01* -X111707736Y-138288134D01* -X111703400Y-138273840D01* -X111696358Y-138260666D01* -X111686882Y-138249118D01* -X111675334Y-138239642D01* -X111662160Y-138232600D01* -X111647866Y-138228264D01* -X111633000Y-138226800D01* -X111252000Y-138226800D01* -X111237134Y-138228264D01* -X111222840Y-138232600D01* -X111209666Y-138239642D01* -X111198118Y-138249118D01* -X111188642Y-138260666D01* -X111181600Y-138273840D01* -X111177264Y-138288134D01* -X111175800Y-138303000D01* -X109804200Y-138303000D01* -X109802736Y-138288134D01* -X109798400Y-138273840D01* -X109791358Y-138260666D01* -X109781882Y-138249118D01* -X109770334Y-138239642D01* -X109757160Y-138232600D01* -X109742866Y-138228264D01* -X109728000Y-138226800D01* -X109347000Y-138226800D01* -X109332134Y-138228264D01* -X109317840Y-138232600D01* -X109304666Y-138239642D01* -X109293118Y-138249118D01* -X109283642Y-138260666D01* -X109276600Y-138273840D01* -X109272264Y-138288134D01* -X109270800Y-138303000D01* -X109169200Y-138303000D01* -X109167736Y-138288134D01* -X109163400Y-138273840D01* -X109156358Y-138260666D01* -X109146882Y-138249118D01* -X109135334Y-138239642D01* -X109122160Y-138232600D01* -X109107866Y-138228264D01* -X109093000Y-138226800D01* -X108712000Y-138226800D01* -X108697134Y-138228264D01* -X108682840Y-138232600D01* -X108669666Y-138239642D01* -X108658118Y-138249118D01* -X108648642Y-138260666D01* -X108641600Y-138273840D01* -X108637264Y-138288134D01* -X108635800Y-138303000D01* -X107264200Y-138303000D01* -X107262736Y-138288134D01* -X107258400Y-138273840D01* -X107251358Y-138260666D01* -X107241882Y-138249118D01* -X107230334Y-138239642D01* -X107217160Y-138232600D01* -X107202866Y-138228264D01* -X107188000Y-138226800D01* -X106807000Y-138226800D01* -X106792134Y-138228264D01* -X106777840Y-138232600D01* -X106764666Y-138239642D01* -X106753118Y-138249118D01* -X106743642Y-138260666D01* -X106736600Y-138273840D01* -X106732264Y-138288134D01* -X106730800Y-138303000D01* -X106629200Y-138303000D01* -X106627736Y-138288134D01* -X106623400Y-138273840D01* -X106616358Y-138260666D01* -X106606882Y-138249118D01* -X106595334Y-138239642D01* -X106582160Y-138232600D01* -X106567866Y-138228264D01* -X106553000Y-138226800D01* -X106172000Y-138226800D01* -X106157134Y-138228264D01* -X106142840Y-138232600D01* -X106129666Y-138239642D01* -X106118118Y-138249118D01* -X106108642Y-138260666D01* -X106101600Y-138273840D01* -X106097264Y-138288134D01* -X106095800Y-138303000D01* -X104724200Y-138303000D01* -X104722736Y-138288134D01* -X104718400Y-138273840D01* -X104711358Y-138260666D01* -X104701882Y-138249118D01* -X104690334Y-138239642D01* -X104677160Y-138232600D01* -X104662866Y-138228264D01* -X104648000Y-138226800D01* -X104267000Y-138226800D01* -X104252134Y-138228264D01* -X104237840Y-138232600D01* -X104224666Y-138239642D01* -X104213118Y-138249118D01* -X104203642Y-138260666D01* -X104196600Y-138273840D01* -X104192264Y-138288134D01* -X104190800Y-138303000D01* -X104089200Y-138303000D01* -X104087736Y-138288134D01* -X104083400Y-138273840D01* -X104076358Y-138260666D01* -X104066882Y-138249118D01* -X104055334Y-138239642D01* -X104042160Y-138232600D01* -X104027866Y-138228264D01* -X104013000Y-138226800D01* -X103632000Y-138226800D01* -X103617134Y-138228264D01* -X103602840Y-138232600D01* -X103589666Y-138239642D01* -X103578118Y-138249118D01* -X103568642Y-138260666D01* -X103561600Y-138273840D01* -X103557264Y-138288134D01* -X103555800Y-138303000D01* -X102184200Y-138303000D01* -X102182736Y-138288134D01* -X102178400Y-138273840D01* -X102171358Y-138260666D01* -X102161882Y-138249118D01* -X102150334Y-138239642D01* -X102137160Y-138232600D01* -X102122866Y-138228264D01* -X102108000Y-138226800D01* -X101727000Y-138226800D01* -X101712134Y-138228264D01* -X101697840Y-138232600D01* -X101684666Y-138239642D01* -X101673118Y-138249118D01* -X101663642Y-138260666D01* -X101656600Y-138273840D01* -X101652264Y-138288134D01* -X101650800Y-138303000D01* -X101549200Y-138303000D01* -X101547736Y-138288134D01* -X101543400Y-138273840D01* -X101536358Y-138260666D01* -X101526882Y-138249118D01* -X101515334Y-138239642D01* -X101502160Y-138232600D01* -X101487866Y-138228264D01* -X101473000Y-138226800D01* -X101092000Y-138226800D01* -X101077134Y-138228264D01* -X101062840Y-138232600D01* -X101049666Y-138239642D01* -X101038118Y-138249118D01* -X101028642Y-138260666D01* -X101021600Y-138273840D01* -X101017264Y-138288134D01* -X101015800Y-138303000D01* -X99644200Y-138303000D01* -X99642736Y-138288134D01* -X99638400Y-138273840D01* -X99631358Y-138260666D01* -X99621882Y-138249118D01* -X99610334Y-138239642D01* -X99597160Y-138232600D01* -X99582866Y-138228264D01* -X99568000Y-138226800D01* -X99187000Y-138226800D01* -X99172134Y-138228264D01* -X99157840Y-138232600D01* -X99144666Y-138239642D01* -X99133118Y-138249118D01* -X99123642Y-138260666D01* -X99116600Y-138273840D01* -X99112264Y-138288134D01* -X99110800Y-138303000D01* -X99009200Y-138303000D01* -X99007736Y-138288134D01* -X99003400Y-138273840D01* -X98996358Y-138260666D01* -X98986882Y-138249118D01* -X98975334Y-138239642D01* -X98962160Y-138232600D01* -X98947866Y-138228264D01* -X98933000Y-138226800D01* -X98552000Y-138226800D01* -X98537134Y-138228264D01* -X98522840Y-138232600D01* -X98509666Y-138239642D01* -X98498118Y-138249118D01* -X98488642Y-138260666D01* -X98481600Y-138273840D01* -X98477264Y-138288134D01* -X98475800Y-138303000D01* -X97104200Y-138303000D01* -X97102736Y-138288134D01* -X97098400Y-138273840D01* -X97091358Y-138260666D01* -X97081882Y-138249118D01* -X97070334Y-138239642D01* -X97057160Y-138232600D01* -X97042866Y-138228264D01* -X97028000Y-138226800D01* -X96647000Y-138226800D01* -X96632134Y-138228264D01* -X96617840Y-138232600D01* -X96604666Y-138239642D01* -X96593118Y-138249118D01* -X96583642Y-138260666D01* -X96576600Y-138273840D01* -X96572264Y-138288134D01* -X96570800Y-138303000D01* -X96469200Y-138303000D01* -X96467736Y-138288134D01* -X96463400Y-138273840D01* -X96456358Y-138260666D01* -X96446882Y-138249118D01* -X96435334Y-138239642D01* -X96422160Y-138232600D01* -X96407866Y-138228264D01* -X96393000Y-138226800D01* -X96012000Y-138226800D01* -X95997134Y-138228264D01* -X95982840Y-138232600D01* -X95969666Y-138239642D01* -X95958118Y-138249118D01* -X95948642Y-138260666D01* -X95941600Y-138273840D01* -X95937264Y-138288134D01* -X95935800Y-138303000D01* -X94564200Y-138303000D01* -X94562736Y-138288134D01* -X94558400Y-138273840D01* -X94551358Y-138260666D01* -X94541882Y-138249118D01* -X94530334Y-138239642D01* -X94517160Y-138232600D01* -X94502866Y-138228264D01* -X94488000Y-138226800D01* -X94107000Y-138226800D01* -X94092134Y-138228264D01* -X94077840Y-138232600D01* -X94064666Y-138239642D01* -X94053118Y-138249118D01* -X94043642Y-138260666D01* -X94036600Y-138273840D01* -X94032264Y-138288134D01* -X94030800Y-138303000D01* -X93929200Y-138303000D01* -X93927736Y-138288134D01* -X93923400Y-138273840D01* -X93916358Y-138260666D01* -X93906882Y-138249118D01* -X93895334Y-138239642D01* -X93882160Y-138232600D01* -X93867866Y-138228264D01* -X93853000Y-138226800D01* -X93472000Y-138226800D01* -X93457134Y-138228264D01* -X93442840Y-138232600D01* -X93429666Y-138239642D01* -X93418118Y-138249118D01* -X93408642Y-138260666D01* -X93401600Y-138273840D01* -X93397264Y-138288134D01* -X93395800Y-138303000D01* -X92024200Y-138303000D01* -X92022736Y-138288134D01* -X92018400Y-138273840D01* -X92011358Y-138260666D01* -X92001882Y-138249118D01* -X91990334Y-138239642D01* -X91977160Y-138232600D01* -X91962866Y-138228264D01* -X91948000Y-138226800D01* -X91567000Y-138226800D01* -X91552134Y-138228264D01* -X91537840Y-138232600D01* -X91524666Y-138239642D01* -X91513118Y-138249118D01* -X91503642Y-138260666D01* -X91496600Y-138273840D01* -X91492264Y-138288134D01* -X91490800Y-138303000D01* -X91389200Y-138303000D01* -X91387736Y-138288134D01* -X91383400Y-138273840D01* -X91376358Y-138260666D01* -X91366882Y-138249118D01* -X91355334Y-138239642D01* -X91342160Y-138232600D01* -X91327866Y-138228264D01* -X91313000Y-138226800D01* -X90932000Y-138226800D01* -X90917134Y-138228264D01* -X90902840Y-138232600D01* -X90889666Y-138239642D01* -X90878118Y-138249118D01* -X90868642Y-138260666D01* -X90861600Y-138273840D01* -X90857264Y-138288134D01* -X90855800Y-138303000D01* -X89484200Y-138303000D01* -X89482736Y-138288134D01* -X89478400Y-138273840D01* -X89471358Y-138260666D01* -X89461882Y-138249118D01* -X89450334Y-138239642D01* -X89437160Y-138232600D01* -X89422866Y-138228264D01* -X89408000Y-138226800D01* -X89027000Y-138226800D01* -X89012134Y-138228264D01* -X88997840Y-138232600D01* -X88984666Y-138239642D01* -X88973118Y-138249118D01* -X88963642Y-138260666D01* -X88956600Y-138273840D01* -X88952264Y-138288134D01* -X88950800Y-138303000D01* -X88849200Y-138303000D01* -X88847736Y-138288134D01* -X88843400Y-138273840D01* -X88836358Y-138260666D01* -X88826882Y-138249118D01* -X88815334Y-138239642D01* -X88802160Y-138232600D01* -X88787866Y-138228264D01* -X88773000Y-138226800D01* -X88392000Y-138226800D01* -X88377134Y-138228264D01* -X88362840Y-138232600D01* -X88349666Y-138239642D01* -X88338118Y-138249118D01* -X88328642Y-138260666D01* -X88321600Y-138273840D01* -X88317264Y-138288134D01* -X88315800Y-138303000D01* -X86944200Y-138303000D01* -X86942736Y-138288134D01* -X86938400Y-138273840D01* -X86931358Y-138260666D01* -X86921882Y-138249118D01* -X86910334Y-138239642D01* -X86897160Y-138232600D01* -X86882866Y-138228264D01* -X86868000Y-138226800D01* -X86487000Y-138226800D01* -X86472134Y-138228264D01* -X86457840Y-138232600D01* -X86444666Y-138239642D01* -X86433118Y-138249118D01* -X86423642Y-138260666D01* -X86416600Y-138273840D01* -X86412264Y-138288134D01* -X86410800Y-138303000D01* -X86309200Y-138303000D01* -X86307736Y-138288134D01* -X86303400Y-138273840D01* -X86296358Y-138260666D01* -X86286882Y-138249118D01* -X86275334Y-138239642D01* -X86262160Y-138232600D01* -X86247866Y-138228264D01* -X86233000Y-138226800D01* -X85852000Y-138226800D01* -X85837134Y-138228264D01* -X85822840Y-138232600D01* -X85809666Y-138239642D01* -X85798118Y-138249118D01* -X85788642Y-138260666D01* -X85781600Y-138273840D01* -X85777264Y-138288134D01* -X85775800Y-138303000D01* -X84404200Y-138303000D01* -X84402736Y-138288134D01* -X84398400Y-138273840D01* -X84391358Y-138260666D01* -X84381882Y-138249118D01* -X84370334Y-138239642D01* -X84357160Y-138232600D01* -X84342866Y-138228264D01* -X84328000Y-138226800D01* -X83947000Y-138226800D01* -X83932134Y-138228264D01* -X83917840Y-138232600D01* -X83904666Y-138239642D01* -X83893118Y-138249118D01* -X83883642Y-138260666D01* -X83876600Y-138273840D01* -X83872264Y-138288134D01* -X83870800Y-138303000D01* -X83769200Y-138303000D01* -X83767736Y-138288134D01* -X83763400Y-138273840D01* -X83756358Y-138260666D01* -X83746882Y-138249118D01* -X83735334Y-138239642D01* -X83722160Y-138232600D01* -X83707866Y-138228264D01* -X83693000Y-138226800D01* -X83312000Y-138226800D01* -X83297134Y-138228264D01* -X83282840Y-138232600D01* -X83269666Y-138239642D01* -X83258118Y-138249118D01* -X83248642Y-138260666D01* -X83241600Y-138273840D01* -X83237264Y-138288134D01* -X83235800Y-138303000D01* -X81864200Y-138303000D01* -X81862736Y-138288134D01* -X81858400Y-138273840D01* -X81851358Y-138260666D01* -X81841882Y-138249118D01* -X81830334Y-138239642D01* -X81817160Y-138232600D01* -X81802866Y-138228264D01* -X81788000Y-138226800D01* -X81407000Y-138226800D01* -X81392134Y-138228264D01* -X81377840Y-138232600D01* -X81364666Y-138239642D01* -X81353118Y-138249118D01* -X81343642Y-138260666D01* -X81336600Y-138273840D01* -X81332264Y-138288134D01* -X81330800Y-138303000D01* -X81229200Y-138303000D01* -X81227736Y-138288134D01* -X81223400Y-138273840D01* -X81216358Y-138260666D01* -X81206882Y-138249118D01* -X81195334Y-138239642D01* -X81182160Y-138232600D01* -X81167866Y-138228264D01* -X81153000Y-138226800D01* -X80772000Y-138226800D01* -X80757134Y-138228264D01* -X80742840Y-138232600D01* -X80729666Y-138239642D01* -X80718118Y-138249118D01* -X80708642Y-138260666D01* -X80701600Y-138273840D01* -X80697264Y-138288134D01* -X80695800Y-138303000D01* -X79324200Y-138303000D01* -X79322736Y-138288134D01* -X79318400Y-138273840D01* -X79311358Y-138260666D01* -X79301882Y-138249118D01* -X79290334Y-138239642D01* -X79277160Y-138232600D01* -X79262866Y-138228264D01* -X79248000Y-138226800D01* -X78867000Y-138226800D01* -X78852134Y-138228264D01* -X78837840Y-138232600D01* -X78824666Y-138239642D01* -X78813118Y-138249118D01* -X78803642Y-138260666D01* -X78796600Y-138273840D01* -X78792264Y-138288134D01* -X78790800Y-138303000D01* -X78689200Y-138303000D01* -X78687736Y-138288134D01* -X78683400Y-138273840D01* -X78676358Y-138260666D01* -X78666882Y-138249118D01* -X78655334Y-138239642D01* -X78642160Y-138232600D01* -X78627866Y-138228264D01* -X78613000Y-138226800D01* -X78232000Y-138226800D01* -X78217134Y-138228264D01* -X78202840Y-138232600D01* -X78189666Y-138239642D01* -X78178118Y-138249118D01* -X78168642Y-138260666D01* -X78161600Y-138273840D01* -X78157264Y-138288134D01* -X78155800Y-138303000D01* -X76784200Y-138303000D01* -X76782736Y-138288134D01* -X76778400Y-138273840D01* -X76771358Y-138260666D01* -X76761882Y-138249118D01* -X76750334Y-138239642D01* -X76737160Y-138232600D01* -X76722866Y-138228264D01* -X76708000Y-138226800D01* -X76327000Y-138226800D01* -X76312134Y-138228264D01* -X76297840Y-138232600D01* -X76284666Y-138239642D01* -X76273118Y-138249118D01* -X76263642Y-138260666D01* -X76256600Y-138273840D01* -X76252264Y-138288134D01* -X76250800Y-138303000D01* -X76149200Y-138303000D01* -X76147736Y-138288134D01* -X76143400Y-138273840D01* -X76136358Y-138260666D01* -X76126882Y-138249118D01* -X76115334Y-138239642D01* -X76102160Y-138232600D01* -X76087866Y-138228264D01* -X76073000Y-138226800D01* -X75692000Y-138226800D01* -X75677134Y-138228264D01* -X75662840Y-138232600D01* -X75649666Y-138239642D01* -X75638118Y-138249118D01* -X75628642Y-138260666D01* -X75621600Y-138273840D01* -X75617264Y-138288134D01* -X75615800Y-138303000D01* -X74498200Y-138303000D01* -X74498200Y-137668000D01* -X75615800Y-137668000D01* -X75615800Y-138049000D01* -X75617264Y-138063866D01* -X75621600Y-138078160D01* -X75628642Y-138091334D01* -X75638118Y-138102882D01* -X75649666Y-138112358D01* -X75662840Y-138119400D01* -X75677134Y-138123736D01* -X75692000Y-138125200D01* -X76073000Y-138125200D01* -X76087866Y-138123736D01* -X76102160Y-138119400D01* -X76115334Y-138112358D01* -X76126882Y-138102882D01* -X76136358Y-138091334D01* -X76143400Y-138078160D01* -X76147736Y-138063866D01* -X76149200Y-138049000D01* -X76149200Y-137668000D01* -X76250800Y-137668000D01* -X76250800Y-138049000D01* -X76252264Y-138063866D01* -X76256600Y-138078160D01* -X76263642Y-138091334D01* -X76273118Y-138102882D01* -X76284666Y-138112358D01* -X76297840Y-138119400D01* -X76312134Y-138123736D01* -X76327000Y-138125200D01* -X76708000Y-138125200D01* -X76722866Y-138123736D01* -X76737160Y-138119400D01* -X76750334Y-138112358D01* -X76761882Y-138102882D01* -X76771358Y-138091334D01* -X76778400Y-138078160D01* -X76782736Y-138063866D01* -X76784200Y-138049000D01* -X76784200Y-137668000D01* -X78155800Y-137668000D01* -X78155800Y-138049000D01* -X78157264Y-138063866D01* -X78161600Y-138078160D01* -X78168642Y-138091334D01* -X78178118Y-138102882D01* -X78189666Y-138112358D01* -X78202840Y-138119400D01* -X78217134Y-138123736D01* -X78232000Y-138125200D01* -X78613000Y-138125200D01* -X78627866Y-138123736D01* -X78642160Y-138119400D01* -X78655334Y-138112358D01* -X78666882Y-138102882D01* -X78676358Y-138091334D01* -X78683400Y-138078160D01* -X78687736Y-138063866D01* -X78689200Y-138049000D01* -X78689200Y-137668000D01* -X78790800Y-137668000D01* -X78790800Y-138049000D01* -X78792264Y-138063866D01* -X78796600Y-138078160D01* -X78803642Y-138091334D01* -X78813118Y-138102882D01* -X78824666Y-138112358D01* -X78837840Y-138119400D01* -X78852134Y-138123736D01* -X78867000Y-138125200D01* -X79248000Y-138125200D01* -X79262866Y-138123736D01* -X79277160Y-138119400D01* -X79290334Y-138112358D01* -X79301882Y-138102882D01* -X79311358Y-138091334D01* -X79318400Y-138078160D01* -X79322736Y-138063866D01* -X79324200Y-138049000D01* -X79324200Y-137668000D01* -X80695800Y-137668000D01* -X80695800Y-138049000D01* -X80697264Y-138063866D01* -X80701600Y-138078160D01* -X80708642Y-138091334D01* -X80718118Y-138102882D01* -X80729666Y-138112358D01* -X80742840Y-138119400D01* -X80757134Y-138123736D01* -X80772000Y-138125200D01* -X81153000Y-138125200D01* -X81167866Y-138123736D01* -X81182160Y-138119400D01* -X81195334Y-138112358D01* -X81206882Y-138102882D01* -X81216358Y-138091334D01* -X81223400Y-138078160D01* -X81227736Y-138063866D01* -X81229200Y-138049000D01* -X81229200Y-137668000D01* -X81330800Y-137668000D01* -X81330800Y-138049000D01* -X81332264Y-138063866D01* -X81336600Y-138078160D01* -X81343642Y-138091334D01* -X81353118Y-138102882D01* -X81364666Y-138112358D01* -X81377840Y-138119400D01* -X81392134Y-138123736D01* -X81407000Y-138125200D01* -X81788000Y-138125200D01* -X81802866Y-138123736D01* -X81817160Y-138119400D01* -X81830334Y-138112358D01* -X81841882Y-138102882D01* -X81851358Y-138091334D01* -X81858400Y-138078160D01* -X81862736Y-138063866D01* -X81864200Y-138049000D01* -X81864200Y-137668000D01* -X83235800Y-137668000D01* -X83235800Y-138049000D01* -X83237264Y-138063866D01* -X83241600Y-138078160D01* -X83248642Y-138091334D01* -X83258118Y-138102882D01* -X83269666Y-138112358D01* -X83282840Y-138119400D01* -X83297134Y-138123736D01* -X83312000Y-138125200D01* -X83693000Y-138125200D01* -X83707866Y-138123736D01* -X83722160Y-138119400D01* -X83735334Y-138112358D01* -X83746882Y-138102882D01* -X83756358Y-138091334D01* -X83763400Y-138078160D01* -X83767736Y-138063866D01* -X83769200Y-138049000D01* -X83769200Y-137668000D01* -X83870800Y-137668000D01* -X83870800Y-138049000D01* -X83872264Y-138063866D01* -X83876600Y-138078160D01* -X83883642Y-138091334D01* -X83893118Y-138102882D01* -X83904666Y-138112358D01* -X83917840Y-138119400D01* -X83932134Y-138123736D01* -X83947000Y-138125200D01* -X84328000Y-138125200D01* -X84342866Y-138123736D01* -X84357160Y-138119400D01* -X84370334Y-138112358D01* -X84381882Y-138102882D01* -X84391358Y-138091334D01* -X84398400Y-138078160D01* -X84402736Y-138063866D01* -X84404200Y-138049000D01* -X84404200Y-137668000D01* -X85775800Y-137668000D01* -X85775800Y-138049000D01* -X85777264Y-138063866D01* -X85781600Y-138078160D01* -X85788642Y-138091334D01* -X85798118Y-138102882D01* -X85809666Y-138112358D01* -X85822840Y-138119400D01* -X85837134Y-138123736D01* -X85852000Y-138125200D01* -X86233000Y-138125200D01* -X86247866Y-138123736D01* -X86262160Y-138119400D01* -X86275334Y-138112358D01* -X86286882Y-138102882D01* -X86296358Y-138091334D01* -X86303400Y-138078160D01* -X86307736Y-138063866D01* -X86309200Y-138049000D01* -X86309200Y-137668000D01* -X86410800Y-137668000D01* -X86410800Y-138049000D01* -X86412264Y-138063866D01* -X86416600Y-138078160D01* -X86423642Y-138091334D01* -X86433118Y-138102882D01* -X86444666Y-138112358D01* -X86457840Y-138119400D01* -X86472134Y-138123736D01* -X86487000Y-138125200D01* -X86868000Y-138125200D01* -X86882866Y-138123736D01* -X86897160Y-138119400D01* -X86910334Y-138112358D01* -X86921882Y-138102882D01* -X86931358Y-138091334D01* -X86938400Y-138078160D01* -X86942736Y-138063866D01* -X86944200Y-138049000D01* -X86944200Y-137668000D01* -X88315800Y-137668000D01* -X88315800Y-138049000D01* -X88317264Y-138063866D01* -X88321600Y-138078160D01* -X88328642Y-138091334D01* -X88338118Y-138102882D01* -X88349666Y-138112358D01* -X88362840Y-138119400D01* -X88377134Y-138123736D01* -X88392000Y-138125200D01* -X88773000Y-138125200D01* -X88787866Y-138123736D01* -X88802160Y-138119400D01* -X88815334Y-138112358D01* -X88826882Y-138102882D01* -X88836358Y-138091334D01* -X88843400Y-138078160D01* -X88847736Y-138063866D01* -X88849200Y-138049000D01* -X88849200Y-137668000D01* -X88950800Y-137668000D01* -X88950800Y-138049000D01* -X88952264Y-138063866D01* -X88956600Y-138078160D01* -X88963642Y-138091334D01* -X88973118Y-138102882D01* -X88984666Y-138112358D01* -X88997840Y-138119400D01* -X89012134Y-138123736D01* -X89027000Y-138125200D01* -X89408000Y-138125200D01* -X89422866Y-138123736D01* -X89437160Y-138119400D01* -X89450334Y-138112358D01* -X89461882Y-138102882D01* -X89471358Y-138091334D01* -X89478400Y-138078160D01* -X89482736Y-138063866D01* -X89484200Y-138049000D01* -X89484200Y-137668000D01* -X90855800Y-137668000D01* -X90855800Y-138049000D01* -X90857264Y-138063866D01* -X90861600Y-138078160D01* -X90868642Y-138091334D01* -X90878118Y-138102882D01* -X90889666Y-138112358D01* -X90902840Y-138119400D01* -X90917134Y-138123736D01* -X90932000Y-138125200D01* -X91313000Y-138125200D01* -X91327866Y-138123736D01* -X91342160Y-138119400D01* -X91355334Y-138112358D01* -X91366882Y-138102882D01* -X91376358Y-138091334D01* -X91383400Y-138078160D01* -X91387736Y-138063866D01* -X91389200Y-138049000D01* -X91389200Y-137668000D01* -X91490800Y-137668000D01* -X91490800Y-138049000D01* -X91492264Y-138063866D01* -X91496600Y-138078160D01* -X91503642Y-138091334D01* -X91513118Y-138102882D01* -X91524666Y-138112358D01* -X91537840Y-138119400D01* -X91552134Y-138123736D01* -X91567000Y-138125200D01* -X91948000Y-138125200D01* -X91962866Y-138123736D01* -X91977160Y-138119400D01* -X91990334Y-138112358D01* -X92001882Y-138102882D01* -X92011358Y-138091334D01* -X92018400Y-138078160D01* -X92022736Y-138063866D01* -X92024200Y-138049000D01* -X92024200Y-137668000D01* -X93395800Y-137668000D01* -X93395800Y-138049000D01* -X93397264Y-138063866D01* -X93401600Y-138078160D01* -X93408642Y-138091334D01* -X93418118Y-138102882D01* -X93429666Y-138112358D01* -X93442840Y-138119400D01* -X93457134Y-138123736D01* -X93472000Y-138125200D01* -X93853000Y-138125200D01* -X93867866Y-138123736D01* -X93882160Y-138119400D01* -X93895334Y-138112358D01* -X93906882Y-138102882D01* -X93916358Y-138091334D01* -X93923400Y-138078160D01* -X93927736Y-138063866D01* -X93929200Y-138049000D01* -X93929200Y-137668000D01* -X94030800Y-137668000D01* -X94030800Y-138049000D01* -X94032264Y-138063866D01* -X94036600Y-138078160D01* -X94043642Y-138091334D01* -X94053118Y-138102882D01* -X94064666Y-138112358D01* -X94077840Y-138119400D01* -X94092134Y-138123736D01* -X94107000Y-138125200D01* -X94488000Y-138125200D01* -X94502866Y-138123736D01* -X94517160Y-138119400D01* -X94530334Y-138112358D01* -X94541882Y-138102882D01* -X94551358Y-138091334D01* -X94558400Y-138078160D01* -X94562736Y-138063866D01* -X94564200Y-138049000D01* -X94564200Y-137668000D01* -X95935800Y-137668000D01* -X95935800Y-138049000D01* -X95937264Y-138063866D01* -X95941600Y-138078160D01* -X95948642Y-138091334D01* -X95958118Y-138102882D01* -X95969666Y-138112358D01* -X95982840Y-138119400D01* -X95997134Y-138123736D01* -X96012000Y-138125200D01* -X96393000Y-138125200D01* -X96407866Y-138123736D01* -X96422160Y-138119400D01* -X96435334Y-138112358D01* -X96446882Y-138102882D01* -X96456358Y-138091334D01* -X96463400Y-138078160D01* -X96467736Y-138063866D01* -X96469200Y-138049000D01* -X96469200Y-137668000D01* -X96570800Y-137668000D01* -X96570800Y-138049000D01* -X96572264Y-138063866D01* -X96576600Y-138078160D01* -X96583642Y-138091334D01* -X96593118Y-138102882D01* -X96604666Y-138112358D01* -X96617840Y-138119400D01* -X96632134Y-138123736D01* -X96647000Y-138125200D01* -X97028000Y-138125200D01* -X97042866Y-138123736D01* -X97057160Y-138119400D01* -X97070334Y-138112358D01* -X97081882Y-138102882D01* -X97091358Y-138091334D01* -X97098400Y-138078160D01* -X97102736Y-138063866D01* -X97104200Y-138049000D01* -X97104200Y-137668000D01* -X98475800Y-137668000D01* -X98475800Y-138049000D01* -X98477264Y-138063866D01* -X98481600Y-138078160D01* -X98488642Y-138091334D01* -X98498118Y-138102882D01* -X98509666Y-138112358D01* -X98522840Y-138119400D01* -X98537134Y-138123736D01* -X98552000Y-138125200D01* -X98933000Y-138125200D01* -X98947866Y-138123736D01* -X98962160Y-138119400D01* -X98975334Y-138112358D01* -X98986882Y-138102882D01* -X98996358Y-138091334D01* -X99003400Y-138078160D01* -X99007736Y-138063866D01* -X99009200Y-138049000D01* -X99009200Y-137668000D01* -X99110800Y-137668000D01* -X99110800Y-138049000D01* -X99112264Y-138063866D01* -X99116600Y-138078160D01* -X99123642Y-138091334D01* -X99133118Y-138102882D01* -X99144666Y-138112358D01* -X99157840Y-138119400D01* -X99172134Y-138123736D01* -X99187000Y-138125200D01* -X99568000Y-138125200D01* -X99582866Y-138123736D01* -X99597160Y-138119400D01* -X99610334Y-138112358D01* -X99621882Y-138102882D01* -X99631358Y-138091334D01* -X99638400Y-138078160D01* -X99642736Y-138063866D01* -X99644200Y-138049000D01* -X99644200Y-137668000D01* -X101015800Y-137668000D01* -X101015800Y-138049000D01* -X101017264Y-138063866D01* -X101021600Y-138078160D01* -X101028642Y-138091334D01* -X101038118Y-138102882D01* -X101049666Y-138112358D01* -X101062840Y-138119400D01* -X101077134Y-138123736D01* -X101092000Y-138125200D01* -X101473000Y-138125200D01* -X101487866Y-138123736D01* -X101502160Y-138119400D01* -X101515334Y-138112358D01* -X101526882Y-138102882D01* -X101536358Y-138091334D01* -X101543400Y-138078160D01* -X101547736Y-138063866D01* -X101549200Y-138049000D01* -X101549200Y-137668000D01* -X101650800Y-137668000D01* -X101650800Y-138049000D01* -X101652264Y-138063866D01* -X101656600Y-138078160D01* -X101663642Y-138091334D01* -X101673118Y-138102882D01* -X101684666Y-138112358D01* -X101697840Y-138119400D01* -X101712134Y-138123736D01* -X101727000Y-138125200D01* -X102108000Y-138125200D01* -X102122866Y-138123736D01* -X102137160Y-138119400D01* -X102150334Y-138112358D01* -X102161882Y-138102882D01* -X102171358Y-138091334D01* -X102178400Y-138078160D01* -X102182736Y-138063866D01* -X102184200Y-138049000D01* -X102184200Y-137668000D01* -X103555800Y-137668000D01* -X103555800Y-138049000D01* -X103557264Y-138063866D01* -X103561600Y-138078160D01* -X103568642Y-138091334D01* -X103578118Y-138102882D01* -X103589666Y-138112358D01* -X103602840Y-138119400D01* -X103617134Y-138123736D01* -X103632000Y-138125200D01* -X104013000Y-138125200D01* -X104027866Y-138123736D01* -X104042160Y-138119400D01* -X104055334Y-138112358D01* -X104066882Y-138102882D01* -X104076358Y-138091334D01* -X104083400Y-138078160D01* -X104087736Y-138063866D01* -X104089200Y-138049000D01* -X104089200Y-137668000D01* -X104190800Y-137668000D01* -X104190800Y-138049000D01* -X104192264Y-138063866D01* -X104196600Y-138078160D01* -X104203642Y-138091334D01* -X104213118Y-138102882D01* -X104224666Y-138112358D01* -X104237840Y-138119400D01* -X104252134Y-138123736D01* -X104267000Y-138125200D01* -X104648000Y-138125200D01* -X104662866Y-138123736D01* -X104677160Y-138119400D01* -X104690334Y-138112358D01* -X104701882Y-138102882D01* -X104711358Y-138091334D01* -X104718400Y-138078160D01* -X104722736Y-138063866D01* -X104724200Y-138049000D01* -X104724200Y-137668000D01* -X106095800Y-137668000D01* -X106095800Y-138049000D01* -X106097264Y-138063866D01* -X106101600Y-138078160D01* -X106108642Y-138091334D01* -X106118118Y-138102882D01* -X106129666Y-138112358D01* -X106142840Y-138119400D01* -X106157134Y-138123736D01* -X106172000Y-138125200D01* -X106553000Y-138125200D01* -X106567866Y-138123736D01* -X106582160Y-138119400D01* -X106595334Y-138112358D01* -X106606882Y-138102882D01* -X106616358Y-138091334D01* -X106623400Y-138078160D01* -X106627736Y-138063866D01* -X106629200Y-138049000D01* -X106629200Y-137668000D01* -X106730800Y-137668000D01* -X106730800Y-138049000D01* -X106732264Y-138063866D01* -X106736600Y-138078160D01* -X106743642Y-138091334D01* -X106753118Y-138102882D01* -X106764666Y-138112358D01* -X106777840Y-138119400D01* -X106792134Y-138123736D01* -X106807000Y-138125200D01* -X107188000Y-138125200D01* -X107202866Y-138123736D01* -X107217160Y-138119400D01* -X107230334Y-138112358D01* -X107241882Y-138102882D01* -X107251358Y-138091334D01* -X107258400Y-138078160D01* -X107262736Y-138063866D01* -X107264200Y-138049000D01* -X107264200Y-137668000D01* -X108635800Y-137668000D01* -X108635800Y-138049000D01* -X108637264Y-138063866D01* -X108641600Y-138078160D01* -X108648642Y-138091334D01* -X108658118Y-138102882D01* -X108669666Y-138112358D01* -X108682840Y-138119400D01* -X108697134Y-138123736D01* -X108712000Y-138125200D01* -X109093000Y-138125200D01* -X109107866Y-138123736D01* -X109122160Y-138119400D01* -X109135334Y-138112358D01* -X109146882Y-138102882D01* -X109156358Y-138091334D01* -X109163400Y-138078160D01* -X109167736Y-138063866D01* -X109169200Y-138049000D01* -X109169200Y-137668000D01* -X109270800Y-137668000D01* -X109270800Y-138049000D01* -X109272264Y-138063866D01* -X109276600Y-138078160D01* -X109283642Y-138091334D01* -X109293118Y-138102882D01* -X109304666Y-138112358D01* -X109317840Y-138119400D01* -X109332134Y-138123736D01* -X109347000Y-138125200D01* -X109728000Y-138125200D01* -X109742866Y-138123736D01* -X109757160Y-138119400D01* -X109770334Y-138112358D01* -X109781882Y-138102882D01* -X109791358Y-138091334D01* -X109798400Y-138078160D01* -X109802736Y-138063866D01* -X109804200Y-138049000D01* -X109804200Y-137668000D01* -X111175800Y-137668000D01* -X111175800Y-138049000D01* -X111177264Y-138063866D01* -X111181600Y-138078160D01* -X111188642Y-138091334D01* -X111198118Y-138102882D01* -X111209666Y-138112358D01* -X111222840Y-138119400D01* -X111237134Y-138123736D01* -X111252000Y-138125200D01* -X111633000Y-138125200D01* -X111647866Y-138123736D01* -X111662160Y-138119400D01* -X111675334Y-138112358D01* -X111686882Y-138102882D01* -X111696358Y-138091334D01* -X111703400Y-138078160D01* -X111707736Y-138063866D01* -X111709200Y-138049000D01* -X111709200Y-137668000D01* -X111810800Y-137668000D01* -X111810800Y-138049000D01* -X111812264Y-138063866D01* -X111816600Y-138078160D01* -X111823642Y-138091334D01* -X111833118Y-138102882D01* -X111844666Y-138112358D01* -X111857840Y-138119400D01* -X111872134Y-138123736D01* -X111887000Y-138125200D01* -X112268000Y-138125200D01* -X112282866Y-138123736D01* -X112297160Y-138119400D01* -X112310334Y-138112358D01* -X112321882Y-138102882D01* -X112331358Y-138091334D01* -X112338400Y-138078160D01* -X112342736Y-138063866D01* -X112344200Y-138049000D01* -X112344200Y-137668000D01* -X113715800Y-137668000D01* -X113715800Y-138049000D01* -X113717264Y-138063866D01* -X113721600Y-138078160D01* -X113728642Y-138091334D01* -X113738118Y-138102882D01* -X113749666Y-138112358D01* -X113762840Y-138119400D01* -X113777134Y-138123736D01* -X113792000Y-138125200D01* -X114173000Y-138125200D01* -X114187866Y-138123736D01* -X114202160Y-138119400D01* -X114215334Y-138112358D01* -X114226882Y-138102882D01* -X114236358Y-138091334D01* -X114243400Y-138078160D01* -X114247736Y-138063866D01* -X114249200Y-138049000D01* -X114249200Y-137668000D01* -X114350800Y-137668000D01* -X114350800Y-138049000D01* -X114352264Y-138063866D01* -X114356600Y-138078160D01* -X114363642Y-138091334D01* -X114373118Y-138102882D01* -X114384666Y-138112358D01* -X114397840Y-138119400D01* -X114412134Y-138123736D01* -X114427000Y-138125200D01* -X114808000Y-138125200D01* -X114822866Y-138123736D01* -X114837160Y-138119400D01* -X114850334Y-138112358D01* -X114861882Y-138102882D01* -X114871358Y-138091334D01* -X114878400Y-138078160D01* -X114882736Y-138063866D01* -X114884200Y-138049000D01* -X114884200Y-137668000D01* -X116255800Y-137668000D01* -X116255800Y-138049000D01* -X116257264Y-138063866D01* -X116261600Y-138078160D01* -X116268642Y-138091334D01* -X116278118Y-138102882D01* -X116289666Y-138112358D01* -X116302840Y-138119400D01* -X116317134Y-138123736D01* -X116332000Y-138125200D01* -X116713000Y-138125200D01* -X116727866Y-138123736D01* -X116742160Y-138119400D01* -X116755334Y-138112358D01* -X116766882Y-138102882D01* -X116776358Y-138091334D01* -X116783400Y-138078160D01* -X116787736Y-138063866D01* -X116789200Y-138049000D01* -X116789200Y-137668000D01* -X116890800Y-137668000D01* -X116890800Y-138049000D01* -X116892264Y-138063866D01* -X116896600Y-138078160D01* -X116903642Y-138091334D01* -X116913118Y-138102882D01* -X116924666Y-138112358D01* -X116937840Y-138119400D01* -X116952134Y-138123736D01* -X116967000Y-138125200D01* -X117348000Y-138125200D01* -X117362866Y-138123736D01* -X117377160Y-138119400D01* -X117390334Y-138112358D01* -X117401882Y-138102882D01* -X117411358Y-138091334D01* -X117418400Y-138078160D01* -X117422736Y-138063866D01* -X117424200Y-138049000D01* -X117424200Y-137668000D01* -X118795800Y-137668000D01* -X118795800Y-138049000D01* -X118797264Y-138063866D01* -X118801600Y-138078160D01* -X118808642Y-138091334D01* -X118818118Y-138102882D01* -X118829666Y-138112358D01* -X118842840Y-138119400D01* -X118857134Y-138123736D01* -X118872000Y-138125200D01* -X119253000Y-138125200D01* -X119267866Y-138123736D01* -X119282160Y-138119400D01* -X119295334Y-138112358D01* -X119306882Y-138102882D01* -X119316358Y-138091334D01* -X119323400Y-138078160D01* -X119327736Y-138063866D01* -X119329200Y-138049000D01* -X119329200Y-137668000D01* -X119430800Y-137668000D01* -X119430800Y-138049000D01* -X119432264Y-138063866D01* -X119436600Y-138078160D01* -X119443642Y-138091334D01* -X119453118Y-138102882D01* -X119464666Y-138112358D01* -X119477840Y-138119400D01* -X119492134Y-138123736D01* -X119507000Y-138125200D01* -X119888000Y-138125200D01* -X119902866Y-138123736D01* -X119917160Y-138119400D01* -X119930334Y-138112358D01* -X119941882Y-138102882D01* -X119951358Y-138091334D01* -X119958400Y-138078160D01* -X119962736Y-138063866D01* -X119964200Y-138049000D01* -X119964200Y-137668000D01* -X121335800Y-137668000D01* -X121335800Y-138049000D01* -X121337264Y-138063866D01* -X121341600Y-138078160D01* -X121348642Y-138091334D01* -X121358118Y-138102882D01* -X121369666Y-138112358D01* -X121382840Y-138119400D01* -X121397134Y-138123736D01* -X121412000Y-138125200D01* -X121793000Y-138125200D01* -X121807866Y-138123736D01* -X121822160Y-138119400D01* -X121835334Y-138112358D01* -X121846882Y-138102882D01* -X121856358Y-138091334D01* -X121863400Y-138078160D01* -X121867736Y-138063866D01* -X121869200Y-138049000D01* -X121869200Y-137668000D01* -X121970800Y-137668000D01* -X121970800Y-138049000D01* -X121972264Y-138063866D01* -X121976600Y-138078160D01* -X121983642Y-138091334D01* -X121993118Y-138102882D01* -X122004666Y-138112358D01* -X122017840Y-138119400D01* -X122032134Y-138123736D01* -X122047000Y-138125200D01* -X122428000Y-138125200D01* -X122442866Y-138123736D01* -X122457160Y-138119400D01* -X122470334Y-138112358D01* -X122481882Y-138102882D01* -X122491358Y-138091334D01* -X122498400Y-138078160D01* -X122502736Y-138063866D01* -X122504200Y-138049000D01* -X122504200Y-137668000D01* -X123875800Y-137668000D01* -X123875800Y-138049000D01* -X123877264Y-138063866D01* -X123881600Y-138078160D01* -X123888642Y-138091334D01* -X123898118Y-138102882D01* -X123909666Y-138112358D01* -X123922840Y-138119400D01* -X123937134Y-138123736D01* -X123952000Y-138125200D01* -X124333000Y-138125200D01* -X124347866Y-138123736D01* -X124362160Y-138119400D01* -X124375334Y-138112358D01* -X124386882Y-138102882D01* -X124396358Y-138091334D01* -X124403400Y-138078160D01* -X124407736Y-138063866D01* -X124409200Y-138049000D01* -X124409200Y-137668000D01* -X124510800Y-137668000D01* -X124510800Y-138049000D01* -X124512264Y-138063866D01* -X124516600Y-138078160D01* -X124523642Y-138091334D01* -X124533118Y-138102882D01* -X124544666Y-138112358D01* -X124557840Y-138119400D01* -X124572134Y-138123736D01* -X124587000Y-138125200D01* -X124968000Y-138125200D01* -X124982866Y-138123736D01* -X124997160Y-138119400D01* -X125010334Y-138112358D01* -X125021882Y-138102882D01* -X125031358Y-138091334D01* -X125038400Y-138078160D01* -X125042736Y-138063866D01* -X125044200Y-138049000D01* -X125044200Y-137668000D01* -X126415800Y-137668000D01* -X126415800Y-138049000D01* -X126417264Y-138063866D01* -X126421600Y-138078160D01* -X126428642Y-138091334D01* -X126438118Y-138102882D01* -X126449666Y-138112358D01* -X126462840Y-138119400D01* -X126477134Y-138123736D01* -X126492000Y-138125200D01* -X126873000Y-138125200D01* -X126887866Y-138123736D01* -X126902160Y-138119400D01* -X126915334Y-138112358D01* -X126926882Y-138102882D01* -X126936358Y-138091334D01* -X126943400Y-138078160D01* -X126947736Y-138063866D01* -X126949200Y-138049000D01* -X126949200Y-137668000D01* -X127050800Y-137668000D01* -X127050800Y-138049000D01* -X127052264Y-138063866D01* -X127056600Y-138078160D01* -X127063642Y-138091334D01* -X127073118Y-138102882D01* -X127084666Y-138112358D01* -X127097840Y-138119400D01* -X127112134Y-138123736D01* -X127127000Y-138125200D01* -X127508000Y-138125200D01* -X127522866Y-138123736D01* -X127537160Y-138119400D01* -X127550334Y-138112358D01* -X127561882Y-138102882D01* -X127571358Y-138091334D01* -X127578400Y-138078160D01* -X127582736Y-138063866D01* -X127584200Y-138049000D01* -X127584200Y-137668000D01* -X128955800Y-137668000D01* -X128955800Y-138049000D01* -X128957264Y-138063866D01* -X128961600Y-138078160D01* -X128968642Y-138091334D01* -X128978118Y-138102882D01* -X128989666Y-138112358D01* -X129002840Y-138119400D01* -X129017134Y-138123736D01* -X129032000Y-138125200D01* -X129413000Y-138125200D01* -X129427866Y-138123736D01* -X129442160Y-138119400D01* -X129455334Y-138112358D01* -X129466882Y-138102882D01* -X129476358Y-138091334D01* -X129483400Y-138078160D01* -X129487736Y-138063866D01* -X129489200Y-138049000D01* -X129489200Y-137668000D01* -X129590800Y-137668000D01* -X129590800Y-138049000D01* -X129592264Y-138063866D01* -X129596600Y-138078160D01* -X129603642Y-138091334D01* -X129613118Y-138102882D01* -X129624666Y-138112358D01* -X129637840Y-138119400D01* -X129652134Y-138123736D01* -X129667000Y-138125200D01* -X130048000Y-138125200D01* -X130062866Y-138123736D01* -X130077160Y-138119400D01* -X130090334Y-138112358D01* -X130101882Y-138102882D01* -X130111358Y-138091334D01* -X130118400Y-138078160D01* -X130122736Y-138063866D01* -X130124200Y-138049000D01* -X130124200Y-137668000D01* -X131495800Y-137668000D01* -X131495800Y-138049000D01* -X131497264Y-138063866D01* -X131501600Y-138078160D01* -X131508642Y-138091334D01* -X131518118Y-138102882D01* -X131529666Y-138112358D01* -X131542840Y-138119400D01* -X131557134Y-138123736D01* -X131572000Y-138125200D01* -X131953000Y-138125200D01* -X131967866Y-138123736D01* -X131982160Y-138119400D01* -X131995334Y-138112358D01* -X132006882Y-138102882D01* -X132016358Y-138091334D01* -X132023400Y-138078160D01* -X132027736Y-138063866D01* -X132029200Y-138049000D01* -X132029200Y-137668000D01* -X132130800Y-137668000D01* -X132130800Y-138049000D01* -X132132264Y-138063866D01* -X132136600Y-138078160D01* -X132143642Y-138091334D01* -X132153118Y-138102882D01* -X132164666Y-138112358D01* -X132177840Y-138119400D01* -X132192134Y-138123736D01* -X132207000Y-138125200D01* -X132588000Y-138125200D01* -X132602866Y-138123736D01* -X132617160Y-138119400D01* -X132630334Y-138112358D01* -X132641882Y-138102882D01* -X132651358Y-138091334D01* -X132658400Y-138078160D01* -X132662736Y-138063866D01* -X132664200Y-138049000D01* -X132664200Y-137668000D01* -X134035800Y-137668000D01* -X134035800Y-138049000D01* -X134037264Y-138063866D01* -X134041600Y-138078160D01* -X134048642Y-138091334D01* -X134058118Y-138102882D01* -X134069666Y-138112358D01* -X134082840Y-138119400D01* -X134097134Y-138123736D01* -X134112000Y-138125200D01* -X134493000Y-138125200D01* -X134507866Y-138123736D01* -X134522160Y-138119400D01* -X134535334Y-138112358D01* -X134546882Y-138102882D01* -X134556358Y-138091334D01* -X134563400Y-138078160D01* -X134567736Y-138063866D01* -X134569200Y-138049000D01* -X134569200Y-137668000D01* -X134670800Y-137668000D01* -X134670800Y-138049000D01* -X134672264Y-138063866D01* -X134676600Y-138078160D01* -X134683642Y-138091334D01* -X134693118Y-138102882D01* -X134704666Y-138112358D01* -X134717840Y-138119400D01* -X134732134Y-138123736D01* -X134747000Y-138125200D01* -X135128000Y-138125200D01* -X135142866Y-138123736D01* -X135157160Y-138119400D01* -X135170334Y-138112358D01* -X135181882Y-138102882D01* -X135191358Y-138091334D01* -X135198400Y-138078160D01* -X135202736Y-138063866D01* -X135204200Y-138049000D01* -X135204200Y-137668000D01* -X135202736Y-137653134D01* -X135198400Y-137638840D01* -X135191358Y-137625666D01* -X135181882Y-137614118D01* -X135170334Y-137604642D01* -X135157160Y-137597600D01* -X135142866Y-137593264D01* -X135128000Y-137591800D01* -X134747000Y-137591800D01* -X134732134Y-137593264D01* -X134717840Y-137597600D01* -X134704666Y-137604642D01* -X134693118Y-137614118D01* -X134683642Y-137625666D01* -X134676600Y-137638840D01* -X134672264Y-137653134D01* -X134670800Y-137668000D01* -X134569200Y-137668000D01* -X134567736Y-137653134D01* -X134563400Y-137638840D01* -X134556358Y-137625666D01* -X134546882Y-137614118D01* -X134535334Y-137604642D01* -X134522160Y-137597600D01* -X134507866Y-137593264D01* -X134493000Y-137591800D01* -X134112000Y-137591800D01* -X134097134Y-137593264D01* -X134082840Y-137597600D01* -X134069666Y-137604642D01* -X134058118Y-137614118D01* -X134048642Y-137625666D01* -X134041600Y-137638840D01* -X134037264Y-137653134D01* -X134035800Y-137668000D01* -X132664200Y-137668000D01* -X132662736Y-137653134D01* -X132658400Y-137638840D01* -X132651358Y-137625666D01* -X132641882Y-137614118D01* -X132630334Y-137604642D01* -X132617160Y-137597600D01* -X132602866Y-137593264D01* -X132588000Y-137591800D01* -X132207000Y-137591800D01* -X132192134Y-137593264D01* -X132177840Y-137597600D01* -X132164666Y-137604642D01* -X132153118Y-137614118D01* -X132143642Y-137625666D01* -X132136600Y-137638840D01* -X132132264Y-137653134D01* -X132130800Y-137668000D01* -X132029200Y-137668000D01* -X132027736Y-137653134D01* -X132023400Y-137638840D01* -X132016358Y-137625666D01* -X132006882Y-137614118D01* -X131995334Y-137604642D01* -X131982160Y-137597600D01* -X131967866Y-137593264D01* -X131953000Y-137591800D01* -X131572000Y-137591800D01* -X131557134Y-137593264D01* -X131542840Y-137597600D01* -X131529666Y-137604642D01* -X131518118Y-137614118D01* -X131508642Y-137625666D01* -X131501600Y-137638840D01* -X131497264Y-137653134D01* -X131495800Y-137668000D01* -X130124200Y-137668000D01* -X130122736Y-137653134D01* -X130118400Y-137638840D01* -X130111358Y-137625666D01* -X130101882Y-137614118D01* -X130090334Y-137604642D01* -X130077160Y-137597600D01* -X130062866Y-137593264D01* -X130048000Y-137591800D01* -X129667000Y-137591800D01* -X129652134Y-137593264D01* -X129637840Y-137597600D01* -X129624666Y-137604642D01* -X129613118Y-137614118D01* -X129603642Y-137625666D01* -X129596600Y-137638840D01* -X129592264Y-137653134D01* -X129590800Y-137668000D01* -X129489200Y-137668000D01* -X129487736Y-137653134D01* -X129483400Y-137638840D01* -X129476358Y-137625666D01* -X129466882Y-137614118D01* -X129455334Y-137604642D01* -X129442160Y-137597600D01* -X129427866Y-137593264D01* -X129413000Y-137591800D01* -X129032000Y-137591800D01* -X129017134Y-137593264D01* -X129002840Y-137597600D01* -X128989666Y-137604642D01* -X128978118Y-137614118D01* -X128968642Y-137625666D01* -X128961600Y-137638840D01* -X128957264Y-137653134D01* -X128955800Y-137668000D01* -X127584200Y-137668000D01* -X127582736Y-137653134D01* -X127578400Y-137638840D01* -X127571358Y-137625666D01* -X127561882Y-137614118D01* -X127550334Y-137604642D01* -X127537160Y-137597600D01* -X127522866Y-137593264D01* -X127508000Y-137591800D01* -X127127000Y-137591800D01* -X127112134Y-137593264D01* -X127097840Y-137597600D01* -X127084666Y-137604642D01* -X127073118Y-137614118D01* -X127063642Y-137625666D01* -X127056600Y-137638840D01* -X127052264Y-137653134D01* -X127050800Y-137668000D01* -X126949200Y-137668000D01* -X126947736Y-137653134D01* -X126943400Y-137638840D01* -X126936358Y-137625666D01* -X126926882Y-137614118D01* -X126915334Y-137604642D01* -X126902160Y-137597600D01* -X126887866Y-137593264D01* -X126873000Y-137591800D01* -X126492000Y-137591800D01* -X126477134Y-137593264D01* -X126462840Y-137597600D01* -X126449666Y-137604642D01* -X126438118Y-137614118D01* -X126428642Y-137625666D01* -X126421600Y-137638840D01* -X126417264Y-137653134D01* -X126415800Y-137668000D01* -X125044200Y-137668000D01* -X125042736Y-137653134D01* -X125038400Y-137638840D01* -X125031358Y-137625666D01* -X125021882Y-137614118D01* -X125010334Y-137604642D01* -X124997160Y-137597600D01* -X124982866Y-137593264D01* -X124968000Y-137591800D01* -X124587000Y-137591800D01* -X124572134Y-137593264D01* -X124557840Y-137597600D01* -X124544666Y-137604642D01* -X124533118Y-137614118D01* -X124523642Y-137625666D01* -X124516600Y-137638840D01* -X124512264Y-137653134D01* -X124510800Y-137668000D01* -X124409200Y-137668000D01* -X124407736Y-137653134D01* -X124403400Y-137638840D01* -X124396358Y-137625666D01* -X124386882Y-137614118D01* -X124375334Y-137604642D01* -X124362160Y-137597600D01* -X124347866Y-137593264D01* -X124333000Y-137591800D01* -X123952000Y-137591800D01* -X123937134Y-137593264D01* -X123922840Y-137597600D01* -X123909666Y-137604642D01* -X123898118Y-137614118D01* -X123888642Y-137625666D01* -X123881600Y-137638840D01* -X123877264Y-137653134D01* -X123875800Y-137668000D01* -X122504200Y-137668000D01* -X122502736Y-137653134D01* -X122498400Y-137638840D01* -X122491358Y-137625666D01* -X122481882Y-137614118D01* -X122470334Y-137604642D01* -X122457160Y-137597600D01* -X122442866Y-137593264D01* -X122428000Y-137591800D01* -X122047000Y-137591800D01* -X122032134Y-137593264D01* -X122017840Y-137597600D01* -X122004666Y-137604642D01* -X121993118Y-137614118D01* -X121983642Y-137625666D01* -X121976600Y-137638840D01* -X121972264Y-137653134D01* -X121970800Y-137668000D01* -X121869200Y-137668000D01* -X121867736Y-137653134D01* -X121863400Y-137638840D01* -X121856358Y-137625666D01* -X121846882Y-137614118D01* -X121835334Y-137604642D01* -X121822160Y-137597600D01* -X121807866Y-137593264D01* -X121793000Y-137591800D01* -X121412000Y-137591800D01* -X121397134Y-137593264D01* -X121382840Y-137597600D01* -X121369666Y-137604642D01* -X121358118Y-137614118D01* -X121348642Y-137625666D01* -X121341600Y-137638840D01* -X121337264Y-137653134D01* -X121335800Y-137668000D01* -X119964200Y-137668000D01* -X119962736Y-137653134D01* -X119958400Y-137638840D01* -X119951358Y-137625666D01* -X119941882Y-137614118D01* -X119930334Y-137604642D01* -X119917160Y-137597600D01* -X119902866Y-137593264D01* -X119888000Y-137591800D01* -X119507000Y-137591800D01* -X119492134Y-137593264D01* -X119477840Y-137597600D01* -X119464666Y-137604642D01* -X119453118Y-137614118D01* -X119443642Y-137625666D01* -X119436600Y-137638840D01* -X119432264Y-137653134D01* -X119430800Y-137668000D01* -X119329200Y-137668000D01* -X119327736Y-137653134D01* -X119323400Y-137638840D01* -X119316358Y-137625666D01* -X119306882Y-137614118D01* -X119295334Y-137604642D01* -X119282160Y-137597600D01* -X119267866Y-137593264D01* -X119253000Y-137591800D01* -X118872000Y-137591800D01* -X118857134Y-137593264D01* -X118842840Y-137597600D01* -X118829666Y-137604642D01* -X118818118Y-137614118D01* -X118808642Y-137625666D01* -X118801600Y-137638840D01* -X118797264Y-137653134D01* -X118795800Y-137668000D01* -X117424200Y-137668000D01* -X117422736Y-137653134D01* -X117418400Y-137638840D01* -X117411358Y-137625666D01* -X117401882Y-137614118D01* -X117390334Y-137604642D01* -X117377160Y-137597600D01* -X117362866Y-137593264D01* -X117348000Y-137591800D01* -X116967000Y-137591800D01* -X116952134Y-137593264D01* -X116937840Y-137597600D01* -X116924666Y-137604642D01* -X116913118Y-137614118D01* -X116903642Y-137625666D01* -X116896600Y-137638840D01* -X116892264Y-137653134D01* -X116890800Y-137668000D01* -X116789200Y-137668000D01* -X116787736Y-137653134D01* -X116783400Y-137638840D01* -X116776358Y-137625666D01* -X116766882Y-137614118D01* -X116755334Y-137604642D01* -X116742160Y-137597600D01* -X116727866Y-137593264D01* -X116713000Y-137591800D01* -X116332000Y-137591800D01* -X116317134Y-137593264D01* -X116302840Y-137597600D01* -X116289666Y-137604642D01* -X116278118Y-137614118D01* -X116268642Y-137625666D01* -X116261600Y-137638840D01* -X116257264Y-137653134D01* -X116255800Y-137668000D01* -X114884200Y-137668000D01* -X114882736Y-137653134D01* -X114878400Y-137638840D01* -X114871358Y-137625666D01* -X114861882Y-137614118D01* -X114850334Y-137604642D01* -X114837160Y-137597600D01* -X114822866Y-137593264D01* -X114808000Y-137591800D01* -X114427000Y-137591800D01* -X114412134Y-137593264D01* -X114397840Y-137597600D01* -X114384666Y-137604642D01* -X114373118Y-137614118D01* -X114363642Y-137625666D01* -X114356600Y-137638840D01* -X114352264Y-137653134D01* -X114350800Y-137668000D01* -X114249200Y-137668000D01* -X114247736Y-137653134D01* -X114243400Y-137638840D01* -X114236358Y-137625666D01* -X114226882Y-137614118D01* -X114215334Y-137604642D01* -X114202160Y-137597600D01* -X114187866Y-137593264D01* -X114173000Y-137591800D01* -X113792000Y-137591800D01* -X113777134Y-137593264D01* -X113762840Y-137597600D01* -X113749666Y-137604642D01* -X113738118Y-137614118D01* -X113728642Y-137625666D01* -X113721600Y-137638840D01* -X113717264Y-137653134D01* -X113715800Y-137668000D01* -X112344200Y-137668000D01* -X112342736Y-137653134D01* -X112338400Y-137638840D01* -X112331358Y-137625666D01* -X112321882Y-137614118D01* -X112310334Y-137604642D01* -X112297160Y-137597600D01* -X112282866Y-137593264D01* -X112268000Y-137591800D01* -X111887000Y-137591800D01* -X111872134Y-137593264D01* -X111857840Y-137597600D01* -X111844666Y-137604642D01* -X111833118Y-137614118D01* -X111823642Y-137625666D01* -X111816600Y-137638840D01* -X111812264Y-137653134D01* -X111810800Y-137668000D01* -X111709200Y-137668000D01* -X111707736Y-137653134D01* -X111703400Y-137638840D01* -X111696358Y-137625666D01* -X111686882Y-137614118D01* -X111675334Y-137604642D01* -X111662160Y-137597600D01* -X111647866Y-137593264D01* -X111633000Y-137591800D01* -X111252000Y-137591800D01* -X111237134Y-137593264D01* -X111222840Y-137597600D01* -X111209666Y-137604642D01* -X111198118Y-137614118D01* -X111188642Y-137625666D01* -X111181600Y-137638840D01* -X111177264Y-137653134D01* -X111175800Y-137668000D01* -X109804200Y-137668000D01* -X109802736Y-137653134D01* -X109798400Y-137638840D01* -X109791358Y-137625666D01* -X109781882Y-137614118D01* -X109770334Y-137604642D01* -X109757160Y-137597600D01* -X109742866Y-137593264D01* -X109728000Y-137591800D01* -X109347000Y-137591800D01* -X109332134Y-137593264D01* -X109317840Y-137597600D01* -X109304666Y-137604642D01* -X109293118Y-137614118D01* -X109283642Y-137625666D01* -X109276600Y-137638840D01* -X109272264Y-137653134D01* -X109270800Y-137668000D01* -X109169200Y-137668000D01* -X109167736Y-137653134D01* -X109163400Y-137638840D01* -X109156358Y-137625666D01* -X109146882Y-137614118D01* -X109135334Y-137604642D01* -X109122160Y-137597600D01* -X109107866Y-137593264D01* -X109093000Y-137591800D01* -X108712000Y-137591800D01* -X108697134Y-137593264D01* -X108682840Y-137597600D01* -X108669666Y-137604642D01* -X108658118Y-137614118D01* -X108648642Y-137625666D01* -X108641600Y-137638840D01* -X108637264Y-137653134D01* -X108635800Y-137668000D01* -X107264200Y-137668000D01* -X107262736Y-137653134D01* -X107258400Y-137638840D01* -X107251358Y-137625666D01* -X107241882Y-137614118D01* -X107230334Y-137604642D01* -X107217160Y-137597600D01* -X107202866Y-137593264D01* -X107188000Y-137591800D01* -X106807000Y-137591800D01* -X106792134Y-137593264D01* -X106777840Y-137597600D01* -X106764666Y-137604642D01* -X106753118Y-137614118D01* -X106743642Y-137625666D01* -X106736600Y-137638840D01* -X106732264Y-137653134D01* -X106730800Y-137668000D01* -X106629200Y-137668000D01* -X106627736Y-137653134D01* -X106623400Y-137638840D01* -X106616358Y-137625666D01* -X106606882Y-137614118D01* -X106595334Y-137604642D01* -X106582160Y-137597600D01* -X106567866Y-137593264D01* -X106553000Y-137591800D01* -X106172000Y-137591800D01* -X106157134Y-137593264D01* -X106142840Y-137597600D01* -X106129666Y-137604642D01* -X106118118Y-137614118D01* -X106108642Y-137625666D01* -X106101600Y-137638840D01* -X106097264Y-137653134D01* -X106095800Y-137668000D01* -X104724200Y-137668000D01* -X104722736Y-137653134D01* -X104718400Y-137638840D01* -X104711358Y-137625666D01* -X104701882Y-137614118D01* -X104690334Y-137604642D01* -X104677160Y-137597600D01* -X104662866Y-137593264D01* -X104648000Y-137591800D01* -X104267000Y-137591800D01* -X104252134Y-137593264D01* -X104237840Y-137597600D01* -X104224666Y-137604642D01* -X104213118Y-137614118D01* -X104203642Y-137625666D01* -X104196600Y-137638840D01* -X104192264Y-137653134D01* -X104190800Y-137668000D01* -X104089200Y-137668000D01* -X104087736Y-137653134D01* -X104083400Y-137638840D01* -X104076358Y-137625666D01* -X104066882Y-137614118D01* -X104055334Y-137604642D01* -X104042160Y-137597600D01* -X104027866Y-137593264D01* -X104013000Y-137591800D01* -X103632000Y-137591800D01* -X103617134Y-137593264D01* -X103602840Y-137597600D01* -X103589666Y-137604642D01* -X103578118Y-137614118D01* -X103568642Y-137625666D01* -X103561600Y-137638840D01* -X103557264Y-137653134D01* -X103555800Y-137668000D01* -X102184200Y-137668000D01* -X102182736Y-137653134D01* -X102178400Y-137638840D01* -X102171358Y-137625666D01* -X102161882Y-137614118D01* -X102150334Y-137604642D01* -X102137160Y-137597600D01* -X102122866Y-137593264D01* -X102108000Y-137591800D01* -X101727000Y-137591800D01* -X101712134Y-137593264D01* -X101697840Y-137597600D01* -X101684666Y-137604642D01* -X101673118Y-137614118D01* -X101663642Y-137625666D01* -X101656600Y-137638840D01* -X101652264Y-137653134D01* -X101650800Y-137668000D01* -X101549200Y-137668000D01* -X101547736Y-137653134D01* -X101543400Y-137638840D01* -X101536358Y-137625666D01* -X101526882Y-137614118D01* -X101515334Y-137604642D01* -X101502160Y-137597600D01* -X101487866Y-137593264D01* -X101473000Y-137591800D01* -X101092000Y-137591800D01* -X101077134Y-137593264D01* -X101062840Y-137597600D01* -X101049666Y-137604642D01* -X101038118Y-137614118D01* -X101028642Y-137625666D01* -X101021600Y-137638840D01* -X101017264Y-137653134D01* -X101015800Y-137668000D01* -X99644200Y-137668000D01* -X99642736Y-137653134D01* -X99638400Y-137638840D01* -X99631358Y-137625666D01* -X99621882Y-137614118D01* -X99610334Y-137604642D01* -X99597160Y-137597600D01* -X99582866Y-137593264D01* -X99568000Y-137591800D01* -X99187000Y-137591800D01* -X99172134Y-137593264D01* -X99157840Y-137597600D01* -X99144666Y-137604642D01* -X99133118Y-137614118D01* -X99123642Y-137625666D01* -X99116600Y-137638840D01* -X99112264Y-137653134D01* -X99110800Y-137668000D01* -X99009200Y-137668000D01* -X99007736Y-137653134D01* -X99003400Y-137638840D01* -X98996358Y-137625666D01* -X98986882Y-137614118D01* -X98975334Y-137604642D01* -X98962160Y-137597600D01* -X98947866Y-137593264D01* -X98933000Y-137591800D01* -X98552000Y-137591800D01* -X98537134Y-137593264D01* -X98522840Y-137597600D01* -X98509666Y-137604642D01* -X98498118Y-137614118D01* -X98488642Y-137625666D01* -X98481600Y-137638840D01* -X98477264Y-137653134D01* -X98475800Y-137668000D01* -X97104200Y-137668000D01* -X97102736Y-137653134D01* -X97098400Y-137638840D01* -X97091358Y-137625666D01* -X97081882Y-137614118D01* -X97070334Y-137604642D01* -X97057160Y-137597600D01* -X97042866Y-137593264D01* -X97028000Y-137591800D01* -X96647000Y-137591800D01* -X96632134Y-137593264D01* -X96617840Y-137597600D01* -X96604666Y-137604642D01* -X96593118Y-137614118D01* -X96583642Y-137625666D01* -X96576600Y-137638840D01* -X96572264Y-137653134D01* -X96570800Y-137668000D01* -X96469200Y-137668000D01* -X96467736Y-137653134D01* -X96463400Y-137638840D01* -X96456358Y-137625666D01* -X96446882Y-137614118D01* -X96435334Y-137604642D01* -X96422160Y-137597600D01* -X96407866Y-137593264D01* -X96393000Y-137591800D01* -X96012000Y-137591800D01* -X95997134Y-137593264D01* -X95982840Y-137597600D01* -X95969666Y-137604642D01* -X95958118Y-137614118D01* -X95948642Y-137625666D01* -X95941600Y-137638840D01* -X95937264Y-137653134D01* -X95935800Y-137668000D01* -X94564200Y-137668000D01* -X94562736Y-137653134D01* -X94558400Y-137638840D01* -X94551358Y-137625666D01* -X94541882Y-137614118D01* -X94530334Y-137604642D01* -X94517160Y-137597600D01* -X94502866Y-137593264D01* -X94488000Y-137591800D01* -X94107000Y-137591800D01* -X94092134Y-137593264D01* -X94077840Y-137597600D01* -X94064666Y-137604642D01* -X94053118Y-137614118D01* -X94043642Y-137625666D01* -X94036600Y-137638840D01* -X94032264Y-137653134D01* -X94030800Y-137668000D01* -X93929200Y-137668000D01* -X93927736Y-137653134D01* -X93923400Y-137638840D01* -X93916358Y-137625666D01* -X93906882Y-137614118D01* -X93895334Y-137604642D01* -X93882160Y-137597600D01* -X93867866Y-137593264D01* -X93853000Y-137591800D01* -X93472000Y-137591800D01* -X93457134Y-137593264D01* -X93442840Y-137597600D01* -X93429666Y-137604642D01* -X93418118Y-137614118D01* -X93408642Y-137625666D01* -X93401600Y-137638840D01* -X93397264Y-137653134D01* -X93395800Y-137668000D01* -X92024200Y-137668000D01* -X92022736Y-137653134D01* -X92018400Y-137638840D01* -X92011358Y-137625666D01* -X92001882Y-137614118D01* -X91990334Y-137604642D01* -X91977160Y-137597600D01* -X91962866Y-137593264D01* -X91948000Y-137591800D01* -X91567000Y-137591800D01* -X91552134Y-137593264D01* -X91537840Y-137597600D01* -X91524666Y-137604642D01* -X91513118Y-137614118D01* -X91503642Y-137625666D01* -X91496600Y-137638840D01* -X91492264Y-137653134D01* -X91490800Y-137668000D01* -X91389200Y-137668000D01* -X91387736Y-137653134D01* -X91383400Y-137638840D01* -X91376358Y-137625666D01* -X91366882Y-137614118D01* -X91355334Y-137604642D01* -X91342160Y-137597600D01* -X91327866Y-137593264D01* -X91313000Y-137591800D01* -X90932000Y-137591800D01* -X90917134Y-137593264D01* -X90902840Y-137597600D01* -X90889666Y-137604642D01* -X90878118Y-137614118D01* -X90868642Y-137625666D01* -X90861600Y-137638840D01* -X90857264Y-137653134D01* -X90855800Y-137668000D01* -X89484200Y-137668000D01* -X89482736Y-137653134D01* -X89478400Y-137638840D01* -X89471358Y-137625666D01* -X89461882Y-137614118D01* -X89450334Y-137604642D01* -X89437160Y-137597600D01* -X89422866Y-137593264D01* -X89408000Y-137591800D01* -X89027000Y-137591800D01* -X89012134Y-137593264D01* -X88997840Y-137597600D01* -X88984666Y-137604642D01* -X88973118Y-137614118D01* -X88963642Y-137625666D01* -X88956600Y-137638840D01* -X88952264Y-137653134D01* -X88950800Y-137668000D01* -X88849200Y-137668000D01* -X88847736Y-137653134D01* -X88843400Y-137638840D01* -X88836358Y-137625666D01* -X88826882Y-137614118D01* -X88815334Y-137604642D01* -X88802160Y-137597600D01* -X88787866Y-137593264D01* -X88773000Y-137591800D01* -X88392000Y-137591800D01* -X88377134Y-137593264D01* -X88362840Y-137597600D01* -X88349666Y-137604642D01* -X88338118Y-137614118D01* -X88328642Y-137625666D01* -X88321600Y-137638840D01* -X88317264Y-137653134D01* -X88315800Y-137668000D01* -X86944200Y-137668000D01* -X86942736Y-137653134D01* -X86938400Y-137638840D01* -X86931358Y-137625666D01* -X86921882Y-137614118D01* -X86910334Y-137604642D01* -X86897160Y-137597600D01* -X86882866Y-137593264D01* -X86868000Y-137591800D01* -X86487000Y-137591800D01* -X86472134Y-137593264D01* -X86457840Y-137597600D01* -X86444666Y-137604642D01* -X86433118Y-137614118D01* -X86423642Y-137625666D01* -X86416600Y-137638840D01* -X86412264Y-137653134D01* -X86410800Y-137668000D01* -X86309200Y-137668000D01* -X86307736Y-137653134D01* -X86303400Y-137638840D01* -X86296358Y-137625666D01* -X86286882Y-137614118D01* -X86275334Y-137604642D01* -X86262160Y-137597600D01* -X86247866Y-137593264D01* -X86233000Y-137591800D01* -X85852000Y-137591800D01* -X85837134Y-137593264D01* -X85822840Y-137597600D01* -X85809666Y-137604642D01* -X85798118Y-137614118D01* -X85788642Y-137625666D01* -X85781600Y-137638840D01* -X85777264Y-137653134D01* -X85775800Y-137668000D01* -X84404200Y-137668000D01* -X84402736Y-137653134D01* -X84398400Y-137638840D01* -X84391358Y-137625666D01* -X84381882Y-137614118D01* -X84370334Y-137604642D01* -X84357160Y-137597600D01* -X84342866Y-137593264D01* -X84328000Y-137591800D01* -X83947000Y-137591800D01* -X83932134Y-137593264D01* -X83917840Y-137597600D01* -X83904666Y-137604642D01* -X83893118Y-137614118D01* -X83883642Y-137625666D01* -X83876600Y-137638840D01* -X83872264Y-137653134D01* -X83870800Y-137668000D01* -X83769200Y-137668000D01* -X83767736Y-137653134D01* -X83763400Y-137638840D01* -X83756358Y-137625666D01* -X83746882Y-137614118D01* -X83735334Y-137604642D01* -X83722160Y-137597600D01* -X83707866Y-137593264D01* -X83693000Y-137591800D01* -X83312000Y-137591800D01* -X83297134Y-137593264D01* -X83282840Y-137597600D01* -X83269666Y-137604642D01* -X83258118Y-137614118D01* -X83248642Y-137625666D01* -X83241600Y-137638840D01* -X83237264Y-137653134D01* -X83235800Y-137668000D01* -X81864200Y-137668000D01* -X81862736Y-137653134D01* -X81858400Y-137638840D01* -X81851358Y-137625666D01* -X81841882Y-137614118D01* -X81830334Y-137604642D01* -X81817160Y-137597600D01* -X81802866Y-137593264D01* -X81788000Y-137591800D01* -X81407000Y-137591800D01* -X81392134Y-137593264D01* -X81377840Y-137597600D01* -X81364666Y-137604642D01* -X81353118Y-137614118D01* -X81343642Y-137625666D01* -X81336600Y-137638840D01* -X81332264Y-137653134D01* -X81330800Y-137668000D01* -X81229200Y-137668000D01* -X81227736Y-137653134D01* -X81223400Y-137638840D01* -X81216358Y-137625666D01* -X81206882Y-137614118D01* -X81195334Y-137604642D01* -X81182160Y-137597600D01* -X81167866Y-137593264D01* -X81153000Y-137591800D01* -X80772000Y-137591800D01* -X80757134Y-137593264D01* -X80742840Y-137597600D01* -X80729666Y-137604642D01* -X80718118Y-137614118D01* -X80708642Y-137625666D01* -X80701600Y-137638840D01* -X80697264Y-137653134D01* -X80695800Y-137668000D01* -X79324200Y-137668000D01* -X79322736Y-137653134D01* -X79318400Y-137638840D01* -X79311358Y-137625666D01* -X79301882Y-137614118D01* -X79290334Y-137604642D01* -X79277160Y-137597600D01* -X79262866Y-137593264D01* -X79248000Y-137591800D01* -X78867000Y-137591800D01* -X78852134Y-137593264D01* -X78837840Y-137597600D01* -X78824666Y-137604642D01* -X78813118Y-137614118D01* -X78803642Y-137625666D01* -X78796600Y-137638840D01* -X78792264Y-137653134D01* -X78790800Y-137668000D01* -X78689200Y-137668000D01* -X78687736Y-137653134D01* -X78683400Y-137638840D01* -X78676358Y-137625666D01* -X78666882Y-137614118D01* -X78655334Y-137604642D01* -X78642160Y-137597600D01* -X78627866Y-137593264D01* -X78613000Y-137591800D01* -X78232000Y-137591800D01* -X78217134Y-137593264D01* -X78202840Y-137597600D01* -X78189666Y-137604642D01* -X78178118Y-137614118D01* -X78168642Y-137625666D01* -X78161600Y-137638840D01* -X78157264Y-137653134D01* -X78155800Y-137668000D01* -X76784200Y-137668000D01* -X76782736Y-137653134D01* -X76778400Y-137638840D01* -X76771358Y-137625666D01* -X76761882Y-137614118D01* -X76750334Y-137604642D01* -X76737160Y-137597600D01* -X76722866Y-137593264D01* -X76708000Y-137591800D01* -X76327000Y-137591800D01* -X76312134Y-137593264D01* -X76297840Y-137597600D01* -X76284666Y-137604642D01* -X76273118Y-137614118D01* -X76263642Y-137625666D01* -X76256600Y-137638840D01* -X76252264Y-137653134D01* -X76250800Y-137668000D01* -X76149200Y-137668000D01* -X76147736Y-137653134D01* -X76143400Y-137638840D01* -X76136358Y-137625666D01* -X76126882Y-137614118D01* -X76115334Y-137604642D01* -X76102160Y-137597600D01* -X76087866Y-137593264D01* -X76073000Y-137591800D01* -X75692000Y-137591800D01* -X75677134Y-137593264D01* -X75662840Y-137597600D01* -X75649666Y-137604642D01* -X75638118Y-137614118D01* -X75628642Y-137625666D01* -X75621600Y-137638840D01* -X75617264Y-137653134D01* -X75615800Y-137668000D01* -X74498200Y-137668000D01* -X74498200Y-137033000D01* -X75615800Y-137033000D01* -X75615800Y-137414000D01* -X75617264Y-137428866D01* -X75621600Y-137443160D01* -X75628642Y-137456334D01* -X75638118Y-137467882D01* -X75649666Y-137477358D01* -X75662840Y-137484400D01* -X75677134Y-137488736D01* -X75692000Y-137490200D01* -X76073000Y-137490200D01* -X76087866Y-137488736D01* -X76102160Y-137484400D01* -X76115334Y-137477358D01* -X76126882Y-137467882D01* -X76136358Y-137456334D01* -X76143400Y-137443160D01* -X76147736Y-137428866D01* -X76149200Y-137414000D01* -X76149200Y-137033000D01* -X76250800Y-137033000D01* -X76250800Y-137414000D01* -X76252264Y-137428866D01* -X76256600Y-137443160D01* -X76263642Y-137456334D01* -X76273118Y-137467882D01* -X76284666Y-137477358D01* -X76297840Y-137484400D01* -X76312134Y-137488736D01* -X76327000Y-137490200D01* -X76708000Y-137490200D01* -X76722866Y-137488736D01* -X76737160Y-137484400D01* -X76750334Y-137477358D01* -X76761882Y-137467882D01* -X76771358Y-137456334D01* -X76778400Y-137443160D01* -X76782736Y-137428866D01* -X76784200Y-137414000D01* -X76784200Y-137033000D01* -X78155800Y-137033000D01* -X78155800Y-137414000D01* -X78157264Y-137428866D01* -X78161600Y-137443160D01* -X78168642Y-137456334D01* -X78178118Y-137467882D01* -X78189666Y-137477358D01* -X78202840Y-137484400D01* -X78217134Y-137488736D01* -X78232000Y-137490200D01* -X78613000Y-137490200D01* -X78627866Y-137488736D01* -X78642160Y-137484400D01* -X78655334Y-137477358D01* -X78666882Y-137467882D01* -X78676358Y-137456334D01* -X78683400Y-137443160D01* -X78687736Y-137428866D01* -X78689200Y-137414000D01* -X78689200Y-137033000D01* -X78790800Y-137033000D01* -X78790800Y-137414000D01* -X78792264Y-137428866D01* -X78796600Y-137443160D01* -X78803642Y-137456334D01* -X78813118Y-137467882D01* -X78824666Y-137477358D01* -X78837840Y-137484400D01* -X78852134Y-137488736D01* -X78867000Y-137490200D01* -X79248000Y-137490200D01* -X79262866Y-137488736D01* -X79277160Y-137484400D01* -X79290334Y-137477358D01* -X79301882Y-137467882D01* -X79311358Y-137456334D01* -X79318400Y-137443160D01* -X79322736Y-137428866D01* -X79324200Y-137414000D01* -X79324200Y-137033000D01* -X80695800Y-137033000D01* -X80695800Y-137414000D01* -X80697264Y-137428866D01* -X80701600Y-137443160D01* -X80708642Y-137456334D01* -X80718118Y-137467882D01* -X80729666Y-137477358D01* -X80742840Y-137484400D01* -X80757134Y-137488736D01* -X80772000Y-137490200D01* -X81153000Y-137490200D01* -X81167866Y-137488736D01* -X81182160Y-137484400D01* -X81195334Y-137477358D01* -X81206882Y-137467882D01* -X81216358Y-137456334D01* -X81223400Y-137443160D01* -X81227736Y-137428866D01* -X81229200Y-137414000D01* -X81229200Y-137033000D01* -X81330800Y-137033000D01* -X81330800Y-137414000D01* -X81332264Y-137428866D01* -X81336600Y-137443160D01* -X81343642Y-137456334D01* -X81353118Y-137467882D01* -X81364666Y-137477358D01* -X81377840Y-137484400D01* -X81392134Y-137488736D01* -X81407000Y-137490200D01* -X81788000Y-137490200D01* -X81802866Y-137488736D01* -X81817160Y-137484400D01* -X81830334Y-137477358D01* -X81841882Y-137467882D01* -X81851358Y-137456334D01* -X81858400Y-137443160D01* -X81862736Y-137428866D01* -X81864200Y-137414000D01* -X81864200Y-137033000D01* -X83235800Y-137033000D01* -X83235800Y-137414000D01* -X83237264Y-137428866D01* -X83241600Y-137443160D01* -X83248642Y-137456334D01* -X83258118Y-137467882D01* -X83269666Y-137477358D01* -X83282840Y-137484400D01* -X83297134Y-137488736D01* -X83312000Y-137490200D01* -X83693000Y-137490200D01* -X83707866Y-137488736D01* -X83722160Y-137484400D01* -X83735334Y-137477358D01* -X83746882Y-137467882D01* -X83756358Y-137456334D01* -X83763400Y-137443160D01* -X83767736Y-137428866D01* -X83769200Y-137414000D01* -X83769200Y-137033000D01* -X83870800Y-137033000D01* -X83870800Y-137414000D01* -X83872264Y-137428866D01* -X83876600Y-137443160D01* -X83883642Y-137456334D01* -X83893118Y-137467882D01* -X83904666Y-137477358D01* -X83917840Y-137484400D01* -X83932134Y-137488736D01* -X83947000Y-137490200D01* -X84328000Y-137490200D01* -X84342866Y-137488736D01* -X84357160Y-137484400D01* -X84370334Y-137477358D01* -X84381882Y-137467882D01* -X84391358Y-137456334D01* -X84398400Y-137443160D01* -X84402736Y-137428866D01* -X84404200Y-137414000D01* -X84404200Y-137033000D01* -X85775800Y-137033000D01* -X85775800Y-137414000D01* -X85777264Y-137428866D01* -X85781600Y-137443160D01* -X85788642Y-137456334D01* -X85798118Y-137467882D01* -X85809666Y-137477358D01* -X85822840Y-137484400D01* -X85837134Y-137488736D01* -X85852000Y-137490200D01* -X86233000Y-137490200D01* -X86247866Y-137488736D01* -X86262160Y-137484400D01* -X86275334Y-137477358D01* -X86286882Y-137467882D01* -X86296358Y-137456334D01* -X86303400Y-137443160D01* -X86307736Y-137428866D01* -X86309200Y-137414000D01* -X86309200Y-137033000D01* -X86410800Y-137033000D01* -X86410800Y-137414000D01* -X86412264Y-137428866D01* -X86416600Y-137443160D01* -X86423642Y-137456334D01* -X86433118Y-137467882D01* -X86444666Y-137477358D01* -X86457840Y-137484400D01* -X86472134Y-137488736D01* -X86487000Y-137490200D01* -X86868000Y-137490200D01* -X86882866Y-137488736D01* -X86897160Y-137484400D01* -X86910334Y-137477358D01* -X86921882Y-137467882D01* -X86931358Y-137456334D01* -X86938400Y-137443160D01* -X86942736Y-137428866D01* -X86944200Y-137414000D01* -X86944200Y-137033000D01* -X88315800Y-137033000D01* -X88315800Y-137414000D01* -X88317264Y-137428866D01* -X88321600Y-137443160D01* -X88328642Y-137456334D01* -X88338118Y-137467882D01* -X88349666Y-137477358D01* -X88362840Y-137484400D01* -X88377134Y-137488736D01* -X88392000Y-137490200D01* -X88773000Y-137490200D01* -X88787866Y-137488736D01* -X88802160Y-137484400D01* -X88815334Y-137477358D01* -X88826882Y-137467882D01* -X88836358Y-137456334D01* -X88843400Y-137443160D01* -X88847736Y-137428866D01* -X88849200Y-137414000D01* -X88849200Y-137033000D01* -X88950800Y-137033000D01* -X88950800Y-137414000D01* -X88952264Y-137428866D01* -X88956600Y-137443160D01* -X88963642Y-137456334D01* -X88973118Y-137467882D01* -X88984666Y-137477358D01* -X88997840Y-137484400D01* -X89012134Y-137488736D01* -X89027000Y-137490200D01* -X89408000Y-137490200D01* -X89422866Y-137488736D01* -X89437160Y-137484400D01* -X89450334Y-137477358D01* -X89461882Y-137467882D01* -X89471358Y-137456334D01* -X89478400Y-137443160D01* -X89482736Y-137428866D01* -X89484200Y-137414000D01* -X89484200Y-137033000D01* -X90855800Y-137033000D01* -X90855800Y-137414000D01* -X90857264Y-137428866D01* -X90861600Y-137443160D01* -X90868642Y-137456334D01* -X90878118Y-137467882D01* -X90889666Y-137477358D01* -X90902840Y-137484400D01* -X90917134Y-137488736D01* -X90932000Y-137490200D01* -X91313000Y-137490200D01* -X91327866Y-137488736D01* -X91342160Y-137484400D01* -X91355334Y-137477358D01* -X91366882Y-137467882D01* -X91376358Y-137456334D01* -X91383400Y-137443160D01* -X91387736Y-137428866D01* -X91389200Y-137414000D01* -X91389200Y-137033000D01* -X91490800Y-137033000D01* -X91490800Y-137414000D01* -X91492264Y-137428866D01* -X91496600Y-137443160D01* -X91503642Y-137456334D01* -X91513118Y-137467882D01* -X91524666Y-137477358D01* -X91537840Y-137484400D01* -X91552134Y-137488736D01* -X91567000Y-137490200D01* -X91948000Y-137490200D01* -X91962866Y-137488736D01* -X91977160Y-137484400D01* -X91990334Y-137477358D01* -X92001882Y-137467882D01* -X92011358Y-137456334D01* -X92018400Y-137443160D01* -X92022736Y-137428866D01* -X92024200Y-137414000D01* -X92024200Y-137033000D01* -X93395800Y-137033000D01* -X93395800Y-137414000D01* -X93397264Y-137428866D01* -X93401600Y-137443160D01* -X93408642Y-137456334D01* -X93418118Y-137467882D01* -X93429666Y-137477358D01* -X93442840Y-137484400D01* -X93457134Y-137488736D01* -X93472000Y-137490200D01* -X93853000Y-137490200D01* -X93867866Y-137488736D01* -X93882160Y-137484400D01* -X93895334Y-137477358D01* -X93906882Y-137467882D01* -X93916358Y-137456334D01* -X93923400Y-137443160D01* -X93927736Y-137428866D01* -X93929200Y-137414000D01* -X93929200Y-137033000D01* -X94030800Y-137033000D01* -X94030800Y-137414000D01* -X94032264Y-137428866D01* -X94036600Y-137443160D01* -X94043642Y-137456334D01* -X94053118Y-137467882D01* -X94064666Y-137477358D01* -X94077840Y-137484400D01* -X94092134Y-137488736D01* -X94107000Y-137490200D01* -X94488000Y-137490200D01* -X94502866Y-137488736D01* -X94517160Y-137484400D01* -X94530334Y-137477358D01* -X94541882Y-137467882D01* -X94551358Y-137456334D01* -X94558400Y-137443160D01* -X94562736Y-137428866D01* -X94564200Y-137414000D01* -X94564200Y-137033000D01* -X95935800Y-137033000D01* -X95935800Y-137414000D01* -X95937264Y-137428866D01* -X95941600Y-137443160D01* -X95948642Y-137456334D01* -X95958118Y-137467882D01* -X95969666Y-137477358D01* -X95982840Y-137484400D01* -X95997134Y-137488736D01* -X96012000Y-137490200D01* -X96393000Y-137490200D01* -X96407866Y-137488736D01* -X96422160Y-137484400D01* -X96435334Y-137477358D01* -X96446882Y-137467882D01* -X96456358Y-137456334D01* -X96463400Y-137443160D01* -X96467736Y-137428866D01* -X96469200Y-137414000D01* -X96469200Y-137033000D01* -X96570800Y-137033000D01* -X96570800Y-137414000D01* -X96572264Y-137428866D01* -X96576600Y-137443160D01* -X96583642Y-137456334D01* -X96593118Y-137467882D01* -X96604666Y-137477358D01* -X96617840Y-137484400D01* -X96632134Y-137488736D01* -X96647000Y-137490200D01* -X97028000Y-137490200D01* -X97042866Y-137488736D01* -X97057160Y-137484400D01* -X97070334Y-137477358D01* -X97081882Y-137467882D01* -X97091358Y-137456334D01* -X97098400Y-137443160D01* -X97102736Y-137428866D01* -X97104200Y-137414000D01* -X97104200Y-137033000D01* -X98475800Y-137033000D01* -X98475800Y-137414000D01* -X98477264Y-137428866D01* -X98481600Y-137443160D01* -X98488642Y-137456334D01* -X98498118Y-137467882D01* -X98509666Y-137477358D01* -X98522840Y-137484400D01* -X98537134Y-137488736D01* -X98552000Y-137490200D01* -X98933000Y-137490200D01* -X98947866Y-137488736D01* -X98962160Y-137484400D01* -X98975334Y-137477358D01* -X98986882Y-137467882D01* -X98996358Y-137456334D01* -X99003400Y-137443160D01* -X99007736Y-137428866D01* -X99009200Y-137414000D01* -X99009200Y-137033000D01* -X99110800Y-137033000D01* -X99110800Y-137414000D01* -X99112264Y-137428866D01* -X99116600Y-137443160D01* -X99123642Y-137456334D01* -X99133118Y-137467882D01* -X99144666Y-137477358D01* -X99157840Y-137484400D01* -X99172134Y-137488736D01* -X99187000Y-137490200D01* -X99568000Y-137490200D01* -X99582866Y-137488736D01* -X99597160Y-137484400D01* -X99610334Y-137477358D01* -X99621882Y-137467882D01* -X99631358Y-137456334D01* -X99638400Y-137443160D01* -X99642736Y-137428866D01* -X99644200Y-137414000D01* -X99644200Y-137033000D01* -X101015800Y-137033000D01* -X101015800Y-137414000D01* -X101017264Y-137428866D01* -X101021600Y-137443160D01* -X101028642Y-137456334D01* -X101038118Y-137467882D01* -X101049666Y-137477358D01* -X101062840Y-137484400D01* -X101077134Y-137488736D01* -X101092000Y-137490200D01* -X101473000Y-137490200D01* -X101487866Y-137488736D01* -X101502160Y-137484400D01* -X101515334Y-137477358D01* -X101526882Y-137467882D01* -X101536358Y-137456334D01* -X101543400Y-137443160D01* -X101547736Y-137428866D01* -X101549200Y-137414000D01* -X101549200Y-137033000D01* -X101650800Y-137033000D01* -X101650800Y-137414000D01* -X101652264Y-137428866D01* -X101656600Y-137443160D01* -X101663642Y-137456334D01* -X101673118Y-137467882D01* -X101684666Y-137477358D01* -X101697840Y-137484400D01* -X101712134Y-137488736D01* -X101727000Y-137490200D01* -X102108000Y-137490200D01* -X102122866Y-137488736D01* -X102137160Y-137484400D01* -X102150334Y-137477358D01* -X102161882Y-137467882D01* -X102171358Y-137456334D01* -X102178400Y-137443160D01* -X102182736Y-137428866D01* -X102184200Y-137414000D01* -X102184200Y-137033000D01* -X103555800Y-137033000D01* -X103555800Y-137414000D01* -X103557264Y-137428866D01* -X103561600Y-137443160D01* -X103568642Y-137456334D01* -X103578118Y-137467882D01* -X103589666Y-137477358D01* -X103602840Y-137484400D01* -X103617134Y-137488736D01* -X103632000Y-137490200D01* -X104013000Y-137490200D01* -X104027866Y-137488736D01* -X104042160Y-137484400D01* -X104055334Y-137477358D01* -X104066882Y-137467882D01* -X104076358Y-137456334D01* -X104083400Y-137443160D01* -X104087736Y-137428866D01* -X104089200Y-137414000D01* -X104089200Y-137033000D01* -X104190800Y-137033000D01* -X104190800Y-137414000D01* -X104192264Y-137428866D01* -X104196600Y-137443160D01* -X104203642Y-137456334D01* -X104213118Y-137467882D01* -X104224666Y-137477358D01* -X104237840Y-137484400D01* -X104252134Y-137488736D01* -X104267000Y-137490200D01* -X104648000Y-137490200D01* -X104662866Y-137488736D01* -X104677160Y-137484400D01* -X104690334Y-137477358D01* -X104701882Y-137467882D01* -X104711358Y-137456334D01* -X104718400Y-137443160D01* -X104722736Y-137428866D01* -X104724200Y-137414000D01* -X104724200Y-137033000D01* -X106095800Y-137033000D01* -X106095800Y-137414000D01* -X106097264Y-137428866D01* -X106101600Y-137443160D01* -X106108642Y-137456334D01* -X106118118Y-137467882D01* -X106129666Y-137477358D01* -X106142840Y-137484400D01* -X106157134Y-137488736D01* -X106172000Y-137490200D01* -X106553000Y-137490200D01* -X106567866Y-137488736D01* -X106582160Y-137484400D01* -X106595334Y-137477358D01* -X106606882Y-137467882D01* -X106616358Y-137456334D01* -X106623400Y-137443160D01* -X106627736Y-137428866D01* -X106629200Y-137414000D01* -X106629200Y-137033000D01* -X106730800Y-137033000D01* -X106730800Y-137414000D01* -X106732264Y-137428866D01* -X106736600Y-137443160D01* -X106743642Y-137456334D01* -X106753118Y-137467882D01* -X106764666Y-137477358D01* -X106777840Y-137484400D01* -X106792134Y-137488736D01* -X106807000Y-137490200D01* -X107188000Y-137490200D01* -X107202866Y-137488736D01* -X107217160Y-137484400D01* -X107230334Y-137477358D01* -X107241882Y-137467882D01* -X107251358Y-137456334D01* -X107258400Y-137443160D01* -X107262736Y-137428866D01* -X107264200Y-137414000D01* -X107264200Y-137033000D01* -X108635800Y-137033000D01* -X108635800Y-137414000D01* -X108637264Y-137428866D01* -X108641600Y-137443160D01* -X108648642Y-137456334D01* -X108658118Y-137467882D01* -X108669666Y-137477358D01* -X108682840Y-137484400D01* -X108697134Y-137488736D01* -X108712000Y-137490200D01* -X109093000Y-137490200D01* -X109107866Y-137488736D01* -X109122160Y-137484400D01* -X109135334Y-137477358D01* -X109146882Y-137467882D01* -X109156358Y-137456334D01* -X109163400Y-137443160D01* -X109167736Y-137428866D01* -X109169200Y-137414000D01* -X109169200Y-137033000D01* -X109270800Y-137033000D01* -X109270800Y-137414000D01* -X109272264Y-137428866D01* -X109276600Y-137443160D01* -X109283642Y-137456334D01* -X109293118Y-137467882D01* -X109304666Y-137477358D01* -X109317840Y-137484400D01* -X109332134Y-137488736D01* -X109347000Y-137490200D01* -X109728000Y-137490200D01* -X109742866Y-137488736D01* -X109757160Y-137484400D01* -X109770334Y-137477358D01* -X109781882Y-137467882D01* -X109791358Y-137456334D01* -X109798400Y-137443160D01* -X109802736Y-137428866D01* -X109804200Y-137414000D01* -X109804200Y-137033000D01* -X111175800Y-137033000D01* -X111175800Y-137414000D01* -X111177264Y-137428866D01* -X111181600Y-137443160D01* -X111188642Y-137456334D01* -X111198118Y-137467882D01* -X111209666Y-137477358D01* -X111222840Y-137484400D01* -X111237134Y-137488736D01* -X111252000Y-137490200D01* -X111633000Y-137490200D01* -X111647866Y-137488736D01* -X111662160Y-137484400D01* -X111675334Y-137477358D01* -X111686882Y-137467882D01* -X111696358Y-137456334D01* -X111703400Y-137443160D01* -X111707736Y-137428866D01* -X111709200Y-137414000D01* -X111709200Y-137033000D01* -X111810800Y-137033000D01* -X111810800Y-137414000D01* -X111812264Y-137428866D01* -X111816600Y-137443160D01* -X111823642Y-137456334D01* -X111833118Y-137467882D01* -X111844666Y-137477358D01* -X111857840Y-137484400D01* -X111872134Y-137488736D01* -X111887000Y-137490200D01* -X112268000Y-137490200D01* -X112282866Y-137488736D01* -X112297160Y-137484400D01* -X112310334Y-137477358D01* -X112321882Y-137467882D01* -X112331358Y-137456334D01* -X112338400Y-137443160D01* -X112342736Y-137428866D01* -X112344200Y-137414000D01* -X112344200Y-137033000D01* -X113715800Y-137033000D01* -X113715800Y-137414000D01* -X113717264Y-137428866D01* -X113721600Y-137443160D01* -X113728642Y-137456334D01* -X113738118Y-137467882D01* -X113749666Y-137477358D01* -X113762840Y-137484400D01* -X113777134Y-137488736D01* -X113792000Y-137490200D01* -X114173000Y-137490200D01* -X114187866Y-137488736D01* -X114202160Y-137484400D01* -X114215334Y-137477358D01* -X114226882Y-137467882D01* -X114236358Y-137456334D01* -X114243400Y-137443160D01* -X114247736Y-137428866D01* -X114249200Y-137414000D01* -X114249200Y-137033000D01* -X114350800Y-137033000D01* -X114350800Y-137414000D01* -X114352264Y-137428866D01* -X114356600Y-137443160D01* -X114363642Y-137456334D01* -X114373118Y-137467882D01* -X114384666Y-137477358D01* -X114397840Y-137484400D01* -X114412134Y-137488736D01* -X114427000Y-137490200D01* -X114808000Y-137490200D01* -X114822866Y-137488736D01* -X114837160Y-137484400D01* -X114850334Y-137477358D01* -X114861882Y-137467882D01* -X114871358Y-137456334D01* -X114878400Y-137443160D01* -X114882736Y-137428866D01* -X114884200Y-137414000D01* -X114884200Y-137033000D01* -X116255800Y-137033000D01* -X116255800Y-137414000D01* -X116257264Y-137428866D01* -X116261600Y-137443160D01* -X116268642Y-137456334D01* -X116278118Y-137467882D01* -X116289666Y-137477358D01* -X116302840Y-137484400D01* -X116317134Y-137488736D01* -X116332000Y-137490200D01* -X116713000Y-137490200D01* -X116727866Y-137488736D01* -X116742160Y-137484400D01* -X116755334Y-137477358D01* -X116766882Y-137467882D01* -X116776358Y-137456334D01* -X116783400Y-137443160D01* -X116787736Y-137428866D01* -X116789200Y-137414000D01* -X116789200Y-137033000D01* -X116890800Y-137033000D01* -X116890800Y-137414000D01* -X116892264Y-137428866D01* -X116896600Y-137443160D01* -X116903642Y-137456334D01* -X116913118Y-137467882D01* -X116924666Y-137477358D01* -X116937840Y-137484400D01* -X116952134Y-137488736D01* -X116967000Y-137490200D01* -X117348000Y-137490200D01* -X117362866Y-137488736D01* -X117377160Y-137484400D01* -X117390334Y-137477358D01* -X117401882Y-137467882D01* -X117411358Y-137456334D01* -X117418400Y-137443160D01* -X117422736Y-137428866D01* -X117424200Y-137414000D01* -X117424200Y-137033000D01* -X118795800Y-137033000D01* -X118795800Y-137414000D01* -X118797264Y-137428866D01* -X118801600Y-137443160D01* -X118808642Y-137456334D01* -X118818118Y-137467882D01* -X118829666Y-137477358D01* -X118842840Y-137484400D01* -X118857134Y-137488736D01* -X118872000Y-137490200D01* -X119253000Y-137490200D01* -X119267866Y-137488736D01* -X119282160Y-137484400D01* -X119295334Y-137477358D01* -X119306882Y-137467882D01* -X119316358Y-137456334D01* -X119323400Y-137443160D01* -X119327736Y-137428866D01* -X119329200Y-137414000D01* -X119329200Y-137033000D01* -X119430800Y-137033000D01* -X119430800Y-137414000D01* -X119432264Y-137428866D01* -X119436600Y-137443160D01* -X119443642Y-137456334D01* -X119453118Y-137467882D01* -X119464666Y-137477358D01* -X119477840Y-137484400D01* -X119492134Y-137488736D01* -X119507000Y-137490200D01* -X119888000Y-137490200D01* -X119902866Y-137488736D01* -X119917160Y-137484400D01* -X119930334Y-137477358D01* -X119941882Y-137467882D01* -X119951358Y-137456334D01* -X119958400Y-137443160D01* -X119962736Y-137428866D01* -X119964200Y-137414000D01* -X119964200Y-137033000D01* -X121335800Y-137033000D01* -X121335800Y-137414000D01* -X121337264Y-137428866D01* -X121341600Y-137443160D01* -X121348642Y-137456334D01* -X121358118Y-137467882D01* -X121369666Y-137477358D01* -X121382840Y-137484400D01* -X121397134Y-137488736D01* -X121412000Y-137490200D01* -X121793000Y-137490200D01* -X121807866Y-137488736D01* -X121822160Y-137484400D01* -X121835334Y-137477358D01* -X121846882Y-137467882D01* -X121856358Y-137456334D01* -X121863400Y-137443160D01* -X121867736Y-137428866D01* -X121869200Y-137414000D01* -X121869200Y-137033000D01* -X121970800Y-137033000D01* -X121970800Y-137414000D01* -X121972264Y-137428866D01* -X121976600Y-137443160D01* -X121983642Y-137456334D01* -X121993118Y-137467882D01* -X122004666Y-137477358D01* -X122017840Y-137484400D01* -X122032134Y-137488736D01* -X122047000Y-137490200D01* -X122428000Y-137490200D01* -X122442866Y-137488736D01* -X122457160Y-137484400D01* -X122470334Y-137477358D01* -X122481882Y-137467882D01* -X122491358Y-137456334D01* -X122498400Y-137443160D01* -X122502736Y-137428866D01* -X122504200Y-137414000D01* -X122504200Y-137033000D01* -X123875800Y-137033000D01* -X123875800Y-137414000D01* -X123877264Y-137428866D01* -X123881600Y-137443160D01* -X123888642Y-137456334D01* -X123898118Y-137467882D01* -X123909666Y-137477358D01* -X123922840Y-137484400D01* -X123937134Y-137488736D01* -X123952000Y-137490200D01* -X124333000Y-137490200D01* -X124347866Y-137488736D01* -X124362160Y-137484400D01* -X124375334Y-137477358D01* -X124386882Y-137467882D01* -X124396358Y-137456334D01* -X124403400Y-137443160D01* -X124407736Y-137428866D01* -X124409200Y-137414000D01* -X124409200Y-137033000D01* -X124510800Y-137033000D01* -X124510800Y-137414000D01* -X124512264Y-137428866D01* -X124516600Y-137443160D01* -X124523642Y-137456334D01* -X124533118Y-137467882D01* -X124544666Y-137477358D01* -X124557840Y-137484400D01* -X124572134Y-137488736D01* -X124587000Y-137490200D01* -X124968000Y-137490200D01* -X124982866Y-137488736D01* -X124997160Y-137484400D01* -X125010334Y-137477358D01* -X125021882Y-137467882D01* -X125031358Y-137456334D01* -X125038400Y-137443160D01* -X125042736Y-137428866D01* -X125044200Y-137414000D01* -X125044200Y-137033000D01* -X126415800Y-137033000D01* -X126415800Y-137414000D01* -X126417264Y-137428866D01* -X126421600Y-137443160D01* -X126428642Y-137456334D01* -X126438118Y-137467882D01* -X126449666Y-137477358D01* -X126462840Y-137484400D01* -X126477134Y-137488736D01* -X126492000Y-137490200D01* -X126873000Y-137490200D01* -X126887866Y-137488736D01* -X126902160Y-137484400D01* -X126915334Y-137477358D01* -X126926882Y-137467882D01* -X126936358Y-137456334D01* -X126943400Y-137443160D01* -X126947736Y-137428866D01* -X126949200Y-137414000D01* -X126949200Y-137033000D01* -X127050800Y-137033000D01* -X127050800Y-137414000D01* -X127052264Y-137428866D01* -X127056600Y-137443160D01* -X127063642Y-137456334D01* -X127073118Y-137467882D01* -X127084666Y-137477358D01* -X127097840Y-137484400D01* -X127112134Y-137488736D01* -X127127000Y-137490200D01* -X127508000Y-137490200D01* -X127522866Y-137488736D01* -X127537160Y-137484400D01* -X127550334Y-137477358D01* -X127561882Y-137467882D01* -X127571358Y-137456334D01* -X127578400Y-137443160D01* -X127582736Y-137428866D01* -X127584200Y-137414000D01* -X127584200Y-137033000D01* -X128955800Y-137033000D01* -X128955800Y-137414000D01* -X128957264Y-137428866D01* -X128961600Y-137443160D01* -X128968642Y-137456334D01* -X128978118Y-137467882D01* -X128989666Y-137477358D01* -X129002840Y-137484400D01* -X129017134Y-137488736D01* -X129032000Y-137490200D01* -X129413000Y-137490200D01* -X129427866Y-137488736D01* -X129442160Y-137484400D01* -X129455334Y-137477358D01* -X129466882Y-137467882D01* -X129476358Y-137456334D01* -X129483400Y-137443160D01* -X129487736Y-137428866D01* -X129489200Y-137414000D01* -X129489200Y-137033000D01* -X129590800Y-137033000D01* -X129590800Y-137414000D01* -X129592264Y-137428866D01* -X129596600Y-137443160D01* -X129603642Y-137456334D01* -X129613118Y-137467882D01* -X129624666Y-137477358D01* -X129637840Y-137484400D01* -X129652134Y-137488736D01* -X129667000Y-137490200D01* -X130048000Y-137490200D01* -X130062866Y-137488736D01* -X130077160Y-137484400D01* -X130090334Y-137477358D01* -X130101882Y-137467882D01* -X130111358Y-137456334D01* -X130118400Y-137443160D01* -X130122736Y-137428866D01* -X130124200Y-137414000D01* -X130124200Y-137033000D01* -X131495800Y-137033000D01* -X131495800Y-137414000D01* -X131497264Y-137428866D01* -X131501600Y-137443160D01* -X131508642Y-137456334D01* -X131518118Y-137467882D01* -X131529666Y-137477358D01* -X131542840Y-137484400D01* -X131557134Y-137488736D01* -X131572000Y-137490200D01* -X131953000Y-137490200D01* -X131967866Y-137488736D01* -X131982160Y-137484400D01* -X131995334Y-137477358D01* -X132006882Y-137467882D01* -X132016358Y-137456334D01* -X132023400Y-137443160D01* -X132027736Y-137428866D01* -X132029200Y-137414000D01* -X132029200Y-137033000D01* -X132130800Y-137033000D01* -X132130800Y-137414000D01* -X132132264Y-137428866D01* -X132136600Y-137443160D01* -X132143642Y-137456334D01* -X132153118Y-137467882D01* -X132164666Y-137477358D01* -X132177840Y-137484400D01* -X132192134Y-137488736D01* -X132207000Y-137490200D01* -X132588000Y-137490200D01* -X132602866Y-137488736D01* -X132617160Y-137484400D01* -X132630334Y-137477358D01* -X132641882Y-137467882D01* -X132651358Y-137456334D01* -X132658400Y-137443160D01* -X132662736Y-137428866D01* -X132664200Y-137414000D01* -X132664200Y-137033000D01* -X134035800Y-137033000D01* -X134035800Y-137414000D01* -X134037264Y-137428866D01* -X134041600Y-137443160D01* -X134048642Y-137456334D01* -X134058118Y-137467882D01* -X134069666Y-137477358D01* -X134082840Y-137484400D01* -X134097134Y-137488736D01* -X134112000Y-137490200D01* -X134493000Y-137490200D01* -X134507866Y-137488736D01* -X134522160Y-137484400D01* -X134535334Y-137477358D01* -X134546882Y-137467882D01* -X134556358Y-137456334D01* -X134563400Y-137443160D01* -X134567736Y-137428866D01* -X134569200Y-137414000D01* -X134569200Y-137033000D01* -X134670800Y-137033000D01* -X134670800Y-137414000D01* -X134672264Y-137428866D01* -X134676600Y-137443160D01* -X134683642Y-137456334D01* -X134693118Y-137467882D01* -X134704666Y-137477358D01* -X134717840Y-137484400D01* -X134732134Y-137488736D01* -X134747000Y-137490200D01* -X135128000Y-137490200D01* -X135142866Y-137488736D01* -X135157160Y-137484400D01* -X135170334Y-137477358D01* -X135181882Y-137467882D01* -X135191358Y-137456334D01* -X135198400Y-137443160D01* -X135202736Y-137428866D01* -X135204200Y-137414000D01* -X135204200Y-137033000D01* -X135202736Y-137018134D01* -X135198400Y-137003840D01* -X135191358Y-136990666D01* -X135181882Y-136979118D01* -X135170334Y-136969642D01* -X135157160Y-136962600D01* -X135142866Y-136958264D01* -X135128000Y-136956800D01* -X134747000Y-136956800D01* -X134732134Y-136958264D01* -X134717840Y-136962600D01* -X134704666Y-136969642D01* -X134693118Y-136979118D01* -X134683642Y-136990666D01* -X134676600Y-137003840D01* -X134672264Y-137018134D01* -X134670800Y-137033000D01* -X134569200Y-137033000D01* -X134567736Y-137018134D01* -X134563400Y-137003840D01* -X134556358Y-136990666D01* -X134546882Y-136979118D01* -X134535334Y-136969642D01* -X134522160Y-136962600D01* -X134507866Y-136958264D01* -X134493000Y-136956800D01* -X134112000Y-136956800D01* -X134097134Y-136958264D01* -X134082840Y-136962600D01* -X134069666Y-136969642D01* -X134058118Y-136979118D01* -X134048642Y-136990666D01* -X134041600Y-137003840D01* -X134037264Y-137018134D01* -X134035800Y-137033000D01* -X132664200Y-137033000D01* -X132662736Y-137018134D01* -X132658400Y-137003840D01* -X132651358Y-136990666D01* -X132641882Y-136979118D01* -X132630334Y-136969642D01* -X132617160Y-136962600D01* -X132602866Y-136958264D01* -X132588000Y-136956800D01* -X132207000Y-136956800D01* -X132192134Y-136958264D01* -X132177840Y-136962600D01* -X132164666Y-136969642D01* -X132153118Y-136979118D01* -X132143642Y-136990666D01* -X132136600Y-137003840D01* -X132132264Y-137018134D01* -X132130800Y-137033000D01* -X132029200Y-137033000D01* -X132027736Y-137018134D01* -X132023400Y-137003840D01* -X132016358Y-136990666D01* -X132006882Y-136979118D01* -X131995334Y-136969642D01* -X131982160Y-136962600D01* -X131967866Y-136958264D01* -X131953000Y-136956800D01* -X131572000Y-136956800D01* -X131557134Y-136958264D01* -X131542840Y-136962600D01* -X131529666Y-136969642D01* -X131518118Y-136979118D01* -X131508642Y-136990666D01* -X131501600Y-137003840D01* -X131497264Y-137018134D01* -X131495800Y-137033000D01* -X130124200Y-137033000D01* -X130122736Y-137018134D01* -X130118400Y-137003840D01* -X130111358Y-136990666D01* -X130101882Y-136979118D01* -X130090334Y-136969642D01* -X130077160Y-136962600D01* -X130062866Y-136958264D01* -X130048000Y-136956800D01* -X129667000Y-136956800D01* -X129652134Y-136958264D01* -X129637840Y-136962600D01* -X129624666Y-136969642D01* -X129613118Y-136979118D01* -X129603642Y-136990666D01* -X129596600Y-137003840D01* -X129592264Y-137018134D01* -X129590800Y-137033000D01* -X129489200Y-137033000D01* -X129487736Y-137018134D01* -X129483400Y-137003840D01* -X129476358Y-136990666D01* -X129466882Y-136979118D01* -X129455334Y-136969642D01* -X129442160Y-136962600D01* -X129427866Y-136958264D01* -X129413000Y-136956800D01* -X129032000Y-136956800D01* -X129017134Y-136958264D01* -X129002840Y-136962600D01* -X128989666Y-136969642D01* -X128978118Y-136979118D01* -X128968642Y-136990666D01* -X128961600Y-137003840D01* -X128957264Y-137018134D01* -X128955800Y-137033000D01* -X127584200Y-137033000D01* -X127582736Y-137018134D01* -X127578400Y-137003840D01* -X127571358Y-136990666D01* -X127561882Y-136979118D01* -X127550334Y-136969642D01* -X127537160Y-136962600D01* -X127522866Y-136958264D01* -X127508000Y-136956800D01* -X127127000Y-136956800D01* -X127112134Y-136958264D01* -X127097840Y-136962600D01* -X127084666Y-136969642D01* -X127073118Y-136979118D01* -X127063642Y-136990666D01* -X127056600Y-137003840D01* -X127052264Y-137018134D01* -X127050800Y-137033000D01* -X126949200Y-137033000D01* -X126947736Y-137018134D01* -X126943400Y-137003840D01* -X126936358Y-136990666D01* -X126926882Y-136979118D01* -X126915334Y-136969642D01* -X126902160Y-136962600D01* -X126887866Y-136958264D01* -X126873000Y-136956800D01* -X126492000Y-136956800D01* -X126477134Y-136958264D01* -X126462840Y-136962600D01* -X126449666Y-136969642D01* -X126438118Y-136979118D01* -X126428642Y-136990666D01* -X126421600Y-137003840D01* -X126417264Y-137018134D01* -X126415800Y-137033000D01* -X125044200Y-137033000D01* -X125042736Y-137018134D01* -X125038400Y-137003840D01* -X125031358Y-136990666D01* -X125021882Y-136979118D01* -X125010334Y-136969642D01* -X124997160Y-136962600D01* -X124982866Y-136958264D01* -X124968000Y-136956800D01* -X124587000Y-136956800D01* -X124572134Y-136958264D01* -X124557840Y-136962600D01* -X124544666Y-136969642D01* -X124533118Y-136979118D01* -X124523642Y-136990666D01* -X124516600Y-137003840D01* -X124512264Y-137018134D01* -X124510800Y-137033000D01* -X124409200Y-137033000D01* -X124407736Y-137018134D01* -X124403400Y-137003840D01* -X124396358Y-136990666D01* -X124386882Y-136979118D01* -X124375334Y-136969642D01* -X124362160Y-136962600D01* -X124347866Y-136958264D01* -X124333000Y-136956800D01* -X123952000Y-136956800D01* -X123937134Y-136958264D01* -X123922840Y-136962600D01* -X123909666Y-136969642D01* -X123898118Y-136979118D01* -X123888642Y-136990666D01* -X123881600Y-137003840D01* -X123877264Y-137018134D01* -X123875800Y-137033000D01* -X122504200Y-137033000D01* -X122502736Y-137018134D01* -X122498400Y-137003840D01* -X122491358Y-136990666D01* -X122481882Y-136979118D01* -X122470334Y-136969642D01* -X122457160Y-136962600D01* -X122442866Y-136958264D01* -X122428000Y-136956800D01* -X122047000Y-136956800D01* -X122032134Y-136958264D01* -X122017840Y-136962600D01* -X122004666Y-136969642D01* -X121993118Y-136979118D01* -X121983642Y-136990666D01* -X121976600Y-137003840D01* -X121972264Y-137018134D01* -X121970800Y-137033000D01* -X121869200Y-137033000D01* -X121867736Y-137018134D01* -X121863400Y-137003840D01* -X121856358Y-136990666D01* -X121846882Y-136979118D01* -X121835334Y-136969642D01* -X121822160Y-136962600D01* -X121807866Y-136958264D01* -X121793000Y-136956800D01* -X121412000Y-136956800D01* -X121397134Y-136958264D01* -X121382840Y-136962600D01* -X121369666Y-136969642D01* -X121358118Y-136979118D01* -X121348642Y-136990666D01* -X121341600Y-137003840D01* -X121337264Y-137018134D01* -X121335800Y-137033000D01* -X119964200Y-137033000D01* -X119962736Y-137018134D01* -X119958400Y-137003840D01* -X119951358Y-136990666D01* -X119941882Y-136979118D01* -X119930334Y-136969642D01* -X119917160Y-136962600D01* -X119902866Y-136958264D01* -X119888000Y-136956800D01* -X119507000Y-136956800D01* -X119492134Y-136958264D01* -X119477840Y-136962600D01* -X119464666Y-136969642D01* -X119453118Y-136979118D01* -X119443642Y-136990666D01* -X119436600Y-137003840D01* -X119432264Y-137018134D01* -X119430800Y-137033000D01* -X119329200Y-137033000D01* -X119327736Y-137018134D01* -X119323400Y-137003840D01* -X119316358Y-136990666D01* -X119306882Y-136979118D01* -X119295334Y-136969642D01* -X119282160Y-136962600D01* -X119267866Y-136958264D01* -X119253000Y-136956800D01* -X118872000Y-136956800D01* -X118857134Y-136958264D01* -X118842840Y-136962600D01* -X118829666Y-136969642D01* -X118818118Y-136979118D01* -X118808642Y-136990666D01* -X118801600Y-137003840D01* -X118797264Y-137018134D01* -X118795800Y-137033000D01* -X117424200Y-137033000D01* -X117422736Y-137018134D01* -X117418400Y-137003840D01* -X117411358Y-136990666D01* -X117401882Y-136979118D01* -X117390334Y-136969642D01* -X117377160Y-136962600D01* -X117362866Y-136958264D01* -X117348000Y-136956800D01* -X116967000Y-136956800D01* -X116952134Y-136958264D01* -X116937840Y-136962600D01* -X116924666Y-136969642D01* -X116913118Y-136979118D01* -X116903642Y-136990666D01* -X116896600Y-137003840D01* -X116892264Y-137018134D01* -X116890800Y-137033000D01* -X116789200Y-137033000D01* -X116787736Y-137018134D01* -X116783400Y-137003840D01* -X116776358Y-136990666D01* -X116766882Y-136979118D01* -X116755334Y-136969642D01* -X116742160Y-136962600D01* -X116727866Y-136958264D01* -X116713000Y-136956800D01* -X116332000Y-136956800D01* -X116317134Y-136958264D01* -X116302840Y-136962600D01* -X116289666Y-136969642D01* -X116278118Y-136979118D01* -X116268642Y-136990666D01* -X116261600Y-137003840D01* -X116257264Y-137018134D01* -X116255800Y-137033000D01* -X114884200Y-137033000D01* -X114882736Y-137018134D01* -X114878400Y-137003840D01* -X114871358Y-136990666D01* -X114861882Y-136979118D01* -X114850334Y-136969642D01* -X114837160Y-136962600D01* -X114822866Y-136958264D01* -X114808000Y-136956800D01* -X114427000Y-136956800D01* -X114412134Y-136958264D01* -X114397840Y-136962600D01* -X114384666Y-136969642D01* -X114373118Y-136979118D01* -X114363642Y-136990666D01* -X114356600Y-137003840D01* -X114352264Y-137018134D01* -X114350800Y-137033000D01* -X114249200Y-137033000D01* -X114247736Y-137018134D01* -X114243400Y-137003840D01* -X114236358Y-136990666D01* -X114226882Y-136979118D01* -X114215334Y-136969642D01* -X114202160Y-136962600D01* -X114187866Y-136958264D01* -X114173000Y-136956800D01* -X113792000Y-136956800D01* -X113777134Y-136958264D01* -X113762840Y-136962600D01* -X113749666Y-136969642D01* -X113738118Y-136979118D01* -X113728642Y-136990666D01* -X113721600Y-137003840D01* -X113717264Y-137018134D01* -X113715800Y-137033000D01* -X112344200Y-137033000D01* -X112342736Y-137018134D01* -X112338400Y-137003840D01* -X112331358Y-136990666D01* -X112321882Y-136979118D01* -X112310334Y-136969642D01* -X112297160Y-136962600D01* -X112282866Y-136958264D01* -X112268000Y-136956800D01* -X111887000Y-136956800D01* -X111872134Y-136958264D01* -X111857840Y-136962600D01* -X111844666Y-136969642D01* -X111833118Y-136979118D01* -X111823642Y-136990666D01* -X111816600Y-137003840D01* -X111812264Y-137018134D01* -X111810800Y-137033000D01* -X111709200Y-137033000D01* -X111707736Y-137018134D01* -X111703400Y-137003840D01* -X111696358Y-136990666D01* -X111686882Y-136979118D01* -X111675334Y-136969642D01* -X111662160Y-136962600D01* -X111647866Y-136958264D01* -X111633000Y-136956800D01* -X111252000Y-136956800D01* -X111237134Y-136958264D01* -X111222840Y-136962600D01* -X111209666Y-136969642D01* -X111198118Y-136979118D01* -X111188642Y-136990666D01* -X111181600Y-137003840D01* -X111177264Y-137018134D01* -X111175800Y-137033000D01* -X109804200Y-137033000D01* -X109802736Y-137018134D01* -X109798400Y-137003840D01* -X109791358Y-136990666D01* -X109781882Y-136979118D01* -X109770334Y-136969642D01* -X109757160Y-136962600D01* -X109742866Y-136958264D01* -X109728000Y-136956800D01* -X109347000Y-136956800D01* -X109332134Y-136958264D01* -X109317840Y-136962600D01* -X109304666Y-136969642D01* -X109293118Y-136979118D01* -X109283642Y-136990666D01* -X109276600Y-137003840D01* -X109272264Y-137018134D01* -X109270800Y-137033000D01* -X109169200Y-137033000D01* -X109167736Y-137018134D01* -X109163400Y-137003840D01* -X109156358Y-136990666D01* -X109146882Y-136979118D01* -X109135334Y-136969642D01* -X109122160Y-136962600D01* -X109107866Y-136958264D01* -X109093000Y-136956800D01* -X108712000Y-136956800D01* -X108697134Y-136958264D01* -X108682840Y-136962600D01* -X108669666Y-136969642D01* -X108658118Y-136979118D01* -X108648642Y-136990666D01* -X108641600Y-137003840D01* -X108637264Y-137018134D01* -X108635800Y-137033000D01* -X107264200Y-137033000D01* -X107262736Y-137018134D01* -X107258400Y-137003840D01* -X107251358Y-136990666D01* -X107241882Y-136979118D01* -X107230334Y-136969642D01* -X107217160Y-136962600D01* -X107202866Y-136958264D01* -X107188000Y-136956800D01* -X106807000Y-136956800D01* -X106792134Y-136958264D01* -X106777840Y-136962600D01* -X106764666Y-136969642D01* -X106753118Y-136979118D01* -X106743642Y-136990666D01* -X106736600Y-137003840D01* -X106732264Y-137018134D01* -X106730800Y-137033000D01* -X106629200Y-137033000D01* -X106627736Y-137018134D01* -X106623400Y-137003840D01* -X106616358Y-136990666D01* -X106606882Y-136979118D01* -X106595334Y-136969642D01* -X106582160Y-136962600D01* -X106567866Y-136958264D01* -X106553000Y-136956800D01* -X106172000Y-136956800D01* -X106157134Y-136958264D01* -X106142840Y-136962600D01* -X106129666Y-136969642D01* -X106118118Y-136979118D01* -X106108642Y-136990666D01* -X106101600Y-137003840D01* -X106097264Y-137018134D01* -X106095800Y-137033000D01* -X104724200Y-137033000D01* -X104722736Y-137018134D01* -X104718400Y-137003840D01* -X104711358Y-136990666D01* -X104701882Y-136979118D01* -X104690334Y-136969642D01* -X104677160Y-136962600D01* -X104662866Y-136958264D01* -X104648000Y-136956800D01* -X104267000Y-136956800D01* -X104252134Y-136958264D01* -X104237840Y-136962600D01* -X104224666Y-136969642D01* -X104213118Y-136979118D01* -X104203642Y-136990666D01* -X104196600Y-137003840D01* -X104192264Y-137018134D01* -X104190800Y-137033000D01* -X104089200Y-137033000D01* -X104087736Y-137018134D01* -X104083400Y-137003840D01* -X104076358Y-136990666D01* -X104066882Y-136979118D01* -X104055334Y-136969642D01* -X104042160Y-136962600D01* -X104027866Y-136958264D01* -X104013000Y-136956800D01* -X103632000Y-136956800D01* -X103617134Y-136958264D01* -X103602840Y-136962600D01* -X103589666Y-136969642D01* -X103578118Y-136979118D01* -X103568642Y-136990666D01* -X103561600Y-137003840D01* -X103557264Y-137018134D01* -X103555800Y-137033000D01* -X102184200Y-137033000D01* -X102182736Y-137018134D01* -X102178400Y-137003840D01* -X102171358Y-136990666D01* -X102161882Y-136979118D01* -X102150334Y-136969642D01* -X102137160Y-136962600D01* -X102122866Y-136958264D01* -X102108000Y-136956800D01* -X101727000Y-136956800D01* -X101712134Y-136958264D01* -X101697840Y-136962600D01* -X101684666Y-136969642D01* -X101673118Y-136979118D01* -X101663642Y-136990666D01* -X101656600Y-137003840D01* -X101652264Y-137018134D01* -X101650800Y-137033000D01* -X101549200Y-137033000D01* -X101547736Y-137018134D01* -X101543400Y-137003840D01* -X101536358Y-136990666D01* -X101526882Y-136979118D01* -X101515334Y-136969642D01* -X101502160Y-136962600D01* -X101487866Y-136958264D01* -X101473000Y-136956800D01* -X101092000Y-136956800D01* -X101077134Y-136958264D01* -X101062840Y-136962600D01* -X101049666Y-136969642D01* -X101038118Y-136979118D01* -X101028642Y-136990666D01* -X101021600Y-137003840D01* -X101017264Y-137018134D01* -X101015800Y-137033000D01* -X99644200Y-137033000D01* -X99642736Y-137018134D01* -X99638400Y-137003840D01* -X99631358Y-136990666D01* -X99621882Y-136979118D01* -X99610334Y-136969642D01* -X99597160Y-136962600D01* -X99582866Y-136958264D01* -X99568000Y-136956800D01* -X99187000Y-136956800D01* -X99172134Y-136958264D01* -X99157840Y-136962600D01* -X99144666Y-136969642D01* -X99133118Y-136979118D01* -X99123642Y-136990666D01* -X99116600Y-137003840D01* -X99112264Y-137018134D01* -X99110800Y-137033000D01* -X99009200Y-137033000D01* -X99007736Y-137018134D01* -X99003400Y-137003840D01* -X98996358Y-136990666D01* -X98986882Y-136979118D01* -X98975334Y-136969642D01* -X98962160Y-136962600D01* -X98947866Y-136958264D01* -X98933000Y-136956800D01* -X98552000Y-136956800D01* -X98537134Y-136958264D01* -X98522840Y-136962600D01* -X98509666Y-136969642D01* -X98498118Y-136979118D01* -X98488642Y-136990666D01* -X98481600Y-137003840D01* -X98477264Y-137018134D01* -X98475800Y-137033000D01* -X97104200Y-137033000D01* -X97102736Y-137018134D01* -X97098400Y-137003840D01* -X97091358Y-136990666D01* -X97081882Y-136979118D01* -X97070334Y-136969642D01* -X97057160Y-136962600D01* -X97042866Y-136958264D01* -X97028000Y-136956800D01* -X96647000Y-136956800D01* -X96632134Y-136958264D01* -X96617840Y-136962600D01* -X96604666Y-136969642D01* -X96593118Y-136979118D01* -X96583642Y-136990666D01* -X96576600Y-137003840D01* -X96572264Y-137018134D01* -X96570800Y-137033000D01* -X96469200Y-137033000D01* -X96467736Y-137018134D01* -X96463400Y-137003840D01* -X96456358Y-136990666D01* -X96446882Y-136979118D01* -X96435334Y-136969642D01* -X96422160Y-136962600D01* -X96407866Y-136958264D01* -X96393000Y-136956800D01* -X96012000Y-136956800D01* -X95997134Y-136958264D01* -X95982840Y-136962600D01* -X95969666Y-136969642D01* -X95958118Y-136979118D01* -X95948642Y-136990666D01* -X95941600Y-137003840D01* -X95937264Y-137018134D01* -X95935800Y-137033000D01* -X94564200Y-137033000D01* -X94562736Y-137018134D01* -X94558400Y-137003840D01* -X94551358Y-136990666D01* -X94541882Y-136979118D01* -X94530334Y-136969642D01* -X94517160Y-136962600D01* -X94502866Y-136958264D01* -X94488000Y-136956800D01* -X94107000Y-136956800D01* -X94092134Y-136958264D01* -X94077840Y-136962600D01* -X94064666Y-136969642D01* -X94053118Y-136979118D01* -X94043642Y-136990666D01* -X94036600Y-137003840D01* -X94032264Y-137018134D01* -X94030800Y-137033000D01* -X93929200Y-137033000D01* -X93927736Y-137018134D01* -X93923400Y-137003840D01* -X93916358Y-136990666D01* -X93906882Y-136979118D01* -X93895334Y-136969642D01* -X93882160Y-136962600D01* -X93867866Y-136958264D01* -X93853000Y-136956800D01* -X93472000Y-136956800D01* -X93457134Y-136958264D01* -X93442840Y-136962600D01* -X93429666Y-136969642D01* -X93418118Y-136979118D01* -X93408642Y-136990666D01* -X93401600Y-137003840D01* -X93397264Y-137018134D01* -X93395800Y-137033000D01* -X92024200Y-137033000D01* -X92022736Y-137018134D01* -X92018400Y-137003840D01* -X92011358Y-136990666D01* -X92001882Y-136979118D01* -X91990334Y-136969642D01* -X91977160Y-136962600D01* -X91962866Y-136958264D01* -X91948000Y-136956800D01* -X91567000Y-136956800D01* -X91552134Y-136958264D01* -X91537840Y-136962600D01* -X91524666Y-136969642D01* -X91513118Y-136979118D01* -X91503642Y-136990666D01* -X91496600Y-137003840D01* -X91492264Y-137018134D01* -X91490800Y-137033000D01* -X91389200Y-137033000D01* -X91387736Y-137018134D01* -X91383400Y-137003840D01* -X91376358Y-136990666D01* -X91366882Y-136979118D01* -X91355334Y-136969642D01* -X91342160Y-136962600D01* -X91327866Y-136958264D01* -X91313000Y-136956800D01* -X90932000Y-136956800D01* -X90917134Y-136958264D01* -X90902840Y-136962600D01* -X90889666Y-136969642D01* -X90878118Y-136979118D01* -X90868642Y-136990666D01* -X90861600Y-137003840D01* -X90857264Y-137018134D01* -X90855800Y-137033000D01* -X89484200Y-137033000D01* -X89482736Y-137018134D01* -X89478400Y-137003840D01* -X89471358Y-136990666D01* -X89461882Y-136979118D01* -X89450334Y-136969642D01* -X89437160Y-136962600D01* -X89422866Y-136958264D01* -X89408000Y-136956800D01* -X89027000Y-136956800D01* -X89012134Y-136958264D01* -X88997840Y-136962600D01* -X88984666Y-136969642D01* -X88973118Y-136979118D01* -X88963642Y-136990666D01* -X88956600Y-137003840D01* -X88952264Y-137018134D01* -X88950800Y-137033000D01* -X88849200Y-137033000D01* -X88847736Y-137018134D01* -X88843400Y-137003840D01* -X88836358Y-136990666D01* -X88826882Y-136979118D01* -X88815334Y-136969642D01* -X88802160Y-136962600D01* -X88787866Y-136958264D01* -X88773000Y-136956800D01* -X88392000Y-136956800D01* -X88377134Y-136958264D01* -X88362840Y-136962600D01* -X88349666Y-136969642D01* -X88338118Y-136979118D01* -X88328642Y-136990666D01* -X88321600Y-137003840D01* -X88317264Y-137018134D01* -X88315800Y-137033000D01* -X86944200Y-137033000D01* -X86942736Y-137018134D01* -X86938400Y-137003840D01* -X86931358Y-136990666D01* -X86921882Y-136979118D01* -X86910334Y-136969642D01* -X86897160Y-136962600D01* -X86882866Y-136958264D01* -X86868000Y-136956800D01* -X86487000Y-136956800D01* -X86472134Y-136958264D01* -X86457840Y-136962600D01* -X86444666Y-136969642D01* -X86433118Y-136979118D01* -X86423642Y-136990666D01* -X86416600Y-137003840D01* -X86412264Y-137018134D01* -X86410800Y-137033000D01* -X86309200Y-137033000D01* -X86307736Y-137018134D01* -X86303400Y-137003840D01* -X86296358Y-136990666D01* -X86286882Y-136979118D01* -X86275334Y-136969642D01* -X86262160Y-136962600D01* -X86247866Y-136958264D01* -X86233000Y-136956800D01* -X85852000Y-136956800D01* -X85837134Y-136958264D01* -X85822840Y-136962600D01* -X85809666Y-136969642D01* -X85798118Y-136979118D01* -X85788642Y-136990666D01* -X85781600Y-137003840D01* -X85777264Y-137018134D01* -X85775800Y-137033000D01* -X84404200Y-137033000D01* -X84402736Y-137018134D01* -X84398400Y-137003840D01* -X84391358Y-136990666D01* -X84381882Y-136979118D01* -X84370334Y-136969642D01* -X84357160Y-136962600D01* -X84342866Y-136958264D01* -X84328000Y-136956800D01* -X83947000Y-136956800D01* -X83932134Y-136958264D01* -X83917840Y-136962600D01* -X83904666Y-136969642D01* -X83893118Y-136979118D01* -X83883642Y-136990666D01* -X83876600Y-137003840D01* -X83872264Y-137018134D01* -X83870800Y-137033000D01* -X83769200Y-137033000D01* -X83767736Y-137018134D01* -X83763400Y-137003840D01* -X83756358Y-136990666D01* -X83746882Y-136979118D01* -X83735334Y-136969642D01* -X83722160Y-136962600D01* -X83707866Y-136958264D01* -X83693000Y-136956800D01* -X83312000Y-136956800D01* -X83297134Y-136958264D01* -X83282840Y-136962600D01* -X83269666Y-136969642D01* -X83258118Y-136979118D01* -X83248642Y-136990666D01* -X83241600Y-137003840D01* -X83237264Y-137018134D01* -X83235800Y-137033000D01* -X81864200Y-137033000D01* -X81862736Y-137018134D01* -X81858400Y-137003840D01* -X81851358Y-136990666D01* -X81841882Y-136979118D01* -X81830334Y-136969642D01* -X81817160Y-136962600D01* -X81802866Y-136958264D01* -X81788000Y-136956800D01* -X81407000Y-136956800D01* -X81392134Y-136958264D01* -X81377840Y-136962600D01* -X81364666Y-136969642D01* -X81353118Y-136979118D01* -X81343642Y-136990666D01* -X81336600Y-137003840D01* -X81332264Y-137018134D01* -X81330800Y-137033000D01* -X81229200Y-137033000D01* -X81227736Y-137018134D01* -X81223400Y-137003840D01* -X81216358Y-136990666D01* -X81206882Y-136979118D01* -X81195334Y-136969642D01* -X81182160Y-136962600D01* -X81167866Y-136958264D01* -X81153000Y-136956800D01* -X80772000Y-136956800D01* -X80757134Y-136958264D01* -X80742840Y-136962600D01* -X80729666Y-136969642D01* -X80718118Y-136979118D01* -X80708642Y-136990666D01* -X80701600Y-137003840D01* -X80697264Y-137018134D01* -X80695800Y-137033000D01* -X79324200Y-137033000D01* -X79322736Y-137018134D01* -X79318400Y-137003840D01* -X79311358Y-136990666D01* -X79301882Y-136979118D01* -X79290334Y-136969642D01* -X79277160Y-136962600D01* -X79262866Y-136958264D01* -X79248000Y-136956800D01* -X78867000Y-136956800D01* -X78852134Y-136958264D01* -X78837840Y-136962600D01* -X78824666Y-136969642D01* -X78813118Y-136979118D01* -X78803642Y-136990666D01* -X78796600Y-137003840D01* -X78792264Y-137018134D01* -X78790800Y-137033000D01* -X78689200Y-137033000D01* -X78687736Y-137018134D01* -X78683400Y-137003840D01* -X78676358Y-136990666D01* -X78666882Y-136979118D01* -X78655334Y-136969642D01* -X78642160Y-136962600D01* -X78627866Y-136958264D01* -X78613000Y-136956800D01* -X78232000Y-136956800D01* -X78217134Y-136958264D01* -X78202840Y-136962600D01* -X78189666Y-136969642D01* -X78178118Y-136979118D01* -X78168642Y-136990666D01* -X78161600Y-137003840D01* -X78157264Y-137018134D01* -X78155800Y-137033000D01* -X76784200Y-137033000D01* -X76782736Y-137018134D01* -X76778400Y-137003840D01* -X76771358Y-136990666D01* -X76761882Y-136979118D01* -X76750334Y-136969642D01* -X76737160Y-136962600D01* -X76722866Y-136958264D01* -X76708000Y-136956800D01* -X76327000Y-136956800D01* -X76312134Y-136958264D01* -X76297840Y-136962600D01* -X76284666Y-136969642D01* -X76273118Y-136979118D01* -X76263642Y-136990666D01* -X76256600Y-137003840D01* -X76252264Y-137018134D01* -X76250800Y-137033000D01* -X76149200Y-137033000D01* -X76147736Y-137018134D01* -X76143400Y-137003840D01* -X76136358Y-136990666D01* -X76126882Y-136979118D01* -X76115334Y-136969642D01* -X76102160Y-136962600D01* -X76087866Y-136958264D01* -X76073000Y-136956800D01* -X75692000Y-136956800D01* -X75677134Y-136958264D01* -X75662840Y-136962600D01* -X75649666Y-136969642D01* -X75638118Y-136979118D01* -X75628642Y-136990666D01* -X75621600Y-137003840D01* -X75617264Y-137018134D01* -X75615800Y-137033000D01* -X74498200Y-137033000D01* -X74498200Y-136398000D01* -X75615800Y-136398000D01* -X75615800Y-136779000D01* -X75617264Y-136793866D01* -X75621600Y-136808160D01* -X75628642Y-136821334D01* -X75638118Y-136832882D01* -X75649666Y-136842358D01* -X75662840Y-136849400D01* -X75677134Y-136853736D01* -X75692000Y-136855200D01* -X76073000Y-136855200D01* -X76087866Y-136853736D01* -X76102160Y-136849400D01* -X76115334Y-136842358D01* -X76126882Y-136832882D01* -X76136358Y-136821334D01* -X76143400Y-136808160D01* -X76147736Y-136793866D01* -X76149200Y-136779000D01* -X76149200Y-136398000D01* -X76250800Y-136398000D01* -X76250800Y-136779000D01* -X76252264Y-136793866D01* -X76256600Y-136808160D01* -X76263642Y-136821334D01* -X76273118Y-136832882D01* -X76284666Y-136842358D01* -X76297840Y-136849400D01* -X76312134Y-136853736D01* -X76327000Y-136855200D01* -X76708000Y-136855200D01* -X76722866Y-136853736D01* -X76737160Y-136849400D01* -X76750334Y-136842358D01* -X76761882Y-136832882D01* -X76771358Y-136821334D01* -X76778400Y-136808160D01* -X76782736Y-136793866D01* -X76784200Y-136779000D01* -X76784200Y-136398000D01* -X78155800Y-136398000D01* -X78155800Y-136779000D01* -X78157264Y-136793866D01* -X78161600Y-136808160D01* -X78168642Y-136821334D01* -X78178118Y-136832882D01* -X78189666Y-136842358D01* -X78202840Y-136849400D01* -X78217134Y-136853736D01* -X78232000Y-136855200D01* -X78613000Y-136855200D01* -X78627866Y-136853736D01* -X78642160Y-136849400D01* -X78655334Y-136842358D01* -X78666882Y-136832882D01* -X78676358Y-136821334D01* -X78683400Y-136808160D01* -X78687736Y-136793866D01* -X78689200Y-136779000D01* -X78689200Y-136398000D01* -X78790800Y-136398000D01* -X78790800Y-136779000D01* -X78792264Y-136793866D01* -X78796600Y-136808160D01* -X78803642Y-136821334D01* -X78813118Y-136832882D01* -X78824666Y-136842358D01* -X78837840Y-136849400D01* -X78852134Y-136853736D01* -X78867000Y-136855200D01* -X79248000Y-136855200D01* -X79262866Y-136853736D01* -X79277160Y-136849400D01* -X79290334Y-136842358D01* -X79301882Y-136832882D01* -X79311358Y-136821334D01* -X79318400Y-136808160D01* -X79322736Y-136793866D01* -X79324200Y-136779000D01* -X79324200Y-136398000D01* -X80695800Y-136398000D01* -X80695800Y-136779000D01* -X80697264Y-136793866D01* -X80701600Y-136808160D01* -X80708642Y-136821334D01* -X80718118Y-136832882D01* -X80729666Y-136842358D01* -X80742840Y-136849400D01* -X80757134Y-136853736D01* -X80772000Y-136855200D01* -X81153000Y-136855200D01* -X81167866Y-136853736D01* -X81182160Y-136849400D01* -X81195334Y-136842358D01* -X81206882Y-136832882D01* -X81216358Y-136821334D01* -X81223400Y-136808160D01* -X81227736Y-136793866D01* -X81229200Y-136779000D01* -X81229200Y-136398000D01* -X81330800Y-136398000D01* -X81330800Y-136779000D01* -X81332264Y-136793866D01* -X81336600Y-136808160D01* -X81343642Y-136821334D01* -X81353118Y-136832882D01* -X81364666Y-136842358D01* -X81377840Y-136849400D01* -X81392134Y-136853736D01* -X81407000Y-136855200D01* -X81788000Y-136855200D01* -X81802866Y-136853736D01* -X81817160Y-136849400D01* -X81830334Y-136842358D01* -X81841882Y-136832882D01* -X81851358Y-136821334D01* -X81858400Y-136808160D01* -X81862736Y-136793866D01* -X81864200Y-136779000D01* -X81864200Y-136398000D01* -X83235800Y-136398000D01* -X83235800Y-136779000D01* -X83237264Y-136793866D01* -X83241600Y-136808160D01* -X83248642Y-136821334D01* -X83258118Y-136832882D01* -X83269666Y-136842358D01* -X83282840Y-136849400D01* -X83297134Y-136853736D01* -X83312000Y-136855200D01* -X83693000Y-136855200D01* -X83707866Y-136853736D01* -X83722160Y-136849400D01* -X83735334Y-136842358D01* -X83746882Y-136832882D01* -X83756358Y-136821334D01* -X83763400Y-136808160D01* -X83767736Y-136793866D01* -X83769200Y-136779000D01* -X83769200Y-136398000D01* -X83870800Y-136398000D01* -X83870800Y-136779000D01* -X83872264Y-136793866D01* -X83876600Y-136808160D01* -X83883642Y-136821334D01* -X83893118Y-136832882D01* -X83904666Y-136842358D01* -X83917840Y-136849400D01* -X83932134Y-136853736D01* -X83947000Y-136855200D01* -X84328000Y-136855200D01* -X84342866Y-136853736D01* -X84357160Y-136849400D01* -X84370334Y-136842358D01* -X84381882Y-136832882D01* -X84391358Y-136821334D01* -X84398400Y-136808160D01* -X84402736Y-136793866D01* -X84404200Y-136779000D01* -X84404200Y-136398000D01* -X85775800Y-136398000D01* -X85775800Y-136779000D01* -X85777264Y-136793866D01* -X85781600Y-136808160D01* -X85788642Y-136821334D01* -X85798118Y-136832882D01* -X85809666Y-136842358D01* -X85822840Y-136849400D01* -X85837134Y-136853736D01* -X85852000Y-136855200D01* -X86233000Y-136855200D01* -X86247866Y-136853736D01* -X86262160Y-136849400D01* -X86275334Y-136842358D01* -X86286882Y-136832882D01* -X86296358Y-136821334D01* -X86303400Y-136808160D01* -X86307736Y-136793866D01* -X86309200Y-136779000D01* -X86309200Y-136398000D01* -X86410800Y-136398000D01* -X86410800Y-136779000D01* -X86412264Y-136793866D01* -X86416600Y-136808160D01* -X86423642Y-136821334D01* -X86433118Y-136832882D01* -X86444666Y-136842358D01* -X86457840Y-136849400D01* -X86472134Y-136853736D01* -X86487000Y-136855200D01* -X86868000Y-136855200D01* -X86882866Y-136853736D01* -X86897160Y-136849400D01* -X86910334Y-136842358D01* -X86921882Y-136832882D01* -X86931358Y-136821334D01* -X86938400Y-136808160D01* -X86942736Y-136793866D01* -X86944200Y-136779000D01* -X86944200Y-136398000D01* -X88315800Y-136398000D01* -X88315800Y-136779000D01* -X88317264Y-136793866D01* -X88321600Y-136808160D01* -X88328642Y-136821334D01* -X88338118Y-136832882D01* -X88349666Y-136842358D01* -X88362840Y-136849400D01* -X88377134Y-136853736D01* -X88392000Y-136855200D01* -X88773000Y-136855200D01* -X88787866Y-136853736D01* -X88802160Y-136849400D01* -X88815334Y-136842358D01* -X88826882Y-136832882D01* -X88836358Y-136821334D01* -X88843400Y-136808160D01* -X88847736Y-136793866D01* -X88849200Y-136779000D01* -X88849200Y-136398000D01* -X88950800Y-136398000D01* -X88950800Y-136779000D01* -X88952264Y-136793866D01* -X88956600Y-136808160D01* -X88963642Y-136821334D01* -X88973118Y-136832882D01* -X88984666Y-136842358D01* -X88997840Y-136849400D01* -X89012134Y-136853736D01* -X89027000Y-136855200D01* -X89408000Y-136855200D01* -X89422866Y-136853736D01* -X89437160Y-136849400D01* -X89450334Y-136842358D01* -X89461882Y-136832882D01* -X89471358Y-136821334D01* -X89478400Y-136808160D01* -X89482736Y-136793866D01* -X89484200Y-136779000D01* -X89484200Y-136398000D01* -X90855800Y-136398000D01* -X90855800Y-136779000D01* -X90857264Y-136793866D01* -X90861600Y-136808160D01* -X90868642Y-136821334D01* -X90878118Y-136832882D01* -X90889666Y-136842358D01* -X90902840Y-136849400D01* -X90917134Y-136853736D01* -X90932000Y-136855200D01* -X91313000Y-136855200D01* -X91327866Y-136853736D01* -X91342160Y-136849400D01* -X91355334Y-136842358D01* -X91366882Y-136832882D01* -X91376358Y-136821334D01* -X91383400Y-136808160D01* -X91387736Y-136793866D01* -X91389200Y-136779000D01* -X91389200Y-136398000D01* -X91490800Y-136398000D01* -X91490800Y-136779000D01* -X91492264Y-136793866D01* -X91496600Y-136808160D01* -X91503642Y-136821334D01* -X91513118Y-136832882D01* -X91524666Y-136842358D01* -X91537840Y-136849400D01* -X91552134Y-136853736D01* -X91567000Y-136855200D01* -X91948000Y-136855200D01* -X91962866Y-136853736D01* -X91977160Y-136849400D01* -X91990334Y-136842358D01* -X92001882Y-136832882D01* -X92011358Y-136821334D01* -X92018400Y-136808160D01* -X92022736Y-136793866D01* -X92024200Y-136779000D01* -X92024200Y-136398000D01* -X93395800Y-136398000D01* -X93395800Y-136779000D01* -X93397264Y-136793866D01* -X93401600Y-136808160D01* -X93408642Y-136821334D01* -X93418118Y-136832882D01* -X93429666Y-136842358D01* -X93442840Y-136849400D01* -X93457134Y-136853736D01* -X93472000Y-136855200D01* -X93853000Y-136855200D01* -X93867866Y-136853736D01* -X93882160Y-136849400D01* -X93895334Y-136842358D01* -X93906882Y-136832882D01* -X93916358Y-136821334D01* -X93923400Y-136808160D01* -X93927736Y-136793866D01* -X93929200Y-136779000D01* -X93929200Y-136398000D01* -X94030800Y-136398000D01* -X94030800Y-136779000D01* -X94032264Y-136793866D01* -X94036600Y-136808160D01* -X94043642Y-136821334D01* -X94053118Y-136832882D01* -X94064666Y-136842358D01* -X94077840Y-136849400D01* -X94092134Y-136853736D01* -X94107000Y-136855200D01* -X94488000Y-136855200D01* -X94502866Y-136853736D01* -X94517160Y-136849400D01* -X94530334Y-136842358D01* -X94541882Y-136832882D01* -X94551358Y-136821334D01* -X94558400Y-136808160D01* -X94562736Y-136793866D01* -X94564200Y-136779000D01* -X94564200Y-136398000D01* -X95935800Y-136398000D01* -X95935800Y-136779000D01* -X95937264Y-136793866D01* -X95941600Y-136808160D01* -X95948642Y-136821334D01* -X95958118Y-136832882D01* -X95969666Y-136842358D01* -X95982840Y-136849400D01* -X95997134Y-136853736D01* -X96012000Y-136855200D01* -X96393000Y-136855200D01* -X96407866Y-136853736D01* -X96422160Y-136849400D01* -X96435334Y-136842358D01* -X96446882Y-136832882D01* -X96456358Y-136821334D01* -X96463400Y-136808160D01* -X96467736Y-136793866D01* -X96469200Y-136779000D01* -X96469200Y-136398000D01* -X96570800Y-136398000D01* -X96570800Y-136779000D01* -X96572264Y-136793866D01* -X96576600Y-136808160D01* -X96583642Y-136821334D01* -X96593118Y-136832882D01* -X96604666Y-136842358D01* -X96617840Y-136849400D01* -X96632134Y-136853736D01* -X96647000Y-136855200D01* -X97028000Y-136855200D01* -X97042866Y-136853736D01* -X97057160Y-136849400D01* -X97070334Y-136842358D01* -X97081882Y-136832882D01* -X97091358Y-136821334D01* -X97098400Y-136808160D01* -X97102736Y-136793866D01* -X97104200Y-136779000D01* -X97104200Y-136398000D01* -X98475800Y-136398000D01* -X98475800Y-136779000D01* -X98477264Y-136793866D01* -X98481600Y-136808160D01* -X98488642Y-136821334D01* -X98498118Y-136832882D01* -X98509666Y-136842358D01* -X98522840Y-136849400D01* -X98537134Y-136853736D01* -X98552000Y-136855200D01* -X98933000Y-136855200D01* -X98947866Y-136853736D01* -X98962160Y-136849400D01* -X98975334Y-136842358D01* -X98986882Y-136832882D01* -X98996358Y-136821334D01* -X99003400Y-136808160D01* -X99007736Y-136793866D01* -X99009200Y-136779000D01* -X99009200Y-136398000D01* -X99110800Y-136398000D01* -X99110800Y-136779000D01* -X99112264Y-136793866D01* -X99116600Y-136808160D01* -X99123642Y-136821334D01* -X99133118Y-136832882D01* -X99144666Y-136842358D01* -X99157840Y-136849400D01* -X99172134Y-136853736D01* -X99187000Y-136855200D01* -X99568000Y-136855200D01* -X99582866Y-136853736D01* -X99597160Y-136849400D01* -X99610334Y-136842358D01* -X99621882Y-136832882D01* -X99631358Y-136821334D01* -X99638400Y-136808160D01* -X99642736Y-136793866D01* -X99644200Y-136779000D01* -X99644200Y-136398000D01* -X101015800Y-136398000D01* -X101015800Y-136779000D01* -X101017264Y-136793866D01* -X101021600Y-136808160D01* -X101028642Y-136821334D01* -X101038118Y-136832882D01* -X101049666Y-136842358D01* -X101062840Y-136849400D01* -X101077134Y-136853736D01* -X101092000Y-136855200D01* -X101473000Y-136855200D01* -X101487866Y-136853736D01* -X101502160Y-136849400D01* -X101515334Y-136842358D01* -X101526882Y-136832882D01* -X101536358Y-136821334D01* -X101543400Y-136808160D01* -X101547736Y-136793866D01* -X101549200Y-136779000D01* -X101549200Y-136398000D01* -X101650800Y-136398000D01* -X101650800Y-136779000D01* -X101652264Y-136793866D01* -X101656600Y-136808160D01* -X101663642Y-136821334D01* -X101673118Y-136832882D01* -X101684666Y-136842358D01* -X101697840Y-136849400D01* -X101712134Y-136853736D01* -X101727000Y-136855200D01* -X102108000Y-136855200D01* -X102122866Y-136853736D01* -X102137160Y-136849400D01* -X102150334Y-136842358D01* -X102161882Y-136832882D01* -X102171358Y-136821334D01* -X102178400Y-136808160D01* -X102182736Y-136793866D01* -X102184200Y-136779000D01* -X102184200Y-136398000D01* -X103555800Y-136398000D01* -X103555800Y-136779000D01* -X103557264Y-136793866D01* -X103561600Y-136808160D01* -X103568642Y-136821334D01* -X103578118Y-136832882D01* -X103589666Y-136842358D01* -X103602840Y-136849400D01* -X103617134Y-136853736D01* -X103632000Y-136855200D01* -X104013000Y-136855200D01* -X104027866Y-136853736D01* -X104042160Y-136849400D01* -X104055334Y-136842358D01* -X104066882Y-136832882D01* -X104076358Y-136821334D01* -X104083400Y-136808160D01* -X104087736Y-136793866D01* -X104089200Y-136779000D01* -X104089200Y-136398000D01* -X104190800Y-136398000D01* -X104190800Y-136779000D01* -X104192264Y-136793866D01* -X104196600Y-136808160D01* -X104203642Y-136821334D01* -X104213118Y-136832882D01* -X104224666Y-136842358D01* -X104237840Y-136849400D01* -X104252134Y-136853736D01* -X104267000Y-136855200D01* -X104648000Y-136855200D01* -X104662866Y-136853736D01* -X104677160Y-136849400D01* -X104690334Y-136842358D01* -X104701882Y-136832882D01* -X104711358Y-136821334D01* -X104718400Y-136808160D01* -X104722736Y-136793866D01* -X104724200Y-136779000D01* -X104724200Y-136398000D01* -X106095800Y-136398000D01* -X106095800Y-136779000D01* -X106097264Y-136793866D01* -X106101600Y-136808160D01* -X106108642Y-136821334D01* -X106118118Y-136832882D01* -X106129666Y-136842358D01* -X106142840Y-136849400D01* -X106157134Y-136853736D01* -X106172000Y-136855200D01* -X106553000Y-136855200D01* -X106567866Y-136853736D01* -X106582160Y-136849400D01* -X106595334Y-136842358D01* -X106606882Y-136832882D01* -X106616358Y-136821334D01* -X106623400Y-136808160D01* -X106627736Y-136793866D01* -X106629200Y-136779000D01* -X106629200Y-136398000D01* -X106730800Y-136398000D01* -X106730800Y-136779000D01* -X106732264Y-136793866D01* -X106736600Y-136808160D01* -X106743642Y-136821334D01* -X106753118Y-136832882D01* -X106764666Y-136842358D01* -X106777840Y-136849400D01* -X106792134Y-136853736D01* -X106807000Y-136855200D01* -X107188000Y-136855200D01* -X107202866Y-136853736D01* -X107217160Y-136849400D01* -X107230334Y-136842358D01* -X107241882Y-136832882D01* -X107251358Y-136821334D01* -X107258400Y-136808160D01* -X107262736Y-136793866D01* -X107264200Y-136779000D01* -X107264200Y-136398000D01* -X108635800Y-136398000D01* -X108635800Y-136779000D01* -X108637264Y-136793866D01* -X108641600Y-136808160D01* -X108648642Y-136821334D01* -X108658118Y-136832882D01* -X108669666Y-136842358D01* -X108682840Y-136849400D01* -X108697134Y-136853736D01* -X108712000Y-136855200D01* -X109093000Y-136855200D01* -X109107866Y-136853736D01* -X109122160Y-136849400D01* -X109135334Y-136842358D01* -X109146882Y-136832882D01* -X109156358Y-136821334D01* -X109163400Y-136808160D01* -X109167736Y-136793866D01* -X109169200Y-136779000D01* -X109169200Y-136398000D01* -X109270800Y-136398000D01* -X109270800Y-136779000D01* -X109272264Y-136793866D01* -X109276600Y-136808160D01* -X109283642Y-136821334D01* -X109293118Y-136832882D01* -X109304666Y-136842358D01* -X109317840Y-136849400D01* -X109332134Y-136853736D01* -X109347000Y-136855200D01* -X109728000Y-136855200D01* -X109742866Y-136853736D01* -X109757160Y-136849400D01* -X109770334Y-136842358D01* -X109781882Y-136832882D01* -X109791358Y-136821334D01* -X109798400Y-136808160D01* -X109802736Y-136793866D01* -X109804200Y-136779000D01* -X109804200Y-136398000D01* -X111175800Y-136398000D01* -X111175800Y-136779000D01* -X111177264Y-136793866D01* -X111181600Y-136808160D01* -X111188642Y-136821334D01* -X111198118Y-136832882D01* -X111209666Y-136842358D01* -X111222840Y-136849400D01* -X111237134Y-136853736D01* -X111252000Y-136855200D01* -X111633000Y-136855200D01* -X111647866Y-136853736D01* -X111662160Y-136849400D01* -X111675334Y-136842358D01* -X111686882Y-136832882D01* -X111696358Y-136821334D01* -X111703400Y-136808160D01* -X111707736Y-136793866D01* -X111709200Y-136779000D01* -X111709200Y-136398000D01* -X111810800Y-136398000D01* -X111810800Y-136779000D01* -X111812264Y-136793866D01* -X111816600Y-136808160D01* -X111823642Y-136821334D01* -X111833118Y-136832882D01* -X111844666Y-136842358D01* -X111857840Y-136849400D01* -X111872134Y-136853736D01* -X111887000Y-136855200D01* -X112268000Y-136855200D01* -X112282866Y-136853736D01* -X112297160Y-136849400D01* -X112310334Y-136842358D01* -X112321882Y-136832882D01* -X112331358Y-136821334D01* -X112338400Y-136808160D01* -X112342736Y-136793866D01* -X112344200Y-136779000D01* -X112344200Y-136398000D01* -X113715800Y-136398000D01* -X113715800Y-136779000D01* -X113717264Y-136793866D01* -X113721600Y-136808160D01* -X113728642Y-136821334D01* -X113738118Y-136832882D01* -X113749666Y-136842358D01* -X113762840Y-136849400D01* -X113777134Y-136853736D01* -X113792000Y-136855200D01* -X114173000Y-136855200D01* -X114187866Y-136853736D01* -X114202160Y-136849400D01* -X114215334Y-136842358D01* -X114226882Y-136832882D01* -X114236358Y-136821334D01* -X114243400Y-136808160D01* -X114247736Y-136793866D01* -X114249200Y-136779000D01* -X114249200Y-136398000D01* -X114350800Y-136398000D01* -X114350800Y-136779000D01* -X114352264Y-136793866D01* -X114356600Y-136808160D01* -X114363642Y-136821334D01* -X114373118Y-136832882D01* -X114384666Y-136842358D01* -X114397840Y-136849400D01* -X114412134Y-136853736D01* -X114427000Y-136855200D01* -X114808000Y-136855200D01* -X114822866Y-136853736D01* -X114837160Y-136849400D01* -X114850334Y-136842358D01* -X114861882Y-136832882D01* -X114871358Y-136821334D01* -X114878400Y-136808160D01* -X114882736Y-136793866D01* -X114884200Y-136779000D01* -X114884200Y-136398000D01* -X116255800Y-136398000D01* -X116255800Y-136779000D01* -X116257264Y-136793866D01* -X116261600Y-136808160D01* -X116268642Y-136821334D01* -X116278118Y-136832882D01* -X116289666Y-136842358D01* -X116302840Y-136849400D01* -X116317134Y-136853736D01* -X116332000Y-136855200D01* -X116713000Y-136855200D01* -X116727866Y-136853736D01* -X116742160Y-136849400D01* -X116755334Y-136842358D01* -X116766882Y-136832882D01* -X116776358Y-136821334D01* -X116783400Y-136808160D01* -X116787736Y-136793866D01* -X116789200Y-136779000D01* -X116789200Y-136398000D01* -X116890800Y-136398000D01* -X116890800Y-136779000D01* -X116892264Y-136793866D01* -X116896600Y-136808160D01* -X116903642Y-136821334D01* -X116913118Y-136832882D01* -X116924666Y-136842358D01* -X116937840Y-136849400D01* -X116952134Y-136853736D01* -X116967000Y-136855200D01* -X117348000Y-136855200D01* -X117362866Y-136853736D01* -X117377160Y-136849400D01* -X117390334Y-136842358D01* -X117401882Y-136832882D01* -X117411358Y-136821334D01* -X117418400Y-136808160D01* -X117422736Y-136793866D01* -X117424200Y-136779000D01* -X117424200Y-136398000D01* -X118795800Y-136398000D01* -X118795800Y-136779000D01* -X118797264Y-136793866D01* -X118801600Y-136808160D01* -X118808642Y-136821334D01* -X118818118Y-136832882D01* -X118829666Y-136842358D01* -X118842840Y-136849400D01* -X118857134Y-136853736D01* -X118872000Y-136855200D01* -X119253000Y-136855200D01* -X119267866Y-136853736D01* -X119282160Y-136849400D01* -X119295334Y-136842358D01* -X119306882Y-136832882D01* -X119316358Y-136821334D01* -X119323400Y-136808160D01* -X119327736Y-136793866D01* -X119329200Y-136779000D01* -X119329200Y-136398000D01* -X119430800Y-136398000D01* -X119430800Y-136779000D01* -X119432264Y-136793866D01* -X119436600Y-136808160D01* -X119443642Y-136821334D01* -X119453118Y-136832882D01* -X119464666Y-136842358D01* -X119477840Y-136849400D01* -X119492134Y-136853736D01* -X119507000Y-136855200D01* -X119888000Y-136855200D01* -X119902866Y-136853736D01* -X119917160Y-136849400D01* -X119930334Y-136842358D01* -X119941882Y-136832882D01* -X119951358Y-136821334D01* -X119958400Y-136808160D01* -X119962736Y-136793866D01* -X119964200Y-136779000D01* -X119964200Y-136398000D01* -X121335800Y-136398000D01* -X121335800Y-136779000D01* -X121337264Y-136793866D01* -X121341600Y-136808160D01* -X121348642Y-136821334D01* -X121358118Y-136832882D01* -X121369666Y-136842358D01* -X121382840Y-136849400D01* -X121397134Y-136853736D01* -X121412000Y-136855200D01* -X121793000Y-136855200D01* -X121807866Y-136853736D01* -X121822160Y-136849400D01* -X121835334Y-136842358D01* -X121846882Y-136832882D01* -X121856358Y-136821334D01* -X121863400Y-136808160D01* -X121867736Y-136793866D01* -X121869200Y-136779000D01* -X121869200Y-136398000D01* -X121970800Y-136398000D01* -X121970800Y-136779000D01* -X121972264Y-136793866D01* -X121976600Y-136808160D01* -X121983642Y-136821334D01* -X121993118Y-136832882D01* -X122004666Y-136842358D01* -X122017840Y-136849400D01* -X122032134Y-136853736D01* -X122047000Y-136855200D01* -X122428000Y-136855200D01* -X122442866Y-136853736D01* -X122457160Y-136849400D01* -X122470334Y-136842358D01* -X122481882Y-136832882D01* -X122491358Y-136821334D01* -X122498400Y-136808160D01* -X122502736Y-136793866D01* -X122504200Y-136779000D01* -X122504200Y-136398000D01* -X123875800Y-136398000D01* -X123875800Y-136779000D01* -X123877264Y-136793866D01* -X123881600Y-136808160D01* -X123888642Y-136821334D01* -X123898118Y-136832882D01* -X123909666Y-136842358D01* -X123922840Y-136849400D01* -X123937134Y-136853736D01* -X123952000Y-136855200D01* -X124333000Y-136855200D01* -X124347866Y-136853736D01* -X124362160Y-136849400D01* -X124375334Y-136842358D01* -X124386882Y-136832882D01* -X124396358Y-136821334D01* -X124403400Y-136808160D01* -X124407736Y-136793866D01* -X124409200Y-136779000D01* -X124409200Y-136398000D01* -X124510800Y-136398000D01* -X124510800Y-136779000D01* -X124512264Y-136793866D01* -X124516600Y-136808160D01* -X124523642Y-136821334D01* -X124533118Y-136832882D01* -X124544666Y-136842358D01* -X124557840Y-136849400D01* -X124572134Y-136853736D01* -X124587000Y-136855200D01* -X124968000Y-136855200D01* -X124982866Y-136853736D01* -X124997160Y-136849400D01* -X125010334Y-136842358D01* -X125021882Y-136832882D01* -X125031358Y-136821334D01* -X125038400Y-136808160D01* -X125042736Y-136793866D01* -X125044200Y-136779000D01* -X125044200Y-136398000D01* -X126415800Y-136398000D01* -X126415800Y-136779000D01* -X126417264Y-136793866D01* -X126421600Y-136808160D01* -X126428642Y-136821334D01* -X126438118Y-136832882D01* -X126449666Y-136842358D01* -X126462840Y-136849400D01* -X126477134Y-136853736D01* -X126492000Y-136855200D01* -X126873000Y-136855200D01* -X126887866Y-136853736D01* -X126902160Y-136849400D01* -X126915334Y-136842358D01* -X126926882Y-136832882D01* -X126936358Y-136821334D01* -X126943400Y-136808160D01* -X126947736Y-136793866D01* -X126949200Y-136779000D01* -X126949200Y-136398000D01* -X127050800Y-136398000D01* -X127050800Y-136779000D01* -X127052264Y-136793866D01* -X127056600Y-136808160D01* -X127063642Y-136821334D01* -X127073118Y-136832882D01* -X127084666Y-136842358D01* -X127097840Y-136849400D01* -X127112134Y-136853736D01* -X127127000Y-136855200D01* -X127508000Y-136855200D01* -X127522866Y-136853736D01* -X127537160Y-136849400D01* -X127550334Y-136842358D01* -X127561882Y-136832882D01* -X127571358Y-136821334D01* -X127578400Y-136808160D01* -X127582736Y-136793866D01* -X127584200Y-136779000D01* -X127584200Y-136398000D01* -X128955800Y-136398000D01* -X128955800Y-136779000D01* -X128957264Y-136793866D01* -X128961600Y-136808160D01* -X128968642Y-136821334D01* -X128978118Y-136832882D01* -X128989666Y-136842358D01* -X129002840Y-136849400D01* -X129017134Y-136853736D01* -X129032000Y-136855200D01* -X129413000Y-136855200D01* -X129427866Y-136853736D01* -X129442160Y-136849400D01* -X129455334Y-136842358D01* -X129466882Y-136832882D01* -X129476358Y-136821334D01* -X129483400Y-136808160D01* -X129487736Y-136793866D01* -X129489200Y-136779000D01* -X129489200Y-136398000D01* -X129590800Y-136398000D01* -X129590800Y-136779000D01* -X129592264Y-136793866D01* -X129596600Y-136808160D01* -X129603642Y-136821334D01* -X129613118Y-136832882D01* -X129624666Y-136842358D01* -X129637840Y-136849400D01* -X129652134Y-136853736D01* -X129667000Y-136855200D01* -X130048000Y-136855200D01* -X130062866Y-136853736D01* -X130077160Y-136849400D01* -X130090334Y-136842358D01* -X130101882Y-136832882D01* -X130111358Y-136821334D01* -X130118400Y-136808160D01* -X130122736Y-136793866D01* -X130124200Y-136779000D01* -X130124200Y-136398000D01* -X131495800Y-136398000D01* -X131495800Y-136779000D01* -X131497264Y-136793866D01* -X131501600Y-136808160D01* -X131508642Y-136821334D01* -X131518118Y-136832882D01* -X131529666Y-136842358D01* -X131542840Y-136849400D01* -X131557134Y-136853736D01* -X131572000Y-136855200D01* -X131953000Y-136855200D01* -X131967866Y-136853736D01* -X131982160Y-136849400D01* -X131995334Y-136842358D01* -X132006882Y-136832882D01* -X132016358Y-136821334D01* -X132023400Y-136808160D01* -X132027736Y-136793866D01* -X132029200Y-136779000D01* -X132029200Y-136398000D01* -X132130800Y-136398000D01* -X132130800Y-136779000D01* -X132132264Y-136793866D01* -X132136600Y-136808160D01* -X132143642Y-136821334D01* -X132153118Y-136832882D01* -X132164666Y-136842358D01* -X132177840Y-136849400D01* -X132192134Y-136853736D01* -X132207000Y-136855200D01* -X132588000Y-136855200D01* -X132602866Y-136853736D01* -X132617160Y-136849400D01* -X132630334Y-136842358D01* -X132641882Y-136832882D01* -X132651358Y-136821334D01* -X132658400Y-136808160D01* -X132662736Y-136793866D01* -X132664200Y-136779000D01* -X132664200Y-136398000D01* -X134035800Y-136398000D01* -X134035800Y-136779000D01* -X134037264Y-136793866D01* -X134041600Y-136808160D01* -X134048642Y-136821334D01* -X134058118Y-136832882D01* -X134069666Y-136842358D01* -X134082840Y-136849400D01* -X134097134Y-136853736D01* -X134112000Y-136855200D01* -X134493000Y-136855200D01* -X134507866Y-136853736D01* -X134522160Y-136849400D01* -X134535334Y-136842358D01* -X134546882Y-136832882D01* -X134556358Y-136821334D01* -X134563400Y-136808160D01* -X134567736Y-136793866D01* -X134569200Y-136779000D01* -X134569200Y-136398000D01* -X134670800Y-136398000D01* -X134670800Y-136779000D01* -X134672264Y-136793866D01* -X134676600Y-136808160D01* -X134683642Y-136821334D01* -X134693118Y-136832882D01* -X134704666Y-136842358D01* -X134717840Y-136849400D01* -X134732134Y-136853736D01* -X134747000Y-136855200D01* -X135128000Y-136855200D01* -X135142866Y-136853736D01* -X135157160Y-136849400D01* -X135170334Y-136842358D01* -X135181882Y-136832882D01* -X135191358Y-136821334D01* -X135198400Y-136808160D01* -X135202736Y-136793866D01* -X135204200Y-136779000D01* -X135204200Y-136398000D01* -X135202736Y-136383134D01* -X135198400Y-136368840D01* -X135191358Y-136355666D01* -X135181882Y-136344118D01* -X135170334Y-136334642D01* -X135157160Y-136327600D01* -X135142866Y-136323264D01* -X135128000Y-136321800D01* -X134747000Y-136321800D01* -X134732134Y-136323264D01* -X134717840Y-136327600D01* -X134704666Y-136334642D01* -X134693118Y-136344118D01* -X134683642Y-136355666D01* -X134676600Y-136368840D01* -X134672264Y-136383134D01* -X134670800Y-136398000D01* -X134569200Y-136398000D01* -X134567736Y-136383134D01* -X134563400Y-136368840D01* -X134556358Y-136355666D01* -X134546882Y-136344118D01* -X134535334Y-136334642D01* -X134522160Y-136327600D01* -X134507866Y-136323264D01* -X134493000Y-136321800D01* -X134112000Y-136321800D01* -X134097134Y-136323264D01* -X134082840Y-136327600D01* -X134069666Y-136334642D01* -X134058118Y-136344118D01* -X134048642Y-136355666D01* -X134041600Y-136368840D01* -X134037264Y-136383134D01* -X134035800Y-136398000D01* -X132664200Y-136398000D01* -X132662736Y-136383134D01* -X132658400Y-136368840D01* -X132651358Y-136355666D01* -X132641882Y-136344118D01* -X132630334Y-136334642D01* -X132617160Y-136327600D01* -X132602866Y-136323264D01* -X132588000Y-136321800D01* -X132207000Y-136321800D01* -X132192134Y-136323264D01* -X132177840Y-136327600D01* -X132164666Y-136334642D01* -X132153118Y-136344118D01* -X132143642Y-136355666D01* -X132136600Y-136368840D01* -X132132264Y-136383134D01* -X132130800Y-136398000D01* -X132029200Y-136398000D01* -X132027736Y-136383134D01* -X132023400Y-136368840D01* -X132016358Y-136355666D01* -X132006882Y-136344118D01* -X131995334Y-136334642D01* -X131982160Y-136327600D01* -X131967866Y-136323264D01* -X131953000Y-136321800D01* -X131572000Y-136321800D01* -X131557134Y-136323264D01* -X131542840Y-136327600D01* -X131529666Y-136334642D01* -X131518118Y-136344118D01* -X131508642Y-136355666D01* -X131501600Y-136368840D01* -X131497264Y-136383134D01* -X131495800Y-136398000D01* -X130124200Y-136398000D01* -X130122736Y-136383134D01* -X130118400Y-136368840D01* -X130111358Y-136355666D01* -X130101882Y-136344118D01* -X130090334Y-136334642D01* -X130077160Y-136327600D01* -X130062866Y-136323264D01* -X130048000Y-136321800D01* -X129667000Y-136321800D01* -X129652134Y-136323264D01* -X129637840Y-136327600D01* -X129624666Y-136334642D01* -X129613118Y-136344118D01* -X129603642Y-136355666D01* -X129596600Y-136368840D01* -X129592264Y-136383134D01* -X129590800Y-136398000D01* -X129489200Y-136398000D01* -X129487736Y-136383134D01* -X129483400Y-136368840D01* -X129476358Y-136355666D01* -X129466882Y-136344118D01* -X129455334Y-136334642D01* -X129442160Y-136327600D01* -X129427866Y-136323264D01* -X129413000Y-136321800D01* -X129032000Y-136321800D01* -X129017134Y-136323264D01* -X129002840Y-136327600D01* -X128989666Y-136334642D01* -X128978118Y-136344118D01* -X128968642Y-136355666D01* -X128961600Y-136368840D01* -X128957264Y-136383134D01* -X128955800Y-136398000D01* -X127584200Y-136398000D01* -X127582736Y-136383134D01* -X127578400Y-136368840D01* -X127571358Y-136355666D01* -X127561882Y-136344118D01* -X127550334Y-136334642D01* -X127537160Y-136327600D01* -X127522866Y-136323264D01* -X127508000Y-136321800D01* -X127127000Y-136321800D01* -X127112134Y-136323264D01* -X127097840Y-136327600D01* -X127084666Y-136334642D01* -X127073118Y-136344118D01* -X127063642Y-136355666D01* -X127056600Y-136368840D01* -X127052264Y-136383134D01* -X127050800Y-136398000D01* -X126949200Y-136398000D01* -X126947736Y-136383134D01* -X126943400Y-136368840D01* -X126936358Y-136355666D01* -X126926882Y-136344118D01* -X126915334Y-136334642D01* -X126902160Y-136327600D01* -X126887866Y-136323264D01* -X126873000Y-136321800D01* -X126492000Y-136321800D01* -X126477134Y-136323264D01* -X126462840Y-136327600D01* -X126449666Y-136334642D01* -X126438118Y-136344118D01* -X126428642Y-136355666D01* -X126421600Y-136368840D01* -X126417264Y-136383134D01* -X126415800Y-136398000D01* -X125044200Y-136398000D01* -X125042736Y-136383134D01* -X125038400Y-136368840D01* -X125031358Y-136355666D01* -X125021882Y-136344118D01* -X125010334Y-136334642D01* -X124997160Y-136327600D01* -X124982866Y-136323264D01* -X124968000Y-136321800D01* -X124587000Y-136321800D01* -X124572134Y-136323264D01* -X124557840Y-136327600D01* -X124544666Y-136334642D01* -X124533118Y-136344118D01* -X124523642Y-136355666D01* -X124516600Y-136368840D01* -X124512264Y-136383134D01* -X124510800Y-136398000D01* -X124409200Y-136398000D01* -X124407736Y-136383134D01* -X124403400Y-136368840D01* -X124396358Y-136355666D01* -X124386882Y-136344118D01* -X124375334Y-136334642D01* -X124362160Y-136327600D01* -X124347866Y-136323264D01* -X124333000Y-136321800D01* -X123952000Y-136321800D01* -X123937134Y-136323264D01* -X123922840Y-136327600D01* -X123909666Y-136334642D01* -X123898118Y-136344118D01* -X123888642Y-136355666D01* -X123881600Y-136368840D01* -X123877264Y-136383134D01* -X123875800Y-136398000D01* -X122504200Y-136398000D01* -X122502736Y-136383134D01* -X122498400Y-136368840D01* -X122491358Y-136355666D01* -X122481882Y-136344118D01* -X122470334Y-136334642D01* -X122457160Y-136327600D01* -X122442866Y-136323264D01* -X122428000Y-136321800D01* -X122047000Y-136321800D01* -X122032134Y-136323264D01* -X122017840Y-136327600D01* -X122004666Y-136334642D01* -X121993118Y-136344118D01* -X121983642Y-136355666D01* -X121976600Y-136368840D01* -X121972264Y-136383134D01* -X121970800Y-136398000D01* -X121869200Y-136398000D01* -X121867736Y-136383134D01* -X121863400Y-136368840D01* -X121856358Y-136355666D01* -X121846882Y-136344118D01* -X121835334Y-136334642D01* -X121822160Y-136327600D01* -X121807866Y-136323264D01* -X121793000Y-136321800D01* -X121412000Y-136321800D01* -X121397134Y-136323264D01* -X121382840Y-136327600D01* -X121369666Y-136334642D01* -X121358118Y-136344118D01* -X121348642Y-136355666D01* -X121341600Y-136368840D01* -X121337264Y-136383134D01* -X121335800Y-136398000D01* -X119964200Y-136398000D01* -X119962736Y-136383134D01* -X119958400Y-136368840D01* -X119951358Y-136355666D01* -X119941882Y-136344118D01* -X119930334Y-136334642D01* -X119917160Y-136327600D01* -X119902866Y-136323264D01* -X119888000Y-136321800D01* -X119507000Y-136321800D01* -X119492134Y-136323264D01* -X119477840Y-136327600D01* -X119464666Y-136334642D01* -X119453118Y-136344118D01* -X119443642Y-136355666D01* -X119436600Y-136368840D01* -X119432264Y-136383134D01* -X119430800Y-136398000D01* -X119329200Y-136398000D01* -X119327736Y-136383134D01* -X119323400Y-136368840D01* -X119316358Y-136355666D01* -X119306882Y-136344118D01* -X119295334Y-136334642D01* -X119282160Y-136327600D01* -X119267866Y-136323264D01* -X119253000Y-136321800D01* -X118872000Y-136321800D01* -X118857134Y-136323264D01* -X118842840Y-136327600D01* -X118829666Y-136334642D01* -X118818118Y-136344118D01* -X118808642Y-136355666D01* -X118801600Y-136368840D01* -X118797264Y-136383134D01* -X118795800Y-136398000D01* -X117424200Y-136398000D01* -X117422736Y-136383134D01* -X117418400Y-136368840D01* -X117411358Y-136355666D01* -X117401882Y-136344118D01* -X117390334Y-136334642D01* -X117377160Y-136327600D01* -X117362866Y-136323264D01* -X117348000Y-136321800D01* -X116967000Y-136321800D01* -X116952134Y-136323264D01* -X116937840Y-136327600D01* -X116924666Y-136334642D01* -X116913118Y-136344118D01* -X116903642Y-136355666D01* -X116896600Y-136368840D01* -X116892264Y-136383134D01* -X116890800Y-136398000D01* -X116789200Y-136398000D01* -X116787736Y-136383134D01* -X116783400Y-136368840D01* -X116776358Y-136355666D01* -X116766882Y-136344118D01* -X116755334Y-136334642D01* -X116742160Y-136327600D01* -X116727866Y-136323264D01* -X116713000Y-136321800D01* -X116332000Y-136321800D01* -X116317134Y-136323264D01* -X116302840Y-136327600D01* -X116289666Y-136334642D01* -X116278118Y-136344118D01* -X116268642Y-136355666D01* -X116261600Y-136368840D01* -X116257264Y-136383134D01* -X116255800Y-136398000D01* -X114884200Y-136398000D01* -X114882736Y-136383134D01* -X114878400Y-136368840D01* -X114871358Y-136355666D01* -X114861882Y-136344118D01* -X114850334Y-136334642D01* -X114837160Y-136327600D01* -X114822866Y-136323264D01* -X114808000Y-136321800D01* -X114427000Y-136321800D01* -X114412134Y-136323264D01* -X114397840Y-136327600D01* -X114384666Y-136334642D01* -X114373118Y-136344118D01* -X114363642Y-136355666D01* -X114356600Y-136368840D01* -X114352264Y-136383134D01* -X114350800Y-136398000D01* -X114249200Y-136398000D01* -X114247736Y-136383134D01* -X114243400Y-136368840D01* -X114236358Y-136355666D01* -X114226882Y-136344118D01* -X114215334Y-136334642D01* -X114202160Y-136327600D01* -X114187866Y-136323264D01* -X114173000Y-136321800D01* -X113792000Y-136321800D01* -X113777134Y-136323264D01* -X113762840Y-136327600D01* -X113749666Y-136334642D01* -X113738118Y-136344118D01* -X113728642Y-136355666D01* -X113721600Y-136368840D01* -X113717264Y-136383134D01* -X113715800Y-136398000D01* -X112344200Y-136398000D01* -X112342736Y-136383134D01* -X112338400Y-136368840D01* -X112331358Y-136355666D01* -X112321882Y-136344118D01* -X112310334Y-136334642D01* -X112297160Y-136327600D01* -X112282866Y-136323264D01* -X112268000Y-136321800D01* -X111887000Y-136321800D01* -X111872134Y-136323264D01* -X111857840Y-136327600D01* -X111844666Y-136334642D01* -X111833118Y-136344118D01* -X111823642Y-136355666D01* -X111816600Y-136368840D01* -X111812264Y-136383134D01* -X111810800Y-136398000D01* -X111709200Y-136398000D01* -X111707736Y-136383134D01* -X111703400Y-136368840D01* -X111696358Y-136355666D01* -X111686882Y-136344118D01* -X111675334Y-136334642D01* -X111662160Y-136327600D01* -X111647866Y-136323264D01* -X111633000Y-136321800D01* -X111252000Y-136321800D01* -X111237134Y-136323264D01* -X111222840Y-136327600D01* -X111209666Y-136334642D01* -X111198118Y-136344118D01* -X111188642Y-136355666D01* -X111181600Y-136368840D01* -X111177264Y-136383134D01* -X111175800Y-136398000D01* -X109804200Y-136398000D01* -X109802736Y-136383134D01* -X109798400Y-136368840D01* -X109791358Y-136355666D01* -X109781882Y-136344118D01* -X109770334Y-136334642D01* -X109757160Y-136327600D01* -X109742866Y-136323264D01* -X109728000Y-136321800D01* -X109347000Y-136321800D01* -X109332134Y-136323264D01* -X109317840Y-136327600D01* -X109304666Y-136334642D01* -X109293118Y-136344118D01* -X109283642Y-136355666D01* -X109276600Y-136368840D01* -X109272264Y-136383134D01* -X109270800Y-136398000D01* -X109169200Y-136398000D01* -X109167736Y-136383134D01* -X109163400Y-136368840D01* -X109156358Y-136355666D01* -X109146882Y-136344118D01* -X109135334Y-136334642D01* -X109122160Y-136327600D01* -X109107866Y-136323264D01* -X109093000Y-136321800D01* -X108712000Y-136321800D01* -X108697134Y-136323264D01* -X108682840Y-136327600D01* -X108669666Y-136334642D01* -X108658118Y-136344118D01* -X108648642Y-136355666D01* -X108641600Y-136368840D01* -X108637264Y-136383134D01* -X108635800Y-136398000D01* -X107264200Y-136398000D01* -X107262736Y-136383134D01* -X107258400Y-136368840D01* -X107251358Y-136355666D01* -X107241882Y-136344118D01* -X107230334Y-136334642D01* -X107217160Y-136327600D01* -X107202866Y-136323264D01* -X107188000Y-136321800D01* -X106807000Y-136321800D01* -X106792134Y-136323264D01* -X106777840Y-136327600D01* -X106764666Y-136334642D01* -X106753118Y-136344118D01* -X106743642Y-136355666D01* -X106736600Y-136368840D01* -X106732264Y-136383134D01* -X106730800Y-136398000D01* -X106629200Y-136398000D01* -X106627736Y-136383134D01* -X106623400Y-136368840D01* -X106616358Y-136355666D01* -X106606882Y-136344118D01* -X106595334Y-136334642D01* -X106582160Y-136327600D01* -X106567866Y-136323264D01* -X106553000Y-136321800D01* -X106172000Y-136321800D01* -X106157134Y-136323264D01* -X106142840Y-136327600D01* -X106129666Y-136334642D01* -X106118118Y-136344118D01* -X106108642Y-136355666D01* -X106101600Y-136368840D01* -X106097264Y-136383134D01* -X106095800Y-136398000D01* -X104724200Y-136398000D01* -X104722736Y-136383134D01* -X104718400Y-136368840D01* -X104711358Y-136355666D01* -X104701882Y-136344118D01* -X104690334Y-136334642D01* -X104677160Y-136327600D01* -X104662866Y-136323264D01* -X104648000Y-136321800D01* -X104267000Y-136321800D01* -X104252134Y-136323264D01* -X104237840Y-136327600D01* -X104224666Y-136334642D01* -X104213118Y-136344118D01* -X104203642Y-136355666D01* -X104196600Y-136368840D01* -X104192264Y-136383134D01* -X104190800Y-136398000D01* -X104089200Y-136398000D01* -X104087736Y-136383134D01* -X104083400Y-136368840D01* -X104076358Y-136355666D01* -X104066882Y-136344118D01* -X104055334Y-136334642D01* -X104042160Y-136327600D01* -X104027866Y-136323264D01* -X104013000Y-136321800D01* -X103632000Y-136321800D01* -X103617134Y-136323264D01* -X103602840Y-136327600D01* -X103589666Y-136334642D01* -X103578118Y-136344118D01* -X103568642Y-136355666D01* -X103561600Y-136368840D01* -X103557264Y-136383134D01* -X103555800Y-136398000D01* -X102184200Y-136398000D01* -X102182736Y-136383134D01* -X102178400Y-136368840D01* -X102171358Y-136355666D01* -X102161882Y-136344118D01* -X102150334Y-136334642D01* -X102137160Y-136327600D01* -X102122866Y-136323264D01* -X102108000Y-136321800D01* -X101727000Y-136321800D01* -X101712134Y-136323264D01* -X101697840Y-136327600D01* -X101684666Y-136334642D01* -X101673118Y-136344118D01* -X101663642Y-136355666D01* -X101656600Y-136368840D01* -X101652264Y-136383134D01* -X101650800Y-136398000D01* -X101549200Y-136398000D01* -X101547736Y-136383134D01* -X101543400Y-136368840D01* -X101536358Y-136355666D01* -X101526882Y-136344118D01* -X101515334Y-136334642D01* -X101502160Y-136327600D01* -X101487866Y-136323264D01* -X101473000Y-136321800D01* -X101092000Y-136321800D01* -X101077134Y-136323264D01* -X101062840Y-136327600D01* -X101049666Y-136334642D01* -X101038118Y-136344118D01* -X101028642Y-136355666D01* -X101021600Y-136368840D01* -X101017264Y-136383134D01* -X101015800Y-136398000D01* -X99644200Y-136398000D01* -X99642736Y-136383134D01* -X99638400Y-136368840D01* -X99631358Y-136355666D01* -X99621882Y-136344118D01* -X99610334Y-136334642D01* -X99597160Y-136327600D01* -X99582866Y-136323264D01* -X99568000Y-136321800D01* -X99187000Y-136321800D01* -X99172134Y-136323264D01* -X99157840Y-136327600D01* -X99144666Y-136334642D01* -X99133118Y-136344118D01* -X99123642Y-136355666D01* -X99116600Y-136368840D01* -X99112264Y-136383134D01* -X99110800Y-136398000D01* -X99009200Y-136398000D01* -X99007736Y-136383134D01* -X99003400Y-136368840D01* -X98996358Y-136355666D01* -X98986882Y-136344118D01* -X98975334Y-136334642D01* -X98962160Y-136327600D01* -X98947866Y-136323264D01* -X98933000Y-136321800D01* -X98552000Y-136321800D01* -X98537134Y-136323264D01* -X98522840Y-136327600D01* -X98509666Y-136334642D01* -X98498118Y-136344118D01* -X98488642Y-136355666D01* -X98481600Y-136368840D01* -X98477264Y-136383134D01* -X98475800Y-136398000D01* -X97104200Y-136398000D01* -X97102736Y-136383134D01* -X97098400Y-136368840D01* -X97091358Y-136355666D01* -X97081882Y-136344118D01* -X97070334Y-136334642D01* -X97057160Y-136327600D01* -X97042866Y-136323264D01* -X97028000Y-136321800D01* -X96647000Y-136321800D01* -X96632134Y-136323264D01* -X96617840Y-136327600D01* -X96604666Y-136334642D01* -X96593118Y-136344118D01* -X96583642Y-136355666D01* -X96576600Y-136368840D01* -X96572264Y-136383134D01* -X96570800Y-136398000D01* -X96469200Y-136398000D01* -X96467736Y-136383134D01* -X96463400Y-136368840D01* -X96456358Y-136355666D01* -X96446882Y-136344118D01* -X96435334Y-136334642D01* -X96422160Y-136327600D01* -X96407866Y-136323264D01* -X96393000Y-136321800D01* -X96012000Y-136321800D01* -X95997134Y-136323264D01* -X95982840Y-136327600D01* -X95969666Y-136334642D01* -X95958118Y-136344118D01* -X95948642Y-136355666D01* -X95941600Y-136368840D01* -X95937264Y-136383134D01* -X95935800Y-136398000D01* -X94564200Y-136398000D01* -X94562736Y-136383134D01* -X94558400Y-136368840D01* -X94551358Y-136355666D01* -X94541882Y-136344118D01* -X94530334Y-136334642D01* -X94517160Y-136327600D01* -X94502866Y-136323264D01* -X94488000Y-136321800D01* -X94107000Y-136321800D01* -X94092134Y-136323264D01* -X94077840Y-136327600D01* -X94064666Y-136334642D01* -X94053118Y-136344118D01* -X94043642Y-136355666D01* -X94036600Y-136368840D01* -X94032264Y-136383134D01* -X94030800Y-136398000D01* -X93929200Y-136398000D01* -X93927736Y-136383134D01* -X93923400Y-136368840D01* -X93916358Y-136355666D01* -X93906882Y-136344118D01* -X93895334Y-136334642D01* -X93882160Y-136327600D01* -X93867866Y-136323264D01* -X93853000Y-136321800D01* -X93472000Y-136321800D01* -X93457134Y-136323264D01* -X93442840Y-136327600D01* -X93429666Y-136334642D01* -X93418118Y-136344118D01* -X93408642Y-136355666D01* -X93401600Y-136368840D01* -X93397264Y-136383134D01* -X93395800Y-136398000D01* -X92024200Y-136398000D01* -X92022736Y-136383134D01* -X92018400Y-136368840D01* -X92011358Y-136355666D01* -X92001882Y-136344118D01* -X91990334Y-136334642D01* -X91977160Y-136327600D01* -X91962866Y-136323264D01* -X91948000Y-136321800D01* -X91567000Y-136321800D01* -X91552134Y-136323264D01* -X91537840Y-136327600D01* -X91524666Y-136334642D01* -X91513118Y-136344118D01* -X91503642Y-136355666D01* -X91496600Y-136368840D01* -X91492264Y-136383134D01* -X91490800Y-136398000D01* -X91389200Y-136398000D01* -X91387736Y-136383134D01* -X91383400Y-136368840D01* -X91376358Y-136355666D01* -X91366882Y-136344118D01* -X91355334Y-136334642D01* -X91342160Y-136327600D01* -X91327866Y-136323264D01* -X91313000Y-136321800D01* -X90932000Y-136321800D01* -X90917134Y-136323264D01* -X90902840Y-136327600D01* -X90889666Y-136334642D01* -X90878118Y-136344118D01* -X90868642Y-136355666D01* -X90861600Y-136368840D01* -X90857264Y-136383134D01* -X90855800Y-136398000D01* -X89484200Y-136398000D01* -X89482736Y-136383134D01* -X89478400Y-136368840D01* -X89471358Y-136355666D01* -X89461882Y-136344118D01* -X89450334Y-136334642D01* -X89437160Y-136327600D01* -X89422866Y-136323264D01* -X89408000Y-136321800D01* -X89027000Y-136321800D01* -X89012134Y-136323264D01* -X88997840Y-136327600D01* -X88984666Y-136334642D01* -X88973118Y-136344118D01* -X88963642Y-136355666D01* -X88956600Y-136368840D01* -X88952264Y-136383134D01* -X88950800Y-136398000D01* -X88849200Y-136398000D01* -X88847736Y-136383134D01* -X88843400Y-136368840D01* -X88836358Y-136355666D01* -X88826882Y-136344118D01* -X88815334Y-136334642D01* -X88802160Y-136327600D01* -X88787866Y-136323264D01* -X88773000Y-136321800D01* -X88392000Y-136321800D01* -X88377134Y-136323264D01* -X88362840Y-136327600D01* -X88349666Y-136334642D01* -X88338118Y-136344118D01* -X88328642Y-136355666D01* -X88321600Y-136368840D01* -X88317264Y-136383134D01* -X88315800Y-136398000D01* -X86944200Y-136398000D01* -X86942736Y-136383134D01* -X86938400Y-136368840D01* -X86931358Y-136355666D01* -X86921882Y-136344118D01* -X86910334Y-136334642D01* -X86897160Y-136327600D01* -X86882866Y-136323264D01* -X86868000Y-136321800D01* -X86487000Y-136321800D01* -X86472134Y-136323264D01* -X86457840Y-136327600D01* -X86444666Y-136334642D01* -X86433118Y-136344118D01* -X86423642Y-136355666D01* -X86416600Y-136368840D01* -X86412264Y-136383134D01* -X86410800Y-136398000D01* -X86309200Y-136398000D01* -X86307736Y-136383134D01* -X86303400Y-136368840D01* -X86296358Y-136355666D01* -X86286882Y-136344118D01* -X86275334Y-136334642D01* -X86262160Y-136327600D01* -X86247866Y-136323264D01* -X86233000Y-136321800D01* -X85852000Y-136321800D01* -X85837134Y-136323264D01* -X85822840Y-136327600D01* -X85809666Y-136334642D01* -X85798118Y-136344118D01* -X85788642Y-136355666D01* -X85781600Y-136368840D01* -X85777264Y-136383134D01* -X85775800Y-136398000D01* -X84404200Y-136398000D01* -X84402736Y-136383134D01* -X84398400Y-136368840D01* -X84391358Y-136355666D01* -X84381882Y-136344118D01* -X84370334Y-136334642D01* -X84357160Y-136327600D01* -X84342866Y-136323264D01* -X84328000Y-136321800D01* -X83947000Y-136321800D01* -X83932134Y-136323264D01* -X83917840Y-136327600D01* -X83904666Y-136334642D01* -X83893118Y-136344118D01* -X83883642Y-136355666D01* -X83876600Y-136368840D01* -X83872264Y-136383134D01* -X83870800Y-136398000D01* -X83769200Y-136398000D01* -X83767736Y-136383134D01* -X83763400Y-136368840D01* -X83756358Y-136355666D01* -X83746882Y-136344118D01* -X83735334Y-136334642D01* -X83722160Y-136327600D01* -X83707866Y-136323264D01* -X83693000Y-136321800D01* -X83312000Y-136321800D01* -X83297134Y-136323264D01* -X83282840Y-136327600D01* -X83269666Y-136334642D01* -X83258118Y-136344118D01* -X83248642Y-136355666D01* -X83241600Y-136368840D01* -X83237264Y-136383134D01* -X83235800Y-136398000D01* -X81864200Y-136398000D01* -X81862736Y-136383134D01* -X81858400Y-136368840D01* -X81851358Y-136355666D01* -X81841882Y-136344118D01* -X81830334Y-136334642D01* -X81817160Y-136327600D01* -X81802866Y-136323264D01* -X81788000Y-136321800D01* -X81407000Y-136321800D01* -X81392134Y-136323264D01* -X81377840Y-136327600D01* -X81364666Y-136334642D01* -X81353118Y-136344118D01* -X81343642Y-136355666D01* -X81336600Y-136368840D01* -X81332264Y-136383134D01* -X81330800Y-136398000D01* -X81229200Y-136398000D01* -X81227736Y-136383134D01* -X81223400Y-136368840D01* -X81216358Y-136355666D01* -X81206882Y-136344118D01* -X81195334Y-136334642D01* -X81182160Y-136327600D01* -X81167866Y-136323264D01* -X81153000Y-136321800D01* -X80772000Y-136321800D01* -X80757134Y-136323264D01* -X80742840Y-136327600D01* -X80729666Y-136334642D01* -X80718118Y-136344118D01* -X80708642Y-136355666D01* -X80701600Y-136368840D01* -X80697264Y-136383134D01* -X80695800Y-136398000D01* -X79324200Y-136398000D01* -X79322736Y-136383134D01* -X79318400Y-136368840D01* -X79311358Y-136355666D01* -X79301882Y-136344118D01* -X79290334Y-136334642D01* -X79277160Y-136327600D01* -X79262866Y-136323264D01* -X79248000Y-136321800D01* -X78867000Y-136321800D01* -X78852134Y-136323264D01* -X78837840Y-136327600D01* -X78824666Y-136334642D01* -X78813118Y-136344118D01* -X78803642Y-136355666D01* -X78796600Y-136368840D01* -X78792264Y-136383134D01* -X78790800Y-136398000D01* -X78689200Y-136398000D01* -X78687736Y-136383134D01* -X78683400Y-136368840D01* -X78676358Y-136355666D01* -X78666882Y-136344118D01* -X78655334Y-136334642D01* -X78642160Y-136327600D01* -X78627866Y-136323264D01* -X78613000Y-136321800D01* -X78232000Y-136321800D01* -X78217134Y-136323264D01* -X78202840Y-136327600D01* -X78189666Y-136334642D01* -X78178118Y-136344118D01* -X78168642Y-136355666D01* -X78161600Y-136368840D01* -X78157264Y-136383134D01* -X78155800Y-136398000D01* -X76784200Y-136398000D01* -X76782736Y-136383134D01* -X76778400Y-136368840D01* -X76771358Y-136355666D01* -X76761882Y-136344118D01* -X76750334Y-136334642D01* -X76737160Y-136327600D01* -X76722866Y-136323264D01* -X76708000Y-136321800D01* -X76327000Y-136321800D01* -X76312134Y-136323264D01* -X76297840Y-136327600D01* -X76284666Y-136334642D01* -X76273118Y-136344118D01* -X76263642Y-136355666D01* -X76256600Y-136368840D01* -X76252264Y-136383134D01* -X76250800Y-136398000D01* -X76149200Y-136398000D01* -X76147736Y-136383134D01* -X76143400Y-136368840D01* -X76136358Y-136355666D01* -X76126882Y-136344118D01* -X76115334Y-136334642D01* -X76102160Y-136327600D01* -X76087866Y-136323264D01* -X76073000Y-136321800D01* -X75692000Y-136321800D01* -X75677134Y-136323264D01* -X75662840Y-136327600D01* -X75649666Y-136334642D01* -X75638118Y-136344118D01* -X75628642Y-136355666D01* -X75621600Y-136368840D01* -X75617264Y-136383134D01* -X75615800Y-136398000D01* -X74498200Y-136398000D01* -X74498200Y-135763000D01* -X75615800Y-135763000D01* -X75615800Y-136144000D01* -X75617264Y-136158866D01* -X75621600Y-136173160D01* -X75628642Y-136186334D01* -X75638118Y-136197882D01* -X75649666Y-136207358D01* -X75662840Y-136214400D01* -X75677134Y-136218736D01* -X75692000Y-136220200D01* -X76073000Y-136220200D01* -X76087866Y-136218736D01* -X76102160Y-136214400D01* -X76115334Y-136207358D01* -X76126882Y-136197882D01* -X76136358Y-136186334D01* -X76143400Y-136173160D01* -X76147736Y-136158866D01* -X76149200Y-136144000D01* -X76149200Y-135763000D01* -X76250800Y-135763000D01* -X76250800Y-136144000D01* -X76252264Y-136158866D01* -X76256600Y-136173160D01* -X76263642Y-136186334D01* -X76273118Y-136197882D01* -X76284666Y-136207358D01* -X76297840Y-136214400D01* -X76312134Y-136218736D01* -X76327000Y-136220200D01* -X76708000Y-136220200D01* -X76722866Y-136218736D01* -X76737160Y-136214400D01* -X76750334Y-136207358D01* -X76761882Y-136197882D01* -X76771358Y-136186334D01* -X76778400Y-136173160D01* -X76782736Y-136158866D01* -X76784200Y-136144000D01* -X76784200Y-135763000D01* -X78155800Y-135763000D01* -X78155800Y-136144000D01* -X78157264Y-136158866D01* -X78161600Y-136173160D01* -X78168642Y-136186334D01* -X78178118Y-136197882D01* -X78189666Y-136207358D01* -X78202840Y-136214400D01* -X78217134Y-136218736D01* -X78232000Y-136220200D01* -X78613000Y-136220200D01* -X78627866Y-136218736D01* -X78642160Y-136214400D01* -X78655334Y-136207358D01* -X78666882Y-136197882D01* -X78676358Y-136186334D01* -X78683400Y-136173160D01* -X78687736Y-136158866D01* -X78689200Y-136144000D01* -X78689200Y-135763000D01* -X78790800Y-135763000D01* -X78790800Y-136144000D01* -X78792264Y-136158866D01* -X78796600Y-136173160D01* -X78803642Y-136186334D01* -X78813118Y-136197882D01* -X78824666Y-136207358D01* -X78837840Y-136214400D01* -X78852134Y-136218736D01* -X78867000Y-136220200D01* -X79248000Y-136220200D01* -X79262866Y-136218736D01* -X79277160Y-136214400D01* -X79290334Y-136207358D01* -X79301882Y-136197882D01* -X79311358Y-136186334D01* -X79318400Y-136173160D01* -X79322736Y-136158866D01* -X79324200Y-136144000D01* -X79324200Y-135763000D01* -X80695800Y-135763000D01* -X80695800Y-136144000D01* -X80697264Y-136158866D01* -X80701600Y-136173160D01* -X80708642Y-136186334D01* -X80718118Y-136197882D01* -X80729666Y-136207358D01* -X80742840Y-136214400D01* -X80757134Y-136218736D01* -X80772000Y-136220200D01* -X81153000Y-136220200D01* -X81167866Y-136218736D01* -X81182160Y-136214400D01* -X81195334Y-136207358D01* -X81206882Y-136197882D01* -X81216358Y-136186334D01* -X81223400Y-136173160D01* -X81227736Y-136158866D01* -X81229200Y-136144000D01* -X81229200Y-135763000D01* -X81330800Y-135763000D01* -X81330800Y-136144000D01* -X81332264Y-136158866D01* -X81336600Y-136173160D01* -X81343642Y-136186334D01* -X81353118Y-136197882D01* -X81364666Y-136207358D01* -X81377840Y-136214400D01* -X81392134Y-136218736D01* -X81407000Y-136220200D01* -X81788000Y-136220200D01* -X81802866Y-136218736D01* -X81817160Y-136214400D01* -X81830334Y-136207358D01* -X81841882Y-136197882D01* -X81851358Y-136186334D01* -X81858400Y-136173160D01* -X81862736Y-136158866D01* -X81864200Y-136144000D01* -X81864200Y-135763000D01* -X83235800Y-135763000D01* -X83235800Y-136144000D01* -X83237264Y-136158866D01* -X83241600Y-136173160D01* -X83248642Y-136186334D01* -X83258118Y-136197882D01* -X83269666Y-136207358D01* -X83282840Y-136214400D01* -X83297134Y-136218736D01* -X83312000Y-136220200D01* -X83693000Y-136220200D01* -X83707866Y-136218736D01* -X83722160Y-136214400D01* -X83735334Y-136207358D01* -X83746882Y-136197882D01* -X83756358Y-136186334D01* -X83763400Y-136173160D01* -X83767736Y-136158866D01* -X83769200Y-136144000D01* -X83769200Y-135763000D01* -X83870800Y-135763000D01* -X83870800Y-136144000D01* -X83872264Y-136158866D01* -X83876600Y-136173160D01* -X83883642Y-136186334D01* -X83893118Y-136197882D01* -X83904666Y-136207358D01* -X83917840Y-136214400D01* -X83932134Y-136218736D01* -X83947000Y-136220200D01* -X84328000Y-136220200D01* -X84342866Y-136218736D01* -X84357160Y-136214400D01* -X84370334Y-136207358D01* -X84381882Y-136197882D01* -X84391358Y-136186334D01* -X84398400Y-136173160D01* -X84402736Y-136158866D01* -X84404200Y-136144000D01* -X84404200Y-135763000D01* -X85775800Y-135763000D01* -X85775800Y-136144000D01* -X85777264Y-136158866D01* -X85781600Y-136173160D01* -X85788642Y-136186334D01* -X85798118Y-136197882D01* -X85809666Y-136207358D01* -X85822840Y-136214400D01* -X85837134Y-136218736D01* -X85852000Y-136220200D01* -X86233000Y-136220200D01* -X86247866Y-136218736D01* -X86262160Y-136214400D01* -X86275334Y-136207358D01* -X86286882Y-136197882D01* -X86296358Y-136186334D01* -X86303400Y-136173160D01* -X86307736Y-136158866D01* -X86309200Y-136144000D01* -X86309200Y-135763000D01* -X86410800Y-135763000D01* -X86410800Y-136144000D01* -X86412264Y-136158866D01* -X86416600Y-136173160D01* -X86423642Y-136186334D01* -X86433118Y-136197882D01* -X86444666Y-136207358D01* -X86457840Y-136214400D01* -X86472134Y-136218736D01* -X86487000Y-136220200D01* -X86868000Y-136220200D01* -X86882866Y-136218736D01* -X86897160Y-136214400D01* -X86910334Y-136207358D01* -X86921882Y-136197882D01* -X86931358Y-136186334D01* -X86938400Y-136173160D01* -X86942736Y-136158866D01* -X86944200Y-136144000D01* -X86944200Y-135763000D01* -X88315800Y-135763000D01* -X88315800Y-136144000D01* -X88317264Y-136158866D01* -X88321600Y-136173160D01* -X88328642Y-136186334D01* -X88338118Y-136197882D01* -X88349666Y-136207358D01* -X88362840Y-136214400D01* -X88377134Y-136218736D01* -X88392000Y-136220200D01* -X88773000Y-136220200D01* -X88787866Y-136218736D01* -X88802160Y-136214400D01* -X88815334Y-136207358D01* -X88826882Y-136197882D01* -X88836358Y-136186334D01* -X88843400Y-136173160D01* -X88847736Y-136158866D01* -X88849200Y-136144000D01* -X88849200Y-135763000D01* -X88950800Y-135763000D01* -X88950800Y-136144000D01* -X88952264Y-136158866D01* -X88956600Y-136173160D01* -X88963642Y-136186334D01* -X88973118Y-136197882D01* -X88984666Y-136207358D01* -X88997840Y-136214400D01* -X89012134Y-136218736D01* -X89027000Y-136220200D01* -X89408000Y-136220200D01* -X89422866Y-136218736D01* -X89437160Y-136214400D01* -X89450334Y-136207358D01* -X89461882Y-136197882D01* -X89471358Y-136186334D01* -X89478400Y-136173160D01* -X89482736Y-136158866D01* -X89484200Y-136144000D01* -X89484200Y-135763000D01* -X90855800Y-135763000D01* -X90855800Y-136144000D01* -X90857264Y-136158866D01* -X90861600Y-136173160D01* -X90868642Y-136186334D01* -X90878118Y-136197882D01* -X90889666Y-136207358D01* -X90902840Y-136214400D01* -X90917134Y-136218736D01* -X90932000Y-136220200D01* -X91313000Y-136220200D01* -X91327866Y-136218736D01* -X91342160Y-136214400D01* -X91355334Y-136207358D01* -X91366882Y-136197882D01* -X91376358Y-136186334D01* -X91383400Y-136173160D01* -X91387736Y-136158866D01* -X91389200Y-136144000D01* -X91389200Y-135763000D01* -X91490800Y-135763000D01* -X91490800Y-136144000D01* -X91492264Y-136158866D01* -X91496600Y-136173160D01* -X91503642Y-136186334D01* -X91513118Y-136197882D01* -X91524666Y-136207358D01* -X91537840Y-136214400D01* -X91552134Y-136218736D01* -X91567000Y-136220200D01* -X91948000Y-136220200D01* -X91962866Y-136218736D01* -X91977160Y-136214400D01* -X91990334Y-136207358D01* -X92001882Y-136197882D01* -X92011358Y-136186334D01* -X92018400Y-136173160D01* -X92022736Y-136158866D01* -X92024200Y-136144000D01* -X92024200Y-135763000D01* -X93395800Y-135763000D01* -X93395800Y-136144000D01* -X93397264Y-136158866D01* -X93401600Y-136173160D01* -X93408642Y-136186334D01* -X93418118Y-136197882D01* -X93429666Y-136207358D01* -X93442840Y-136214400D01* -X93457134Y-136218736D01* -X93472000Y-136220200D01* -X93853000Y-136220200D01* -X93867866Y-136218736D01* -X93882160Y-136214400D01* -X93895334Y-136207358D01* -X93906882Y-136197882D01* -X93916358Y-136186334D01* -X93923400Y-136173160D01* -X93927736Y-136158866D01* -X93929200Y-136144000D01* -X93929200Y-135763000D01* -X94030800Y-135763000D01* -X94030800Y-136144000D01* -X94032264Y-136158866D01* -X94036600Y-136173160D01* -X94043642Y-136186334D01* -X94053118Y-136197882D01* -X94064666Y-136207358D01* -X94077840Y-136214400D01* -X94092134Y-136218736D01* -X94107000Y-136220200D01* -X94488000Y-136220200D01* -X94502866Y-136218736D01* -X94517160Y-136214400D01* -X94530334Y-136207358D01* -X94541882Y-136197882D01* -X94551358Y-136186334D01* -X94558400Y-136173160D01* -X94562736Y-136158866D01* -X94564200Y-136144000D01* -X94564200Y-135763000D01* -X95935800Y-135763000D01* -X95935800Y-136144000D01* -X95937264Y-136158866D01* -X95941600Y-136173160D01* -X95948642Y-136186334D01* -X95958118Y-136197882D01* -X95969666Y-136207358D01* -X95982840Y-136214400D01* -X95997134Y-136218736D01* -X96012000Y-136220200D01* -X96393000Y-136220200D01* -X96407866Y-136218736D01* -X96422160Y-136214400D01* -X96435334Y-136207358D01* -X96446882Y-136197882D01* -X96456358Y-136186334D01* -X96463400Y-136173160D01* -X96467736Y-136158866D01* -X96469200Y-136144000D01* -X96469200Y-135763000D01* -X96570800Y-135763000D01* -X96570800Y-136144000D01* -X96572264Y-136158866D01* -X96576600Y-136173160D01* -X96583642Y-136186334D01* -X96593118Y-136197882D01* -X96604666Y-136207358D01* -X96617840Y-136214400D01* -X96632134Y-136218736D01* -X96647000Y-136220200D01* -X97028000Y-136220200D01* -X97042866Y-136218736D01* -X97057160Y-136214400D01* -X97070334Y-136207358D01* -X97081882Y-136197882D01* -X97091358Y-136186334D01* -X97098400Y-136173160D01* -X97102736Y-136158866D01* -X97104200Y-136144000D01* -X97104200Y-135763000D01* -X98475800Y-135763000D01* -X98475800Y-136144000D01* -X98477264Y-136158866D01* -X98481600Y-136173160D01* -X98488642Y-136186334D01* -X98498118Y-136197882D01* -X98509666Y-136207358D01* -X98522840Y-136214400D01* -X98537134Y-136218736D01* -X98552000Y-136220200D01* -X98933000Y-136220200D01* -X98947866Y-136218736D01* -X98962160Y-136214400D01* -X98975334Y-136207358D01* -X98986882Y-136197882D01* -X98996358Y-136186334D01* -X99003400Y-136173160D01* -X99007736Y-136158866D01* -X99009200Y-136144000D01* -X99009200Y-135763000D01* -X99110800Y-135763000D01* -X99110800Y-136144000D01* -X99112264Y-136158866D01* -X99116600Y-136173160D01* -X99123642Y-136186334D01* -X99133118Y-136197882D01* -X99144666Y-136207358D01* -X99157840Y-136214400D01* -X99172134Y-136218736D01* -X99187000Y-136220200D01* -X99568000Y-136220200D01* -X99582866Y-136218736D01* -X99597160Y-136214400D01* -X99610334Y-136207358D01* -X99621882Y-136197882D01* -X99631358Y-136186334D01* -X99638400Y-136173160D01* -X99642736Y-136158866D01* -X99644200Y-136144000D01* -X99644200Y-135763000D01* -X101015800Y-135763000D01* -X101015800Y-136144000D01* -X101017264Y-136158866D01* -X101021600Y-136173160D01* -X101028642Y-136186334D01* -X101038118Y-136197882D01* -X101049666Y-136207358D01* -X101062840Y-136214400D01* -X101077134Y-136218736D01* -X101092000Y-136220200D01* -X101473000Y-136220200D01* -X101487866Y-136218736D01* -X101502160Y-136214400D01* -X101515334Y-136207358D01* -X101526882Y-136197882D01* -X101536358Y-136186334D01* -X101543400Y-136173160D01* -X101547736Y-136158866D01* -X101549200Y-136144000D01* -X101549200Y-135763000D01* -X101650800Y-135763000D01* -X101650800Y-136144000D01* -X101652264Y-136158866D01* -X101656600Y-136173160D01* -X101663642Y-136186334D01* -X101673118Y-136197882D01* -X101684666Y-136207358D01* -X101697840Y-136214400D01* -X101712134Y-136218736D01* -X101727000Y-136220200D01* -X102108000Y-136220200D01* -X102122866Y-136218736D01* -X102137160Y-136214400D01* -X102150334Y-136207358D01* -X102161882Y-136197882D01* -X102171358Y-136186334D01* -X102178400Y-136173160D01* -X102182736Y-136158866D01* -X102184200Y-136144000D01* -X102184200Y-135763000D01* -X103555800Y-135763000D01* -X103555800Y-136144000D01* -X103557264Y-136158866D01* -X103561600Y-136173160D01* -X103568642Y-136186334D01* -X103578118Y-136197882D01* -X103589666Y-136207358D01* -X103602840Y-136214400D01* -X103617134Y-136218736D01* -X103632000Y-136220200D01* -X104013000Y-136220200D01* -X104027866Y-136218736D01* -X104042160Y-136214400D01* -X104055334Y-136207358D01* -X104066882Y-136197882D01* -X104076358Y-136186334D01* -X104083400Y-136173160D01* -X104087736Y-136158866D01* -X104089200Y-136144000D01* -X104089200Y-135763000D01* -X104190800Y-135763000D01* -X104190800Y-136144000D01* -X104192264Y-136158866D01* -X104196600Y-136173160D01* -X104203642Y-136186334D01* -X104213118Y-136197882D01* -X104224666Y-136207358D01* -X104237840Y-136214400D01* -X104252134Y-136218736D01* -X104267000Y-136220200D01* -X104648000Y-136220200D01* -X104662866Y-136218736D01* -X104677160Y-136214400D01* -X104690334Y-136207358D01* -X104701882Y-136197882D01* -X104711358Y-136186334D01* -X104718400Y-136173160D01* -X104722736Y-136158866D01* -X104724200Y-136144000D01* -X104724200Y-135763000D01* -X106095800Y-135763000D01* -X106095800Y-136144000D01* -X106097264Y-136158866D01* -X106101600Y-136173160D01* -X106108642Y-136186334D01* -X106118118Y-136197882D01* -X106129666Y-136207358D01* -X106142840Y-136214400D01* -X106157134Y-136218736D01* -X106172000Y-136220200D01* -X106553000Y-136220200D01* -X106567866Y-136218736D01* -X106582160Y-136214400D01* -X106595334Y-136207358D01* -X106606882Y-136197882D01* -X106616358Y-136186334D01* -X106623400Y-136173160D01* -X106627736Y-136158866D01* -X106629200Y-136144000D01* -X106629200Y-135763000D01* -X106730800Y-135763000D01* -X106730800Y-136144000D01* -X106732264Y-136158866D01* -X106736600Y-136173160D01* -X106743642Y-136186334D01* -X106753118Y-136197882D01* -X106764666Y-136207358D01* -X106777840Y-136214400D01* -X106792134Y-136218736D01* -X106807000Y-136220200D01* -X107188000Y-136220200D01* -X107202866Y-136218736D01* -X107217160Y-136214400D01* -X107230334Y-136207358D01* -X107241882Y-136197882D01* -X107251358Y-136186334D01* -X107258400Y-136173160D01* -X107262736Y-136158866D01* -X107264200Y-136144000D01* -X107264200Y-135763000D01* -X108635800Y-135763000D01* -X108635800Y-136144000D01* -X108637264Y-136158866D01* -X108641600Y-136173160D01* -X108648642Y-136186334D01* -X108658118Y-136197882D01* -X108669666Y-136207358D01* -X108682840Y-136214400D01* -X108697134Y-136218736D01* -X108712000Y-136220200D01* -X109093000Y-136220200D01* -X109107866Y-136218736D01* -X109122160Y-136214400D01* -X109135334Y-136207358D01* -X109146882Y-136197882D01* -X109156358Y-136186334D01* -X109163400Y-136173160D01* -X109167736Y-136158866D01* -X109169200Y-136144000D01* -X109169200Y-135763000D01* -X109270800Y-135763000D01* -X109270800Y-136144000D01* -X109272264Y-136158866D01* -X109276600Y-136173160D01* -X109283642Y-136186334D01* -X109293118Y-136197882D01* -X109304666Y-136207358D01* -X109317840Y-136214400D01* -X109332134Y-136218736D01* -X109347000Y-136220200D01* -X109728000Y-136220200D01* -X109742866Y-136218736D01* -X109757160Y-136214400D01* -X109770334Y-136207358D01* -X109781882Y-136197882D01* -X109791358Y-136186334D01* -X109798400Y-136173160D01* -X109802736Y-136158866D01* -X109804200Y-136144000D01* -X109804200Y-135763000D01* -X111175800Y-135763000D01* -X111175800Y-136144000D01* -X111177264Y-136158866D01* -X111181600Y-136173160D01* -X111188642Y-136186334D01* -X111198118Y-136197882D01* -X111209666Y-136207358D01* -X111222840Y-136214400D01* -X111237134Y-136218736D01* -X111252000Y-136220200D01* -X111633000Y-136220200D01* -X111647866Y-136218736D01* -X111662160Y-136214400D01* -X111675334Y-136207358D01* -X111686882Y-136197882D01* -X111696358Y-136186334D01* -X111703400Y-136173160D01* -X111707736Y-136158866D01* -X111709200Y-136144000D01* -X111709200Y-135763000D01* -X111810800Y-135763000D01* -X111810800Y-136144000D01* -X111812264Y-136158866D01* -X111816600Y-136173160D01* -X111823642Y-136186334D01* -X111833118Y-136197882D01* -X111844666Y-136207358D01* -X111857840Y-136214400D01* -X111872134Y-136218736D01* -X111887000Y-136220200D01* -X112268000Y-136220200D01* -X112282866Y-136218736D01* -X112297160Y-136214400D01* -X112310334Y-136207358D01* -X112321882Y-136197882D01* -X112331358Y-136186334D01* -X112338400Y-136173160D01* -X112342736Y-136158866D01* -X112344200Y-136144000D01* -X112344200Y-135763000D01* -X113715800Y-135763000D01* -X113715800Y-136144000D01* -X113717264Y-136158866D01* -X113721600Y-136173160D01* -X113728642Y-136186334D01* -X113738118Y-136197882D01* -X113749666Y-136207358D01* -X113762840Y-136214400D01* -X113777134Y-136218736D01* -X113792000Y-136220200D01* -X114173000Y-136220200D01* -X114187866Y-136218736D01* -X114202160Y-136214400D01* -X114215334Y-136207358D01* -X114226882Y-136197882D01* -X114236358Y-136186334D01* -X114243400Y-136173160D01* -X114247736Y-136158866D01* -X114249200Y-136144000D01* -X114249200Y-135763000D01* -X114350800Y-135763000D01* -X114350800Y-136144000D01* -X114352264Y-136158866D01* -X114356600Y-136173160D01* -X114363642Y-136186334D01* -X114373118Y-136197882D01* -X114384666Y-136207358D01* -X114397840Y-136214400D01* -X114412134Y-136218736D01* -X114427000Y-136220200D01* -X114808000Y-136220200D01* -X114822866Y-136218736D01* -X114837160Y-136214400D01* -X114850334Y-136207358D01* -X114861882Y-136197882D01* -X114871358Y-136186334D01* -X114878400Y-136173160D01* -X114882736Y-136158866D01* -X114884200Y-136144000D01* -X114884200Y-135763000D01* -X116255800Y-135763000D01* -X116255800Y-136144000D01* -X116257264Y-136158866D01* -X116261600Y-136173160D01* -X116268642Y-136186334D01* -X116278118Y-136197882D01* -X116289666Y-136207358D01* -X116302840Y-136214400D01* -X116317134Y-136218736D01* -X116332000Y-136220200D01* -X116713000Y-136220200D01* -X116727866Y-136218736D01* -X116742160Y-136214400D01* -X116755334Y-136207358D01* -X116766882Y-136197882D01* -X116776358Y-136186334D01* -X116783400Y-136173160D01* -X116787736Y-136158866D01* -X116789200Y-136144000D01* -X116789200Y-135763000D01* -X116890800Y-135763000D01* -X116890800Y-136144000D01* -X116892264Y-136158866D01* -X116896600Y-136173160D01* -X116903642Y-136186334D01* -X116913118Y-136197882D01* -X116924666Y-136207358D01* -X116937840Y-136214400D01* -X116952134Y-136218736D01* -X116967000Y-136220200D01* -X117348000Y-136220200D01* -X117362866Y-136218736D01* -X117377160Y-136214400D01* -X117390334Y-136207358D01* -X117401882Y-136197882D01* -X117411358Y-136186334D01* -X117418400Y-136173160D01* -X117422736Y-136158866D01* -X117424200Y-136144000D01* -X117424200Y-135763000D01* -X118795800Y-135763000D01* -X118795800Y-136144000D01* -X118797264Y-136158866D01* -X118801600Y-136173160D01* -X118808642Y-136186334D01* -X118818118Y-136197882D01* -X118829666Y-136207358D01* -X118842840Y-136214400D01* -X118857134Y-136218736D01* -X118872000Y-136220200D01* -X119253000Y-136220200D01* -X119267866Y-136218736D01* -X119282160Y-136214400D01* -X119295334Y-136207358D01* -X119306882Y-136197882D01* -X119316358Y-136186334D01* -X119323400Y-136173160D01* -X119327736Y-136158866D01* -X119329200Y-136144000D01* -X119329200Y-135763000D01* -X119430800Y-135763000D01* -X119430800Y-136144000D01* -X119432264Y-136158866D01* -X119436600Y-136173160D01* -X119443642Y-136186334D01* -X119453118Y-136197882D01* -X119464666Y-136207358D01* -X119477840Y-136214400D01* -X119492134Y-136218736D01* -X119507000Y-136220200D01* -X119888000Y-136220200D01* -X119902866Y-136218736D01* -X119917160Y-136214400D01* -X119930334Y-136207358D01* -X119941882Y-136197882D01* -X119951358Y-136186334D01* -X119958400Y-136173160D01* -X119962736Y-136158866D01* -X119964200Y-136144000D01* -X119964200Y-135763000D01* -X121335800Y-135763000D01* -X121335800Y-136144000D01* -X121337264Y-136158866D01* -X121341600Y-136173160D01* -X121348642Y-136186334D01* -X121358118Y-136197882D01* -X121369666Y-136207358D01* -X121382840Y-136214400D01* -X121397134Y-136218736D01* -X121412000Y-136220200D01* -X121793000Y-136220200D01* -X121807866Y-136218736D01* -X121822160Y-136214400D01* -X121835334Y-136207358D01* -X121846882Y-136197882D01* -X121856358Y-136186334D01* -X121863400Y-136173160D01* -X121867736Y-136158866D01* -X121869200Y-136144000D01* -X121869200Y-135763000D01* -X121970800Y-135763000D01* -X121970800Y-136144000D01* -X121972264Y-136158866D01* -X121976600Y-136173160D01* -X121983642Y-136186334D01* -X121993118Y-136197882D01* -X122004666Y-136207358D01* -X122017840Y-136214400D01* -X122032134Y-136218736D01* -X122047000Y-136220200D01* -X122428000Y-136220200D01* -X122442866Y-136218736D01* -X122457160Y-136214400D01* -X122470334Y-136207358D01* -X122481882Y-136197882D01* -X122491358Y-136186334D01* -X122498400Y-136173160D01* -X122502736Y-136158866D01* -X122504200Y-136144000D01* -X122504200Y-135763000D01* -X123875800Y-135763000D01* -X123875800Y-136144000D01* -X123877264Y-136158866D01* -X123881600Y-136173160D01* -X123888642Y-136186334D01* -X123898118Y-136197882D01* -X123909666Y-136207358D01* -X123922840Y-136214400D01* -X123937134Y-136218736D01* -X123952000Y-136220200D01* -X124333000Y-136220200D01* -X124347866Y-136218736D01* -X124362160Y-136214400D01* -X124375334Y-136207358D01* -X124386882Y-136197882D01* -X124396358Y-136186334D01* -X124403400Y-136173160D01* -X124407736Y-136158866D01* -X124409200Y-136144000D01* -X124409200Y-135763000D01* -X124510800Y-135763000D01* -X124510800Y-136144000D01* -X124512264Y-136158866D01* -X124516600Y-136173160D01* -X124523642Y-136186334D01* -X124533118Y-136197882D01* -X124544666Y-136207358D01* -X124557840Y-136214400D01* -X124572134Y-136218736D01* -X124587000Y-136220200D01* -X124968000Y-136220200D01* -X124982866Y-136218736D01* -X124997160Y-136214400D01* -X125010334Y-136207358D01* -X125021882Y-136197882D01* -X125031358Y-136186334D01* -X125038400Y-136173160D01* -X125042736Y-136158866D01* -X125044200Y-136144000D01* -X125044200Y-135763000D01* -X126415800Y-135763000D01* -X126415800Y-136144000D01* -X126417264Y-136158866D01* -X126421600Y-136173160D01* -X126428642Y-136186334D01* -X126438118Y-136197882D01* -X126449666Y-136207358D01* -X126462840Y-136214400D01* -X126477134Y-136218736D01* -X126492000Y-136220200D01* -X126873000Y-136220200D01* -X126887866Y-136218736D01* -X126902160Y-136214400D01* -X126915334Y-136207358D01* -X126926882Y-136197882D01* -X126936358Y-136186334D01* -X126943400Y-136173160D01* -X126947736Y-136158866D01* -X126949200Y-136144000D01* -X126949200Y-135763000D01* -X127050800Y-135763000D01* -X127050800Y-136144000D01* -X127052264Y-136158866D01* -X127056600Y-136173160D01* -X127063642Y-136186334D01* -X127073118Y-136197882D01* -X127084666Y-136207358D01* -X127097840Y-136214400D01* -X127112134Y-136218736D01* -X127127000Y-136220200D01* -X127508000Y-136220200D01* -X127522866Y-136218736D01* -X127537160Y-136214400D01* -X127550334Y-136207358D01* -X127561882Y-136197882D01* -X127571358Y-136186334D01* -X127578400Y-136173160D01* -X127582736Y-136158866D01* -X127584200Y-136144000D01* -X127584200Y-135763000D01* -X128955800Y-135763000D01* -X128955800Y-136144000D01* -X128957264Y-136158866D01* -X128961600Y-136173160D01* -X128968642Y-136186334D01* -X128978118Y-136197882D01* -X128989666Y-136207358D01* -X129002840Y-136214400D01* -X129017134Y-136218736D01* -X129032000Y-136220200D01* -X129413000Y-136220200D01* -X129427866Y-136218736D01* -X129442160Y-136214400D01* -X129455334Y-136207358D01* -X129466882Y-136197882D01* -X129476358Y-136186334D01* -X129483400Y-136173160D01* -X129487736Y-136158866D01* -X129489200Y-136144000D01* -X129489200Y-135763000D01* -X129590800Y-135763000D01* -X129590800Y-136144000D01* -X129592264Y-136158866D01* -X129596600Y-136173160D01* -X129603642Y-136186334D01* -X129613118Y-136197882D01* -X129624666Y-136207358D01* -X129637840Y-136214400D01* -X129652134Y-136218736D01* -X129667000Y-136220200D01* -X130048000Y-136220200D01* -X130062866Y-136218736D01* -X130077160Y-136214400D01* -X130090334Y-136207358D01* -X130101882Y-136197882D01* -X130111358Y-136186334D01* -X130118400Y-136173160D01* -X130122736Y-136158866D01* -X130124200Y-136144000D01* -X130124200Y-135763000D01* -X131495800Y-135763000D01* -X131495800Y-136144000D01* -X131497264Y-136158866D01* -X131501600Y-136173160D01* -X131508642Y-136186334D01* -X131518118Y-136197882D01* -X131529666Y-136207358D01* -X131542840Y-136214400D01* -X131557134Y-136218736D01* -X131572000Y-136220200D01* -X131953000Y-136220200D01* -X131967866Y-136218736D01* -X131982160Y-136214400D01* -X131995334Y-136207358D01* -X132006882Y-136197882D01* -X132016358Y-136186334D01* -X132023400Y-136173160D01* -X132027736Y-136158866D01* -X132029200Y-136144000D01* -X132029200Y-135763000D01* -X132130800Y-135763000D01* -X132130800Y-136144000D01* -X132132264Y-136158866D01* -X132136600Y-136173160D01* -X132143642Y-136186334D01* -X132153118Y-136197882D01* -X132164666Y-136207358D01* -X132177840Y-136214400D01* -X132192134Y-136218736D01* -X132207000Y-136220200D01* -X132588000Y-136220200D01* -X132602866Y-136218736D01* -X132617160Y-136214400D01* -X132630334Y-136207358D01* -X132641882Y-136197882D01* -X132651358Y-136186334D01* -X132658400Y-136173160D01* -X132662736Y-136158866D01* -X132664200Y-136144000D01* -X132664200Y-135763000D01* -X134035800Y-135763000D01* -X134035800Y-136144000D01* -X134037264Y-136158866D01* -X134041600Y-136173160D01* -X134048642Y-136186334D01* -X134058118Y-136197882D01* -X134069666Y-136207358D01* -X134082840Y-136214400D01* -X134097134Y-136218736D01* -X134112000Y-136220200D01* -X134493000Y-136220200D01* -X134507866Y-136218736D01* -X134522160Y-136214400D01* -X134535334Y-136207358D01* -X134546882Y-136197882D01* -X134556358Y-136186334D01* -X134563400Y-136173160D01* -X134567736Y-136158866D01* -X134569200Y-136144000D01* -X134569200Y-135763000D01* -X134670800Y-135763000D01* -X134670800Y-136144000D01* -X134672264Y-136158866D01* -X134676600Y-136173160D01* -X134683642Y-136186334D01* -X134693118Y-136197882D01* -X134704666Y-136207358D01* -X134717840Y-136214400D01* -X134732134Y-136218736D01* -X134747000Y-136220200D01* -X135128000Y-136220200D01* -X135142866Y-136218736D01* -X135157160Y-136214400D01* -X135170334Y-136207358D01* -X135181882Y-136197882D01* -X135191358Y-136186334D01* -X135198400Y-136173160D01* -X135202736Y-136158866D01* -X135204200Y-136144000D01* -X135204200Y-135763000D01* -X135202736Y-135748134D01* -X135198400Y-135733840D01* -X135191358Y-135720666D01* -X135181882Y-135709118D01* -X135170334Y-135699642D01* -X135157160Y-135692600D01* -X135142866Y-135688264D01* -X135128000Y-135686800D01* -X134747000Y-135686800D01* -X134732134Y-135688264D01* -X134717840Y-135692600D01* -X134704666Y-135699642D01* -X134693118Y-135709118D01* -X134683642Y-135720666D01* -X134676600Y-135733840D01* -X134672264Y-135748134D01* -X134670800Y-135763000D01* -X134569200Y-135763000D01* -X134567736Y-135748134D01* -X134563400Y-135733840D01* -X134556358Y-135720666D01* -X134546882Y-135709118D01* -X134535334Y-135699642D01* -X134522160Y-135692600D01* -X134507866Y-135688264D01* -X134493000Y-135686800D01* -X134112000Y-135686800D01* -X134097134Y-135688264D01* -X134082840Y-135692600D01* -X134069666Y-135699642D01* -X134058118Y-135709118D01* -X134048642Y-135720666D01* -X134041600Y-135733840D01* -X134037264Y-135748134D01* -X134035800Y-135763000D01* -X132664200Y-135763000D01* -X132662736Y-135748134D01* -X132658400Y-135733840D01* -X132651358Y-135720666D01* -X132641882Y-135709118D01* -X132630334Y-135699642D01* -X132617160Y-135692600D01* -X132602866Y-135688264D01* -X132588000Y-135686800D01* -X132207000Y-135686800D01* -X132192134Y-135688264D01* -X132177840Y-135692600D01* -X132164666Y-135699642D01* -X132153118Y-135709118D01* -X132143642Y-135720666D01* -X132136600Y-135733840D01* -X132132264Y-135748134D01* -X132130800Y-135763000D01* -X132029200Y-135763000D01* -X132027736Y-135748134D01* -X132023400Y-135733840D01* -X132016358Y-135720666D01* -X132006882Y-135709118D01* -X131995334Y-135699642D01* -X131982160Y-135692600D01* -X131967866Y-135688264D01* -X131953000Y-135686800D01* -X131572000Y-135686800D01* -X131557134Y-135688264D01* -X131542840Y-135692600D01* -X131529666Y-135699642D01* -X131518118Y-135709118D01* -X131508642Y-135720666D01* -X131501600Y-135733840D01* -X131497264Y-135748134D01* -X131495800Y-135763000D01* -X130124200Y-135763000D01* -X130122736Y-135748134D01* -X130118400Y-135733840D01* -X130111358Y-135720666D01* -X130101882Y-135709118D01* -X130090334Y-135699642D01* -X130077160Y-135692600D01* -X130062866Y-135688264D01* -X130048000Y-135686800D01* -X129667000Y-135686800D01* -X129652134Y-135688264D01* -X129637840Y-135692600D01* -X129624666Y-135699642D01* -X129613118Y-135709118D01* -X129603642Y-135720666D01* -X129596600Y-135733840D01* -X129592264Y-135748134D01* -X129590800Y-135763000D01* -X129489200Y-135763000D01* -X129487736Y-135748134D01* -X129483400Y-135733840D01* -X129476358Y-135720666D01* -X129466882Y-135709118D01* -X129455334Y-135699642D01* -X129442160Y-135692600D01* -X129427866Y-135688264D01* -X129413000Y-135686800D01* -X129032000Y-135686800D01* -X129017134Y-135688264D01* -X129002840Y-135692600D01* -X128989666Y-135699642D01* -X128978118Y-135709118D01* -X128968642Y-135720666D01* -X128961600Y-135733840D01* -X128957264Y-135748134D01* -X128955800Y-135763000D01* -X127584200Y-135763000D01* -X127582736Y-135748134D01* -X127578400Y-135733840D01* -X127571358Y-135720666D01* -X127561882Y-135709118D01* -X127550334Y-135699642D01* -X127537160Y-135692600D01* -X127522866Y-135688264D01* -X127508000Y-135686800D01* -X127127000Y-135686800D01* -X127112134Y-135688264D01* -X127097840Y-135692600D01* -X127084666Y-135699642D01* -X127073118Y-135709118D01* -X127063642Y-135720666D01* -X127056600Y-135733840D01* -X127052264Y-135748134D01* -X127050800Y-135763000D01* -X126949200Y-135763000D01* -X126947736Y-135748134D01* -X126943400Y-135733840D01* -X126936358Y-135720666D01* -X126926882Y-135709118D01* -X126915334Y-135699642D01* -X126902160Y-135692600D01* -X126887866Y-135688264D01* -X126873000Y-135686800D01* -X126492000Y-135686800D01* -X126477134Y-135688264D01* -X126462840Y-135692600D01* -X126449666Y-135699642D01* -X126438118Y-135709118D01* -X126428642Y-135720666D01* -X126421600Y-135733840D01* -X126417264Y-135748134D01* -X126415800Y-135763000D01* -X125044200Y-135763000D01* -X125042736Y-135748134D01* -X125038400Y-135733840D01* -X125031358Y-135720666D01* -X125021882Y-135709118D01* -X125010334Y-135699642D01* -X124997160Y-135692600D01* -X124982866Y-135688264D01* -X124968000Y-135686800D01* -X124587000Y-135686800D01* -X124572134Y-135688264D01* -X124557840Y-135692600D01* -X124544666Y-135699642D01* -X124533118Y-135709118D01* -X124523642Y-135720666D01* -X124516600Y-135733840D01* -X124512264Y-135748134D01* -X124510800Y-135763000D01* -X124409200Y-135763000D01* -X124407736Y-135748134D01* -X124403400Y-135733840D01* -X124396358Y-135720666D01* -X124386882Y-135709118D01* -X124375334Y-135699642D01* -X124362160Y-135692600D01* -X124347866Y-135688264D01* -X124333000Y-135686800D01* -X123952000Y-135686800D01* -X123937134Y-135688264D01* -X123922840Y-135692600D01* -X123909666Y-135699642D01* -X123898118Y-135709118D01* -X123888642Y-135720666D01* -X123881600Y-135733840D01* -X123877264Y-135748134D01* -X123875800Y-135763000D01* -X122504200Y-135763000D01* -X122502736Y-135748134D01* -X122498400Y-135733840D01* -X122491358Y-135720666D01* -X122481882Y-135709118D01* -X122470334Y-135699642D01* -X122457160Y-135692600D01* -X122442866Y-135688264D01* -X122428000Y-135686800D01* -X122047000Y-135686800D01* -X122032134Y-135688264D01* -X122017840Y-135692600D01* -X122004666Y-135699642D01* -X121993118Y-135709118D01* -X121983642Y-135720666D01* -X121976600Y-135733840D01* -X121972264Y-135748134D01* -X121970800Y-135763000D01* -X121869200Y-135763000D01* -X121867736Y-135748134D01* -X121863400Y-135733840D01* -X121856358Y-135720666D01* -X121846882Y-135709118D01* -X121835334Y-135699642D01* -X121822160Y-135692600D01* -X121807866Y-135688264D01* -X121793000Y-135686800D01* -X121412000Y-135686800D01* -X121397134Y-135688264D01* -X121382840Y-135692600D01* -X121369666Y-135699642D01* -X121358118Y-135709118D01* -X121348642Y-135720666D01* -X121341600Y-135733840D01* -X121337264Y-135748134D01* -X121335800Y-135763000D01* -X119964200Y-135763000D01* -X119962736Y-135748134D01* -X119958400Y-135733840D01* -X119951358Y-135720666D01* -X119941882Y-135709118D01* -X119930334Y-135699642D01* -X119917160Y-135692600D01* -X119902866Y-135688264D01* -X119888000Y-135686800D01* -X119507000Y-135686800D01* -X119492134Y-135688264D01* -X119477840Y-135692600D01* -X119464666Y-135699642D01* -X119453118Y-135709118D01* -X119443642Y-135720666D01* -X119436600Y-135733840D01* -X119432264Y-135748134D01* -X119430800Y-135763000D01* -X119329200Y-135763000D01* -X119327736Y-135748134D01* -X119323400Y-135733840D01* -X119316358Y-135720666D01* -X119306882Y-135709118D01* -X119295334Y-135699642D01* -X119282160Y-135692600D01* -X119267866Y-135688264D01* -X119253000Y-135686800D01* -X118872000Y-135686800D01* -X118857134Y-135688264D01* -X118842840Y-135692600D01* -X118829666Y-135699642D01* -X118818118Y-135709118D01* -X118808642Y-135720666D01* -X118801600Y-135733840D01* -X118797264Y-135748134D01* -X118795800Y-135763000D01* -X117424200Y-135763000D01* -X117422736Y-135748134D01* -X117418400Y-135733840D01* -X117411358Y-135720666D01* -X117401882Y-135709118D01* -X117390334Y-135699642D01* -X117377160Y-135692600D01* -X117362866Y-135688264D01* -X117348000Y-135686800D01* -X116967000Y-135686800D01* -X116952134Y-135688264D01* -X116937840Y-135692600D01* -X116924666Y-135699642D01* -X116913118Y-135709118D01* -X116903642Y-135720666D01* -X116896600Y-135733840D01* -X116892264Y-135748134D01* -X116890800Y-135763000D01* -X116789200Y-135763000D01* -X116787736Y-135748134D01* -X116783400Y-135733840D01* -X116776358Y-135720666D01* -X116766882Y-135709118D01* -X116755334Y-135699642D01* -X116742160Y-135692600D01* -X116727866Y-135688264D01* -X116713000Y-135686800D01* -X116332000Y-135686800D01* -X116317134Y-135688264D01* -X116302840Y-135692600D01* -X116289666Y-135699642D01* -X116278118Y-135709118D01* -X116268642Y-135720666D01* -X116261600Y-135733840D01* -X116257264Y-135748134D01* -X116255800Y-135763000D01* -X114884200Y-135763000D01* -X114882736Y-135748134D01* -X114878400Y-135733840D01* -X114871358Y-135720666D01* -X114861882Y-135709118D01* -X114850334Y-135699642D01* -X114837160Y-135692600D01* -X114822866Y-135688264D01* -X114808000Y-135686800D01* -X114427000Y-135686800D01* -X114412134Y-135688264D01* -X114397840Y-135692600D01* -X114384666Y-135699642D01* -X114373118Y-135709118D01* -X114363642Y-135720666D01* -X114356600Y-135733840D01* -X114352264Y-135748134D01* -X114350800Y-135763000D01* -X114249200Y-135763000D01* -X114247736Y-135748134D01* -X114243400Y-135733840D01* -X114236358Y-135720666D01* -X114226882Y-135709118D01* -X114215334Y-135699642D01* -X114202160Y-135692600D01* -X114187866Y-135688264D01* -X114173000Y-135686800D01* -X113792000Y-135686800D01* -X113777134Y-135688264D01* -X113762840Y-135692600D01* -X113749666Y-135699642D01* -X113738118Y-135709118D01* -X113728642Y-135720666D01* -X113721600Y-135733840D01* -X113717264Y-135748134D01* -X113715800Y-135763000D01* -X112344200Y-135763000D01* -X112342736Y-135748134D01* -X112338400Y-135733840D01* -X112331358Y-135720666D01* -X112321882Y-135709118D01* -X112310334Y-135699642D01* -X112297160Y-135692600D01* -X112282866Y-135688264D01* -X112268000Y-135686800D01* -X111887000Y-135686800D01* -X111872134Y-135688264D01* -X111857840Y-135692600D01* -X111844666Y-135699642D01* -X111833118Y-135709118D01* -X111823642Y-135720666D01* -X111816600Y-135733840D01* -X111812264Y-135748134D01* -X111810800Y-135763000D01* -X111709200Y-135763000D01* -X111707736Y-135748134D01* -X111703400Y-135733840D01* -X111696358Y-135720666D01* -X111686882Y-135709118D01* -X111675334Y-135699642D01* -X111662160Y-135692600D01* -X111647866Y-135688264D01* -X111633000Y-135686800D01* -X111252000Y-135686800D01* -X111237134Y-135688264D01* -X111222840Y-135692600D01* -X111209666Y-135699642D01* -X111198118Y-135709118D01* -X111188642Y-135720666D01* -X111181600Y-135733840D01* -X111177264Y-135748134D01* -X111175800Y-135763000D01* -X109804200Y-135763000D01* -X109802736Y-135748134D01* -X109798400Y-135733840D01* -X109791358Y-135720666D01* -X109781882Y-135709118D01* -X109770334Y-135699642D01* -X109757160Y-135692600D01* -X109742866Y-135688264D01* -X109728000Y-135686800D01* -X109347000Y-135686800D01* -X109332134Y-135688264D01* -X109317840Y-135692600D01* -X109304666Y-135699642D01* -X109293118Y-135709118D01* -X109283642Y-135720666D01* -X109276600Y-135733840D01* -X109272264Y-135748134D01* -X109270800Y-135763000D01* -X109169200Y-135763000D01* -X109167736Y-135748134D01* -X109163400Y-135733840D01* -X109156358Y-135720666D01* -X109146882Y-135709118D01* -X109135334Y-135699642D01* -X109122160Y-135692600D01* -X109107866Y-135688264D01* -X109093000Y-135686800D01* -X108712000Y-135686800D01* -X108697134Y-135688264D01* -X108682840Y-135692600D01* -X108669666Y-135699642D01* -X108658118Y-135709118D01* -X108648642Y-135720666D01* -X108641600Y-135733840D01* -X108637264Y-135748134D01* -X108635800Y-135763000D01* -X107264200Y-135763000D01* -X107262736Y-135748134D01* -X107258400Y-135733840D01* -X107251358Y-135720666D01* -X107241882Y-135709118D01* -X107230334Y-135699642D01* -X107217160Y-135692600D01* -X107202866Y-135688264D01* -X107188000Y-135686800D01* -X106807000Y-135686800D01* -X106792134Y-135688264D01* -X106777840Y-135692600D01* -X106764666Y-135699642D01* -X106753118Y-135709118D01* -X106743642Y-135720666D01* -X106736600Y-135733840D01* -X106732264Y-135748134D01* -X106730800Y-135763000D01* -X106629200Y-135763000D01* -X106627736Y-135748134D01* -X106623400Y-135733840D01* -X106616358Y-135720666D01* -X106606882Y-135709118D01* -X106595334Y-135699642D01* -X106582160Y-135692600D01* -X106567866Y-135688264D01* -X106553000Y-135686800D01* -X106172000Y-135686800D01* -X106157134Y-135688264D01* -X106142840Y-135692600D01* -X106129666Y-135699642D01* -X106118118Y-135709118D01* -X106108642Y-135720666D01* -X106101600Y-135733840D01* -X106097264Y-135748134D01* -X106095800Y-135763000D01* -X104724200Y-135763000D01* -X104722736Y-135748134D01* -X104718400Y-135733840D01* -X104711358Y-135720666D01* -X104701882Y-135709118D01* -X104690334Y-135699642D01* -X104677160Y-135692600D01* -X104662866Y-135688264D01* -X104648000Y-135686800D01* -X104267000Y-135686800D01* -X104252134Y-135688264D01* -X104237840Y-135692600D01* -X104224666Y-135699642D01* -X104213118Y-135709118D01* -X104203642Y-135720666D01* -X104196600Y-135733840D01* -X104192264Y-135748134D01* -X104190800Y-135763000D01* -X104089200Y-135763000D01* -X104087736Y-135748134D01* -X104083400Y-135733840D01* -X104076358Y-135720666D01* -X104066882Y-135709118D01* -X104055334Y-135699642D01* -X104042160Y-135692600D01* -X104027866Y-135688264D01* -X104013000Y-135686800D01* -X103632000Y-135686800D01* -X103617134Y-135688264D01* -X103602840Y-135692600D01* -X103589666Y-135699642D01* -X103578118Y-135709118D01* -X103568642Y-135720666D01* -X103561600Y-135733840D01* -X103557264Y-135748134D01* -X103555800Y-135763000D01* -X102184200Y-135763000D01* -X102182736Y-135748134D01* -X102178400Y-135733840D01* -X102171358Y-135720666D01* -X102161882Y-135709118D01* -X102150334Y-135699642D01* -X102137160Y-135692600D01* -X102122866Y-135688264D01* -X102108000Y-135686800D01* -X101727000Y-135686800D01* -X101712134Y-135688264D01* -X101697840Y-135692600D01* -X101684666Y-135699642D01* -X101673118Y-135709118D01* -X101663642Y-135720666D01* -X101656600Y-135733840D01* -X101652264Y-135748134D01* -X101650800Y-135763000D01* -X101549200Y-135763000D01* -X101547736Y-135748134D01* -X101543400Y-135733840D01* -X101536358Y-135720666D01* -X101526882Y-135709118D01* -X101515334Y-135699642D01* -X101502160Y-135692600D01* -X101487866Y-135688264D01* -X101473000Y-135686800D01* -X101092000Y-135686800D01* -X101077134Y-135688264D01* -X101062840Y-135692600D01* -X101049666Y-135699642D01* -X101038118Y-135709118D01* -X101028642Y-135720666D01* -X101021600Y-135733840D01* -X101017264Y-135748134D01* -X101015800Y-135763000D01* -X99644200Y-135763000D01* -X99642736Y-135748134D01* -X99638400Y-135733840D01* -X99631358Y-135720666D01* -X99621882Y-135709118D01* -X99610334Y-135699642D01* -X99597160Y-135692600D01* -X99582866Y-135688264D01* -X99568000Y-135686800D01* -X99187000Y-135686800D01* -X99172134Y-135688264D01* -X99157840Y-135692600D01* -X99144666Y-135699642D01* -X99133118Y-135709118D01* -X99123642Y-135720666D01* -X99116600Y-135733840D01* -X99112264Y-135748134D01* -X99110800Y-135763000D01* -X99009200Y-135763000D01* -X99007736Y-135748134D01* -X99003400Y-135733840D01* -X98996358Y-135720666D01* -X98986882Y-135709118D01* -X98975334Y-135699642D01* -X98962160Y-135692600D01* -X98947866Y-135688264D01* -X98933000Y-135686800D01* -X98552000Y-135686800D01* -X98537134Y-135688264D01* -X98522840Y-135692600D01* -X98509666Y-135699642D01* -X98498118Y-135709118D01* -X98488642Y-135720666D01* -X98481600Y-135733840D01* -X98477264Y-135748134D01* -X98475800Y-135763000D01* -X97104200Y-135763000D01* -X97102736Y-135748134D01* -X97098400Y-135733840D01* -X97091358Y-135720666D01* -X97081882Y-135709118D01* -X97070334Y-135699642D01* -X97057160Y-135692600D01* -X97042866Y-135688264D01* -X97028000Y-135686800D01* -X96647000Y-135686800D01* -X96632134Y-135688264D01* -X96617840Y-135692600D01* -X96604666Y-135699642D01* -X96593118Y-135709118D01* -X96583642Y-135720666D01* -X96576600Y-135733840D01* -X96572264Y-135748134D01* -X96570800Y-135763000D01* -X96469200Y-135763000D01* -X96467736Y-135748134D01* -X96463400Y-135733840D01* -X96456358Y-135720666D01* -X96446882Y-135709118D01* -X96435334Y-135699642D01* -X96422160Y-135692600D01* -X96407866Y-135688264D01* -X96393000Y-135686800D01* -X96012000Y-135686800D01* -X95997134Y-135688264D01* -X95982840Y-135692600D01* -X95969666Y-135699642D01* -X95958118Y-135709118D01* -X95948642Y-135720666D01* -X95941600Y-135733840D01* -X95937264Y-135748134D01* -X95935800Y-135763000D01* -X94564200Y-135763000D01* -X94562736Y-135748134D01* -X94558400Y-135733840D01* -X94551358Y-135720666D01* -X94541882Y-135709118D01* -X94530334Y-135699642D01* -X94517160Y-135692600D01* -X94502866Y-135688264D01* -X94488000Y-135686800D01* -X94107000Y-135686800D01* -X94092134Y-135688264D01* -X94077840Y-135692600D01* -X94064666Y-135699642D01* -X94053118Y-135709118D01* -X94043642Y-135720666D01* -X94036600Y-135733840D01* -X94032264Y-135748134D01* -X94030800Y-135763000D01* -X93929200Y-135763000D01* -X93927736Y-135748134D01* -X93923400Y-135733840D01* -X93916358Y-135720666D01* -X93906882Y-135709118D01* -X93895334Y-135699642D01* -X93882160Y-135692600D01* -X93867866Y-135688264D01* -X93853000Y-135686800D01* -X93472000Y-135686800D01* -X93457134Y-135688264D01* -X93442840Y-135692600D01* -X93429666Y-135699642D01* -X93418118Y-135709118D01* -X93408642Y-135720666D01* -X93401600Y-135733840D01* -X93397264Y-135748134D01* -X93395800Y-135763000D01* -X92024200Y-135763000D01* -X92022736Y-135748134D01* -X92018400Y-135733840D01* -X92011358Y-135720666D01* -X92001882Y-135709118D01* -X91990334Y-135699642D01* -X91977160Y-135692600D01* -X91962866Y-135688264D01* -X91948000Y-135686800D01* -X91567000Y-135686800D01* -X91552134Y-135688264D01* -X91537840Y-135692600D01* -X91524666Y-135699642D01* -X91513118Y-135709118D01* -X91503642Y-135720666D01* -X91496600Y-135733840D01* -X91492264Y-135748134D01* -X91490800Y-135763000D01* -X91389200Y-135763000D01* -X91387736Y-135748134D01* -X91383400Y-135733840D01* -X91376358Y-135720666D01* -X91366882Y-135709118D01* -X91355334Y-135699642D01* -X91342160Y-135692600D01* -X91327866Y-135688264D01* -X91313000Y-135686800D01* -X90932000Y-135686800D01* -X90917134Y-135688264D01* -X90902840Y-135692600D01* -X90889666Y-135699642D01* -X90878118Y-135709118D01* -X90868642Y-135720666D01* -X90861600Y-135733840D01* -X90857264Y-135748134D01* -X90855800Y-135763000D01* -X89484200Y-135763000D01* -X89482736Y-135748134D01* -X89478400Y-135733840D01* -X89471358Y-135720666D01* -X89461882Y-135709118D01* -X89450334Y-135699642D01* -X89437160Y-135692600D01* -X89422866Y-135688264D01* -X89408000Y-135686800D01* -X89027000Y-135686800D01* -X89012134Y-135688264D01* -X88997840Y-135692600D01* -X88984666Y-135699642D01* -X88973118Y-135709118D01* -X88963642Y-135720666D01* -X88956600Y-135733840D01* -X88952264Y-135748134D01* -X88950800Y-135763000D01* -X88849200Y-135763000D01* -X88847736Y-135748134D01* -X88843400Y-135733840D01* -X88836358Y-135720666D01* -X88826882Y-135709118D01* -X88815334Y-135699642D01* -X88802160Y-135692600D01* -X88787866Y-135688264D01* -X88773000Y-135686800D01* -X88392000Y-135686800D01* -X88377134Y-135688264D01* -X88362840Y-135692600D01* -X88349666Y-135699642D01* -X88338118Y-135709118D01* -X88328642Y-135720666D01* -X88321600Y-135733840D01* -X88317264Y-135748134D01* -X88315800Y-135763000D01* -X86944200Y-135763000D01* -X86942736Y-135748134D01* -X86938400Y-135733840D01* -X86931358Y-135720666D01* -X86921882Y-135709118D01* -X86910334Y-135699642D01* -X86897160Y-135692600D01* -X86882866Y-135688264D01* -X86868000Y-135686800D01* -X86487000Y-135686800D01* -X86472134Y-135688264D01* -X86457840Y-135692600D01* -X86444666Y-135699642D01* -X86433118Y-135709118D01* -X86423642Y-135720666D01* -X86416600Y-135733840D01* -X86412264Y-135748134D01* -X86410800Y-135763000D01* -X86309200Y-135763000D01* -X86307736Y-135748134D01* -X86303400Y-135733840D01* -X86296358Y-135720666D01* -X86286882Y-135709118D01* -X86275334Y-135699642D01* -X86262160Y-135692600D01* -X86247866Y-135688264D01* -X86233000Y-135686800D01* -X85852000Y-135686800D01* -X85837134Y-135688264D01* -X85822840Y-135692600D01* -X85809666Y-135699642D01* -X85798118Y-135709118D01* -X85788642Y-135720666D01* -X85781600Y-135733840D01* -X85777264Y-135748134D01* -X85775800Y-135763000D01* -X84404200Y-135763000D01* -X84402736Y-135748134D01* -X84398400Y-135733840D01* -X84391358Y-135720666D01* -X84381882Y-135709118D01* -X84370334Y-135699642D01* -X84357160Y-135692600D01* -X84342866Y-135688264D01* -X84328000Y-135686800D01* -X83947000Y-135686800D01* -X83932134Y-135688264D01* -X83917840Y-135692600D01* -X83904666Y-135699642D01* -X83893118Y-135709118D01* -X83883642Y-135720666D01* -X83876600Y-135733840D01* -X83872264Y-135748134D01* -X83870800Y-135763000D01* -X83769200Y-135763000D01* -X83767736Y-135748134D01* -X83763400Y-135733840D01* -X83756358Y-135720666D01* -X83746882Y-135709118D01* -X83735334Y-135699642D01* -X83722160Y-135692600D01* -X83707866Y-135688264D01* -X83693000Y-135686800D01* -X83312000Y-135686800D01* -X83297134Y-135688264D01* -X83282840Y-135692600D01* -X83269666Y-135699642D01* -X83258118Y-135709118D01* -X83248642Y-135720666D01* -X83241600Y-135733840D01* -X83237264Y-135748134D01* -X83235800Y-135763000D01* -X81864200Y-135763000D01* -X81862736Y-135748134D01* -X81858400Y-135733840D01* -X81851358Y-135720666D01* -X81841882Y-135709118D01* -X81830334Y-135699642D01* -X81817160Y-135692600D01* -X81802866Y-135688264D01* -X81788000Y-135686800D01* -X81407000Y-135686800D01* -X81392134Y-135688264D01* -X81377840Y-135692600D01* -X81364666Y-135699642D01* -X81353118Y-135709118D01* -X81343642Y-135720666D01* -X81336600Y-135733840D01* -X81332264Y-135748134D01* -X81330800Y-135763000D01* -X81229200Y-135763000D01* -X81227736Y-135748134D01* -X81223400Y-135733840D01* -X81216358Y-135720666D01* -X81206882Y-135709118D01* -X81195334Y-135699642D01* -X81182160Y-135692600D01* -X81167866Y-135688264D01* -X81153000Y-135686800D01* -X80772000Y-135686800D01* -X80757134Y-135688264D01* -X80742840Y-135692600D01* -X80729666Y-135699642D01* -X80718118Y-135709118D01* -X80708642Y-135720666D01* -X80701600Y-135733840D01* -X80697264Y-135748134D01* -X80695800Y-135763000D01* -X79324200Y-135763000D01* -X79322736Y-135748134D01* -X79318400Y-135733840D01* -X79311358Y-135720666D01* -X79301882Y-135709118D01* -X79290334Y-135699642D01* -X79277160Y-135692600D01* -X79262866Y-135688264D01* -X79248000Y-135686800D01* -X78867000Y-135686800D01* -X78852134Y-135688264D01* -X78837840Y-135692600D01* -X78824666Y-135699642D01* -X78813118Y-135709118D01* -X78803642Y-135720666D01* -X78796600Y-135733840D01* -X78792264Y-135748134D01* -X78790800Y-135763000D01* -X78689200Y-135763000D01* -X78687736Y-135748134D01* -X78683400Y-135733840D01* -X78676358Y-135720666D01* -X78666882Y-135709118D01* -X78655334Y-135699642D01* -X78642160Y-135692600D01* -X78627866Y-135688264D01* -X78613000Y-135686800D01* -X78232000Y-135686800D01* -X78217134Y-135688264D01* -X78202840Y-135692600D01* -X78189666Y-135699642D01* -X78178118Y-135709118D01* -X78168642Y-135720666D01* -X78161600Y-135733840D01* -X78157264Y-135748134D01* -X78155800Y-135763000D01* -X76784200Y-135763000D01* -X76782736Y-135748134D01* -X76778400Y-135733840D01* -X76771358Y-135720666D01* -X76761882Y-135709118D01* -X76750334Y-135699642D01* -X76737160Y-135692600D01* -X76722866Y-135688264D01* -X76708000Y-135686800D01* -X76327000Y-135686800D01* -X76312134Y-135688264D01* -X76297840Y-135692600D01* -X76284666Y-135699642D01* -X76273118Y-135709118D01* -X76263642Y-135720666D01* -X76256600Y-135733840D01* -X76252264Y-135748134D01* -X76250800Y-135763000D01* -X76149200Y-135763000D01* -X76147736Y-135748134D01* -X76143400Y-135733840D01* -X76136358Y-135720666D01* -X76126882Y-135709118D01* -X76115334Y-135699642D01* -X76102160Y-135692600D01* -X76087866Y-135688264D01* -X76073000Y-135686800D01* -X75692000Y-135686800D01* -X75677134Y-135688264D01* -X75662840Y-135692600D01* -X75649666Y-135699642D01* -X75638118Y-135709118D01* -X75628642Y-135720666D01* -X75621600Y-135733840D01* -X75617264Y-135748134D01* -X75615800Y-135763000D01* -X74498200Y-135763000D01* -X74498200Y-135128000D01* -X75615800Y-135128000D01* -X75615800Y-135509000D01* -X75617264Y-135523866D01* -X75621600Y-135538160D01* -X75628642Y-135551334D01* -X75638118Y-135562882D01* -X75649666Y-135572358D01* -X75662840Y-135579400D01* -X75677134Y-135583736D01* -X75692000Y-135585200D01* -X76073000Y-135585200D01* -X76087866Y-135583736D01* -X76102160Y-135579400D01* -X76115334Y-135572358D01* -X76126882Y-135562882D01* -X76136358Y-135551334D01* -X76143400Y-135538160D01* -X76147736Y-135523866D01* -X76149200Y-135509000D01* -X76149200Y-135128000D01* -X76250800Y-135128000D01* -X76250800Y-135509000D01* -X76252264Y-135523866D01* -X76256600Y-135538160D01* -X76263642Y-135551334D01* -X76273118Y-135562882D01* -X76284666Y-135572358D01* -X76297840Y-135579400D01* -X76312134Y-135583736D01* -X76327000Y-135585200D01* -X76708000Y-135585200D01* -X76722866Y-135583736D01* -X76737160Y-135579400D01* -X76750334Y-135572358D01* -X76761882Y-135562882D01* -X76771358Y-135551334D01* -X76778400Y-135538160D01* -X76782736Y-135523866D01* -X76784200Y-135509000D01* -X76784200Y-135128000D01* -X78155800Y-135128000D01* -X78155800Y-135509000D01* -X78157264Y-135523866D01* -X78161600Y-135538160D01* -X78168642Y-135551334D01* -X78178118Y-135562882D01* -X78189666Y-135572358D01* -X78202840Y-135579400D01* -X78217134Y-135583736D01* -X78232000Y-135585200D01* -X78613000Y-135585200D01* -X78627866Y-135583736D01* -X78642160Y-135579400D01* -X78655334Y-135572358D01* -X78666882Y-135562882D01* -X78676358Y-135551334D01* -X78683400Y-135538160D01* -X78687736Y-135523866D01* -X78689200Y-135509000D01* -X78689200Y-135128000D01* -X78790800Y-135128000D01* -X78790800Y-135509000D01* -X78792264Y-135523866D01* -X78796600Y-135538160D01* -X78803642Y-135551334D01* -X78813118Y-135562882D01* -X78824666Y-135572358D01* -X78837840Y-135579400D01* -X78852134Y-135583736D01* -X78867000Y-135585200D01* -X79248000Y-135585200D01* -X79262866Y-135583736D01* -X79277160Y-135579400D01* -X79290334Y-135572358D01* -X79301882Y-135562882D01* -X79311358Y-135551334D01* -X79318400Y-135538160D01* -X79322736Y-135523866D01* -X79324200Y-135509000D01* -X79324200Y-135128000D01* -X80695800Y-135128000D01* -X80695800Y-135509000D01* -X80697264Y-135523866D01* -X80701600Y-135538160D01* -X80708642Y-135551334D01* -X80718118Y-135562882D01* -X80729666Y-135572358D01* -X80742840Y-135579400D01* -X80757134Y-135583736D01* -X80772000Y-135585200D01* -X81153000Y-135585200D01* -X81167866Y-135583736D01* -X81182160Y-135579400D01* -X81195334Y-135572358D01* -X81206882Y-135562882D01* -X81216358Y-135551334D01* -X81223400Y-135538160D01* -X81227736Y-135523866D01* -X81229200Y-135509000D01* -X81229200Y-135128000D01* -X81330800Y-135128000D01* -X81330800Y-135509000D01* -X81332264Y-135523866D01* -X81336600Y-135538160D01* -X81343642Y-135551334D01* -X81353118Y-135562882D01* -X81364666Y-135572358D01* -X81377840Y-135579400D01* -X81392134Y-135583736D01* -X81407000Y-135585200D01* -X81788000Y-135585200D01* -X81802866Y-135583736D01* -X81817160Y-135579400D01* -X81830334Y-135572358D01* -X81841882Y-135562882D01* -X81851358Y-135551334D01* -X81858400Y-135538160D01* -X81862736Y-135523866D01* -X81864200Y-135509000D01* -X81864200Y-135128000D01* -X83235800Y-135128000D01* -X83235800Y-135509000D01* -X83237264Y-135523866D01* -X83241600Y-135538160D01* -X83248642Y-135551334D01* -X83258118Y-135562882D01* -X83269666Y-135572358D01* -X83282840Y-135579400D01* -X83297134Y-135583736D01* -X83312000Y-135585200D01* -X83693000Y-135585200D01* -X83707866Y-135583736D01* -X83722160Y-135579400D01* -X83735334Y-135572358D01* -X83746882Y-135562882D01* -X83756358Y-135551334D01* -X83763400Y-135538160D01* -X83767736Y-135523866D01* -X83769200Y-135509000D01* -X83769200Y-135128000D01* -X83870800Y-135128000D01* -X83870800Y-135509000D01* -X83872264Y-135523866D01* -X83876600Y-135538160D01* -X83883642Y-135551334D01* -X83893118Y-135562882D01* -X83904666Y-135572358D01* -X83917840Y-135579400D01* -X83932134Y-135583736D01* -X83947000Y-135585200D01* -X84328000Y-135585200D01* -X84342866Y-135583736D01* -X84357160Y-135579400D01* -X84370334Y-135572358D01* -X84381882Y-135562882D01* -X84391358Y-135551334D01* -X84398400Y-135538160D01* -X84402736Y-135523866D01* -X84404200Y-135509000D01* -X84404200Y-135128000D01* -X85775800Y-135128000D01* -X85775800Y-135509000D01* -X85777264Y-135523866D01* -X85781600Y-135538160D01* -X85788642Y-135551334D01* -X85798118Y-135562882D01* -X85809666Y-135572358D01* -X85822840Y-135579400D01* -X85837134Y-135583736D01* -X85852000Y-135585200D01* -X86233000Y-135585200D01* -X86247866Y-135583736D01* -X86262160Y-135579400D01* -X86275334Y-135572358D01* -X86286882Y-135562882D01* -X86296358Y-135551334D01* -X86303400Y-135538160D01* -X86307736Y-135523866D01* -X86309200Y-135509000D01* -X86309200Y-135128000D01* -X86410800Y-135128000D01* -X86410800Y-135509000D01* -X86412264Y-135523866D01* -X86416600Y-135538160D01* -X86423642Y-135551334D01* -X86433118Y-135562882D01* -X86444666Y-135572358D01* -X86457840Y-135579400D01* -X86472134Y-135583736D01* -X86487000Y-135585200D01* -X86868000Y-135585200D01* -X86882866Y-135583736D01* -X86897160Y-135579400D01* -X86910334Y-135572358D01* -X86921882Y-135562882D01* -X86931358Y-135551334D01* -X86938400Y-135538160D01* -X86942736Y-135523866D01* -X86944200Y-135509000D01* -X86944200Y-135128000D01* -X88315800Y-135128000D01* -X88315800Y-135509000D01* -X88317264Y-135523866D01* -X88321600Y-135538160D01* -X88328642Y-135551334D01* -X88338118Y-135562882D01* -X88349666Y-135572358D01* -X88362840Y-135579400D01* -X88377134Y-135583736D01* -X88392000Y-135585200D01* -X88773000Y-135585200D01* -X88787866Y-135583736D01* -X88802160Y-135579400D01* -X88815334Y-135572358D01* -X88826882Y-135562882D01* -X88836358Y-135551334D01* -X88843400Y-135538160D01* -X88847736Y-135523866D01* -X88849200Y-135509000D01* -X88849200Y-135128000D01* -X88950800Y-135128000D01* -X88950800Y-135509000D01* -X88952264Y-135523866D01* -X88956600Y-135538160D01* -X88963642Y-135551334D01* -X88973118Y-135562882D01* -X88984666Y-135572358D01* -X88997840Y-135579400D01* -X89012134Y-135583736D01* -X89027000Y-135585200D01* -X89408000Y-135585200D01* -X89422866Y-135583736D01* -X89437160Y-135579400D01* -X89450334Y-135572358D01* -X89461882Y-135562882D01* -X89471358Y-135551334D01* -X89478400Y-135538160D01* -X89482736Y-135523866D01* -X89484200Y-135509000D01* -X89484200Y-135128000D01* -X90855800Y-135128000D01* -X90855800Y-135509000D01* -X90857264Y-135523866D01* -X90861600Y-135538160D01* -X90868642Y-135551334D01* -X90878118Y-135562882D01* -X90889666Y-135572358D01* -X90902840Y-135579400D01* -X90917134Y-135583736D01* -X90932000Y-135585200D01* -X91313000Y-135585200D01* -X91327866Y-135583736D01* -X91342160Y-135579400D01* -X91355334Y-135572358D01* -X91366882Y-135562882D01* -X91376358Y-135551334D01* -X91383400Y-135538160D01* -X91387736Y-135523866D01* -X91389200Y-135509000D01* -X91389200Y-135128000D01* -X91490800Y-135128000D01* -X91490800Y-135509000D01* -X91492264Y-135523866D01* -X91496600Y-135538160D01* -X91503642Y-135551334D01* -X91513118Y-135562882D01* -X91524666Y-135572358D01* -X91537840Y-135579400D01* -X91552134Y-135583736D01* -X91567000Y-135585200D01* -X91948000Y-135585200D01* -X91962866Y-135583736D01* -X91977160Y-135579400D01* -X91990334Y-135572358D01* -X92001882Y-135562882D01* -X92011358Y-135551334D01* -X92018400Y-135538160D01* -X92022736Y-135523866D01* -X92024200Y-135509000D01* -X92024200Y-135128000D01* -X93395800Y-135128000D01* -X93395800Y-135509000D01* -X93397264Y-135523866D01* -X93401600Y-135538160D01* -X93408642Y-135551334D01* -X93418118Y-135562882D01* -X93429666Y-135572358D01* -X93442840Y-135579400D01* -X93457134Y-135583736D01* -X93472000Y-135585200D01* -X93853000Y-135585200D01* -X93867866Y-135583736D01* -X93882160Y-135579400D01* -X93895334Y-135572358D01* -X93906882Y-135562882D01* -X93916358Y-135551334D01* -X93923400Y-135538160D01* -X93927736Y-135523866D01* -X93929200Y-135509000D01* -X93929200Y-135128000D01* -X94030800Y-135128000D01* -X94030800Y-135509000D01* -X94032264Y-135523866D01* -X94036600Y-135538160D01* -X94043642Y-135551334D01* -X94053118Y-135562882D01* -X94064666Y-135572358D01* -X94077840Y-135579400D01* -X94092134Y-135583736D01* -X94107000Y-135585200D01* -X94488000Y-135585200D01* -X94502866Y-135583736D01* -X94517160Y-135579400D01* -X94530334Y-135572358D01* -X94541882Y-135562882D01* -X94551358Y-135551334D01* -X94558400Y-135538160D01* -X94562736Y-135523866D01* -X94564200Y-135509000D01* -X94564200Y-135128000D01* -X95935800Y-135128000D01* -X95935800Y-135509000D01* -X95937264Y-135523866D01* -X95941600Y-135538160D01* -X95948642Y-135551334D01* -X95958118Y-135562882D01* -X95969666Y-135572358D01* -X95982840Y-135579400D01* -X95997134Y-135583736D01* -X96012000Y-135585200D01* -X96393000Y-135585200D01* -X96407866Y-135583736D01* -X96422160Y-135579400D01* -X96435334Y-135572358D01* -X96446882Y-135562882D01* -X96456358Y-135551334D01* -X96463400Y-135538160D01* -X96467736Y-135523866D01* -X96469200Y-135509000D01* -X96469200Y-135128000D01* -X96570800Y-135128000D01* -X96570800Y-135509000D01* -X96572264Y-135523866D01* -X96576600Y-135538160D01* -X96583642Y-135551334D01* -X96593118Y-135562882D01* -X96604666Y-135572358D01* -X96617840Y-135579400D01* -X96632134Y-135583736D01* -X96647000Y-135585200D01* -X97028000Y-135585200D01* -X97042866Y-135583736D01* -X97057160Y-135579400D01* -X97070334Y-135572358D01* -X97081882Y-135562882D01* -X97091358Y-135551334D01* -X97098400Y-135538160D01* -X97102736Y-135523866D01* -X97104200Y-135509000D01* -X97104200Y-135128000D01* -X98475800Y-135128000D01* -X98475800Y-135509000D01* -X98477264Y-135523866D01* -X98481600Y-135538160D01* -X98488642Y-135551334D01* -X98498118Y-135562882D01* -X98509666Y-135572358D01* -X98522840Y-135579400D01* -X98537134Y-135583736D01* -X98552000Y-135585200D01* -X98933000Y-135585200D01* -X98947866Y-135583736D01* -X98962160Y-135579400D01* -X98975334Y-135572358D01* -X98986882Y-135562882D01* -X98996358Y-135551334D01* -X99003400Y-135538160D01* -X99007736Y-135523866D01* -X99009200Y-135509000D01* -X99009200Y-135128000D01* -X99110800Y-135128000D01* -X99110800Y-135509000D01* -X99112264Y-135523866D01* -X99116600Y-135538160D01* -X99123642Y-135551334D01* -X99133118Y-135562882D01* -X99144666Y-135572358D01* -X99157840Y-135579400D01* -X99172134Y-135583736D01* -X99187000Y-135585200D01* -X99568000Y-135585200D01* -X99582866Y-135583736D01* -X99597160Y-135579400D01* -X99610334Y-135572358D01* -X99621882Y-135562882D01* -X99631358Y-135551334D01* -X99638400Y-135538160D01* -X99642736Y-135523866D01* -X99644200Y-135509000D01* -X99644200Y-135128000D01* -X101015800Y-135128000D01* -X101015800Y-135509000D01* -X101017264Y-135523866D01* -X101021600Y-135538160D01* -X101028642Y-135551334D01* -X101038118Y-135562882D01* -X101049666Y-135572358D01* -X101062840Y-135579400D01* -X101077134Y-135583736D01* -X101092000Y-135585200D01* -X101473000Y-135585200D01* -X101487866Y-135583736D01* -X101502160Y-135579400D01* -X101515334Y-135572358D01* -X101526882Y-135562882D01* -X101536358Y-135551334D01* -X101543400Y-135538160D01* -X101547736Y-135523866D01* -X101549200Y-135509000D01* -X101549200Y-135128000D01* -X101650800Y-135128000D01* -X101650800Y-135509000D01* -X101652264Y-135523866D01* -X101656600Y-135538160D01* -X101663642Y-135551334D01* -X101673118Y-135562882D01* -X101684666Y-135572358D01* -X101697840Y-135579400D01* -X101712134Y-135583736D01* -X101727000Y-135585200D01* -X102108000Y-135585200D01* -X102122866Y-135583736D01* -X102137160Y-135579400D01* -X102150334Y-135572358D01* -X102161882Y-135562882D01* -X102171358Y-135551334D01* -X102178400Y-135538160D01* -X102182736Y-135523866D01* -X102184200Y-135509000D01* -X102184200Y-135128000D01* -X103555800Y-135128000D01* -X103555800Y-135509000D01* -X103557264Y-135523866D01* -X103561600Y-135538160D01* -X103568642Y-135551334D01* -X103578118Y-135562882D01* -X103589666Y-135572358D01* -X103602840Y-135579400D01* -X103617134Y-135583736D01* -X103632000Y-135585200D01* -X104013000Y-135585200D01* -X104027866Y-135583736D01* -X104042160Y-135579400D01* -X104055334Y-135572358D01* -X104066882Y-135562882D01* -X104076358Y-135551334D01* -X104083400Y-135538160D01* -X104087736Y-135523866D01* -X104089200Y-135509000D01* -X104089200Y-135128000D01* -X104190800Y-135128000D01* -X104190800Y-135509000D01* -X104192264Y-135523866D01* -X104196600Y-135538160D01* -X104203642Y-135551334D01* -X104213118Y-135562882D01* -X104224666Y-135572358D01* -X104237840Y-135579400D01* -X104252134Y-135583736D01* -X104267000Y-135585200D01* -X104648000Y-135585200D01* -X104662866Y-135583736D01* -X104677160Y-135579400D01* -X104690334Y-135572358D01* -X104701882Y-135562882D01* -X104711358Y-135551334D01* -X104718400Y-135538160D01* -X104722736Y-135523866D01* -X104724200Y-135509000D01* -X104724200Y-135128000D01* -X106095800Y-135128000D01* -X106095800Y-135509000D01* -X106097264Y-135523866D01* -X106101600Y-135538160D01* -X106108642Y-135551334D01* -X106118118Y-135562882D01* -X106129666Y-135572358D01* -X106142840Y-135579400D01* -X106157134Y-135583736D01* -X106172000Y-135585200D01* -X106553000Y-135585200D01* -X106567866Y-135583736D01* -X106582160Y-135579400D01* -X106595334Y-135572358D01* -X106606882Y-135562882D01* -X106616358Y-135551334D01* -X106623400Y-135538160D01* -X106627736Y-135523866D01* -X106629200Y-135509000D01* -X106629200Y-135128000D01* -X106730800Y-135128000D01* -X106730800Y-135509000D01* -X106732264Y-135523866D01* -X106736600Y-135538160D01* -X106743642Y-135551334D01* -X106753118Y-135562882D01* -X106764666Y-135572358D01* -X106777840Y-135579400D01* -X106792134Y-135583736D01* -X106807000Y-135585200D01* -X107188000Y-135585200D01* -X107202866Y-135583736D01* -X107217160Y-135579400D01* -X107230334Y-135572358D01* -X107241882Y-135562882D01* -X107251358Y-135551334D01* -X107258400Y-135538160D01* -X107262736Y-135523866D01* -X107264200Y-135509000D01* -X107264200Y-135128000D01* -X108635800Y-135128000D01* -X108635800Y-135509000D01* -X108637264Y-135523866D01* -X108641600Y-135538160D01* -X108648642Y-135551334D01* -X108658118Y-135562882D01* -X108669666Y-135572358D01* -X108682840Y-135579400D01* -X108697134Y-135583736D01* -X108712000Y-135585200D01* -X109093000Y-135585200D01* -X109107866Y-135583736D01* -X109122160Y-135579400D01* -X109135334Y-135572358D01* -X109146882Y-135562882D01* -X109156358Y-135551334D01* -X109163400Y-135538160D01* -X109167736Y-135523866D01* -X109169200Y-135509000D01* -X109169200Y-135128000D01* -X109270800Y-135128000D01* -X109270800Y-135509000D01* -X109272264Y-135523866D01* -X109276600Y-135538160D01* -X109283642Y-135551334D01* -X109293118Y-135562882D01* -X109304666Y-135572358D01* -X109317840Y-135579400D01* -X109332134Y-135583736D01* -X109347000Y-135585200D01* -X109728000Y-135585200D01* -X109742866Y-135583736D01* -X109757160Y-135579400D01* -X109770334Y-135572358D01* -X109781882Y-135562882D01* -X109791358Y-135551334D01* -X109798400Y-135538160D01* -X109802736Y-135523866D01* -X109804200Y-135509000D01* -X109804200Y-135128000D01* -X111175800Y-135128000D01* -X111175800Y-135509000D01* -X111177264Y-135523866D01* -X111181600Y-135538160D01* -X111188642Y-135551334D01* -X111198118Y-135562882D01* -X111209666Y-135572358D01* -X111222840Y-135579400D01* -X111237134Y-135583736D01* -X111252000Y-135585200D01* -X111633000Y-135585200D01* -X111647866Y-135583736D01* -X111662160Y-135579400D01* -X111675334Y-135572358D01* -X111686882Y-135562882D01* -X111696358Y-135551334D01* -X111703400Y-135538160D01* -X111707736Y-135523866D01* -X111709200Y-135509000D01* -X111709200Y-135128000D01* -X111810800Y-135128000D01* -X111810800Y-135509000D01* -X111812264Y-135523866D01* -X111816600Y-135538160D01* -X111823642Y-135551334D01* -X111833118Y-135562882D01* -X111844666Y-135572358D01* -X111857840Y-135579400D01* -X111872134Y-135583736D01* -X111887000Y-135585200D01* -X112268000Y-135585200D01* -X112282866Y-135583736D01* -X112297160Y-135579400D01* -X112310334Y-135572358D01* -X112321882Y-135562882D01* -X112331358Y-135551334D01* -X112338400Y-135538160D01* -X112342736Y-135523866D01* -X112344200Y-135509000D01* -X112344200Y-135128000D01* -X113715800Y-135128000D01* -X113715800Y-135509000D01* -X113717264Y-135523866D01* -X113721600Y-135538160D01* -X113728642Y-135551334D01* -X113738118Y-135562882D01* -X113749666Y-135572358D01* -X113762840Y-135579400D01* -X113777134Y-135583736D01* -X113792000Y-135585200D01* -X114173000Y-135585200D01* -X114187866Y-135583736D01* -X114202160Y-135579400D01* -X114215334Y-135572358D01* -X114226882Y-135562882D01* -X114236358Y-135551334D01* -X114243400Y-135538160D01* -X114247736Y-135523866D01* -X114249200Y-135509000D01* -X114249200Y-135128000D01* -X114350800Y-135128000D01* -X114350800Y-135509000D01* -X114352264Y-135523866D01* -X114356600Y-135538160D01* -X114363642Y-135551334D01* -X114373118Y-135562882D01* -X114384666Y-135572358D01* -X114397840Y-135579400D01* -X114412134Y-135583736D01* -X114427000Y-135585200D01* -X114808000Y-135585200D01* -X114822866Y-135583736D01* -X114837160Y-135579400D01* -X114850334Y-135572358D01* -X114861882Y-135562882D01* -X114871358Y-135551334D01* -X114878400Y-135538160D01* -X114882736Y-135523866D01* -X114884200Y-135509000D01* -X114884200Y-135128000D01* -X116255800Y-135128000D01* -X116255800Y-135509000D01* -X116257264Y-135523866D01* -X116261600Y-135538160D01* -X116268642Y-135551334D01* -X116278118Y-135562882D01* -X116289666Y-135572358D01* -X116302840Y-135579400D01* -X116317134Y-135583736D01* -X116332000Y-135585200D01* -X116713000Y-135585200D01* -X116727866Y-135583736D01* -X116742160Y-135579400D01* -X116755334Y-135572358D01* -X116766882Y-135562882D01* -X116776358Y-135551334D01* -X116783400Y-135538160D01* -X116787736Y-135523866D01* -X116789200Y-135509000D01* -X116789200Y-135128000D01* -X116890800Y-135128000D01* -X116890800Y-135509000D01* -X116892264Y-135523866D01* -X116896600Y-135538160D01* -X116903642Y-135551334D01* -X116913118Y-135562882D01* -X116924666Y-135572358D01* -X116937840Y-135579400D01* -X116952134Y-135583736D01* -X116967000Y-135585200D01* -X117348000Y-135585200D01* -X117362866Y-135583736D01* -X117377160Y-135579400D01* -X117390334Y-135572358D01* -X117401882Y-135562882D01* -X117411358Y-135551334D01* -X117418400Y-135538160D01* -X117422736Y-135523866D01* -X117424200Y-135509000D01* -X117424200Y-135128000D01* -X118795800Y-135128000D01* -X118795800Y-135509000D01* -X118797264Y-135523866D01* -X118801600Y-135538160D01* -X118808642Y-135551334D01* -X118818118Y-135562882D01* -X118829666Y-135572358D01* -X118842840Y-135579400D01* -X118857134Y-135583736D01* -X118872000Y-135585200D01* -X119253000Y-135585200D01* -X119267866Y-135583736D01* -X119282160Y-135579400D01* -X119295334Y-135572358D01* -X119306882Y-135562882D01* -X119316358Y-135551334D01* -X119323400Y-135538160D01* -X119327736Y-135523866D01* -X119329200Y-135509000D01* -X119329200Y-135128000D01* -X119430800Y-135128000D01* -X119430800Y-135509000D01* -X119432264Y-135523866D01* -X119436600Y-135538160D01* -X119443642Y-135551334D01* -X119453118Y-135562882D01* -X119464666Y-135572358D01* -X119477840Y-135579400D01* -X119492134Y-135583736D01* -X119507000Y-135585200D01* -X119888000Y-135585200D01* -X119902866Y-135583736D01* -X119917160Y-135579400D01* -X119930334Y-135572358D01* -X119941882Y-135562882D01* -X119951358Y-135551334D01* -X119958400Y-135538160D01* -X119962736Y-135523866D01* -X119964200Y-135509000D01* -X119964200Y-135128000D01* -X121335800Y-135128000D01* -X121335800Y-135509000D01* -X121337264Y-135523866D01* -X121341600Y-135538160D01* -X121348642Y-135551334D01* -X121358118Y-135562882D01* -X121369666Y-135572358D01* -X121382840Y-135579400D01* -X121397134Y-135583736D01* -X121412000Y-135585200D01* -X121793000Y-135585200D01* -X121807866Y-135583736D01* -X121822160Y-135579400D01* -X121835334Y-135572358D01* -X121846882Y-135562882D01* -X121856358Y-135551334D01* -X121863400Y-135538160D01* -X121867736Y-135523866D01* -X121869200Y-135509000D01* -X121869200Y-135128000D01* -X121970800Y-135128000D01* -X121970800Y-135509000D01* -X121972264Y-135523866D01* -X121976600Y-135538160D01* -X121983642Y-135551334D01* -X121993118Y-135562882D01* -X122004666Y-135572358D01* -X122017840Y-135579400D01* -X122032134Y-135583736D01* -X122047000Y-135585200D01* -X122428000Y-135585200D01* -X122442866Y-135583736D01* -X122457160Y-135579400D01* -X122470334Y-135572358D01* -X122481882Y-135562882D01* -X122491358Y-135551334D01* -X122498400Y-135538160D01* -X122502736Y-135523866D01* -X122504200Y-135509000D01* -X122504200Y-135128000D01* -X123875800Y-135128000D01* -X123875800Y-135509000D01* -X123877264Y-135523866D01* -X123881600Y-135538160D01* -X123888642Y-135551334D01* -X123898118Y-135562882D01* -X123909666Y-135572358D01* -X123922840Y-135579400D01* -X123937134Y-135583736D01* -X123952000Y-135585200D01* -X124333000Y-135585200D01* -X124347866Y-135583736D01* -X124362160Y-135579400D01* -X124375334Y-135572358D01* -X124386882Y-135562882D01* -X124396358Y-135551334D01* -X124403400Y-135538160D01* -X124407736Y-135523866D01* -X124409200Y-135509000D01* -X124409200Y-135128000D01* -X124510800Y-135128000D01* -X124510800Y-135509000D01* -X124512264Y-135523866D01* -X124516600Y-135538160D01* -X124523642Y-135551334D01* -X124533118Y-135562882D01* -X124544666Y-135572358D01* -X124557840Y-135579400D01* -X124572134Y-135583736D01* -X124587000Y-135585200D01* -X124968000Y-135585200D01* -X124982866Y-135583736D01* -X124997160Y-135579400D01* -X125010334Y-135572358D01* -X125021882Y-135562882D01* -X125031358Y-135551334D01* -X125038400Y-135538160D01* -X125042736Y-135523866D01* -X125044200Y-135509000D01* -X125044200Y-135128000D01* -X126415800Y-135128000D01* -X126415800Y-135509000D01* -X126417264Y-135523866D01* -X126421600Y-135538160D01* -X126428642Y-135551334D01* -X126438118Y-135562882D01* -X126449666Y-135572358D01* -X126462840Y-135579400D01* -X126477134Y-135583736D01* -X126492000Y-135585200D01* -X126873000Y-135585200D01* -X126887866Y-135583736D01* -X126902160Y-135579400D01* -X126915334Y-135572358D01* -X126926882Y-135562882D01* -X126936358Y-135551334D01* -X126943400Y-135538160D01* -X126947736Y-135523866D01* -X126949200Y-135509000D01* -X126949200Y-135128000D01* -X127050800Y-135128000D01* -X127050800Y-135509000D01* -X127052264Y-135523866D01* -X127056600Y-135538160D01* -X127063642Y-135551334D01* -X127073118Y-135562882D01* -X127084666Y-135572358D01* -X127097840Y-135579400D01* -X127112134Y-135583736D01* -X127127000Y-135585200D01* -X127508000Y-135585200D01* -X127522866Y-135583736D01* -X127537160Y-135579400D01* -X127550334Y-135572358D01* -X127561882Y-135562882D01* -X127571358Y-135551334D01* -X127578400Y-135538160D01* -X127582736Y-135523866D01* -X127584200Y-135509000D01* -X127584200Y-135128000D01* -X128955800Y-135128000D01* -X128955800Y-135509000D01* -X128957264Y-135523866D01* -X128961600Y-135538160D01* -X128968642Y-135551334D01* -X128978118Y-135562882D01* -X128989666Y-135572358D01* -X129002840Y-135579400D01* -X129017134Y-135583736D01* -X129032000Y-135585200D01* -X129413000Y-135585200D01* -X129427866Y-135583736D01* -X129442160Y-135579400D01* -X129455334Y-135572358D01* -X129466882Y-135562882D01* -X129476358Y-135551334D01* -X129483400Y-135538160D01* -X129487736Y-135523866D01* -X129489200Y-135509000D01* -X129489200Y-135128000D01* -X129590800Y-135128000D01* -X129590800Y-135509000D01* -X129592264Y-135523866D01* -X129596600Y-135538160D01* -X129603642Y-135551334D01* -X129613118Y-135562882D01* -X129624666Y-135572358D01* -X129637840Y-135579400D01* -X129652134Y-135583736D01* -X129667000Y-135585200D01* -X130048000Y-135585200D01* -X130062866Y-135583736D01* -X130077160Y-135579400D01* -X130090334Y-135572358D01* -X130101882Y-135562882D01* -X130111358Y-135551334D01* -X130118400Y-135538160D01* -X130122736Y-135523866D01* -X130124200Y-135509000D01* -X130124200Y-135128000D01* -X131495800Y-135128000D01* -X131495800Y-135509000D01* -X131497264Y-135523866D01* -X131501600Y-135538160D01* -X131508642Y-135551334D01* -X131518118Y-135562882D01* -X131529666Y-135572358D01* -X131542840Y-135579400D01* -X131557134Y-135583736D01* -X131572000Y-135585200D01* -X131953000Y-135585200D01* -X131967866Y-135583736D01* -X131982160Y-135579400D01* -X131995334Y-135572358D01* -X132006882Y-135562882D01* -X132016358Y-135551334D01* -X132023400Y-135538160D01* -X132027736Y-135523866D01* -X132029200Y-135509000D01* -X132029200Y-135128000D01* -X132130800Y-135128000D01* -X132130800Y-135509000D01* -X132132264Y-135523866D01* -X132136600Y-135538160D01* -X132143642Y-135551334D01* -X132153118Y-135562882D01* -X132164666Y-135572358D01* -X132177840Y-135579400D01* -X132192134Y-135583736D01* -X132207000Y-135585200D01* -X132588000Y-135585200D01* -X132602866Y-135583736D01* -X132617160Y-135579400D01* -X132630334Y-135572358D01* -X132641882Y-135562882D01* -X132651358Y-135551334D01* -X132658400Y-135538160D01* -X132662736Y-135523866D01* -X132664200Y-135509000D01* -X132664200Y-135128000D01* -X134035800Y-135128000D01* -X134035800Y-135509000D01* -X134037264Y-135523866D01* -X134041600Y-135538160D01* -X134048642Y-135551334D01* -X134058118Y-135562882D01* -X134069666Y-135572358D01* -X134082840Y-135579400D01* -X134097134Y-135583736D01* -X134112000Y-135585200D01* -X134493000Y-135585200D01* -X134507866Y-135583736D01* -X134522160Y-135579400D01* -X134535334Y-135572358D01* -X134546882Y-135562882D01* -X134556358Y-135551334D01* -X134563400Y-135538160D01* -X134567736Y-135523866D01* -X134569200Y-135509000D01* -X134569200Y-135128000D01* -X134670800Y-135128000D01* -X134670800Y-135509000D01* -X134672264Y-135523866D01* -X134676600Y-135538160D01* -X134683642Y-135551334D01* -X134693118Y-135562882D01* -X134704666Y-135572358D01* -X134717840Y-135579400D01* -X134732134Y-135583736D01* -X134747000Y-135585200D01* -X135128000Y-135585200D01* -X135142866Y-135583736D01* -X135157160Y-135579400D01* -X135170334Y-135572358D01* -X135181882Y-135562882D01* -X135191358Y-135551334D01* -X135198400Y-135538160D01* -X135202736Y-135523866D01* -X135204200Y-135509000D01* -X135204200Y-135128000D01* -X135202736Y-135113134D01* -X135198400Y-135098840D01* -X135191358Y-135085666D01* -X135181882Y-135074118D01* -X135170334Y-135064642D01* -X135157160Y-135057600D01* -X135142866Y-135053264D01* -X135128000Y-135051800D01* -X134747000Y-135051800D01* -X134732134Y-135053264D01* -X134717840Y-135057600D01* -X134704666Y-135064642D01* -X134693118Y-135074118D01* -X134683642Y-135085666D01* -X134676600Y-135098840D01* -X134672264Y-135113134D01* -X134670800Y-135128000D01* -X134569200Y-135128000D01* -X134567736Y-135113134D01* -X134563400Y-135098840D01* -X134556358Y-135085666D01* -X134546882Y-135074118D01* -X134535334Y-135064642D01* -X134522160Y-135057600D01* -X134507866Y-135053264D01* -X134493000Y-135051800D01* -X134112000Y-135051800D01* -X134097134Y-135053264D01* -X134082840Y-135057600D01* -X134069666Y-135064642D01* -X134058118Y-135074118D01* -X134048642Y-135085666D01* -X134041600Y-135098840D01* -X134037264Y-135113134D01* -X134035800Y-135128000D01* -X132664200Y-135128000D01* -X132662736Y-135113134D01* -X132658400Y-135098840D01* -X132651358Y-135085666D01* -X132641882Y-135074118D01* -X132630334Y-135064642D01* -X132617160Y-135057600D01* -X132602866Y-135053264D01* -X132588000Y-135051800D01* -X132207000Y-135051800D01* -X132192134Y-135053264D01* -X132177840Y-135057600D01* -X132164666Y-135064642D01* -X132153118Y-135074118D01* -X132143642Y-135085666D01* -X132136600Y-135098840D01* -X132132264Y-135113134D01* -X132130800Y-135128000D01* -X132029200Y-135128000D01* -X132027736Y-135113134D01* -X132023400Y-135098840D01* -X132016358Y-135085666D01* -X132006882Y-135074118D01* -X131995334Y-135064642D01* -X131982160Y-135057600D01* -X131967866Y-135053264D01* -X131953000Y-135051800D01* -X131572000Y-135051800D01* -X131557134Y-135053264D01* -X131542840Y-135057600D01* -X131529666Y-135064642D01* -X131518118Y-135074118D01* -X131508642Y-135085666D01* -X131501600Y-135098840D01* -X131497264Y-135113134D01* -X131495800Y-135128000D01* -X130124200Y-135128000D01* -X130122736Y-135113134D01* -X130118400Y-135098840D01* -X130111358Y-135085666D01* -X130101882Y-135074118D01* -X130090334Y-135064642D01* -X130077160Y-135057600D01* -X130062866Y-135053264D01* -X130048000Y-135051800D01* -X129667000Y-135051800D01* -X129652134Y-135053264D01* -X129637840Y-135057600D01* -X129624666Y-135064642D01* -X129613118Y-135074118D01* -X129603642Y-135085666D01* -X129596600Y-135098840D01* -X129592264Y-135113134D01* -X129590800Y-135128000D01* -X129489200Y-135128000D01* -X129487736Y-135113134D01* -X129483400Y-135098840D01* -X129476358Y-135085666D01* -X129466882Y-135074118D01* -X129455334Y-135064642D01* -X129442160Y-135057600D01* -X129427866Y-135053264D01* -X129413000Y-135051800D01* -X129032000Y-135051800D01* -X129017134Y-135053264D01* -X129002840Y-135057600D01* -X128989666Y-135064642D01* -X128978118Y-135074118D01* -X128968642Y-135085666D01* -X128961600Y-135098840D01* -X128957264Y-135113134D01* -X128955800Y-135128000D01* -X127584200Y-135128000D01* -X127582736Y-135113134D01* -X127578400Y-135098840D01* -X127571358Y-135085666D01* -X127561882Y-135074118D01* -X127550334Y-135064642D01* -X127537160Y-135057600D01* -X127522866Y-135053264D01* -X127508000Y-135051800D01* -X127127000Y-135051800D01* -X127112134Y-135053264D01* -X127097840Y-135057600D01* -X127084666Y-135064642D01* -X127073118Y-135074118D01* -X127063642Y-135085666D01* -X127056600Y-135098840D01* -X127052264Y-135113134D01* -X127050800Y-135128000D01* -X126949200Y-135128000D01* -X126947736Y-135113134D01* -X126943400Y-135098840D01* -X126936358Y-135085666D01* -X126926882Y-135074118D01* -X126915334Y-135064642D01* -X126902160Y-135057600D01* -X126887866Y-135053264D01* -X126873000Y-135051800D01* -X126492000Y-135051800D01* -X126477134Y-135053264D01* -X126462840Y-135057600D01* -X126449666Y-135064642D01* -X126438118Y-135074118D01* -X126428642Y-135085666D01* -X126421600Y-135098840D01* -X126417264Y-135113134D01* -X126415800Y-135128000D01* -X125044200Y-135128000D01* -X125042736Y-135113134D01* -X125038400Y-135098840D01* -X125031358Y-135085666D01* -X125021882Y-135074118D01* -X125010334Y-135064642D01* -X124997160Y-135057600D01* -X124982866Y-135053264D01* -X124968000Y-135051800D01* -X124587000Y-135051800D01* -X124572134Y-135053264D01* -X124557840Y-135057600D01* -X124544666Y-135064642D01* -X124533118Y-135074118D01* -X124523642Y-135085666D01* -X124516600Y-135098840D01* -X124512264Y-135113134D01* -X124510800Y-135128000D01* -X124409200Y-135128000D01* -X124407736Y-135113134D01* -X124403400Y-135098840D01* -X124396358Y-135085666D01* -X124386882Y-135074118D01* -X124375334Y-135064642D01* -X124362160Y-135057600D01* -X124347866Y-135053264D01* -X124333000Y-135051800D01* -X123952000Y-135051800D01* -X123937134Y-135053264D01* -X123922840Y-135057600D01* -X123909666Y-135064642D01* -X123898118Y-135074118D01* -X123888642Y-135085666D01* -X123881600Y-135098840D01* -X123877264Y-135113134D01* -X123875800Y-135128000D01* -X122504200Y-135128000D01* -X122502736Y-135113134D01* -X122498400Y-135098840D01* -X122491358Y-135085666D01* -X122481882Y-135074118D01* -X122470334Y-135064642D01* -X122457160Y-135057600D01* -X122442866Y-135053264D01* -X122428000Y-135051800D01* -X122047000Y-135051800D01* -X122032134Y-135053264D01* -X122017840Y-135057600D01* -X122004666Y-135064642D01* -X121993118Y-135074118D01* -X121983642Y-135085666D01* -X121976600Y-135098840D01* -X121972264Y-135113134D01* -X121970800Y-135128000D01* -X121869200Y-135128000D01* -X121867736Y-135113134D01* -X121863400Y-135098840D01* -X121856358Y-135085666D01* -X121846882Y-135074118D01* -X121835334Y-135064642D01* -X121822160Y-135057600D01* -X121807866Y-135053264D01* -X121793000Y-135051800D01* -X121412000Y-135051800D01* -X121397134Y-135053264D01* -X121382840Y-135057600D01* -X121369666Y-135064642D01* -X121358118Y-135074118D01* -X121348642Y-135085666D01* -X121341600Y-135098840D01* -X121337264Y-135113134D01* -X121335800Y-135128000D01* -X119964200Y-135128000D01* -X119962736Y-135113134D01* -X119958400Y-135098840D01* -X119951358Y-135085666D01* -X119941882Y-135074118D01* -X119930334Y-135064642D01* -X119917160Y-135057600D01* -X119902866Y-135053264D01* -X119888000Y-135051800D01* -X119507000Y-135051800D01* -X119492134Y-135053264D01* -X119477840Y-135057600D01* -X119464666Y-135064642D01* -X119453118Y-135074118D01* -X119443642Y-135085666D01* -X119436600Y-135098840D01* -X119432264Y-135113134D01* -X119430800Y-135128000D01* -X119329200Y-135128000D01* -X119327736Y-135113134D01* -X119323400Y-135098840D01* -X119316358Y-135085666D01* -X119306882Y-135074118D01* -X119295334Y-135064642D01* -X119282160Y-135057600D01* -X119267866Y-135053264D01* -X119253000Y-135051800D01* -X118872000Y-135051800D01* -X118857134Y-135053264D01* -X118842840Y-135057600D01* -X118829666Y-135064642D01* -X118818118Y-135074118D01* -X118808642Y-135085666D01* -X118801600Y-135098840D01* -X118797264Y-135113134D01* -X118795800Y-135128000D01* -X117424200Y-135128000D01* -X117422736Y-135113134D01* -X117418400Y-135098840D01* -X117411358Y-135085666D01* -X117401882Y-135074118D01* -X117390334Y-135064642D01* -X117377160Y-135057600D01* -X117362866Y-135053264D01* -X117348000Y-135051800D01* -X116967000Y-135051800D01* -X116952134Y-135053264D01* -X116937840Y-135057600D01* -X116924666Y-135064642D01* -X116913118Y-135074118D01* -X116903642Y-135085666D01* -X116896600Y-135098840D01* -X116892264Y-135113134D01* -X116890800Y-135128000D01* -X116789200Y-135128000D01* -X116787736Y-135113134D01* -X116783400Y-135098840D01* -X116776358Y-135085666D01* -X116766882Y-135074118D01* -X116755334Y-135064642D01* -X116742160Y-135057600D01* -X116727866Y-135053264D01* -X116713000Y-135051800D01* -X116332000Y-135051800D01* -X116317134Y-135053264D01* -X116302840Y-135057600D01* -X116289666Y-135064642D01* -X116278118Y-135074118D01* -X116268642Y-135085666D01* -X116261600Y-135098840D01* -X116257264Y-135113134D01* -X116255800Y-135128000D01* -X114884200Y-135128000D01* -X114882736Y-135113134D01* -X114878400Y-135098840D01* -X114871358Y-135085666D01* -X114861882Y-135074118D01* -X114850334Y-135064642D01* -X114837160Y-135057600D01* -X114822866Y-135053264D01* -X114808000Y-135051800D01* -X114427000Y-135051800D01* -X114412134Y-135053264D01* -X114397840Y-135057600D01* -X114384666Y-135064642D01* -X114373118Y-135074118D01* -X114363642Y-135085666D01* -X114356600Y-135098840D01* -X114352264Y-135113134D01* -X114350800Y-135128000D01* -X114249200Y-135128000D01* -X114247736Y-135113134D01* -X114243400Y-135098840D01* -X114236358Y-135085666D01* -X114226882Y-135074118D01* -X114215334Y-135064642D01* -X114202160Y-135057600D01* -X114187866Y-135053264D01* -X114173000Y-135051800D01* -X113792000Y-135051800D01* -X113777134Y-135053264D01* -X113762840Y-135057600D01* -X113749666Y-135064642D01* -X113738118Y-135074118D01* -X113728642Y-135085666D01* -X113721600Y-135098840D01* -X113717264Y-135113134D01* -X113715800Y-135128000D01* -X112344200Y-135128000D01* -X112342736Y-135113134D01* -X112338400Y-135098840D01* -X112331358Y-135085666D01* -X112321882Y-135074118D01* -X112310334Y-135064642D01* -X112297160Y-135057600D01* -X112282866Y-135053264D01* -X112268000Y-135051800D01* -X111887000Y-135051800D01* -X111872134Y-135053264D01* -X111857840Y-135057600D01* -X111844666Y-135064642D01* -X111833118Y-135074118D01* -X111823642Y-135085666D01* -X111816600Y-135098840D01* -X111812264Y-135113134D01* -X111810800Y-135128000D01* -X111709200Y-135128000D01* -X111707736Y-135113134D01* -X111703400Y-135098840D01* -X111696358Y-135085666D01* -X111686882Y-135074118D01* -X111675334Y-135064642D01* -X111662160Y-135057600D01* -X111647866Y-135053264D01* -X111633000Y-135051800D01* -X111252000Y-135051800D01* -X111237134Y-135053264D01* -X111222840Y-135057600D01* -X111209666Y-135064642D01* -X111198118Y-135074118D01* -X111188642Y-135085666D01* -X111181600Y-135098840D01* -X111177264Y-135113134D01* -X111175800Y-135128000D01* -X109804200Y-135128000D01* -X109802736Y-135113134D01* -X109798400Y-135098840D01* -X109791358Y-135085666D01* -X109781882Y-135074118D01* -X109770334Y-135064642D01* -X109757160Y-135057600D01* -X109742866Y-135053264D01* -X109728000Y-135051800D01* -X109347000Y-135051800D01* -X109332134Y-135053264D01* -X109317840Y-135057600D01* -X109304666Y-135064642D01* -X109293118Y-135074118D01* -X109283642Y-135085666D01* -X109276600Y-135098840D01* -X109272264Y-135113134D01* -X109270800Y-135128000D01* -X109169200Y-135128000D01* -X109167736Y-135113134D01* -X109163400Y-135098840D01* -X109156358Y-135085666D01* -X109146882Y-135074118D01* -X109135334Y-135064642D01* -X109122160Y-135057600D01* -X109107866Y-135053264D01* -X109093000Y-135051800D01* -X108712000Y-135051800D01* -X108697134Y-135053264D01* -X108682840Y-135057600D01* -X108669666Y-135064642D01* -X108658118Y-135074118D01* -X108648642Y-135085666D01* -X108641600Y-135098840D01* -X108637264Y-135113134D01* -X108635800Y-135128000D01* -X107264200Y-135128000D01* -X107262736Y-135113134D01* -X107258400Y-135098840D01* -X107251358Y-135085666D01* -X107241882Y-135074118D01* -X107230334Y-135064642D01* -X107217160Y-135057600D01* -X107202866Y-135053264D01* -X107188000Y-135051800D01* -X106807000Y-135051800D01* -X106792134Y-135053264D01* -X106777840Y-135057600D01* -X106764666Y-135064642D01* -X106753118Y-135074118D01* -X106743642Y-135085666D01* -X106736600Y-135098840D01* -X106732264Y-135113134D01* -X106730800Y-135128000D01* -X106629200Y-135128000D01* -X106627736Y-135113134D01* -X106623400Y-135098840D01* -X106616358Y-135085666D01* -X106606882Y-135074118D01* -X106595334Y-135064642D01* -X106582160Y-135057600D01* -X106567866Y-135053264D01* -X106553000Y-135051800D01* -X106172000Y-135051800D01* -X106157134Y-135053264D01* -X106142840Y-135057600D01* -X106129666Y-135064642D01* -X106118118Y-135074118D01* -X106108642Y-135085666D01* -X106101600Y-135098840D01* -X106097264Y-135113134D01* -X106095800Y-135128000D01* -X104724200Y-135128000D01* -X104722736Y-135113134D01* -X104718400Y-135098840D01* -X104711358Y-135085666D01* -X104701882Y-135074118D01* -X104690334Y-135064642D01* -X104677160Y-135057600D01* -X104662866Y-135053264D01* -X104648000Y-135051800D01* -X104267000Y-135051800D01* -X104252134Y-135053264D01* -X104237840Y-135057600D01* -X104224666Y-135064642D01* -X104213118Y-135074118D01* -X104203642Y-135085666D01* -X104196600Y-135098840D01* -X104192264Y-135113134D01* -X104190800Y-135128000D01* -X104089200Y-135128000D01* -X104087736Y-135113134D01* -X104083400Y-135098840D01* -X104076358Y-135085666D01* -X104066882Y-135074118D01* -X104055334Y-135064642D01* -X104042160Y-135057600D01* -X104027866Y-135053264D01* -X104013000Y-135051800D01* -X103632000Y-135051800D01* -X103617134Y-135053264D01* -X103602840Y-135057600D01* -X103589666Y-135064642D01* -X103578118Y-135074118D01* -X103568642Y-135085666D01* -X103561600Y-135098840D01* -X103557264Y-135113134D01* -X103555800Y-135128000D01* -X102184200Y-135128000D01* -X102182736Y-135113134D01* -X102178400Y-135098840D01* -X102171358Y-135085666D01* -X102161882Y-135074118D01* -X102150334Y-135064642D01* -X102137160Y-135057600D01* -X102122866Y-135053264D01* -X102108000Y-135051800D01* -X101727000Y-135051800D01* -X101712134Y-135053264D01* -X101697840Y-135057600D01* -X101684666Y-135064642D01* -X101673118Y-135074118D01* -X101663642Y-135085666D01* -X101656600Y-135098840D01* -X101652264Y-135113134D01* -X101650800Y-135128000D01* -X101549200Y-135128000D01* -X101547736Y-135113134D01* -X101543400Y-135098840D01* -X101536358Y-135085666D01* -X101526882Y-135074118D01* -X101515334Y-135064642D01* -X101502160Y-135057600D01* -X101487866Y-135053264D01* -X101473000Y-135051800D01* -X101092000Y-135051800D01* -X101077134Y-135053264D01* -X101062840Y-135057600D01* -X101049666Y-135064642D01* -X101038118Y-135074118D01* -X101028642Y-135085666D01* -X101021600Y-135098840D01* -X101017264Y-135113134D01* -X101015800Y-135128000D01* -X99644200Y-135128000D01* -X99642736Y-135113134D01* -X99638400Y-135098840D01* -X99631358Y-135085666D01* -X99621882Y-135074118D01* -X99610334Y-135064642D01* -X99597160Y-135057600D01* -X99582866Y-135053264D01* -X99568000Y-135051800D01* -X99187000Y-135051800D01* -X99172134Y-135053264D01* -X99157840Y-135057600D01* -X99144666Y-135064642D01* -X99133118Y-135074118D01* -X99123642Y-135085666D01* -X99116600Y-135098840D01* -X99112264Y-135113134D01* -X99110800Y-135128000D01* -X99009200Y-135128000D01* -X99007736Y-135113134D01* -X99003400Y-135098840D01* -X98996358Y-135085666D01* -X98986882Y-135074118D01* -X98975334Y-135064642D01* -X98962160Y-135057600D01* -X98947866Y-135053264D01* -X98933000Y-135051800D01* -X98552000Y-135051800D01* -X98537134Y-135053264D01* -X98522840Y-135057600D01* -X98509666Y-135064642D01* -X98498118Y-135074118D01* -X98488642Y-135085666D01* -X98481600Y-135098840D01* -X98477264Y-135113134D01* -X98475800Y-135128000D01* -X97104200Y-135128000D01* -X97102736Y-135113134D01* -X97098400Y-135098840D01* -X97091358Y-135085666D01* -X97081882Y-135074118D01* -X97070334Y-135064642D01* -X97057160Y-135057600D01* -X97042866Y-135053264D01* -X97028000Y-135051800D01* -X96647000Y-135051800D01* -X96632134Y-135053264D01* -X96617840Y-135057600D01* -X96604666Y-135064642D01* -X96593118Y-135074118D01* -X96583642Y-135085666D01* -X96576600Y-135098840D01* -X96572264Y-135113134D01* -X96570800Y-135128000D01* -X96469200Y-135128000D01* -X96467736Y-135113134D01* -X96463400Y-135098840D01* -X96456358Y-135085666D01* -X96446882Y-135074118D01* -X96435334Y-135064642D01* -X96422160Y-135057600D01* -X96407866Y-135053264D01* -X96393000Y-135051800D01* -X96012000Y-135051800D01* -X95997134Y-135053264D01* -X95982840Y-135057600D01* -X95969666Y-135064642D01* -X95958118Y-135074118D01* -X95948642Y-135085666D01* -X95941600Y-135098840D01* -X95937264Y-135113134D01* -X95935800Y-135128000D01* -X94564200Y-135128000D01* -X94562736Y-135113134D01* -X94558400Y-135098840D01* -X94551358Y-135085666D01* -X94541882Y-135074118D01* -X94530334Y-135064642D01* -X94517160Y-135057600D01* -X94502866Y-135053264D01* -X94488000Y-135051800D01* -X94107000Y-135051800D01* -X94092134Y-135053264D01* -X94077840Y-135057600D01* -X94064666Y-135064642D01* -X94053118Y-135074118D01* -X94043642Y-135085666D01* -X94036600Y-135098840D01* -X94032264Y-135113134D01* -X94030800Y-135128000D01* -X93929200Y-135128000D01* -X93927736Y-135113134D01* -X93923400Y-135098840D01* -X93916358Y-135085666D01* -X93906882Y-135074118D01* -X93895334Y-135064642D01* -X93882160Y-135057600D01* -X93867866Y-135053264D01* -X93853000Y-135051800D01* -X93472000Y-135051800D01* -X93457134Y-135053264D01* -X93442840Y-135057600D01* -X93429666Y-135064642D01* -X93418118Y-135074118D01* -X93408642Y-135085666D01* -X93401600Y-135098840D01* -X93397264Y-135113134D01* -X93395800Y-135128000D01* -X92024200Y-135128000D01* -X92022736Y-135113134D01* -X92018400Y-135098840D01* -X92011358Y-135085666D01* -X92001882Y-135074118D01* -X91990334Y-135064642D01* -X91977160Y-135057600D01* -X91962866Y-135053264D01* -X91948000Y-135051800D01* -X91567000Y-135051800D01* -X91552134Y-135053264D01* -X91537840Y-135057600D01* -X91524666Y-135064642D01* -X91513118Y-135074118D01* -X91503642Y-135085666D01* -X91496600Y-135098840D01* -X91492264Y-135113134D01* -X91490800Y-135128000D01* -X91389200Y-135128000D01* -X91387736Y-135113134D01* -X91383400Y-135098840D01* -X91376358Y-135085666D01* -X91366882Y-135074118D01* -X91355334Y-135064642D01* -X91342160Y-135057600D01* -X91327866Y-135053264D01* -X91313000Y-135051800D01* -X90932000Y-135051800D01* -X90917134Y-135053264D01* -X90902840Y-135057600D01* -X90889666Y-135064642D01* -X90878118Y-135074118D01* -X90868642Y-135085666D01* -X90861600Y-135098840D01* -X90857264Y-135113134D01* -X90855800Y-135128000D01* -X89484200Y-135128000D01* -X89482736Y-135113134D01* -X89478400Y-135098840D01* -X89471358Y-135085666D01* -X89461882Y-135074118D01* -X89450334Y-135064642D01* -X89437160Y-135057600D01* -X89422866Y-135053264D01* -X89408000Y-135051800D01* -X89027000Y-135051800D01* -X89012134Y-135053264D01* -X88997840Y-135057600D01* -X88984666Y-135064642D01* -X88973118Y-135074118D01* -X88963642Y-135085666D01* -X88956600Y-135098840D01* -X88952264Y-135113134D01* -X88950800Y-135128000D01* -X88849200Y-135128000D01* -X88847736Y-135113134D01* -X88843400Y-135098840D01* -X88836358Y-135085666D01* -X88826882Y-135074118D01* -X88815334Y-135064642D01* -X88802160Y-135057600D01* -X88787866Y-135053264D01* -X88773000Y-135051800D01* -X88392000Y-135051800D01* -X88377134Y-135053264D01* -X88362840Y-135057600D01* -X88349666Y-135064642D01* -X88338118Y-135074118D01* -X88328642Y-135085666D01* -X88321600Y-135098840D01* -X88317264Y-135113134D01* -X88315800Y-135128000D01* -X86944200Y-135128000D01* -X86942736Y-135113134D01* -X86938400Y-135098840D01* -X86931358Y-135085666D01* -X86921882Y-135074118D01* -X86910334Y-135064642D01* -X86897160Y-135057600D01* -X86882866Y-135053264D01* -X86868000Y-135051800D01* -X86487000Y-135051800D01* -X86472134Y-135053264D01* -X86457840Y-135057600D01* -X86444666Y-135064642D01* -X86433118Y-135074118D01* -X86423642Y-135085666D01* -X86416600Y-135098840D01* -X86412264Y-135113134D01* -X86410800Y-135128000D01* -X86309200Y-135128000D01* -X86307736Y-135113134D01* -X86303400Y-135098840D01* -X86296358Y-135085666D01* -X86286882Y-135074118D01* -X86275334Y-135064642D01* -X86262160Y-135057600D01* -X86247866Y-135053264D01* -X86233000Y-135051800D01* -X85852000Y-135051800D01* -X85837134Y-135053264D01* -X85822840Y-135057600D01* -X85809666Y-135064642D01* -X85798118Y-135074118D01* -X85788642Y-135085666D01* -X85781600Y-135098840D01* -X85777264Y-135113134D01* -X85775800Y-135128000D01* -X84404200Y-135128000D01* -X84402736Y-135113134D01* -X84398400Y-135098840D01* -X84391358Y-135085666D01* -X84381882Y-135074118D01* -X84370334Y-135064642D01* -X84357160Y-135057600D01* -X84342866Y-135053264D01* -X84328000Y-135051800D01* -X83947000Y-135051800D01* -X83932134Y-135053264D01* -X83917840Y-135057600D01* -X83904666Y-135064642D01* -X83893118Y-135074118D01* -X83883642Y-135085666D01* -X83876600Y-135098840D01* -X83872264Y-135113134D01* -X83870800Y-135128000D01* -X83769200Y-135128000D01* -X83767736Y-135113134D01* -X83763400Y-135098840D01* -X83756358Y-135085666D01* -X83746882Y-135074118D01* -X83735334Y-135064642D01* -X83722160Y-135057600D01* -X83707866Y-135053264D01* -X83693000Y-135051800D01* -X83312000Y-135051800D01* -X83297134Y-135053264D01* -X83282840Y-135057600D01* -X83269666Y-135064642D01* -X83258118Y-135074118D01* -X83248642Y-135085666D01* -X83241600Y-135098840D01* -X83237264Y-135113134D01* -X83235800Y-135128000D01* -X81864200Y-135128000D01* -X81862736Y-135113134D01* -X81858400Y-135098840D01* -X81851358Y-135085666D01* -X81841882Y-135074118D01* -X81830334Y-135064642D01* -X81817160Y-135057600D01* -X81802866Y-135053264D01* -X81788000Y-135051800D01* -X81407000Y-135051800D01* -X81392134Y-135053264D01* -X81377840Y-135057600D01* -X81364666Y-135064642D01* -X81353118Y-135074118D01* -X81343642Y-135085666D01* -X81336600Y-135098840D01* -X81332264Y-135113134D01* -X81330800Y-135128000D01* -X81229200Y-135128000D01* -X81227736Y-135113134D01* -X81223400Y-135098840D01* -X81216358Y-135085666D01* -X81206882Y-135074118D01* -X81195334Y-135064642D01* -X81182160Y-135057600D01* -X81167866Y-135053264D01* -X81153000Y-135051800D01* -X80772000Y-135051800D01* -X80757134Y-135053264D01* -X80742840Y-135057600D01* -X80729666Y-135064642D01* -X80718118Y-135074118D01* -X80708642Y-135085666D01* -X80701600Y-135098840D01* -X80697264Y-135113134D01* -X80695800Y-135128000D01* -X79324200Y-135128000D01* -X79322736Y-135113134D01* -X79318400Y-135098840D01* -X79311358Y-135085666D01* -X79301882Y-135074118D01* -X79290334Y-135064642D01* -X79277160Y-135057600D01* -X79262866Y-135053264D01* -X79248000Y-135051800D01* -X78867000Y-135051800D01* -X78852134Y-135053264D01* -X78837840Y-135057600D01* -X78824666Y-135064642D01* -X78813118Y-135074118D01* -X78803642Y-135085666D01* -X78796600Y-135098840D01* -X78792264Y-135113134D01* -X78790800Y-135128000D01* -X78689200Y-135128000D01* -X78687736Y-135113134D01* -X78683400Y-135098840D01* -X78676358Y-135085666D01* -X78666882Y-135074118D01* -X78655334Y-135064642D01* -X78642160Y-135057600D01* -X78627866Y-135053264D01* -X78613000Y-135051800D01* -X78232000Y-135051800D01* -X78217134Y-135053264D01* -X78202840Y-135057600D01* -X78189666Y-135064642D01* -X78178118Y-135074118D01* -X78168642Y-135085666D01* -X78161600Y-135098840D01* -X78157264Y-135113134D01* -X78155800Y-135128000D01* -X76784200Y-135128000D01* -X76782736Y-135113134D01* -X76778400Y-135098840D01* -X76771358Y-135085666D01* -X76761882Y-135074118D01* -X76750334Y-135064642D01* -X76737160Y-135057600D01* -X76722866Y-135053264D01* -X76708000Y-135051800D01* -X76327000Y-135051800D01* -X76312134Y-135053264D01* -X76297840Y-135057600D01* -X76284666Y-135064642D01* -X76273118Y-135074118D01* -X76263642Y-135085666D01* -X76256600Y-135098840D01* -X76252264Y-135113134D01* -X76250800Y-135128000D01* -X76149200Y-135128000D01* -X76147736Y-135113134D01* -X76143400Y-135098840D01* -X76136358Y-135085666D01* -X76126882Y-135074118D01* -X76115334Y-135064642D01* -X76102160Y-135057600D01* -X76087866Y-135053264D01* -X76073000Y-135051800D01* -X75692000Y-135051800D01* -X75677134Y-135053264D01* -X75662840Y-135057600D01* -X75649666Y-135064642D01* -X75638118Y-135074118D01* -X75628642Y-135085666D01* -X75621600Y-135098840D01* -X75617264Y-135113134D01* -X75615800Y-135128000D01* -X74498200Y-135128000D01* -X74498200Y-134493000D01* -X75615800Y-134493000D01* -X75615800Y-134874000D01* -X75617264Y-134888866D01* -X75621600Y-134903160D01* -X75628642Y-134916334D01* -X75638118Y-134927882D01* -X75649666Y-134937358D01* -X75662840Y-134944400D01* -X75677134Y-134948736D01* -X75692000Y-134950200D01* -X76073000Y-134950200D01* -X76087866Y-134948736D01* -X76102160Y-134944400D01* -X76115334Y-134937358D01* -X76126882Y-134927882D01* -X76136358Y-134916334D01* -X76143400Y-134903160D01* -X76147736Y-134888866D01* -X76149200Y-134874000D01* -X76149200Y-134493000D01* -X76250800Y-134493000D01* -X76250800Y-134874000D01* -X76252264Y-134888866D01* -X76256600Y-134903160D01* -X76263642Y-134916334D01* -X76273118Y-134927882D01* -X76284666Y-134937358D01* -X76297840Y-134944400D01* -X76312134Y-134948736D01* -X76327000Y-134950200D01* -X76708000Y-134950200D01* -X76722866Y-134948736D01* -X76737160Y-134944400D01* -X76750334Y-134937358D01* -X76761882Y-134927882D01* -X76771358Y-134916334D01* -X76778400Y-134903160D01* -X76782736Y-134888866D01* -X76784200Y-134874000D01* -X76784200Y-134493000D01* -X78155800Y-134493000D01* -X78155800Y-134874000D01* -X78157264Y-134888866D01* -X78161600Y-134903160D01* -X78168642Y-134916334D01* -X78178118Y-134927882D01* -X78189666Y-134937358D01* -X78202840Y-134944400D01* -X78217134Y-134948736D01* -X78232000Y-134950200D01* -X78613000Y-134950200D01* -X78627866Y-134948736D01* -X78642160Y-134944400D01* -X78655334Y-134937358D01* -X78666882Y-134927882D01* -X78676358Y-134916334D01* -X78683400Y-134903160D01* -X78687736Y-134888866D01* -X78689200Y-134874000D01* -X78689200Y-134493000D01* -X78790800Y-134493000D01* -X78790800Y-134874000D01* -X78792264Y-134888866D01* -X78796600Y-134903160D01* -X78803642Y-134916334D01* -X78813118Y-134927882D01* -X78824666Y-134937358D01* -X78837840Y-134944400D01* -X78852134Y-134948736D01* -X78867000Y-134950200D01* -X79248000Y-134950200D01* -X79262866Y-134948736D01* -X79277160Y-134944400D01* -X79290334Y-134937358D01* -X79301882Y-134927882D01* -X79311358Y-134916334D01* -X79318400Y-134903160D01* -X79322736Y-134888866D01* -X79324200Y-134874000D01* -X79324200Y-134493000D01* -X80695800Y-134493000D01* -X80695800Y-134874000D01* -X80697264Y-134888866D01* -X80701600Y-134903160D01* -X80708642Y-134916334D01* -X80718118Y-134927882D01* -X80729666Y-134937358D01* -X80742840Y-134944400D01* -X80757134Y-134948736D01* -X80772000Y-134950200D01* -X81153000Y-134950200D01* -X81167866Y-134948736D01* -X81182160Y-134944400D01* -X81195334Y-134937358D01* -X81206882Y-134927882D01* -X81216358Y-134916334D01* -X81223400Y-134903160D01* -X81227736Y-134888866D01* -X81229200Y-134874000D01* -X81229200Y-134493000D01* -X81330800Y-134493000D01* -X81330800Y-134874000D01* -X81332264Y-134888866D01* -X81336600Y-134903160D01* -X81343642Y-134916334D01* -X81353118Y-134927882D01* -X81364666Y-134937358D01* -X81377840Y-134944400D01* -X81392134Y-134948736D01* -X81407000Y-134950200D01* -X81788000Y-134950200D01* -X81802866Y-134948736D01* -X81817160Y-134944400D01* -X81830334Y-134937358D01* -X81841882Y-134927882D01* -X81851358Y-134916334D01* -X81858400Y-134903160D01* -X81862736Y-134888866D01* -X81864200Y-134874000D01* -X81864200Y-134493000D01* -X83235800Y-134493000D01* -X83235800Y-134874000D01* -X83237264Y-134888866D01* -X83241600Y-134903160D01* -X83248642Y-134916334D01* -X83258118Y-134927882D01* -X83269666Y-134937358D01* -X83282840Y-134944400D01* -X83297134Y-134948736D01* -X83312000Y-134950200D01* -X83693000Y-134950200D01* -X83707866Y-134948736D01* -X83722160Y-134944400D01* -X83735334Y-134937358D01* -X83746882Y-134927882D01* -X83756358Y-134916334D01* -X83763400Y-134903160D01* -X83767736Y-134888866D01* -X83769200Y-134874000D01* -X83769200Y-134493000D01* -X83870800Y-134493000D01* -X83870800Y-134874000D01* -X83872264Y-134888866D01* -X83876600Y-134903160D01* -X83883642Y-134916334D01* -X83893118Y-134927882D01* -X83904666Y-134937358D01* -X83917840Y-134944400D01* -X83932134Y-134948736D01* -X83947000Y-134950200D01* -X84328000Y-134950200D01* -X84342866Y-134948736D01* -X84357160Y-134944400D01* -X84370334Y-134937358D01* -X84381882Y-134927882D01* -X84391358Y-134916334D01* -X84398400Y-134903160D01* -X84402736Y-134888866D01* -X84404200Y-134874000D01* -X84404200Y-134493000D01* -X85775800Y-134493000D01* -X85775800Y-134874000D01* -X85777264Y-134888866D01* -X85781600Y-134903160D01* -X85788642Y-134916334D01* -X85798118Y-134927882D01* -X85809666Y-134937358D01* -X85822840Y-134944400D01* -X85837134Y-134948736D01* -X85852000Y-134950200D01* -X86233000Y-134950200D01* -X86247866Y-134948736D01* -X86262160Y-134944400D01* -X86275334Y-134937358D01* -X86286882Y-134927882D01* -X86296358Y-134916334D01* -X86303400Y-134903160D01* -X86307736Y-134888866D01* -X86309200Y-134874000D01* -X86309200Y-134493000D01* -X86410800Y-134493000D01* -X86410800Y-134874000D01* -X86412264Y-134888866D01* -X86416600Y-134903160D01* -X86423642Y-134916334D01* -X86433118Y-134927882D01* -X86444666Y-134937358D01* -X86457840Y-134944400D01* -X86472134Y-134948736D01* -X86487000Y-134950200D01* -X86868000Y-134950200D01* -X86882866Y-134948736D01* -X86897160Y-134944400D01* -X86910334Y-134937358D01* -X86921882Y-134927882D01* -X86931358Y-134916334D01* -X86938400Y-134903160D01* -X86942736Y-134888866D01* -X86944200Y-134874000D01* -X86944200Y-134493000D01* -X88315800Y-134493000D01* -X88315800Y-134874000D01* -X88317264Y-134888866D01* -X88321600Y-134903160D01* -X88328642Y-134916334D01* -X88338118Y-134927882D01* -X88349666Y-134937358D01* -X88362840Y-134944400D01* -X88377134Y-134948736D01* -X88392000Y-134950200D01* -X88773000Y-134950200D01* -X88787866Y-134948736D01* -X88802160Y-134944400D01* -X88815334Y-134937358D01* -X88826882Y-134927882D01* -X88836358Y-134916334D01* -X88843400Y-134903160D01* -X88847736Y-134888866D01* -X88849200Y-134874000D01* -X88849200Y-134493000D01* -X88950800Y-134493000D01* -X88950800Y-134874000D01* -X88952264Y-134888866D01* -X88956600Y-134903160D01* -X88963642Y-134916334D01* -X88973118Y-134927882D01* -X88984666Y-134937358D01* -X88997840Y-134944400D01* -X89012134Y-134948736D01* -X89027000Y-134950200D01* -X89408000Y-134950200D01* -X89422866Y-134948736D01* -X89437160Y-134944400D01* -X89450334Y-134937358D01* -X89461882Y-134927882D01* -X89471358Y-134916334D01* -X89478400Y-134903160D01* -X89482736Y-134888866D01* -X89484200Y-134874000D01* -X89484200Y-134493000D01* -X90855800Y-134493000D01* -X90855800Y-134874000D01* -X90857264Y-134888866D01* -X90861600Y-134903160D01* -X90868642Y-134916334D01* -X90878118Y-134927882D01* -X90889666Y-134937358D01* -X90902840Y-134944400D01* -X90917134Y-134948736D01* -X90932000Y-134950200D01* -X91313000Y-134950200D01* -X91327866Y-134948736D01* -X91342160Y-134944400D01* -X91355334Y-134937358D01* -X91366882Y-134927882D01* -X91376358Y-134916334D01* -X91383400Y-134903160D01* -X91387736Y-134888866D01* -X91389200Y-134874000D01* -X91389200Y-134493000D01* -X91490800Y-134493000D01* -X91490800Y-134874000D01* -X91492264Y-134888866D01* -X91496600Y-134903160D01* -X91503642Y-134916334D01* -X91513118Y-134927882D01* -X91524666Y-134937358D01* -X91537840Y-134944400D01* -X91552134Y-134948736D01* -X91567000Y-134950200D01* -X91948000Y-134950200D01* -X91962866Y-134948736D01* -X91977160Y-134944400D01* -X91990334Y-134937358D01* -X92001882Y-134927882D01* -X92011358Y-134916334D01* -X92018400Y-134903160D01* -X92022736Y-134888866D01* -X92024200Y-134874000D01* -X92024200Y-134493000D01* -X93395800Y-134493000D01* -X93395800Y-134874000D01* -X93397264Y-134888866D01* -X93401600Y-134903160D01* -X93408642Y-134916334D01* -X93418118Y-134927882D01* -X93429666Y-134937358D01* -X93442840Y-134944400D01* -X93457134Y-134948736D01* -X93472000Y-134950200D01* -X93853000Y-134950200D01* -X93867866Y-134948736D01* -X93882160Y-134944400D01* -X93895334Y-134937358D01* -X93906882Y-134927882D01* -X93916358Y-134916334D01* -X93923400Y-134903160D01* -X93927736Y-134888866D01* -X93929200Y-134874000D01* -X93929200Y-134493000D01* -X94030800Y-134493000D01* -X94030800Y-134874000D01* -X94032264Y-134888866D01* -X94036600Y-134903160D01* -X94043642Y-134916334D01* -X94053118Y-134927882D01* -X94064666Y-134937358D01* -X94077840Y-134944400D01* -X94092134Y-134948736D01* -X94107000Y-134950200D01* -X94488000Y-134950200D01* -X94502866Y-134948736D01* -X94517160Y-134944400D01* -X94530334Y-134937358D01* -X94541882Y-134927882D01* -X94551358Y-134916334D01* -X94558400Y-134903160D01* -X94562736Y-134888866D01* -X94564200Y-134874000D01* -X94564200Y-134493000D01* -X95935800Y-134493000D01* -X95935800Y-134874000D01* -X95937264Y-134888866D01* -X95941600Y-134903160D01* -X95948642Y-134916334D01* -X95958118Y-134927882D01* -X95969666Y-134937358D01* -X95982840Y-134944400D01* -X95997134Y-134948736D01* -X96012000Y-134950200D01* -X96393000Y-134950200D01* -X96407866Y-134948736D01* -X96422160Y-134944400D01* -X96435334Y-134937358D01* -X96446882Y-134927882D01* -X96456358Y-134916334D01* -X96463400Y-134903160D01* -X96467736Y-134888866D01* -X96469200Y-134874000D01* -X96469200Y-134493000D01* -X96570800Y-134493000D01* -X96570800Y-134874000D01* -X96572264Y-134888866D01* -X96576600Y-134903160D01* -X96583642Y-134916334D01* -X96593118Y-134927882D01* -X96604666Y-134937358D01* -X96617840Y-134944400D01* -X96632134Y-134948736D01* -X96647000Y-134950200D01* -X97028000Y-134950200D01* -X97042866Y-134948736D01* -X97057160Y-134944400D01* -X97070334Y-134937358D01* -X97081882Y-134927882D01* -X97091358Y-134916334D01* -X97098400Y-134903160D01* -X97102736Y-134888866D01* -X97104200Y-134874000D01* -X97104200Y-134493000D01* -X98475800Y-134493000D01* -X98475800Y-134874000D01* -X98477264Y-134888866D01* -X98481600Y-134903160D01* -X98488642Y-134916334D01* -X98498118Y-134927882D01* -X98509666Y-134937358D01* -X98522840Y-134944400D01* -X98537134Y-134948736D01* -X98552000Y-134950200D01* -X98933000Y-134950200D01* -X98947866Y-134948736D01* -X98962160Y-134944400D01* -X98975334Y-134937358D01* -X98986882Y-134927882D01* -X98996358Y-134916334D01* -X99003400Y-134903160D01* -X99007736Y-134888866D01* -X99009200Y-134874000D01* -X99009200Y-134493000D01* -X99110800Y-134493000D01* -X99110800Y-134874000D01* -X99112264Y-134888866D01* -X99116600Y-134903160D01* -X99123642Y-134916334D01* -X99133118Y-134927882D01* -X99144666Y-134937358D01* -X99157840Y-134944400D01* -X99172134Y-134948736D01* -X99187000Y-134950200D01* -X99568000Y-134950200D01* -X99582866Y-134948736D01* -X99597160Y-134944400D01* -X99610334Y-134937358D01* -X99621882Y-134927882D01* -X99631358Y-134916334D01* -X99638400Y-134903160D01* -X99642736Y-134888866D01* -X99644200Y-134874000D01* -X99644200Y-134493000D01* -X101015800Y-134493000D01* -X101015800Y-134874000D01* -X101017264Y-134888866D01* -X101021600Y-134903160D01* -X101028642Y-134916334D01* -X101038118Y-134927882D01* -X101049666Y-134937358D01* -X101062840Y-134944400D01* -X101077134Y-134948736D01* -X101092000Y-134950200D01* -X101473000Y-134950200D01* -X101487866Y-134948736D01* -X101502160Y-134944400D01* -X101515334Y-134937358D01* -X101526882Y-134927882D01* -X101536358Y-134916334D01* -X101543400Y-134903160D01* -X101547736Y-134888866D01* -X101549200Y-134874000D01* -X101549200Y-134493000D01* -X101650800Y-134493000D01* -X101650800Y-134874000D01* -X101652264Y-134888866D01* -X101656600Y-134903160D01* -X101663642Y-134916334D01* -X101673118Y-134927882D01* -X101684666Y-134937358D01* -X101697840Y-134944400D01* -X101712134Y-134948736D01* -X101727000Y-134950200D01* -X102108000Y-134950200D01* -X102122866Y-134948736D01* -X102137160Y-134944400D01* -X102150334Y-134937358D01* -X102161882Y-134927882D01* -X102171358Y-134916334D01* -X102178400Y-134903160D01* -X102182736Y-134888866D01* -X102184200Y-134874000D01* -X102184200Y-134493000D01* -X103555800Y-134493000D01* -X103555800Y-134874000D01* -X103557264Y-134888866D01* -X103561600Y-134903160D01* -X103568642Y-134916334D01* -X103578118Y-134927882D01* -X103589666Y-134937358D01* -X103602840Y-134944400D01* -X103617134Y-134948736D01* -X103632000Y-134950200D01* -X104013000Y-134950200D01* -X104027866Y-134948736D01* -X104042160Y-134944400D01* -X104055334Y-134937358D01* -X104066882Y-134927882D01* -X104076358Y-134916334D01* -X104083400Y-134903160D01* -X104087736Y-134888866D01* -X104089200Y-134874000D01* -X104089200Y-134493000D01* -X104190800Y-134493000D01* -X104190800Y-134874000D01* -X104192264Y-134888866D01* -X104196600Y-134903160D01* -X104203642Y-134916334D01* -X104213118Y-134927882D01* -X104224666Y-134937358D01* -X104237840Y-134944400D01* -X104252134Y-134948736D01* -X104267000Y-134950200D01* -X104648000Y-134950200D01* -X104662866Y-134948736D01* -X104677160Y-134944400D01* -X104690334Y-134937358D01* -X104701882Y-134927882D01* -X104711358Y-134916334D01* -X104718400Y-134903160D01* -X104722736Y-134888866D01* -X104724200Y-134874000D01* -X104724200Y-134493000D01* -X106095800Y-134493000D01* -X106095800Y-134874000D01* -X106097264Y-134888866D01* -X106101600Y-134903160D01* -X106108642Y-134916334D01* -X106118118Y-134927882D01* -X106129666Y-134937358D01* -X106142840Y-134944400D01* -X106157134Y-134948736D01* -X106172000Y-134950200D01* -X106553000Y-134950200D01* -X106567866Y-134948736D01* -X106582160Y-134944400D01* -X106595334Y-134937358D01* -X106606882Y-134927882D01* -X106616358Y-134916334D01* -X106623400Y-134903160D01* -X106627736Y-134888866D01* -X106629200Y-134874000D01* -X106629200Y-134493000D01* -X106730800Y-134493000D01* -X106730800Y-134874000D01* -X106732264Y-134888866D01* -X106736600Y-134903160D01* -X106743642Y-134916334D01* -X106753118Y-134927882D01* -X106764666Y-134937358D01* -X106777840Y-134944400D01* -X106792134Y-134948736D01* -X106807000Y-134950200D01* -X107188000Y-134950200D01* -X107202866Y-134948736D01* -X107217160Y-134944400D01* -X107230334Y-134937358D01* -X107241882Y-134927882D01* -X107251358Y-134916334D01* -X107258400Y-134903160D01* -X107262736Y-134888866D01* -X107264200Y-134874000D01* -X107264200Y-134493000D01* -X108635800Y-134493000D01* -X108635800Y-134874000D01* -X108637264Y-134888866D01* -X108641600Y-134903160D01* -X108648642Y-134916334D01* -X108658118Y-134927882D01* -X108669666Y-134937358D01* -X108682840Y-134944400D01* -X108697134Y-134948736D01* -X108712000Y-134950200D01* -X109093000Y-134950200D01* -X109107866Y-134948736D01* -X109122160Y-134944400D01* -X109135334Y-134937358D01* -X109146882Y-134927882D01* -X109156358Y-134916334D01* -X109163400Y-134903160D01* -X109167736Y-134888866D01* -X109169200Y-134874000D01* -X109169200Y-134493000D01* -X109270800Y-134493000D01* -X109270800Y-134874000D01* -X109272264Y-134888866D01* -X109276600Y-134903160D01* -X109283642Y-134916334D01* -X109293118Y-134927882D01* -X109304666Y-134937358D01* -X109317840Y-134944400D01* -X109332134Y-134948736D01* -X109347000Y-134950200D01* -X109728000Y-134950200D01* -X109742866Y-134948736D01* -X109757160Y-134944400D01* -X109770334Y-134937358D01* -X109781882Y-134927882D01* -X109791358Y-134916334D01* -X109798400Y-134903160D01* -X109802736Y-134888866D01* -X109804200Y-134874000D01* -X109804200Y-134493000D01* -X111175800Y-134493000D01* -X111175800Y-134874000D01* -X111177264Y-134888866D01* -X111181600Y-134903160D01* -X111188642Y-134916334D01* -X111198118Y-134927882D01* -X111209666Y-134937358D01* -X111222840Y-134944400D01* -X111237134Y-134948736D01* -X111252000Y-134950200D01* -X111633000Y-134950200D01* -X111647866Y-134948736D01* -X111662160Y-134944400D01* -X111675334Y-134937358D01* -X111686882Y-134927882D01* -X111696358Y-134916334D01* -X111703400Y-134903160D01* -X111707736Y-134888866D01* -X111709200Y-134874000D01* -X111709200Y-134493000D01* -X111810800Y-134493000D01* -X111810800Y-134874000D01* -X111812264Y-134888866D01* -X111816600Y-134903160D01* -X111823642Y-134916334D01* -X111833118Y-134927882D01* -X111844666Y-134937358D01* -X111857840Y-134944400D01* -X111872134Y-134948736D01* -X111887000Y-134950200D01* -X112268000Y-134950200D01* -X112282866Y-134948736D01* -X112297160Y-134944400D01* -X112310334Y-134937358D01* -X112321882Y-134927882D01* -X112331358Y-134916334D01* -X112338400Y-134903160D01* -X112342736Y-134888866D01* -X112344200Y-134874000D01* -X112344200Y-134493000D01* -X113715800Y-134493000D01* -X113715800Y-134874000D01* -X113717264Y-134888866D01* -X113721600Y-134903160D01* -X113728642Y-134916334D01* -X113738118Y-134927882D01* -X113749666Y-134937358D01* -X113762840Y-134944400D01* -X113777134Y-134948736D01* -X113792000Y-134950200D01* -X114173000Y-134950200D01* -X114187866Y-134948736D01* -X114202160Y-134944400D01* -X114215334Y-134937358D01* -X114226882Y-134927882D01* -X114236358Y-134916334D01* -X114243400Y-134903160D01* -X114247736Y-134888866D01* -X114249200Y-134874000D01* -X114249200Y-134493000D01* -X114350800Y-134493000D01* -X114350800Y-134874000D01* -X114352264Y-134888866D01* -X114356600Y-134903160D01* -X114363642Y-134916334D01* -X114373118Y-134927882D01* -X114384666Y-134937358D01* -X114397840Y-134944400D01* -X114412134Y-134948736D01* -X114427000Y-134950200D01* -X114808000Y-134950200D01* -X114822866Y-134948736D01* -X114837160Y-134944400D01* -X114850334Y-134937358D01* -X114861882Y-134927882D01* -X114871358Y-134916334D01* -X114878400Y-134903160D01* -X114882736Y-134888866D01* -X114884200Y-134874000D01* -X114884200Y-134493000D01* -X116255800Y-134493000D01* -X116255800Y-134874000D01* -X116257264Y-134888866D01* -X116261600Y-134903160D01* -X116268642Y-134916334D01* -X116278118Y-134927882D01* -X116289666Y-134937358D01* -X116302840Y-134944400D01* -X116317134Y-134948736D01* -X116332000Y-134950200D01* -X116713000Y-134950200D01* -X116727866Y-134948736D01* -X116742160Y-134944400D01* -X116755334Y-134937358D01* -X116766882Y-134927882D01* -X116776358Y-134916334D01* -X116783400Y-134903160D01* -X116787736Y-134888866D01* -X116789200Y-134874000D01* -X116789200Y-134493000D01* -X116890800Y-134493000D01* -X116890800Y-134874000D01* -X116892264Y-134888866D01* -X116896600Y-134903160D01* -X116903642Y-134916334D01* -X116913118Y-134927882D01* -X116924666Y-134937358D01* -X116937840Y-134944400D01* -X116952134Y-134948736D01* -X116967000Y-134950200D01* -X117348000Y-134950200D01* -X117362866Y-134948736D01* -X117377160Y-134944400D01* -X117390334Y-134937358D01* -X117401882Y-134927882D01* -X117411358Y-134916334D01* -X117418400Y-134903160D01* -X117422736Y-134888866D01* -X117424200Y-134874000D01* -X117424200Y-134493000D01* -X118795800Y-134493000D01* -X118795800Y-134874000D01* -X118797264Y-134888866D01* -X118801600Y-134903160D01* -X118808642Y-134916334D01* -X118818118Y-134927882D01* -X118829666Y-134937358D01* -X118842840Y-134944400D01* -X118857134Y-134948736D01* -X118872000Y-134950200D01* -X119253000Y-134950200D01* -X119267866Y-134948736D01* -X119282160Y-134944400D01* -X119295334Y-134937358D01* -X119306882Y-134927882D01* -X119316358Y-134916334D01* -X119323400Y-134903160D01* -X119327736Y-134888866D01* -X119329200Y-134874000D01* -X119329200Y-134493000D01* -X119430800Y-134493000D01* -X119430800Y-134874000D01* -X119432264Y-134888866D01* -X119436600Y-134903160D01* -X119443642Y-134916334D01* -X119453118Y-134927882D01* -X119464666Y-134937358D01* -X119477840Y-134944400D01* -X119492134Y-134948736D01* -X119507000Y-134950200D01* -X119888000Y-134950200D01* -X119902866Y-134948736D01* -X119917160Y-134944400D01* -X119930334Y-134937358D01* -X119941882Y-134927882D01* -X119951358Y-134916334D01* -X119958400Y-134903160D01* -X119962736Y-134888866D01* -X119964200Y-134874000D01* -X119964200Y-134493000D01* -X121335800Y-134493000D01* -X121335800Y-134874000D01* -X121337264Y-134888866D01* -X121341600Y-134903160D01* -X121348642Y-134916334D01* -X121358118Y-134927882D01* -X121369666Y-134937358D01* -X121382840Y-134944400D01* -X121397134Y-134948736D01* -X121412000Y-134950200D01* -X121793000Y-134950200D01* -X121807866Y-134948736D01* -X121822160Y-134944400D01* -X121835334Y-134937358D01* -X121846882Y-134927882D01* -X121856358Y-134916334D01* -X121863400Y-134903160D01* -X121867736Y-134888866D01* -X121869200Y-134874000D01* -X121869200Y-134493000D01* -X121970800Y-134493000D01* -X121970800Y-134874000D01* -X121972264Y-134888866D01* -X121976600Y-134903160D01* -X121983642Y-134916334D01* -X121993118Y-134927882D01* -X122004666Y-134937358D01* -X122017840Y-134944400D01* -X122032134Y-134948736D01* -X122047000Y-134950200D01* -X122428000Y-134950200D01* -X122442866Y-134948736D01* -X122457160Y-134944400D01* -X122470334Y-134937358D01* -X122481882Y-134927882D01* -X122491358Y-134916334D01* -X122498400Y-134903160D01* -X122502736Y-134888866D01* -X122504200Y-134874000D01* -X122504200Y-134493000D01* -X123875800Y-134493000D01* -X123875800Y-134874000D01* -X123877264Y-134888866D01* -X123881600Y-134903160D01* -X123888642Y-134916334D01* -X123898118Y-134927882D01* -X123909666Y-134937358D01* -X123922840Y-134944400D01* -X123937134Y-134948736D01* -X123952000Y-134950200D01* -X124333000Y-134950200D01* -X124347866Y-134948736D01* -X124362160Y-134944400D01* -X124375334Y-134937358D01* -X124386882Y-134927882D01* -X124396358Y-134916334D01* -X124403400Y-134903160D01* -X124407736Y-134888866D01* -X124409200Y-134874000D01* -X124409200Y-134493000D01* -X124510800Y-134493000D01* -X124510800Y-134874000D01* -X124512264Y-134888866D01* -X124516600Y-134903160D01* -X124523642Y-134916334D01* -X124533118Y-134927882D01* -X124544666Y-134937358D01* -X124557840Y-134944400D01* -X124572134Y-134948736D01* -X124587000Y-134950200D01* -X124968000Y-134950200D01* -X124982866Y-134948736D01* -X124997160Y-134944400D01* -X125010334Y-134937358D01* -X125021882Y-134927882D01* -X125031358Y-134916334D01* -X125038400Y-134903160D01* -X125042736Y-134888866D01* -X125044200Y-134874000D01* -X125044200Y-134493000D01* -X126415800Y-134493000D01* -X126415800Y-134874000D01* -X126417264Y-134888866D01* -X126421600Y-134903160D01* -X126428642Y-134916334D01* -X126438118Y-134927882D01* -X126449666Y-134937358D01* -X126462840Y-134944400D01* -X126477134Y-134948736D01* -X126492000Y-134950200D01* -X126873000Y-134950200D01* -X126887866Y-134948736D01* -X126902160Y-134944400D01* -X126915334Y-134937358D01* -X126926882Y-134927882D01* -X126936358Y-134916334D01* -X126943400Y-134903160D01* -X126947736Y-134888866D01* -X126949200Y-134874000D01* -X126949200Y-134493000D01* -X127050800Y-134493000D01* -X127050800Y-134874000D01* -X127052264Y-134888866D01* -X127056600Y-134903160D01* -X127063642Y-134916334D01* -X127073118Y-134927882D01* -X127084666Y-134937358D01* -X127097840Y-134944400D01* -X127112134Y-134948736D01* -X127127000Y-134950200D01* -X127508000Y-134950200D01* -X127522866Y-134948736D01* -X127537160Y-134944400D01* -X127550334Y-134937358D01* -X127561882Y-134927882D01* -X127571358Y-134916334D01* -X127578400Y-134903160D01* -X127582736Y-134888866D01* -X127584200Y-134874000D01* -X127584200Y-134493000D01* -X128955800Y-134493000D01* -X128955800Y-134874000D01* -X128957264Y-134888866D01* -X128961600Y-134903160D01* -X128968642Y-134916334D01* -X128978118Y-134927882D01* -X128989666Y-134937358D01* -X129002840Y-134944400D01* -X129017134Y-134948736D01* -X129032000Y-134950200D01* -X129413000Y-134950200D01* -X129427866Y-134948736D01* -X129442160Y-134944400D01* -X129455334Y-134937358D01* -X129466882Y-134927882D01* -X129476358Y-134916334D01* -X129483400Y-134903160D01* -X129487736Y-134888866D01* -X129489200Y-134874000D01* -X129489200Y-134493000D01* -X129590800Y-134493000D01* -X129590800Y-134874000D01* -X129592264Y-134888866D01* -X129596600Y-134903160D01* -X129603642Y-134916334D01* -X129613118Y-134927882D01* -X129624666Y-134937358D01* -X129637840Y-134944400D01* -X129652134Y-134948736D01* -X129667000Y-134950200D01* -X130048000Y-134950200D01* -X130062866Y-134948736D01* -X130077160Y-134944400D01* -X130090334Y-134937358D01* -X130101882Y-134927882D01* -X130111358Y-134916334D01* -X130118400Y-134903160D01* -X130122736Y-134888866D01* -X130124200Y-134874000D01* -X130124200Y-134493000D01* -X131495800Y-134493000D01* -X131495800Y-134874000D01* -X131497264Y-134888866D01* -X131501600Y-134903160D01* -X131508642Y-134916334D01* -X131518118Y-134927882D01* -X131529666Y-134937358D01* -X131542840Y-134944400D01* -X131557134Y-134948736D01* -X131572000Y-134950200D01* -X131953000Y-134950200D01* -X131967866Y-134948736D01* -X131982160Y-134944400D01* -X131995334Y-134937358D01* -X132006882Y-134927882D01* -X132016358Y-134916334D01* -X132023400Y-134903160D01* -X132027736Y-134888866D01* -X132029200Y-134874000D01* -X132029200Y-134493000D01* -X132130800Y-134493000D01* -X132130800Y-134874000D01* -X132132264Y-134888866D01* -X132136600Y-134903160D01* -X132143642Y-134916334D01* -X132153118Y-134927882D01* -X132164666Y-134937358D01* -X132177840Y-134944400D01* -X132192134Y-134948736D01* -X132207000Y-134950200D01* -X132588000Y-134950200D01* -X132602866Y-134948736D01* -X132617160Y-134944400D01* -X132630334Y-134937358D01* -X132641882Y-134927882D01* -X132651358Y-134916334D01* -X132658400Y-134903160D01* -X132662736Y-134888866D01* -X132664200Y-134874000D01* -X132664200Y-134493000D01* -X134035800Y-134493000D01* -X134035800Y-134874000D01* -X134037264Y-134888866D01* -X134041600Y-134903160D01* -X134048642Y-134916334D01* -X134058118Y-134927882D01* -X134069666Y-134937358D01* -X134082840Y-134944400D01* -X134097134Y-134948736D01* -X134112000Y-134950200D01* -X134493000Y-134950200D01* -X134507866Y-134948736D01* -X134522160Y-134944400D01* -X134535334Y-134937358D01* -X134546882Y-134927882D01* -X134556358Y-134916334D01* -X134563400Y-134903160D01* -X134567736Y-134888866D01* -X134569200Y-134874000D01* -X134569200Y-134493000D01* -X134670800Y-134493000D01* -X134670800Y-134874000D01* -X134672264Y-134888866D01* -X134676600Y-134903160D01* -X134683642Y-134916334D01* -X134693118Y-134927882D01* -X134704666Y-134937358D01* -X134717840Y-134944400D01* -X134732134Y-134948736D01* -X134747000Y-134950200D01* -X135128000Y-134950200D01* -X135142866Y-134948736D01* -X135157160Y-134944400D01* -X135170334Y-134937358D01* -X135181882Y-134927882D01* -X135191358Y-134916334D01* -X135198400Y-134903160D01* -X135202736Y-134888866D01* -X135204200Y-134874000D01* -X135204200Y-134493000D01* -X135202736Y-134478134D01* -X135198400Y-134463840D01* -X135191358Y-134450666D01* -X135181882Y-134439118D01* -X135170334Y-134429642D01* -X135157160Y-134422600D01* -X135142866Y-134418264D01* -X135128000Y-134416800D01* -X134747000Y-134416800D01* -X134732134Y-134418264D01* -X134717840Y-134422600D01* -X134704666Y-134429642D01* -X134693118Y-134439118D01* -X134683642Y-134450666D01* -X134676600Y-134463840D01* -X134672264Y-134478134D01* -X134670800Y-134493000D01* -X134569200Y-134493000D01* -X134567736Y-134478134D01* -X134563400Y-134463840D01* -X134556358Y-134450666D01* -X134546882Y-134439118D01* -X134535334Y-134429642D01* -X134522160Y-134422600D01* -X134507866Y-134418264D01* -X134493000Y-134416800D01* -X134112000Y-134416800D01* -X134097134Y-134418264D01* -X134082840Y-134422600D01* -X134069666Y-134429642D01* -X134058118Y-134439118D01* -X134048642Y-134450666D01* -X134041600Y-134463840D01* -X134037264Y-134478134D01* -X134035800Y-134493000D01* -X132664200Y-134493000D01* -X132662736Y-134478134D01* -X132658400Y-134463840D01* -X132651358Y-134450666D01* -X132641882Y-134439118D01* -X132630334Y-134429642D01* -X132617160Y-134422600D01* -X132602866Y-134418264D01* -X132588000Y-134416800D01* -X132207000Y-134416800D01* -X132192134Y-134418264D01* -X132177840Y-134422600D01* -X132164666Y-134429642D01* -X132153118Y-134439118D01* -X132143642Y-134450666D01* -X132136600Y-134463840D01* -X132132264Y-134478134D01* -X132130800Y-134493000D01* -X132029200Y-134493000D01* -X132027736Y-134478134D01* -X132023400Y-134463840D01* -X132016358Y-134450666D01* -X132006882Y-134439118D01* -X131995334Y-134429642D01* -X131982160Y-134422600D01* -X131967866Y-134418264D01* -X131953000Y-134416800D01* -X131572000Y-134416800D01* -X131557134Y-134418264D01* -X131542840Y-134422600D01* -X131529666Y-134429642D01* -X131518118Y-134439118D01* -X131508642Y-134450666D01* -X131501600Y-134463840D01* -X131497264Y-134478134D01* -X131495800Y-134493000D01* -X130124200Y-134493000D01* -X130122736Y-134478134D01* -X130118400Y-134463840D01* -X130111358Y-134450666D01* -X130101882Y-134439118D01* -X130090334Y-134429642D01* -X130077160Y-134422600D01* -X130062866Y-134418264D01* -X130048000Y-134416800D01* -X129667000Y-134416800D01* -X129652134Y-134418264D01* -X129637840Y-134422600D01* -X129624666Y-134429642D01* -X129613118Y-134439118D01* -X129603642Y-134450666D01* -X129596600Y-134463840D01* -X129592264Y-134478134D01* -X129590800Y-134493000D01* -X129489200Y-134493000D01* -X129487736Y-134478134D01* -X129483400Y-134463840D01* -X129476358Y-134450666D01* -X129466882Y-134439118D01* -X129455334Y-134429642D01* -X129442160Y-134422600D01* -X129427866Y-134418264D01* -X129413000Y-134416800D01* -X129032000Y-134416800D01* -X129017134Y-134418264D01* -X129002840Y-134422600D01* -X128989666Y-134429642D01* -X128978118Y-134439118D01* -X128968642Y-134450666D01* -X128961600Y-134463840D01* -X128957264Y-134478134D01* -X128955800Y-134493000D01* -X127584200Y-134493000D01* -X127582736Y-134478134D01* -X127578400Y-134463840D01* -X127571358Y-134450666D01* -X127561882Y-134439118D01* -X127550334Y-134429642D01* -X127537160Y-134422600D01* -X127522866Y-134418264D01* -X127508000Y-134416800D01* -X127127000Y-134416800D01* -X127112134Y-134418264D01* -X127097840Y-134422600D01* -X127084666Y-134429642D01* -X127073118Y-134439118D01* -X127063642Y-134450666D01* -X127056600Y-134463840D01* -X127052264Y-134478134D01* -X127050800Y-134493000D01* -X126949200Y-134493000D01* -X126947736Y-134478134D01* -X126943400Y-134463840D01* -X126936358Y-134450666D01* -X126926882Y-134439118D01* -X126915334Y-134429642D01* -X126902160Y-134422600D01* -X126887866Y-134418264D01* -X126873000Y-134416800D01* -X126492000Y-134416800D01* -X126477134Y-134418264D01* -X126462840Y-134422600D01* -X126449666Y-134429642D01* -X126438118Y-134439118D01* -X126428642Y-134450666D01* -X126421600Y-134463840D01* -X126417264Y-134478134D01* -X126415800Y-134493000D01* -X125044200Y-134493000D01* -X125042736Y-134478134D01* -X125038400Y-134463840D01* -X125031358Y-134450666D01* -X125021882Y-134439118D01* -X125010334Y-134429642D01* -X124997160Y-134422600D01* -X124982866Y-134418264D01* -X124968000Y-134416800D01* -X124587000Y-134416800D01* -X124572134Y-134418264D01* -X124557840Y-134422600D01* -X124544666Y-134429642D01* -X124533118Y-134439118D01* -X124523642Y-134450666D01* -X124516600Y-134463840D01* -X124512264Y-134478134D01* -X124510800Y-134493000D01* -X124409200Y-134493000D01* -X124407736Y-134478134D01* -X124403400Y-134463840D01* -X124396358Y-134450666D01* -X124386882Y-134439118D01* -X124375334Y-134429642D01* -X124362160Y-134422600D01* -X124347866Y-134418264D01* -X124333000Y-134416800D01* -X123952000Y-134416800D01* -X123937134Y-134418264D01* -X123922840Y-134422600D01* -X123909666Y-134429642D01* -X123898118Y-134439118D01* -X123888642Y-134450666D01* -X123881600Y-134463840D01* -X123877264Y-134478134D01* -X123875800Y-134493000D01* -X122504200Y-134493000D01* -X122502736Y-134478134D01* -X122498400Y-134463840D01* -X122491358Y-134450666D01* -X122481882Y-134439118D01* -X122470334Y-134429642D01* -X122457160Y-134422600D01* -X122442866Y-134418264D01* -X122428000Y-134416800D01* -X122047000Y-134416800D01* -X122032134Y-134418264D01* -X122017840Y-134422600D01* -X122004666Y-134429642D01* -X121993118Y-134439118D01* -X121983642Y-134450666D01* -X121976600Y-134463840D01* -X121972264Y-134478134D01* -X121970800Y-134493000D01* -X121869200Y-134493000D01* -X121867736Y-134478134D01* -X121863400Y-134463840D01* -X121856358Y-134450666D01* -X121846882Y-134439118D01* -X121835334Y-134429642D01* -X121822160Y-134422600D01* -X121807866Y-134418264D01* -X121793000Y-134416800D01* -X121412000Y-134416800D01* -X121397134Y-134418264D01* -X121382840Y-134422600D01* -X121369666Y-134429642D01* -X121358118Y-134439118D01* -X121348642Y-134450666D01* -X121341600Y-134463840D01* -X121337264Y-134478134D01* -X121335800Y-134493000D01* -X119964200Y-134493000D01* -X119962736Y-134478134D01* -X119958400Y-134463840D01* -X119951358Y-134450666D01* -X119941882Y-134439118D01* -X119930334Y-134429642D01* -X119917160Y-134422600D01* -X119902866Y-134418264D01* -X119888000Y-134416800D01* -X119507000Y-134416800D01* -X119492134Y-134418264D01* -X119477840Y-134422600D01* -X119464666Y-134429642D01* -X119453118Y-134439118D01* -X119443642Y-134450666D01* -X119436600Y-134463840D01* -X119432264Y-134478134D01* -X119430800Y-134493000D01* -X119329200Y-134493000D01* -X119327736Y-134478134D01* -X119323400Y-134463840D01* -X119316358Y-134450666D01* -X119306882Y-134439118D01* -X119295334Y-134429642D01* -X119282160Y-134422600D01* -X119267866Y-134418264D01* -X119253000Y-134416800D01* -X118872000Y-134416800D01* -X118857134Y-134418264D01* -X118842840Y-134422600D01* -X118829666Y-134429642D01* -X118818118Y-134439118D01* -X118808642Y-134450666D01* -X118801600Y-134463840D01* -X118797264Y-134478134D01* -X118795800Y-134493000D01* -X117424200Y-134493000D01* -X117422736Y-134478134D01* -X117418400Y-134463840D01* -X117411358Y-134450666D01* -X117401882Y-134439118D01* -X117390334Y-134429642D01* -X117377160Y-134422600D01* -X117362866Y-134418264D01* -X117348000Y-134416800D01* -X116967000Y-134416800D01* -X116952134Y-134418264D01* -X116937840Y-134422600D01* -X116924666Y-134429642D01* -X116913118Y-134439118D01* -X116903642Y-134450666D01* -X116896600Y-134463840D01* -X116892264Y-134478134D01* -X116890800Y-134493000D01* -X116789200Y-134493000D01* -X116787736Y-134478134D01* -X116783400Y-134463840D01* -X116776358Y-134450666D01* -X116766882Y-134439118D01* -X116755334Y-134429642D01* -X116742160Y-134422600D01* -X116727866Y-134418264D01* -X116713000Y-134416800D01* -X116332000Y-134416800D01* -X116317134Y-134418264D01* -X116302840Y-134422600D01* -X116289666Y-134429642D01* -X116278118Y-134439118D01* -X116268642Y-134450666D01* -X116261600Y-134463840D01* -X116257264Y-134478134D01* -X116255800Y-134493000D01* -X114884200Y-134493000D01* -X114882736Y-134478134D01* -X114878400Y-134463840D01* -X114871358Y-134450666D01* -X114861882Y-134439118D01* -X114850334Y-134429642D01* -X114837160Y-134422600D01* -X114822866Y-134418264D01* -X114808000Y-134416800D01* -X114427000Y-134416800D01* -X114412134Y-134418264D01* -X114397840Y-134422600D01* -X114384666Y-134429642D01* -X114373118Y-134439118D01* -X114363642Y-134450666D01* -X114356600Y-134463840D01* -X114352264Y-134478134D01* -X114350800Y-134493000D01* -X114249200Y-134493000D01* -X114247736Y-134478134D01* -X114243400Y-134463840D01* -X114236358Y-134450666D01* -X114226882Y-134439118D01* -X114215334Y-134429642D01* -X114202160Y-134422600D01* -X114187866Y-134418264D01* -X114173000Y-134416800D01* -X113792000Y-134416800D01* -X113777134Y-134418264D01* -X113762840Y-134422600D01* -X113749666Y-134429642D01* -X113738118Y-134439118D01* -X113728642Y-134450666D01* -X113721600Y-134463840D01* -X113717264Y-134478134D01* -X113715800Y-134493000D01* -X112344200Y-134493000D01* -X112342736Y-134478134D01* -X112338400Y-134463840D01* -X112331358Y-134450666D01* -X112321882Y-134439118D01* -X112310334Y-134429642D01* -X112297160Y-134422600D01* -X112282866Y-134418264D01* -X112268000Y-134416800D01* -X111887000Y-134416800D01* -X111872134Y-134418264D01* -X111857840Y-134422600D01* -X111844666Y-134429642D01* -X111833118Y-134439118D01* -X111823642Y-134450666D01* -X111816600Y-134463840D01* -X111812264Y-134478134D01* -X111810800Y-134493000D01* -X111709200Y-134493000D01* -X111707736Y-134478134D01* -X111703400Y-134463840D01* -X111696358Y-134450666D01* -X111686882Y-134439118D01* -X111675334Y-134429642D01* -X111662160Y-134422600D01* -X111647866Y-134418264D01* -X111633000Y-134416800D01* -X111252000Y-134416800D01* -X111237134Y-134418264D01* -X111222840Y-134422600D01* -X111209666Y-134429642D01* -X111198118Y-134439118D01* -X111188642Y-134450666D01* -X111181600Y-134463840D01* -X111177264Y-134478134D01* -X111175800Y-134493000D01* -X109804200Y-134493000D01* -X109802736Y-134478134D01* -X109798400Y-134463840D01* -X109791358Y-134450666D01* -X109781882Y-134439118D01* -X109770334Y-134429642D01* -X109757160Y-134422600D01* -X109742866Y-134418264D01* -X109728000Y-134416800D01* -X109347000Y-134416800D01* -X109332134Y-134418264D01* -X109317840Y-134422600D01* -X109304666Y-134429642D01* -X109293118Y-134439118D01* -X109283642Y-134450666D01* -X109276600Y-134463840D01* -X109272264Y-134478134D01* -X109270800Y-134493000D01* -X109169200Y-134493000D01* -X109167736Y-134478134D01* -X109163400Y-134463840D01* -X109156358Y-134450666D01* -X109146882Y-134439118D01* -X109135334Y-134429642D01* -X109122160Y-134422600D01* -X109107866Y-134418264D01* -X109093000Y-134416800D01* -X108712000Y-134416800D01* -X108697134Y-134418264D01* -X108682840Y-134422600D01* -X108669666Y-134429642D01* -X108658118Y-134439118D01* -X108648642Y-134450666D01* -X108641600Y-134463840D01* -X108637264Y-134478134D01* -X108635800Y-134493000D01* -X107264200Y-134493000D01* -X107262736Y-134478134D01* -X107258400Y-134463840D01* -X107251358Y-134450666D01* -X107241882Y-134439118D01* -X107230334Y-134429642D01* -X107217160Y-134422600D01* -X107202866Y-134418264D01* -X107188000Y-134416800D01* -X106807000Y-134416800D01* -X106792134Y-134418264D01* -X106777840Y-134422600D01* -X106764666Y-134429642D01* -X106753118Y-134439118D01* -X106743642Y-134450666D01* -X106736600Y-134463840D01* -X106732264Y-134478134D01* -X106730800Y-134493000D01* -X106629200Y-134493000D01* -X106627736Y-134478134D01* -X106623400Y-134463840D01* -X106616358Y-134450666D01* -X106606882Y-134439118D01* -X106595334Y-134429642D01* -X106582160Y-134422600D01* -X106567866Y-134418264D01* -X106553000Y-134416800D01* -X106172000Y-134416800D01* -X106157134Y-134418264D01* -X106142840Y-134422600D01* -X106129666Y-134429642D01* -X106118118Y-134439118D01* -X106108642Y-134450666D01* -X106101600Y-134463840D01* -X106097264Y-134478134D01* -X106095800Y-134493000D01* -X104724200Y-134493000D01* -X104722736Y-134478134D01* -X104718400Y-134463840D01* -X104711358Y-134450666D01* -X104701882Y-134439118D01* -X104690334Y-134429642D01* -X104677160Y-134422600D01* -X104662866Y-134418264D01* -X104648000Y-134416800D01* -X104267000Y-134416800D01* -X104252134Y-134418264D01* -X104237840Y-134422600D01* -X104224666Y-134429642D01* -X104213118Y-134439118D01* -X104203642Y-134450666D01* -X104196600Y-134463840D01* -X104192264Y-134478134D01* -X104190800Y-134493000D01* -X104089200Y-134493000D01* -X104087736Y-134478134D01* -X104083400Y-134463840D01* -X104076358Y-134450666D01* -X104066882Y-134439118D01* -X104055334Y-134429642D01* -X104042160Y-134422600D01* -X104027866Y-134418264D01* -X104013000Y-134416800D01* -X103632000Y-134416800D01* -X103617134Y-134418264D01* -X103602840Y-134422600D01* -X103589666Y-134429642D01* -X103578118Y-134439118D01* -X103568642Y-134450666D01* -X103561600Y-134463840D01* -X103557264Y-134478134D01* -X103555800Y-134493000D01* -X102184200Y-134493000D01* -X102182736Y-134478134D01* -X102178400Y-134463840D01* -X102171358Y-134450666D01* -X102161882Y-134439118D01* -X102150334Y-134429642D01* -X102137160Y-134422600D01* -X102122866Y-134418264D01* -X102108000Y-134416800D01* -X101727000Y-134416800D01* -X101712134Y-134418264D01* -X101697840Y-134422600D01* -X101684666Y-134429642D01* -X101673118Y-134439118D01* -X101663642Y-134450666D01* -X101656600Y-134463840D01* -X101652264Y-134478134D01* -X101650800Y-134493000D01* -X101549200Y-134493000D01* -X101547736Y-134478134D01* -X101543400Y-134463840D01* -X101536358Y-134450666D01* -X101526882Y-134439118D01* -X101515334Y-134429642D01* -X101502160Y-134422600D01* -X101487866Y-134418264D01* -X101473000Y-134416800D01* -X101092000Y-134416800D01* -X101077134Y-134418264D01* -X101062840Y-134422600D01* -X101049666Y-134429642D01* -X101038118Y-134439118D01* -X101028642Y-134450666D01* -X101021600Y-134463840D01* -X101017264Y-134478134D01* -X101015800Y-134493000D01* -X99644200Y-134493000D01* -X99642736Y-134478134D01* -X99638400Y-134463840D01* -X99631358Y-134450666D01* -X99621882Y-134439118D01* -X99610334Y-134429642D01* -X99597160Y-134422600D01* -X99582866Y-134418264D01* -X99568000Y-134416800D01* -X99187000Y-134416800D01* -X99172134Y-134418264D01* -X99157840Y-134422600D01* -X99144666Y-134429642D01* -X99133118Y-134439118D01* -X99123642Y-134450666D01* -X99116600Y-134463840D01* -X99112264Y-134478134D01* -X99110800Y-134493000D01* -X99009200Y-134493000D01* -X99007736Y-134478134D01* -X99003400Y-134463840D01* -X98996358Y-134450666D01* -X98986882Y-134439118D01* -X98975334Y-134429642D01* -X98962160Y-134422600D01* -X98947866Y-134418264D01* -X98933000Y-134416800D01* -X98552000Y-134416800D01* -X98537134Y-134418264D01* -X98522840Y-134422600D01* -X98509666Y-134429642D01* -X98498118Y-134439118D01* -X98488642Y-134450666D01* -X98481600Y-134463840D01* -X98477264Y-134478134D01* -X98475800Y-134493000D01* -X97104200Y-134493000D01* -X97102736Y-134478134D01* -X97098400Y-134463840D01* -X97091358Y-134450666D01* -X97081882Y-134439118D01* -X97070334Y-134429642D01* -X97057160Y-134422600D01* -X97042866Y-134418264D01* -X97028000Y-134416800D01* -X96647000Y-134416800D01* -X96632134Y-134418264D01* -X96617840Y-134422600D01* -X96604666Y-134429642D01* -X96593118Y-134439118D01* -X96583642Y-134450666D01* -X96576600Y-134463840D01* -X96572264Y-134478134D01* -X96570800Y-134493000D01* -X96469200Y-134493000D01* -X96467736Y-134478134D01* -X96463400Y-134463840D01* -X96456358Y-134450666D01* -X96446882Y-134439118D01* -X96435334Y-134429642D01* -X96422160Y-134422600D01* -X96407866Y-134418264D01* -X96393000Y-134416800D01* -X96012000Y-134416800D01* -X95997134Y-134418264D01* -X95982840Y-134422600D01* -X95969666Y-134429642D01* -X95958118Y-134439118D01* -X95948642Y-134450666D01* -X95941600Y-134463840D01* -X95937264Y-134478134D01* -X95935800Y-134493000D01* -X94564200Y-134493000D01* -X94562736Y-134478134D01* -X94558400Y-134463840D01* -X94551358Y-134450666D01* -X94541882Y-134439118D01* -X94530334Y-134429642D01* -X94517160Y-134422600D01* -X94502866Y-134418264D01* -X94488000Y-134416800D01* -X94107000Y-134416800D01* -X94092134Y-134418264D01* -X94077840Y-134422600D01* -X94064666Y-134429642D01* -X94053118Y-134439118D01* -X94043642Y-134450666D01* -X94036600Y-134463840D01* -X94032264Y-134478134D01* -X94030800Y-134493000D01* -X93929200Y-134493000D01* -X93927736Y-134478134D01* -X93923400Y-134463840D01* -X93916358Y-134450666D01* -X93906882Y-134439118D01* -X93895334Y-134429642D01* -X93882160Y-134422600D01* -X93867866Y-134418264D01* -X93853000Y-134416800D01* -X93472000Y-134416800D01* -X93457134Y-134418264D01* -X93442840Y-134422600D01* -X93429666Y-134429642D01* -X93418118Y-134439118D01* -X93408642Y-134450666D01* -X93401600Y-134463840D01* -X93397264Y-134478134D01* -X93395800Y-134493000D01* -X92024200Y-134493000D01* -X92022736Y-134478134D01* -X92018400Y-134463840D01* -X92011358Y-134450666D01* -X92001882Y-134439118D01* -X91990334Y-134429642D01* -X91977160Y-134422600D01* -X91962866Y-134418264D01* -X91948000Y-134416800D01* -X91567000Y-134416800D01* -X91552134Y-134418264D01* -X91537840Y-134422600D01* -X91524666Y-134429642D01* -X91513118Y-134439118D01* -X91503642Y-134450666D01* -X91496600Y-134463840D01* -X91492264Y-134478134D01* -X91490800Y-134493000D01* -X91389200Y-134493000D01* -X91387736Y-134478134D01* -X91383400Y-134463840D01* -X91376358Y-134450666D01* -X91366882Y-134439118D01* -X91355334Y-134429642D01* -X91342160Y-134422600D01* -X91327866Y-134418264D01* -X91313000Y-134416800D01* -X90932000Y-134416800D01* -X90917134Y-134418264D01* -X90902840Y-134422600D01* -X90889666Y-134429642D01* -X90878118Y-134439118D01* -X90868642Y-134450666D01* -X90861600Y-134463840D01* -X90857264Y-134478134D01* -X90855800Y-134493000D01* -X89484200Y-134493000D01* -X89482736Y-134478134D01* -X89478400Y-134463840D01* -X89471358Y-134450666D01* -X89461882Y-134439118D01* -X89450334Y-134429642D01* -X89437160Y-134422600D01* -X89422866Y-134418264D01* -X89408000Y-134416800D01* -X89027000Y-134416800D01* -X89012134Y-134418264D01* -X88997840Y-134422600D01* -X88984666Y-134429642D01* -X88973118Y-134439118D01* -X88963642Y-134450666D01* -X88956600Y-134463840D01* -X88952264Y-134478134D01* -X88950800Y-134493000D01* -X88849200Y-134493000D01* -X88847736Y-134478134D01* -X88843400Y-134463840D01* -X88836358Y-134450666D01* -X88826882Y-134439118D01* -X88815334Y-134429642D01* -X88802160Y-134422600D01* -X88787866Y-134418264D01* -X88773000Y-134416800D01* -X88392000Y-134416800D01* -X88377134Y-134418264D01* -X88362840Y-134422600D01* -X88349666Y-134429642D01* -X88338118Y-134439118D01* -X88328642Y-134450666D01* -X88321600Y-134463840D01* -X88317264Y-134478134D01* -X88315800Y-134493000D01* -X86944200Y-134493000D01* -X86942736Y-134478134D01* -X86938400Y-134463840D01* -X86931358Y-134450666D01* -X86921882Y-134439118D01* -X86910334Y-134429642D01* -X86897160Y-134422600D01* -X86882866Y-134418264D01* -X86868000Y-134416800D01* -X86487000Y-134416800D01* -X86472134Y-134418264D01* -X86457840Y-134422600D01* -X86444666Y-134429642D01* -X86433118Y-134439118D01* -X86423642Y-134450666D01* -X86416600Y-134463840D01* -X86412264Y-134478134D01* -X86410800Y-134493000D01* -X86309200Y-134493000D01* -X86307736Y-134478134D01* -X86303400Y-134463840D01* -X86296358Y-134450666D01* -X86286882Y-134439118D01* -X86275334Y-134429642D01* -X86262160Y-134422600D01* -X86247866Y-134418264D01* -X86233000Y-134416800D01* -X85852000Y-134416800D01* -X85837134Y-134418264D01* -X85822840Y-134422600D01* -X85809666Y-134429642D01* -X85798118Y-134439118D01* -X85788642Y-134450666D01* -X85781600Y-134463840D01* -X85777264Y-134478134D01* -X85775800Y-134493000D01* -X84404200Y-134493000D01* -X84402736Y-134478134D01* -X84398400Y-134463840D01* -X84391358Y-134450666D01* -X84381882Y-134439118D01* -X84370334Y-134429642D01* -X84357160Y-134422600D01* -X84342866Y-134418264D01* -X84328000Y-134416800D01* -X83947000Y-134416800D01* -X83932134Y-134418264D01* -X83917840Y-134422600D01* -X83904666Y-134429642D01* -X83893118Y-134439118D01* -X83883642Y-134450666D01* -X83876600Y-134463840D01* -X83872264Y-134478134D01* -X83870800Y-134493000D01* -X83769200Y-134493000D01* -X83767736Y-134478134D01* -X83763400Y-134463840D01* -X83756358Y-134450666D01* -X83746882Y-134439118D01* -X83735334Y-134429642D01* -X83722160Y-134422600D01* -X83707866Y-134418264D01* -X83693000Y-134416800D01* -X83312000Y-134416800D01* -X83297134Y-134418264D01* -X83282840Y-134422600D01* -X83269666Y-134429642D01* -X83258118Y-134439118D01* -X83248642Y-134450666D01* -X83241600Y-134463840D01* -X83237264Y-134478134D01* -X83235800Y-134493000D01* -X81864200Y-134493000D01* -X81862736Y-134478134D01* -X81858400Y-134463840D01* -X81851358Y-134450666D01* -X81841882Y-134439118D01* -X81830334Y-134429642D01* -X81817160Y-134422600D01* -X81802866Y-134418264D01* -X81788000Y-134416800D01* -X81407000Y-134416800D01* -X81392134Y-134418264D01* -X81377840Y-134422600D01* -X81364666Y-134429642D01* -X81353118Y-134439118D01* -X81343642Y-134450666D01* -X81336600Y-134463840D01* -X81332264Y-134478134D01* -X81330800Y-134493000D01* -X81229200Y-134493000D01* -X81227736Y-134478134D01* -X81223400Y-134463840D01* -X81216358Y-134450666D01* -X81206882Y-134439118D01* -X81195334Y-134429642D01* -X81182160Y-134422600D01* -X81167866Y-134418264D01* -X81153000Y-134416800D01* -X80772000Y-134416800D01* -X80757134Y-134418264D01* -X80742840Y-134422600D01* -X80729666Y-134429642D01* -X80718118Y-134439118D01* -X80708642Y-134450666D01* -X80701600Y-134463840D01* -X80697264Y-134478134D01* -X80695800Y-134493000D01* -X79324200Y-134493000D01* -X79322736Y-134478134D01* -X79318400Y-134463840D01* -X79311358Y-134450666D01* -X79301882Y-134439118D01* -X79290334Y-134429642D01* -X79277160Y-134422600D01* -X79262866Y-134418264D01* -X79248000Y-134416800D01* -X78867000Y-134416800D01* -X78852134Y-134418264D01* -X78837840Y-134422600D01* -X78824666Y-134429642D01* -X78813118Y-134439118D01* -X78803642Y-134450666D01* -X78796600Y-134463840D01* -X78792264Y-134478134D01* -X78790800Y-134493000D01* -X78689200Y-134493000D01* -X78687736Y-134478134D01* -X78683400Y-134463840D01* -X78676358Y-134450666D01* -X78666882Y-134439118D01* -X78655334Y-134429642D01* -X78642160Y-134422600D01* -X78627866Y-134418264D01* -X78613000Y-134416800D01* -X78232000Y-134416800D01* -X78217134Y-134418264D01* -X78202840Y-134422600D01* -X78189666Y-134429642D01* -X78178118Y-134439118D01* -X78168642Y-134450666D01* -X78161600Y-134463840D01* -X78157264Y-134478134D01* -X78155800Y-134493000D01* -X76784200Y-134493000D01* -X76782736Y-134478134D01* -X76778400Y-134463840D01* -X76771358Y-134450666D01* -X76761882Y-134439118D01* -X76750334Y-134429642D01* -X76737160Y-134422600D01* -X76722866Y-134418264D01* -X76708000Y-134416800D01* -X76327000Y-134416800D01* -X76312134Y-134418264D01* -X76297840Y-134422600D01* -X76284666Y-134429642D01* -X76273118Y-134439118D01* -X76263642Y-134450666D01* -X76256600Y-134463840D01* -X76252264Y-134478134D01* -X76250800Y-134493000D01* -X76149200Y-134493000D01* -X76147736Y-134478134D01* -X76143400Y-134463840D01* -X76136358Y-134450666D01* -X76126882Y-134439118D01* -X76115334Y-134429642D01* -X76102160Y-134422600D01* -X76087866Y-134418264D01* -X76073000Y-134416800D01* -X75692000Y-134416800D01* -X75677134Y-134418264D01* -X75662840Y-134422600D01* -X75649666Y-134429642D01* -X75638118Y-134439118D01* -X75628642Y-134450666D01* -X75621600Y-134463840D01* -X75617264Y-134478134D01* -X75615800Y-134493000D01* -X74498200Y-134493000D01* -X74498200Y-133858000D01* -X75615800Y-133858000D01* -X75615800Y-134239000D01* -X75617264Y-134253866D01* -X75621600Y-134268160D01* -X75628642Y-134281334D01* -X75638118Y-134292882D01* -X75649666Y-134302358D01* -X75662840Y-134309400D01* -X75677134Y-134313736D01* -X75692000Y-134315200D01* -X76073000Y-134315200D01* -X76087866Y-134313736D01* -X76102160Y-134309400D01* -X76115334Y-134302358D01* -X76126882Y-134292882D01* -X76136358Y-134281334D01* -X76143400Y-134268160D01* -X76147736Y-134253866D01* -X76149200Y-134239000D01* -X76149200Y-133858000D01* -X76250800Y-133858000D01* -X76250800Y-134239000D01* -X76252264Y-134253866D01* -X76256600Y-134268160D01* -X76263642Y-134281334D01* -X76273118Y-134292882D01* -X76284666Y-134302358D01* -X76297840Y-134309400D01* -X76312134Y-134313736D01* -X76327000Y-134315200D01* -X76708000Y-134315200D01* -X76722866Y-134313736D01* -X76737160Y-134309400D01* -X76750334Y-134302358D01* -X76761882Y-134292882D01* -X76771358Y-134281334D01* -X76778400Y-134268160D01* -X76782736Y-134253866D01* -X76784200Y-134239000D01* -X76784200Y-133858000D01* -X78155800Y-133858000D01* -X78155800Y-134239000D01* -X78157264Y-134253866D01* -X78161600Y-134268160D01* -X78168642Y-134281334D01* -X78178118Y-134292882D01* -X78189666Y-134302358D01* -X78202840Y-134309400D01* -X78217134Y-134313736D01* -X78232000Y-134315200D01* -X78613000Y-134315200D01* -X78627866Y-134313736D01* -X78642160Y-134309400D01* -X78655334Y-134302358D01* -X78666882Y-134292882D01* -X78676358Y-134281334D01* -X78683400Y-134268160D01* -X78687736Y-134253866D01* -X78689200Y-134239000D01* -X78689200Y-133858000D01* -X78790800Y-133858000D01* -X78790800Y-134239000D01* -X78792264Y-134253866D01* -X78796600Y-134268160D01* -X78803642Y-134281334D01* -X78813118Y-134292882D01* -X78824666Y-134302358D01* -X78837840Y-134309400D01* -X78852134Y-134313736D01* -X78867000Y-134315200D01* -X79248000Y-134315200D01* -X79262866Y-134313736D01* -X79277160Y-134309400D01* -X79290334Y-134302358D01* -X79301882Y-134292882D01* -X79311358Y-134281334D01* -X79318400Y-134268160D01* -X79322736Y-134253866D01* -X79324200Y-134239000D01* -X79324200Y-133858000D01* -X80695800Y-133858000D01* -X80695800Y-134239000D01* -X80697264Y-134253866D01* -X80701600Y-134268160D01* -X80708642Y-134281334D01* -X80718118Y-134292882D01* -X80729666Y-134302358D01* -X80742840Y-134309400D01* -X80757134Y-134313736D01* -X80772000Y-134315200D01* -X81153000Y-134315200D01* -X81167866Y-134313736D01* -X81182160Y-134309400D01* -X81195334Y-134302358D01* -X81206882Y-134292882D01* -X81216358Y-134281334D01* -X81223400Y-134268160D01* -X81227736Y-134253866D01* -X81229200Y-134239000D01* -X81229200Y-133858000D01* -X81330800Y-133858000D01* -X81330800Y-134239000D01* -X81332264Y-134253866D01* -X81336600Y-134268160D01* -X81343642Y-134281334D01* -X81353118Y-134292882D01* -X81364666Y-134302358D01* -X81377840Y-134309400D01* -X81392134Y-134313736D01* -X81407000Y-134315200D01* -X81788000Y-134315200D01* -X81802866Y-134313736D01* -X81817160Y-134309400D01* -X81830334Y-134302358D01* -X81841882Y-134292882D01* -X81851358Y-134281334D01* -X81858400Y-134268160D01* -X81862736Y-134253866D01* -X81864200Y-134239000D01* -X81864200Y-133858000D01* -X83235800Y-133858000D01* -X83235800Y-134239000D01* -X83237264Y-134253866D01* -X83241600Y-134268160D01* -X83248642Y-134281334D01* -X83258118Y-134292882D01* -X83269666Y-134302358D01* -X83282840Y-134309400D01* -X83297134Y-134313736D01* -X83312000Y-134315200D01* -X83693000Y-134315200D01* -X83707866Y-134313736D01* -X83722160Y-134309400D01* -X83735334Y-134302358D01* -X83746882Y-134292882D01* -X83756358Y-134281334D01* -X83763400Y-134268160D01* -X83767736Y-134253866D01* -X83769200Y-134239000D01* -X83769200Y-133858000D01* -X83870800Y-133858000D01* -X83870800Y-134239000D01* -X83872264Y-134253866D01* -X83876600Y-134268160D01* -X83883642Y-134281334D01* -X83893118Y-134292882D01* -X83904666Y-134302358D01* -X83917840Y-134309400D01* -X83932134Y-134313736D01* -X83947000Y-134315200D01* -X84328000Y-134315200D01* -X84342866Y-134313736D01* -X84357160Y-134309400D01* -X84370334Y-134302358D01* -X84381882Y-134292882D01* -X84391358Y-134281334D01* -X84398400Y-134268160D01* -X84402736Y-134253866D01* -X84404200Y-134239000D01* -X84404200Y-133858000D01* -X85775800Y-133858000D01* -X85775800Y-134239000D01* -X85777264Y-134253866D01* -X85781600Y-134268160D01* -X85788642Y-134281334D01* -X85798118Y-134292882D01* -X85809666Y-134302358D01* -X85822840Y-134309400D01* -X85837134Y-134313736D01* -X85852000Y-134315200D01* -X86233000Y-134315200D01* -X86247866Y-134313736D01* -X86262160Y-134309400D01* -X86275334Y-134302358D01* -X86286882Y-134292882D01* -X86296358Y-134281334D01* -X86303400Y-134268160D01* -X86307736Y-134253866D01* -X86309200Y-134239000D01* -X86309200Y-133858000D01* -X86410800Y-133858000D01* -X86410800Y-134239000D01* -X86412264Y-134253866D01* -X86416600Y-134268160D01* -X86423642Y-134281334D01* -X86433118Y-134292882D01* -X86444666Y-134302358D01* -X86457840Y-134309400D01* -X86472134Y-134313736D01* -X86487000Y-134315200D01* -X86868000Y-134315200D01* -X86882866Y-134313736D01* -X86897160Y-134309400D01* -X86910334Y-134302358D01* -X86921882Y-134292882D01* -X86931358Y-134281334D01* -X86938400Y-134268160D01* -X86942736Y-134253866D01* -X86944200Y-134239000D01* -X86944200Y-133858000D01* -X88315800Y-133858000D01* -X88315800Y-134239000D01* -X88317264Y-134253866D01* -X88321600Y-134268160D01* -X88328642Y-134281334D01* -X88338118Y-134292882D01* -X88349666Y-134302358D01* -X88362840Y-134309400D01* -X88377134Y-134313736D01* -X88392000Y-134315200D01* -X88773000Y-134315200D01* -X88787866Y-134313736D01* -X88802160Y-134309400D01* -X88815334Y-134302358D01* -X88826882Y-134292882D01* -X88836358Y-134281334D01* -X88843400Y-134268160D01* -X88847736Y-134253866D01* -X88849200Y-134239000D01* -X88849200Y-133858000D01* -X88950800Y-133858000D01* -X88950800Y-134239000D01* -X88952264Y-134253866D01* -X88956600Y-134268160D01* -X88963642Y-134281334D01* -X88973118Y-134292882D01* -X88984666Y-134302358D01* -X88997840Y-134309400D01* -X89012134Y-134313736D01* -X89027000Y-134315200D01* -X89408000Y-134315200D01* -X89422866Y-134313736D01* -X89437160Y-134309400D01* -X89450334Y-134302358D01* -X89461882Y-134292882D01* -X89471358Y-134281334D01* -X89478400Y-134268160D01* -X89482736Y-134253866D01* -X89484200Y-134239000D01* -X89484200Y-133858000D01* -X90855800Y-133858000D01* -X90855800Y-134239000D01* -X90857264Y-134253866D01* -X90861600Y-134268160D01* -X90868642Y-134281334D01* -X90878118Y-134292882D01* -X90889666Y-134302358D01* -X90902840Y-134309400D01* -X90917134Y-134313736D01* -X90932000Y-134315200D01* -X91313000Y-134315200D01* -X91327866Y-134313736D01* -X91342160Y-134309400D01* -X91355334Y-134302358D01* -X91366882Y-134292882D01* -X91376358Y-134281334D01* -X91383400Y-134268160D01* -X91387736Y-134253866D01* -X91389200Y-134239000D01* -X91389200Y-133858000D01* -X91490800Y-133858000D01* -X91490800Y-134239000D01* -X91492264Y-134253866D01* -X91496600Y-134268160D01* -X91503642Y-134281334D01* -X91513118Y-134292882D01* -X91524666Y-134302358D01* -X91537840Y-134309400D01* -X91552134Y-134313736D01* -X91567000Y-134315200D01* -X91948000Y-134315200D01* -X91962866Y-134313736D01* -X91977160Y-134309400D01* -X91990334Y-134302358D01* -X92001882Y-134292882D01* -X92011358Y-134281334D01* -X92018400Y-134268160D01* -X92022736Y-134253866D01* -X92024200Y-134239000D01* -X92024200Y-133858000D01* -X93395800Y-133858000D01* -X93395800Y-134239000D01* -X93397264Y-134253866D01* -X93401600Y-134268160D01* -X93408642Y-134281334D01* -X93418118Y-134292882D01* -X93429666Y-134302358D01* -X93442840Y-134309400D01* -X93457134Y-134313736D01* -X93472000Y-134315200D01* -X93853000Y-134315200D01* -X93867866Y-134313736D01* -X93882160Y-134309400D01* -X93895334Y-134302358D01* -X93906882Y-134292882D01* -X93916358Y-134281334D01* -X93923400Y-134268160D01* -X93927736Y-134253866D01* -X93929200Y-134239000D01* -X93929200Y-133858000D01* -X94030800Y-133858000D01* -X94030800Y-134239000D01* -X94032264Y-134253866D01* -X94036600Y-134268160D01* -X94043642Y-134281334D01* -X94053118Y-134292882D01* -X94064666Y-134302358D01* -X94077840Y-134309400D01* -X94092134Y-134313736D01* -X94107000Y-134315200D01* -X94488000Y-134315200D01* -X94502866Y-134313736D01* -X94517160Y-134309400D01* -X94530334Y-134302358D01* -X94541882Y-134292882D01* -X94551358Y-134281334D01* -X94558400Y-134268160D01* -X94562736Y-134253866D01* -X94564200Y-134239000D01* -X94564200Y-133858000D01* -X95935800Y-133858000D01* -X95935800Y-134239000D01* -X95937264Y-134253866D01* -X95941600Y-134268160D01* -X95948642Y-134281334D01* -X95958118Y-134292882D01* -X95969666Y-134302358D01* -X95982840Y-134309400D01* -X95997134Y-134313736D01* -X96012000Y-134315200D01* -X96393000Y-134315200D01* -X96407866Y-134313736D01* -X96422160Y-134309400D01* -X96435334Y-134302358D01* -X96446882Y-134292882D01* -X96456358Y-134281334D01* -X96463400Y-134268160D01* -X96467736Y-134253866D01* -X96469200Y-134239000D01* -X96469200Y-133858000D01* -X96570800Y-133858000D01* -X96570800Y-134239000D01* -X96572264Y-134253866D01* -X96576600Y-134268160D01* -X96583642Y-134281334D01* -X96593118Y-134292882D01* -X96604666Y-134302358D01* -X96617840Y-134309400D01* -X96632134Y-134313736D01* -X96647000Y-134315200D01* -X97028000Y-134315200D01* -X97042866Y-134313736D01* -X97057160Y-134309400D01* -X97070334Y-134302358D01* -X97081882Y-134292882D01* -X97091358Y-134281334D01* -X97098400Y-134268160D01* -X97102736Y-134253866D01* -X97104200Y-134239000D01* -X97104200Y-133858000D01* -X98475800Y-133858000D01* -X98475800Y-134239000D01* -X98477264Y-134253866D01* -X98481600Y-134268160D01* -X98488642Y-134281334D01* -X98498118Y-134292882D01* -X98509666Y-134302358D01* -X98522840Y-134309400D01* -X98537134Y-134313736D01* -X98552000Y-134315200D01* -X98933000Y-134315200D01* -X98947866Y-134313736D01* -X98962160Y-134309400D01* -X98975334Y-134302358D01* -X98986882Y-134292882D01* -X98996358Y-134281334D01* -X99003400Y-134268160D01* -X99007736Y-134253866D01* -X99009200Y-134239000D01* -X99009200Y-133858000D01* -X99110800Y-133858000D01* -X99110800Y-134239000D01* -X99112264Y-134253866D01* -X99116600Y-134268160D01* -X99123642Y-134281334D01* -X99133118Y-134292882D01* -X99144666Y-134302358D01* -X99157840Y-134309400D01* -X99172134Y-134313736D01* -X99187000Y-134315200D01* -X99568000Y-134315200D01* -X99582866Y-134313736D01* -X99597160Y-134309400D01* -X99610334Y-134302358D01* -X99621882Y-134292882D01* -X99631358Y-134281334D01* -X99638400Y-134268160D01* -X99642736Y-134253866D01* -X99644200Y-134239000D01* -X99644200Y-133858000D01* -X101015800Y-133858000D01* -X101015800Y-134239000D01* -X101017264Y-134253866D01* -X101021600Y-134268160D01* -X101028642Y-134281334D01* -X101038118Y-134292882D01* -X101049666Y-134302358D01* -X101062840Y-134309400D01* -X101077134Y-134313736D01* -X101092000Y-134315200D01* -X101473000Y-134315200D01* -X101487866Y-134313736D01* -X101502160Y-134309400D01* -X101515334Y-134302358D01* -X101526882Y-134292882D01* -X101536358Y-134281334D01* -X101543400Y-134268160D01* -X101547736Y-134253866D01* -X101549200Y-134239000D01* -X101549200Y-133858000D01* -X101650800Y-133858000D01* -X101650800Y-134239000D01* -X101652264Y-134253866D01* -X101656600Y-134268160D01* -X101663642Y-134281334D01* -X101673118Y-134292882D01* -X101684666Y-134302358D01* -X101697840Y-134309400D01* -X101712134Y-134313736D01* -X101727000Y-134315200D01* -X102108000Y-134315200D01* -X102122866Y-134313736D01* -X102137160Y-134309400D01* -X102150334Y-134302358D01* -X102161882Y-134292882D01* -X102171358Y-134281334D01* -X102178400Y-134268160D01* -X102182736Y-134253866D01* -X102184200Y-134239000D01* -X102184200Y-133858000D01* -X103555800Y-133858000D01* -X103555800Y-134239000D01* -X103557264Y-134253866D01* -X103561600Y-134268160D01* -X103568642Y-134281334D01* -X103578118Y-134292882D01* -X103589666Y-134302358D01* -X103602840Y-134309400D01* -X103617134Y-134313736D01* -X103632000Y-134315200D01* -X104013000Y-134315200D01* -X104027866Y-134313736D01* -X104042160Y-134309400D01* -X104055334Y-134302358D01* -X104066882Y-134292882D01* -X104076358Y-134281334D01* -X104083400Y-134268160D01* -X104087736Y-134253866D01* -X104089200Y-134239000D01* -X104089200Y-133858000D01* -X104190800Y-133858000D01* -X104190800Y-134239000D01* -X104192264Y-134253866D01* -X104196600Y-134268160D01* -X104203642Y-134281334D01* -X104213118Y-134292882D01* -X104224666Y-134302358D01* -X104237840Y-134309400D01* -X104252134Y-134313736D01* -X104267000Y-134315200D01* -X104648000Y-134315200D01* -X104662866Y-134313736D01* -X104677160Y-134309400D01* -X104690334Y-134302358D01* -X104701882Y-134292882D01* -X104711358Y-134281334D01* -X104718400Y-134268160D01* -X104722736Y-134253866D01* -X104724200Y-134239000D01* -X104724200Y-133858000D01* -X106095800Y-133858000D01* -X106095800Y-134239000D01* -X106097264Y-134253866D01* -X106101600Y-134268160D01* -X106108642Y-134281334D01* -X106118118Y-134292882D01* -X106129666Y-134302358D01* -X106142840Y-134309400D01* -X106157134Y-134313736D01* -X106172000Y-134315200D01* -X106553000Y-134315200D01* -X106567866Y-134313736D01* -X106582160Y-134309400D01* -X106595334Y-134302358D01* -X106606882Y-134292882D01* -X106616358Y-134281334D01* -X106623400Y-134268160D01* -X106627736Y-134253866D01* -X106629200Y-134239000D01* -X106629200Y-133858000D01* -X106730800Y-133858000D01* -X106730800Y-134239000D01* -X106732264Y-134253866D01* -X106736600Y-134268160D01* -X106743642Y-134281334D01* -X106753118Y-134292882D01* -X106764666Y-134302358D01* -X106777840Y-134309400D01* -X106792134Y-134313736D01* -X106807000Y-134315200D01* -X107188000Y-134315200D01* -X107202866Y-134313736D01* -X107217160Y-134309400D01* -X107230334Y-134302358D01* -X107241882Y-134292882D01* -X107251358Y-134281334D01* -X107258400Y-134268160D01* -X107262736Y-134253866D01* -X107264200Y-134239000D01* -X107264200Y-133858000D01* -X108635800Y-133858000D01* -X108635800Y-134239000D01* -X108637264Y-134253866D01* -X108641600Y-134268160D01* -X108648642Y-134281334D01* -X108658118Y-134292882D01* -X108669666Y-134302358D01* -X108682840Y-134309400D01* -X108697134Y-134313736D01* -X108712000Y-134315200D01* -X109093000Y-134315200D01* -X109107866Y-134313736D01* -X109122160Y-134309400D01* -X109135334Y-134302358D01* -X109146882Y-134292882D01* -X109156358Y-134281334D01* -X109163400Y-134268160D01* -X109167736Y-134253866D01* -X109169200Y-134239000D01* -X109169200Y-133858000D01* -X109270800Y-133858000D01* -X109270800Y-134239000D01* -X109272264Y-134253866D01* -X109276600Y-134268160D01* -X109283642Y-134281334D01* -X109293118Y-134292882D01* -X109304666Y-134302358D01* -X109317840Y-134309400D01* -X109332134Y-134313736D01* -X109347000Y-134315200D01* -X109728000Y-134315200D01* -X109742866Y-134313736D01* -X109757160Y-134309400D01* -X109770334Y-134302358D01* -X109781882Y-134292882D01* -X109791358Y-134281334D01* -X109798400Y-134268160D01* -X109802736Y-134253866D01* -X109804200Y-134239000D01* -X109804200Y-133858000D01* -X111175800Y-133858000D01* -X111175800Y-134239000D01* -X111177264Y-134253866D01* -X111181600Y-134268160D01* -X111188642Y-134281334D01* -X111198118Y-134292882D01* -X111209666Y-134302358D01* -X111222840Y-134309400D01* -X111237134Y-134313736D01* -X111252000Y-134315200D01* -X111633000Y-134315200D01* -X111647866Y-134313736D01* -X111662160Y-134309400D01* -X111675334Y-134302358D01* -X111686882Y-134292882D01* -X111696358Y-134281334D01* -X111703400Y-134268160D01* -X111707736Y-134253866D01* -X111709200Y-134239000D01* -X111709200Y-133858000D01* -X111810800Y-133858000D01* -X111810800Y-134239000D01* -X111812264Y-134253866D01* -X111816600Y-134268160D01* -X111823642Y-134281334D01* -X111833118Y-134292882D01* -X111844666Y-134302358D01* -X111857840Y-134309400D01* -X111872134Y-134313736D01* -X111887000Y-134315200D01* -X112268000Y-134315200D01* -X112282866Y-134313736D01* -X112297160Y-134309400D01* -X112310334Y-134302358D01* -X112321882Y-134292882D01* -X112331358Y-134281334D01* -X112338400Y-134268160D01* -X112342736Y-134253866D01* -X112344200Y-134239000D01* -X112344200Y-133858000D01* -X113715800Y-133858000D01* -X113715800Y-134239000D01* -X113717264Y-134253866D01* -X113721600Y-134268160D01* -X113728642Y-134281334D01* -X113738118Y-134292882D01* -X113749666Y-134302358D01* -X113762840Y-134309400D01* -X113777134Y-134313736D01* -X113792000Y-134315200D01* -X114173000Y-134315200D01* -X114187866Y-134313736D01* -X114202160Y-134309400D01* -X114215334Y-134302358D01* -X114226882Y-134292882D01* -X114236358Y-134281334D01* -X114243400Y-134268160D01* -X114247736Y-134253866D01* -X114249200Y-134239000D01* -X114249200Y-133858000D01* -X114350800Y-133858000D01* -X114350800Y-134239000D01* -X114352264Y-134253866D01* -X114356600Y-134268160D01* -X114363642Y-134281334D01* -X114373118Y-134292882D01* -X114384666Y-134302358D01* -X114397840Y-134309400D01* -X114412134Y-134313736D01* -X114427000Y-134315200D01* -X114808000Y-134315200D01* -X114822866Y-134313736D01* -X114837160Y-134309400D01* -X114850334Y-134302358D01* -X114861882Y-134292882D01* -X114871358Y-134281334D01* -X114878400Y-134268160D01* -X114882736Y-134253866D01* -X114884200Y-134239000D01* -X114884200Y-133858000D01* -X116255800Y-133858000D01* -X116255800Y-134239000D01* -X116257264Y-134253866D01* -X116261600Y-134268160D01* -X116268642Y-134281334D01* -X116278118Y-134292882D01* -X116289666Y-134302358D01* -X116302840Y-134309400D01* -X116317134Y-134313736D01* -X116332000Y-134315200D01* -X116713000Y-134315200D01* -X116727866Y-134313736D01* -X116742160Y-134309400D01* -X116755334Y-134302358D01* -X116766882Y-134292882D01* -X116776358Y-134281334D01* -X116783400Y-134268160D01* -X116787736Y-134253866D01* -X116789200Y-134239000D01* -X116789200Y-133858000D01* -X116890800Y-133858000D01* -X116890800Y-134239000D01* -X116892264Y-134253866D01* -X116896600Y-134268160D01* -X116903642Y-134281334D01* -X116913118Y-134292882D01* -X116924666Y-134302358D01* -X116937840Y-134309400D01* -X116952134Y-134313736D01* -X116967000Y-134315200D01* -X117348000Y-134315200D01* -X117362866Y-134313736D01* -X117377160Y-134309400D01* -X117390334Y-134302358D01* -X117401882Y-134292882D01* -X117411358Y-134281334D01* -X117418400Y-134268160D01* -X117422736Y-134253866D01* -X117424200Y-134239000D01* -X117424200Y-133858000D01* -X118795800Y-133858000D01* -X118795800Y-134239000D01* -X118797264Y-134253866D01* -X118801600Y-134268160D01* -X118808642Y-134281334D01* -X118818118Y-134292882D01* -X118829666Y-134302358D01* -X118842840Y-134309400D01* -X118857134Y-134313736D01* -X118872000Y-134315200D01* -X119253000Y-134315200D01* -X119267866Y-134313736D01* -X119282160Y-134309400D01* -X119295334Y-134302358D01* -X119306882Y-134292882D01* -X119316358Y-134281334D01* -X119323400Y-134268160D01* -X119327736Y-134253866D01* -X119329200Y-134239000D01* -X119329200Y-133858000D01* -X119430800Y-133858000D01* -X119430800Y-134239000D01* -X119432264Y-134253866D01* -X119436600Y-134268160D01* -X119443642Y-134281334D01* -X119453118Y-134292882D01* -X119464666Y-134302358D01* -X119477840Y-134309400D01* -X119492134Y-134313736D01* -X119507000Y-134315200D01* -X119888000Y-134315200D01* -X119902866Y-134313736D01* -X119917160Y-134309400D01* -X119930334Y-134302358D01* -X119941882Y-134292882D01* -X119951358Y-134281334D01* -X119958400Y-134268160D01* -X119962736Y-134253866D01* -X119964200Y-134239000D01* -X119964200Y-133858000D01* -X121335800Y-133858000D01* -X121335800Y-134239000D01* -X121337264Y-134253866D01* -X121341600Y-134268160D01* -X121348642Y-134281334D01* -X121358118Y-134292882D01* -X121369666Y-134302358D01* -X121382840Y-134309400D01* -X121397134Y-134313736D01* -X121412000Y-134315200D01* -X121793000Y-134315200D01* -X121807866Y-134313736D01* -X121822160Y-134309400D01* -X121835334Y-134302358D01* -X121846882Y-134292882D01* -X121856358Y-134281334D01* -X121863400Y-134268160D01* -X121867736Y-134253866D01* -X121869200Y-134239000D01* -X121869200Y-133858000D01* -X121970800Y-133858000D01* -X121970800Y-134239000D01* -X121972264Y-134253866D01* -X121976600Y-134268160D01* -X121983642Y-134281334D01* -X121993118Y-134292882D01* -X122004666Y-134302358D01* -X122017840Y-134309400D01* -X122032134Y-134313736D01* -X122047000Y-134315200D01* -X122428000Y-134315200D01* -X122442866Y-134313736D01* -X122457160Y-134309400D01* -X122470334Y-134302358D01* -X122481882Y-134292882D01* -X122491358Y-134281334D01* -X122498400Y-134268160D01* -X122502736Y-134253866D01* -X122504200Y-134239000D01* -X122504200Y-133858000D01* -X123875800Y-133858000D01* -X123875800Y-134239000D01* -X123877264Y-134253866D01* -X123881600Y-134268160D01* -X123888642Y-134281334D01* -X123898118Y-134292882D01* -X123909666Y-134302358D01* -X123922840Y-134309400D01* -X123937134Y-134313736D01* -X123952000Y-134315200D01* -X124333000Y-134315200D01* -X124347866Y-134313736D01* -X124362160Y-134309400D01* -X124375334Y-134302358D01* -X124386882Y-134292882D01* -X124396358Y-134281334D01* -X124403400Y-134268160D01* -X124407736Y-134253866D01* -X124409200Y-134239000D01* -X124409200Y-133858000D01* -X124510800Y-133858000D01* -X124510800Y-134239000D01* -X124512264Y-134253866D01* -X124516600Y-134268160D01* -X124523642Y-134281334D01* -X124533118Y-134292882D01* -X124544666Y-134302358D01* -X124557840Y-134309400D01* -X124572134Y-134313736D01* -X124587000Y-134315200D01* -X124968000Y-134315200D01* -X124982866Y-134313736D01* -X124997160Y-134309400D01* -X125010334Y-134302358D01* -X125021882Y-134292882D01* -X125031358Y-134281334D01* -X125038400Y-134268160D01* -X125042736Y-134253866D01* -X125044200Y-134239000D01* -X125044200Y-133858000D01* -X126415800Y-133858000D01* -X126415800Y-134239000D01* -X126417264Y-134253866D01* -X126421600Y-134268160D01* -X126428642Y-134281334D01* -X126438118Y-134292882D01* -X126449666Y-134302358D01* -X126462840Y-134309400D01* -X126477134Y-134313736D01* -X126492000Y-134315200D01* -X126873000Y-134315200D01* -X126887866Y-134313736D01* -X126902160Y-134309400D01* -X126915334Y-134302358D01* -X126926882Y-134292882D01* -X126936358Y-134281334D01* -X126943400Y-134268160D01* -X126947736Y-134253866D01* -X126949200Y-134239000D01* -X126949200Y-133858000D01* -X127050800Y-133858000D01* -X127050800Y-134239000D01* -X127052264Y-134253866D01* -X127056600Y-134268160D01* -X127063642Y-134281334D01* -X127073118Y-134292882D01* -X127084666Y-134302358D01* -X127097840Y-134309400D01* -X127112134Y-134313736D01* -X127127000Y-134315200D01* -X127508000Y-134315200D01* -X127522866Y-134313736D01* -X127537160Y-134309400D01* -X127550334Y-134302358D01* -X127561882Y-134292882D01* -X127571358Y-134281334D01* -X127578400Y-134268160D01* -X127582736Y-134253866D01* -X127584200Y-134239000D01* -X127584200Y-133858000D01* -X128955800Y-133858000D01* -X128955800Y-134239000D01* -X128957264Y-134253866D01* -X128961600Y-134268160D01* -X128968642Y-134281334D01* -X128978118Y-134292882D01* -X128989666Y-134302358D01* -X129002840Y-134309400D01* -X129017134Y-134313736D01* -X129032000Y-134315200D01* -X129413000Y-134315200D01* -X129427866Y-134313736D01* -X129442160Y-134309400D01* -X129455334Y-134302358D01* -X129466882Y-134292882D01* -X129476358Y-134281334D01* -X129483400Y-134268160D01* -X129487736Y-134253866D01* -X129489200Y-134239000D01* -X129489200Y-133858000D01* -X129590800Y-133858000D01* -X129590800Y-134239000D01* -X129592264Y-134253866D01* -X129596600Y-134268160D01* -X129603642Y-134281334D01* -X129613118Y-134292882D01* -X129624666Y-134302358D01* -X129637840Y-134309400D01* -X129652134Y-134313736D01* -X129667000Y-134315200D01* -X130048000Y-134315200D01* -X130062866Y-134313736D01* -X130077160Y-134309400D01* -X130090334Y-134302358D01* -X130101882Y-134292882D01* -X130111358Y-134281334D01* -X130118400Y-134268160D01* -X130122736Y-134253866D01* -X130124200Y-134239000D01* -X130124200Y-133858000D01* -X131495800Y-133858000D01* -X131495800Y-134239000D01* -X131497264Y-134253866D01* -X131501600Y-134268160D01* -X131508642Y-134281334D01* -X131518118Y-134292882D01* -X131529666Y-134302358D01* -X131542840Y-134309400D01* -X131557134Y-134313736D01* -X131572000Y-134315200D01* -X131953000Y-134315200D01* -X131967866Y-134313736D01* -X131982160Y-134309400D01* -X131995334Y-134302358D01* -X132006882Y-134292882D01* -X132016358Y-134281334D01* -X132023400Y-134268160D01* -X132027736Y-134253866D01* -X132029200Y-134239000D01* -X132029200Y-133858000D01* -X132130800Y-133858000D01* -X132130800Y-134239000D01* -X132132264Y-134253866D01* -X132136600Y-134268160D01* -X132143642Y-134281334D01* -X132153118Y-134292882D01* -X132164666Y-134302358D01* -X132177840Y-134309400D01* -X132192134Y-134313736D01* -X132207000Y-134315200D01* -X132588000Y-134315200D01* -X132602866Y-134313736D01* -X132617160Y-134309400D01* -X132630334Y-134302358D01* -X132641882Y-134292882D01* -X132651358Y-134281334D01* -X132658400Y-134268160D01* -X132662736Y-134253866D01* -X132664200Y-134239000D01* -X132664200Y-133858000D01* -X134035800Y-133858000D01* -X134035800Y-134239000D01* -X134037264Y-134253866D01* -X134041600Y-134268160D01* -X134048642Y-134281334D01* -X134058118Y-134292882D01* -X134069666Y-134302358D01* -X134082840Y-134309400D01* -X134097134Y-134313736D01* -X134112000Y-134315200D01* -X134493000Y-134315200D01* -X134507866Y-134313736D01* -X134522160Y-134309400D01* -X134535334Y-134302358D01* -X134546882Y-134292882D01* -X134556358Y-134281334D01* -X134563400Y-134268160D01* -X134567736Y-134253866D01* -X134569200Y-134239000D01* -X134569200Y-133858000D01* -X134670800Y-133858000D01* -X134670800Y-134239000D01* -X134672264Y-134253866D01* -X134676600Y-134268160D01* -X134683642Y-134281334D01* -X134693118Y-134292882D01* -X134704666Y-134302358D01* -X134717840Y-134309400D01* -X134732134Y-134313736D01* -X134747000Y-134315200D01* -X135128000Y-134315200D01* -X135142866Y-134313736D01* -X135157160Y-134309400D01* -X135170334Y-134302358D01* -X135181882Y-134292882D01* -X135191358Y-134281334D01* -X135198400Y-134268160D01* -X135202736Y-134253866D01* -X135204200Y-134239000D01* -X135204200Y-133858000D01* -X135202736Y-133843134D01* -X135198400Y-133828840D01* -X135191358Y-133815666D01* -X135181882Y-133804118D01* -X135170334Y-133794642D01* -X135157160Y-133787600D01* -X135142866Y-133783264D01* -X135128000Y-133781800D01* -X134747000Y-133781800D01* -X134732134Y-133783264D01* -X134717840Y-133787600D01* -X134704666Y-133794642D01* -X134693118Y-133804118D01* -X134683642Y-133815666D01* -X134676600Y-133828840D01* -X134672264Y-133843134D01* -X134670800Y-133858000D01* -X134569200Y-133858000D01* -X134567736Y-133843134D01* -X134563400Y-133828840D01* -X134556358Y-133815666D01* -X134546882Y-133804118D01* -X134535334Y-133794642D01* -X134522160Y-133787600D01* -X134507866Y-133783264D01* -X134493000Y-133781800D01* -X134112000Y-133781800D01* -X134097134Y-133783264D01* -X134082840Y-133787600D01* -X134069666Y-133794642D01* -X134058118Y-133804118D01* -X134048642Y-133815666D01* -X134041600Y-133828840D01* -X134037264Y-133843134D01* -X134035800Y-133858000D01* -X132664200Y-133858000D01* -X132662736Y-133843134D01* -X132658400Y-133828840D01* -X132651358Y-133815666D01* -X132641882Y-133804118D01* -X132630334Y-133794642D01* -X132617160Y-133787600D01* -X132602866Y-133783264D01* -X132588000Y-133781800D01* -X132207000Y-133781800D01* -X132192134Y-133783264D01* -X132177840Y-133787600D01* -X132164666Y-133794642D01* -X132153118Y-133804118D01* -X132143642Y-133815666D01* -X132136600Y-133828840D01* -X132132264Y-133843134D01* -X132130800Y-133858000D01* -X132029200Y-133858000D01* -X132027736Y-133843134D01* -X132023400Y-133828840D01* -X132016358Y-133815666D01* -X132006882Y-133804118D01* -X131995334Y-133794642D01* -X131982160Y-133787600D01* -X131967866Y-133783264D01* -X131953000Y-133781800D01* -X131572000Y-133781800D01* -X131557134Y-133783264D01* -X131542840Y-133787600D01* -X131529666Y-133794642D01* -X131518118Y-133804118D01* -X131508642Y-133815666D01* -X131501600Y-133828840D01* -X131497264Y-133843134D01* -X131495800Y-133858000D01* -X130124200Y-133858000D01* -X130122736Y-133843134D01* -X130118400Y-133828840D01* -X130111358Y-133815666D01* -X130101882Y-133804118D01* -X130090334Y-133794642D01* -X130077160Y-133787600D01* -X130062866Y-133783264D01* -X130048000Y-133781800D01* -X129667000Y-133781800D01* -X129652134Y-133783264D01* -X129637840Y-133787600D01* -X129624666Y-133794642D01* -X129613118Y-133804118D01* -X129603642Y-133815666D01* -X129596600Y-133828840D01* -X129592264Y-133843134D01* -X129590800Y-133858000D01* -X129489200Y-133858000D01* -X129487736Y-133843134D01* -X129483400Y-133828840D01* -X129476358Y-133815666D01* -X129466882Y-133804118D01* -X129455334Y-133794642D01* -X129442160Y-133787600D01* -X129427866Y-133783264D01* -X129413000Y-133781800D01* -X129032000Y-133781800D01* -X129017134Y-133783264D01* -X129002840Y-133787600D01* -X128989666Y-133794642D01* -X128978118Y-133804118D01* -X128968642Y-133815666D01* -X128961600Y-133828840D01* -X128957264Y-133843134D01* -X128955800Y-133858000D01* -X127584200Y-133858000D01* -X127582736Y-133843134D01* -X127578400Y-133828840D01* -X127571358Y-133815666D01* -X127561882Y-133804118D01* -X127550334Y-133794642D01* -X127537160Y-133787600D01* -X127522866Y-133783264D01* -X127508000Y-133781800D01* -X127127000Y-133781800D01* -X127112134Y-133783264D01* -X127097840Y-133787600D01* -X127084666Y-133794642D01* -X127073118Y-133804118D01* -X127063642Y-133815666D01* -X127056600Y-133828840D01* -X127052264Y-133843134D01* -X127050800Y-133858000D01* -X126949200Y-133858000D01* -X126947736Y-133843134D01* -X126943400Y-133828840D01* -X126936358Y-133815666D01* -X126926882Y-133804118D01* -X126915334Y-133794642D01* -X126902160Y-133787600D01* -X126887866Y-133783264D01* -X126873000Y-133781800D01* -X126492000Y-133781800D01* -X126477134Y-133783264D01* -X126462840Y-133787600D01* -X126449666Y-133794642D01* -X126438118Y-133804118D01* -X126428642Y-133815666D01* -X126421600Y-133828840D01* -X126417264Y-133843134D01* -X126415800Y-133858000D01* -X125044200Y-133858000D01* -X125042736Y-133843134D01* -X125038400Y-133828840D01* -X125031358Y-133815666D01* -X125021882Y-133804118D01* -X125010334Y-133794642D01* -X124997160Y-133787600D01* -X124982866Y-133783264D01* -X124968000Y-133781800D01* -X124587000Y-133781800D01* -X124572134Y-133783264D01* -X124557840Y-133787600D01* -X124544666Y-133794642D01* -X124533118Y-133804118D01* -X124523642Y-133815666D01* -X124516600Y-133828840D01* -X124512264Y-133843134D01* -X124510800Y-133858000D01* -X124409200Y-133858000D01* -X124407736Y-133843134D01* -X124403400Y-133828840D01* -X124396358Y-133815666D01* -X124386882Y-133804118D01* -X124375334Y-133794642D01* -X124362160Y-133787600D01* -X124347866Y-133783264D01* -X124333000Y-133781800D01* -X123952000Y-133781800D01* -X123937134Y-133783264D01* -X123922840Y-133787600D01* -X123909666Y-133794642D01* -X123898118Y-133804118D01* -X123888642Y-133815666D01* -X123881600Y-133828840D01* -X123877264Y-133843134D01* -X123875800Y-133858000D01* -X122504200Y-133858000D01* -X122502736Y-133843134D01* -X122498400Y-133828840D01* -X122491358Y-133815666D01* -X122481882Y-133804118D01* -X122470334Y-133794642D01* -X122457160Y-133787600D01* -X122442866Y-133783264D01* -X122428000Y-133781800D01* -X122047000Y-133781800D01* -X122032134Y-133783264D01* -X122017840Y-133787600D01* -X122004666Y-133794642D01* -X121993118Y-133804118D01* -X121983642Y-133815666D01* -X121976600Y-133828840D01* -X121972264Y-133843134D01* -X121970800Y-133858000D01* -X121869200Y-133858000D01* -X121867736Y-133843134D01* -X121863400Y-133828840D01* -X121856358Y-133815666D01* -X121846882Y-133804118D01* -X121835334Y-133794642D01* -X121822160Y-133787600D01* -X121807866Y-133783264D01* -X121793000Y-133781800D01* -X121412000Y-133781800D01* -X121397134Y-133783264D01* -X121382840Y-133787600D01* -X121369666Y-133794642D01* -X121358118Y-133804118D01* -X121348642Y-133815666D01* -X121341600Y-133828840D01* -X121337264Y-133843134D01* -X121335800Y-133858000D01* -X119964200Y-133858000D01* -X119962736Y-133843134D01* -X119958400Y-133828840D01* -X119951358Y-133815666D01* -X119941882Y-133804118D01* -X119930334Y-133794642D01* -X119917160Y-133787600D01* -X119902866Y-133783264D01* -X119888000Y-133781800D01* -X119507000Y-133781800D01* -X119492134Y-133783264D01* -X119477840Y-133787600D01* -X119464666Y-133794642D01* -X119453118Y-133804118D01* -X119443642Y-133815666D01* -X119436600Y-133828840D01* -X119432264Y-133843134D01* -X119430800Y-133858000D01* -X119329200Y-133858000D01* -X119327736Y-133843134D01* -X119323400Y-133828840D01* -X119316358Y-133815666D01* -X119306882Y-133804118D01* -X119295334Y-133794642D01* -X119282160Y-133787600D01* -X119267866Y-133783264D01* -X119253000Y-133781800D01* -X118872000Y-133781800D01* -X118857134Y-133783264D01* -X118842840Y-133787600D01* -X118829666Y-133794642D01* -X118818118Y-133804118D01* -X118808642Y-133815666D01* -X118801600Y-133828840D01* -X118797264Y-133843134D01* -X118795800Y-133858000D01* -X117424200Y-133858000D01* -X117422736Y-133843134D01* -X117418400Y-133828840D01* -X117411358Y-133815666D01* -X117401882Y-133804118D01* -X117390334Y-133794642D01* -X117377160Y-133787600D01* -X117362866Y-133783264D01* -X117348000Y-133781800D01* -X116967000Y-133781800D01* -X116952134Y-133783264D01* -X116937840Y-133787600D01* -X116924666Y-133794642D01* -X116913118Y-133804118D01* -X116903642Y-133815666D01* -X116896600Y-133828840D01* -X116892264Y-133843134D01* -X116890800Y-133858000D01* -X116789200Y-133858000D01* -X116787736Y-133843134D01* -X116783400Y-133828840D01* -X116776358Y-133815666D01* -X116766882Y-133804118D01* -X116755334Y-133794642D01* -X116742160Y-133787600D01* -X116727866Y-133783264D01* -X116713000Y-133781800D01* -X116332000Y-133781800D01* -X116317134Y-133783264D01* -X116302840Y-133787600D01* -X116289666Y-133794642D01* -X116278118Y-133804118D01* -X116268642Y-133815666D01* -X116261600Y-133828840D01* -X116257264Y-133843134D01* -X116255800Y-133858000D01* -X114884200Y-133858000D01* -X114882736Y-133843134D01* -X114878400Y-133828840D01* -X114871358Y-133815666D01* -X114861882Y-133804118D01* -X114850334Y-133794642D01* -X114837160Y-133787600D01* -X114822866Y-133783264D01* -X114808000Y-133781800D01* -X114427000Y-133781800D01* -X114412134Y-133783264D01* -X114397840Y-133787600D01* -X114384666Y-133794642D01* -X114373118Y-133804118D01* -X114363642Y-133815666D01* -X114356600Y-133828840D01* -X114352264Y-133843134D01* -X114350800Y-133858000D01* -X114249200Y-133858000D01* -X114247736Y-133843134D01* -X114243400Y-133828840D01* -X114236358Y-133815666D01* -X114226882Y-133804118D01* -X114215334Y-133794642D01* -X114202160Y-133787600D01* -X114187866Y-133783264D01* -X114173000Y-133781800D01* -X113792000Y-133781800D01* -X113777134Y-133783264D01* -X113762840Y-133787600D01* -X113749666Y-133794642D01* -X113738118Y-133804118D01* -X113728642Y-133815666D01* -X113721600Y-133828840D01* -X113717264Y-133843134D01* -X113715800Y-133858000D01* -X112344200Y-133858000D01* -X112342736Y-133843134D01* -X112338400Y-133828840D01* -X112331358Y-133815666D01* -X112321882Y-133804118D01* -X112310334Y-133794642D01* -X112297160Y-133787600D01* -X112282866Y-133783264D01* -X112268000Y-133781800D01* -X111887000Y-133781800D01* -X111872134Y-133783264D01* -X111857840Y-133787600D01* -X111844666Y-133794642D01* -X111833118Y-133804118D01* -X111823642Y-133815666D01* -X111816600Y-133828840D01* -X111812264Y-133843134D01* -X111810800Y-133858000D01* -X111709200Y-133858000D01* -X111707736Y-133843134D01* -X111703400Y-133828840D01* -X111696358Y-133815666D01* -X111686882Y-133804118D01* -X111675334Y-133794642D01* -X111662160Y-133787600D01* -X111647866Y-133783264D01* -X111633000Y-133781800D01* -X111252000Y-133781800D01* -X111237134Y-133783264D01* -X111222840Y-133787600D01* -X111209666Y-133794642D01* -X111198118Y-133804118D01* -X111188642Y-133815666D01* -X111181600Y-133828840D01* -X111177264Y-133843134D01* -X111175800Y-133858000D01* -X109804200Y-133858000D01* -X109802736Y-133843134D01* -X109798400Y-133828840D01* -X109791358Y-133815666D01* -X109781882Y-133804118D01* -X109770334Y-133794642D01* -X109757160Y-133787600D01* -X109742866Y-133783264D01* -X109728000Y-133781800D01* -X109347000Y-133781800D01* -X109332134Y-133783264D01* -X109317840Y-133787600D01* -X109304666Y-133794642D01* -X109293118Y-133804118D01* -X109283642Y-133815666D01* -X109276600Y-133828840D01* -X109272264Y-133843134D01* -X109270800Y-133858000D01* -X109169200Y-133858000D01* -X109167736Y-133843134D01* -X109163400Y-133828840D01* -X109156358Y-133815666D01* -X109146882Y-133804118D01* -X109135334Y-133794642D01* -X109122160Y-133787600D01* -X109107866Y-133783264D01* -X109093000Y-133781800D01* -X108712000Y-133781800D01* -X108697134Y-133783264D01* -X108682840Y-133787600D01* -X108669666Y-133794642D01* -X108658118Y-133804118D01* -X108648642Y-133815666D01* -X108641600Y-133828840D01* -X108637264Y-133843134D01* -X108635800Y-133858000D01* -X107264200Y-133858000D01* -X107262736Y-133843134D01* -X107258400Y-133828840D01* -X107251358Y-133815666D01* -X107241882Y-133804118D01* -X107230334Y-133794642D01* -X107217160Y-133787600D01* -X107202866Y-133783264D01* -X107188000Y-133781800D01* -X106807000Y-133781800D01* -X106792134Y-133783264D01* -X106777840Y-133787600D01* -X106764666Y-133794642D01* -X106753118Y-133804118D01* -X106743642Y-133815666D01* -X106736600Y-133828840D01* -X106732264Y-133843134D01* -X106730800Y-133858000D01* -X106629200Y-133858000D01* -X106627736Y-133843134D01* -X106623400Y-133828840D01* -X106616358Y-133815666D01* -X106606882Y-133804118D01* -X106595334Y-133794642D01* -X106582160Y-133787600D01* -X106567866Y-133783264D01* -X106553000Y-133781800D01* -X106172000Y-133781800D01* -X106157134Y-133783264D01* -X106142840Y-133787600D01* -X106129666Y-133794642D01* -X106118118Y-133804118D01* -X106108642Y-133815666D01* -X106101600Y-133828840D01* -X106097264Y-133843134D01* -X106095800Y-133858000D01* -X104724200Y-133858000D01* -X104722736Y-133843134D01* -X104718400Y-133828840D01* -X104711358Y-133815666D01* -X104701882Y-133804118D01* -X104690334Y-133794642D01* -X104677160Y-133787600D01* -X104662866Y-133783264D01* -X104648000Y-133781800D01* -X104267000Y-133781800D01* -X104252134Y-133783264D01* -X104237840Y-133787600D01* -X104224666Y-133794642D01* -X104213118Y-133804118D01* -X104203642Y-133815666D01* -X104196600Y-133828840D01* -X104192264Y-133843134D01* -X104190800Y-133858000D01* -X104089200Y-133858000D01* -X104087736Y-133843134D01* -X104083400Y-133828840D01* -X104076358Y-133815666D01* -X104066882Y-133804118D01* -X104055334Y-133794642D01* -X104042160Y-133787600D01* -X104027866Y-133783264D01* -X104013000Y-133781800D01* -X103632000Y-133781800D01* -X103617134Y-133783264D01* -X103602840Y-133787600D01* -X103589666Y-133794642D01* -X103578118Y-133804118D01* -X103568642Y-133815666D01* -X103561600Y-133828840D01* -X103557264Y-133843134D01* -X103555800Y-133858000D01* -X102184200Y-133858000D01* -X102182736Y-133843134D01* -X102178400Y-133828840D01* -X102171358Y-133815666D01* -X102161882Y-133804118D01* -X102150334Y-133794642D01* -X102137160Y-133787600D01* -X102122866Y-133783264D01* -X102108000Y-133781800D01* -X101727000Y-133781800D01* -X101712134Y-133783264D01* -X101697840Y-133787600D01* -X101684666Y-133794642D01* -X101673118Y-133804118D01* -X101663642Y-133815666D01* -X101656600Y-133828840D01* -X101652264Y-133843134D01* -X101650800Y-133858000D01* -X101549200Y-133858000D01* -X101547736Y-133843134D01* -X101543400Y-133828840D01* -X101536358Y-133815666D01* -X101526882Y-133804118D01* -X101515334Y-133794642D01* -X101502160Y-133787600D01* -X101487866Y-133783264D01* -X101473000Y-133781800D01* -X101092000Y-133781800D01* -X101077134Y-133783264D01* -X101062840Y-133787600D01* -X101049666Y-133794642D01* -X101038118Y-133804118D01* -X101028642Y-133815666D01* -X101021600Y-133828840D01* -X101017264Y-133843134D01* -X101015800Y-133858000D01* -X99644200Y-133858000D01* -X99642736Y-133843134D01* -X99638400Y-133828840D01* -X99631358Y-133815666D01* -X99621882Y-133804118D01* -X99610334Y-133794642D01* -X99597160Y-133787600D01* -X99582866Y-133783264D01* -X99568000Y-133781800D01* -X99187000Y-133781800D01* -X99172134Y-133783264D01* -X99157840Y-133787600D01* -X99144666Y-133794642D01* -X99133118Y-133804118D01* -X99123642Y-133815666D01* -X99116600Y-133828840D01* -X99112264Y-133843134D01* -X99110800Y-133858000D01* -X99009200Y-133858000D01* -X99007736Y-133843134D01* -X99003400Y-133828840D01* -X98996358Y-133815666D01* -X98986882Y-133804118D01* -X98975334Y-133794642D01* -X98962160Y-133787600D01* -X98947866Y-133783264D01* -X98933000Y-133781800D01* -X98552000Y-133781800D01* -X98537134Y-133783264D01* -X98522840Y-133787600D01* -X98509666Y-133794642D01* -X98498118Y-133804118D01* -X98488642Y-133815666D01* -X98481600Y-133828840D01* -X98477264Y-133843134D01* -X98475800Y-133858000D01* -X97104200Y-133858000D01* -X97102736Y-133843134D01* -X97098400Y-133828840D01* -X97091358Y-133815666D01* -X97081882Y-133804118D01* -X97070334Y-133794642D01* -X97057160Y-133787600D01* -X97042866Y-133783264D01* -X97028000Y-133781800D01* -X96647000Y-133781800D01* -X96632134Y-133783264D01* -X96617840Y-133787600D01* -X96604666Y-133794642D01* -X96593118Y-133804118D01* -X96583642Y-133815666D01* -X96576600Y-133828840D01* -X96572264Y-133843134D01* -X96570800Y-133858000D01* -X96469200Y-133858000D01* -X96467736Y-133843134D01* -X96463400Y-133828840D01* -X96456358Y-133815666D01* -X96446882Y-133804118D01* -X96435334Y-133794642D01* -X96422160Y-133787600D01* -X96407866Y-133783264D01* -X96393000Y-133781800D01* -X96012000Y-133781800D01* -X95997134Y-133783264D01* -X95982840Y-133787600D01* -X95969666Y-133794642D01* -X95958118Y-133804118D01* -X95948642Y-133815666D01* -X95941600Y-133828840D01* -X95937264Y-133843134D01* -X95935800Y-133858000D01* -X94564200Y-133858000D01* -X94562736Y-133843134D01* -X94558400Y-133828840D01* -X94551358Y-133815666D01* -X94541882Y-133804118D01* -X94530334Y-133794642D01* -X94517160Y-133787600D01* -X94502866Y-133783264D01* -X94488000Y-133781800D01* -X94107000Y-133781800D01* -X94092134Y-133783264D01* -X94077840Y-133787600D01* -X94064666Y-133794642D01* -X94053118Y-133804118D01* -X94043642Y-133815666D01* -X94036600Y-133828840D01* -X94032264Y-133843134D01* -X94030800Y-133858000D01* -X93929200Y-133858000D01* -X93927736Y-133843134D01* -X93923400Y-133828840D01* -X93916358Y-133815666D01* -X93906882Y-133804118D01* -X93895334Y-133794642D01* -X93882160Y-133787600D01* -X93867866Y-133783264D01* -X93853000Y-133781800D01* -X93472000Y-133781800D01* -X93457134Y-133783264D01* -X93442840Y-133787600D01* -X93429666Y-133794642D01* -X93418118Y-133804118D01* -X93408642Y-133815666D01* -X93401600Y-133828840D01* -X93397264Y-133843134D01* -X93395800Y-133858000D01* -X92024200Y-133858000D01* -X92022736Y-133843134D01* -X92018400Y-133828840D01* -X92011358Y-133815666D01* -X92001882Y-133804118D01* -X91990334Y-133794642D01* -X91977160Y-133787600D01* -X91962866Y-133783264D01* -X91948000Y-133781800D01* -X91567000Y-133781800D01* -X91552134Y-133783264D01* -X91537840Y-133787600D01* -X91524666Y-133794642D01* -X91513118Y-133804118D01* -X91503642Y-133815666D01* -X91496600Y-133828840D01* -X91492264Y-133843134D01* -X91490800Y-133858000D01* -X91389200Y-133858000D01* -X91387736Y-133843134D01* -X91383400Y-133828840D01* -X91376358Y-133815666D01* -X91366882Y-133804118D01* -X91355334Y-133794642D01* -X91342160Y-133787600D01* -X91327866Y-133783264D01* -X91313000Y-133781800D01* -X90932000Y-133781800D01* -X90917134Y-133783264D01* -X90902840Y-133787600D01* -X90889666Y-133794642D01* -X90878118Y-133804118D01* -X90868642Y-133815666D01* -X90861600Y-133828840D01* -X90857264Y-133843134D01* -X90855800Y-133858000D01* -X89484200Y-133858000D01* -X89482736Y-133843134D01* -X89478400Y-133828840D01* -X89471358Y-133815666D01* -X89461882Y-133804118D01* -X89450334Y-133794642D01* -X89437160Y-133787600D01* -X89422866Y-133783264D01* -X89408000Y-133781800D01* -X89027000Y-133781800D01* -X89012134Y-133783264D01* -X88997840Y-133787600D01* -X88984666Y-133794642D01* -X88973118Y-133804118D01* -X88963642Y-133815666D01* -X88956600Y-133828840D01* -X88952264Y-133843134D01* -X88950800Y-133858000D01* -X88849200Y-133858000D01* -X88847736Y-133843134D01* -X88843400Y-133828840D01* -X88836358Y-133815666D01* -X88826882Y-133804118D01* -X88815334Y-133794642D01* -X88802160Y-133787600D01* -X88787866Y-133783264D01* -X88773000Y-133781800D01* -X88392000Y-133781800D01* -X88377134Y-133783264D01* -X88362840Y-133787600D01* -X88349666Y-133794642D01* -X88338118Y-133804118D01* -X88328642Y-133815666D01* -X88321600Y-133828840D01* -X88317264Y-133843134D01* -X88315800Y-133858000D01* -X86944200Y-133858000D01* -X86942736Y-133843134D01* -X86938400Y-133828840D01* -X86931358Y-133815666D01* -X86921882Y-133804118D01* -X86910334Y-133794642D01* -X86897160Y-133787600D01* -X86882866Y-133783264D01* -X86868000Y-133781800D01* -X86487000Y-133781800D01* -X86472134Y-133783264D01* -X86457840Y-133787600D01* -X86444666Y-133794642D01* -X86433118Y-133804118D01* -X86423642Y-133815666D01* -X86416600Y-133828840D01* -X86412264Y-133843134D01* -X86410800Y-133858000D01* -X86309200Y-133858000D01* -X86307736Y-133843134D01* -X86303400Y-133828840D01* -X86296358Y-133815666D01* -X86286882Y-133804118D01* -X86275334Y-133794642D01* -X86262160Y-133787600D01* -X86247866Y-133783264D01* -X86233000Y-133781800D01* -X85852000Y-133781800D01* -X85837134Y-133783264D01* -X85822840Y-133787600D01* -X85809666Y-133794642D01* -X85798118Y-133804118D01* -X85788642Y-133815666D01* -X85781600Y-133828840D01* -X85777264Y-133843134D01* -X85775800Y-133858000D01* -X84404200Y-133858000D01* -X84402736Y-133843134D01* -X84398400Y-133828840D01* -X84391358Y-133815666D01* -X84381882Y-133804118D01* -X84370334Y-133794642D01* -X84357160Y-133787600D01* -X84342866Y-133783264D01* -X84328000Y-133781800D01* -X83947000Y-133781800D01* -X83932134Y-133783264D01* -X83917840Y-133787600D01* -X83904666Y-133794642D01* -X83893118Y-133804118D01* -X83883642Y-133815666D01* -X83876600Y-133828840D01* -X83872264Y-133843134D01* -X83870800Y-133858000D01* -X83769200Y-133858000D01* -X83767736Y-133843134D01* -X83763400Y-133828840D01* -X83756358Y-133815666D01* -X83746882Y-133804118D01* -X83735334Y-133794642D01* -X83722160Y-133787600D01* -X83707866Y-133783264D01* -X83693000Y-133781800D01* -X83312000Y-133781800D01* -X83297134Y-133783264D01* -X83282840Y-133787600D01* -X83269666Y-133794642D01* -X83258118Y-133804118D01* -X83248642Y-133815666D01* -X83241600Y-133828840D01* -X83237264Y-133843134D01* -X83235800Y-133858000D01* -X81864200Y-133858000D01* -X81862736Y-133843134D01* -X81858400Y-133828840D01* -X81851358Y-133815666D01* -X81841882Y-133804118D01* -X81830334Y-133794642D01* -X81817160Y-133787600D01* -X81802866Y-133783264D01* -X81788000Y-133781800D01* -X81407000Y-133781800D01* -X81392134Y-133783264D01* -X81377840Y-133787600D01* -X81364666Y-133794642D01* -X81353118Y-133804118D01* -X81343642Y-133815666D01* -X81336600Y-133828840D01* -X81332264Y-133843134D01* -X81330800Y-133858000D01* -X81229200Y-133858000D01* -X81227736Y-133843134D01* -X81223400Y-133828840D01* -X81216358Y-133815666D01* -X81206882Y-133804118D01* -X81195334Y-133794642D01* -X81182160Y-133787600D01* -X81167866Y-133783264D01* -X81153000Y-133781800D01* -X80772000Y-133781800D01* -X80757134Y-133783264D01* -X80742840Y-133787600D01* -X80729666Y-133794642D01* -X80718118Y-133804118D01* -X80708642Y-133815666D01* -X80701600Y-133828840D01* -X80697264Y-133843134D01* -X80695800Y-133858000D01* -X79324200Y-133858000D01* -X79322736Y-133843134D01* -X79318400Y-133828840D01* -X79311358Y-133815666D01* -X79301882Y-133804118D01* -X79290334Y-133794642D01* -X79277160Y-133787600D01* -X79262866Y-133783264D01* -X79248000Y-133781800D01* -X78867000Y-133781800D01* -X78852134Y-133783264D01* -X78837840Y-133787600D01* -X78824666Y-133794642D01* -X78813118Y-133804118D01* -X78803642Y-133815666D01* -X78796600Y-133828840D01* -X78792264Y-133843134D01* -X78790800Y-133858000D01* -X78689200Y-133858000D01* -X78687736Y-133843134D01* -X78683400Y-133828840D01* -X78676358Y-133815666D01* -X78666882Y-133804118D01* -X78655334Y-133794642D01* -X78642160Y-133787600D01* -X78627866Y-133783264D01* -X78613000Y-133781800D01* -X78232000Y-133781800D01* -X78217134Y-133783264D01* -X78202840Y-133787600D01* -X78189666Y-133794642D01* -X78178118Y-133804118D01* -X78168642Y-133815666D01* -X78161600Y-133828840D01* -X78157264Y-133843134D01* -X78155800Y-133858000D01* -X76784200Y-133858000D01* -X76782736Y-133843134D01* -X76778400Y-133828840D01* -X76771358Y-133815666D01* -X76761882Y-133804118D01* -X76750334Y-133794642D01* -X76737160Y-133787600D01* -X76722866Y-133783264D01* -X76708000Y-133781800D01* -X76327000Y-133781800D01* -X76312134Y-133783264D01* -X76297840Y-133787600D01* -X76284666Y-133794642D01* -X76273118Y-133804118D01* -X76263642Y-133815666D01* -X76256600Y-133828840D01* -X76252264Y-133843134D01* -X76250800Y-133858000D01* -X76149200Y-133858000D01* -X76147736Y-133843134D01* -X76143400Y-133828840D01* -X76136358Y-133815666D01* -X76126882Y-133804118D01* -X76115334Y-133794642D01* -X76102160Y-133787600D01* -X76087866Y-133783264D01* -X76073000Y-133781800D01* -X75692000Y-133781800D01* -X75677134Y-133783264D01* -X75662840Y-133787600D01* -X75649666Y-133794642D01* -X75638118Y-133804118D01* -X75628642Y-133815666D01* -X75621600Y-133828840D01* -X75617264Y-133843134D01* -X75615800Y-133858000D01* -X74498200Y-133858000D01* -X74498200Y-133223000D01* -X75615800Y-133223000D01* -X75615800Y-133604000D01* -X75617264Y-133618866D01* -X75621600Y-133633160D01* -X75628642Y-133646334D01* -X75638118Y-133657882D01* -X75649666Y-133667358D01* -X75662840Y-133674400D01* -X75677134Y-133678736D01* -X75692000Y-133680200D01* -X76073000Y-133680200D01* -X76087866Y-133678736D01* -X76102160Y-133674400D01* -X76115334Y-133667358D01* -X76126882Y-133657882D01* -X76136358Y-133646334D01* -X76143400Y-133633160D01* -X76147736Y-133618866D01* -X76149200Y-133604000D01* -X76149200Y-133223000D01* -X76250800Y-133223000D01* -X76250800Y-133604000D01* -X76252264Y-133618866D01* -X76256600Y-133633160D01* -X76263642Y-133646334D01* -X76273118Y-133657882D01* -X76284666Y-133667358D01* -X76297840Y-133674400D01* -X76312134Y-133678736D01* -X76327000Y-133680200D01* -X76708000Y-133680200D01* -X76722866Y-133678736D01* -X76737160Y-133674400D01* -X76750334Y-133667358D01* -X76761882Y-133657882D01* -X76771358Y-133646334D01* -X76778400Y-133633160D01* -X76782736Y-133618866D01* -X76784200Y-133604000D01* -X76784200Y-133223000D01* -X78155800Y-133223000D01* -X78155800Y-133604000D01* -X78157264Y-133618866D01* -X78161600Y-133633160D01* -X78168642Y-133646334D01* -X78178118Y-133657882D01* -X78189666Y-133667358D01* -X78202840Y-133674400D01* -X78217134Y-133678736D01* -X78232000Y-133680200D01* -X78613000Y-133680200D01* -X78627866Y-133678736D01* -X78642160Y-133674400D01* -X78655334Y-133667358D01* -X78666882Y-133657882D01* -X78676358Y-133646334D01* -X78683400Y-133633160D01* -X78687736Y-133618866D01* -X78689200Y-133604000D01* -X78689200Y-133223000D01* -X78790800Y-133223000D01* -X78790800Y-133604000D01* -X78792264Y-133618866D01* -X78796600Y-133633160D01* -X78803642Y-133646334D01* -X78813118Y-133657882D01* -X78824666Y-133667358D01* -X78837840Y-133674400D01* -X78852134Y-133678736D01* -X78867000Y-133680200D01* -X79248000Y-133680200D01* -X79262866Y-133678736D01* -X79277160Y-133674400D01* -X79290334Y-133667358D01* -X79301882Y-133657882D01* -X79311358Y-133646334D01* -X79318400Y-133633160D01* -X79322736Y-133618866D01* -X79324200Y-133604000D01* -X79324200Y-133223000D01* -X80695800Y-133223000D01* -X80695800Y-133604000D01* -X80697264Y-133618866D01* -X80701600Y-133633160D01* -X80708642Y-133646334D01* -X80718118Y-133657882D01* -X80729666Y-133667358D01* -X80742840Y-133674400D01* -X80757134Y-133678736D01* -X80772000Y-133680200D01* -X81153000Y-133680200D01* -X81167866Y-133678736D01* -X81182160Y-133674400D01* -X81195334Y-133667358D01* -X81206882Y-133657882D01* -X81216358Y-133646334D01* -X81223400Y-133633160D01* -X81227736Y-133618866D01* -X81229200Y-133604000D01* -X81229200Y-133223000D01* -X81330800Y-133223000D01* -X81330800Y-133604000D01* -X81332264Y-133618866D01* -X81336600Y-133633160D01* -X81343642Y-133646334D01* -X81353118Y-133657882D01* -X81364666Y-133667358D01* -X81377840Y-133674400D01* -X81392134Y-133678736D01* -X81407000Y-133680200D01* -X81788000Y-133680200D01* -X81802866Y-133678736D01* -X81817160Y-133674400D01* -X81830334Y-133667358D01* -X81841882Y-133657882D01* -X81851358Y-133646334D01* -X81858400Y-133633160D01* -X81862736Y-133618866D01* -X81864200Y-133604000D01* -X81864200Y-133223000D01* -X83235800Y-133223000D01* -X83235800Y-133604000D01* -X83237264Y-133618866D01* -X83241600Y-133633160D01* -X83248642Y-133646334D01* -X83258118Y-133657882D01* -X83269666Y-133667358D01* -X83282840Y-133674400D01* -X83297134Y-133678736D01* -X83312000Y-133680200D01* -X83693000Y-133680200D01* -X83707866Y-133678736D01* -X83722160Y-133674400D01* -X83735334Y-133667358D01* -X83746882Y-133657882D01* -X83756358Y-133646334D01* -X83763400Y-133633160D01* -X83767736Y-133618866D01* -X83769200Y-133604000D01* -X83769200Y-133223000D01* -X83870800Y-133223000D01* -X83870800Y-133604000D01* -X83872264Y-133618866D01* -X83876600Y-133633160D01* -X83883642Y-133646334D01* -X83893118Y-133657882D01* -X83904666Y-133667358D01* -X83917840Y-133674400D01* -X83932134Y-133678736D01* -X83947000Y-133680200D01* -X84328000Y-133680200D01* -X84342866Y-133678736D01* -X84357160Y-133674400D01* -X84370334Y-133667358D01* -X84381882Y-133657882D01* -X84391358Y-133646334D01* -X84398400Y-133633160D01* -X84402736Y-133618866D01* -X84404200Y-133604000D01* -X84404200Y-133223000D01* -X85775800Y-133223000D01* -X85775800Y-133604000D01* -X85777264Y-133618866D01* -X85781600Y-133633160D01* -X85788642Y-133646334D01* -X85798118Y-133657882D01* -X85809666Y-133667358D01* -X85822840Y-133674400D01* -X85837134Y-133678736D01* -X85852000Y-133680200D01* -X86233000Y-133680200D01* -X86247866Y-133678736D01* -X86262160Y-133674400D01* -X86275334Y-133667358D01* -X86286882Y-133657882D01* -X86296358Y-133646334D01* -X86303400Y-133633160D01* -X86307736Y-133618866D01* -X86309200Y-133604000D01* -X86309200Y-133223000D01* -X86410800Y-133223000D01* -X86410800Y-133604000D01* -X86412264Y-133618866D01* -X86416600Y-133633160D01* -X86423642Y-133646334D01* -X86433118Y-133657882D01* -X86444666Y-133667358D01* -X86457840Y-133674400D01* -X86472134Y-133678736D01* -X86487000Y-133680200D01* -X86868000Y-133680200D01* -X86882866Y-133678736D01* -X86897160Y-133674400D01* -X86910334Y-133667358D01* -X86921882Y-133657882D01* -X86931358Y-133646334D01* -X86938400Y-133633160D01* -X86942736Y-133618866D01* -X86944200Y-133604000D01* -X86944200Y-133223000D01* -X88315800Y-133223000D01* -X88315800Y-133604000D01* -X88317264Y-133618866D01* -X88321600Y-133633160D01* -X88328642Y-133646334D01* -X88338118Y-133657882D01* -X88349666Y-133667358D01* -X88362840Y-133674400D01* -X88377134Y-133678736D01* -X88392000Y-133680200D01* -X88773000Y-133680200D01* -X88787866Y-133678736D01* -X88802160Y-133674400D01* -X88815334Y-133667358D01* -X88826882Y-133657882D01* -X88836358Y-133646334D01* -X88843400Y-133633160D01* -X88847736Y-133618866D01* -X88849200Y-133604000D01* -X88849200Y-133223000D01* -X88950800Y-133223000D01* -X88950800Y-133604000D01* -X88952264Y-133618866D01* -X88956600Y-133633160D01* -X88963642Y-133646334D01* -X88973118Y-133657882D01* -X88984666Y-133667358D01* -X88997840Y-133674400D01* -X89012134Y-133678736D01* -X89027000Y-133680200D01* -X89408000Y-133680200D01* -X89422866Y-133678736D01* -X89437160Y-133674400D01* -X89450334Y-133667358D01* -X89461882Y-133657882D01* -X89471358Y-133646334D01* -X89478400Y-133633160D01* -X89482736Y-133618866D01* -X89484200Y-133604000D01* -X89484200Y-133223000D01* -X90855800Y-133223000D01* -X90855800Y-133604000D01* -X90857264Y-133618866D01* -X90861600Y-133633160D01* -X90868642Y-133646334D01* -X90878118Y-133657882D01* -X90889666Y-133667358D01* -X90902840Y-133674400D01* -X90917134Y-133678736D01* -X90932000Y-133680200D01* -X91313000Y-133680200D01* -X91327866Y-133678736D01* -X91342160Y-133674400D01* -X91355334Y-133667358D01* -X91366882Y-133657882D01* -X91376358Y-133646334D01* -X91383400Y-133633160D01* -X91387736Y-133618866D01* -X91389200Y-133604000D01* -X91389200Y-133223000D01* -X91490800Y-133223000D01* -X91490800Y-133604000D01* -X91492264Y-133618866D01* -X91496600Y-133633160D01* -X91503642Y-133646334D01* -X91513118Y-133657882D01* -X91524666Y-133667358D01* -X91537840Y-133674400D01* -X91552134Y-133678736D01* -X91567000Y-133680200D01* -X91948000Y-133680200D01* -X91962866Y-133678736D01* -X91977160Y-133674400D01* -X91990334Y-133667358D01* -X92001882Y-133657882D01* -X92011358Y-133646334D01* -X92018400Y-133633160D01* -X92022736Y-133618866D01* -X92024200Y-133604000D01* -X92024200Y-133223000D01* -X93395800Y-133223000D01* -X93395800Y-133604000D01* -X93397264Y-133618866D01* -X93401600Y-133633160D01* -X93408642Y-133646334D01* -X93418118Y-133657882D01* -X93429666Y-133667358D01* -X93442840Y-133674400D01* -X93457134Y-133678736D01* -X93472000Y-133680200D01* -X93853000Y-133680200D01* -X93867866Y-133678736D01* -X93882160Y-133674400D01* -X93895334Y-133667358D01* -X93906882Y-133657882D01* -X93916358Y-133646334D01* -X93923400Y-133633160D01* -X93927736Y-133618866D01* -X93929200Y-133604000D01* -X93929200Y-133223000D01* -X94030800Y-133223000D01* -X94030800Y-133604000D01* -X94032264Y-133618866D01* -X94036600Y-133633160D01* -X94043642Y-133646334D01* -X94053118Y-133657882D01* -X94064666Y-133667358D01* -X94077840Y-133674400D01* -X94092134Y-133678736D01* -X94107000Y-133680200D01* -X94488000Y-133680200D01* -X94502866Y-133678736D01* -X94517160Y-133674400D01* -X94530334Y-133667358D01* -X94541882Y-133657882D01* -X94551358Y-133646334D01* -X94558400Y-133633160D01* -X94562736Y-133618866D01* -X94564200Y-133604000D01* -X94564200Y-133223000D01* -X95935800Y-133223000D01* -X95935800Y-133604000D01* -X95937264Y-133618866D01* -X95941600Y-133633160D01* -X95948642Y-133646334D01* -X95958118Y-133657882D01* -X95969666Y-133667358D01* -X95982840Y-133674400D01* -X95997134Y-133678736D01* -X96012000Y-133680200D01* -X96393000Y-133680200D01* -X96407866Y-133678736D01* -X96422160Y-133674400D01* -X96435334Y-133667358D01* -X96446882Y-133657882D01* -X96456358Y-133646334D01* -X96463400Y-133633160D01* -X96467736Y-133618866D01* -X96469200Y-133604000D01* -X96469200Y-133223000D01* -X96570800Y-133223000D01* -X96570800Y-133604000D01* -X96572264Y-133618866D01* -X96576600Y-133633160D01* -X96583642Y-133646334D01* -X96593118Y-133657882D01* -X96604666Y-133667358D01* -X96617840Y-133674400D01* -X96632134Y-133678736D01* -X96647000Y-133680200D01* -X97028000Y-133680200D01* -X97042866Y-133678736D01* -X97057160Y-133674400D01* -X97070334Y-133667358D01* -X97081882Y-133657882D01* -X97091358Y-133646334D01* -X97098400Y-133633160D01* -X97102736Y-133618866D01* -X97104200Y-133604000D01* -X97104200Y-133223000D01* -X98475800Y-133223000D01* -X98475800Y-133604000D01* -X98477264Y-133618866D01* -X98481600Y-133633160D01* -X98488642Y-133646334D01* -X98498118Y-133657882D01* -X98509666Y-133667358D01* -X98522840Y-133674400D01* -X98537134Y-133678736D01* -X98552000Y-133680200D01* -X98933000Y-133680200D01* -X98947866Y-133678736D01* -X98962160Y-133674400D01* -X98975334Y-133667358D01* -X98986882Y-133657882D01* -X98996358Y-133646334D01* -X99003400Y-133633160D01* -X99007736Y-133618866D01* -X99009200Y-133604000D01* -X99009200Y-133223000D01* -X99110800Y-133223000D01* -X99110800Y-133604000D01* -X99112264Y-133618866D01* -X99116600Y-133633160D01* -X99123642Y-133646334D01* -X99133118Y-133657882D01* -X99144666Y-133667358D01* -X99157840Y-133674400D01* -X99172134Y-133678736D01* -X99187000Y-133680200D01* -X99568000Y-133680200D01* -X99582866Y-133678736D01* -X99597160Y-133674400D01* -X99610334Y-133667358D01* -X99621882Y-133657882D01* -X99631358Y-133646334D01* -X99638400Y-133633160D01* -X99642736Y-133618866D01* -X99644200Y-133604000D01* -X99644200Y-133223000D01* -X101015800Y-133223000D01* -X101015800Y-133604000D01* -X101017264Y-133618866D01* -X101021600Y-133633160D01* -X101028642Y-133646334D01* -X101038118Y-133657882D01* -X101049666Y-133667358D01* -X101062840Y-133674400D01* -X101077134Y-133678736D01* -X101092000Y-133680200D01* -X101473000Y-133680200D01* -X101487866Y-133678736D01* -X101502160Y-133674400D01* -X101515334Y-133667358D01* -X101526882Y-133657882D01* -X101536358Y-133646334D01* -X101543400Y-133633160D01* -X101547736Y-133618866D01* -X101549200Y-133604000D01* -X101549200Y-133223000D01* -X101650800Y-133223000D01* -X101650800Y-133604000D01* -X101652264Y-133618866D01* -X101656600Y-133633160D01* -X101663642Y-133646334D01* -X101673118Y-133657882D01* -X101684666Y-133667358D01* -X101697840Y-133674400D01* -X101712134Y-133678736D01* -X101727000Y-133680200D01* -X102108000Y-133680200D01* -X102122866Y-133678736D01* -X102137160Y-133674400D01* -X102150334Y-133667358D01* -X102161882Y-133657882D01* -X102171358Y-133646334D01* -X102178400Y-133633160D01* -X102182736Y-133618866D01* -X102184200Y-133604000D01* -X102184200Y-133223000D01* -X103555800Y-133223000D01* -X103555800Y-133604000D01* -X103557264Y-133618866D01* -X103561600Y-133633160D01* -X103568642Y-133646334D01* -X103578118Y-133657882D01* -X103589666Y-133667358D01* -X103602840Y-133674400D01* -X103617134Y-133678736D01* -X103632000Y-133680200D01* -X104013000Y-133680200D01* -X104027866Y-133678736D01* -X104042160Y-133674400D01* -X104055334Y-133667358D01* -X104066882Y-133657882D01* -X104076358Y-133646334D01* -X104083400Y-133633160D01* -X104087736Y-133618866D01* -X104089200Y-133604000D01* -X104089200Y-133223000D01* -X104190800Y-133223000D01* -X104190800Y-133604000D01* -X104192264Y-133618866D01* -X104196600Y-133633160D01* -X104203642Y-133646334D01* -X104213118Y-133657882D01* -X104224666Y-133667358D01* -X104237840Y-133674400D01* -X104252134Y-133678736D01* -X104267000Y-133680200D01* -X104648000Y-133680200D01* -X104662866Y-133678736D01* -X104677160Y-133674400D01* -X104690334Y-133667358D01* -X104701882Y-133657882D01* -X104711358Y-133646334D01* -X104718400Y-133633160D01* -X104722736Y-133618866D01* -X104724200Y-133604000D01* -X104724200Y-133223000D01* -X106095800Y-133223000D01* -X106095800Y-133604000D01* -X106097264Y-133618866D01* -X106101600Y-133633160D01* -X106108642Y-133646334D01* -X106118118Y-133657882D01* -X106129666Y-133667358D01* -X106142840Y-133674400D01* -X106157134Y-133678736D01* -X106172000Y-133680200D01* -X106553000Y-133680200D01* -X106567866Y-133678736D01* -X106582160Y-133674400D01* -X106595334Y-133667358D01* -X106606882Y-133657882D01* -X106616358Y-133646334D01* -X106623400Y-133633160D01* -X106627736Y-133618866D01* -X106629200Y-133604000D01* -X106629200Y-133223000D01* -X106730800Y-133223000D01* -X106730800Y-133604000D01* -X106732264Y-133618866D01* -X106736600Y-133633160D01* -X106743642Y-133646334D01* -X106753118Y-133657882D01* -X106764666Y-133667358D01* -X106777840Y-133674400D01* -X106792134Y-133678736D01* -X106807000Y-133680200D01* -X107188000Y-133680200D01* -X107202866Y-133678736D01* -X107217160Y-133674400D01* -X107230334Y-133667358D01* -X107241882Y-133657882D01* -X107251358Y-133646334D01* -X107258400Y-133633160D01* -X107262736Y-133618866D01* -X107264200Y-133604000D01* -X107264200Y-133223000D01* -X108635800Y-133223000D01* -X108635800Y-133604000D01* -X108637264Y-133618866D01* -X108641600Y-133633160D01* -X108648642Y-133646334D01* -X108658118Y-133657882D01* -X108669666Y-133667358D01* -X108682840Y-133674400D01* -X108697134Y-133678736D01* -X108712000Y-133680200D01* -X109093000Y-133680200D01* -X109107866Y-133678736D01* -X109122160Y-133674400D01* -X109135334Y-133667358D01* -X109146882Y-133657882D01* -X109156358Y-133646334D01* -X109163400Y-133633160D01* -X109167736Y-133618866D01* -X109169200Y-133604000D01* -X109169200Y-133223000D01* -X109270800Y-133223000D01* -X109270800Y-133604000D01* -X109272264Y-133618866D01* -X109276600Y-133633160D01* -X109283642Y-133646334D01* -X109293118Y-133657882D01* -X109304666Y-133667358D01* -X109317840Y-133674400D01* -X109332134Y-133678736D01* -X109347000Y-133680200D01* -X109728000Y-133680200D01* -X109742866Y-133678736D01* -X109757160Y-133674400D01* -X109770334Y-133667358D01* -X109781882Y-133657882D01* -X109791358Y-133646334D01* -X109798400Y-133633160D01* -X109802736Y-133618866D01* -X109804200Y-133604000D01* -X109804200Y-133223000D01* -X111175800Y-133223000D01* -X111175800Y-133604000D01* -X111177264Y-133618866D01* -X111181600Y-133633160D01* -X111188642Y-133646334D01* -X111198118Y-133657882D01* -X111209666Y-133667358D01* -X111222840Y-133674400D01* -X111237134Y-133678736D01* -X111252000Y-133680200D01* -X111633000Y-133680200D01* -X111647866Y-133678736D01* -X111662160Y-133674400D01* -X111675334Y-133667358D01* -X111686882Y-133657882D01* -X111696358Y-133646334D01* -X111703400Y-133633160D01* -X111707736Y-133618866D01* -X111709200Y-133604000D01* -X111709200Y-133223000D01* -X111810800Y-133223000D01* -X111810800Y-133604000D01* -X111812264Y-133618866D01* -X111816600Y-133633160D01* -X111823642Y-133646334D01* -X111833118Y-133657882D01* -X111844666Y-133667358D01* -X111857840Y-133674400D01* -X111872134Y-133678736D01* -X111887000Y-133680200D01* -X112268000Y-133680200D01* -X112282866Y-133678736D01* -X112297160Y-133674400D01* -X112310334Y-133667358D01* -X112321882Y-133657882D01* -X112331358Y-133646334D01* -X112338400Y-133633160D01* -X112342736Y-133618866D01* -X112344200Y-133604000D01* -X112344200Y-133223000D01* -X113715800Y-133223000D01* -X113715800Y-133604000D01* -X113717264Y-133618866D01* -X113721600Y-133633160D01* -X113728642Y-133646334D01* -X113738118Y-133657882D01* -X113749666Y-133667358D01* -X113762840Y-133674400D01* -X113777134Y-133678736D01* -X113792000Y-133680200D01* -X114173000Y-133680200D01* -X114187866Y-133678736D01* -X114202160Y-133674400D01* -X114215334Y-133667358D01* -X114226882Y-133657882D01* -X114236358Y-133646334D01* -X114243400Y-133633160D01* -X114247736Y-133618866D01* -X114249200Y-133604000D01* -X114249200Y-133223000D01* -X114350800Y-133223000D01* -X114350800Y-133604000D01* -X114352264Y-133618866D01* -X114356600Y-133633160D01* -X114363642Y-133646334D01* -X114373118Y-133657882D01* -X114384666Y-133667358D01* -X114397840Y-133674400D01* -X114412134Y-133678736D01* -X114427000Y-133680200D01* -X114808000Y-133680200D01* -X114822866Y-133678736D01* -X114837160Y-133674400D01* -X114850334Y-133667358D01* -X114861882Y-133657882D01* -X114871358Y-133646334D01* -X114878400Y-133633160D01* -X114882736Y-133618866D01* -X114884200Y-133604000D01* -X114884200Y-133223000D01* -X116255800Y-133223000D01* -X116255800Y-133604000D01* -X116257264Y-133618866D01* -X116261600Y-133633160D01* -X116268642Y-133646334D01* -X116278118Y-133657882D01* -X116289666Y-133667358D01* -X116302840Y-133674400D01* -X116317134Y-133678736D01* -X116332000Y-133680200D01* -X116713000Y-133680200D01* -X116727866Y-133678736D01* -X116742160Y-133674400D01* -X116755334Y-133667358D01* -X116766882Y-133657882D01* -X116776358Y-133646334D01* -X116783400Y-133633160D01* -X116787736Y-133618866D01* -X116789200Y-133604000D01* -X116789200Y-133223000D01* -X116890800Y-133223000D01* -X116890800Y-133604000D01* -X116892264Y-133618866D01* -X116896600Y-133633160D01* -X116903642Y-133646334D01* -X116913118Y-133657882D01* -X116924666Y-133667358D01* -X116937840Y-133674400D01* -X116952134Y-133678736D01* -X116967000Y-133680200D01* -X117348000Y-133680200D01* -X117362866Y-133678736D01* -X117377160Y-133674400D01* -X117390334Y-133667358D01* -X117401882Y-133657882D01* -X117411358Y-133646334D01* -X117418400Y-133633160D01* -X117422736Y-133618866D01* -X117424200Y-133604000D01* -X117424200Y-133223000D01* -X118795800Y-133223000D01* -X118795800Y-133604000D01* -X118797264Y-133618866D01* -X118801600Y-133633160D01* -X118808642Y-133646334D01* -X118818118Y-133657882D01* -X118829666Y-133667358D01* -X118842840Y-133674400D01* -X118857134Y-133678736D01* -X118872000Y-133680200D01* -X119253000Y-133680200D01* -X119267866Y-133678736D01* -X119282160Y-133674400D01* -X119295334Y-133667358D01* -X119306882Y-133657882D01* -X119316358Y-133646334D01* -X119323400Y-133633160D01* -X119327736Y-133618866D01* -X119329200Y-133604000D01* -X119329200Y-133223000D01* -X119430800Y-133223000D01* -X119430800Y-133604000D01* -X119432264Y-133618866D01* -X119436600Y-133633160D01* -X119443642Y-133646334D01* -X119453118Y-133657882D01* -X119464666Y-133667358D01* -X119477840Y-133674400D01* -X119492134Y-133678736D01* -X119507000Y-133680200D01* -X119888000Y-133680200D01* -X119902866Y-133678736D01* -X119917160Y-133674400D01* -X119930334Y-133667358D01* -X119941882Y-133657882D01* -X119951358Y-133646334D01* -X119958400Y-133633160D01* -X119962736Y-133618866D01* -X119964200Y-133604000D01* -X119964200Y-133223000D01* -X121335800Y-133223000D01* -X121335800Y-133604000D01* -X121337264Y-133618866D01* -X121341600Y-133633160D01* -X121348642Y-133646334D01* -X121358118Y-133657882D01* -X121369666Y-133667358D01* -X121382840Y-133674400D01* -X121397134Y-133678736D01* -X121412000Y-133680200D01* -X121793000Y-133680200D01* -X121807866Y-133678736D01* -X121822160Y-133674400D01* -X121835334Y-133667358D01* -X121846882Y-133657882D01* -X121856358Y-133646334D01* -X121863400Y-133633160D01* -X121867736Y-133618866D01* -X121869200Y-133604000D01* -X121869200Y-133223000D01* -X121970800Y-133223000D01* -X121970800Y-133604000D01* -X121972264Y-133618866D01* -X121976600Y-133633160D01* -X121983642Y-133646334D01* -X121993118Y-133657882D01* -X122004666Y-133667358D01* -X122017840Y-133674400D01* -X122032134Y-133678736D01* -X122047000Y-133680200D01* -X122428000Y-133680200D01* -X122442866Y-133678736D01* -X122457160Y-133674400D01* -X122470334Y-133667358D01* -X122481882Y-133657882D01* -X122491358Y-133646334D01* -X122498400Y-133633160D01* -X122502736Y-133618866D01* -X122504200Y-133604000D01* -X122504200Y-133223000D01* -X123875800Y-133223000D01* -X123875800Y-133604000D01* -X123877264Y-133618866D01* -X123881600Y-133633160D01* -X123888642Y-133646334D01* -X123898118Y-133657882D01* -X123909666Y-133667358D01* -X123922840Y-133674400D01* -X123937134Y-133678736D01* -X123952000Y-133680200D01* -X124333000Y-133680200D01* -X124347866Y-133678736D01* -X124362160Y-133674400D01* -X124375334Y-133667358D01* -X124386882Y-133657882D01* -X124396358Y-133646334D01* -X124403400Y-133633160D01* -X124407736Y-133618866D01* -X124409200Y-133604000D01* -X124409200Y-133223000D01* -X124510800Y-133223000D01* -X124510800Y-133604000D01* -X124512264Y-133618866D01* -X124516600Y-133633160D01* -X124523642Y-133646334D01* -X124533118Y-133657882D01* -X124544666Y-133667358D01* -X124557840Y-133674400D01* -X124572134Y-133678736D01* -X124587000Y-133680200D01* -X124968000Y-133680200D01* -X124982866Y-133678736D01* -X124997160Y-133674400D01* -X125010334Y-133667358D01* -X125021882Y-133657882D01* -X125031358Y-133646334D01* -X125038400Y-133633160D01* -X125042736Y-133618866D01* -X125044200Y-133604000D01* -X125044200Y-133223000D01* -X126415800Y-133223000D01* -X126415800Y-133604000D01* -X126417264Y-133618866D01* -X126421600Y-133633160D01* -X126428642Y-133646334D01* -X126438118Y-133657882D01* -X126449666Y-133667358D01* -X126462840Y-133674400D01* -X126477134Y-133678736D01* -X126492000Y-133680200D01* -X126873000Y-133680200D01* -X126887866Y-133678736D01* -X126902160Y-133674400D01* -X126915334Y-133667358D01* -X126926882Y-133657882D01* -X126936358Y-133646334D01* -X126943400Y-133633160D01* -X126947736Y-133618866D01* -X126949200Y-133604000D01* -X126949200Y-133223000D01* -X127050800Y-133223000D01* -X127050800Y-133604000D01* -X127052264Y-133618866D01* -X127056600Y-133633160D01* -X127063642Y-133646334D01* -X127073118Y-133657882D01* -X127084666Y-133667358D01* -X127097840Y-133674400D01* -X127112134Y-133678736D01* -X127127000Y-133680200D01* -X127508000Y-133680200D01* -X127522866Y-133678736D01* -X127537160Y-133674400D01* -X127550334Y-133667358D01* -X127561882Y-133657882D01* -X127571358Y-133646334D01* -X127578400Y-133633160D01* -X127582736Y-133618866D01* -X127584200Y-133604000D01* -X127584200Y-133223000D01* -X128955800Y-133223000D01* -X128955800Y-133604000D01* -X128957264Y-133618866D01* -X128961600Y-133633160D01* -X128968642Y-133646334D01* -X128978118Y-133657882D01* -X128989666Y-133667358D01* -X129002840Y-133674400D01* -X129017134Y-133678736D01* -X129032000Y-133680200D01* -X129413000Y-133680200D01* -X129427866Y-133678736D01* -X129442160Y-133674400D01* -X129455334Y-133667358D01* -X129466882Y-133657882D01* -X129476358Y-133646334D01* -X129483400Y-133633160D01* -X129487736Y-133618866D01* -X129489200Y-133604000D01* -X129489200Y-133223000D01* -X129590800Y-133223000D01* -X129590800Y-133604000D01* -X129592264Y-133618866D01* -X129596600Y-133633160D01* -X129603642Y-133646334D01* -X129613118Y-133657882D01* -X129624666Y-133667358D01* -X129637840Y-133674400D01* -X129652134Y-133678736D01* -X129667000Y-133680200D01* -X130048000Y-133680200D01* -X130062866Y-133678736D01* -X130077160Y-133674400D01* -X130090334Y-133667358D01* -X130101882Y-133657882D01* -X130111358Y-133646334D01* -X130118400Y-133633160D01* -X130122736Y-133618866D01* -X130124200Y-133604000D01* -X130124200Y-133223000D01* -X131495800Y-133223000D01* -X131495800Y-133604000D01* -X131497264Y-133618866D01* -X131501600Y-133633160D01* -X131508642Y-133646334D01* -X131518118Y-133657882D01* -X131529666Y-133667358D01* -X131542840Y-133674400D01* -X131557134Y-133678736D01* -X131572000Y-133680200D01* -X131953000Y-133680200D01* -X131967866Y-133678736D01* -X131982160Y-133674400D01* -X131995334Y-133667358D01* -X132006882Y-133657882D01* -X132016358Y-133646334D01* -X132023400Y-133633160D01* -X132027736Y-133618866D01* -X132029200Y-133604000D01* -X132029200Y-133223000D01* -X132130800Y-133223000D01* -X132130800Y-133604000D01* -X132132264Y-133618866D01* -X132136600Y-133633160D01* -X132143642Y-133646334D01* -X132153118Y-133657882D01* -X132164666Y-133667358D01* -X132177840Y-133674400D01* -X132192134Y-133678736D01* -X132207000Y-133680200D01* -X132588000Y-133680200D01* -X132602866Y-133678736D01* -X132617160Y-133674400D01* -X132630334Y-133667358D01* -X132641882Y-133657882D01* -X132651358Y-133646334D01* -X132658400Y-133633160D01* -X132662736Y-133618866D01* -X132664200Y-133604000D01* -X132664200Y-133223000D01* -X134035800Y-133223000D01* -X134035800Y-133604000D01* -X134037264Y-133618866D01* -X134041600Y-133633160D01* -X134048642Y-133646334D01* -X134058118Y-133657882D01* -X134069666Y-133667358D01* -X134082840Y-133674400D01* -X134097134Y-133678736D01* -X134112000Y-133680200D01* -X134493000Y-133680200D01* -X134507866Y-133678736D01* -X134522160Y-133674400D01* -X134535334Y-133667358D01* -X134546882Y-133657882D01* -X134556358Y-133646334D01* -X134563400Y-133633160D01* -X134567736Y-133618866D01* -X134569200Y-133604000D01* -X134569200Y-133223000D01* -X134670800Y-133223000D01* -X134670800Y-133604000D01* -X134672264Y-133618866D01* -X134676600Y-133633160D01* -X134683642Y-133646334D01* -X134693118Y-133657882D01* -X134704666Y-133667358D01* -X134717840Y-133674400D01* -X134732134Y-133678736D01* -X134747000Y-133680200D01* -X135128000Y-133680200D01* -X135142866Y-133678736D01* -X135157160Y-133674400D01* -X135170334Y-133667358D01* -X135181882Y-133657882D01* -X135191358Y-133646334D01* -X135198400Y-133633160D01* -X135202736Y-133618866D01* -X135204200Y-133604000D01* -X135204200Y-133223000D01* -X135202736Y-133208134D01* -X135198400Y-133193840D01* -X135191358Y-133180666D01* -X135181882Y-133169118D01* -X135170334Y-133159642D01* -X135157160Y-133152600D01* -X135142866Y-133148264D01* -X135128000Y-133146800D01* -X134747000Y-133146800D01* -X134732134Y-133148264D01* -X134717840Y-133152600D01* -X134704666Y-133159642D01* -X134693118Y-133169118D01* -X134683642Y-133180666D01* -X134676600Y-133193840D01* -X134672264Y-133208134D01* -X134670800Y-133223000D01* -X134569200Y-133223000D01* -X134567736Y-133208134D01* -X134563400Y-133193840D01* -X134556358Y-133180666D01* -X134546882Y-133169118D01* -X134535334Y-133159642D01* -X134522160Y-133152600D01* -X134507866Y-133148264D01* -X134493000Y-133146800D01* -X134112000Y-133146800D01* -X134097134Y-133148264D01* -X134082840Y-133152600D01* -X134069666Y-133159642D01* -X134058118Y-133169118D01* -X134048642Y-133180666D01* -X134041600Y-133193840D01* -X134037264Y-133208134D01* -X134035800Y-133223000D01* -X132664200Y-133223000D01* -X132662736Y-133208134D01* -X132658400Y-133193840D01* -X132651358Y-133180666D01* -X132641882Y-133169118D01* -X132630334Y-133159642D01* -X132617160Y-133152600D01* -X132602866Y-133148264D01* -X132588000Y-133146800D01* -X132207000Y-133146800D01* -X132192134Y-133148264D01* -X132177840Y-133152600D01* -X132164666Y-133159642D01* -X132153118Y-133169118D01* -X132143642Y-133180666D01* -X132136600Y-133193840D01* -X132132264Y-133208134D01* -X132130800Y-133223000D01* -X132029200Y-133223000D01* -X132027736Y-133208134D01* -X132023400Y-133193840D01* -X132016358Y-133180666D01* -X132006882Y-133169118D01* -X131995334Y-133159642D01* -X131982160Y-133152600D01* -X131967866Y-133148264D01* -X131953000Y-133146800D01* -X131572000Y-133146800D01* -X131557134Y-133148264D01* -X131542840Y-133152600D01* -X131529666Y-133159642D01* -X131518118Y-133169118D01* -X131508642Y-133180666D01* -X131501600Y-133193840D01* -X131497264Y-133208134D01* -X131495800Y-133223000D01* -X130124200Y-133223000D01* -X130122736Y-133208134D01* -X130118400Y-133193840D01* -X130111358Y-133180666D01* -X130101882Y-133169118D01* -X130090334Y-133159642D01* -X130077160Y-133152600D01* -X130062866Y-133148264D01* -X130048000Y-133146800D01* -X129667000Y-133146800D01* -X129652134Y-133148264D01* -X129637840Y-133152600D01* -X129624666Y-133159642D01* -X129613118Y-133169118D01* -X129603642Y-133180666D01* -X129596600Y-133193840D01* -X129592264Y-133208134D01* -X129590800Y-133223000D01* -X129489200Y-133223000D01* -X129487736Y-133208134D01* -X129483400Y-133193840D01* -X129476358Y-133180666D01* -X129466882Y-133169118D01* -X129455334Y-133159642D01* -X129442160Y-133152600D01* -X129427866Y-133148264D01* -X129413000Y-133146800D01* -X129032000Y-133146800D01* -X129017134Y-133148264D01* -X129002840Y-133152600D01* -X128989666Y-133159642D01* -X128978118Y-133169118D01* -X128968642Y-133180666D01* -X128961600Y-133193840D01* -X128957264Y-133208134D01* -X128955800Y-133223000D01* -X127584200Y-133223000D01* -X127582736Y-133208134D01* -X127578400Y-133193840D01* -X127571358Y-133180666D01* -X127561882Y-133169118D01* -X127550334Y-133159642D01* -X127537160Y-133152600D01* -X127522866Y-133148264D01* -X127508000Y-133146800D01* -X127127000Y-133146800D01* -X127112134Y-133148264D01* -X127097840Y-133152600D01* -X127084666Y-133159642D01* -X127073118Y-133169118D01* -X127063642Y-133180666D01* -X127056600Y-133193840D01* -X127052264Y-133208134D01* -X127050800Y-133223000D01* -X126949200Y-133223000D01* -X126947736Y-133208134D01* -X126943400Y-133193840D01* -X126936358Y-133180666D01* -X126926882Y-133169118D01* -X126915334Y-133159642D01* -X126902160Y-133152600D01* -X126887866Y-133148264D01* -X126873000Y-133146800D01* -X126492000Y-133146800D01* -X126477134Y-133148264D01* -X126462840Y-133152600D01* -X126449666Y-133159642D01* -X126438118Y-133169118D01* -X126428642Y-133180666D01* -X126421600Y-133193840D01* -X126417264Y-133208134D01* -X126415800Y-133223000D01* -X125044200Y-133223000D01* -X125042736Y-133208134D01* -X125038400Y-133193840D01* -X125031358Y-133180666D01* -X125021882Y-133169118D01* -X125010334Y-133159642D01* -X124997160Y-133152600D01* -X124982866Y-133148264D01* -X124968000Y-133146800D01* -X124587000Y-133146800D01* -X124572134Y-133148264D01* -X124557840Y-133152600D01* -X124544666Y-133159642D01* -X124533118Y-133169118D01* -X124523642Y-133180666D01* -X124516600Y-133193840D01* -X124512264Y-133208134D01* -X124510800Y-133223000D01* -X124409200Y-133223000D01* -X124407736Y-133208134D01* -X124403400Y-133193840D01* -X124396358Y-133180666D01* -X124386882Y-133169118D01* -X124375334Y-133159642D01* -X124362160Y-133152600D01* -X124347866Y-133148264D01* -X124333000Y-133146800D01* -X123952000Y-133146800D01* -X123937134Y-133148264D01* -X123922840Y-133152600D01* -X123909666Y-133159642D01* -X123898118Y-133169118D01* -X123888642Y-133180666D01* -X123881600Y-133193840D01* -X123877264Y-133208134D01* -X123875800Y-133223000D01* -X122504200Y-133223000D01* -X122502736Y-133208134D01* -X122498400Y-133193840D01* -X122491358Y-133180666D01* -X122481882Y-133169118D01* -X122470334Y-133159642D01* -X122457160Y-133152600D01* -X122442866Y-133148264D01* -X122428000Y-133146800D01* -X122047000Y-133146800D01* -X122032134Y-133148264D01* -X122017840Y-133152600D01* -X122004666Y-133159642D01* -X121993118Y-133169118D01* -X121983642Y-133180666D01* -X121976600Y-133193840D01* -X121972264Y-133208134D01* -X121970800Y-133223000D01* -X121869200Y-133223000D01* -X121867736Y-133208134D01* -X121863400Y-133193840D01* -X121856358Y-133180666D01* -X121846882Y-133169118D01* -X121835334Y-133159642D01* -X121822160Y-133152600D01* -X121807866Y-133148264D01* -X121793000Y-133146800D01* -X121412000Y-133146800D01* -X121397134Y-133148264D01* -X121382840Y-133152600D01* -X121369666Y-133159642D01* -X121358118Y-133169118D01* -X121348642Y-133180666D01* -X121341600Y-133193840D01* -X121337264Y-133208134D01* -X121335800Y-133223000D01* -X119964200Y-133223000D01* -X119962736Y-133208134D01* -X119958400Y-133193840D01* -X119951358Y-133180666D01* -X119941882Y-133169118D01* -X119930334Y-133159642D01* -X119917160Y-133152600D01* -X119902866Y-133148264D01* -X119888000Y-133146800D01* -X119507000Y-133146800D01* -X119492134Y-133148264D01* -X119477840Y-133152600D01* -X119464666Y-133159642D01* -X119453118Y-133169118D01* -X119443642Y-133180666D01* -X119436600Y-133193840D01* -X119432264Y-133208134D01* -X119430800Y-133223000D01* -X119329200Y-133223000D01* -X119327736Y-133208134D01* -X119323400Y-133193840D01* -X119316358Y-133180666D01* -X119306882Y-133169118D01* -X119295334Y-133159642D01* -X119282160Y-133152600D01* -X119267866Y-133148264D01* -X119253000Y-133146800D01* -X118872000Y-133146800D01* -X118857134Y-133148264D01* -X118842840Y-133152600D01* -X118829666Y-133159642D01* -X118818118Y-133169118D01* -X118808642Y-133180666D01* -X118801600Y-133193840D01* -X118797264Y-133208134D01* -X118795800Y-133223000D01* -X117424200Y-133223000D01* -X117422736Y-133208134D01* -X117418400Y-133193840D01* -X117411358Y-133180666D01* -X117401882Y-133169118D01* -X117390334Y-133159642D01* -X117377160Y-133152600D01* -X117362866Y-133148264D01* -X117348000Y-133146800D01* -X116967000Y-133146800D01* -X116952134Y-133148264D01* -X116937840Y-133152600D01* -X116924666Y-133159642D01* -X116913118Y-133169118D01* -X116903642Y-133180666D01* -X116896600Y-133193840D01* -X116892264Y-133208134D01* -X116890800Y-133223000D01* -X116789200Y-133223000D01* -X116787736Y-133208134D01* -X116783400Y-133193840D01* -X116776358Y-133180666D01* -X116766882Y-133169118D01* -X116755334Y-133159642D01* -X116742160Y-133152600D01* -X116727866Y-133148264D01* -X116713000Y-133146800D01* -X116332000Y-133146800D01* -X116317134Y-133148264D01* -X116302840Y-133152600D01* -X116289666Y-133159642D01* -X116278118Y-133169118D01* -X116268642Y-133180666D01* -X116261600Y-133193840D01* -X116257264Y-133208134D01* -X116255800Y-133223000D01* -X114884200Y-133223000D01* -X114882736Y-133208134D01* -X114878400Y-133193840D01* -X114871358Y-133180666D01* -X114861882Y-133169118D01* -X114850334Y-133159642D01* -X114837160Y-133152600D01* -X114822866Y-133148264D01* -X114808000Y-133146800D01* -X114427000Y-133146800D01* -X114412134Y-133148264D01* -X114397840Y-133152600D01* -X114384666Y-133159642D01* -X114373118Y-133169118D01* -X114363642Y-133180666D01* -X114356600Y-133193840D01* -X114352264Y-133208134D01* -X114350800Y-133223000D01* -X114249200Y-133223000D01* -X114247736Y-133208134D01* -X114243400Y-133193840D01* -X114236358Y-133180666D01* -X114226882Y-133169118D01* -X114215334Y-133159642D01* -X114202160Y-133152600D01* -X114187866Y-133148264D01* -X114173000Y-133146800D01* -X113792000Y-133146800D01* -X113777134Y-133148264D01* -X113762840Y-133152600D01* -X113749666Y-133159642D01* -X113738118Y-133169118D01* -X113728642Y-133180666D01* -X113721600Y-133193840D01* -X113717264Y-133208134D01* -X113715800Y-133223000D01* -X112344200Y-133223000D01* -X112342736Y-133208134D01* -X112338400Y-133193840D01* -X112331358Y-133180666D01* -X112321882Y-133169118D01* -X112310334Y-133159642D01* -X112297160Y-133152600D01* -X112282866Y-133148264D01* -X112268000Y-133146800D01* -X111887000Y-133146800D01* -X111872134Y-133148264D01* -X111857840Y-133152600D01* -X111844666Y-133159642D01* -X111833118Y-133169118D01* -X111823642Y-133180666D01* -X111816600Y-133193840D01* -X111812264Y-133208134D01* -X111810800Y-133223000D01* -X111709200Y-133223000D01* -X111707736Y-133208134D01* -X111703400Y-133193840D01* -X111696358Y-133180666D01* -X111686882Y-133169118D01* -X111675334Y-133159642D01* -X111662160Y-133152600D01* -X111647866Y-133148264D01* -X111633000Y-133146800D01* -X111252000Y-133146800D01* -X111237134Y-133148264D01* -X111222840Y-133152600D01* -X111209666Y-133159642D01* -X111198118Y-133169118D01* -X111188642Y-133180666D01* -X111181600Y-133193840D01* -X111177264Y-133208134D01* -X111175800Y-133223000D01* -X109804200Y-133223000D01* -X109802736Y-133208134D01* -X109798400Y-133193840D01* -X109791358Y-133180666D01* -X109781882Y-133169118D01* -X109770334Y-133159642D01* -X109757160Y-133152600D01* -X109742866Y-133148264D01* -X109728000Y-133146800D01* -X109347000Y-133146800D01* -X109332134Y-133148264D01* -X109317840Y-133152600D01* -X109304666Y-133159642D01* -X109293118Y-133169118D01* -X109283642Y-133180666D01* -X109276600Y-133193840D01* -X109272264Y-133208134D01* -X109270800Y-133223000D01* -X109169200Y-133223000D01* -X109167736Y-133208134D01* -X109163400Y-133193840D01* -X109156358Y-133180666D01* -X109146882Y-133169118D01* -X109135334Y-133159642D01* -X109122160Y-133152600D01* -X109107866Y-133148264D01* -X109093000Y-133146800D01* -X108712000Y-133146800D01* -X108697134Y-133148264D01* -X108682840Y-133152600D01* -X108669666Y-133159642D01* -X108658118Y-133169118D01* -X108648642Y-133180666D01* -X108641600Y-133193840D01* -X108637264Y-133208134D01* -X108635800Y-133223000D01* -X107264200Y-133223000D01* -X107262736Y-133208134D01* -X107258400Y-133193840D01* -X107251358Y-133180666D01* -X107241882Y-133169118D01* -X107230334Y-133159642D01* -X107217160Y-133152600D01* -X107202866Y-133148264D01* -X107188000Y-133146800D01* -X106807000Y-133146800D01* -X106792134Y-133148264D01* -X106777840Y-133152600D01* -X106764666Y-133159642D01* -X106753118Y-133169118D01* -X106743642Y-133180666D01* -X106736600Y-133193840D01* -X106732264Y-133208134D01* -X106730800Y-133223000D01* -X106629200Y-133223000D01* -X106627736Y-133208134D01* -X106623400Y-133193840D01* -X106616358Y-133180666D01* -X106606882Y-133169118D01* -X106595334Y-133159642D01* -X106582160Y-133152600D01* -X106567866Y-133148264D01* -X106553000Y-133146800D01* -X106172000Y-133146800D01* -X106157134Y-133148264D01* -X106142840Y-133152600D01* -X106129666Y-133159642D01* -X106118118Y-133169118D01* -X106108642Y-133180666D01* -X106101600Y-133193840D01* -X106097264Y-133208134D01* -X106095800Y-133223000D01* -X104724200Y-133223000D01* -X104722736Y-133208134D01* -X104718400Y-133193840D01* -X104711358Y-133180666D01* -X104701882Y-133169118D01* -X104690334Y-133159642D01* -X104677160Y-133152600D01* -X104662866Y-133148264D01* -X104648000Y-133146800D01* -X104267000Y-133146800D01* -X104252134Y-133148264D01* -X104237840Y-133152600D01* -X104224666Y-133159642D01* -X104213118Y-133169118D01* -X104203642Y-133180666D01* -X104196600Y-133193840D01* -X104192264Y-133208134D01* -X104190800Y-133223000D01* -X104089200Y-133223000D01* -X104087736Y-133208134D01* -X104083400Y-133193840D01* -X104076358Y-133180666D01* -X104066882Y-133169118D01* -X104055334Y-133159642D01* -X104042160Y-133152600D01* -X104027866Y-133148264D01* -X104013000Y-133146800D01* -X103632000Y-133146800D01* -X103617134Y-133148264D01* -X103602840Y-133152600D01* -X103589666Y-133159642D01* -X103578118Y-133169118D01* -X103568642Y-133180666D01* -X103561600Y-133193840D01* -X103557264Y-133208134D01* -X103555800Y-133223000D01* -X102184200Y-133223000D01* -X102182736Y-133208134D01* -X102178400Y-133193840D01* -X102171358Y-133180666D01* -X102161882Y-133169118D01* -X102150334Y-133159642D01* -X102137160Y-133152600D01* -X102122866Y-133148264D01* -X102108000Y-133146800D01* -X101727000Y-133146800D01* -X101712134Y-133148264D01* -X101697840Y-133152600D01* -X101684666Y-133159642D01* -X101673118Y-133169118D01* -X101663642Y-133180666D01* -X101656600Y-133193840D01* -X101652264Y-133208134D01* -X101650800Y-133223000D01* -X101549200Y-133223000D01* -X101547736Y-133208134D01* -X101543400Y-133193840D01* -X101536358Y-133180666D01* -X101526882Y-133169118D01* -X101515334Y-133159642D01* -X101502160Y-133152600D01* -X101487866Y-133148264D01* -X101473000Y-133146800D01* -X101092000Y-133146800D01* -X101077134Y-133148264D01* -X101062840Y-133152600D01* -X101049666Y-133159642D01* -X101038118Y-133169118D01* -X101028642Y-133180666D01* -X101021600Y-133193840D01* -X101017264Y-133208134D01* -X101015800Y-133223000D01* -X99644200Y-133223000D01* -X99642736Y-133208134D01* -X99638400Y-133193840D01* -X99631358Y-133180666D01* -X99621882Y-133169118D01* -X99610334Y-133159642D01* -X99597160Y-133152600D01* -X99582866Y-133148264D01* -X99568000Y-133146800D01* -X99187000Y-133146800D01* -X99172134Y-133148264D01* -X99157840Y-133152600D01* -X99144666Y-133159642D01* -X99133118Y-133169118D01* -X99123642Y-133180666D01* -X99116600Y-133193840D01* -X99112264Y-133208134D01* -X99110800Y-133223000D01* -X99009200Y-133223000D01* -X99007736Y-133208134D01* -X99003400Y-133193840D01* -X98996358Y-133180666D01* -X98986882Y-133169118D01* -X98975334Y-133159642D01* -X98962160Y-133152600D01* -X98947866Y-133148264D01* -X98933000Y-133146800D01* -X98552000Y-133146800D01* -X98537134Y-133148264D01* -X98522840Y-133152600D01* -X98509666Y-133159642D01* -X98498118Y-133169118D01* -X98488642Y-133180666D01* -X98481600Y-133193840D01* -X98477264Y-133208134D01* -X98475800Y-133223000D01* -X97104200Y-133223000D01* -X97102736Y-133208134D01* -X97098400Y-133193840D01* -X97091358Y-133180666D01* -X97081882Y-133169118D01* -X97070334Y-133159642D01* -X97057160Y-133152600D01* -X97042866Y-133148264D01* -X97028000Y-133146800D01* -X96647000Y-133146800D01* -X96632134Y-133148264D01* -X96617840Y-133152600D01* -X96604666Y-133159642D01* -X96593118Y-133169118D01* -X96583642Y-133180666D01* -X96576600Y-133193840D01* -X96572264Y-133208134D01* -X96570800Y-133223000D01* -X96469200Y-133223000D01* -X96467736Y-133208134D01* -X96463400Y-133193840D01* -X96456358Y-133180666D01* -X96446882Y-133169118D01* -X96435334Y-133159642D01* -X96422160Y-133152600D01* -X96407866Y-133148264D01* -X96393000Y-133146800D01* -X96012000Y-133146800D01* -X95997134Y-133148264D01* -X95982840Y-133152600D01* -X95969666Y-133159642D01* -X95958118Y-133169118D01* -X95948642Y-133180666D01* -X95941600Y-133193840D01* -X95937264Y-133208134D01* -X95935800Y-133223000D01* -X94564200Y-133223000D01* -X94562736Y-133208134D01* -X94558400Y-133193840D01* -X94551358Y-133180666D01* -X94541882Y-133169118D01* -X94530334Y-133159642D01* -X94517160Y-133152600D01* -X94502866Y-133148264D01* -X94488000Y-133146800D01* -X94107000Y-133146800D01* -X94092134Y-133148264D01* -X94077840Y-133152600D01* -X94064666Y-133159642D01* -X94053118Y-133169118D01* -X94043642Y-133180666D01* -X94036600Y-133193840D01* -X94032264Y-133208134D01* -X94030800Y-133223000D01* -X93929200Y-133223000D01* -X93927736Y-133208134D01* -X93923400Y-133193840D01* -X93916358Y-133180666D01* -X93906882Y-133169118D01* -X93895334Y-133159642D01* -X93882160Y-133152600D01* -X93867866Y-133148264D01* -X93853000Y-133146800D01* -X93472000Y-133146800D01* -X93457134Y-133148264D01* -X93442840Y-133152600D01* -X93429666Y-133159642D01* -X93418118Y-133169118D01* -X93408642Y-133180666D01* -X93401600Y-133193840D01* -X93397264Y-133208134D01* -X93395800Y-133223000D01* -X92024200Y-133223000D01* -X92022736Y-133208134D01* -X92018400Y-133193840D01* -X92011358Y-133180666D01* -X92001882Y-133169118D01* -X91990334Y-133159642D01* -X91977160Y-133152600D01* -X91962866Y-133148264D01* -X91948000Y-133146800D01* -X91567000Y-133146800D01* -X91552134Y-133148264D01* -X91537840Y-133152600D01* -X91524666Y-133159642D01* -X91513118Y-133169118D01* -X91503642Y-133180666D01* -X91496600Y-133193840D01* -X91492264Y-133208134D01* -X91490800Y-133223000D01* -X91389200Y-133223000D01* -X91387736Y-133208134D01* -X91383400Y-133193840D01* -X91376358Y-133180666D01* -X91366882Y-133169118D01* -X91355334Y-133159642D01* -X91342160Y-133152600D01* -X91327866Y-133148264D01* -X91313000Y-133146800D01* -X90932000Y-133146800D01* -X90917134Y-133148264D01* -X90902840Y-133152600D01* -X90889666Y-133159642D01* -X90878118Y-133169118D01* -X90868642Y-133180666D01* -X90861600Y-133193840D01* -X90857264Y-133208134D01* -X90855800Y-133223000D01* -X89484200Y-133223000D01* -X89482736Y-133208134D01* -X89478400Y-133193840D01* -X89471358Y-133180666D01* -X89461882Y-133169118D01* -X89450334Y-133159642D01* -X89437160Y-133152600D01* -X89422866Y-133148264D01* -X89408000Y-133146800D01* -X89027000Y-133146800D01* -X89012134Y-133148264D01* -X88997840Y-133152600D01* -X88984666Y-133159642D01* -X88973118Y-133169118D01* -X88963642Y-133180666D01* -X88956600Y-133193840D01* -X88952264Y-133208134D01* -X88950800Y-133223000D01* -X88849200Y-133223000D01* -X88847736Y-133208134D01* -X88843400Y-133193840D01* -X88836358Y-133180666D01* -X88826882Y-133169118D01* -X88815334Y-133159642D01* -X88802160Y-133152600D01* -X88787866Y-133148264D01* -X88773000Y-133146800D01* -X88392000Y-133146800D01* -X88377134Y-133148264D01* -X88362840Y-133152600D01* -X88349666Y-133159642D01* -X88338118Y-133169118D01* -X88328642Y-133180666D01* -X88321600Y-133193840D01* -X88317264Y-133208134D01* -X88315800Y-133223000D01* -X86944200Y-133223000D01* -X86942736Y-133208134D01* -X86938400Y-133193840D01* -X86931358Y-133180666D01* -X86921882Y-133169118D01* -X86910334Y-133159642D01* -X86897160Y-133152600D01* -X86882866Y-133148264D01* -X86868000Y-133146800D01* -X86487000Y-133146800D01* -X86472134Y-133148264D01* -X86457840Y-133152600D01* -X86444666Y-133159642D01* -X86433118Y-133169118D01* -X86423642Y-133180666D01* -X86416600Y-133193840D01* -X86412264Y-133208134D01* -X86410800Y-133223000D01* -X86309200Y-133223000D01* -X86307736Y-133208134D01* -X86303400Y-133193840D01* -X86296358Y-133180666D01* -X86286882Y-133169118D01* -X86275334Y-133159642D01* -X86262160Y-133152600D01* -X86247866Y-133148264D01* -X86233000Y-133146800D01* -X85852000Y-133146800D01* -X85837134Y-133148264D01* -X85822840Y-133152600D01* -X85809666Y-133159642D01* -X85798118Y-133169118D01* -X85788642Y-133180666D01* -X85781600Y-133193840D01* -X85777264Y-133208134D01* -X85775800Y-133223000D01* -X84404200Y-133223000D01* -X84402736Y-133208134D01* -X84398400Y-133193840D01* -X84391358Y-133180666D01* -X84381882Y-133169118D01* -X84370334Y-133159642D01* -X84357160Y-133152600D01* -X84342866Y-133148264D01* -X84328000Y-133146800D01* -X83947000Y-133146800D01* -X83932134Y-133148264D01* -X83917840Y-133152600D01* -X83904666Y-133159642D01* -X83893118Y-133169118D01* -X83883642Y-133180666D01* -X83876600Y-133193840D01* -X83872264Y-133208134D01* -X83870800Y-133223000D01* -X83769200Y-133223000D01* -X83767736Y-133208134D01* -X83763400Y-133193840D01* -X83756358Y-133180666D01* -X83746882Y-133169118D01* -X83735334Y-133159642D01* -X83722160Y-133152600D01* -X83707866Y-133148264D01* -X83693000Y-133146800D01* -X83312000Y-133146800D01* -X83297134Y-133148264D01* -X83282840Y-133152600D01* -X83269666Y-133159642D01* -X83258118Y-133169118D01* -X83248642Y-133180666D01* -X83241600Y-133193840D01* -X83237264Y-133208134D01* -X83235800Y-133223000D01* -X81864200Y-133223000D01* -X81862736Y-133208134D01* -X81858400Y-133193840D01* -X81851358Y-133180666D01* -X81841882Y-133169118D01* -X81830334Y-133159642D01* -X81817160Y-133152600D01* -X81802866Y-133148264D01* -X81788000Y-133146800D01* -X81407000Y-133146800D01* -X81392134Y-133148264D01* -X81377840Y-133152600D01* -X81364666Y-133159642D01* -X81353118Y-133169118D01* -X81343642Y-133180666D01* -X81336600Y-133193840D01* -X81332264Y-133208134D01* -X81330800Y-133223000D01* -X81229200Y-133223000D01* -X81227736Y-133208134D01* -X81223400Y-133193840D01* -X81216358Y-133180666D01* -X81206882Y-133169118D01* -X81195334Y-133159642D01* -X81182160Y-133152600D01* -X81167866Y-133148264D01* -X81153000Y-133146800D01* -X80772000Y-133146800D01* -X80757134Y-133148264D01* -X80742840Y-133152600D01* -X80729666Y-133159642D01* -X80718118Y-133169118D01* -X80708642Y-133180666D01* -X80701600Y-133193840D01* -X80697264Y-133208134D01* -X80695800Y-133223000D01* -X79324200Y-133223000D01* -X79322736Y-133208134D01* -X79318400Y-133193840D01* -X79311358Y-133180666D01* -X79301882Y-133169118D01* -X79290334Y-133159642D01* -X79277160Y-133152600D01* -X79262866Y-133148264D01* -X79248000Y-133146800D01* -X78867000Y-133146800D01* -X78852134Y-133148264D01* -X78837840Y-133152600D01* -X78824666Y-133159642D01* -X78813118Y-133169118D01* -X78803642Y-133180666D01* -X78796600Y-133193840D01* -X78792264Y-133208134D01* -X78790800Y-133223000D01* -X78689200Y-133223000D01* -X78687736Y-133208134D01* -X78683400Y-133193840D01* -X78676358Y-133180666D01* -X78666882Y-133169118D01* -X78655334Y-133159642D01* -X78642160Y-133152600D01* -X78627866Y-133148264D01* -X78613000Y-133146800D01* -X78232000Y-133146800D01* -X78217134Y-133148264D01* -X78202840Y-133152600D01* -X78189666Y-133159642D01* -X78178118Y-133169118D01* -X78168642Y-133180666D01* -X78161600Y-133193840D01* -X78157264Y-133208134D01* -X78155800Y-133223000D01* -X76784200Y-133223000D01* -X76782736Y-133208134D01* -X76778400Y-133193840D01* -X76771358Y-133180666D01* -X76761882Y-133169118D01* -X76750334Y-133159642D01* -X76737160Y-133152600D01* -X76722866Y-133148264D01* -X76708000Y-133146800D01* -X76327000Y-133146800D01* -X76312134Y-133148264D01* -X76297840Y-133152600D01* -X76284666Y-133159642D01* -X76273118Y-133169118D01* -X76263642Y-133180666D01* -X76256600Y-133193840D01* -X76252264Y-133208134D01* -X76250800Y-133223000D01* -X76149200Y-133223000D01* -X76147736Y-133208134D01* -X76143400Y-133193840D01* -X76136358Y-133180666D01* -X76126882Y-133169118D01* -X76115334Y-133159642D01* -X76102160Y-133152600D01* -X76087866Y-133148264D01* -X76073000Y-133146800D01* -X75692000Y-133146800D01* -X75677134Y-133148264D01* -X75662840Y-133152600D01* -X75649666Y-133159642D01* -X75638118Y-133169118D01* -X75628642Y-133180666D01* -X75621600Y-133193840D01* -X75617264Y-133208134D01* -X75615800Y-133223000D01* -X74498200Y-133223000D01* -X74498200Y-132588000D01* -X75615800Y-132588000D01* -X75615800Y-132969000D01* -X75617264Y-132983866D01* -X75621600Y-132998160D01* -X75628642Y-133011334D01* -X75638118Y-133022882D01* -X75649666Y-133032358D01* -X75662840Y-133039400D01* -X75677134Y-133043736D01* -X75692000Y-133045200D01* -X76073000Y-133045200D01* -X76087866Y-133043736D01* -X76102160Y-133039400D01* -X76115334Y-133032358D01* -X76126882Y-133022882D01* -X76136358Y-133011334D01* -X76143400Y-132998160D01* -X76147736Y-132983866D01* -X76149200Y-132969000D01* -X76149200Y-132588000D01* -X76250800Y-132588000D01* -X76250800Y-132969000D01* -X76252264Y-132983866D01* -X76256600Y-132998160D01* -X76263642Y-133011334D01* -X76273118Y-133022882D01* -X76284666Y-133032358D01* -X76297840Y-133039400D01* -X76312134Y-133043736D01* -X76327000Y-133045200D01* -X76708000Y-133045200D01* -X76722866Y-133043736D01* -X76737160Y-133039400D01* -X76750334Y-133032358D01* -X76761882Y-133022882D01* -X76771358Y-133011334D01* -X76778400Y-132998160D01* -X76782736Y-132983866D01* -X76784200Y-132969000D01* -X76784200Y-132588000D01* -X78155800Y-132588000D01* -X78155800Y-132969000D01* -X78157264Y-132983866D01* -X78161600Y-132998160D01* -X78168642Y-133011334D01* -X78178118Y-133022882D01* -X78189666Y-133032358D01* -X78202840Y-133039400D01* -X78217134Y-133043736D01* -X78232000Y-133045200D01* -X78613000Y-133045200D01* -X78627866Y-133043736D01* -X78642160Y-133039400D01* -X78655334Y-133032358D01* -X78666882Y-133022882D01* -X78676358Y-133011334D01* -X78683400Y-132998160D01* -X78687736Y-132983866D01* -X78689200Y-132969000D01* -X78689200Y-132588000D01* -X78790800Y-132588000D01* -X78790800Y-132969000D01* -X78792264Y-132983866D01* -X78796600Y-132998160D01* -X78803642Y-133011334D01* -X78813118Y-133022882D01* -X78824666Y-133032358D01* -X78837840Y-133039400D01* -X78852134Y-133043736D01* -X78867000Y-133045200D01* -X79248000Y-133045200D01* -X79262866Y-133043736D01* -X79277160Y-133039400D01* -X79290334Y-133032358D01* -X79301882Y-133022882D01* -X79311358Y-133011334D01* -X79318400Y-132998160D01* -X79322736Y-132983866D01* -X79324200Y-132969000D01* -X79324200Y-132588000D01* -X80695800Y-132588000D01* -X80695800Y-132969000D01* -X80697264Y-132983866D01* -X80701600Y-132998160D01* -X80708642Y-133011334D01* -X80718118Y-133022882D01* -X80729666Y-133032358D01* -X80742840Y-133039400D01* -X80757134Y-133043736D01* -X80772000Y-133045200D01* -X81153000Y-133045200D01* -X81167866Y-133043736D01* -X81182160Y-133039400D01* -X81195334Y-133032358D01* -X81206882Y-133022882D01* -X81216358Y-133011334D01* -X81223400Y-132998160D01* -X81227736Y-132983866D01* -X81229200Y-132969000D01* -X81229200Y-132588000D01* -X81330800Y-132588000D01* -X81330800Y-132969000D01* -X81332264Y-132983866D01* -X81336600Y-132998160D01* -X81343642Y-133011334D01* -X81353118Y-133022882D01* -X81364666Y-133032358D01* -X81377840Y-133039400D01* -X81392134Y-133043736D01* -X81407000Y-133045200D01* -X81788000Y-133045200D01* -X81802866Y-133043736D01* -X81817160Y-133039400D01* -X81830334Y-133032358D01* -X81841882Y-133022882D01* -X81851358Y-133011334D01* -X81858400Y-132998160D01* -X81862736Y-132983866D01* -X81864200Y-132969000D01* -X81864200Y-132588000D01* -X83235800Y-132588000D01* -X83235800Y-132969000D01* -X83237264Y-132983866D01* -X83241600Y-132998160D01* -X83248642Y-133011334D01* -X83258118Y-133022882D01* -X83269666Y-133032358D01* -X83282840Y-133039400D01* -X83297134Y-133043736D01* -X83312000Y-133045200D01* -X83693000Y-133045200D01* -X83707866Y-133043736D01* -X83722160Y-133039400D01* -X83735334Y-133032358D01* -X83746882Y-133022882D01* -X83756358Y-133011334D01* -X83763400Y-132998160D01* -X83767736Y-132983866D01* -X83769200Y-132969000D01* -X83769200Y-132588000D01* -X83870800Y-132588000D01* -X83870800Y-132969000D01* -X83872264Y-132983866D01* -X83876600Y-132998160D01* -X83883642Y-133011334D01* -X83893118Y-133022882D01* -X83904666Y-133032358D01* -X83917840Y-133039400D01* -X83932134Y-133043736D01* -X83947000Y-133045200D01* -X84328000Y-133045200D01* -X84342866Y-133043736D01* -X84357160Y-133039400D01* -X84370334Y-133032358D01* -X84381882Y-133022882D01* -X84391358Y-133011334D01* -X84398400Y-132998160D01* -X84402736Y-132983866D01* -X84404200Y-132969000D01* -X84404200Y-132588000D01* -X85775800Y-132588000D01* -X85775800Y-132969000D01* -X85777264Y-132983866D01* -X85781600Y-132998160D01* -X85788642Y-133011334D01* -X85798118Y-133022882D01* -X85809666Y-133032358D01* -X85822840Y-133039400D01* -X85837134Y-133043736D01* -X85852000Y-133045200D01* -X86233000Y-133045200D01* -X86247866Y-133043736D01* -X86262160Y-133039400D01* -X86275334Y-133032358D01* -X86286882Y-133022882D01* -X86296358Y-133011334D01* -X86303400Y-132998160D01* -X86307736Y-132983866D01* -X86309200Y-132969000D01* -X86309200Y-132588000D01* -X86410800Y-132588000D01* -X86410800Y-132969000D01* -X86412264Y-132983866D01* -X86416600Y-132998160D01* -X86423642Y-133011334D01* -X86433118Y-133022882D01* -X86444666Y-133032358D01* -X86457840Y-133039400D01* -X86472134Y-133043736D01* -X86487000Y-133045200D01* -X86868000Y-133045200D01* -X86882866Y-133043736D01* -X86897160Y-133039400D01* -X86910334Y-133032358D01* -X86921882Y-133022882D01* -X86931358Y-133011334D01* -X86938400Y-132998160D01* -X86942736Y-132983866D01* -X86944200Y-132969000D01* -X86944200Y-132588000D01* -X88315800Y-132588000D01* -X88315800Y-132969000D01* -X88317264Y-132983866D01* -X88321600Y-132998160D01* -X88328642Y-133011334D01* -X88338118Y-133022882D01* -X88349666Y-133032358D01* -X88362840Y-133039400D01* -X88377134Y-133043736D01* -X88392000Y-133045200D01* -X88773000Y-133045200D01* -X88787866Y-133043736D01* -X88802160Y-133039400D01* -X88815334Y-133032358D01* -X88826882Y-133022882D01* -X88836358Y-133011334D01* -X88843400Y-132998160D01* -X88847736Y-132983866D01* -X88849200Y-132969000D01* -X88849200Y-132588000D01* -X88950800Y-132588000D01* -X88950800Y-132969000D01* -X88952264Y-132983866D01* -X88956600Y-132998160D01* -X88963642Y-133011334D01* -X88973118Y-133022882D01* -X88984666Y-133032358D01* -X88997840Y-133039400D01* -X89012134Y-133043736D01* -X89027000Y-133045200D01* -X89408000Y-133045200D01* -X89422866Y-133043736D01* -X89437160Y-133039400D01* -X89450334Y-133032358D01* -X89461882Y-133022882D01* -X89471358Y-133011334D01* -X89478400Y-132998160D01* -X89482736Y-132983866D01* -X89484200Y-132969000D01* -X89484200Y-132588000D01* -X90855800Y-132588000D01* -X90855800Y-132969000D01* -X90857264Y-132983866D01* -X90861600Y-132998160D01* -X90868642Y-133011334D01* -X90878118Y-133022882D01* -X90889666Y-133032358D01* -X90902840Y-133039400D01* -X90917134Y-133043736D01* -X90932000Y-133045200D01* -X91313000Y-133045200D01* -X91327866Y-133043736D01* -X91342160Y-133039400D01* -X91355334Y-133032358D01* -X91366882Y-133022882D01* -X91376358Y-133011334D01* -X91383400Y-132998160D01* -X91387736Y-132983866D01* -X91389200Y-132969000D01* -X91389200Y-132588000D01* -X91490800Y-132588000D01* -X91490800Y-132969000D01* -X91492264Y-132983866D01* -X91496600Y-132998160D01* -X91503642Y-133011334D01* -X91513118Y-133022882D01* -X91524666Y-133032358D01* -X91537840Y-133039400D01* -X91552134Y-133043736D01* -X91567000Y-133045200D01* -X91948000Y-133045200D01* -X91962866Y-133043736D01* -X91977160Y-133039400D01* -X91990334Y-133032358D01* -X92001882Y-133022882D01* -X92011358Y-133011334D01* -X92018400Y-132998160D01* -X92022736Y-132983866D01* -X92024200Y-132969000D01* -X92024200Y-132588000D01* -X93395800Y-132588000D01* -X93395800Y-132969000D01* -X93397264Y-132983866D01* -X93401600Y-132998160D01* -X93408642Y-133011334D01* -X93418118Y-133022882D01* -X93429666Y-133032358D01* -X93442840Y-133039400D01* -X93457134Y-133043736D01* -X93472000Y-133045200D01* -X93853000Y-133045200D01* -X93867866Y-133043736D01* -X93882160Y-133039400D01* -X93895334Y-133032358D01* -X93906882Y-133022882D01* -X93916358Y-133011334D01* -X93923400Y-132998160D01* -X93927736Y-132983866D01* -X93929200Y-132969000D01* -X93929200Y-132588000D01* -X94030800Y-132588000D01* -X94030800Y-132969000D01* -X94032264Y-132983866D01* -X94036600Y-132998160D01* -X94043642Y-133011334D01* -X94053118Y-133022882D01* -X94064666Y-133032358D01* -X94077840Y-133039400D01* -X94092134Y-133043736D01* -X94107000Y-133045200D01* -X94488000Y-133045200D01* -X94502866Y-133043736D01* -X94517160Y-133039400D01* -X94530334Y-133032358D01* -X94541882Y-133022882D01* -X94551358Y-133011334D01* -X94558400Y-132998160D01* -X94562736Y-132983866D01* -X94564200Y-132969000D01* -X94564200Y-132588000D01* -X95935800Y-132588000D01* -X95935800Y-132969000D01* -X95937264Y-132983866D01* -X95941600Y-132998160D01* -X95948642Y-133011334D01* -X95958118Y-133022882D01* -X95969666Y-133032358D01* -X95982840Y-133039400D01* -X95997134Y-133043736D01* -X96012000Y-133045200D01* -X96393000Y-133045200D01* -X96407866Y-133043736D01* -X96422160Y-133039400D01* -X96435334Y-133032358D01* -X96446882Y-133022882D01* -X96456358Y-133011334D01* -X96463400Y-132998160D01* -X96467736Y-132983866D01* -X96469200Y-132969000D01* -X96469200Y-132588000D01* -X96570800Y-132588000D01* -X96570800Y-132969000D01* -X96572264Y-132983866D01* -X96576600Y-132998160D01* -X96583642Y-133011334D01* -X96593118Y-133022882D01* -X96604666Y-133032358D01* -X96617840Y-133039400D01* -X96632134Y-133043736D01* -X96647000Y-133045200D01* -X97028000Y-133045200D01* -X97042866Y-133043736D01* -X97057160Y-133039400D01* -X97070334Y-133032358D01* -X97081882Y-133022882D01* -X97091358Y-133011334D01* -X97098400Y-132998160D01* -X97102736Y-132983866D01* -X97104200Y-132969000D01* -X97104200Y-132588000D01* -X98475800Y-132588000D01* -X98475800Y-132969000D01* -X98477264Y-132983866D01* -X98481600Y-132998160D01* -X98488642Y-133011334D01* -X98498118Y-133022882D01* -X98509666Y-133032358D01* -X98522840Y-133039400D01* -X98537134Y-133043736D01* -X98552000Y-133045200D01* -X98933000Y-133045200D01* -X98947866Y-133043736D01* -X98962160Y-133039400D01* -X98975334Y-133032358D01* -X98986882Y-133022882D01* -X98996358Y-133011334D01* -X99003400Y-132998160D01* -X99007736Y-132983866D01* -X99009200Y-132969000D01* -X99009200Y-132588000D01* -X99110800Y-132588000D01* -X99110800Y-132969000D01* -X99112264Y-132983866D01* -X99116600Y-132998160D01* -X99123642Y-133011334D01* -X99133118Y-133022882D01* -X99144666Y-133032358D01* -X99157840Y-133039400D01* -X99172134Y-133043736D01* -X99187000Y-133045200D01* -X99568000Y-133045200D01* -X99582866Y-133043736D01* -X99597160Y-133039400D01* -X99610334Y-133032358D01* -X99621882Y-133022882D01* -X99631358Y-133011334D01* -X99638400Y-132998160D01* -X99642736Y-132983866D01* -X99644200Y-132969000D01* -X99644200Y-132588000D01* -X101015800Y-132588000D01* -X101015800Y-132969000D01* -X101017264Y-132983866D01* -X101021600Y-132998160D01* -X101028642Y-133011334D01* -X101038118Y-133022882D01* -X101049666Y-133032358D01* -X101062840Y-133039400D01* -X101077134Y-133043736D01* -X101092000Y-133045200D01* -X101473000Y-133045200D01* -X101487866Y-133043736D01* -X101502160Y-133039400D01* -X101515334Y-133032358D01* -X101526882Y-133022882D01* -X101536358Y-133011334D01* -X101543400Y-132998160D01* -X101547736Y-132983866D01* -X101549200Y-132969000D01* -X101549200Y-132588000D01* -X101650800Y-132588000D01* -X101650800Y-132969000D01* -X101652264Y-132983866D01* -X101656600Y-132998160D01* -X101663642Y-133011334D01* -X101673118Y-133022882D01* -X101684666Y-133032358D01* -X101697840Y-133039400D01* -X101712134Y-133043736D01* -X101727000Y-133045200D01* -X102108000Y-133045200D01* -X102122866Y-133043736D01* -X102137160Y-133039400D01* -X102150334Y-133032358D01* -X102161882Y-133022882D01* -X102171358Y-133011334D01* -X102178400Y-132998160D01* -X102182736Y-132983866D01* -X102184200Y-132969000D01* -X102184200Y-132588000D01* -X103555800Y-132588000D01* -X103555800Y-132969000D01* -X103557264Y-132983866D01* -X103561600Y-132998160D01* -X103568642Y-133011334D01* -X103578118Y-133022882D01* -X103589666Y-133032358D01* -X103602840Y-133039400D01* -X103617134Y-133043736D01* -X103632000Y-133045200D01* -X104013000Y-133045200D01* -X104027866Y-133043736D01* -X104042160Y-133039400D01* -X104055334Y-133032358D01* -X104066882Y-133022882D01* -X104076358Y-133011334D01* -X104083400Y-132998160D01* -X104087736Y-132983866D01* -X104089200Y-132969000D01* -X104089200Y-132588000D01* -X104190800Y-132588000D01* -X104190800Y-132969000D01* -X104192264Y-132983866D01* -X104196600Y-132998160D01* -X104203642Y-133011334D01* -X104213118Y-133022882D01* -X104224666Y-133032358D01* -X104237840Y-133039400D01* -X104252134Y-133043736D01* -X104267000Y-133045200D01* -X104648000Y-133045200D01* -X104662866Y-133043736D01* -X104677160Y-133039400D01* -X104690334Y-133032358D01* -X104701882Y-133022882D01* -X104711358Y-133011334D01* -X104718400Y-132998160D01* -X104722736Y-132983866D01* -X104724200Y-132969000D01* -X104724200Y-132588000D01* -X106095800Y-132588000D01* -X106095800Y-132969000D01* -X106097264Y-132983866D01* -X106101600Y-132998160D01* -X106108642Y-133011334D01* -X106118118Y-133022882D01* -X106129666Y-133032358D01* -X106142840Y-133039400D01* -X106157134Y-133043736D01* -X106172000Y-133045200D01* -X106553000Y-133045200D01* -X106567866Y-133043736D01* -X106582160Y-133039400D01* -X106595334Y-133032358D01* -X106606882Y-133022882D01* -X106616358Y-133011334D01* -X106623400Y-132998160D01* -X106627736Y-132983866D01* -X106629200Y-132969000D01* -X106629200Y-132588000D01* -X106730800Y-132588000D01* -X106730800Y-132969000D01* -X106732264Y-132983866D01* -X106736600Y-132998160D01* -X106743642Y-133011334D01* -X106753118Y-133022882D01* -X106764666Y-133032358D01* -X106777840Y-133039400D01* -X106792134Y-133043736D01* -X106807000Y-133045200D01* -X107188000Y-133045200D01* -X107202866Y-133043736D01* -X107217160Y-133039400D01* -X107230334Y-133032358D01* -X107241882Y-133022882D01* -X107251358Y-133011334D01* -X107258400Y-132998160D01* -X107262736Y-132983866D01* -X107264200Y-132969000D01* -X107264200Y-132588000D01* -X108635800Y-132588000D01* -X108635800Y-132969000D01* -X108637264Y-132983866D01* -X108641600Y-132998160D01* -X108648642Y-133011334D01* -X108658118Y-133022882D01* -X108669666Y-133032358D01* -X108682840Y-133039400D01* -X108697134Y-133043736D01* -X108712000Y-133045200D01* -X109093000Y-133045200D01* -X109107866Y-133043736D01* -X109122160Y-133039400D01* -X109135334Y-133032358D01* -X109146882Y-133022882D01* -X109156358Y-133011334D01* -X109163400Y-132998160D01* -X109167736Y-132983866D01* -X109169200Y-132969000D01* -X109169200Y-132588000D01* -X109270800Y-132588000D01* -X109270800Y-132969000D01* -X109272264Y-132983866D01* -X109276600Y-132998160D01* -X109283642Y-133011334D01* -X109293118Y-133022882D01* -X109304666Y-133032358D01* -X109317840Y-133039400D01* -X109332134Y-133043736D01* -X109347000Y-133045200D01* -X109728000Y-133045200D01* -X109742866Y-133043736D01* -X109757160Y-133039400D01* -X109770334Y-133032358D01* -X109781882Y-133022882D01* -X109791358Y-133011334D01* -X109798400Y-132998160D01* -X109802736Y-132983866D01* -X109804200Y-132969000D01* -X109804200Y-132588000D01* -X111175800Y-132588000D01* -X111175800Y-132969000D01* -X111177264Y-132983866D01* -X111181600Y-132998160D01* -X111188642Y-133011334D01* -X111198118Y-133022882D01* -X111209666Y-133032358D01* -X111222840Y-133039400D01* -X111237134Y-133043736D01* -X111252000Y-133045200D01* -X111633000Y-133045200D01* -X111647866Y-133043736D01* -X111662160Y-133039400D01* -X111675334Y-133032358D01* -X111686882Y-133022882D01* -X111696358Y-133011334D01* -X111703400Y-132998160D01* -X111707736Y-132983866D01* -X111709200Y-132969000D01* -X111709200Y-132588000D01* -X111810800Y-132588000D01* -X111810800Y-132969000D01* -X111812264Y-132983866D01* -X111816600Y-132998160D01* -X111823642Y-133011334D01* -X111833118Y-133022882D01* -X111844666Y-133032358D01* -X111857840Y-133039400D01* -X111872134Y-133043736D01* -X111887000Y-133045200D01* -X112268000Y-133045200D01* -X112282866Y-133043736D01* -X112297160Y-133039400D01* -X112310334Y-133032358D01* -X112321882Y-133022882D01* -X112331358Y-133011334D01* -X112338400Y-132998160D01* -X112342736Y-132983866D01* -X112344200Y-132969000D01* -X112344200Y-132588000D01* -X113715800Y-132588000D01* -X113715800Y-132969000D01* -X113717264Y-132983866D01* -X113721600Y-132998160D01* -X113728642Y-133011334D01* -X113738118Y-133022882D01* -X113749666Y-133032358D01* -X113762840Y-133039400D01* -X113777134Y-133043736D01* -X113792000Y-133045200D01* -X114173000Y-133045200D01* -X114187866Y-133043736D01* -X114202160Y-133039400D01* -X114215334Y-133032358D01* -X114226882Y-133022882D01* -X114236358Y-133011334D01* -X114243400Y-132998160D01* -X114247736Y-132983866D01* -X114249200Y-132969000D01* -X114249200Y-132588000D01* -X114350800Y-132588000D01* -X114350800Y-132969000D01* -X114352264Y-132983866D01* -X114356600Y-132998160D01* -X114363642Y-133011334D01* -X114373118Y-133022882D01* -X114384666Y-133032358D01* -X114397840Y-133039400D01* -X114412134Y-133043736D01* -X114427000Y-133045200D01* -X114808000Y-133045200D01* -X114822866Y-133043736D01* -X114837160Y-133039400D01* -X114850334Y-133032358D01* -X114861882Y-133022882D01* -X114871358Y-133011334D01* -X114878400Y-132998160D01* -X114882736Y-132983866D01* -X114884200Y-132969000D01* -X114884200Y-132588000D01* -X116255800Y-132588000D01* -X116255800Y-132969000D01* -X116257264Y-132983866D01* -X116261600Y-132998160D01* -X116268642Y-133011334D01* -X116278118Y-133022882D01* -X116289666Y-133032358D01* -X116302840Y-133039400D01* -X116317134Y-133043736D01* -X116332000Y-133045200D01* -X116713000Y-133045200D01* -X116727866Y-133043736D01* -X116742160Y-133039400D01* -X116755334Y-133032358D01* -X116766882Y-133022882D01* -X116776358Y-133011334D01* -X116783400Y-132998160D01* -X116787736Y-132983866D01* -X116789200Y-132969000D01* -X116789200Y-132588000D01* -X116890800Y-132588000D01* -X116890800Y-132969000D01* -X116892264Y-132983866D01* -X116896600Y-132998160D01* -X116903642Y-133011334D01* -X116913118Y-133022882D01* -X116924666Y-133032358D01* -X116937840Y-133039400D01* -X116952134Y-133043736D01* -X116967000Y-133045200D01* -X117348000Y-133045200D01* -X117362866Y-133043736D01* -X117377160Y-133039400D01* -X117390334Y-133032358D01* -X117401882Y-133022882D01* -X117411358Y-133011334D01* -X117418400Y-132998160D01* -X117422736Y-132983866D01* -X117424200Y-132969000D01* -X117424200Y-132588000D01* -X118795800Y-132588000D01* -X118795800Y-132969000D01* -X118797264Y-132983866D01* -X118801600Y-132998160D01* -X118808642Y-133011334D01* -X118818118Y-133022882D01* -X118829666Y-133032358D01* -X118842840Y-133039400D01* -X118857134Y-133043736D01* -X118872000Y-133045200D01* -X119253000Y-133045200D01* -X119267866Y-133043736D01* -X119282160Y-133039400D01* -X119295334Y-133032358D01* -X119306882Y-133022882D01* -X119316358Y-133011334D01* -X119323400Y-132998160D01* -X119327736Y-132983866D01* -X119329200Y-132969000D01* -X119329200Y-132588000D01* -X119430800Y-132588000D01* -X119430800Y-132969000D01* -X119432264Y-132983866D01* -X119436600Y-132998160D01* -X119443642Y-133011334D01* -X119453118Y-133022882D01* -X119464666Y-133032358D01* -X119477840Y-133039400D01* -X119492134Y-133043736D01* -X119507000Y-133045200D01* -X119888000Y-133045200D01* -X119902866Y-133043736D01* -X119917160Y-133039400D01* -X119930334Y-133032358D01* -X119941882Y-133022882D01* -X119951358Y-133011334D01* -X119958400Y-132998160D01* -X119962736Y-132983866D01* -X119964200Y-132969000D01* -X119964200Y-132588000D01* -X121335800Y-132588000D01* -X121335800Y-132969000D01* -X121337264Y-132983866D01* -X121341600Y-132998160D01* -X121348642Y-133011334D01* -X121358118Y-133022882D01* -X121369666Y-133032358D01* -X121382840Y-133039400D01* -X121397134Y-133043736D01* -X121412000Y-133045200D01* -X121793000Y-133045200D01* -X121807866Y-133043736D01* -X121822160Y-133039400D01* -X121835334Y-133032358D01* -X121846882Y-133022882D01* -X121856358Y-133011334D01* -X121863400Y-132998160D01* -X121867736Y-132983866D01* -X121869200Y-132969000D01* -X121869200Y-132588000D01* -X121970800Y-132588000D01* -X121970800Y-132969000D01* -X121972264Y-132983866D01* -X121976600Y-132998160D01* -X121983642Y-133011334D01* -X121993118Y-133022882D01* -X122004666Y-133032358D01* -X122017840Y-133039400D01* -X122032134Y-133043736D01* -X122047000Y-133045200D01* -X122428000Y-133045200D01* -X122442866Y-133043736D01* -X122457160Y-133039400D01* -X122470334Y-133032358D01* -X122481882Y-133022882D01* -X122491358Y-133011334D01* -X122498400Y-132998160D01* -X122502736Y-132983866D01* -X122504200Y-132969000D01* -X122504200Y-132588000D01* -X123875800Y-132588000D01* -X123875800Y-132969000D01* -X123877264Y-132983866D01* -X123881600Y-132998160D01* -X123888642Y-133011334D01* -X123898118Y-133022882D01* -X123909666Y-133032358D01* -X123922840Y-133039400D01* -X123937134Y-133043736D01* -X123952000Y-133045200D01* -X124333000Y-133045200D01* -X124347866Y-133043736D01* -X124362160Y-133039400D01* -X124375334Y-133032358D01* -X124386882Y-133022882D01* -X124396358Y-133011334D01* -X124403400Y-132998160D01* -X124407736Y-132983866D01* -X124409200Y-132969000D01* -X124409200Y-132588000D01* -X124510800Y-132588000D01* -X124510800Y-132969000D01* -X124512264Y-132983866D01* -X124516600Y-132998160D01* -X124523642Y-133011334D01* -X124533118Y-133022882D01* -X124544666Y-133032358D01* -X124557840Y-133039400D01* -X124572134Y-133043736D01* -X124587000Y-133045200D01* -X124968000Y-133045200D01* -X124982866Y-133043736D01* -X124997160Y-133039400D01* -X125010334Y-133032358D01* -X125021882Y-133022882D01* -X125031358Y-133011334D01* -X125038400Y-132998160D01* -X125042736Y-132983866D01* -X125044200Y-132969000D01* -X125044200Y-132588000D01* -X126415800Y-132588000D01* -X126415800Y-132969000D01* -X126417264Y-132983866D01* -X126421600Y-132998160D01* -X126428642Y-133011334D01* -X126438118Y-133022882D01* -X126449666Y-133032358D01* -X126462840Y-133039400D01* -X126477134Y-133043736D01* -X126492000Y-133045200D01* -X126873000Y-133045200D01* -X126887866Y-133043736D01* -X126902160Y-133039400D01* -X126915334Y-133032358D01* -X126926882Y-133022882D01* -X126936358Y-133011334D01* -X126943400Y-132998160D01* -X126947736Y-132983866D01* -X126949200Y-132969000D01* -X126949200Y-132588000D01* -X127050800Y-132588000D01* -X127050800Y-132969000D01* -X127052264Y-132983866D01* -X127056600Y-132998160D01* -X127063642Y-133011334D01* -X127073118Y-133022882D01* -X127084666Y-133032358D01* -X127097840Y-133039400D01* -X127112134Y-133043736D01* -X127127000Y-133045200D01* -X127508000Y-133045200D01* -X127522866Y-133043736D01* -X127537160Y-133039400D01* -X127550334Y-133032358D01* -X127561882Y-133022882D01* -X127571358Y-133011334D01* -X127578400Y-132998160D01* -X127582736Y-132983866D01* -X127584200Y-132969000D01* -X127584200Y-132588000D01* -X128955800Y-132588000D01* -X128955800Y-132969000D01* -X128957264Y-132983866D01* -X128961600Y-132998160D01* -X128968642Y-133011334D01* -X128978118Y-133022882D01* -X128989666Y-133032358D01* -X129002840Y-133039400D01* -X129017134Y-133043736D01* -X129032000Y-133045200D01* -X129413000Y-133045200D01* -X129427866Y-133043736D01* -X129442160Y-133039400D01* -X129455334Y-133032358D01* -X129466882Y-133022882D01* -X129476358Y-133011334D01* -X129483400Y-132998160D01* -X129487736Y-132983866D01* -X129489200Y-132969000D01* -X129489200Y-132588000D01* -X129590800Y-132588000D01* -X129590800Y-132969000D01* -X129592264Y-132983866D01* -X129596600Y-132998160D01* -X129603642Y-133011334D01* -X129613118Y-133022882D01* -X129624666Y-133032358D01* -X129637840Y-133039400D01* -X129652134Y-133043736D01* -X129667000Y-133045200D01* -X130048000Y-133045200D01* -X130062866Y-133043736D01* -X130077160Y-133039400D01* -X130090334Y-133032358D01* -X130101882Y-133022882D01* -X130111358Y-133011334D01* -X130118400Y-132998160D01* -X130122736Y-132983866D01* -X130124200Y-132969000D01* -X130124200Y-132588000D01* -X131495800Y-132588000D01* -X131495800Y-132969000D01* -X131497264Y-132983866D01* -X131501600Y-132998160D01* -X131508642Y-133011334D01* -X131518118Y-133022882D01* -X131529666Y-133032358D01* -X131542840Y-133039400D01* -X131557134Y-133043736D01* -X131572000Y-133045200D01* -X131953000Y-133045200D01* -X131967866Y-133043736D01* -X131982160Y-133039400D01* -X131995334Y-133032358D01* -X132006882Y-133022882D01* -X132016358Y-133011334D01* -X132023400Y-132998160D01* -X132027736Y-132983866D01* -X132029200Y-132969000D01* -X132029200Y-132588000D01* -X132130800Y-132588000D01* -X132130800Y-132969000D01* -X132132264Y-132983866D01* -X132136600Y-132998160D01* -X132143642Y-133011334D01* -X132153118Y-133022882D01* -X132164666Y-133032358D01* -X132177840Y-133039400D01* -X132192134Y-133043736D01* -X132207000Y-133045200D01* -X132588000Y-133045200D01* -X132602866Y-133043736D01* -X132617160Y-133039400D01* -X132630334Y-133032358D01* -X132641882Y-133022882D01* -X132651358Y-133011334D01* -X132658400Y-132998160D01* -X132662736Y-132983866D01* -X132664200Y-132969000D01* -X132664200Y-132588000D01* -X134035800Y-132588000D01* -X134035800Y-132969000D01* -X134037264Y-132983866D01* -X134041600Y-132998160D01* -X134048642Y-133011334D01* -X134058118Y-133022882D01* -X134069666Y-133032358D01* -X134082840Y-133039400D01* -X134097134Y-133043736D01* -X134112000Y-133045200D01* -X134493000Y-133045200D01* -X134507866Y-133043736D01* -X134522160Y-133039400D01* -X134535334Y-133032358D01* -X134546882Y-133022882D01* -X134556358Y-133011334D01* -X134563400Y-132998160D01* -X134567736Y-132983866D01* -X134569200Y-132969000D01* -X134569200Y-132588000D01* -X134670800Y-132588000D01* -X134670800Y-132969000D01* -X134672264Y-132983866D01* -X134676600Y-132998160D01* -X134683642Y-133011334D01* -X134693118Y-133022882D01* -X134704666Y-133032358D01* -X134717840Y-133039400D01* -X134732134Y-133043736D01* -X134747000Y-133045200D01* -X135128000Y-133045200D01* -X135142866Y-133043736D01* -X135157160Y-133039400D01* -X135170334Y-133032358D01* -X135181882Y-133022882D01* -X135191358Y-133011334D01* -X135198400Y-132998160D01* -X135202736Y-132983866D01* -X135204200Y-132969000D01* -X135204200Y-132588000D01* -X135202736Y-132573134D01* -X135198400Y-132558840D01* -X135191358Y-132545666D01* -X135181882Y-132534118D01* -X135170334Y-132524642D01* -X135157160Y-132517600D01* -X135142866Y-132513264D01* -X135128000Y-132511800D01* -X134747000Y-132511800D01* -X134732134Y-132513264D01* -X134717840Y-132517600D01* -X134704666Y-132524642D01* -X134693118Y-132534118D01* -X134683642Y-132545666D01* -X134676600Y-132558840D01* -X134672264Y-132573134D01* -X134670800Y-132588000D01* -X134569200Y-132588000D01* -X134567736Y-132573134D01* -X134563400Y-132558840D01* -X134556358Y-132545666D01* -X134546882Y-132534118D01* -X134535334Y-132524642D01* -X134522160Y-132517600D01* -X134507866Y-132513264D01* -X134493000Y-132511800D01* -X134112000Y-132511800D01* -X134097134Y-132513264D01* -X134082840Y-132517600D01* -X134069666Y-132524642D01* -X134058118Y-132534118D01* -X134048642Y-132545666D01* -X134041600Y-132558840D01* -X134037264Y-132573134D01* -X134035800Y-132588000D01* -X132664200Y-132588000D01* -X132662736Y-132573134D01* -X132658400Y-132558840D01* -X132651358Y-132545666D01* -X132641882Y-132534118D01* -X132630334Y-132524642D01* -X132617160Y-132517600D01* -X132602866Y-132513264D01* -X132588000Y-132511800D01* -X132207000Y-132511800D01* -X132192134Y-132513264D01* -X132177840Y-132517600D01* -X132164666Y-132524642D01* -X132153118Y-132534118D01* -X132143642Y-132545666D01* -X132136600Y-132558840D01* -X132132264Y-132573134D01* -X132130800Y-132588000D01* -X132029200Y-132588000D01* -X132027736Y-132573134D01* -X132023400Y-132558840D01* -X132016358Y-132545666D01* -X132006882Y-132534118D01* -X131995334Y-132524642D01* -X131982160Y-132517600D01* -X131967866Y-132513264D01* -X131953000Y-132511800D01* -X131572000Y-132511800D01* -X131557134Y-132513264D01* -X131542840Y-132517600D01* -X131529666Y-132524642D01* -X131518118Y-132534118D01* -X131508642Y-132545666D01* -X131501600Y-132558840D01* -X131497264Y-132573134D01* -X131495800Y-132588000D01* -X130124200Y-132588000D01* -X130122736Y-132573134D01* -X130118400Y-132558840D01* -X130111358Y-132545666D01* -X130101882Y-132534118D01* -X130090334Y-132524642D01* -X130077160Y-132517600D01* -X130062866Y-132513264D01* -X130048000Y-132511800D01* -X129667000Y-132511800D01* -X129652134Y-132513264D01* -X129637840Y-132517600D01* -X129624666Y-132524642D01* -X129613118Y-132534118D01* -X129603642Y-132545666D01* -X129596600Y-132558840D01* -X129592264Y-132573134D01* -X129590800Y-132588000D01* -X129489200Y-132588000D01* -X129487736Y-132573134D01* -X129483400Y-132558840D01* -X129476358Y-132545666D01* -X129466882Y-132534118D01* -X129455334Y-132524642D01* -X129442160Y-132517600D01* -X129427866Y-132513264D01* -X129413000Y-132511800D01* -X129032000Y-132511800D01* -X129017134Y-132513264D01* -X129002840Y-132517600D01* -X128989666Y-132524642D01* -X128978118Y-132534118D01* -X128968642Y-132545666D01* -X128961600Y-132558840D01* -X128957264Y-132573134D01* -X128955800Y-132588000D01* -X127584200Y-132588000D01* -X127582736Y-132573134D01* -X127578400Y-132558840D01* -X127571358Y-132545666D01* -X127561882Y-132534118D01* -X127550334Y-132524642D01* -X127537160Y-132517600D01* -X127522866Y-132513264D01* -X127508000Y-132511800D01* -X127127000Y-132511800D01* -X127112134Y-132513264D01* -X127097840Y-132517600D01* -X127084666Y-132524642D01* -X127073118Y-132534118D01* -X127063642Y-132545666D01* -X127056600Y-132558840D01* -X127052264Y-132573134D01* -X127050800Y-132588000D01* -X126949200Y-132588000D01* -X126947736Y-132573134D01* -X126943400Y-132558840D01* -X126936358Y-132545666D01* -X126926882Y-132534118D01* -X126915334Y-132524642D01* -X126902160Y-132517600D01* -X126887866Y-132513264D01* -X126873000Y-132511800D01* -X126492000Y-132511800D01* -X126477134Y-132513264D01* -X126462840Y-132517600D01* -X126449666Y-132524642D01* -X126438118Y-132534118D01* -X126428642Y-132545666D01* -X126421600Y-132558840D01* -X126417264Y-132573134D01* -X126415800Y-132588000D01* -X125044200Y-132588000D01* -X125042736Y-132573134D01* -X125038400Y-132558840D01* -X125031358Y-132545666D01* -X125021882Y-132534118D01* -X125010334Y-132524642D01* -X124997160Y-132517600D01* -X124982866Y-132513264D01* -X124968000Y-132511800D01* -X124587000Y-132511800D01* -X124572134Y-132513264D01* -X124557840Y-132517600D01* -X124544666Y-132524642D01* -X124533118Y-132534118D01* -X124523642Y-132545666D01* -X124516600Y-132558840D01* -X124512264Y-132573134D01* -X124510800Y-132588000D01* -X124409200Y-132588000D01* -X124407736Y-132573134D01* -X124403400Y-132558840D01* -X124396358Y-132545666D01* -X124386882Y-132534118D01* -X124375334Y-132524642D01* -X124362160Y-132517600D01* -X124347866Y-132513264D01* -X124333000Y-132511800D01* -X123952000Y-132511800D01* -X123937134Y-132513264D01* -X123922840Y-132517600D01* -X123909666Y-132524642D01* -X123898118Y-132534118D01* -X123888642Y-132545666D01* -X123881600Y-132558840D01* -X123877264Y-132573134D01* -X123875800Y-132588000D01* -X122504200Y-132588000D01* -X122502736Y-132573134D01* -X122498400Y-132558840D01* -X122491358Y-132545666D01* -X122481882Y-132534118D01* -X122470334Y-132524642D01* -X122457160Y-132517600D01* -X122442866Y-132513264D01* -X122428000Y-132511800D01* -X122047000Y-132511800D01* -X122032134Y-132513264D01* -X122017840Y-132517600D01* -X122004666Y-132524642D01* -X121993118Y-132534118D01* -X121983642Y-132545666D01* -X121976600Y-132558840D01* -X121972264Y-132573134D01* -X121970800Y-132588000D01* -X121869200Y-132588000D01* -X121867736Y-132573134D01* -X121863400Y-132558840D01* -X121856358Y-132545666D01* -X121846882Y-132534118D01* -X121835334Y-132524642D01* -X121822160Y-132517600D01* -X121807866Y-132513264D01* -X121793000Y-132511800D01* -X121412000Y-132511800D01* -X121397134Y-132513264D01* -X121382840Y-132517600D01* -X121369666Y-132524642D01* -X121358118Y-132534118D01* -X121348642Y-132545666D01* -X121341600Y-132558840D01* -X121337264Y-132573134D01* -X121335800Y-132588000D01* -X119964200Y-132588000D01* -X119962736Y-132573134D01* -X119958400Y-132558840D01* -X119951358Y-132545666D01* -X119941882Y-132534118D01* -X119930334Y-132524642D01* -X119917160Y-132517600D01* -X119902866Y-132513264D01* -X119888000Y-132511800D01* -X119507000Y-132511800D01* -X119492134Y-132513264D01* -X119477840Y-132517600D01* -X119464666Y-132524642D01* -X119453118Y-132534118D01* -X119443642Y-132545666D01* -X119436600Y-132558840D01* -X119432264Y-132573134D01* -X119430800Y-132588000D01* -X119329200Y-132588000D01* -X119327736Y-132573134D01* -X119323400Y-132558840D01* -X119316358Y-132545666D01* -X119306882Y-132534118D01* -X119295334Y-132524642D01* -X119282160Y-132517600D01* -X119267866Y-132513264D01* -X119253000Y-132511800D01* -X118872000Y-132511800D01* -X118857134Y-132513264D01* -X118842840Y-132517600D01* -X118829666Y-132524642D01* -X118818118Y-132534118D01* -X118808642Y-132545666D01* -X118801600Y-132558840D01* -X118797264Y-132573134D01* -X118795800Y-132588000D01* -X117424200Y-132588000D01* -X117422736Y-132573134D01* -X117418400Y-132558840D01* -X117411358Y-132545666D01* -X117401882Y-132534118D01* -X117390334Y-132524642D01* -X117377160Y-132517600D01* -X117362866Y-132513264D01* -X117348000Y-132511800D01* -X116967000Y-132511800D01* -X116952134Y-132513264D01* -X116937840Y-132517600D01* -X116924666Y-132524642D01* -X116913118Y-132534118D01* -X116903642Y-132545666D01* -X116896600Y-132558840D01* -X116892264Y-132573134D01* -X116890800Y-132588000D01* -X116789200Y-132588000D01* -X116787736Y-132573134D01* -X116783400Y-132558840D01* -X116776358Y-132545666D01* -X116766882Y-132534118D01* -X116755334Y-132524642D01* -X116742160Y-132517600D01* -X116727866Y-132513264D01* -X116713000Y-132511800D01* -X116332000Y-132511800D01* -X116317134Y-132513264D01* -X116302840Y-132517600D01* -X116289666Y-132524642D01* -X116278118Y-132534118D01* -X116268642Y-132545666D01* -X116261600Y-132558840D01* -X116257264Y-132573134D01* -X116255800Y-132588000D01* -X114884200Y-132588000D01* -X114882736Y-132573134D01* -X114878400Y-132558840D01* -X114871358Y-132545666D01* -X114861882Y-132534118D01* -X114850334Y-132524642D01* -X114837160Y-132517600D01* -X114822866Y-132513264D01* -X114808000Y-132511800D01* -X114427000Y-132511800D01* -X114412134Y-132513264D01* -X114397840Y-132517600D01* -X114384666Y-132524642D01* -X114373118Y-132534118D01* -X114363642Y-132545666D01* -X114356600Y-132558840D01* -X114352264Y-132573134D01* -X114350800Y-132588000D01* -X114249200Y-132588000D01* -X114247736Y-132573134D01* -X114243400Y-132558840D01* -X114236358Y-132545666D01* -X114226882Y-132534118D01* -X114215334Y-132524642D01* -X114202160Y-132517600D01* -X114187866Y-132513264D01* -X114173000Y-132511800D01* -X113792000Y-132511800D01* -X113777134Y-132513264D01* -X113762840Y-132517600D01* -X113749666Y-132524642D01* -X113738118Y-132534118D01* -X113728642Y-132545666D01* -X113721600Y-132558840D01* -X113717264Y-132573134D01* -X113715800Y-132588000D01* -X112344200Y-132588000D01* -X112342736Y-132573134D01* -X112338400Y-132558840D01* -X112331358Y-132545666D01* -X112321882Y-132534118D01* -X112310334Y-132524642D01* -X112297160Y-132517600D01* -X112282866Y-132513264D01* -X112268000Y-132511800D01* -X111887000Y-132511800D01* -X111872134Y-132513264D01* -X111857840Y-132517600D01* -X111844666Y-132524642D01* -X111833118Y-132534118D01* -X111823642Y-132545666D01* -X111816600Y-132558840D01* -X111812264Y-132573134D01* -X111810800Y-132588000D01* -X111709200Y-132588000D01* -X111707736Y-132573134D01* -X111703400Y-132558840D01* -X111696358Y-132545666D01* -X111686882Y-132534118D01* -X111675334Y-132524642D01* -X111662160Y-132517600D01* -X111647866Y-132513264D01* -X111633000Y-132511800D01* -X111252000Y-132511800D01* -X111237134Y-132513264D01* -X111222840Y-132517600D01* -X111209666Y-132524642D01* -X111198118Y-132534118D01* -X111188642Y-132545666D01* -X111181600Y-132558840D01* -X111177264Y-132573134D01* -X111175800Y-132588000D01* -X109804200Y-132588000D01* -X109802736Y-132573134D01* -X109798400Y-132558840D01* -X109791358Y-132545666D01* -X109781882Y-132534118D01* -X109770334Y-132524642D01* -X109757160Y-132517600D01* -X109742866Y-132513264D01* -X109728000Y-132511800D01* -X109347000Y-132511800D01* -X109332134Y-132513264D01* -X109317840Y-132517600D01* -X109304666Y-132524642D01* -X109293118Y-132534118D01* -X109283642Y-132545666D01* -X109276600Y-132558840D01* -X109272264Y-132573134D01* -X109270800Y-132588000D01* -X109169200Y-132588000D01* -X109167736Y-132573134D01* -X109163400Y-132558840D01* -X109156358Y-132545666D01* -X109146882Y-132534118D01* -X109135334Y-132524642D01* -X109122160Y-132517600D01* -X109107866Y-132513264D01* -X109093000Y-132511800D01* -X108712000Y-132511800D01* -X108697134Y-132513264D01* -X108682840Y-132517600D01* -X108669666Y-132524642D01* -X108658118Y-132534118D01* -X108648642Y-132545666D01* -X108641600Y-132558840D01* -X108637264Y-132573134D01* -X108635800Y-132588000D01* -X107264200Y-132588000D01* -X107262736Y-132573134D01* -X107258400Y-132558840D01* -X107251358Y-132545666D01* -X107241882Y-132534118D01* -X107230334Y-132524642D01* -X107217160Y-132517600D01* -X107202866Y-132513264D01* -X107188000Y-132511800D01* -X106807000Y-132511800D01* -X106792134Y-132513264D01* -X106777840Y-132517600D01* -X106764666Y-132524642D01* -X106753118Y-132534118D01* -X106743642Y-132545666D01* -X106736600Y-132558840D01* -X106732264Y-132573134D01* -X106730800Y-132588000D01* -X106629200Y-132588000D01* -X106627736Y-132573134D01* -X106623400Y-132558840D01* -X106616358Y-132545666D01* -X106606882Y-132534118D01* -X106595334Y-132524642D01* -X106582160Y-132517600D01* -X106567866Y-132513264D01* -X106553000Y-132511800D01* -X106172000Y-132511800D01* -X106157134Y-132513264D01* -X106142840Y-132517600D01* -X106129666Y-132524642D01* -X106118118Y-132534118D01* -X106108642Y-132545666D01* -X106101600Y-132558840D01* -X106097264Y-132573134D01* -X106095800Y-132588000D01* -X104724200Y-132588000D01* -X104722736Y-132573134D01* -X104718400Y-132558840D01* -X104711358Y-132545666D01* -X104701882Y-132534118D01* -X104690334Y-132524642D01* -X104677160Y-132517600D01* -X104662866Y-132513264D01* -X104648000Y-132511800D01* -X104267000Y-132511800D01* -X104252134Y-132513264D01* -X104237840Y-132517600D01* -X104224666Y-132524642D01* -X104213118Y-132534118D01* -X104203642Y-132545666D01* -X104196600Y-132558840D01* -X104192264Y-132573134D01* -X104190800Y-132588000D01* -X104089200Y-132588000D01* -X104087736Y-132573134D01* -X104083400Y-132558840D01* -X104076358Y-132545666D01* -X104066882Y-132534118D01* -X104055334Y-132524642D01* -X104042160Y-132517600D01* -X104027866Y-132513264D01* -X104013000Y-132511800D01* -X103632000Y-132511800D01* -X103617134Y-132513264D01* -X103602840Y-132517600D01* -X103589666Y-132524642D01* -X103578118Y-132534118D01* -X103568642Y-132545666D01* -X103561600Y-132558840D01* -X103557264Y-132573134D01* -X103555800Y-132588000D01* -X102184200Y-132588000D01* -X102182736Y-132573134D01* -X102178400Y-132558840D01* -X102171358Y-132545666D01* -X102161882Y-132534118D01* -X102150334Y-132524642D01* -X102137160Y-132517600D01* -X102122866Y-132513264D01* -X102108000Y-132511800D01* -X101727000Y-132511800D01* -X101712134Y-132513264D01* -X101697840Y-132517600D01* -X101684666Y-132524642D01* -X101673118Y-132534118D01* -X101663642Y-132545666D01* -X101656600Y-132558840D01* -X101652264Y-132573134D01* -X101650800Y-132588000D01* -X101549200Y-132588000D01* -X101547736Y-132573134D01* -X101543400Y-132558840D01* -X101536358Y-132545666D01* -X101526882Y-132534118D01* -X101515334Y-132524642D01* -X101502160Y-132517600D01* -X101487866Y-132513264D01* -X101473000Y-132511800D01* -X101092000Y-132511800D01* -X101077134Y-132513264D01* -X101062840Y-132517600D01* -X101049666Y-132524642D01* -X101038118Y-132534118D01* -X101028642Y-132545666D01* -X101021600Y-132558840D01* -X101017264Y-132573134D01* -X101015800Y-132588000D01* -X99644200Y-132588000D01* -X99642736Y-132573134D01* -X99638400Y-132558840D01* -X99631358Y-132545666D01* -X99621882Y-132534118D01* -X99610334Y-132524642D01* -X99597160Y-132517600D01* -X99582866Y-132513264D01* -X99568000Y-132511800D01* -X99187000Y-132511800D01* -X99172134Y-132513264D01* -X99157840Y-132517600D01* -X99144666Y-132524642D01* -X99133118Y-132534118D01* -X99123642Y-132545666D01* -X99116600Y-132558840D01* -X99112264Y-132573134D01* -X99110800Y-132588000D01* -X99009200Y-132588000D01* -X99007736Y-132573134D01* -X99003400Y-132558840D01* -X98996358Y-132545666D01* -X98986882Y-132534118D01* -X98975334Y-132524642D01* -X98962160Y-132517600D01* -X98947866Y-132513264D01* -X98933000Y-132511800D01* -X98552000Y-132511800D01* -X98537134Y-132513264D01* -X98522840Y-132517600D01* -X98509666Y-132524642D01* -X98498118Y-132534118D01* -X98488642Y-132545666D01* -X98481600Y-132558840D01* -X98477264Y-132573134D01* -X98475800Y-132588000D01* -X97104200Y-132588000D01* -X97102736Y-132573134D01* -X97098400Y-132558840D01* -X97091358Y-132545666D01* -X97081882Y-132534118D01* -X97070334Y-132524642D01* -X97057160Y-132517600D01* -X97042866Y-132513264D01* -X97028000Y-132511800D01* -X96647000Y-132511800D01* -X96632134Y-132513264D01* -X96617840Y-132517600D01* -X96604666Y-132524642D01* -X96593118Y-132534118D01* -X96583642Y-132545666D01* -X96576600Y-132558840D01* -X96572264Y-132573134D01* -X96570800Y-132588000D01* -X96469200Y-132588000D01* -X96467736Y-132573134D01* -X96463400Y-132558840D01* -X96456358Y-132545666D01* -X96446882Y-132534118D01* -X96435334Y-132524642D01* -X96422160Y-132517600D01* -X96407866Y-132513264D01* -X96393000Y-132511800D01* -X96012000Y-132511800D01* -X95997134Y-132513264D01* -X95982840Y-132517600D01* -X95969666Y-132524642D01* -X95958118Y-132534118D01* -X95948642Y-132545666D01* -X95941600Y-132558840D01* -X95937264Y-132573134D01* -X95935800Y-132588000D01* -X94564200Y-132588000D01* -X94562736Y-132573134D01* -X94558400Y-132558840D01* -X94551358Y-132545666D01* -X94541882Y-132534118D01* -X94530334Y-132524642D01* -X94517160Y-132517600D01* -X94502866Y-132513264D01* -X94488000Y-132511800D01* -X94107000Y-132511800D01* -X94092134Y-132513264D01* -X94077840Y-132517600D01* -X94064666Y-132524642D01* -X94053118Y-132534118D01* -X94043642Y-132545666D01* -X94036600Y-132558840D01* -X94032264Y-132573134D01* -X94030800Y-132588000D01* -X93929200Y-132588000D01* -X93927736Y-132573134D01* -X93923400Y-132558840D01* -X93916358Y-132545666D01* -X93906882Y-132534118D01* -X93895334Y-132524642D01* -X93882160Y-132517600D01* -X93867866Y-132513264D01* -X93853000Y-132511800D01* -X93472000Y-132511800D01* -X93457134Y-132513264D01* -X93442840Y-132517600D01* -X93429666Y-132524642D01* -X93418118Y-132534118D01* -X93408642Y-132545666D01* -X93401600Y-132558840D01* -X93397264Y-132573134D01* -X93395800Y-132588000D01* -X92024200Y-132588000D01* -X92022736Y-132573134D01* -X92018400Y-132558840D01* -X92011358Y-132545666D01* -X92001882Y-132534118D01* -X91990334Y-132524642D01* -X91977160Y-132517600D01* -X91962866Y-132513264D01* -X91948000Y-132511800D01* -X91567000Y-132511800D01* -X91552134Y-132513264D01* -X91537840Y-132517600D01* -X91524666Y-132524642D01* -X91513118Y-132534118D01* -X91503642Y-132545666D01* -X91496600Y-132558840D01* -X91492264Y-132573134D01* -X91490800Y-132588000D01* -X91389200Y-132588000D01* -X91387736Y-132573134D01* -X91383400Y-132558840D01* -X91376358Y-132545666D01* -X91366882Y-132534118D01* -X91355334Y-132524642D01* -X91342160Y-132517600D01* -X91327866Y-132513264D01* -X91313000Y-132511800D01* -X90932000Y-132511800D01* -X90917134Y-132513264D01* -X90902840Y-132517600D01* -X90889666Y-132524642D01* -X90878118Y-132534118D01* -X90868642Y-132545666D01* -X90861600Y-132558840D01* -X90857264Y-132573134D01* -X90855800Y-132588000D01* -X89484200Y-132588000D01* -X89482736Y-132573134D01* -X89478400Y-132558840D01* -X89471358Y-132545666D01* -X89461882Y-132534118D01* -X89450334Y-132524642D01* -X89437160Y-132517600D01* -X89422866Y-132513264D01* -X89408000Y-132511800D01* -X89027000Y-132511800D01* -X89012134Y-132513264D01* -X88997840Y-132517600D01* -X88984666Y-132524642D01* -X88973118Y-132534118D01* -X88963642Y-132545666D01* -X88956600Y-132558840D01* -X88952264Y-132573134D01* -X88950800Y-132588000D01* -X88849200Y-132588000D01* -X88847736Y-132573134D01* -X88843400Y-132558840D01* -X88836358Y-132545666D01* -X88826882Y-132534118D01* -X88815334Y-132524642D01* -X88802160Y-132517600D01* -X88787866Y-132513264D01* -X88773000Y-132511800D01* -X88392000Y-132511800D01* -X88377134Y-132513264D01* -X88362840Y-132517600D01* -X88349666Y-132524642D01* -X88338118Y-132534118D01* -X88328642Y-132545666D01* -X88321600Y-132558840D01* -X88317264Y-132573134D01* -X88315800Y-132588000D01* -X86944200Y-132588000D01* -X86942736Y-132573134D01* -X86938400Y-132558840D01* -X86931358Y-132545666D01* -X86921882Y-132534118D01* -X86910334Y-132524642D01* -X86897160Y-132517600D01* -X86882866Y-132513264D01* -X86868000Y-132511800D01* -X86487000Y-132511800D01* -X86472134Y-132513264D01* -X86457840Y-132517600D01* -X86444666Y-132524642D01* -X86433118Y-132534118D01* -X86423642Y-132545666D01* -X86416600Y-132558840D01* -X86412264Y-132573134D01* -X86410800Y-132588000D01* -X86309200Y-132588000D01* -X86307736Y-132573134D01* -X86303400Y-132558840D01* -X86296358Y-132545666D01* -X86286882Y-132534118D01* -X86275334Y-132524642D01* -X86262160Y-132517600D01* -X86247866Y-132513264D01* -X86233000Y-132511800D01* -X85852000Y-132511800D01* -X85837134Y-132513264D01* -X85822840Y-132517600D01* -X85809666Y-132524642D01* -X85798118Y-132534118D01* -X85788642Y-132545666D01* -X85781600Y-132558840D01* -X85777264Y-132573134D01* -X85775800Y-132588000D01* -X84404200Y-132588000D01* -X84402736Y-132573134D01* -X84398400Y-132558840D01* -X84391358Y-132545666D01* -X84381882Y-132534118D01* -X84370334Y-132524642D01* -X84357160Y-132517600D01* -X84342866Y-132513264D01* -X84328000Y-132511800D01* -X83947000Y-132511800D01* -X83932134Y-132513264D01* -X83917840Y-132517600D01* -X83904666Y-132524642D01* -X83893118Y-132534118D01* -X83883642Y-132545666D01* -X83876600Y-132558840D01* -X83872264Y-132573134D01* -X83870800Y-132588000D01* -X83769200Y-132588000D01* -X83767736Y-132573134D01* -X83763400Y-132558840D01* -X83756358Y-132545666D01* -X83746882Y-132534118D01* -X83735334Y-132524642D01* -X83722160Y-132517600D01* -X83707866Y-132513264D01* -X83693000Y-132511800D01* -X83312000Y-132511800D01* -X83297134Y-132513264D01* -X83282840Y-132517600D01* -X83269666Y-132524642D01* -X83258118Y-132534118D01* -X83248642Y-132545666D01* -X83241600Y-132558840D01* -X83237264Y-132573134D01* -X83235800Y-132588000D01* -X81864200Y-132588000D01* -X81862736Y-132573134D01* -X81858400Y-132558840D01* -X81851358Y-132545666D01* -X81841882Y-132534118D01* -X81830334Y-132524642D01* -X81817160Y-132517600D01* -X81802866Y-132513264D01* -X81788000Y-132511800D01* -X81407000Y-132511800D01* -X81392134Y-132513264D01* -X81377840Y-132517600D01* -X81364666Y-132524642D01* -X81353118Y-132534118D01* -X81343642Y-132545666D01* -X81336600Y-132558840D01* -X81332264Y-132573134D01* -X81330800Y-132588000D01* -X81229200Y-132588000D01* -X81227736Y-132573134D01* -X81223400Y-132558840D01* -X81216358Y-132545666D01* -X81206882Y-132534118D01* -X81195334Y-132524642D01* -X81182160Y-132517600D01* -X81167866Y-132513264D01* -X81153000Y-132511800D01* -X80772000Y-132511800D01* -X80757134Y-132513264D01* -X80742840Y-132517600D01* -X80729666Y-132524642D01* -X80718118Y-132534118D01* -X80708642Y-132545666D01* -X80701600Y-132558840D01* -X80697264Y-132573134D01* -X80695800Y-132588000D01* -X79324200Y-132588000D01* -X79322736Y-132573134D01* -X79318400Y-132558840D01* -X79311358Y-132545666D01* -X79301882Y-132534118D01* -X79290334Y-132524642D01* -X79277160Y-132517600D01* -X79262866Y-132513264D01* -X79248000Y-132511800D01* -X78867000Y-132511800D01* -X78852134Y-132513264D01* -X78837840Y-132517600D01* -X78824666Y-132524642D01* -X78813118Y-132534118D01* -X78803642Y-132545666D01* -X78796600Y-132558840D01* -X78792264Y-132573134D01* -X78790800Y-132588000D01* -X78689200Y-132588000D01* -X78687736Y-132573134D01* -X78683400Y-132558840D01* -X78676358Y-132545666D01* -X78666882Y-132534118D01* -X78655334Y-132524642D01* -X78642160Y-132517600D01* -X78627866Y-132513264D01* -X78613000Y-132511800D01* -X78232000Y-132511800D01* -X78217134Y-132513264D01* -X78202840Y-132517600D01* -X78189666Y-132524642D01* -X78178118Y-132534118D01* -X78168642Y-132545666D01* -X78161600Y-132558840D01* -X78157264Y-132573134D01* -X78155800Y-132588000D01* -X76784200Y-132588000D01* -X76782736Y-132573134D01* -X76778400Y-132558840D01* -X76771358Y-132545666D01* -X76761882Y-132534118D01* -X76750334Y-132524642D01* -X76737160Y-132517600D01* -X76722866Y-132513264D01* -X76708000Y-132511800D01* -X76327000Y-132511800D01* -X76312134Y-132513264D01* -X76297840Y-132517600D01* -X76284666Y-132524642D01* -X76273118Y-132534118D01* -X76263642Y-132545666D01* -X76256600Y-132558840D01* -X76252264Y-132573134D01* -X76250800Y-132588000D01* -X76149200Y-132588000D01* -X76147736Y-132573134D01* -X76143400Y-132558840D01* -X76136358Y-132545666D01* -X76126882Y-132534118D01* -X76115334Y-132524642D01* -X76102160Y-132517600D01* -X76087866Y-132513264D01* -X76073000Y-132511800D01* -X75692000Y-132511800D01* -X75677134Y-132513264D01* -X75662840Y-132517600D01* -X75649666Y-132524642D01* -X75638118Y-132534118D01* -X75628642Y-132545666D01* -X75621600Y-132558840D01* -X75617264Y-132573134D01* -X75615800Y-132588000D01* -X74498200Y-132588000D01* -X74498200Y-131953000D01* -X75615800Y-131953000D01* -X75615800Y-132334000D01* -X75617264Y-132348866D01* -X75621600Y-132363160D01* -X75628642Y-132376334D01* -X75638118Y-132387882D01* -X75649666Y-132397358D01* -X75662840Y-132404400D01* -X75677134Y-132408736D01* -X75692000Y-132410200D01* -X76073000Y-132410200D01* -X76087866Y-132408736D01* -X76102160Y-132404400D01* -X76115334Y-132397358D01* -X76126882Y-132387882D01* -X76136358Y-132376334D01* -X76143400Y-132363160D01* -X76147736Y-132348866D01* -X76149200Y-132334000D01* -X76149200Y-131953000D01* -X76250800Y-131953000D01* -X76250800Y-132334000D01* -X76252264Y-132348866D01* -X76256600Y-132363160D01* -X76263642Y-132376334D01* -X76273118Y-132387882D01* -X76284666Y-132397358D01* -X76297840Y-132404400D01* -X76312134Y-132408736D01* -X76327000Y-132410200D01* -X76708000Y-132410200D01* -X76722866Y-132408736D01* -X76737160Y-132404400D01* -X76750334Y-132397358D01* -X76761882Y-132387882D01* -X76771358Y-132376334D01* -X76778400Y-132363160D01* -X76782736Y-132348866D01* -X76784200Y-132334000D01* -X76784200Y-131953000D01* -X78155800Y-131953000D01* -X78155800Y-132334000D01* -X78157264Y-132348866D01* -X78161600Y-132363160D01* -X78168642Y-132376334D01* -X78178118Y-132387882D01* -X78189666Y-132397358D01* -X78202840Y-132404400D01* -X78217134Y-132408736D01* -X78232000Y-132410200D01* -X78613000Y-132410200D01* -X78627866Y-132408736D01* -X78642160Y-132404400D01* -X78655334Y-132397358D01* -X78666882Y-132387882D01* -X78676358Y-132376334D01* -X78683400Y-132363160D01* -X78687736Y-132348866D01* -X78689200Y-132334000D01* -X78689200Y-131953000D01* -X78790800Y-131953000D01* -X78790800Y-132334000D01* -X78792264Y-132348866D01* -X78796600Y-132363160D01* -X78803642Y-132376334D01* -X78813118Y-132387882D01* -X78824666Y-132397358D01* -X78837840Y-132404400D01* -X78852134Y-132408736D01* -X78867000Y-132410200D01* -X79248000Y-132410200D01* -X79262866Y-132408736D01* -X79277160Y-132404400D01* -X79290334Y-132397358D01* -X79301882Y-132387882D01* -X79311358Y-132376334D01* -X79318400Y-132363160D01* -X79322736Y-132348866D01* -X79324200Y-132334000D01* -X79324200Y-131953000D01* -X80695800Y-131953000D01* -X80695800Y-132334000D01* -X80697264Y-132348866D01* -X80701600Y-132363160D01* -X80708642Y-132376334D01* -X80718118Y-132387882D01* -X80729666Y-132397358D01* -X80742840Y-132404400D01* -X80757134Y-132408736D01* -X80772000Y-132410200D01* -X81153000Y-132410200D01* -X81167866Y-132408736D01* -X81182160Y-132404400D01* -X81195334Y-132397358D01* -X81206882Y-132387882D01* -X81216358Y-132376334D01* -X81223400Y-132363160D01* -X81227736Y-132348866D01* -X81229200Y-132334000D01* -X81229200Y-131953000D01* -X81330800Y-131953000D01* -X81330800Y-132334000D01* -X81332264Y-132348866D01* -X81336600Y-132363160D01* -X81343642Y-132376334D01* -X81353118Y-132387882D01* -X81364666Y-132397358D01* -X81377840Y-132404400D01* -X81392134Y-132408736D01* -X81407000Y-132410200D01* -X81788000Y-132410200D01* -X81802866Y-132408736D01* -X81817160Y-132404400D01* -X81830334Y-132397358D01* -X81841882Y-132387882D01* -X81851358Y-132376334D01* -X81858400Y-132363160D01* -X81862736Y-132348866D01* -X81864200Y-132334000D01* -X81864200Y-131953000D01* -X83235800Y-131953000D01* -X83235800Y-132334000D01* -X83237264Y-132348866D01* -X83241600Y-132363160D01* -X83248642Y-132376334D01* -X83258118Y-132387882D01* -X83269666Y-132397358D01* -X83282840Y-132404400D01* -X83297134Y-132408736D01* -X83312000Y-132410200D01* -X83693000Y-132410200D01* -X83707866Y-132408736D01* -X83722160Y-132404400D01* -X83735334Y-132397358D01* -X83746882Y-132387882D01* -X83756358Y-132376334D01* -X83763400Y-132363160D01* -X83767736Y-132348866D01* -X83769200Y-132334000D01* -X83769200Y-131953000D01* -X83870800Y-131953000D01* -X83870800Y-132334000D01* -X83872264Y-132348866D01* -X83876600Y-132363160D01* -X83883642Y-132376334D01* -X83893118Y-132387882D01* -X83904666Y-132397358D01* -X83917840Y-132404400D01* -X83932134Y-132408736D01* -X83947000Y-132410200D01* -X84328000Y-132410200D01* -X84342866Y-132408736D01* -X84357160Y-132404400D01* -X84370334Y-132397358D01* -X84381882Y-132387882D01* -X84391358Y-132376334D01* -X84398400Y-132363160D01* -X84402736Y-132348866D01* -X84404200Y-132334000D01* -X84404200Y-131953000D01* -X85775800Y-131953000D01* -X85775800Y-132334000D01* -X85777264Y-132348866D01* -X85781600Y-132363160D01* -X85788642Y-132376334D01* -X85798118Y-132387882D01* -X85809666Y-132397358D01* -X85822840Y-132404400D01* -X85837134Y-132408736D01* -X85852000Y-132410200D01* -X86233000Y-132410200D01* -X86247866Y-132408736D01* -X86262160Y-132404400D01* -X86275334Y-132397358D01* -X86286882Y-132387882D01* -X86296358Y-132376334D01* -X86303400Y-132363160D01* -X86307736Y-132348866D01* -X86309200Y-132334000D01* -X86309200Y-131953000D01* -X86410800Y-131953000D01* -X86410800Y-132334000D01* -X86412264Y-132348866D01* -X86416600Y-132363160D01* -X86423642Y-132376334D01* -X86433118Y-132387882D01* -X86444666Y-132397358D01* -X86457840Y-132404400D01* -X86472134Y-132408736D01* -X86487000Y-132410200D01* -X86868000Y-132410200D01* -X86882866Y-132408736D01* -X86897160Y-132404400D01* -X86910334Y-132397358D01* -X86921882Y-132387882D01* -X86931358Y-132376334D01* -X86938400Y-132363160D01* -X86942736Y-132348866D01* -X86944200Y-132334000D01* -X86944200Y-131953000D01* -X88315800Y-131953000D01* -X88315800Y-132334000D01* -X88317264Y-132348866D01* -X88321600Y-132363160D01* -X88328642Y-132376334D01* -X88338118Y-132387882D01* -X88349666Y-132397358D01* -X88362840Y-132404400D01* -X88377134Y-132408736D01* -X88392000Y-132410200D01* -X88773000Y-132410200D01* -X88787866Y-132408736D01* -X88802160Y-132404400D01* -X88815334Y-132397358D01* -X88826882Y-132387882D01* -X88836358Y-132376334D01* -X88843400Y-132363160D01* -X88847736Y-132348866D01* -X88849200Y-132334000D01* -X88849200Y-131953000D01* -X88950800Y-131953000D01* -X88950800Y-132334000D01* -X88952264Y-132348866D01* -X88956600Y-132363160D01* -X88963642Y-132376334D01* -X88973118Y-132387882D01* -X88984666Y-132397358D01* -X88997840Y-132404400D01* -X89012134Y-132408736D01* -X89027000Y-132410200D01* -X89408000Y-132410200D01* -X89422866Y-132408736D01* -X89437160Y-132404400D01* -X89450334Y-132397358D01* -X89461882Y-132387882D01* -X89471358Y-132376334D01* -X89478400Y-132363160D01* -X89482736Y-132348866D01* -X89484200Y-132334000D01* -X89484200Y-131953000D01* -X90855800Y-131953000D01* -X90855800Y-132334000D01* -X90857264Y-132348866D01* -X90861600Y-132363160D01* -X90868642Y-132376334D01* -X90878118Y-132387882D01* -X90889666Y-132397358D01* -X90902840Y-132404400D01* -X90917134Y-132408736D01* -X90932000Y-132410200D01* -X91313000Y-132410200D01* -X91327866Y-132408736D01* -X91342160Y-132404400D01* -X91355334Y-132397358D01* -X91366882Y-132387882D01* -X91376358Y-132376334D01* -X91383400Y-132363160D01* -X91387736Y-132348866D01* -X91389200Y-132334000D01* -X91389200Y-131953000D01* -X91490800Y-131953000D01* -X91490800Y-132334000D01* -X91492264Y-132348866D01* -X91496600Y-132363160D01* -X91503642Y-132376334D01* -X91513118Y-132387882D01* -X91524666Y-132397358D01* -X91537840Y-132404400D01* -X91552134Y-132408736D01* -X91567000Y-132410200D01* -X91948000Y-132410200D01* -X91962866Y-132408736D01* -X91977160Y-132404400D01* -X91990334Y-132397358D01* -X92001882Y-132387882D01* -X92011358Y-132376334D01* -X92018400Y-132363160D01* -X92022736Y-132348866D01* -X92024200Y-132334000D01* -X92024200Y-131953000D01* -X93395800Y-131953000D01* -X93395800Y-132334000D01* -X93397264Y-132348866D01* -X93401600Y-132363160D01* -X93408642Y-132376334D01* -X93418118Y-132387882D01* -X93429666Y-132397358D01* -X93442840Y-132404400D01* -X93457134Y-132408736D01* -X93472000Y-132410200D01* -X93853000Y-132410200D01* -X93867866Y-132408736D01* -X93882160Y-132404400D01* -X93895334Y-132397358D01* -X93906882Y-132387882D01* -X93916358Y-132376334D01* -X93923400Y-132363160D01* -X93927736Y-132348866D01* -X93929200Y-132334000D01* -X93929200Y-131953000D01* -X94030800Y-131953000D01* -X94030800Y-132334000D01* -X94032264Y-132348866D01* -X94036600Y-132363160D01* -X94043642Y-132376334D01* -X94053118Y-132387882D01* -X94064666Y-132397358D01* -X94077840Y-132404400D01* -X94092134Y-132408736D01* -X94107000Y-132410200D01* -X94488000Y-132410200D01* -X94502866Y-132408736D01* -X94517160Y-132404400D01* -X94530334Y-132397358D01* -X94541882Y-132387882D01* -X94551358Y-132376334D01* -X94558400Y-132363160D01* -X94562736Y-132348866D01* -X94564200Y-132334000D01* -X94564200Y-131953000D01* -X95935800Y-131953000D01* -X95935800Y-132334000D01* -X95937264Y-132348866D01* -X95941600Y-132363160D01* -X95948642Y-132376334D01* -X95958118Y-132387882D01* -X95969666Y-132397358D01* -X95982840Y-132404400D01* -X95997134Y-132408736D01* -X96012000Y-132410200D01* -X96393000Y-132410200D01* -X96407866Y-132408736D01* -X96422160Y-132404400D01* -X96435334Y-132397358D01* -X96446882Y-132387882D01* -X96456358Y-132376334D01* -X96463400Y-132363160D01* -X96467736Y-132348866D01* -X96469200Y-132334000D01* -X96469200Y-131953000D01* -X96570800Y-131953000D01* -X96570800Y-132334000D01* -X96572264Y-132348866D01* -X96576600Y-132363160D01* -X96583642Y-132376334D01* -X96593118Y-132387882D01* -X96604666Y-132397358D01* -X96617840Y-132404400D01* -X96632134Y-132408736D01* -X96647000Y-132410200D01* -X97028000Y-132410200D01* -X97042866Y-132408736D01* -X97057160Y-132404400D01* -X97070334Y-132397358D01* -X97081882Y-132387882D01* -X97091358Y-132376334D01* -X97098400Y-132363160D01* -X97102736Y-132348866D01* -X97104200Y-132334000D01* -X97104200Y-131953000D01* -X98475800Y-131953000D01* -X98475800Y-132334000D01* -X98477264Y-132348866D01* -X98481600Y-132363160D01* -X98488642Y-132376334D01* -X98498118Y-132387882D01* -X98509666Y-132397358D01* -X98522840Y-132404400D01* -X98537134Y-132408736D01* -X98552000Y-132410200D01* -X98933000Y-132410200D01* -X98947866Y-132408736D01* -X98962160Y-132404400D01* -X98975334Y-132397358D01* -X98986882Y-132387882D01* -X98996358Y-132376334D01* -X99003400Y-132363160D01* -X99007736Y-132348866D01* -X99009200Y-132334000D01* -X99009200Y-131953000D01* -X99110800Y-131953000D01* -X99110800Y-132334000D01* -X99112264Y-132348866D01* -X99116600Y-132363160D01* -X99123642Y-132376334D01* -X99133118Y-132387882D01* -X99144666Y-132397358D01* -X99157840Y-132404400D01* -X99172134Y-132408736D01* -X99187000Y-132410200D01* -X99568000Y-132410200D01* -X99582866Y-132408736D01* -X99597160Y-132404400D01* -X99610334Y-132397358D01* -X99621882Y-132387882D01* -X99631358Y-132376334D01* -X99638400Y-132363160D01* -X99642736Y-132348866D01* -X99644200Y-132334000D01* -X99644200Y-131953000D01* -X101015800Y-131953000D01* -X101015800Y-132334000D01* -X101017264Y-132348866D01* -X101021600Y-132363160D01* -X101028642Y-132376334D01* -X101038118Y-132387882D01* -X101049666Y-132397358D01* -X101062840Y-132404400D01* -X101077134Y-132408736D01* -X101092000Y-132410200D01* -X101473000Y-132410200D01* -X101487866Y-132408736D01* -X101502160Y-132404400D01* -X101515334Y-132397358D01* -X101526882Y-132387882D01* -X101536358Y-132376334D01* -X101543400Y-132363160D01* -X101547736Y-132348866D01* -X101549200Y-132334000D01* -X101549200Y-131953000D01* -X101650800Y-131953000D01* -X101650800Y-132334000D01* -X101652264Y-132348866D01* -X101656600Y-132363160D01* -X101663642Y-132376334D01* -X101673118Y-132387882D01* -X101684666Y-132397358D01* -X101697840Y-132404400D01* -X101712134Y-132408736D01* -X101727000Y-132410200D01* -X102108000Y-132410200D01* -X102122866Y-132408736D01* -X102137160Y-132404400D01* -X102150334Y-132397358D01* -X102161882Y-132387882D01* -X102171358Y-132376334D01* -X102178400Y-132363160D01* -X102182736Y-132348866D01* -X102184200Y-132334000D01* -X102184200Y-131953000D01* -X103555800Y-131953000D01* -X103555800Y-132334000D01* -X103557264Y-132348866D01* -X103561600Y-132363160D01* -X103568642Y-132376334D01* -X103578118Y-132387882D01* -X103589666Y-132397358D01* -X103602840Y-132404400D01* -X103617134Y-132408736D01* -X103632000Y-132410200D01* -X104013000Y-132410200D01* -X104027866Y-132408736D01* -X104042160Y-132404400D01* -X104055334Y-132397358D01* -X104066882Y-132387882D01* -X104076358Y-132376334D01* -X104083400Y-132363160D01* -X104087736Y-132348866D01* -X104089200Y-132334000D01* -X104089200Y-131953000D01* -X104190800Y-131953000D01* -X104190800Y-132334000D01* -X104192264Y-132348866D01* -X104196600Y-132363160D01* -X104203642Y-132376334D01* -X104213118Y-132387882D01* -X104224666Y-132397358D01* -X104237840Y-132404400D01* -X104252134Y-132408736D01* -X104267000Y-132410200D01* -X104648000Y-132410200D01* -X104662866Y-132408736D01* -X104677160Y-132404400D01* -X104690334Y-132397358D01* -X104701882Y-132387882D01* -X104711358Y-132376334D01* -X104718400Y-132363160D01* -X104722736Y-132348866D01* -X104724200Y-132334000D01* -X104724200Y-131953000D01* -X106095800Y-131953000D01* -X106095800Y-132334000D01* -X106097264Y-132348866D01* -X106101600Y-132363160D01* -X106108642Y-132376334D01* -X106118118Y-132387882D01* -X106129666Y-132397358D01* -X106142840Y-132404400D01* -X106157134Y-132408736D01* -X106172000Y-132410200D01* -X106553000Y-132410200D01* -X106567866Y-132408736D01* -X106582160Y-132404400D01* -X106595334Y-132397358D01* -X106606882Y-132387882D01* -X106616358Y-132376334D01* -X106623400Y-132363160D01* -X106627736Y-132348866D01* -X106629200Y-132334000D01* -X106629200Y-131953000D01* -X106730800Y-131953000D01* -X106730800Y-132334000D01* -X106732264Y-132348866D01* -X106736600Y-132363160D01* -X106743642Y-132376334D01* -X106753118Y-132387882D01* -X106764666Y-132397358D01* -X106777840Y-132404400D01* -X106792134Y-132408736D01* -X106807000Y-132410200D01* -X107188000Y-132410200D01* -X107202866Y-132408736D01* -X107217160Y-132404400D01* -X107230334Y-132397358D01* -X107241882Y-132387882D01* -X107251358Y-132376334D01* -X107258400Y-132363160D01* -X107262736Y-132348866D01* -X107264200Y-132334000D01* -X107264200Y-131953000D01* -X108635800Y-131953000D01* -X108635800Y-132334000D01* -X108637264Y-132348866D01* -X108641600Y-132363160D01* -X108648642Y-132376334D01* -X108658118Y-132387882D01* -X108669666Y-132397358D01* -X108682840Y-132404400D01* -X108697134Y-132408736D01* -X108712000Y-132410200D01* -X109093000Y-132410200D01* -X109107866Y-132408736D01* -X109122160Y-132404400D01* -X109135334Y-132397358D01* -X109146882Y-132387882D01* -X109156358Y-132376334D01* -X109163400Y-132363160D01* -X109167736Y-132348866D01* -X109169200Y-132334000D01* -X109169200Y-131953000D01* -X109270800Y-131953000D01* -X109270800Y-132334000D01* -X109272264Y-132348866D01* -X109276600Y-132363160D01* -X109283642Y-132376334D01* -X109293118Y-132387882D01* -X109304666Y-132397358D01* -X109317840Y-132404400D01* -X109332134Y-132408736D01* -X109347000Y-132410200D01* -X109728000Y-132410200D01* -X109742866Y-132408736D01* -X109757160Y-132404400D01* -X109770334Y-132397358D01* -X109781882Y-132387882D01* -X109791358Y-132376334D01* -X109798400Y-132363160D01* -X109802736Y-132348866D01* -X109804200Y-132334000D01* -X109804200Y-131953000D01* -X111175800Y-131953000D01* -X111175800Y-132334000D01* -X111177264Y-132348866D01* -X111181600Y-132363160D01* -X111188642Y-132376334D01* -X111198118Y-132387882D01* -X111209666Y-132397358D01* -X111222840Y-132404400D01* -X111237134Y-132408736D01* -X111252000Y-132410200D01* -X111633000Y-132410200D01* -X111647866Y-132408736D01* -X111662160Y-132404400D01* -X111675334Y-132397358D01* -X111686882Y-132387882D01* -X111696358Y-132376334D01* -X111703400Y-132363160D01* -X111707736Y-132348866D01* -X111709200Y-132334000D01* -X111709200Y-131953000D01* -X111810800Y-131953000D01* -X111810800Y-132334000D01* -X111812264Y-132348866D01* -X111816600Y-132363160D01* -X111823642Y-132376334D01* -X111833118Y-132387882D01* -X111844666Y-132397358D01* -X111857840Y-132404400D01* -X111872134Y-132408736D01* -X111887000Y-132410200D01* -X112268000Y-132410200D01* -X112282866Y-132408736D01* -X112297160Y-132404400D01* -X112310334Y-132397358D01* -X112321882Y-132387882D01* -X112331358Y-132376334D01* -X112338400Y-132363160D01* -X112342736Y-132348866D01* -X112344200Y-132334000D01* -X112344200Y-131953000D01* -X113715800Y-131953000D01* -X113715800Y-132334000D01* -X113717264Y-132348866D01* -X113721600Y-132363160D01* -X113728642Y-132376334D01* -X113738118Y-132387882D01* -X113749666Y-132397358D01* -X113762840Y-132404400D01* -X113777134Y-132408736D01* -X113792000Y-132410200D01* -X114173000Y-132410200D01* -X114187866Y-132408736D01* -X114202160Y-132404400D01* -X114215334Y-132397358D01* -X114226882Y-132387882D01* -X114236358Y-132376334D01* -X114243400Y-132363160D01* -X114247736Y-132348866D01* -X114249200Y-132334000D01* -X114249200Y-131953000D01* -X114350800Y-131953000D01* -X114350800Y-132334000D01* -X114352264Y-132348866D01* -X114356600Y-132363160D01* -X114363642Y-132376334D01* -X114373118Y-132387882D01* -X114384666Y-132397358D01* -X114397840Y-132404400D01* -X114412134Y-132408736D01* -X114427000Y-132410200D01* -X114808000Y-132410200D01* -X114822866Y-132408736D01* -X114837160Y-132404400D01* -X114850334Y-132397358D01* -X114861882Y-132387882D01* -X114871358Y-132376334D01* -X114878400Y-132363160D01* -X114882736Y-132348866D01* -X114884200Y-132334000D01* -X114884200Y-131953000D01* -X116255800Y-131953000D01* -X116255800Y-132334000D01* -X116257264Y-132348866D01* -X116261600Y-132363160D01* -X116268642Y-132376334D01* -X116278118Y-132387882D01* -X116289666Y-132397358D01* -X116302840Y-132404400D01* -X116317134Y-132408736D01* -X116332000Y-132410200D01* -X116713000Y-132410200D01* -X116727866Y-132408736D01* -X116742160Y-132404400D01* -X116755334Y-132397358D01* -X116766882Y-132387882D01* -X116776358Y-132376334D01* -X116783400Y-132363160D01* -X116787736Y-132348866D01* -X116789200Y-132334000D01* -X116789200Y-131953000D01* -X116890800Y-131953000D01* -X116890800Y-132334000D01* -X116892264Y-132348866D01* -X116896600Y-132363160D01* -X116903642Y-132376334D01* -X116913118Y-132387882D01* -X116924666Y-132397358D01* -X116937840Y-132404400D01* -X116952134Y-132408736D01* -X116967000Y-132410200D01* -X117348000Y-132410200D01* -X117362866Y-132408736D01* -X117377160Y-132404400D01* -X117390334Y-132397358D01* -X117401882Y-132387882D01* -X117411358Y-132376334D01* -X117418400Y-132363160D01* -X117422736Y-132348866D01* -X117424200Y-132334000D01* -X117424200Y-131953000D01* -X118795800Y-131953000D01* -X118795800Y-132334000D01* -X118797264Y-132348866D01* -X118801600Y-132363160D01* -X118808642Y-132376334D01* -X118818118Y-132387882D01* -X118829666Y-132397358D01* -X118842840Y-132404400D01* -X118857134Y-132408736D01* -X118872000Y-132410200D01* -X119253000Y-132410200D01* -X119267866Y-132408736D01* -X119282160Y-132404400D01* -X119295334Y-132397358D01* -X119306882Y-132387882D01* -X119316358Y-132376334D01* -X119323400Y-132363160D01* -X119327736Y-132348866D01* -X119329200Y-132334000D01* -X119329200Y-131953000D01* -X119430800Y-131953000D01* -X119430800Y-132334000D01* -X119432264Y-132348866D01* -X119436600Y-132363160D01* -X119443642Y-132376334D01* -X119453118Y-132387882D01* -X119464666Y-132397358D01* -X119477840Y-132404400D01* -X119492134Y-132408736D01* -X119507000Y-132410200D01* -X119888000Y-132410200D01* -X119902866Y-132408736D01* -X119917160Y-132404400D01* -X119930334Y-132397358D01* -X119941882Y-132387882D01* -X119951358Y-132376334D01* -X119958400Y-132363160D01* -X119962736Y-132348866D01* -X119964200Y-132334000D01* -X119964200Y-131953000D01* -X121335800Y-131953000D01* -X121335800Y-132334000D01* -X121337264Y-132348866D01* -X121341600Y-132363160D01* -X121348642Y-132376334D01* -X121358118Y-132387882D01* -X121369666Y-132397358D01* -X121382840Y-132404400D01* -X121397134Y-132408736D01* -X121412000Y-132410200D01* -X121793000Y-132410200D01* -X121807866Y-132408736D01* -X121822160Y-132404400D01* -X121835334Y-132397358D01* -X121846882Y-132387882D01* -X121856358Y-132376334D01* -X121863400Y-132363160D01* -X121867736Y-132348866D01* -X121869200Y-132334000D01* -X121869200Y-131953000D01* -X121970800Y-131953000D01* -X121970800Y-132334000D01* -X121972264Y-132348866D01* -X121976600Y-132363160D01* -X121983642Y-132376334D01* -X121993118Y-132387882D01* -X122004666Y-132397358D01* -X122017840Y-132404400D01* -X122032134Y-132408736D01* -X122047000Y-132410200D01* -X122428000Y-132410200D01* -X122442866Y-132408736D01* -X122457160Y-132404400D01* -X122470334Y-132397358D01* -X122481882Y-132387882D01* -X122491358Y-132376334D01* -X122498400Y-132363160D01* -X122502736Y-132348866D01* -X122504200Y-132334000D01* -X122504200Y-131953000D01* -X123875800Y-131953000D01* -X123875800Y-132334000D01* -X123877264Y-132348866D01* -X123881600Y-132363160D01* -X123888642Y-132376334D01* -X123898118Y-132387882D01* -X123909666Y-132397358D01* -X123922840Y-132404400D01* -X123937134Y-132408736D01* -X123952000Y-132410200D01* -X124333000Y-132410200D01* -X124347866Y-132408736D01* -X124362160Y-132404400D01* -X124375334Y-132397358D01* -X124386882Y-132387882D01* -X124396358Y-132376334D01* -X124403400Y-132363160D01* -X124407736Y-132348866D01* -X124409200Y-132334000D01* -X124409200Y-131953000D01* -X124510800Y-131953000D01* -X124510800Y-132334000D01* -X124512264Y-132348866D01* -X124516600Y-132363160D01* -X124523642Y-132376334D01* -X124533118Y-132387882D01* -X124544666Y-132397358D01* -X124557840Y-132404400D01* -X124572134Y-132408736D01* -X124587000Y-132410200D01* -X124968000Y-132410200D01* -X124982866Y-132408736D01* -X124997160Y-132404400D01* -X125010334Y-132397358D01* -X125021882Y-132387882D01* -X125031358Y-132376334D01* -X125038400Y-132363160D01* -X125042736Y-132348866D01* -X125044200Y-132334000D01* -X125044200Y-131953000D01* -X126415800Y-131953000D01* -X126415800Y-132334000D01* -X126417264Y-132348866D01* -X126421600Y-132363160D01* -X126428642Y-132376334D01* -X126438118Y-132387882D01* -X126449666Y-132397358D01* -X126462840Y-132404400D01* -X126477134Y-132408736D01* -X126492000Y-132410200D01* -X126873000Y-132410200D01* -X126887866Y-132408736D01* -X126902160Y-132404400D01* -X126915334Y-132397358D01* -X126926882Y-132387882D01* -X126936358Y-132376334D01* -X126943400Y-132363160D01* -X126947736Y-132348866D01* -X126949200Y-132334000D01* -X126949200Y-131953000D01* -X127050800Y-131953000D01* -X127050800Y-132334000D01* -X127052264Y-132348866D01* -X127056600Y-132363160D01* -X127063642Y-132376334D01* -X127073118Y-132387882D01* -X127084666Y-132397358D01* -X127097840Y-132404400D01* -X127112134Y-132408736D01* -X127127000Y-132410200D01* -X127508000Y-132410200D01* -X127522866Y-132408736D01* -X127537160Y-132404400D01* -X127550334Y-132397358D01* -X127561882Y-132387882D01* -X127571358Y-132376334D01* -X127578400Y-132363160D01* -X127582736Y-132348866D01* -X127584200Y-132334000D01* -X127584200Y-131953000D01* -X128955800Y-131953000D01* -X128955800Y-132334000D01* -X128957264Y-132348866D01* -X128961600Y-132363160D01* -X128968642Y-132376334D01* -X128978118Y-132387882D01* -X128989666Y-132397358D01* -X129002840Y-132404400D01* -X129017134Y-132408736D01* -X129032000Y-132410200D01* -X129413000Y-132410200D01* -X129427866Y-132408736D01* -X129442160Y-132404400D01* -X129455334Y-132397358D01* -X129466882Y-132387882D01* -X129476358Y-132376334D01* -X129483400Y-132363160D01* -X129487736Y-132348866D01* -X129489200Y-132334000D01* -X129489200Y-131953000D01* -X129590800Y-131953000D01* -X129590800Y-132334000D01* -X129592264Y-132348866D01* -X129596600Y-132363160D01* -X129603642Y-132376334D01* -X129613118Y-132387882D01* -X129624666Y-132397358D01* -X129637840Y-132404400D01* -X129652134Y-132408736D01* -X129667000Y-132410200D01* -X130048000Y-132410200D01* -X130062866Y-132408736D01* -X130077160Y-132404400D01* -X130090334Y-132397358D01* -X130101882Y-132387882D01* -X130111358Y-132376334D01* -X130118400Y-132363160D01* -X130122736Y-132348866D01* -X130124200Y-132334000D01* -X130124200Y-131953000D01* -X131495800Y-131953000D01* -X131495800Y-132334000D01* -X131497264Y-132348866D01* -X131501600Y-132363160D01* -X131508642Y-132376334D01* -X131518118Y-132387882D01* -X131529666Y-132397358D01* -X131542840Y-132404400D01* -X131557134Y-132408736D01* -X131572000Y-132410200D01* -X131953000Y-132410200D01* -X131967866Y-132408736D01* -X131982160Y-132404400D01* -X131995334Y-132397358D01* -X132006882Y-132387882D01* -X132016358Y-132376334D01* -X132023400Y-132363160D01* -X132027736Y-132348866D01* -X132029200Y-132334000D01* -X132029200Y-131953000D01* -X132130800Y-131953000D01* -X132130800Y-132334000D01* -X132132264Y-132348866D01* -X132136600Y-132363160D01* -X132143642Y-132376334D01* -X132153118Y-132387882D01* -X132164666Y-132397358D01* -X132177840Y-132404400D01* -X132192134Y-132408736D01* -X132207000Y-132410200D01* -X132588000Y-132410200D01* -X132602866Y-132408736D01* -X132617160Y-132404400D01* -X132630334Y-132397358D01* -X132641882Y-132387882D01* -X132651358Y-132376334D01* -X132658400Y-132363160D01* -X132662736Y-132348866D01* -X132664200Y-132334000D01* -X132664200Y-131953000D01* -X134035800Y-131953000D01* -X134035800Y-132334000D01* -X134037264Y-132348866D01* -X134041600Y-132363160D01* -X134048642Y-132376334D01* -X134058118Y-132387882D01* -X134069666Y-132397358D01* -X134082840Y-132404400D01* -X134097134Y-132408736D01* -X134112000Y-132410200D01* -X134493000Y-132410200D01* -X134507866Y-132408736D01* -X134522160Y-132404400D01* -X134535334Y-132397358D01* -X134546882Y-132387882D01* -X134556358Y-132376334D01* -X134563400Y-132363160D01* -X134567736Y-132348866D01* -X134569200Y-132334000D01* -X134569200Y-131953000D01* -X134670800Y-131953000D01* -X134670800Y-132334000D01* -X134672264Y-132348866D01* -X134676600Y-132363160D01* -X134683642Y-132376334D01* -X134693118Y-132387882D01* -X134704666Y-132397358D01* -X134717840Y-132404400D01* -X134732134Y-132408736D01* -X134747000Y-132410200D01* -X135128000Y-132410200D01* -X135142866Y-132408736D01* -X135157160Y-132404400D01* -X135170334Y-132397358D01* -X135181882Y-132387882D01* -X135191358Y-132376334D01* -X135198400Y-132363160D01* -X135202736Y-132348866D01* -X135204200Y-132334000D01* -X135204200Y-131953000D01* -X135202736Y-131938134D01* -X135198400Y-131923840D01* -X135191358Y-131910666D01* -X135181882Y-131899118D01* -X135170334Y-131889642D01* -X135157160Y-131882600D01* -X135142866Y-131878264D01* -X135128000Y-131876800D01* -X134747000Y-131876800D01* -X134732134Y-131878264D01* -X134717840Y-131882600D01* -X134704666Y-131889642D01* -X134693118Y-131899118D01* -X134683642Y-131910666D01* -X134676600Y-131923840D01* -X134672264Y-131938134D01* -X134670800Y-131953000D01* -X134569200Y-131953000D01* -X134567736Y-131938134D01* -X134563400Y-131923840D01* -X134556358Y-131910666D01* -X134546882Y-131899118D01* -X134535334Y-131889642D01* -X134522160Y-131882600D01* -X134507866Y-131878264D01* -X134493000Y-131876800D01* -X134112000Y-131876800D01* -X134097134Y-131878264D01* -X134082840Y-131882600D01* -X134069666Y-131889642D01* -X134058118Y-131899118D01* -X134048642Y-131910666D01* -X134041600Y-131923840D01* -X134037264Y-131938134D01* -X134035800Y-131953000D01* -X132664200Y-131953000D01* -X132662736Y-131938134D01* -X132658400Y-131923840D01* -X132651358Y-131910666D01* -X132641882Y-131899118D01* -X132630334Y-131889642D01* -X132617160Y-131882600D01* -X132602866Y-131878264D01* -X132588000Y-131876800D01* -X132207000Y-131876800D01* -X132192134Y-131878264D01* -X132177840Y-131882600D01* -X132164666Y-131889642D01* -X132153118Y-131899118D01* -X132143642Y-131910666D01* -X132136600Y-131923840D01* -X132132264Y-131938134D01* -X132130800Y-131953000D01* -X132029200Y-131953000D01* -X132027736Y-131938134D01* -X132023400Y-131923840D01* -X132016358Y-131910666D01* -X132006882Y-131899118D01* -X131995334Y-131889642D01* -X131982160Y-131882600D01* -X131967866Y-131878264D01* -X131953000Y-131876800D01* -X131572000Y-131876800D01* -X131557134Y-131878264D01* -X131542840Y-131882600D01* -X131529666Y-131889642D01* -X131518118Y-131899118D01* -X131508642Y-131910666D01* -X131501600Y-131923840D01* -X131497264Y-131938134D01* -X131495800Y-131953000D01* -X130124200Y-131953000D01* -X130122736Y-131938134D01* -X130118400Y-131923840D01* -X130111358Y-131910666D01* -X130101882Y-131899118D01* -X130090334Y-131889642D01* -X130077160Y-131882600D01* -X130062866Y-131878264D01* -X130048000Y-131876800D01* -X129667000Y-131876800D01* -X129652134Y-131878264D01* -X129637840Y-131882600D01* -X129624666Y-131889642D01* -X129613118Y-131899118D01* -X129603642Y-131910666D01* -X129596600Y-131923840D01* -X129592264Y-131938134D01* -X129590800Y-131953000D01* -X129489200Y-131953000D01* -X129487736Y-131938134D01* -X129483400Y-131923840D01* -X129476358Y-131910666D01* -X129466882Y-131899118D01* -X129455334Y-131889642D01* -X129442160Y-131882600D01* -X129427866Y-131878264D01* -X129413000Y-131876800D01* -X129032000Y-131876800D01* -X129017134Y-131878264D01* -X129002840Y-131882600D01* -X128989666Y-131889642D01* -X128978118Y-131899118D01* -X128968642Y-131910666D01* -X128961600Y-131923840D01* -X128957264Y-131938134D01* -X128955800Y-131953000D01* -X127584200Y-131953000D01* -X127582736Y-131938134D01* -X127578400Y-131923840D01* -X127571358Y-131910666D01* -X127561882Y-131899118D01* -X127550334Y-131889642D01* -X127537160Y-131882600D01* -X127522866Y-131878264D01* -X127508000Y-131876800D01* -X127127000Y-131876800D01* -X127112134Y-131878264D01* -X127097840Y-131882600D01* -X127084666Y-131889642D01* -X127073118Y-131899118D01* -X127063642Y-131910666D01* -X127056600Y-131923840D01* -X127052264Y-131938134D01* -X127050800Y-131953000D01* -X126949200Y-131953000D01* -X126947736Y-131938134D01* -X126943400Y-131923840D01* -X126936358Y-131910666D01* -X126926882Y-131899118D01* -X126915334Y-131889642D01* -X126902160Y-131882600D01* -X126887866Y-131878264D01* -X126873000Y-131876800D01* -X126492000Y-131876800D01* -X126477134Y-131878264D01* -X126462840Y-131882600D01* -X126449666Y-131889642D01* -X126438118Y-131899118D01* -X126428642Y-131910666D01* -X126421600Y-131923840D01* -X126417264Y-131938134D01* -X126415800Y-131953000D01* -X125044200Y-131953000D01* -X125042736Y-131938134D01* -X125038400Y-131923840D01* -X125031358Y-131910666D01* -X125021882Y-131899118D01* -X125010334Y-131889642D01* -X124997160Y-131882600D01* -X124982866Y-131878264D01* -X124968000Y-131876800D01* -X124587000Y-131876800D01* -X124572134Y-131878264D01* -X124557840Y-131882600D01* -X124544666Y-131889642D01* -X124533118Y-131899118D01* -X124523642Y-131910666D01* -X124516600Y-131923840D01* -X124512264Y-131938134D01* -X124510800Y-131953000D01* -X124409200Y-131953000D01* -X124407736Y-131938134D01* -X124403400Y-131923840D01* -X124396358Y-131910666D01* -X124386882Y-131899118D01* -X124375334Y-131889642D01* -X124362160Y-131882600D01* -X124347866Y-131878264D01* -X124333000Y-131876800D01* -X123952000Y-131876800D01* -X123937134Y-131878264D01* -X123922840Y-131882600D01* -X123909666Y-131889642D01* -X123898118Y-131899118D01* -X123888642Y-131910666D01* -X123881600Y-131923840D01* -X123877264Y-131938134D01* -X123875800Y-131953000D01* -X122504200Y-131953000D01* -X122502736Y-131938134D01* -X122498400Y-131923840D01* -X122491358Y-131910666D01* -X122481882Y-131899118D01* -X122470334Y-131889642D01* -X122457160Y-131882600D01* -X122442866Y-131878264D01* -X122428000Y-131876800D01* -X122047000Y-131876800D01* -X122032134Y-131878264D01* -X122017840Y-131882600D01* -X122004666Y-131889642D01* -X121993118Y-131899118D01* -X121983642Y-131910666D01* -X121976600Y-131923840D01* -X121972264Y-131938134D01* -X121970800Y-131953000D01* -X121869200Y-131953000D01* -X121867736Y-131938134D01* -X121863400Y-131923840D01* -X121856358Y-131910666D01* -X121846882Y-131899118D01* -X121835334Y-131889642D01* -X121822160Y-131882600D01* -X121807866Y-131878264D01* -X121793000Y-131876800D01* -X121412000Y-131876800D01* -X121397134Y-131878264D01* -X121382840Y-131882600D01* -X121369666Y-131889642D01* -X121358118Y-131899118D01* -X121348642Y-131910666D01* -X121341600Y-131923840D01* -X121337264Y-131938134D01* -X121335800Y-131953000D01* -X119964200Y-131953000D01* -X119962736Y-131938134D01* -X119958400Y-131923840D01* -X119951358Y-131910666D01* -X119941882Y-131899118D01* -X119930334Y-131889642D01* -X119917160Y-131882600D01* -X119902866Y-131878264D01* -X119888000Y-131876800D01* -X119507000Y-131876800D01* -X119492134Y-131878264D01* -X119477840Y-131882600D01* -X119464666Y-131889642D01* -X119453118Y-131899118D01* -X119443642Y-131910666D01* -X119436600Y-131923840D01* -X119432264Y-131938134D01* -X119430800Y-131953000D01* -X119329200Y-131953000D01* -X119327736Y-131938134D01* -X119323400Y-131923840D01* -X119316358Y-131910666D01* -X119306882Y-131899118D01* -X119295334Y-131889642D01* -X119282160Y-131882600D01* -X119267866Y-131878264D01* -X119253000Y-131876800D01* -X118872000Y-131876800D01* -X118857134Y-131878264D01* -X118842840Y-131882600D01* -X118829666Y-131889642D01* -X118818118Y-131899118D01* -X118808642Y-131910666D01* -X118801600Y-131923840D01* -X118797264Y-131938134D01* -X118795800Y-131953000D01* -X117424200Y-131953000D01* -X117422736Y-131938134D01* -X117418400Y-131923840D01* -X117411358Y-131910666D01* -X117401882Y-131899118D01* -X117390334Y-131889642D01* -X117377160Y-131882600D01* -X117362866Y-131878264D01* -X117348000Y-131876800D01* -X116967000Y-131876800D01* -X116952134Y-131878264D01* -X116937840Y-131882600D01* -X116924666Y-131889642D01* -X116913118Y-131899118D01* -X116903642Y-131910666D01* -X116896600Y-131923840D01* -X116892264Y-131938134D01* -X116890800Y-131953000D01* -X116789200Y-131953000D01* -X116787736Y-131938134D01* -X116783400Y-131923840D01* -X116776358Y-131910666D01* -X116766882Y-131899118D01* -X116755334Y-131889642D01* -X116742160Y-131882600D01* -X116727866Y-131878264D01* -X116713000Y-131876800D01* -X116332000Y-131876800D01* -X116317134Y-131878264D01* -X116302840Y-131882600D01* -X116289666Y-131889642D01* -X116278118Y-131899118D01* -X116268642Y-131910666D01* -X116261600Y-131923840D01* -X116257264Y-131938134D01* -X116255800Y-131953000D01* -X114884200Y-131953000D01* -X114882736Y-131938134D01* -X114878400Y-131923840D01* -X114871358Y-131910666D01* -X114861882Y-131899118D01* -X114850334Y-131889642D01* -X114837160Y-131882600D01* -X114822866Y-131878264D01* -X114808000Y-131876800D01* -X114427000Y-131876800D01* -X114412134Y-131878264D01* -X114397840Y-131882600D01* -X114384666Y-131889642D01* -X114373118Y-131899118D01* -X114363642Y-131910666D01* -X114356600Y-131923840D01* -X114352264Y-131938134D01* -X114350800Y-131953000D01* -X114249200Y-131953000D01* -X114247736Y-131938134D01* -X114243400Y-131923840D01* -X114236358Y-131910666D01* -X114226882Y-131899118D01* -X114215334Y-131889642D01* -X114202160Y-131882600D01* -X114187866Y-131878264D01* -X114173000Y-131876800D01* -X113792000Y-131876800D01* -X113777134Y-131878264D01* -X113762840Y-131882600D01* -X113749666Y-131889642D01* -X113738118Y-131899118D01* -X113728642Y-131910666D01* -X113721600Y-131923840D01* -X113717264Y-131938134D01* -X113715800Y-131953000D01* -X112344200Y-131953000D01* -X112342736Y-131938134D01* -X112338400Y-131923840D01* -X112331358Y-131910666D01* -X112321882Y-131899118D01* -X112310334Y-131889642D01* -X112297160Y-131882600D01* -X112282866Y-131878264D01* -X112268000Y-131876800D01* -X111887000Y-131876800D01* -X111872134Y-131878264D01* -X111857840Y-131882600D01* -X111844666Y-131889642D01* -X111833118Y-131899118D01* -X111823642Y-131910666D01* -X111816600Y-131923840D01* -X111812264Y-131938134D01* -X111810800Y-131953000D01* -X111709200Y-131953000D01* -X111707736Y-131938134D01* -X111703400Y-131923840D01* -X111696358Y-131910666D01* -X111686882Y-131899118D01* -X111675334Y-131889642D01* -X111662160Y-131882600D01* -X111647866Y-131878264D01* -X111633000Y-131876800D01* -X111252000Y-131876800D01* -X111237134Y-131878264D01* -X111222840Y-131882600D01* -X111209666Y-131889642D01* -X111198118Y-131899118D01* -X111188642Y-131910666D01* -X111181600Y-131923840D01* -X111177264Y-131938134D01* -X111175800Y-131953000D01* -X109804200Y-131953000D01* -X109802736Y-131938134D01* -X109798400Y-131923840D01* -X109791358Y-131910666D01* -X109781882Y-131899118D01* -X109770334Y-131889642D01* -X109757160Y-131882600D01* -X109742866Y-131878264D01* -X109728000Y-131876800D01* -X109347000Y-131876800D01* -X109332134Y-131878264D01* -X109317840Y-131882600D01* -X109304666Y-131889642D01* -X109293118Y-131899118D01* -X109283642Y-131910666D01* -X109276600Y-131923840D01* -X109272264Y-131938134D01* -X109270800Y-131953000D01* -X109169200Y-131953000D01* -X109167736Y-131938134D01* -X109163400Y-131923840D01* -X109156358Y-131910666D01* -X109146882Y-131899118D01* -X109135334Y-131889642D01* -X109122160Y-131882600D01* -X109107866Y-131878264D01* -X109093000Y-131876800D01* -X108712000Y-131876800D01* -X108697134Y-131878264D01* -X108682840Y-131882600D01* -X108669666Y-131889642D01* -X108658118Y-131899118D01* -X108648642Y-131910666D01* -X108641600Y-131923840D01* -X108637264Y-131938134D01* -X108635800Y-131953000D01* -X107264200Y-131953000D01* -X107262736Y-131938134D01* -X107258400Y-131923840D01* -X107251358Y-131910666D01* -X107241882Y-131899118D01* -X107230334Y-131889642D01* -X107217160Y-131882600D01* -X107202866Y-131878264D01* -X107188000Y-131876800D01* -X106807000Y-131876800D01* -X106792134Y-131878264D01* -X106777840Y-131882600D01* -X106764666Y-131889642D01* -X106753118Y-131899118D01* -X106743642Y-131910666D01* -X106736600Y-131923840D01* -X106732264Y-131938134D01* -X106730800Y-131953000D01* -X106629200Y-131953000D01* -X106627736Y-131938134D01* -X106623400Y-131923840D01* -X106616358Y-131910666D01* -X106606882Y-131899118D01* -X106595334Y-131889642D01* -X106582160Y-131882600D01* -X106567866Y-131878264D01* -X106553000Y-131876800D01* -X106172000Y-131876800D01* -X106157134Y-131878264D01* -X106142840Y-131882600D01* -X106129666Y-131889642D01* -X106118118Y-131899118D01* -X106108642Y-131910666D01* -X106101600Y-131923840D01* -X106097264Y-131938134D01* -X106095800Y-131953000D01* -X104724200Y-131953000D01* -X104722736Y-131938134D01* -X104718400Y-131923840D01* -X104711358Y-131910666D01* -X104701882Y-131899118D01* -X104690334Y-131889642D01* -X104677160Y-131882600D01* -X104662866Y-131878264D01* -X104648000Y-131876800D01* -X104267000Y-131876800D01* -X104252134Y-131878264D01* -X104237840Y-131882600D01* -X104224666Y-131889642D01* -X104213118Y-131899118D01* -X104203642Y-131910666D01* -X104196600Y-131923840D01* -X104192264Y-131938134D01* -X104190800Y-131953000D01* -X104089200Y-131953000D01* -X104087736Y-131938134D01* -X104083400Y-131923840D01* -X104076358Y-131910666D01* -X104066882Y-131899118D01* -X104055334Y-131889642D01* -X104042160Y-131882600D01* -X104027866Y-131878264D01* -X104013000Y-131876800D01* -X103632000Y-131876800D01* -X103617134Y-131878264D01* -X103602840Y-131882600D01* -X103589666Y-131889642D01* -X103578118Y-131899118D01* -X103568642Y-131910666D01* -X103561600Y-131923840D01* -X103557264Y-131938134D01* -X103555800Y-131953000D01* -X102184200Y-131953000D01* -X102182736Y-131938134D01* -X102178400Y-131923840D01* -X102171358Y-131910666D01* -X102161882Y-131899118D01* -X102150334Y-131889642D01* -X102137160Y-131882600D01* -X102122866Y-131878264D01* -X102108000Y-131876800D01* -X101727000Y-131876800D01* -X101712134Y-131878264D01* -X101697840Y-131882600D01* -X101684666Y-131889642D01* -X101673118Y-131899118D01* -X101663642Y-131910666D01* -X101656600Y-131923840D01* -X101652264Y-131938134D01* -X101650800Y-131953000D01* -X101549200Y-131953000D01* -X101547736Y-131938134D01* -X101543400Y-131923840D01* -X101536358Y-131910666D01* -X101526882Y-131899118D01* -X101515334Y-131889642D01* -X101502160Y-131882600D01* -X101487866Y-131878264D01* -X101473000Y-131876800D01* -X101092000Y-131876800D01* -X101077134Y-131878264D01* -X101062840Y-131882600D01* -X101049666Y-131889642D01* -X101038118Y-131899118D01* -X101028642Y-131910666D01* -X101021600Y-131923840D01* -X101017264Y-131938134D01* -X101015800Y-131953000D01* -X99644200Y-131953000D01* -X99642736Y-131938134D01* -X99638400Y-131923840D01* -X99631358Y-131910666D01* -X99621882Y-131899118D01* -X99610334Y-131889642D01* -X99597160Y-131882600D01* -X99582866Y-131878264D01* -X99568000Y-131876800D01* -X99187000Y-131876800D01* -X99172134Y-131878264D01* -X99157840Y-131882600D01* -X99144666Y-131889642D01* -X99133118Y-131899118D01* -X99123642Y-131910666D01* -X99116600Y-131923840D01* -X99112264Y-131938134D01* -X99110800Y-131953000D01* -X99009200Y-131953000D01* -X99007736Y-131938134D01* -X99003400Y-131923840D01* -X98996358Y-131910666D01* -X98986882Y-131899118D01* -X98975334Y-131889642D01* -X98962160Y-131882600D01* -X98947866Y-131878264D01* -X98933000Y-131876800D01* -X98552000Y-131876800D01* -X98537134Y-131878264D01* -X98522840Y-131882600D01* -X98509666Y-131889642D01* -X98498118Y-131899118D01* -X98488642Y-131910666D01* -X98481600Y-131923840D01* -X98477264Y-131938134D01* -X98475800Y-131953000D01* -X97104200Y-131953000D01* -X97102736Y-131938134D01* -X97098400Y-131923840D01* -X97091358Y-131910666D01* -X97081882Y-131899118D01* -X97070334Y-131889642D01* -X97057160Y-131882600D01* -X97042866Y-131878264D01* -X97028000Y-131876800D01* -X96647000Y-131876800D01* -X96632134Y-131878264D01* -X96617840Y-131882600D01* -X96604666Y-131889642D01* -X96593118Y-131899118D01* -X96583642Y-131910666D01* -X96576600Y-131923840D01* -X96572264Y-131938134D01* -X96570800Y-131953000D01* -X96469200Y-131953000D01* -X96467736Y-131938134D01* -X96463400Y-131923840D01* -X96456358Y-131910666D01* -X96446882Y-131899118D01* -X96435334Y-131889642D01* -X96422160Y-131882600D01* -X96407866Y-131878264D01* -X96393000Y-131876800D01* -X96012000Y-131876800D01* -X95997134Y-131878264D01* -X95982840Y-131882600D01* -X95969666Y-131889642D01* -X95958118Y-131899118D01* -X95948642Y-131910666D01* -X95941600Y-131923840D01* -X95937264Y-131938134D01* -X95935800Y-131953000D01* -X94564200Y-131953000D01* -X94562736Y-131938134D01* -X94558400Y-131923840D01* -X94551358Y-131910666D01* -X94541882Y-131899118D01* -X94530334Y-131889642D01* -X94517160Y-131882600D01* -X94502866Y-131878264D01* -X94488000Y-131876800D01* -X94107000Y-131876800D01* -X94092134Y-131878264D01* -X94077840Y-131882600D01* -X94064666Y-131889642D01* -X94053118Y-131899118D01* -X94043642Y-131910666D01* -X94036600Y-131923840D01* -X94032264Y-131938134D01* -X94030800Y-131953000D01* -X93929200Y-131953000D01* -X93927736Y-131938134D01* -X93923400Y-131923840D01* -X93916358Y-131910666D01* -X93906882Y-131899118D01* -X93895334Y-131889642D01* -X93882160Y-131882600D01* -X93867866Y-131878264D01* -X93853000Y-131876800D01* -X93472000Y-131876800D01* -X93457134Y-131878264D01* -X93442840Y-131882600D01* -X93429666Y-131889642D01* -X93418118Y-131899118D01* -X93408642Y-131910666D01* -X93401600Y-131923840D01* -X93397264Y-131938134D01* -X93395800Y-131953000D01* -X92024200Y-131953000D01* -X92022736Y-131938134D01* -X92018400Y-131923840D01* -X92011358Y-131910666D01* -X92001882Y-131899118D01* -X91990334Y-131889642D01* -X91977160Y-131882600D01* -X91962866Y-131878264D01* -X91948000Y-131876800D01* -X91567000Y-131876800D01* -X91552134Y-131878264D01* -X91537840Y-131882600D01* -X91524666Y-131889642D01* -X91513118Y-131899118D01* -X91503642Y-131910666D01* -X91496600Y-131923840D01* -X91492264Y-131938134D01* -X91490800Y-131953000D01* -X91389200Y-131953000D01* -X91387736Y-131938134D01* -X91383400Y-131923840D01* -X91376358Y-131910666D01* -X91366882Y-131899118D01* -X91355334Y-131889642D01* -X91342160Y-131882600D01* -X91327866Y-131878264D01* -X91313000Y-131876800D01* -X90932000Y-131876800D01* -X90917134Y-131878264D01* -X90902840Y-131882600D01* -X90889666Y-131889642D01* -X90878118Y-131899118D01* -X90868642Y-131910666D01* -X90861600Y-131923840D01* -X90857264Y-131938134D01* -X90855800Y-131953000D01* -X89484200Y-131953000D01* -X89482736Y-131938134D01* -X89478400Y-131923840D01* -X89471358Y-131910666D01* -X89461882Y-131899118D01* -X89450334Y-131889642D01* -X89437160Y-131882600D01* -X89422866Y-131878264D01* -X89408000Y-131876800D01* -X89027000Y-131876800D01* -X89012134Y-131878264D01* -X88997840Y-131882600D01* -X88984666Y-131889642D01* -X88973118Y-131899118D01* -X88963642Y-131910666D01* -X88956600Y-131923840D01* -X88952264Y-131938134D01* -X88950800Y-131953000D01* -X88849200Y-131953000D01* -X88847736Y-131938134D01* -X88843400Y-131923840D01* -X88836358Y-131910666D01* -X88826882Y-131899118D01* -X88815334Y-131889642D01* -X88802160Y-131882600D01* -X88787866Y-131878264D01* -X88773000Y-131876800D01* -X88392000Y-131876800D01* -X88377134Y-131878264D01* -X88362840Y-131882600D01* -X88349666Y-131889642D01* -X88338118Y-131899118D01* -X88328642Y-131910666D01* -X88321600Y-131923840D01* -X88317264Y-131938134D01* -X88315800Y-131953000D01* -X86944200Y-131953000D01* -X86942736Y-131938134D01* -X86938400Y-131923840D01* -X86931358Y-131910666D01* -X86921882Y-131899118D01* -X86910334Y-131889642D01* -X86897160Y-131882600D01* -X86882866Y-131878264D01* -X86868000Y-131876800D01* -X86487000Y-131876800D01* -X86472134Y-131878264D01* -X86457840Y-131882600D01* -X86444666Y-131889642D01* -X86433118Y-131899118D01* -X86423642Y-131910666D01* -X86416600Y-131923840D01* -X86412264Y-131938134D01* -X86410800Y-131953000D01* -X86309200Y-131953000D01* -X86307736Y-131938134D01* -X86303400Y-131923840D01* -X86296358Y-131910666D01* -X86286882Y-131899118D01* -X86275334Y-131889642D01* -X86262160Y-131882600D01* -X86247866Y-131878264D01* -X86233000Y-131876800D01* -X85852000Y-131876800D01* -X85837134Y-131878264D01* -X85822840Y-131882600D01* -X85809666Y-131889642D01* -X85798118Y-131899118D01* -X85788642Y-131910666D01* -X85781600Y-131923840D01* -X85777264Y-131938134D01* -X85775800Y-131953000D01* -X84404200Y-131953000D01* -X84402736Y-131938134D01* -X84398400Y-131923840D01* -X84391358Y-131910666D01* -X84381882Y-131899118D01* -X84370334Y-131889642D01* -X84357160Y-131882600D01* -X84342866Y-131878264D01* -X84328000Y-131876800D01* -X83947000Y-131876800D01* -X83932134Y-131878264D01* -X83917840Y-131882600D01* -X83904666Y-131889642D01* -X83893118Y-131899118D01* -X83883642Y-131910666D01* -X83876600Y-131923840D01* -X83872264Y-131938134D01* -X83870800Y-131953000D01* -X83769200Y-131953000D01* -X83767736Y-131938134D01* -X83763400Y-131923840D01* -X83756358Y-131910666D01* -X83746882Y-131899118D01* -X83735334Y-131889642D01* -X83722160Y-131882600D01* -X83707866Y-131878264D01* -X83693000Y-131876800D01* -X83312000Y-131876800D01* -X83297134Y-131878264D01* -X83282840Y-131882600D01* -X83269666Y-131889642D01* -X83258118Y-131899118D01* -X83248642Y-131910666D01* -X83241600Y-131923840D01* -X83237264Y-131938134D01* -X83235800Y-131953000D01* -X81864200Y-131953000D01* -X81862736Y-131938134D01* -X81858400Y-131923840D01* -X81851358Y-131910666D01* -X81841882Y-131899118D01* -X81830334Y-131889642D01* -X81817160Y-131882600D01* -X81802866Y-131878264D01* -X81788000Y-131876800D01* -X81407000Y-131876800D01* -X81392134Y-131878264D01* -X81377840Y-131882600D01* -X81364666Y-131889642D01* -X81353118Y-131899118D01* -X81343642Y-131910666D01* -X81336600Y-131923840D01* -X81332264Y-131938134D01* -X81330800Y-131953000D01* -X81229200Y-131953000D01* -X81227736Y-131938134D01* -X81223400Y-131923840D01* -X81216358Y-131910666D01* -X81206882Y-131899118D01* -X81195334Y-131889642D01* -X81182160Y-131882600D01* -X81167866Y-131878264D01* -X81153000Y-131876800D01* -X80772000Y-131876800D01* -X80757134Y-131878264D01* -X80742840Y-131882600D01* -X80729666Y-131889642D01* -X80718118Y-131899118D01* -X80708642Y-131910666D01* -X80701600Y-131923840D01* -X80697264Y-131938134D01* -X80695800Y-131953000D01* -X79324200Y-131953000D01* -X79322736Y-131938134D01* -X79318400Y-131923840D01* -X79311358Y-131910666D01* -X79301882Y-131899118D01* -X79290334Y-131889642D01* -X79277160Y-131882600D01* -X79262866Y-131878264D01* -X79248000Y-131876800D01* -X78867000Y-131876800D01* -X78852134Y-131878264D01* -X78837840Y-131882600D01* -X78824666Y-131889642D01* -X78813118Y-131899118D01* -X78803642Y-131910666D01* -X78796600Y-131923840D01* -X78792264Y-131938134D01* -X78790800Y-131953000D01* -X78689200Y-131953000D01* -X78687736Y-131938134D01* -X78683400Y-131923840D01* -X78676358Y-131910666D01* -X78666882Y-131899118D01* -X78655334Y-131889642D01* -X78642160Y-131882600D01* -X78627866Y-131878264D01* -X78613000Y-131876800D01* -X78232000Y-131876800D01* -X78217134Y-131878264D01* -X78202840Y-131882600D01* -X78189666Y-131889642D01* -X78178118Y-131899118D01* -X78168642Y-131910666D01* -X78161600Y-131923840D01* -X78157264Y-131938134D01* -X78155800Y-131953000D01* -X76784200Y-131953000D01* -X76782736Y-131938134D01* -X76778400Y-131923840D01* -X76771358Y-131910666D01* -X76761882Y-131899118D01* -X76750334Y-131889642D01* -X76737160Y-131882600D01* -X76722866Y-131878264D01* -X76708000Y-131876800D01* -X76327000Y-131876800D01* -X76312134Y-131878264D01* -X76297840Y-131882600D01* -X76284666Y-131889642D01* -X76273118Y-131899118D01* -X76263642Y-131910666D01* -X76256600Y-131923840D01* -X76252264Y-131938134D01* -X76250800Y-131953000D01* -X76149200Y-131953000D01* -X76147736Y-131938134D01* -X76143400Y-131923840D01* -X76136358Y-131910666D01* -X76126882Y-131899118D01* -X76115334Y-131889642D01* -X76102160Y-131882600D01* -X76087866Y-131878264D01* -X76073000Y-131876800D01* -X75692000Y-131876800D01* -X75677134Y-131878264D01* -X75662840Y-131882600D01* -X75649666Y-131889642D01* -X75638118Y-131899118D01* -X75628642Y-131910666D01* -X75621600Y-131923840D01* -X75617264Y-131938134D01* -X75615800Y-131953000D01* -X74498200Y-131953000D01* -X74498200Y-131572000D01* -X74496736Y-131557134D01* -X74492400Y-131542840D01* -X74485358Y-131529666D01* -X74475882Y-131518118D01* -X74464334Y-131508642D01* -X74451160Y-131501600D01* -X74436866Y-131497264D01* -X74422000Y-131495800D01* -X48140544Y-131495800D01* -X47522951Y-131372281D01* -X47066113Y-131143862D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131655870Y-131417702D01* -X131708247Y-131496090D01* -X131774910Y-131562753D01* -X131853298Y-131615130D01* -X131940397Y-131651208D01* -X132032862Y-131669600D01* -X132127138Y-131669600D01* -X132219603Y-131651208D01* -X132306702Y-131615130D01* -X132385090Y-131562753D01* -X132451753Y-131496090D01* -X132504130Y-131417702D01* -X132540208Y-131330603D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134195870Y-131417702D01* -X134248247Y-131496090D01* -X134314910Y-131562753D01* -X134393298Y-131615130D01* -X134480397Y-131651208D01* -X134572862Y-131669600D01* -X134667138Y-131669600D01* -X134759603Y-131651208D01* -X134846702Y-131615130D01* -X134925090Y-131562753D01* -X134991753Y-131496090D01* -X135044130Y-131417702D01* -X135080208Y-131330603D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X47066113Y-131143862D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46177200Y-129532456D01* -X46177200Y-129478088D01* -X75571400Y-129478088D01* -X75571400Y-129601912D01* -X75595556Y-129723356D01* -X75642941Y-129837754D01* -X75711734Y-129940709D01* -X75799291Y-130028266D01* -X75902246Y-130097059D01* -X76016644Y-130144444D01* -X76138088Y-130168600D01* -X76261912Y-130168600D01* -X76383356Y-130144444D01* -X76497754Y-130097059D01* -X76600709Y-130028266D01* -X76688266Y-129940709D01* -X76757059Y-129837754D01* -X76804444Y-129723356D01* -X76828600Y-129601912D01* -X76828600Y-129478088D01* -X116465400Y-129478088D01* -X116465400Y-129601912D01* -X116489556Y-129723356D01* -X116536941Y-129837754D01* -X116605734Y-129940709D01* -X116693291Y-130028266D01* -X116796246Y-130097059D01* -X116910644Y-130144444D01* -X117032088Y-130168600D01* -X117155912Y-130168600D01* -X117277356Y-130144444D01* -X117391754Y-130097059D01* -X117494709Y-130028266D01* -X117582266Y-129940709D01* -X117651059Y-129837754D01* -X117698444Y-129723356D01* -X117722600Y-129601912D01* -X117722600Y-129478088D01* -X118497400Y-129478088D01* -X118497400Y-129601912D01* -X118521556Y-129723356D01* -X118568941Y-129837754D01* -X118637734Y-129940709D01* -X118725291Y-130028266D01* -X118828246Y-130097059D01* -X118942644Y-130144444D01* -X119064088Y-130168600D01* -X119187912Y-130168600D01* -X119309356Y-130144444D01* -X119423754Y-130097059D01* -X119526709Y-130028266D01* -X119614266Y-129940709D01* -X119683059Y-129837754D01* -X119730444Y-129723356D01* -X119735798Y-129696434D01* -X136169400Y-129696434D01* -X136169400Y-129891566D01* -X136207468Y-130082947D01* -X136282142Y-130263225D01* -X136390551Y-130425471D01* -X136528529Y-130563449D01* -X136690775Y-130671858D01* -X136871053Y-130746532D01* -X137062434Y-130784600D01* -X137257566Y-130784600D01* -X137448947Y-130746532D01* -X137629225Y-130671858D01* -X137791471Y-130563449D01* -X137929449Y-130425471D01* -X138037858Y-130263225D01* -X138112532Y-130082947D01* -X138150600Y-129891566D01* -X138150600Y-129696434D01* -X138112532Y-129505053D01* -X138037858Y-129324775D01* -X137929449Y-129162529D01* -X137791471Y-129024551D01* -X137629225Y-128916142D01* -X137448947Y-128841468D01* -X137257566Y-128803400D01* -X137062434Y-128803400D01* -X136871053Y-128841468D01* -X136690775Y-128916142D01* -X136528529Y-129024551D01* -X136390551Y-129162529D01* -X136282142Y-129324775D01* -X136207468Y-129505053D01* -X136169400Y-129696434D01* -X119735798Y-129696434D01* -X119754600Y-129601912D01* -X119754600Y-129478088D01* -X119730444Y-129356644D01* -X119683059Y-129242246D01* -X119614266Y-129139291D01* -X119526709Y-129051734D01* -X119423754Y-128982941D01* -X119309356Y-128935556D01* -X119187912Y-128911400D01* -X119064088Y-128911400D01* -X118942644Y-128935556D01* -X118828246Y-128982941D01* -X118725291Y-129051734D01* -X118637734Y-129139291D01* -X118568941Y-129242246D01* -X118521556Y-129356644D01* -X118497400Y-129478088D01* -X117722600Y-129478088D01* -X117698444Y-129356644D01* -X117651059Y-129242246D01* -X117582266Y-129139291D01* -X117494709Y-129051734D01* -X117391754Y-128982941D01* -X117277356Y-128935556D01* -X117155912Y-128911400D01* -X117032088Y-128911400D01* -X116910644Y-128935556D01* -X116796246Y-128982941D01* -X116693291Y-129051734D01* -X116605734Y-129139291D01* -X116536941Y-129242246D01* -X116489556Y-129356644D01* -X116465400Y-129478088D01* -X76828600Y-129478088D01* -X76804444Y-129356644D01* -X76757059Y-129242246D01* -X76688266Y-129139291D01* -X76600709Y-129051734D01* -X76497754Y-128982941D01* -X76383356Y-128935556D01* -X76261912Y-128911400D01* -X76138088Y-128911400D01* -X76016644Y-128935556D01* -X75902246Y-128982941D01* -X75799291Y-129051734D01* -X75711734Y-129139291D01* -X75642941Y-129242246D01* -X75595556Y-129356644D01* -X75571400Y-129478088D01* -X46177200Y-129478088D01* -X46177200Y-128208088D01* -X76714400Y-128208088D01* -X76714400Y-128331912D01* -X76738556Y-128453356D01* -X76785941Y-128567754D01* -X76854734Y-128670709D01* -X76942291Y-128758266D01* -X77045246Y-128827059D01* -X77159644Y-128874444D01* -X77281088Y-128898600D01* -X77404912Y-128898600D01* -X77526356Y-128874444D01* -X77640754Y-128827059D01* -X77743709Y-128758266D01* -X77831266Y-128670709D01* -X77900059Y-128567754D01* -X77947444Y-128453356D01* -X77971600Y-128331912D01* -X77971600Y-128208088D01* -X77947444Y-128086644D01* -X77900059Y-127972246D01* -X77853698Y-127902862D01* -X112671400Y-127902862D01* -X112671400Y-127997138D01* -X112689792Y-128089603D01* -X112725870Y-128176702D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113553158Y-128208088D01* -X137674400Y-128208088D01* -X137674400Y-128331912D01* -X137698556Y-128453356D01* -X137745941Y-128567754D01* -X137814734Y-128670709D01* -X137902291Y-128758266D01* -X138005246Y-128827059D01* -X138119644Y-128874444D01* -X138241088Y-128898600D01* -X138364912Y-128898600D01* -X138486356Y-128874444D01* -X138600754Y-128827059D01* -X138703709Y-128758266D01* -X138791266Y-128670709D01* -X138860059Y-128567754D01* -X138907444Y-128453356D01* -X138931600Y-128331912D01* -X138931600Y-128208088D01* -X138907444Y-128086644D01* -X138860059Y-127972246D01* -X138791266Y-127869291D01* -X138703709Y-127781734D01* -X138600754Y-127712941D01* -X138486356Y-127665556D01* -X138364912Y-127641400D01* -X138241088Y-127641400D01* -X138119644Y-127665556D01* -X138005246Y-127712941D01* -X137902291Y-127781734D01* -X137814734Y-127869291D01* -X137745941Y-127972246D01* -X137698556Y-128086644D01* -X137674400Y-128208088D01* -X113553158Y-128208088D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113610208Y-127810397D01* -X113574130Y-127723298D01* -X113521753Y-127644910D01* -X113455090Y-127578247D01* -X113376702Y-127525870D01* -X113289603Y-127489792D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112725870Y-127723298D01* -X112689792Y-127810397D01* -X112671400Y-127902862D01* -X77853698Y-127902862D01* -X77831266Y-127869291D01* -X77743709Y-127781734D01* -X77640754Y-127712941D01* -X77526356Y-127665556D01* -X77404912Y-127641400D01* -X77281088Y-127641400D01* -X77159644Y-127665556D01* -X77045246Y-127712941D01* -X76942291Y-127781734D01* -X76854734Y-127869291D01* -X76785941Y-127972246D01* -X76738556Y-128086644D01* -X76714400Y-128208088D01* -X46177200Y-128208088D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48865602Y-127333862D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84739792Y-127520603D01* -X84775870Y-127607702D01* -X84828247Y-127686090D01* -X84894910Y-127752753D01* -X84973298Y-127805130D01* -X85060397Y-127841208D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85624130Y-127607702D01* -X85660208Y-127520603D01* -X85678600Y-127428138D01* -X85678600Y-127333862D01* -X85660208Y-127241397D01* -X85624130Y-127154298D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85381359Y-126938088D01* -X136531400Y-126938088D01* -X136531400Y-127061912D01* -X136555556Y-127183356D01* -X136602941Y-127297754D01* -X136671734Y-127400709D01* -X136759291Y-127488266D01* -X136862246Y-127557059D01* -X136976644Y-127604444D01* -X137098088Y-127628600D01* -X137221912Y-127628600D01* -X137343356Y-127604444D01* -X137457754Y-127557059D01* -X137560709Y-127488266D01* -X137648266Y-127400709D01* -X137717059Y-127297754D01* -X137764444Y-127183356D01* -X137788600Y-127061912D01* -X137788600Y-126938088D01* -X137785153Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X137785153Y-126920754D01* -X137764444Y-126816644D01* -X137717059Y-126702246D01* -X137648266Y-126599291D01* -X137560709Y-126511734D01* -X137457754Y-126442941D01* -X137343356Y-126395556D01* -X137221912Y-126371400D01* -X137098088Y-126371400D01* -X136976644Y-126395556D01* -X136862246Y-126442941D01* -X136759291Y-126511734D01* -X136671734Y-126599291D01* -X136602941Y-126702246D01* -X136555556Y-126816644D01* -X136531400Y-126938088D01* -X85381359Y-126938088D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X48865602Y-127333862D01* -X48906680Y-127234693D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48914151Y-126802862D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79539603Y-127310208D01* -X79626702Y-127274130D01* -X79705090Y-127221753D01* -X79771753Y-127155090D01* -X79824130Y-127076702D01* -X79860208Y-126989603D01* -X79878600Y-126897138D01* -X79878600Y-126802862D01* -X80221400Y-126802862D01* -X80221400Y-126897138D01* -X80239792Y-126989603D01* -X80275870Y-127076702D01* -X80328247Y-127155090D01* -X80394910Y-127221753D01* -X80473298Y-127274130D01* -X80560397Y-127310208D01* -X80652862Y-127328600D01* -X80747138Y-127328600D01* -X80839603Y-127310208D01* -X80926702Y-127274130D01* -X81005090Y-127221753D01* -X81071753Y-127155090D01* -X81124130Y-127076702D01* -X81160208Y-126989603D01* -X81178600Y-126897138D01* -X81178600Y-126802862D01* -X81521400Y-126802862D01* -X81521400Y-126897138D01* -X81539792Y-126989603D01* -X81575870Y-127076702D01* -X81628247Y-127155090D01* -X81694910Y-127221753D01* -X81773298Y-127274130D01* -X81860397Y-127310208D01* -X81952862Y-127328600D01* -X82047138Y-127328600D01* -X82139603Y-127310208D01* -X82226702Y-127274130D01* -X82305090Y-127221753D01* -X82371753Y-127155090D01* -X82424130Y-127076702D01* -X82460208Y-126989603D01* -X82478600Y-126897138D01* -X82478600Y-126802862D01* -X82821400Y-126802862D01* -X82821400Y-126897138D01* -X82839792Y-126989603D01* -X82875870Y-127076702D01* -X82928247Y-127155090D01* -X82994910Y-127221753D01* -X83073298Y-127274130D01* -X83160397Y-127310208D01* -X83252862Y-127328600D01* -X83347138Y-127328600D01* -X83439603Y-127310208D01* -X83526702Y-127274130D01* -X83605090Y-127221753D01* -X83671753Y-127155090D01* -X83724130Y-127076702D01* -X83760208Y-126989603D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83526702Y-126425870D01* -X83439603Y-126389792D01* -X83347138Y-126371400D01* -X83252862Y-126371400D01* -X83160397Y-126389792D01* -X83073298Y-126425870D01* -X82994910Y-126478247D01* -X82928247Y-126544910D01* -X82875870Y-126623298D01* -X82839792Y-126710397D01* -X82821400Y-126802862D01* -X82478600Y-126802862D01* -X82460208Y-126710397D01* -X82424130Y-126623298D01* -X82371753Y-126544910D01* -X82305090Y-126478247D01* -X82226702Y-126425870D01* -X82139603Y-126389792D01* -X82047138Y-126371400D01* -X81952862Y-126371400D01* -X81860397Y-126389792D01* -X81773298Y-126425870D01* -X81694910Y-126478247D01* -X81628247Y-126544910D01* -X81575870Y-126623298D01* -X81539792Y-126710397D01* -X81521400Y-126802862D01* -X81178600Y-126802862D01* -X81160208Y-126710397D01* -X81124130Y-126623298D01* -X81071753Y-126544910D01* -X81005090Y-126478247D01* -X80926702Y-126425870D01* -X80839603Y-126389792D01* -X80747138Y-126371400D01* -X80652862Y-126371400D01* -X80560397Y-126389792D01* -X80473298Y-126425870D01* -X80394910Y-126478247D01* -X80328247Y-126544910D01* -X80275870Y-126623298D01* -X80239792Y-126710397D01* -X80221400Y-126802862D01* -X79878600Y-126802862D01* -X79860208Y-126710397D01* -X79824130Y-126623298D01* -X79771753Y-126544910D01* -X79705090Y-126478247D01* -X79626702Y-126425870D01* -X79539603Y-126389792D01* -X79447138Y-126371400D01* -X79352862Y-126371400D01* -X79260397Y-126389792D01* -X79173298Y-126425870D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X48914151Y-126802862D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-126052862D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78289792Y-126239603D01* -X78325870Y-126326702D01* -X78378247Y-126405090D01* -X78444910Y-126471753D01* -X78523298Y-126524130D01* -X78610397Y-126560208D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79121753Y-126405090D01* -X79174130Y-126326702D01* -X79210208Y-126239603D01* -X79228600Y-126147138D01* -X79228600Y-126052862D01* -X79571400Y-126052862D01* -X79571400Y-126147138D01* -X79589792Y-126239603D01* -X79625870Y-126326702D01* -X79678247Y-126405090D01* -X79744910Y-126471753D01* -X79823298Y-126524130D01* -X79910397Y-126560208D01* -X80002862Y-126578600D01* -X80097138Y-126578600D01* -X80189603Y-126560208D01* -X80276702Y-126524130D01* -X80355090Y-126471753D01* -X80421753Y-126405090D01* -X80474130Y-126326702D01* -X80510208Y-126239603D01* -X80528600Y-126147138D01* -X80528600Y-126052862D01* -X80871400Y-126052862D01* -X80871400Y-126147138D01* -X80889792Y-126239603D01* -X80925870Y-126326702D01* -X80978247Y-126405090D01* -X81044910Y-126471753D01* -X81123298Y-126524130D01* -X81210397Y-126560208D01* -X81302862Y-126578600D01* -X81397138Y-126578600D01* -X81489603Y-126560208D01* -X81576702Y-126524130D01* -X81655090Y-126471753D01* -X81721753Y-126405090D01* -X81774130Y-126326702D01* -X81810208Y-126239603D01* -X81828600Y-126147138D01* -X81828600Y-126052862D01* -X82171400Y-126052862D01* -X82171400Y-126147138D01* -X82189792Y-126239603D01* -X82225870Y-126326702D01* -X82278247Y-126405090D01* -X82344910Y-126471753D01* -X82423298Y-126524130D01* -X82510397Y-126560208D01* -X82602862Y-126578600D01* -X82697138Y-126578600D01* -X82789603Y-126560208D01* -X82876702Y-126524130D01* -X82955090Y-126471753D01* -X83021753Y-126405090D01* -X83074130Y-126326702D01* -X83110208Y-126239603D01* -X83128600Y-126147138D01* -X83128600Y-126052862D01* -X83125662Y-126038088D01* -X129721400Y-126038088D01* -X129721400Y-126161912D01* -X129745556Y-126283356D01* -X129792941Y-126397754D01* -X129861734Y-126500709D01* -X129949291Y-126588266D01* -X130052246Y-126657059D01* -X130166644Y-126704444D01* -X130288088Y-126728600D01* -X130411912Y-126728600D01* -X130533356Y-126704444D01* -X130647754Y-126657059D01* -X130750709Y-126588266D01* -X130838266Y-126500709D01* -X130907059Y-126397754D01* -X130954444Y-126283356D01* -X130978600Y-126161912D01* -X130978600Y-126038088D01* -X132121400Y-126038088D01* -X132121400Y-126161912D01* -X132145556Y-126283356D01* -X132192941Y-126397754D01* -X132261734Y-126500709D01* -X132349291Y-126588266D01* -X132452246Y-126657059D01* -X132566644Y-126704444D01* -X132688088Y-126728600D01* -X132811912Y-126728600D01* -X132933356Y-126704444D01* -X133047754Y-126657059D01* -X133150709Y-126588266D01* -X133238266Y-126500709D01* -X133307059Y-126397754D01* -X133354444Y-126283356D01* -X133378600Y-126161912D01* -X133378600Y-126038088D01* -X133368655Y-125988088D01* -X134571400Y-125988088D01* -X134571400Y-126111912D01* -X134595556Y-126233356D01* -X134642941Y-126347754D01* -X134711734Y-126450709D01* -X134799291Y-126538266D01* -X134902246Y-126607059D01* -X135016644Y-126654444D01* -X135138088Y-126678600D01* -X135261912Y-126678600D01* -X135383356Y-126654444D01* -X135497754Y-126607059D01* -X135600709Y-126538266D01* -X135688266Y-126450709D01* -X135757059Y-126347754D01* -X135804444Y-126233356D01* -X135828600Y-126111912D01* -X135828600Y-125988088D01* -X135804444Y-125866644D01* -X135757059Y-125752246D01* -X135688266Y-125649291D01* -X135600709Y-125561734D01* -X135497754Y-125492941D01* -X135383356Y-125445556D01* -X135261912Y-125421400D01* -X135138088Y-125421400D01* -X135016644Y-125445556D01* -X134902246Y-125492941D01* -X134799291Y-125561734D01* -X134711734Y-125649291D01* -X134642941Y-125752246D01* -X134595556Y-125866644D01* -X134571400Y-125988088D01* -X133368655Y-125988088D01* -X133354444Y-125916644D01* -X133307059Y-125802246D01* -X133238266Y-125699291D01* -X133150709Y-125611734D01* -X133047754Y-125542941D01* -X132933356Y-125495556D01* -X132811912Y-125471400D01* -X132688088Y-125471400D01* -X132566644Y-125495556D01* -X132452246Y-125542941D01* -X132349291Y-125611734D01* -X132261734Y-125699291D01* -X132192941Y-125802246D01* -X132145556Y-125916644D01* -X132121400Y-126038088D01* -X130978600Y-126038088D01* -X130954444Y-125916644D01* -X130907059Y-125802246D01* -X130838266Y-125699291D01* -X130750709Y-125611734D01* -X130647754Y-125542941D01* -X130533356Y-125495556D01* -X130411912Y-125471400D01* -X130288088Y-125471400D01* -X130166644Y-125495556D01* -X130052246Y-125542941D01* -X129949291Y-125611734D01* -X129861734Y-125699291D01* -X129792941Y-125802246D01* -X129745556Y-125916644D01* -X129721400Y-126038088D01* -X83125662Y-126038088D01* -X83110208Y-125960397D01* -X83074130Y-125873298D01* -X83021753Y-125794910D01* -X82955090Y-125728247D01* -X82876702Y-125675870D01* -X82789603Y-125639792D01* -X82697138Y-125621400D01* -X82602862Y-125621400D01* -X82510397Y-125639792D01* -X82423298Y-125675870D01* -X82344910Y-125728247D01* -X82278247Y-125794910D01* -X82225870Y-125873298D01* -X82189792Y-125960397D01* -X82171400Y-126052862D01* -X81828600Y-126052862D01* -X81810208Y-125960397D01* -X81774130Y-125873298D01* -X81721753Y-125794910D01* -X81655090Y-125728247D01* -X81576702Y-125675870D01* -X81489603Y-125639792D01* -X81397138Y-125621400D01* -X81302862Y-125621400D01* -X81210397Y-125639792D01* -X81123298Y-125675870D01* -X81044910Y-125728247D01* -X80978247Y-125794910D01* -X80925870Y-125873298D01* -X80889792Y-125960397D01* -X80871400Y-126052862D01* -X80528600Y-126052862D01* -X80510208Y-125960397D01* -X80474130Y-125873298D01* -X80421753Y-125794910D01* -X80355090Y-125728247D01* -X80276702Y-125675870D01* -X80189603Y-125639792D01* -X80097138Y-125621400D01* -X80002862Y-125621400D01* -X79910397Y-125639792D01* -X79823298Y-125675870D01* -X79744910Y-125728247D01* -X79678247Y-125794910D01* -X79625870Y-125873298D01* -X79589792Y-125960397D01* -X79571400Y-126052862D01* -X79228600Y-126052862D01* -X79210208Y-125960397D01* -X79174130Y-125873298D01* -X79121753Y-125794910D01* -X79055090Y-125728247D01* -X78976702Y-125675870D01* -X78889603Y-125639792D01* -X78797138Y-125621400D01* -X78702862Y-125621400D01* -X78610397Y-125639792D01* -X78523298Y-125675870D01* -X78444910Y-125728247D01* -X78378247Y-125794910D01* -X78325870Y-125873298D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X46177200Y-126052862D01* -X46177200Y-125352862D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X117024130Y-125626702D01* -X117060208Y-125539603D01* -X117078600Y-125447138D01* -X117078600Y-125352862D01* -X117060208Y-125260397D01* -X117024130Y-125173298D01* -X116971753Y-125094910D01* -X116905090Y-125028247D01* -X116826702Y-124975870D01* -X116739603Y-124939792D01* -X116647138Y-124921400D01* -X116552862Y-124921400D01* -X116460397Y-124939792D01* -X116373298Y-124975870D01* -X116294910Y-125028247D01* -X116228247Y-125094910D01* -X116175870Y-125173298D01* -X116139792Y-125260397D01* -X116121400Y-125352862D01* -X46177200Y-125352862D01* -X46177200Y-124788088D01* -X128521400Y-124788088D01* -X128521400Y-124911912D01* -X128545556Y-125033356D01* -X128592941Y-125147754D01* -X128661734Y-125250709D01* -X128749291Y-125338266D01* -X128852246Y-125407059D01* -X128966644Y-125454444D01* -X129088088Y-125478600D01* -X129211912Y-125478600D01* -X129333356Y-125454444D01* -X129447754Y-125407059D01* -X129550709Y-125338266D01* -X129638266Y-125250709D01* -X129707059Y-125147754D01* -X129754444Y-125033356D01* -X129778600Y-124911912D01* -X129778600Y-124788088D01* -X130921400Y-124788088D01* -X130921400Y-124911912D01* -X130945556Y-125033356D01* -X130992941Y-125147754D01* -X131061734Y-125250709D01* -X131149291Y-125338266D01* -X131252246Y-125407059D01* -X131366644Y-125454444D01* -X131488088Y-125478600D01* -X131611912Y-125478600D01* -X131733356Y-125454444D01* -X131847754Y-125407059D01* -X131950709Y-125338266D01* -X132038266Y-125250709D01* -X132107059Y-125147754D01* -X132154444Y-125033356D01* -X132178600Y-124911912D01* -X132178600Y-124838088D01* -X133371400Y-124838088D01* -X133371400Y-124961912D01* -X133395556Y-125083356D01* -X133442941Y-125197754D01* -X133511734Y-125300709D01* -X133599291Y-125388266D01* -X133702246Y-125457059D01* -X133816644Y-125504444D01* -X133938088Y-125528600D01* -X134061912Y-125528600D01* -X134183356Y-125504444D01* -X134297754Y-125457059D01* -X134400709Y-125388266D01* -X134488266Y-125300709D01* -X134557059Y-125197754D01* -X134604444Y-125083356D01* -X134628600Y-124961912D01* -X134628600Y-124838088D01* -X134604444Y-124716644D01* -X134557059Y-124602246D01* -X134488266Y-124499291D01* -X134400709Y-124411734D01* -X134297754Y-124342941D01* -X134183356Y-124295556D01* -X134061912Y-124271400D01* -X133938088Y-124271400D01* -X133816644Y-124295556D01* -X133702246Y-124342941D01* -X133599291Y-124411734D01* -X133511734Y-124499291D01* -X133442941Y-124602246D01* -X133395556Y-124716644D01* -X133371400Y-124838088D01* -X132178600Y-124838088D01* -X132178600Y-124788088D01* -X132154444Y-124666644D01* -X132107059Y-124552246D01* -X132038266Y-124449291D01* -X131950709Y-124361734D01* -X131847754Y-124292941D01* -X131733356Y-124245556D01* -X131611912Y-124221400D01* -X131488088Y-124221400D01* -X131366644Y-124245556D01* -X131252246Y-124292941D01* -X131149291Y-124361734D01* -X131061734Y-124449291D01* -X130992941Y-124552246D01* -X130945556Y-124666644D01* -X130921400Y-124788088D01* -X129778600Y-124788088D01* -X129754444Y-124666644D01* -X129707059Y-124552246D01* -X129638266Y-124449291D01* -X129550709Y-124361734D01* -X129447754Y-124292941D01* -X129333356Y-124245556D01* -X129211912Y-124221400D01* -X129088088Y-124221400D01* -X128966644Y-124245556D01* -X128852246Y-124292941D01* -X128749291Y-124361734D01* -X128661734Y-124449291D01* -X128592941Y-124552246D01* -X128545556Y-124666644D01* -X128521400Y-124788088D01* -X46177200Y-124788088D01* -X46177200Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77794910Y-124171753D01* -X77873298Y-124224130D01* -X77960397Y-124260208D01* -X78052862Y-124278600D01* -X78147138Y-124278600D01* -X78239603Y-124260208D01* -X78326702Y-124224130D01* -X78405090Y-124171753D01* -X78471753Y-124105090D01* -X78524130Y-124026702D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X86821400Y-123752862D01* -X86821400Y-123847138D01* -X86839792Y-123939603D01* -X86875870Y-124026702D01* -X86928247Y-124105090D01* -X86994910Y-124171753D01* -X87073298Y-124224130D01* -X87160397Y-124260208D01* -X87252862Y-124278600D01* -X87347138Y-124278600D01* -X87439603Y-124260208D01* -X87526702Y-124224130D01* -X87605090Y-124171753D01* -X87671753Y-124105090D01* -X87724130Y-124026702D01* -X87760208Y-123939603D01* -X87778600Y-123847138D01* -X87778600Y-123752862D01* -X96021400Y-123752862D01* -X96021400Y-123847138D01* -X96039792Y-123939603D01* -X96075870Y-124026702D01* -X96128247Y-124105090D01* -X96194910Y-124171753D01* -X96273298Y-124224130D01* -X96360397Y-124260208D01* -X96452862Y-124278600D01* -X96547138Y-124278600D01* -X96639603Y-124260208D01* -X96726702Y-124224130D01* -X96805090Y-124171753D01* -X96871753Y-124105090D01* -X96924130Y-124026702D01* -X96960208Y-123939603D01* -X96978600Y-123847138D01* -X96978600Y-123752862D01* -X105221400Y-123752862D01* -X105221400Y-123847138D01* -X105239792Y-123939603D01* -X105275870Y-124026702D01* -X105328247Y-124105090D01* -X105394910Y-124171753D01* -X105473298Y-124224130D01* -X105560397Y-124260208D01* -X105652862Y-124278600D01* -X105747138Y-124278600D01* -X105839603Y-124260208D01* -X105926702Y-124224130D01* -X106005090Y-124171753D01* -X106071753Y-124105090D01* -X106124130Y-124026702D01* -X106160208Y-123939603D01* -X106178600Y-123847138D01* -X106178600Y-123752862D01* -X106521400Y-123752862D01* -X106521400Y-123847138D01* -X106539792Y-123939603D01* -X106575870Y-124026702D01* -X106628247Y-124105090D01* -X106694910Y-124171753D01* -X106773298Y-124224130D01* -X106860397Y-124260208D01* -X106952862Y-124278600D01* -X107047138Y-124278600D01* -X107139603Y-124260208D01* -X107226702Y-124224130D01* -X107305090Y-124171753D01* -X107371753Y-124105090D01* -X107424130Y-124026702D01* -X107460208Y-123939603D01* -X107478600Y-123847138D01* -X107478600Y-123752862D01* -X107821400Y-123752862D01* -X107821400Y-123847138D01* -X107839792Y-123939603D01* -X107875870Y-124026702D01* -X107928247Y-124105090D01* -X107994910Y-124171753D01* -X108073298Y-124224130D01* -X108160397Y-124260208D01* -X108252862Y-124278600D01* -X108347138Y-124278600D01* -X108439603Y-124260208D01* -X108526702Y-124224130D01* -X108605090Y-124171753D01* -X108671753Y-124105090D01* -X108724130Y-124026702D01* -X108760208Y-123939603D01* -X108778600Y-123847138D01* -X108778600Y-123752862D01* -X108760208Y-123660397D01* -X108736376Y-123602862D01* -X117321400Y-123602862D01* -X117321400Y-123697138D01* -X117339792Y-123789603D01* -X117375870Y-123876702D01* -X117428247Y-123955090D01* -X117494910Y-124021753D01* -X117573298Y-124074130D01* -X117660397Y-124110208D01* -X117752862Y-124128600D01* -X117847138Y-124128600D01* -X117939603Y-124110208D01* -X118026702Y-124074130D01* -X118105090Y-124021753D01* -X118171753Y-123955090D01* -X118224130Y-123876702D01* -X118260208Y-123789603D01* -X118278600Y-123697138D01* -X118278600Y-123602862D01* -X118260208Y-123510397D01* -X118224130Y-123423298D01* -X118171753Y-123344910D01* -X118105090Y-123278247D01* -X118026702Y-123225870D01* -X117939603Y-123189792D01* -X117847138Y-123171400D01* -X117752862Y-123171400D01* -X117660397Y-123189792D01* -X117573298Y-123225870D01* -X117494910Y-123278247D01* -X117428247Y-123344910D01* -X117375870Y-123423298D01* -X117339792Y-123510397D01* -X117321400Y-123602862D01* -X108736376Y-123602862D01* -X108724130Y-123573298D01* -X108671753Y-123494910D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108073298Y-123375870D01* -X107994910Y-123428247D01* -X107928247Y-123494910D01* -X107875870Y-123573298D01* -X107839792Y-123660397D01* -X107821400Y-123752862D01* -X107478600Y-123752862D01* -X107460208Y-123660397D01* -X107424130Y-123573298D01* -X107371753Y-123494910D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106773298Y-123375870D01* -X106694910Y-123428247D01* -X106628247Y-123494910D01* -X106575870Y-123573298D01* -X106539792Y-123660397D01* -X106521400Y-123752862D01* -X106178600Y-123752862D01* -X106160208Y-123660397D01* -X106124130Y-123573298D01* -X106071753Y-123494910D01* -X106005090Y-123428247D01* -X105926702Y-123375870D01* -X105839603Y-123339792D01* -X105747138Y-123321400D01* -X105652862Y-123321400D01* -X105560397Y-123339792D01* -X105473298Y-123375870D01* -X105394910Y-123428247D01* -X105328247Y-123494910D01* -X105275870Y-123573298D01* -X105239792Y-123660397D01* -X105221400Y-123752862D01* -X96978600Y-123752862D01* -X96960208Y-123660397D01* -X96924130Y-123573298D01* -X96871753Y-123494910D01* -X96805090Y-123428247D01* -X96726702Y-123375870D01* -X96639603Y-123339792D01* -X96547138Y-123321400D01* -X96452862Y-123321400D01* -X96360397Y-123339792D01* -X96273298Y-123375870D01* -X96194910Y-123428247D01* -X96128247Y-123494910D01* -X96075870Y-123573298D01* -X96039792Y-123660397D01* -X96021400Y-123752862D01* -X87778600Y-123752862D01* -X87760208Y-123660397D01* -X87724130Y-123573298D01* -X87671753Y-123494910D01* -X87605090Y-123428247D01* -X87526702Y-123375870D01* -X87439603Y-123339792D01* -X87347138Y-123321400D01* -X87252862Y-123321400D01* -X87160397Y-123339792D01* -X87073298Y-123375870D01* -X86994910Y-123428247D01* -X86928247Y-123494910D01* -X86875870Y-123573298D01* -X86839792Y-123660397D01* -X86821400Y-123752862D01* -X78578600Y-123752862D01* -X78560208Y-123660397D01* -X78524130Y-123573298D01* -X78471753Y-123494910D01* -X78405090Y-123428247D01* -X78326702Y-123375870D01* -X78239603Y-123339792D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X46177200Y-123752862D01* -X46177200Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61480154Y-121618862D01* -X63656400Y-121618862D01* -X63656400Y-121713138D01* -X63674792Y-121805603D01* -X63710870Y-121892702D01* -X63763247Y-121971090D01* -X63829910Y-122037753D01* -X63908298Y-122090130D01* -X63995397Y-122126208D01* -X64087862Y-122144600D01* -X64182138Y-122144600D01* -X64274603Y-122126208D01* -X64361702Y-122090130D01* -X64396664Y-122066769D01* -X64424368Y-122206047D01* -X64531112Y-122463751D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66524136Y-123002862D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106123298Y-123474130D01* -X106210397Y-123510208D01* -X106302862Y-123528600D01* -X106397138Y-123528600D01* -X106489603Y-123510208D01* -X106576702Y-123474130D01* -X106655090Y-123421753D01* -X106721753Y-123355090D01* -X106774130Y-123276702D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107423298Y-123474130D01* -X107510397Y-123510208D01* -X107602862Y-123528600D01* -X107697138Y-123528600D01* -X107789603Y-123510208D01* -X107876702Y-123474130D01* -X107955090Y-123421753D01* -X108021753Y-123355090D01* -X108074130Y-123276702D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108723298Y-123474130D01* -X108810397Y-123510208D01* -X108902862Y-123528600D01* -X108997138Y-123528600D01* -X109089603Y-123510208D01* -X109176702Y-123474130D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109374130Y-123276702D01* -X109410208Y-123189603D01* -X109428600Y-123097138D01* -X109428600Y-123002862D01* -X109410208Y-122910397D01* -X109374130Y-122823298D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109217099Y-122652862D01* -X116371400Y-122652862D01* -X116371400Y-122747138D01* -X116389792Y-122839603D01* -X116425870Y-122926702D01* -X116478247Y-123005090D01* -X116544910Y-123071753D01* -X116623298Y-123124130D01* -X116710397Y-123160208D01* -X116802862Y-123178600D01* -X116897138Y-123178600D01* -X116989603Y-123160208D01* -X117076702Y-123124130D01* -X117155090Y-123071753D01* -X117221753Y-123005090D01* -X117274130Y-122926702D01* -X117310208Y-122839603D01* -X117328600Y-122747138D01* -X117328600Y-122652862D01* -X118171400Y-122652862D01* -X118171400Y-122747138D01* -X118189792Y-122839603D01* -X118225870Y-122926702D01* -X118278247Y-123005090D01* -X118344910Y-123071753D01* -X118423298Y-123124130D01* -X118510397Y-123160208D01* -X118602862Y-123178600D01* -X118697138Y-123178600D01* -X118789603Y-123160208D01* -X118876702Y-123124130D01* -X118955090Y-123071753D01* -X119021753Y-123005090D01* -X119074130Y-122926702D01* -X119110208Y-122839603D01* -X119128600Y-122747138D01* -X119128600Y-122652862D01* -X119110208Y-122560397D01* -X119074130Y-122473298D01* -X119021753Y-122394910D01* -X118955090Y-122328247D01* -X118876702Y-122275870D01* -X118789603Y-122239792D01* -X118697138Y-122221400D01* -X118602862Y-122221400D01* -X118510397Y-122239792D01* -X118423298Y-122275870D01* -X118344910Y-122328247D01* -X118278247Y-122394910D01* -X118225870Y-122473298D01* -X118189792Y-122560397D01* -X118171400Y-122652862D01* -X117328600Y-122652862D01* -X117310208Y-122560397D01* -X117274130Y-122473298D01* -X117221753Y-122394910D01* -X117155090Y-122328247D01* -X117076702Y-122275870D01* -X116989603Y-122239792D01* -X116897138Y-122221400D01* -X116802862Y-122221400D01* -X116710397Y-122239792D01* -X116623298Y-122275870D01* -X116544910Y-122328247D01* -X116478247Y-122394910D01* -X116425870Y-122473298D01* -X116389792Y-122560397D01* -X116371400Y-122652862D01* -X109217099Y-122652862D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X66524136Y-123002862D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67040888Y-121122249D01* -X66885918Y-120890321D01* -X66688679Y-120693082D01* -X66456751Y-120538112D01* -X66199047Y-120431368D01* -X65925469Y-120376950D01* -X65646531Y-120376950D01* -X65372953Y-120431368D01* -X65115249Y-120538112D01* -X64883321Y-120693082D01* -X64686082Y-120890321D01* -X64531112Y-121122249D01* -X64454075Y-121308232D01* -X64440090Y-121294247D01* -X64361702Y-121241870D01* -X64274603Y-121205792D01* -X64182138Y-121187400D01* -X64087862Y-121187400D01* -X63995397Y-121205792D01* -X63908298Y-121241870D01* -X63829910Y-121294247D01* -X63763247Y-121360910D01* -X63710870Y-121439298D01* -X63674792Y-121526397D01* -X63656400Y-121618862D01* -X61480154Y-121618862D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X46177200Y-121653531D01* -X46177200Y-120197702D01* -X66967100Y-120197702D01* -X66967100Y-120340298D01* -X66994919Y-120480154D01* -X67049488Y-120611895D01* -X67128710Y-120730460D01* -X67229540Y-120831290D01* -X67348105Y-120910512D01* -X67479846Y-120965081D01* -X67619702Y-120992900D01* -X67762298Y-120992900D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68396036Y-120102862D01* -X77621400Y-120102862D01* -X77621400Y-120197138D01* -X77639792Y-120289603D01* -X77675870Y-120376702D01* -X77728247Y-120455090D01* -X77794910Y-120521753D01* -X77873298Y-120574130D01* -X77960397Y-120610208D01* -X78052862Y-120628600D01* -X78147138Y-120628600D01* -X78239603Y-120610208D01* -X78326702Y-120574130D01* -X78405090Y-120521753D01* -X78471753Y-120455090D01* -X78524130Y-120376702D01* -X78560208Y-120289603D01* -X78578600Y-120197138D01* -X78578600Y-120102862D01* -X86821400Y-120102862D01* -X86821400Y-120197138D01* -X86839792Y-120289603D01* -X86875870Y-120376702D01* -X86928247Y-120455090D01* -X86994910Y-120521753D01* -X87073298Y-120574130D01* -X87160397Y-120610208D01* -X87252862Y-120628600D01* -X87347138Y-120628600D01* -X87439603Y-120610208D01* -X87526702Y-120574130D01* -X87605090Y-120521753D01* -X87671753Y-120455090D01* -X87724130Y-120376702D01* -X87760208Y-120289603D01* -X87778600Y-120197138D01* -X87778600Y-120102862D01* -X96021400Y-120102862D01* -X96021400Y-120197138D01* -X96039792Y-120289603D01* -X96075870Y-120376702D01* -X96128247Y-120455090D01* -X96194910Y-120521753D01* -X96273298Y-120574130D01* -X96360397Y-120610208D01* -X96452862Y-120628600D01* -X96547138Y-120628600D01* -X96639603Y-120610208D01* -X96726702Y-120574130D01* -X96805090Y-120521753D01* -X96871753Y-120455090D01* -X96924130Y-120376702D01* -X96960208Y-120289603D01* -X96978600Y-120197138D01* -X96978600Y-120102862D01* -X105221400Y-120102862D01* -X105221400Y-120197138D01* -X105239792Y-120289603D01* -X105275870Y-120376702D01* -X105328247Y-120455090D01* -X105394910Y-120521753D01* -X105473298Y-120574130D01* -X105560397Y-120610208D01* -X105652862Y-120628600D01* -X105747138Y-120628600D01* -X105839603Y-120610208D01* -X105926702Y-120574130D01* -X106005090Y-120521753D01* -X106071753Y-120455090D01* -X106124130Y-120376702D01* -X106160208Y-120289603D01* -X106178600Y-120197138D01* -X106178600Y-120102862D01* -X106168655Y-120052862D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115875870Y-120326702D01* -X115928247Y-120405090D01* -X115994910Y-120471753D01* -X116073298Y-120524130D01* -X116160397Y-120560208D01* -X116252862Y-120578600D01* -X116347138Y-120578600D01* -X116439603Y-120560208D01* -X116526702Y-120524130D01* -X116605090Y-120471753D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116160397Y-119639792D01* -X116073298Y-119675870D01* -X115994910Y-119728247D01* -X115928247Y-119794910D01* -X115875870Y-119873298D01* -X115839792Y-119960397D01* -X115821400Y-120052862D01* -X106168655Y-120052862D01* -X106160208Y-120010397D01* -X106124130Y-119923298D01* -X106071753Y-119844910D01* -X106005090Y-119778247D01* -X105926702Y-119725870D01* -X105839603Y-119689792D01* -X105747138Y-119671400D01* -X105652862Y-119671400D01* -X105560397Y-119689792D01* -X105473298Y-119725870D01* -X105394910Y-119778247D01* -X105328247Y-119844910D01* -X105275870Y-119923298D01* -X105239792Y-120010397D01* -X105221400Y-120102862D01* -X96978600Y-120102862D01* -X96960208Y-120010397D01* -X96924130Y-119923298D01* -X96871753Y-119844910D01* -X96805090Y-119778247D01* -X96726702Y-119725870D01* -X96639603Y-119689792D01* -X96547138Y-119671400D01* -X96452862Y-119671400D01* -X96360397Y-119689792D01* -X96273298Y-119725870D01* -X96194910Y-119778247D01* -X96128247Y-119844910D01* -X96075870Y-119923298D01* -X96039792Y-120010397D01* -X96021400Y-120102862D01* -X87778600Y-120102862D01* -X87760208Y-120010397D01* -X87724130Y-119923298D01* -X87671753Y-119844910D01* -X87605090Y-119778247D01* -X87526702Y-119725870D01* -X87439603Y-119689792D01* -X87347138Y-119671400D01* -X87252862Y-119671400D01* -X87160397Y-119689792D01* -X87073298Y-119725870D01* -X86994910Y-119778247D01* -X86928247Y-119844910D01* -X86875870Y-119923298D01* -X86839792Y-120010397D01* -X86821400Y-120102862D01* -X78578600Y-120102862D01* -X78560208Y-120010397D01* -X78524130Y-119923298D01* -X78471753Y-119844910D01* -X78405090Y-119778247D01* -X78326702Y-119725870D01* -X78239603Y-119689792D01* -X78147138Y-119671400D01* -X78052862Y-119671400D01* -X77960397Y-119689792D01* -X77873298Y-119725870D01* -X77794910Y-119778247D01* -X77728247Y-119844910D01* -X77675870Y-119923298D01* -X77639792Y-120010397D01* -X77621400Y-120102862D01* -X68396036Y-120102862D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X66994919Y-120057846D01* -X66967100Y-120197702D01* -X46177200Y-120197702D01* -X46177200Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60788184Y-119147937D01* -X75171400Y-119147937D01* -X75171400Y-119252063D01* -X75191713Y-119354187D01* -X75231560Y-119450386D01* -X75289409Y-119536963D01* -X75363037Y-119610591D01* -X75449614Y-119668440D01* -X75545813Y-119708287D01* -X75647937Y-119728600D01* -X75752063Y-119728600D01* -X75854187Y-119708287D01* -X75950386Y-119668440D01* -X76036963Y-119610591D01* -X76110591Y-119536963D01* -X76168440Y-119450386D01* -X76208287Y-119354187D01* -X76228600Y-119252063D01* -X76228600Y-119147937D01* -X76208287Y-119045813D01* -X76190497Y-119002862D01* -X76996400Y-119002862D01* -X76996400Y-119097138D01* -X77014792Y-119189603D01* -X77050870Y-119276702D01* -X77103247Y-119355090D01* -X77169910Y-119421753D01* -X77248298Y-119474130D01* -X77335397Y-119510208D01* -X77427862Y-119528600D01* -X77522138Y-119528600D01* -X77614603Y-119510208D01* -X77701702Y-119474130D01* -X77780090Y-119421753D01* -X77846753Y-119355090D01* -X77899130Y-119276702D01* -X77935208Y-119189603D01* -X77952461Y-119102862D01* -X84471400Y-119102862D01* -X84471400Y-119197138D01* -X84489792Y-119289603D01* -X84525870Y-119376702D01* -X84578247Y-119455090D01* -X84644910Y-119521753D01* -X84723298Y-119574130D01* -X84810397Y-119610208D01* -X84902862Y-119628600D01* -X84997138Y-119628600D01* -X85089603Y-119610208D01* -X85176702Y-119574130D01* -X85255090Y-119521753D01* -X85321753Y-119455090D01* -X85374130Y-119376702D01* -X85410208Y-119289603D01* -X85428600Y-119197138D01* -X85428600Y-119102862D01* -X85410208Y-119010397D01* -X85407087Y-119002862D01* -X86196400Y-119002862D01* -X86196400Y-119097138D01* -X86214792Y-119189603D01* -X86250870Y-119276702D01* -X86303247Y-119355090D01* -X86369910Y-119421753D01* -X86448298Y-119474130D01* -X86535397Y-119510208D01* -X86627862Y-119528600D01* -X86722138Y-119528600D01* -X86814603Y-119510208D01* -X86901702Y-119474130D01* -X86980090Y-119421753D01* -X87046753Y-119355090D01* -X87099130Y-119276702D01* -X87135208Y-119189603D01* -X87152461Y-119102862D01* -X93671400Y-119102862D01* -X93671400Y-119197138D01* -X93689792Y-119289603D01* -X93725870Y-119376702D01* -X93778247Y-119455090D01* -X93844910Y-119521753D01* -X93923298Y-119574130D01* -X94010397Y-119610208D01* -X94102862Y-119628600D01* -X94197138Y-119628600D01* -X94289603Y-119610208D01* -X94376702Y-119574130D01* -X94455090Y-119521753D01* -X94521753Y-119455090D01* -X94574130Y-119376702D01* -X94610208Y-119289603D01* -X94628600Y-119197138D01* -X94628600Y-119102862D01* -X94610208Y-119010397D01* -X94607087Y-119002862D01* -X95396400Y-119002862D01* -X95396400Y-119097138D01* -X95414792Y-119189603D01* -X95450870Y-119276702D01* -X95503247Y-119355090D01* -X95569910Y-119421753D01* -X95648298Y-119474130D01* -X95735397Y-119510208D01* -X95827862Y-119528600D01* -X95922138Y-119528600D01* -X96014603Y-119510208D01* -X96101702Y-119474130D01* -X96180090Y-119421753D01* -X96246753Y-119355090D01* -X96299130Y-119276702D01* -X96335208Y-119189603D01* -X96352461Y-119102862D01* -X102871400Y-119102862D01* -X102871400Y-119197138D01* -X102889792Y-119289603D01* -X102925870Y-119376702D01* -X102978247Y-119455090D01* -X103044910Y-119521753D01* -X103123298Y-119574130D01* -X103210397Y-119610208D01* -X103302862Y-119628600D01* -X103397138Y-119628600D01* -X103489603Y-119610208D01* -X103576702Y-119574130D01* -X103655090Y-119521753D01* -X103721753Y-119455090D01* -X103774130Y-119376702D01* -X103810208Y-119289603D01* -X103828600Y-119197138D01* -X103828600Y-119102862D01* -X103810208Y-119010397D01* -X103807087Y-119002862D01* -X104596400Y-119002862D01* -X104596400Y-119097138D01* -X104614792Y-119189603D01* -X104650870Y-119276702D01* -X104703247Y-119355090D01* -X104769910Y-119421753D01* -X104848298Y-119474130D01* -X104935397Y-119510208D01* -X105027862Y-119528600D01* -X105122138Y-119528600D01* -X105214603Y-119510208D01* -X105301702Y-119474130D01* -X105380090Y-119421753D01* -X105446753Y-119355090D01* -X105499130Y-119276702D01* -X105535208Y-119189603D01* -X105553600Y-119097138D01* -X105553600Y-119002862D01* -X105535208Y-118910397D01* -X105499130Y-118823298D01* -X105446753Y-118744910D01* -X105380090Y-118678247D01* -X105301702Y-118625870D01* -X105214603Y-118589792D01* -X105122138Y-118571400D01* -X105027862Y-118571400D01* -X104935397Y-118589792D01* -X104848298Y-118625870D01* -X104769910Y-118678247D01* -X104703247Y-118744910D01* -X104650870Y-118823298D01* -X104614792Y-118910397D01* -X104596400Y-119002862D01* -X103807087Y-119002862D01* -X103774130Y-118923298D01* -X103721753Y-118844910D01* -X103655090Y-118778247D01* -X103576702Y-118725870D01* -X103489603Y-118689792D01* -X103397138Y-118671400D01* -X103302862Y-118671400D01* -X103210397Y-118689792D01* -X103123298Y-118725870D01* -X103044910Y-118778247D01* -X102978247Y-118844910D01* -X102925870Y-118923298D01* -X102889792Y-119010397D01* -X102871400Y-119102862D01* -X96352461Y-119102862D01* -X96353600Y-119097138D01* -X96353600Y-119002862D01* -X96335208Y-118910397D01* -X96299130Y-118823298D01* -X96246753Y-118744910D01* -X96180090Y-118678247D01* -X96101702Y-118625870D01* -X96014603Y-118589792D01* -X95922138Y-118571400D01* -X95827862Y-118571400D01* -X95735397Y-118589792D01* -X95648298Y-118625870D01* -X95569910Y-118678247D01* -X95503247Y-118744910D01* -X95450870Y-118823298D01* -X95414792Y-118910397D01* -X95396400Y-119002862D01* -X94607087Y-119002862D01* -X94574130Y-118923298D01* -X94521753Y-118844910D01* -X94455090Y-118778247D01* -X94376702Y-118725870D01* -X94289603Y-118689792D01* -X94197138Y-118671400D01* -X94102862Y-118671400D01* -X94010397Y-118689792D01* -X93923298Y-118725870D01* -X93844910Y-118778247D01* -X93778247Y-118844910D01* -X93725870Y-118923298D01* -X93689792Y-119010397D01* -X93671400Y-119102862D01* -X87152461Y-119102862D01* -X87153600Y-119097138D01* -X87153600Y-119002862D01* -X87135208Y-118910397D01* -X87099130Y-118823298D01* -X87046753Y-118744910D01* -X86980090Y-118678247D01* -X86901702Y-118625870D01* -X86814603Y-118589792D01* -X86722138Y-118571400D01* -X86627862Y-118571400D01* -X86535397Y-118589792D01* -X86448298Y-118625870D01* -X86369910Y-118678247D01* -X86303247Y-118744910D01* -X86250870Y-118823298D01* -X86214792Y-118910397D01* -X86196400Y-119002862D01* -X85407087Y-119002862D01* -X85374130Y-118923298D01* -X85321753Y-118844910D01* -X85255090Y-118778247D01* -X85176702Y-118725870D01* -X85089603Y-118689792D01* -X84997138Y-118671400D01* -X84902862Y-118671400D01* -X84810397Y-118689792D01* -X84723298Y-118725870D01* -X84644910Y-118778247D01* -X84578247Y-118844910D01* -X84525870Y-118923298D01* -X84489792Y-119010397D01* -X84471400Y-119102862D01* -X77952461Y-119102862D01* -X77953600Y-119097138D01* -X77953600Y-119002862D01* -X77935208Y-118910397D01* -X77899130Y-118823298D01* -X77846753Y-118744910D01* -X77780090Y-118678247D01* -X77701702Y-118625870D01* -X77614603Y-118589792D01* -X77522138Y-118571400D01* -X77427862Y-118571400D01* -X77335397Y-118589792D01* -X77248298Y-118625870D01* -X77169910Y-118678247D01* -X77103247Y-118744910D01* -X77050870Y-118823298D01* -X77014792Y-118910397D01* -X76996400Y-119002862D01* -X76190497Y-119002862D01* -X76168440Y-118949614D01* -X76110591Y-118863037D01* -X76036963Y-118789409D01* -X75950386Y-118731560D01* -X75854187Y-118691713D01* -X75752063Y-118671400D01* -X75647937Y-118671400D01* -X75545813Y-118691713D01* -X75449614Y-118731560D01* -X75363037Y-118789409D01* -X75289409Y-118863037D01* -X75231560Y-118949614D01* -X75191713Y-119045813D01* -X75171400Y-119147937D01* -X60788184Y-119147937D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X46177200Y-119181702D01* -X46177200Y-118165702D01* -X66967100Y-118165702D01* -X66967100Y-118308298D01* -X66994919Y-118448154D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68411367Y-118147937D01* -X76071400Y-118147937D01* -X76071400Y-118252063D01* -X76091713Y-118354187D01* -X76131560Y-118450386D01* -X76189409Y-118536963D01* -X76263037Y-118610591D01* -X76349614Y-118668440D01* -X76445813Y-118708287D01* -X76547937Y-118728600D01* -X76652063Y-118728600D01* -X76754187Y-118708287D01* -X76850386Y-118668440D01* -X76936963Y-118610591D01* -X77010591Y-118536963D01* -X77068440Y-118450386D01* -X77108287Y-118354187D01* -X77128600Y-118252063D01* -X77128600Y-118147937D01* -X77108287Y-118045813D01* -X77068440Y-117949614D01* -X77037202Y-117902862D01* -X77621400Y-117902862D01* -X77621400Y-117997138D01* -X77639792Y-118089603D01* -X77675870Y-118176702D01* -X77728247Y-118255090D01* -X77794910Y-118321753D01* -X77873298Y-118374130D01* -X77960397Y-118410208D01* -X78052862Y-118428600D01* -X78147138Y-118428600D01* -X78239603Y-118410208D01* -X78326702Y-118374130D01* -X78405090Y-118321753D01* -X78471753Y-118255090D01* -X78524130Y-118176702D01* -X78560208Y-118089603D01* -X78570454Y-118038088D01* -X85171400Y-118038088D01* -X85171400Y-118161912D01* -X85195556Y-118283356D01* -X85242941Y-118397754D01* -X85311734Y-118500709D01* -X85399291Y-118588266D01* -X85502246Y-118657059D01* -X85616644Y-118704444D01* -X85738088Y-118728600D01* -X85861912Y-118728600D01* -X85983356Y-118704444D01* -X86097754Y-118657059D01* -X86200709Y-118588266D01* -X86288266Y-118500709D01* -X86357059Y-118397754D01* -X86404444Y-118283356D01* -X86428600Y-118161912D01* -X86428600Y-118038088D01* -X86404444Y-117916644D01* -X86398736Y-117902862D01* -X86821400Y-117902862D01* -X86821400Y-117997138D01* -X86839792Y-118089603D01* -X86875870Y-118176702D01* -X86928247Y-118255090D01* -X86994910Y-118321753D01* -X87073298Y-118374130D01* -X87160397Y-118410208D01* -X87252862Y-118428600D01* -X87347138Y-118428600D01* -X87439603Y-118410208D01* -X87526702Y-118374130D01* -X87605090Y-118321753D01* -X87671753Y-118255090D01* -X87724130Y-118176702D01* -X87760208Y-118089603D01* -X87770454Y-118038088D01* -X94371400Y-118038088D01* -X94371400Y-118161912D01* -X94395556Y-118283356D01* -X94442941Y-118397754D01* -X94511734Y-118500709D01* -X94599291Y-118588266D01* -X94702246Y-118657059D01* -X94816644Y-118704444D01* -X94938088Y-118728600D01* -X95061912Y-118728600D01* -X95183356Y-118704444D01* -X95297754Y-118657059D01* -X95400709Y-118588266D01* -X95488266Y-118500709D01* -X95557059Y-118397754D01* -X95604444Y-118283356D01* -X95628600Y-118161912D01* -X95628600Y-118038088D01* -X95604444Y-117916644D01* -X95598736Y-117902862D01* -X96021400Y-117902862D01* -X96021400Y-117997138D01* -X96039792Y-118089603D01* -X96075870Y-118176702D01* -X96128247Y-118255090D01* -X96194910Y-118321753D01* -X96273298Y-118374130D01* -X96360397Y-118410208D01* -X96452862Y-118428600D01* -X96547138Y-118428600D01* -X96639603Y-118410208D01* -X96726702Y-118374130D01* -X96805090Y-118321753D01* -X96871753Y-118255090D01* -X96924130Y-118176702D01* -X96960208Y-118089603D01* -X96970454Y-118038088D01* -X103571400Y-118038088D01* -X103571400Y-118161912D01* -X103595556Y-118283356D01* -X103642941Y-118397754D01* -X103711734Y-118500709D01* -X103799291Y-118588266D01* -X103902246Y-118657059D01* -X104016644Y-118704444D01* -X104138088Y-118728600D01* -X104261912Y-118728600D01* -X104383356Y-118704444D01* -X104497754Y-118657059D01* -X104600709Y-118588266D01* -X104688266Y-118500709D01* -X104757059Y-118397754D01* -X104804444Y-118283356D01* -X104828600Y-118161912D01* -X104828600Y-118038088D01* -X104804444Y-117916644D01* -X104798736Y-117902862D01* -X105221400Y-117902862D01* -X105221400Y-117997138D01* -X105239792Y-118089603D01* -X105275870Y-118176702D01* -X105328247Y-118255090D01* -X105394910Y-118321753D01* -X105473298Y-118374130D01* -X105560397Y-118410208D01* -X105652862Y-118428600D01* -X105747138Y-118428600D01* -X105839603Y-118410208D01* -X105926702Y-118374130D01* -X106005090Y-118321753D01* -X106071753Y-118255090D01* -X106124130Y-118176702D01* -X106160208Y-118089603D01* -X106178600Y-117997138D01* -X106178600Y-117902862D01* -X106160208Y-117810397D01* -X106124130Y-117723298D01* -X106071753Y-117644910D01* -X106005090Y-117578247D01* -X105926702Y-117525870D01* -X105839603Y-117489792D01* -X105747138Y-117471400D01* -X105652862Y-117471400D01* -X105560397Y-117489792D01* -X105473298Y-117525870D01* -X105394910Y-117578247D01* -X105328247Y-117644910D01* -X105275870Y-117723298D01* -X105239792Y-117810397D01* -X105221400Y-117902862D01* -X104798736Y-117902862D01* -X104757059Y-117802246D01* -X104688266Y-117699291D01* -X104600709Y-117611734D01* -X104497754Y-117542941D01* -X104383356Y-117495556D01* -X104261912Y-117471400D01* -X104138088Y-117471400D01* -X104016644Y-117495556D01* -X103902246Y-117542941D01* -X103799291Y-117611734D01* -X103711734Y-117699291D01* -X103642941Y-117802246D01* -X103595556Y-117916644D01* -X103571400Y-118038088D01* -X96970454Y-118038088D01* -X96978600Y-117997138D01* -X96978600Y-117902862D01* -X96960208Y-117810397D01* -X96924130Y-117723298D01* -X96871753Y-117644910D01* -X96805090Y-117578247D01* -X96726702Y-117525870D01* -X96639603Y-117489792D01* -X96547138Y-117471400D01* -X96452862Y-117471400D01* -X96360397Y-117489792D01* -X96273298Y-117525870D01* -X96194910Y-117578247D01* -X96128247Y-117644910D01* -X96075870Y-117723298D01* -X96039792Y-117810397D01* -X96021400Y-117902862D01* -X95598736Y-117902862D01* -X95557059Y-117802246D01* -X95488266Y-117699291D01* -X95400709Y-117611734D01* -X95297754Y-117542941D01* -X95183356Y-117495556D01* -X95061912Y-117471400D01* -X94938088Y-117471400D01* -X94816644Y-117495556D01* -X94702246Y-117542941D01* -X94599291Y-117611734D01* -X94511734Y-117699291D01* -X94442941Y-117802246D01* -X94395556Y-117916644D01* -X94371400Y-118038088D01* -X87770454Y-118038088D01* -X87778600Y-117997138D01* -X87778600Y-117902862D01* -X87760208Y-117810397D01* -X87724130Y-117723298D01* -X87671753Y-117644910D01* -X87605090Y-117578247D01* -X87526702Y-117525870D01* -X87439603Y-117489792D01* -X87347138Y-117471400D01* -X87252862Y-117471400D01* -X87160397Y-117489792D01* -X87073298Y-117525870D01* -X86994910Y-117578247D01* -X86928247Y-117644910D01* -X86875870Y-117723298D01* -X86839792Y-117810397D01* -X86821400Y-117902862D01* -X86398736Y-117902862D01* -X86357059Y-117802246D01* -X86288266Y-117699291D01* -X86200709Y-117611734D01* -X86097754Y-117542941D01* -X85983356Y-117495556D01* -X85861912Y-117471400D01* -X85738088Y-117471400D01* -X85616644Y-117495556D01* -X85502246Y-117542941D01* -X85399291Y-117611734D01* -X85311734Y-117699291D01* -X85242941Y-117802246D01* -X85195556Y-117916644D01* -X85171400Y-118038088D01* -X78570454Y-118038088D01* -X78578600Y-117997138D01* -X78578600Y-117902862D01* -X78560208Y-117810397D01* -X78524130Y-117723298D01* -X78471753Y-117644910D01* -X78405090Y-117578247D01* -X78326702Y-117525870D01* -X78239603Y-117489792D01* -X78147138Y-117471400D01* -X78052862Y-117471400D01* -X77960397Y-117489792D01* -X77873298Y-117525870D01* -X77794910Y-117578247D01* -X77728247Y-117644910D01* -X77675870Y-117723298D01* -X77639792Y-117810397D01* -X77621400Y-117902862D01* -X77037202Y-117902862D01* -X77010591Y-117863037D01* -X76936963Y-117789409D01* -X76850386Y-117731560D01* -X76754187Y-117691713D01* -X76652063Y-117671400D01* -X76547937Y-117671400D01* -X76445813Y-117691713D01* -X76349614Y-117731560D01* -X76263037Y-117789409D01* -X76189409Y-117863037D01* -X76131560Y-117949614D01* -X76091713Y-118045813D01* -X76071400Y-118147937D01* -X68411367Y-118147937D01* -X68387081Y-118025846D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67479846Y-117540919D01* -X67348105Y-117595488D01* -X67229540Y-117674710D01* -X67128710Y-117775540D01* -X67049488Y-117894105D01* -X66994919Y-118025846D01* -X66967100Y-118165702D01* -X46177200Y-118165702D01* -X46177200Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60973679Y-117812918D01* -X61170918Y-117615679D01* -X61325888Y-117383751D01* -X61432632Y-117126047D01* -X61461013Y-116983362D01* -X62449900Y-116983362D01* -X62449900Y-117077638D01* -X62468292Y-117170103D01* -X62504370Y-117257202D01* -X62556747Y-117335590D01* -X62623410Y-117402253D01* -X62701798Y-117454630D01* -X62788897Y-117490708D01* -X62881362Y-117509100D01* -X62975638Y-117509100D01* -X63068103Y-117490708D01* -X63155202Y-117454630D01* -X63233590Y-117402253D01* -X63300253Y-117335590D01* -X63352630Y-117257202D01* -X63388708Y-117170103D01* -X63407100Y-117077638D01* -X63407100Y-116983362D01* -X63388708Y-116890897D01* -X63352630Y-116803798D01* -X63300253Y-116725410D01* -X63233590Y-116658747D01* -X63155202Y-116606370D01* -X63075923Y-116573531D01* -X64369950Y-116573531D01* -X64369950Y-116852469D01* -X64424368Y-117126047D01* -X64531112Y-117383751D01* -X64686082Y-117615679D01* -X64883321Y-117812918D01* -X65115249Y-117967888D01* -X65372953Y-118074632D01* -X65646531Y-118129050D01* -X65925469Y-118129050D01* -X66199047Y-118074632D01* -X66456751Y-117967888D01* -X66688679Y-117812918D01* -X66885918Y-117615679D01* -X67040888Y-117383751D01* -X67147632Y-117126047D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67105376Y-116197937D01* -X113721400Y-116197937D01* -X113721400Y-116302063D01* -X113741713Y-116404187D01* -X113781560Y-116500386D01* -X113839409Y-116586963D01* -X113913037Y-116660591D01* -X113999614Y-116718440D01* -X114095813Y-116758287D01* -X114197937Y-116778600D01* -X114302063Y-116778600D01* -X114404187Y-116758287D01* -X114500386Y-116718440D01* -X114586963Y-116660591D01* -X114660591Y-116586963D01* -X114718440Y-116500386D01* -X114758287Y-116404187D01* -X114778600Y-116302063D01* -X114778600Y-116197937D01* -X123721400Y-116197937D01* -X123721400Y-116302063D01* -X123741713Y-116404187D01* -X123781560Y-116500386D01* -X123839409Y-116586963D01* -X123913037Y-116660591D01* -X123999614Y-116718440D01* -X124095813Y-116758287D01* -X124197937Y-116778600D01* -X124302063Y-116778600D01* -X124404187Y-116758287D01* -X124500386Y-116718440D01* -X124586963Y-116660591D01* -X124660591Y-116586963D01* -X124718440Y-116500386D01* -X124758287Y-116404187D01* -X124778600Y-116302063D01* -X124778600Y-116197937D01* -X124758287Y-116095813D01* -X124718440Y-115999614D01* -X124660591Y-115913037D01* -X124586963Y-115839409D01* -X124500386Y-115781560D01* -X124404187Y-115741713D01* -X124302063Y-115721400D01* -X124197937Y-115721400D01* -X124095813Y-115741713D01* -X123999614Y-115781560D01* -X123913037Y-115839409D01* -X123839409Y-115913037D01* -X123781560Y-115999614D01* -X123741713Y-116095813D01* -X123721400Y-116197937D01* -X114778600Y-116197937D01* -X114758287Y-116095813D01* -X114718440Y-115999614D01* -X114660591Y-115913037D01* -X114586963Y-115839409D01* -X114500386Y-115781560D01* -X114404187Y-115741713D01* -X114302063Y-115721400D01* -X114197937Y-115721400D01* -X114095813Y-115741713D01* -X113999614Y-115781560D01* -X113913037Y-115839409D01* -X113839409Y-115913037D01* -X113781560Y-115999614D01* -X113741713Y-116095813D01* -X113721400Y-116197937D01* -X67105376Y-116197937D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65930431Y-115297937D01* -X114721400Y-115297937D01* -X114721400Y-115402063D01* -X114741713Y-115504187D01* -X114781560Y-115600386D01* -X114839409Y-115686963D01* -X114913037Y-115760591D01* -X114999614Y-115818440D01* -X115095813Y-115858287D01* -X115197937Y-115878600D01* -X115302063Y-115878600D01* -X115404187Y-115858287D01* -X115500386Y-115818440D01* -X115586963Y-115760591D01* -X115660591Y-115686963D01* -X115718440Y-115600386D01* -X115758287Y-115504187D01* -X115778600Y-115402063D01* -X115778600Y-115297937D01* -X124721400Y-115297937D01* -X124721400Y-115402063D01* -X124741713Y-115504187D01* -X124781560Y-115600386D01* -X124839409Y-115686963D01* -X124913037Y-115760591D01* -X124999614Y-115818440D01* -X125095813Y-115858287D01* -X125197937Y-115878600D01* -X125302063Y-115878600D01* -X125404187Y-115858287D01* -X125500386Y-115818440D01* -X125586963Y-115760591D01* -X125660591Y-115686963D01* -X125718440Y-115600386D01* -X125758287Y-115504187D01* -X125778600Y-115402063D01* -X125778600Y-115297937D01* -X125758287Y-115195813D01* -X125718440Y-115099614D01* -X125660591Y-115013037D01* -X125586963Y-114939409D01* -X125500386Y-114881560D01* -X125404187Y-114841713D01* -X125302063Y-114821400D01* -X125197937Y-114821400D01* -X125095813Y-114841713D01* -X124999614Y-114881560D01* -X124913037Y-114939409D01* -X124839409Y-115013037D01* -X124781560Y-115099614D01* -X124741713Y-115195813D01* -X124721400Y-115297937D01* -X115778600Y-115297937D01* -X115758287Y-115195813D01* -X115718440Y-115099614D01* -X115660591Y-115013037D01* -X115586963Y-114939409D01* -X115500386Y-114881560D01* -X115404187Y-114841713D01* -X115302063Y-114821400D01* -X115197937Y-114821400D01* -X115095813Y-114841713D01* -X114999614Y-114881560D01* -X114913037Y-114939409D01* -X114839409Y-115013037D01* -X114781560Y-115099614D01* -X114741713Y-115195813D01* -X114721400Y-115297937D01* -X65930431Y-115297937D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64424368Y-116299953D01* -X64369950Y-116573531D01* -X63075923Y-116573531D01* -X63068103Y-116570292D01* -X62975638Y-116551900D01* -X62881362Y-116551900D01* -X62788897Y-116570292D01* -X62701798Y-116606370D01* -X62623410Y-116658747D01* -X62556747Y-116725410D01* -X62504370Y-116803798D01* -X62468292Y-116890897D01* -X62449900Y-116983362D01* -X61461013Y-116983362D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X46177200Y-116573531D01* -X46177200Y-114397937D01* -X113721400Y-114397937D01* -X113721400Y-114502063D01* -X113741713Y-114604187D01* -X113781560Y-114700386D01* -X113839409Y-114786963D01* -X113913037Y-114860591D01* -X113999614Y-114918440D01* -X114095813Y-114958287D01* -X114197937Y-114978600D01* -X114302063Y-114978600D01* -X114404187Y-114958287D01* -X114500386Y-114918440D01* -X114586963Y-114860591D01* -X114660591Y-114786963D01* -X114718440Y-114700386D01* -X114758287Y-114604187D01* -X114778600Y-114502063D01* -X114778600Y-114397937D01* -X114758287Y-114295813D01* -X114718440Y-114199614D01* -X114660591Y-114113037D01* -X114586963Y-114039409D01* -X114532267Y-114002862D01* -X123921400Y-114002862D01* -X123921400Y-114097138D01* -X123939792Y-114189603D01* -X123975870Y-114276702D01* -X124028247Y-114355090D01* -X124094910Y-114421753D01* -X124173298Y-114474130D01* -X124260397Y-114510208D01* -X124352862Y-114528600D01* -X124447138Y-114528600D01* -X124539603Y-114510208D01* -X124626702Y-114474130D01* -X124705090Y-114421753D01* -X124771753Y-114355090D01* -X124824130Y-114276702D01* -X124860208Y-114189603D01* -X124878600Y-114097138D01* -X124878600Y-114002862D01* -X124860208Y-113910397D01* -X124824130Y-113823298D01* -X124771753Y-113744910D01* -X124705090Y-113678247D01* -X124626702Y-113625870D01* -X124539603Y-113589792D01* -X124447138Y-113571400D01* -X124352862Y-113571400D01* -X124260397Y-113589792D01* -X124173298Y-113625870D01* -X124094910Y-113678247D01* -X124028247Y-113744910D01* -X123975870Y-113823298D01* -X123939792Y-113910397D01* -X123921400Y-114002862D01* -X114532267Y-114002862D01* -X114500386Y-113981560D01* -X114404187Y-113941713D01* -X114302063Y-113921400D01* -X114197937Y-113921400D01* -X114095813Y-113941713D01* -X113999614Y-113981560D01* -X113913037Y-114039409D01* -X113839409Y-114113037D01* -X113781560Y-114199614D01* -X113741713Y-114295813D01* -X113721400Y-114397937D01* -X46177200Y-114397937D01* -X46177200Y-112852862D01* -X99571400Y-112852862D01* -X99571400Y-112947138D01* -X99589792Y-113039603D01* -X99625870Y-113126702D01* -X99678247Y-113205090D01* -X99744910Y-113271753D01* -X99823298Y-113324130D01* -X99910397Y-113360208D01* -X100002862Y-113378600D01* -X100097138Y-113378600D01* -X100189603Y-113360208D01* -X100276702Y-113324130D01* -X100355090Y-113271753D01* -X100421753Y-113205090D01* -X100474130Y-113126702D01* -X100504715Y-113052862D01* -X103721400Y-113052862D01* -X103721400Y-113147138D01* -X103739792Y-113239603D01* -X103775870Y-113326702D01* -X103828247Y-113405090D01* -X103894910Y-113471753D01* -X103973298Y-113524130D01* -X104060397Y-113560208D01* -X104152862Y-113578600D01* -X104247138Y-113578600D01* -X104339603Y-113560208D01* -X104426702Y-113524130D01* -X104505090Y-113471753D01* -X104571753Y-113405090D01* -X104573504Y-113402468D01* -X122767400Y-113402468D01* -X122767400Y-113497532D01* -X122785946Y-113590769D01* -X122822326Y-113678597D01* -X122875140Y-113757640D01* -X122942360Y-113824860D01* -X123021403Y-113877674D01* -X123109231Y-113914054D01* -X123202468Y-113932600D01* -X123297532Y-113932600D01* -X123390769Y-113914054D01* -X123478597Y-113877674D01* -X123557640Y-113824860D01* -X123624860Y-113757640D01* -X123677674Y-113678597D01* -X123714054Y-113590769D01* -X123732600Y-113497532D01* -X123732600Y-113402468D01* -X123714054Y-113309231D01* -X123677674Y-113221403D01* -X123624860Y-113142360D01* -X123557640Y-113075140D01* -X123478597Y-113022326D01* -X123390769Y-112985946D01* -X123297532Y-112967400D01* -X123202468Y-112967400D01* -X123109231Y-112985946D01* -X123021403Y-113022326D01* -X122942360Y-113075140D01* -X122875140Y-113142360D01* -X122822326Y-113221403D01* -X122785946Y-113309231D01* -X122767400Y-113402468D01* -X104573504Y-113402468D01* -X104624130Y-113326702D01* -X104660208Y-113239603D01* -X104678600Y-113147138D01* -X104678600Y-113052862D01* -X104660208Y-112960397D01* -X104624130Y-112873298D01* -X104571753Y-112794910D01* -X104505090Y-112728247D01* -X104426702Y-112675870D01* -X104339603Y-112639792D01* -X104247138Y-112621400D01* -X104152862Y-112621400D01* -X104060397Y-112639792D01* -X103973298Y-112675870D01* -X103894910Y-112728247D01* -X103828247Y-112794910D01* -X103775870Y-112873298D01* -X103739792Y-112960397D01* -X103721400Y-113052862D01* -X100504715Y-113052862D01* -X100510208Y-113039603D01* -X100528600Y-112947138D01* -X100528600Y-112852862D01* -X100510208Y-112760397D01* -X100474130Y-112673298D01* -X100421753Y-112594910D01* -X100355090Y-112528247D01* -X100276702Y-112475870D01* -X100189603Y-112439792D01* -X100097138Y-112421400D01* -X100002862Y-112421400D01* -X99910397Y-112439792D01* -X99823298Y-112475870D01* -X99744910Y-112528247D01* -X99678247Y-112594910D01* -X99625870Y-112673298D01* -X99589792Y-112760397D01* -X99571400Y-112852862D01* -X46177200Y-112852862D01* -X46177200Y-112052862D01* -X71621400Y-112052862D01* -X71621400Y-112147138D01* -X71639792Y-112239603D01* -X71675870Y-112326702D01* -X71728247Y-112405090D01* -X71794910Y-112471753D01* -X71873298Y-112524130D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72524130Y-112326702D01* -X72560208Y-112239603D01* -X72578600Y-112147138D01* -X72578600Y-112052862D01* -X72560208Y-111960397D01* -X72555047Y-111947937D01* -X89671400Y-111947937D01* -X89671400Y-112052063D01* -X89691713Y-112154187D01* -X89731560Y-112250386D01* -X89789409Y-112336963D01* -X89863037Y-112410591D01* -X89949614Y-112468440D01* -X90045813Y-112508287D01* -X90147937Y-112528600D01* -X90252063Y-112528600D01* -X90354187Y-112508287D01* -X90450386Y-112468440D01* -X90536963Y-112410591D01* -X90610591Y-112336963D01* -X90668440Y-112250386D01* -X90708287Y-112154187D01* -X90728600Y-112052063D01* -X90728600Y-111947937D01* -X96671400Y-111947937D01* -X96671400Y-112052063D01* -X96691713Y-112154187D01* -X96731560Y-112250386D01* -X96789409Y-112336963D01* -X96863037Y-112410591D01* -X96949614Y-112468440D01* -X97045813Y-112508287D01* -X97147937Y-112528600D01* -X97252063Y-112528600D01* -X97354187Y-112508287D01* -X97450386Y-112468440D01* -X97536963Y-112410591D01* -X97610591Y-112336963D01* -X97668440Y-112250386D01* -X97688125Y-112202862D01* -X102771400Y-112202862D01* -X102771400Y-112297138D01* -X102789792Y-112389603D01* -X102825870Y-112476702D01* -X102878247Y-112555090D01* -X102944910Y-112621753D01* -X103023298Y-112674130D01* -X103110397Y-112710208D01* -X103202862Y-112728600D01* -X103297138Y-112728600D01* -X103389603Y-112710208D01* -X103476702Y-112674130D01* -X103555090Y-112621753D01* -X103574375Y-112602468D01* -X114267400Y-112602468D01* -X114267400Y-112697532D01* -X114285946Y-112790769D01* -X114322326Y-112878597D01* -X114375140Y-112957640D01* -X114442360Y-113024860D01* -X114521403Y-113077674D01* -X114609231Y-113114054D01* -X114702468Y-113132600D01* -X114797532Y-113132600D01* -X114890769Y-113114054D01* -X114978597Y-113077674D01* -X115057640Y-113024860D01* -X115124860Y-112957640D01* -X115177674Y-112878597D01* -X115214054Y-112790769D01* -X115232600Y-112697532D01* -X115232600Y-112602468D01* -X122067400Y-112602468D01* -X122067400Y-112697532D01* -X122085946Y-112790769D01* -X122122326Y-112878597D01* -X122175140Y-112957640D01* -X122242360Y-113024860D01* -X122321403Y-113077674D01* -X122409231Y-113114054D01* -X122502468Y-113132600D01* -X122597532Y-113132600D01* -X122690769Y-113114054D01* -X122778597Y-113077674D01* -X122857640Y-113024860D01* -X122924860Y-112957640D01* -X122977674Y-112878597D01* -X123014054Y-112790769D01* -X123032600Y-112697532D01* -X123032600Y-112602468D01* -X123014054Y-112509231D01* -X122977674Y-112421403D01* -X122924860Y-112342360D01* -X122857640Y-112275140D01* -X122778597Y-112222326D01* -X122690769Y-112185946D01* -X122597532Y-112167400D01* -X122502468Y-112167400D01* -X122409231Y-112185946D01* -X122321403Y-112222326D01* -X122242360Y-112275140D01* -X122175140Y-112342360D01* -X122122326Y-112421403D01* -X122085946Y-112509231D01* -X122067400Y-112602468D01* -X115232600Y-112602468D01* -X115214054Y-112509231D01* -X115177674Y-112421403D01* -X115124860Y-112342360D01* -X115057640Y-112275140D01* -X114978597Y-112222326D01* -X114890769Y-112185946D01* -X114797532Y-112167400D01* -X114702468Y-112167400D01* -X114609231Y-112185946D01* -X114521403Y-112222326D01* -X114442360Y-112275140D01* -X114375140Y-112342360D01* -X114322326Y-112421403D01* -X114285946Y-112509231D01* -X114267400Y-112602468D01* -X103574375Y-112602468D01* -X103621753Y-112555090D01* -X103674130Y-112476702D01* -X103710208Y-112389603D01* -X103728600Y-112297138D01* -X103728600Y-112202862D01* -X103710208Y-112110397D01* -X103674130Y-112023298D01* -X103660476Y-112002862D01* -X108471400Y-112002862D01* -X108471400Y-112097138D01* -X108489792Y-112189603D01* -X108525870Y-112276702D01* -X108578247Y-112355090D01* -X108644910Y-112421753D01* -X108723298Y-112474130D01* -X108810397Y-112510208D01* -X108902862Y-112528600D01* -X108997138Y-112528600D01* -X109089603Y-112510208D01* -X109176702Y-112474130D01* -X109255090Y-112421753D01* -X109321753Y-112355090D01* -X109374130Y-112276702D01* -X109410208Y-112189603D01* -X109428600Y-112097138D01* -X109428600Y-112002862D01* -X109410208Y-111910397D01* -X109374130Y-111823298D01* -X109360212Y-111802468D01* -X122767400Y-111802468D01* -X122767400Y-111897532D01* -X122785946Y-111990769D01* -X122822326Y-112078597D01* -X122875140Y-112157640D01* -X122942360Y-112224860D01* -X123021403Y-112277674D01* -X123109231Y-112314054D01* -X123202468Y-112332600D01* -X123297532Y-112332600D01* -X123390769Y-112314054D01* -X123478597Y-112277674D01* -X123557640Y-112224860D01* -X123624860Y-112157640D01* -X123677674Y-112078597D01* -X123714054Y-111990769D01* -X123732600Y-111897532D01* -X123732600Y-111802862D01* -X125071400Y-111802862D01* -X125071400Y-111897138D01* -X125089792Y-111989603D01* -X125125870Y-112076702D01* -X125178247Y-112155090D01* -X125244910Y-112221753D01* -X125323298Y-112274130D01* -X125410397Y-112310208D01* -X125502862Y-112328600D01* -X125597138Y-112328600D01* -X125689603Y-112310208D01* -X125776702Y-112274130D01* -X125855090Y-112221753D01* -X125921753Y-112155090D01* -X125974130Y-112076702D01* -X126010208Y-111989603D01* -X126018495Y-111947937D01* -X126821400Y-111947937D01* -X126821400Y-112052063D01* -X126841713Y-112154187D01* -X126881560Y-112250386D01* -X126939409Y-112336963D01* -X127013037Y-112410591D01* -X127099614Y-112468440D01* -X127195813Y-112508287D01* -X127297937Y-112528600D01* -X127402063Y-112528600D01* -X127504187Y-112508287D01* -X127600386Y-112468440D01* -X127686963Y-112410591D01* -X127760591Y-112336963D01* -X127818440Y-112250386D01* -X127858287Y-112154187D01* -X127878600Y-112052063D01* -X127878600Y-111947937D01* -X127858287Y-111845813D01* -X127818440Y-111749614D01* -X127760591Y-111663037D01* -X127686963Y-111589409D01* -X127600386Y-111531560D01* -X127504187Y-111491713D01* -X127402063Y-111471400D01* -X127297937Y-111471400D01* -X127195813Y-111491713D01* -X127099614Y-111531560D01* -X127013037Y-111589409D01* -X126939409Y-111663037D01* -X126881560Y-111749614D01* -X126841713Y-111845813D01* -X126821400Y-111947937D01* -X126018495Y-111947937D01* -X126028600Y-111897138D01* -X126028600Y-111802862D01* -X126010208Y-111710397D01* -X125974130Y-111623298D01* -X125921753Y-111544910D01* -X125855090Y-111478247D01* -X125776702Y-111425870D01* -X125689603Y-111389792D01* -X125597138Y-111371400D01* -X125502862Y-111371400D01* -X125410397Y-111389792D01* -X125323298Y-111425870D01* -X125244910Y-111478247D01* -X125178247Y-111544910D01* -X125125870Y-111623298D01* -X125089792Y-111710397D01* -X125071400Y-111802862D01* -X123732600Y-111802862D01* -X123732600Y-111802468D01* -X123714054Y-111709231D01* -X123677674Y-111621403D01* -X123624860Y-111542360D01* -X123557640Y-111475140D01* -X123478597Y-111422326D01* -X123390769Y-111385946D01* -X123297532Y-111367400D01* -X123202468Y-111367400D01* -X123109231Y-111385946D01* -X123021403Y-111422326D01* -X122942360Y-111475140D01* -X122875140Y-111542360D01* -X122822326Y-111621403D01* -X122785946Y-111709231D01* -X122767400Y-111802468D01* -X109360212Y-111802468D01* -X109321753Y-111744910D01* -X109255090Y-111678247D01* -X109176702Y-111625870D01* -X109089603Y-111589792D01* -X108997138Y-111571400D01* -X108902862Y-111571400D01* -X108810397Y-111589792D01* -X108723298Y-111625870D01* -X108644910Y-111678247D01* -X108578247Y-111744910D01* -X108525870Y-111823298D01* -X108489792Y-111910397D01* -X108471400Y-112002862D01* -X103660476Y-112002862D01* -X103621753Y-111944910D01* -X103555090Y-111878247D01* -X103476702Y-111825870D01* -X103389603Y-111789792D01* -X103297138Y-111771400D01* -X103202862Y-111771400D01* -X103110397Y-111789792D01* -X103023298Y-111825870D01* -X102944910Y-111878247D01* -X102878247Y-111944910D01* -X102825870Y-112023298D01* -X102789792Y-112110397D01* -X102771400Y-112202862D01* -X97688125Y-112202862D01* -X97708287Y-112154187D01* -X97728600Y-112052063D01* -X97728600Y-111947937D01* -X97708287Y-111845813D01* -X97668440Y-111749614D01* -X97610591Y-111663037D01* -X97536963Y-111589409D01* -X97450386Y-111531560D01* -X97354187Y-111491713D01* -X97252063Y-111471400D01* -X97147937Y-111471400D01* -X97045813Y-111491713D01* -X96949614Y-111531560D01* -X96863037Y-111589409D01* -X96789409Y-111663037D01* -X96731560Y-111749614D01* -X96691713Y-111845813D01* -X96671400Y-111947937D01* -X90728600Y-111947937D01* -X90708287Y-111845813D01* -X90668440Y-111749614D01* -X90610591Y-111663037D01* -X90536963Y-111589409D01* -X90450386Y-111531560D01* -X90354187Y-111491713D01* -X90252063Y-111471400D01* -X90147937Y-111471400D01* -X90045813Y-111491713D01* -X89949614Y-111531560D01* -X89863037Y-111589409D01* -X89789409Y-111663037D01* -X89731560Y-111749614D01* -X89691713Y-111845813D01* -X89671400Y-111947937D01* -X72555047Y-111947937D01* -X72524130Y-111873298D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71873298Y-111675870D01* -X71794910Y-111728247D01* -X71728247Y-111794910D01* -X71675870Y-111873298D01* -X71639792Y-111960397D01* -X71621400Y-112052862D01* -X46177200Y-112052862D01* -X46177200Y-110602862D01* -X71571400Y-110602862D01* -X71571400Y-110697138D01* -X71589792Y-110789603D01* -X71625870Y-110876702D01* -X71678247Y-110955090D01* -X71744910Y-111021753D01* -X71823298Y-111074130D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72315902Y-111047937D01* -X88671400Y-111047937D01* -X88671400Y-111152063D01* -X88691713Y-111254187D01* -X88731560Y-111350386D01* -X88789409Y-111436963D01* -X88863037Y-111510591D01* -X88949614Y-111568440D01* -X89045813Y-111608287D01* -X89147937Y-111628600D01* -X89252063Y-111628600D01* -X89354187Y-111608287D01* -X89450386Y-111568440D01* -X89536963Y-111510591D01* -X89610591Y-111436963D01* -X89668440Y-111350386D01* -X89708287Y-111254187D01* -X89728600Y-111152063D01* -X89728600Y-111047937D01* -X95671400Y-111047937D01* -X95671400Y-111152063D01* -X95691713Y-111254187D01* -X95731560Y-111350386D01* -X95789409Y-111436963D01* -X95863037Y-111510591D01* -X95949614Y-111568440D01* -X96045813Y-111608287D01* -X96147937Y-111628600D01* -X96252063Y-111628600D01* -X96354187Y-111608287D01* -X96450386Y-111568440D01* -X96536963Y-111510591D01* -X96610591Y-111436963D01* -X96666785Y-111352862D01* -X103721400Y-111352862D01* -X103721400Y-111447138D01* -X103739792Y-111539603D01* -X103775870Y-111626702D01* -X103828247Y-111705090D01* -X103894910Y-111771753D01* -X103973298Y-111824130D01* -X104060397Y-111860208D01* -X104152862Y-111878600D01* -X104247138Y-111878600D01* -X104339603Y-111860208D01* -X104426702Y-111824130D01* -X104505090Y-111771753D01* -X104571753Y-111705090D01* -X104624130Y-111626702D01* -X104660208Y-111539603D01* -X104678600Y-111447138D01* -X104678600Y-111352862D01* -X104660208Y-111260397D01* -X104624130Y-111173298D01* -X104577067Y-111102862D01* -X109221400Y-111102862D01* -X109221400Y-111197138D01* -X109239792Y-111289603D01* -X109275870Y-111376702D01* -X109328247Y-111455090D01* -X109394910Y-111521753D01* -X109473298Y-111574130D01* -X109560397Y-111610208D01* -X109652862Y-111628600D01* -X109747138Y-111628600D01* -X109839603Y-111610208D01* -X109926702Y-111574130D01* -X110005090Y-111521753D01* -X110071753Y-111455090D01* -X110124130Y-111376702D01* -X110160208Y-111289603D01* -X110178600Y-111197138D01* -X110178600Y-111102862D01* -X110178522Y-111102468D01* -X114267400Y-111102468D01* -X114267400Y-111197532D01* -X114285946Y-111290769D01* -X114322326Y-111378597D01* -X114375140Y-111457640D01* -X114442360Y-111524860D01* -X114521403Y-111577674D01* -X114609231Y-111614054D01* -X114702468Y-111632600D01* -X114797532Y-111632600D01* -X114890769Y-111614054D01* -X114978597Y-111577674D01* -X115057640Y-111524860D01* -X115124860Y-111457640D01* -X115177674Y-111378597D01* -X115214054Y-111290769D01* -X115232600Y-111197532D01* -X115232600Y-111102468D01* -X122067400Y-111102468D01* -X122067400Y-111197532D01* -X122085946Y-111290769D01* -X122122326Y-111378597D01* -X122175140Y-111457640D01* -X122242360Y-111524860D01* -X122321403Y-111577674D01* -X122409231Y-111614054D01* -X122502468Y-111632600D01* -X122597532Y-111632600D01* -X122690769Y-111614054D01* -X122778597Y-111577674D01* -X122857640Y-111524860D01* -X122924860Y-111457640D01* -X122977674Y-111378597D01* -X123014054Y-111290769D01* -X123032600Y-111197532D01* -X123032600Y-111102468D01* -X123014054Y-111009231D01* -X122988665Y-110947937D01* -X125921400Y-110947937D01* -X125921400Y-111052063D01* -X125941713Y-111154187D01* -X125981560Y-111250386D01* -X126039409Y-111336963D01* -X126113037Y-111410591D01* -X126199614Y-111468440D01* -X126295813Y-111508287D01* -X126397937Y-111528600D01* -X126502063Y-111528600D01* -X126604187Y-111508287D01* -X126700386Y-111468440D01* -X126786963Y-111410591D01* -X126860591Y-111336963D01* -X126918440Y-111250386D01* -X126958287Y-111154187D01* -X126978600Y-111052063D01* -X126978600Y-110947937D01* -X126958287Y-110845813D01* -X126918440Y-110749614D01* -X126860591Y-110663037D01* -X126786963Y-110589409D01* -X126700386Y-110531560D01* -X126604187Y-110491713D01* -X126502063Y-110471400D01* -X126397937Y-110471400D01* -X126295813Y-110491713D01* -X126199614Y-110531560D01* -X126113037Y-110589409D01* -X126039409Y-110663037D01* -X125981560Y-110749614D01* -X125941713Y-110845813D01* -X125921400Y-110947937D01* -X122988665Y-110947937D01* -X122977674Y-110921403D01* -X122924860Y-110842360D01* -X122857640Y-110775140D01* -X122778597Y-110722326D01* -X122690769Y-110685946D01* -X122597532Y-110667400D01* -X122502468Y-110667400D01* -X122409231Y-110685946D01* -X122321403Y-110722326D01* -X122242360Y-110775140D01* -X122175140Y-110842360D01* -X122122326Y-110921403D01* -X122085946Y-111009231D01* -X122067400Y-111102468D01* -X115232600Y-111102468D01* -X115214054Y-111009231D01* -X115177674Y-110921403D01* -X115124860Y-110842360D01* -X115057640Y-110775140D01* -X114978597Y-110722326D01* -X114890769Y-110685946D01* -X114797532Y-110667400D01* -X114702468Y-110667400D01* -X114609231Y-110685946D01* -X114521403Y-110722326D01* -X114442360Y-110775140D01* -X114375140Y-110842360D01* -X114322326Y-110921403D01* -X114285946Y-111009231D01* -X114267400Y-111102468D01* -X110178522Y-111102468D01* -X110160208Y-111010397D01* -X110124130Y-110923298D01* -X110071753Y-110844910D01* -X110005090Y-110778247D01* -X109926702Y-110725870D01* -X109839603Y-110689792D01* -X109747138Y-110671400D01* -X109652862Y-110671400D01* -X109560397Y-110689792D01* -X109473298Y-110725870D01* -X109394910Y-110778247D01* -X109328247Y-110844910D01* -X109275870Y-110923298D01* -X109239792Y-111010397D01* -X109221400Y-111102862D01* -X104577067Y-111102862D01* -X104571753Y-111094910D01* -X104505090Y-111028247D01* -X104426702Y-110975870D01* -X104339603Y-110939792D01* -X104247138Y-110921400D01* -X104152862Y-110921400D01* -X104060397Y-110939792D01* -X103973298Y-110975870D01* -X103894910Y-111028247D01* -X103828247Y-111094910D01* -X103775870Y-111173298D01* -X103739792Y-111260397D01* -X103721400Y-111352862D01* -X96666785Y-111352862D01* -X96668440Y-111350386D01* -X96708287Y-111254187D01* -X96728600Y-111152063D01* -X96728600Y-111047937D01* -X96708287Y-110945813D01* -X96668440Y-110849614D01* -X96610591Y-110763037D01* -X96536963Y-110689409D01* -X96450386Y-110631560D01* -X96354187Y-110591713D01* -X96252063Y-110571400D01* -X96147937Y-110571400D01* -X96045813Y-110591713D01* -X95949614Y-110631560D01* -X95863037Y-110689409D01* -X95789409Y-110763037D01* -X95731560Y-110849614D01* -X95691713Y-110945813D01* -X95671400Y-111047937D01* -X89728600Y-111047937D01* -X89708287Y-110945813D01* -X89668440Y-110849614D01* -X89610591Y-110763037D01* -X89536963Y-110689409D01* -X89450386Y-110631560D01* -X89354187Y-110591713D01* -X89252063Y-110571400D01* -X89147937Y-110571400D01* -X89045813Y-110591713D01* -X88949614Y-110631560D01* -X88863037Y-110689409D01* -X88789409Y-110763037D01* -X88731560Y-110849614D01* -X88691713Y-110945813D01* -X88671400Y-111047937D01* -X72315902Y-111047937D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72474130Y-110876702D01* -X72510208Y-110789603D01* -X72528600Y-110697138D01* -X72528600Y-110602862D01* -X72510208Y-110510397D01* -X72474130Y-110423298D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X71910397Y-110189792D01* -X71823298Y-110225870D01* -X71744910Y-110278247D01* -X71678247Y-110344910D01* -X71625870Y-110423298D01* -X71589792Y-110510397D01* -X71571400Y-110602862D01* -X46177200Y-110602862D01* -X46177200Y-109752862D01* -X69271400Y-109752862D01* -X69271400Y-109847138D01* -X69289792Y-109939603D01* -X69325870Y-110026702D01* -X69378247Y-110105090D01* -X69444910Y-110171753D01* -X69523298Y-110224130D01* -X69610397Y-110260208D01* -X69702862Y-110278600D01* -X69797138Y-110278600D01* -X69889603Y-110260208D01* -X69976702Y-110224130D01* -X70055090Y-110171753D01* -X70073981Y-110152862D01* -X89921400Y-110152862D01* -X89921400Y-110247138D01* -X89939792Y-110339603D01* -X89975870Y-110426702D01* -X90028247Y-110505090D01* -X90094910Y-110571753D01* -X90173298Y-110624130D01* -X90260397Y-110660208D01* -X90352862Y-110678600D01* -X90447138Y-110678600D01* -X90539603Y-110660208D01* -X90626702Y-110624130D01* -X90705090Y-110571753D01* -X90771753Y-110505090D01* -X90824130Y-110426702D01* -X90860208Y-110339603D01* -X90878600Y-110247138D01* -X90878600Y-110152862D01* -X96921400Y-110152862D01* -X96921400Y-110247138D01* -X96939792Y-110339603D01* -X96975870Y-110426702D01* -X97028247Y-110505090D01* -X97094910Y-110571753D01* -X97173298Y-110624130D01* -X97260397Y-110660208D01* -X97352862Y-110678600D01* -X97447138Y-110678600D01* -X97539603Y-110660208D01* -X97626702Y-110624130D01* -X97705090Y-110571753D01* -X97771753Y-110505090D01* -X97824130Y-110426702D01* -X97860208Y-110339603D01* -X97878600Y-110247138D01* -X97878600Y-110152862D01* -X97868655Y-110102862D01* -X109221400Y-110102862D01* -X109221400Y-110197138D01* -X109239792Y-110289603D01* -X109275870Y-110376702D01* -X109328247Y-110455090D01* -X109394910Y-110521753D01* -X109473298Y-110574130D01* -X109560397Y-110610208D01* -X109652862Y-110628600D01* -X109747138Y-110628600D01* -X109839603Y-110610208D01* -X109926702Y-110574130D01* -X110005090Y-110521753D01* -X110071753Y-110455090D01* -X110116522Y-110388088D01* -X110221400Y-110388088D01* -X110221400Y-110511912D01* -X110245556Y-110633356D01* -X110292941Y-110747754D01* -X110361734Y-110850709D01* -X110449291Y-110938266D01* -X110552246Y-111007059D01* -X110666644Y-111054444D01* -X110788088Y-111078600D01* -X110911912Y-111078600D01* -X111033356Y-111054444D01* -X111147754Y-111007059D01* -X111250709Y-110938266D01* -X111338266Y-110850709D01* -X111407059Y-110747754D01* -X111454444Y-110633356D01* -X111478600Y-110511912D01* -X111478600Y-110388088D01* -X111454444Y-110266644D01* -X111407059Y-110152246D01* -X111374062Y-110102862D01* -X114271400Y-110102862D01* -X114271400Y-110197138D01* -X114289792Y-110289603D01* -X114325870Y-110376702D01* -X114378247Y-110455090D01* -X114444910Y-110521753D01* -X114523298Y-110574130D01* -X114610397Y-110610208D01* -X114702862Y-110628600D01* -X114797138Y-110628600D01* -X114889603Y-110610208D01* -X114976702Y-110574130D01* -X115055090Y-110521753D01* -X115121753Y-110455090D01* -X115174130Y-110376702D01* -X115210208Y-110289603D01* -X115228600Y-110197138D01* -X115228600Y-110102862D01* -X122071400Y-110102862D01* -X122071400Y-110197138D01* -X122089792Y-110289603D01* -X122125870Y-110376702D01* -X122178247Y-110455090D01* -X122244910Y-110521753D01* -X122323298Y-110574130D01* -X122410397Y-110610208D01* -X122502862Y-110628600D01* -X122597138Y-110628600D01* -X122689603Y-110610208D01* -X122776702Y-110574130D01* -X122855090Y-110521753D01* -X122921753Y-110455090D01* -X122974130Y-110376702D01* -X123010208Y-110289603D01* -X123028600Y-110197138D01* -X123028600Y-110102862D01* -X123010208Y-110010397D01* -X122974130Y-109923298D01* -X122921753Y-109844910D01* -X122855090Y-109778247D01* -X122776702Y-109725870D01* -X122689603Y-109689792D01* -X122597138Y-109671400D01* -X122502862Y-109671400D01* -X122410397Y-109689792D01* -X122323298Y-109725870D01* -X122244910Y-109778247D01* -X122178247Y-109844910D01* -X122125870Y-109923298D01* -X122089792Y-110010397D01* -X122071400Y-110102862D01* -X115228600Y-110102862D01* -X115210208Y-110010397D01* -X115174130Y-109923298D01* -X115121753Y-109844910D01* -X115055090Y-109778247D01* -X114976702Y-109725870D01* -X114889603Y-109689792D01* -X114797138Y-109671400D01* -X114702862Y-109671400D01* -X114610397Y-109689792D01* -X114523298Y-109725870D01* -X114444910Y-109778247D01* -X114378247Y-109844910D01* -X114325870Y-109923298D01* -X114289792Y-110010397D01* -X114271400Y-110102862D01* -X111374062Y-110102862D01* -X111338266Y-110049291D01* -X111250709Y-109961734D01* -X111147754Y-109892941D01* -X111033356Y-109845556D01* -X110911912Y-109821400D01* -X110788088Y-109821400D01* -X110666644Y-109845556D01* -X110552246Y-109892941D01* -X110449291Y-109961734D01* -X110361734Y-110049291D01* -X110292941Y-110152246D01* -X110245556Y-110266644D01* -X110221400Y-110388088D01* -X110116522Y-110388088D01* -X110124130Y-110376702D01* -X110160208Y-110289603D01* -X110178600Y-110197138D01* -X110178600Y-110102862D01* -X110160208Y-110010397D01* -X110124130Y-109923298D01* -X110071753Y-109844910D01* -X110005090Y-109778247D01* -X109926702Y-109725870D01* -X109839603Y-109689792D01* -X109747138Y-109671400D01* -X109652862Y-109671400D01* -X109560397Y-109689792D01* -X109473298Y-109725870D01* -X109394910Y-109778247D01* -X109328247Y-109844910D01* -X109275870Y-109923298D01* -X109239792Y-110010397D01* -X109221400Y-110102862D01* -X97868655Y-110102862D01* -X97860208Y-110060397D01* -X97824130Y-109973298D01* -X97771753Y-109894910D01* -X97705090Y-109828247D01* -X97626702Y-109775870D01* -X97539603Y-109739792D01* -X97447138Y-109721400D01* -X97352862Y-109721400D01* -X97260397Y-109739792D01* -X97173298Y-109775870D01* -X97094910Y-109828247D01* -X97028247Y-109894910D01* -X96975870Y-109973298D01* -X96939792Y-110060397D01* -X96921400Y-110152862D01* -X90878600Y-110152862D01* -X90860208Y-110060397D01* -X90824130Y-109973298D01* -X90771753Y-109894910D01* -X90705090Y-109828247D01* -X90626702Y-109775870D01* -X90539603Y-109739792D01* -X90447138Y-109721400D01* -X90352862Y-109721400D01* -X90260397Y-109739792D01* -X90173298Y-109775870D01* -X90094910Y-109828247D01* -X90028247Y-109894910D01* -X89975870Y-109973298D01* -X89939792Y-110060397D01* -X89921400Y-110152862D01* -X70073981Y-110152862D01* -X70121753Y-110105090D01* -X70174130Y-110026702D01* -X70210208Y-109939603D01* -X70228600Y-109847138D01* -X70228600Y-109752862D01* -X70210208Y-109660397D01* -X70174130Y-109573298D01* -X70121753Y-109494910D01* -X70055090Y-109428247D01* -X69976702Y-109375870D01* -X69889603Y-109339792D01* -X69797138Y-109321400D01* -X69702862Y-109321400D01* -X69610397Y-109339792D01* -X69523298Y-109375870D01* -X69444910Y-109428247D01* -X69378247Y-109494910D01* -X69325870Y-109573298D01* -X69289792Y-109660397D01* -X69271400Y-109752862D01* -X46177200Y-109752862D01* -X46177200Y-108447359D01* -X60530257Y-108447359D01* -X60574492Y-108593195D01* -X60670029Y-108784808D01* -X60801112Y-108954101D01* -X60962704Y-109094568D01* -X61148595Y-109200811D01* -X61351641Y-109268747D01* -X61521200Y-109236558D01* -X61521200Y-108277800D01* -X61668800Y-108277800D01* -X61668800Y-109236558D01* -X61838359Y-109268747D01* -X62041405Y-109200811D01* -X62227296Y-109094568D01* -X62388888Y-108954101D01* -X62519971Y-108784808D01* -X62615508Y-108593195D01* -X62659743Y-108447359D01* -X62627416Y-108277800D01* -X61668800Y-108277800D01* -X61521200Y-108277800D01* -X60562584Y-108277800D01* -X60530257Y-108447359D01* -X46177200Y-108447359D01* -X46177200Y-107960641D01* -X60530257Y-107960641D01* -X60562584Y-108130200D01* -X61521200Y-108130200D01* -X61521200Y-107171442D01* -X61668800Y-107171442D01* -X61668800Y-108130200D01* -X62627416Y-108130200D01* -X62659743Y-107960641D01* -X62615508Y-107814805D01* -X62519971Y-107623192D01* -X62388888Y-107453899D01* -X62258320Y-107340400D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65211622Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82152862Y-109678600D01* -X82247138Y-109678600D01* -X82339603Y-109660208D01* -X82426702Y-109624130D01* -X82505090Y-109571753D01* -X82571753Y-109505090D01* -X82624130Y-109426702D01* -X82660208Y-109339603D01* -X82678600Y-109247138D01* -X82678600Y-109152862D01* -X82721400Y-109152862D01* -X82721400Y-109247138D01* -X82739792Y-109339603D01* -X82775870Y-109426702D01* -X82828247Y-109505090D01* -X82894910Y-109571753D01* -X82973298Y-109624130D01* -X83060397Y-109660208D01* -X83152862Y-109678600D01* -X83247138Y-109678600D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109202862D01* -X108471400Y-109202862D01* -X108471400Y-109297138D01* -X108489792Y-109389603D01* -X108525870Y-109476702D01* -X108578247Y-109555090D01* -X108644910Y-109621753D01* -X108723298Y-109674130D01* -X108810397Y-109710208D01* -X108902862Y-109728600D01* -X108997138Y-109728600D01* -X109089603Y-109710208D01* -X109176702Y-109674130D01* -X109255090Y-109621753D01* -X109321753Y-109555090D01* -X109374130Y-109476702D01* -X109404715Y-109402862D01* -X111271400Y-109402862D01* -X111271400Y-109497138D01* -X111289792Y-109589603D01* -X111325870Y-109676702D01* -X111378247Y-109755090D01* -X111444910Y-109821753D01* -X111523298Y-109874130D01* -X111610397Y-109910208D01* -X111702862Y-109928600D01* -X111797138Y-109928600D01* -X111889603Y-109910208D01* -X111976702Y-109874130D01* -X112055090Y-109821753D01* -X112121753Y-109755090D01* -X112174130Y-109676702D01* -X112210208Y-109589603D01* -X112228600Y-109497138D01* -X112228600Y-109402862D01* -X113571400Y-109402862D01* -X113571400Y-109497138D01* -X113589792Y-109589603D01* -X113625870Y-109676702D01* -X113678247Y-109755090D01* -X113744910Y-109821753D01* -X113823298Y-109874130D01* -X113910397Y-109910208D01* -X114002862Y-109928600D01* -X114097138Y-109928600D01* -X114189603Y-109910208D01* -X114276702Y-109874130D01* -X114355090Y-109821753D01* -X114421753Y-109755090D01* -X114474130Y-109676702D01* -X114510208Y-109589603D01* -X114528600Y-109497138D01* -X114528600Y-109402862D01* -X114510208Y-109310397D01* -X114474130Y-109223298D01* -X114421753Y-109144910D01* -X114355090Y-109078247D01* -X114276702Y-109025870D01* -X114189603Y-108989792D01* -X114097138Y-108971400D01* -X114002862Y-108971400D01* -X113910397Y-108989792D01* -X113823298Y-109025870D01* -X113744910Y-109078247D01* -X113678247Y-109144910D01* -X113625870Y-109223298D01* -X113589792Y-109310397D01* -X113571400Y-109402862D01* -X112228600Y-109402862D01* -X112210208Y-109310397D01* -X112174130Y-109223298D01* -X112121753Y-109144910D01* -X112055090Y-109078247D01* -X111976702Y-109025870D01* -X111889603Y-108989792D01* -X111797138Y-108971400D01* -X111702862Y-108971400D01* -X111610397Y-108989792D01* -X111523298Y-109025870D01* -X111444910Y-109078247D01* -X111378247Y-109144910D01* -X111325870Y-109223298D01* -X111289792Y-109310397D01* -X111271400Y-109402862D01* -X109404715Y-109402862D01* -X109410208Y-109389603D01* -X109428600Y-109297138D01* -X109428600Y-109202862D01* -X109410208Y-109110397D01* -X109374130Y-109023298D01* -X109321753Y-108944910D01* -X109255090Y-108878247D01* -X109176702Y-108825870D01* -X109089603Y-108789792D01* -X108997138Y-108771400D01* -X108902862Y-108771400D01* -X108810397Y-108789792D01* -X108723298Y-108825870D01* -X108644910Y-108878247D01* -X108578247Y-108944910D01* -X108525870Y-109023298D01* -X108489792Y-109110397D01* -X108471400Y-109202862D01* -X83678600Y-109202862D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83426702Y-108775870D01* -X83339603Y-108739792D01* -X83247138Y-108721400D01* -X83152862Y-108721400D01* -X83060397Y-108739792D01* -X82973298Y-108775870D01* -X82894910Y-108828247D01* -X82828247Y-108894910D01* -X82775870Y-108973298D01* -X82739792Y-109060397D01* -X82721400Y-109152862D01* -X82678600Y-109152862D01* -X82660208Y-109060397D01* -X82624130Y-108973298D01* -X82571753Y-108894910D01* -X82505090Y-108828247D01* -X82426702Y-108775870D01* -X82339603Y-108739792D01* -X82247138Y-108721400D01* -X82152862Y-108721400D01* -X82060397Y-108739792D01* -X81973298Y-108775870D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X65211622Y-109152862D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-108602862D01* -X79321400Y-108602862D01* -X79321400Y-108697138D01* -X79339792Y-108789603D01* -X79375870Y-108876702D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79573298Y-109074130D01* -X79660397Y-109110208D01* -X79752862Y-109128600D01* -X79847138Y-109128600D01* -X79939603Y-109110208D01* -X80026702Y-109074130D01* -X80105090Y-109021753D01* -X80171753Y-108955090D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80067099Y-108252862D01* -X82221400Y-108252862D01* -X82221400Y-108347138D01* -X82239792Y-108439603D01* -X82275870Y-108526702D01* -X82328247Y-108605090D01* -X82394910Y-108671753D01* -X82473298Y-108724130D01* -X82560397Y-108760208D01* -X82652862Y-108778600D01* -X82747138Y-108778600D01* -X82839603Y-108760208D01* -X82926702Y-108724130D01* -X83005090Y-108671753D01* -X83071753Y-108605090D01* -X83124130Y-108526702D01* -X83160208Y-108439603D01* -X83177461Y-108352862D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84102862Y-108878600D01* -X84197138Y-108878600D01* -X84289603Y-108860208D01* -X84376702Y-108824130D01* -X84455090Y-108771753D01* -X84521753Y-108705090D01* -X84523241Y-108702862D01* -X114271400Y-108702862D01* -X114271400Y-108797138D01* -X114289792Y-108889603D01* -X114325870Y-108976702D01* -X114378247Y-109055090D01* -X114444910Y-109121753D01* -X114523298Y-109174130D01* -X114610397Y-109210208D01* -X114702862Y-109228600D01* -X114797138Y-109228600D01* -X114889603Y-109210208D01* -X114976702Y-109174130D01* -X115055090Y-109121753D01* -X115121753Y-109055090D01* -X115174130Y-108976702D01* -X115210208Y-108889603D01* -X115228600Y-108797138D01* -X115228600Y-108702862D01* -X115228522Y-108702468D01* -X122067400Y-108702468D01* -X122067400Y-108797532D01* -X122085946Y-108890769D01* -X122122326Y-108978597D01* -X122175140Y-109057640D01* -X122242360Y-109124860D01* -X122321403Y-109177674D01* -X122409231Y-109214054D01* -X122502468Y-109232600D01* -X122597532Y-109232600D01* -X122690769Y-109214054D01* -X122778597Y-109177674D01* -X122857640Y-109124860D01* -X122924860Y-109057640D01* -X122977674Y-108978597D01* -X123014054Y-108890769D01* -X123032600Y-108797532D01* -X123032600Y-108702468D01* -X123014054Y-108609231D01* -X122977674Y-108521403D01* -X122924860Y-108442360D01* -X122857640Y-108375140D01* -X122778597Y-108322326D01* -X122690769Y-108285946D01* -X122597532Y-108267400D01* -X122502468Y-108267400D01* -X122409231Y-108285946D01* -X122321403Y-108322326D01* -X122242360Y-108375140D01* -X122175140Y-108442360D01* -X122122326Y-108521403D01* -X122085946Y-108609231D01* -X122067400Y-108702468D01* -X115228522Y-108702468D01* -X115210208Y-108610397D01* -X115174130Y-108523298D01* -X115121753Y-108444910D01* -X115055090Y-108378247D01* -X114976702Y-108325870D01* -X114889603Y-108289792D01* -X114797138Y-108271400D01* -X114702862Y-108271400D01* -X114610397Y-108289792D01* -X114523298Y-108325870D01* -X114444910Y-108378247D01* -X114378247Y-108444910D01* -X114325870Y-108523298D01* -X114289792Y-108610397D01* -X114271400Y-108702862D01* -X84523241Y-108702862D01* -X84574130Y-108626702D01* -X84610208Y-108539603D01* -X84628600Y-108447138D01* -X84628600Y-108352862D01* -X84610208Y-108260397D01* -X84574130Y-108173298D01* -X84521753Y-108094910D01* -X84455090Y-108028247D01* -X84376702Y-107975870D01* -X84289603Y-107939792D01* -X84197138Y-107921400D01* -X84102862Y-107921400D01* -X84010397Y-107939792D01* -X83923298Y-107975870D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83177461Y-108352862D01* -X83178600Y-108347138D01* -X83178600Y-108252862D01* -X83160208Y-108160397D01* -X83124130Y-108073298D01* -X83071753Y-107994910D01* -X83005090Y-107928247D01* -X82926702Y-107875870D01* -X82839603Y-107839792D01* -X82747138Y-107821400D01* -X82652862Y-107821400D01* -X82560397Y-107839792D01* -X82473298Y-107875870D01* -X82394910Y-107928247D01* -X82328247Y-107994910D01* -X82275870Y-108073298D01* -X82239792Y-108160397D01* -X82221400Y-108252862D01* -X80067099Y-108252862D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79375870Y-108423298D01* -X79339792Y-108510397D01* -X79321400Y-108602862D01* -X65228306Y-108602862D01* -X65228306Y-107602862D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80355090Y-108021753D01* -X80421753Y-107955090D01* -X80474130Y-107876702D01* -X80510208Y-107789603D01* -X80528600Y-107697138D01* -X80528600Y-107602862D01* -X80510208Y-107510397D01* -X80474130Y-107423298D01* -X80427067Y-107352862D01* -X83871400Y-107352862D01* -X83871400Y-107447138D01* -X83889792Y-107539603D01* -X83925870Y-107626702D01* -X83978247Y-107705090D01* -X84044910Y-107771753D01* -X84123298Y-107824130D01* -X84210397Y-107860208D01* -X84302862Y-107878600D01* -X84397138Y-107878600D01* -X84489603Y-107860208D01* -X84507337Y-107852862D01* -X89971400Y-107852862D01* -X89971400Y-107947138D01* -X89989792Y-108039603D01* -X90025870Y-108126702D01* -X90078247Y-108205090D01* -X90144910Y-108271753D01* -X90223298Y-108324130D01* -X90310397Y-108360208D01* -X90402862Y-108378600D01* -X90497138Y-108378600D01* -X90589603Y-108360208D01* -X90676702Y-108324130D01* -X90755090Y-108271753D01* -X90821753Y-108205090D01* -X90874130Y-108126702D01* -X90910208Y-108039603D01* -X90928600Y-107947138D01* -X90928600Y-107852862D01* -X96971400Y-107852862D01* -X96971400Y-107947138D01* -X96989792Y-108039603D01* -X97025870Y-108126702D01* -X97078247Y-108205090D01* -X97144910Y-108271753D01* -X97223298Y-108324130D01* -X97310397Y-108360208D01* -X97402862Y-108378600D01* -X97497138Y-108378600D01* -X97589603Y-108360208D01* -X97676702Y-108324130D01* -X97755090Y-108271753D01* -X97821753Y-108205090D01* -X97874130Y-108126702D01* -X97910208Y-108039603D01* -X97928600Y-107947138D01* -X97928600Y-107852862D01* -X97910208Y-107760397D01* -X97874130Y-107673298D01* -X97827067Y-107602862D01* -X108471400Y-107602862D01* -X108471400Y-107697138D01* -X108489792Y-107789603D01* -X108525870Y-107876702D01* -X108578247Y-107955090D01* -X108644910Y-108021753D01* -X108723298Y-108074130D01* -X108810397Y-108110208D01* -X108902862Y-108128600D01* -X108997138Y-108128600D01* -X109089603Y-108110208D01* -X109176702Y-108074130D01* -X109255090Y-108021753D01* -X109321753Y-107955090D01* -X109374130Y-107876702D01* -X109410208Y-107789603D01* -X109427461Y-107702862D01* -X114271400Y-107702862D01* -X114271400Y-107797138D01* -X114289792Y-107889603D01* -X114325870Y-107976702D01* -X114378247Y-108055090D01* -X114444910Y-108121753D01* -X114523298Y-108174130D01* -X114610397Y-108210208D01* -X114702862Y-108228600D01* -X114797138Y-108228600D01* -X114889603Y-108210208D01* -X114976702Y-108174130D01* -X115055090Y-108121753D01* -X115121753Y-108055090D01* -X115174130Y-107976702D01* -X115210208Y-107889603D01* -X115228600Y-107797138D01* -X115228600Y-107702862D01* -X122071400Y-107702862D01* -X122071400Y-107797138D01* -X122089792Y-107889603D01* -X122125870Y-107976702D01* -X122178247Y-108055090D01* -X122244910Y-108121753D01* -X122323298Y-108174130D01* -X122410397Y-108210208D01* -X122502862Y-108228600D01* -X122597138Y-108228600D01* -X122689603Y-108210208D01* -X122776702Y-108174130D01* -X122855090Y-108121753D01* -X122921753Y-108055090D01* -X122974130Y-107976702D01* -X123010208Y-107889603D01* -X123028600Y-107797138D01* -X123028600Y-107702862D01* -X123010208Y-107610397D01* -X122974130Y-107523298D01* -X122921753Y-107444910D01* -X122855090Y-107378247D01* -X122776702Y-107325870D01* -X122689603Y-107289792D01* -X122597138Y-107271400D01* -X122502862Y-107271400D01* -X122410397Y-107289792D01* -X122323298Y-107325870D01* -X122244910Y-107378247D01* -X122178247Y-107444910D01* -X122125870Y-107523298D01* -X122089792Y-107610397D01* -X122071400Y-107702862D01* -X115228600Y-107702862D01* -X115210208Y-107610397D01* -X115174130Y-107523298D01* -X115121753Y-107444910D01* -X115055090Y-107378247D01* -X114976702Y-107325870D01* -X114889603Y-107289792D01* -X114797138Y-107271400D01* -X114702862Y-107271400D01* -X114610397Y-107289792D01* -X114523298Y-107325870D01* -X114444910Y-107378247D01* -X114378247Y-107444910D01* -X114325870Y-107523298D01* -X114289792Y-107610397D01* -X114271400Y-107702862D01* -X109427461Y-107702862D01* -X109428600Y-107697138D01* -X109428600Y-107602862D01* -X109410208Y-107510397D01* -X109374130Y-107423298D01* -X109321753Y-107344910D01* -X109255090Y-107278247D01* -X109176702Y-107225870D01* -X109089603Y-107189792D01* -X108997138Y-107171400D01* -X108902862Y-107171400D01* -X108810397Y-107189792D01* -X108723298Y-107225870D01* -X108644910Y-107278247D01* -X108578247Y-107344910D01* -X108525870Y-107423298D01* -X108489792Y-107510397D01* -X108471400Y-107602862D01* -X97827067Y-107602862D01* -X97821753Y-107594910D01* -X97755090Y-107528247D01* -X97676702Y-107475870D01* -X97589603Y-107439792D01* -X97497138Y-107421400D01* -X97402862Y-107421400D01* -X97310397Y-107439792D01* -X97223298Y-107475870D01* -X97144910Y-107528247D01* -X97078247Y-107594910D01* -X97025870Y-107673298D01* -X96989792Y-107760397D01* -X96971400Y-107852862D01* -X90928600Y-107852862D01* -X90910208Y-107760397D01* -X90874130Y-107673298D01* -X90821753Y-107594910D01* -X90755090Y-107528247D01* -X90676702Y-107475870D01* -X90589603Y-107439792D01* -X90497138Y-107421400D01* -X90402862Y-107421400D01* -X90310397Y-107439792D01* -X90223298Y-107475870D01* -X90144910Y-107528247D01* -X90078247Y-107594910D01* -X90025870Y-107673298D01* -X89989792Y-107760397D01* -X89971400Y-107852862D01* -X84507337Y-107852862D01* -X84576702Y-107824130D01* -X84655090Y-107771753D01* -X84721753Y-107705090D01* -X84774130Y-107626702D01* -X84810208Y-107539603D01* -X84828600Y-107447138D01* -X84828600Y-107352862D01* -X84810208Y-107260397D01* -X84774130Y-107173298D01* -X84721753Y-107094910D01* -X84655090Y-107028247D01* -X84576702Y-106975870D01* -X84489603Y-106939792D01* -X84397138Y-106921400D01* -X84302862Y-106921400D01* -X84210397Y-106939792D01* -X84123298Y-106975870D01* -X84044910Y-107028247D01* -X83978247Y-107094910D01* -X83925870Y-107173298D01* -X83889792Y-107260397D01* -X83871400Y-107352862D01* -X80427067Y-107352862D01* -X80421753Y-107344910D01* -X80355090Y-107278247D01* -X80276702Y-107225870D01* -X80189603Y-107189792D01* -X80097138Y-107171400D01* -X80002862Y-107171400D01* -X79910397Y-107189792D01* -X79823298Y-107225870D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X65228306Y-107602862D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65189594Y-107212782D01* -X65161027Y-107177973D01* -X65126218Y-107149406D01* -X65086505Y-107128179D01* -X65043413Y-107115108D01* -X64998600Y-107110694D01* -X63271400Y-107110694D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X62258320Y-107340400D01* -X62227296Y-107313432D01* -X62041405Y-107207189D01* -X61838359Y-107139253D01* -X61668800Y-107171442D01* -X61521200Y-107171442D01* -X61351641Y-107139253D01* -X61148595Y-107207189D01* -X60962704Y-107313432D01* -X60801112Y-107453899D01* -X60670029Y-107623192D01* -X60574492Y-107814805D01* -X60530257Y-107960641D01* -X46177200Y-107960641D01* -X46177200Y-106852862D01* -X84771400Y-106852862D01* -X84771400Y-106947138D01* -X84789792Y-107039603D01* -X84825870Y-107126702D01* -X84878247Y-107205090D01* -X84944910Y-107271753D01* -X85023298Y-107324130D01* -X85110397Y-107360208D01* -X85202862Y-107378600D01* -X85297138Y-107378600D01* -X85389603Y-107360208D01* -X85476702Y-107324130D01* -X85555090Y-107271753D01* -X85621753Y-107205090D01* -X85674130Y-107126702D01* -X85710208Y-107039603D01* -X85728600Y-106947138D01* -X85728600Y-106852862D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89823298Y-107324130D01* -X89910397Y-107360208D01* -X90002862Y-107378600D01* -X90097138Y-107378600D01* -X90189603Y-107360208D01* -X90276702Y-107324130D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90474130Y-107126702D01* -X90510208Y-107039603D01* -X90528600Y-106947138D01* -X90528600Y-106902862D01* -X97321400Y-106902862D01* -X97321400Y-106997138D01* -X97339792Y-107089603D01* -X97375870Y-107176702D01* -X97428247Y-107255090D01* -X97494910Y-107321753D01* -X97573298Y-107374130D01* -X97660397Y-107410208D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97939603Y-107410208D01* -X98026702Y-107374130D01* -X98105090Y-107321753D01* -X98171753Y-107255090D01* -X98224130Y-107176702D01* -X98260208Y-107089603D01* -X98278600Y-106997138D01* -X98278600Y-106902862D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98210476Y-106702862D01* -X109221400Y-106702862D01* -X109221400Y-106797138D01* -X109239792Y-106889603D01* -X109275870Y-106976702D01* -X109328247Y-107055090D01* -X109394910Y-107121753D01* -X109473298Y-107174130D01* -X109560397Y-107210208D01* -X109652862Y-107228600D01* -X109747138Y-107228600D01* -X109839603Y-107210208D01* -X109926702Y-107174130D01* -X110005090Y-107121753D01* -X110071753Y-107055090D01* -X110106650Y-107002862D01* -X122771400Y-107002862D01* -X122771400Y-107097138D01* -X122789792Y-107189603D01* -X122825870Y-107276702D01* -X122878247Y-107355090D01* -X122944910Y-107421753D01* -X123023298Y-107474130D01* -X123110397Y-107510208D01* -X123202862Y-107528600D01* -X123297138Y-107528600D01* -X123389603Y-107510208D01* -X123476702Y-107474130D01* -X123555090Y-107421753D01* -X123621753Y-107355090D01* -X123674130Y-107276702D01* -X123710208Y-107189603D01* -X123728600Y-107097138D01* -X123728600Y-107002862D01* -X125071400Y-107002862D01* -X125071400Y-107097138D01* -X125089792Y-107189603D01* -X125125870Y-107276702D01* -X125178247Y-107355090D01* -X125244910Y-107421753D01* -X125323298Y-107474130D01* -X125410397Y-107510208D01* -X125502862Y-107528600D01* -X125597138Y-107528600D01* -X125689603Y-107510208D01* -X125776702Y-107474130D01* -X125855090Y-107421753D01* -X125921753Y-107355090D01* -X125974130Y-107276702D01* -X125990124Y-107238088D01* -X126821400Y-107238088D01* -X126821400Y-107361912D01* -X126845556Y-107483356D01* -X126892941Y-107597754D01* -X126961734Y-107700709D01* -X127049291Y-107788266D01* -X127152246Y-107857059D01* -X127266644Y-107904444D01* -X127388088Y-107928600D01* -X127511912Y-107928600D01* -X127633356Y-107904444D01* -X127747754Y-107857059D01* -X127850709Y-107788266D01* -X127938266Y-107700709D01* -X128007059Y-107597754D01* -X128054444Y-107483356D01* -X128078600Y-107361912D01* -X128078600Y-107238088D01* -X128054444Y-107116644D01* -X128007059Y-107002246D01* -X127938266Y-106899291D01* -X127850709Y-106811734D01* -X127747754Y-106742941D01* -X127633356Y-106695556D01* -X127511912Y-106671400D01* -X127388088Y-106671400D01* -X127266644Y-106695556D01* -X127152246Y-106742941D01* -X127049291Y-106811734D01* -X126961734Y-106899291D01* -X126892941Y-107002246D01* -X126845556Y-107116644D01* -X126821400Y-107238088D01* -X125990124Y-107238088D01* -X126010208Y-107189603D01* -X126028600Y-107097138D01* -X126028600Y-107002862D01* -X126010208Y-106910397D01* -X125974130Y-106823298D01* -X125921753Y-106744910D01* -X125855090Y-106678247D01* -X125776702Y-106625870D01* -X125689603Y-106589792D01* -X125597138Y-106571400D01* -X125502862Y-106571400D01* -X125410397Y-106589792D01* -X125323298Y-106625870D01* -X125244910Y-106678247D01* -X125178247Y-106744910D01* -X125125870Y-106823298D01* -X125089792Y-106910397D01* -X125071400Y-107002862D01* -X123728600Y-107002862D01* -X123710208Y-106910397D01* -X123674130Y-106823298D01* -X123621753Y-106744910D01* -X123555090Y-106678247D01* -X123476702Y-106625870D01* -X123389603Y-106589792D01* -X123297138Y-106571400D01* -X123202862Y-106571400D01* -X123110397Y-106589792D01* -X123023298Y-106625870D01* -X122944910Y-106678247D01* -X122878247Y-106744910D01* -X122825870Y-106823298D01* -X122789792Y-106910397D01* -X122771400Y-107002862D01* -X110106650Y-107002862D01* -X110124130Y-106976702D01* -X110160208Y-106889603D01* -X110178600Y-106797138D01* -X110178600Y-106702862D01* -X110160208Y-106610397D01* -X110124130Y-106523298D01* -X110071753Y-106444910D01* -X110005090Y-106378247D01* -X109926702Y-106325870D01* -X109871157Y-106302862D01* -X114271400Y-106302862D01* -X114271400Y-106397138D01* -X114289792Y-106489603D01* -X114325870Y-106576702D01* -X114378247Y-106655090D01* -X114444910Y-106721753D01* -X114523298Y-106774130D01* -X114610397Y-106810208D01* -X114702862Y-106828600D01* -X114797138Y-106828600D01* -X114889603Y-106810208D01* -X114976702Y-106774130D01* -X115055090Y-106721753D01* -X115121753Y-106655090D01* -X115174130Y-106576702D01* -X115210208Y-106489603D01* -X115228600Y-106397138D01* -X115228600Y-106302862D01* -X115228522Y-106302468D01* -X122067400Y-106302468D01* -X122067400Y-106397532D01* -X122085946Y-106490769D01* -X122122326Y-106578597D01* -X122175140Y-106657640D01* -X122242360Y-106724860D01* -X122321403Y-106777674D01* -X122409231Y-106814054D01* -X122502468Y-106832600D01* -X122597532Y-106832600D01* -X122690769Y-106814054D01* -X122778597Y-106777674D01* -X122857640Y-106724860D01* -X122924860Y-106657640D01* -X122977674Y-106578597D01* -X123014054Y-106490769D01* -X123032600Y-106397532D01* -X123032600Y-106302468D01* -X123014054Y-106209231D01* -X122988665Y-106147937D01* -X125921400Y-106147937D01* -X125921400Y-106252063D01* -X125941713Y-106354187D01* -X125981560Y-106450386D01* -X126039409Y-106536963D01* -X126113037Y-106610591D01* -X126199614Y-106668440D01* -X126295813Y-106708287D01* -X126397937Y-106728600D01* -X126502063Y-106728600D01* -X126604187Y-106708287D01* -X126700386Y-106668440D01* -X126786963Y-106610591D01* -X126860591Y-106536963D01* -X126918440Y-106450386D01* -X126958287Y-106354187D01* -X126978600Y-106252063D01* -X126978600Y-106147937D01* -X126958287Y-106045813D01* -X126918440Y-105949614D01* -X126860591Y-105863037D01* -X126786963Y-105789409D01* -X126700386Y-105731560D01* -X126604187Y-105691713D01* -X126502063Y-105671400D01* -X126397937Y-105671400D01* -X126295813Y-105691713D01* -X126199614Y-105731560D01* -X126113037Y-105789409D01* -X126039409Y-105863037D01* -X125981560Y-105949614D01* -X125941713Y-106045813D01* -X125921400Y-106147937D01* -X122988665Y-106147937D01* -X122977674Y-106121403D01* -X122924860Y-106042360D01* -X122857640Y-105975140D01* -X122778597Y-105922326D01* -X122690769Y-105885946D01* -X122597532Y-105867400D01* -X122502468Y-105867400D01* -X122409231Y-105885946D01* -X122321403Y-105922326D01* -X122242360Y-105975140D01* -X122175140Y-106042360D01* -X122122326Y-106121403D01* -X122085946Y-106209231D01* -X122067400Y-106302468D01* -X115228522Y-106302468D01* -X115210208Y-106210397D01* -X115174130Y-106123298D01* -X115121753Y-106044910D01* -X115055090Y-105978247D01* -X114976702Y-105925870D01* -X114889603Y-105889792D01* -X114797138Y-105871400D01* -X114702862Y-105871400D01* -X114610397Y-105889792D01* -X114523298Y-105925870D01* -X114444910Y-105978247D01* -X114378247Y-106044910D01* -X114325870Y-106123298D01* -X114289792Y-106210397D01* -X114271400Y-106302862D01* -X109871157Y-106302862D01* -X109839603Y-106289792D01* -X109747138Y-106271400D01* -X109652862Y-106271400D01* -X109560397Y-106289792D01* -X109473298Y-106325870D01* -X109394910Y-106378247D01* -X109328247Y-106444910D01* -X109275870Y-106523298D01* -X109239792Y-106610397D01* -X109221400Y-106702862D01* -X98210476Y-106702862D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97321400Y-106902862D01* -X90528600Y-106902862D01* -X90528600Y-106852862D01* -X90510208Y-106760397D01* -X90474130Y-106673298D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X85728600Y-106852862D01* -X85710208Y-106760397D01* -X85674130Y-106673298D01* -X85621753Y-106594910D01* -X85555090Y-106528247D01* -X85476702Y-106475870D01* -X85389603Y-106439792D01* -X85297138Y-106421400D01* -X85202862Y-106421400D01* -X85110397Y-106439792D01* -X85023298Y-106475870D01* -X84944910Y-106528247D01* -X84878247Y-106594910D01* -X84825870Y-106673298D01* -X84789792Y-106760397D01* -X84771400Y-106852862D01* -X46177200Y-106852862D01* -X46177200Y-105556428D01* -X60502800Y-105556428D01* -X60502800Y-105771572D01* -X60544772Y-105982583D01* -X60627105Y-106181351D01* -X60746633Y-106360237D01* -X60898763Y-106512367D01* -X61077649Y-106631895D01* -X61276417Y-106714228D01* -X61487428Y-106756200D01* -X61702572Y-106756200D01* -X61913583Y-106714228D01* -X62112351Y-106631895D01* -X62291237Y-106512367D01* -X62443367Y-106360237D01* -X62562895Y-106181351D01* -X62645228Y-105982583D01* -X62687200Y-105771572D01* -X62687200Y-105556428D01* -X63042800Y-105556428D01* -X63042800Y-105771572D01* -X63084772Y-105982583D01* -X63167105Y-106181351D01* -X63286633Y-106360237D01* -X63438763Y-106512367D01* -X63617649Y-106631895D01* -X63816417Y-106714228D01* -X64027428Y-106756200D01* -X64242572Y-106756200D01* -X64453583Y-106714228D01* -X64652351Y-106631895D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65227200Y-105771572D01* -X65227200Y-105556428D01* -X65226591Y-105553362D01* -X80547400Y-105553362D01* -X80547400Y-105647638D01* -X80565792Y-105740103D01* -X80601870Y-105827202D01* -X80654247Y-105905590D01* -X80720910Y-105972253D01* -X80799298Y-106024630D01* -X80886397Y-106060708D01* -X80978862Y-106079100D01* -X81073138Y-106079100D01* -X81165603Y-106060708D01* -X81252702Y-106024630D01* -X81331090Y-105972253D01* -X81397753Y-105905590D01* -X81432984Y-105852862D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84878247Y-106205090D01* -X84944910Y-106271753D01* -X85023298Y-106324130D01* -X85110397Y-106360208D01* -X85202862Y-106378600D01* -X85297138Y-106378600D01* -X85389603Y-106360208D01* -X85476702Y-106324130D01* -X85555090Y-106271753D01* -X85621753Y-106205090D01* -X85674130Y-106126702D01* -X85710208Y-106039603D01* -X85728600Y-105947138D01* -X85728600Y-105852862D01* -X85710208Y-105760397D01* -X85686376Y-105702862D01* -X109221400Y-105702862D01* -X109221400Y-105797138D01* -X109239792Y-105889603D01* -X109275870Y-105976702D01* -X109328247Y-106055090D01* -X109394910Y-106121753D01* -X109473298Y-106174130D01* -X109560397Y-106210208D01* -X109652862Y-106228600D01* -X109747138Y-106228600D01* -X109839603Y-106210208D01* -X109926702Y-106174130D01* -X110005090Y-106121753D01* -X110071753Y-106055090D01* -X110124130Y-105976702D01* -X110160208Y-105889603D01* -X110178600Y-105797138D01* -X110178600Y-105702862D01* -X110160208Y-105610397D01* -X110130257Y-105538088D01* -X110221400Y-105538088D01* -X110221400Y-105661912D01* -X110245556Y-105783356D01* -X110292941Y-105897754D01* -X110361734Y-106000709D01* -X110449291Y-106088266D01* -X110552246Y-106157059D01* -X110666644Y-106204444D01* -X110788088Y-106228600D01* -X110911912Y-106228600D01* -X111033356Y-106204444D01* -X111147754Y-106157059D01* -X111250709Y-106088266D01* -X111338266Y-106000709D01* -X111407059Y-105897754D01* -X111454444Y-105783356D01* -X111478600Y-105661912D01* -X111478600Y-105538088D01* -X111454444Y-105416644D01* -X111407315Y-105302862D01* -X114271400Y-105302862D01* -X114271400Y-105397138D01* -X114289792Y-105489603D01* -X114325870Y-105576702D01* -X114378247Y-105655090D01* -X114444910Y-105721753D01* -X114523298Y-105774130D01* -X114610397Y-105810208D01* -X114702862Y-105828600D01* -X114797138Y-105828600D01* -X114889603Y-105810208D01* -X114976702Y-105774130D01* -X115055090Y-105721753D01* -X115121753Y-105655090D01* -X115174130Y-105576702D01* -X115210208Y-105489603D01* -X115228600Y-105397138D01* -X115228600Y-105302862D01* -X122071400Y-105302862D01* -X122071400Y-105397138D01* -X122089792Y-105489603D01* -X122125870Y-105576702D01* -X122178247Y-105655090D01* -X122244910Y-105721753D01* -X122323298Y-105774130D01* -X122410397Y-105810208D01* -X122502862Y-105828600D01* -X122597138Y-105828600D01* -X122689603Y-105810208D01* -X122776702Y-105774130D01* -X122855090Y-105721753D01* -X122921753Y-105655090D01* -X122974130Y-105576702D01* -X123010208Y-105489603D01* -X123028600Y-105397138D01* -X123028600Y-105302862D01* -X123010208Y-105210397D01* -X122974130Y-105123298D01* -X122921753Y-105044910D01* -X122855090Y-104978247D01* -X122776702Y-104925870D01* -X122689603Y-104889792D01* -X122597138Y-104871400D01* -X122502862Y-104871400D01* -X122410397Y-104889792D01* -X122323298Y-104925870D01* -X122244910Y-104978247D01* -X122178247Y-105044910D01* -X122125870Y-105123298D01* -X122089792Y-105210397D01* -X122071400Y-105302862D01* -X115228600Y-105302862D01* -X115210208Y-105210397D01* -X115174130Y-105123298D01* -X115121753Y-105044910D01* -X115055090Y-104978247D01* -X114976702Y-104925870D01* -X114889603Y-104889792D01* -X114797138Y-104871400D01* -X114702862Y-104871400D01* -X114610397Y-104889792D01* -X114523298Y-104925870D01* -X114444910Y-104978247D01* -X114378247Y-105044910D01* -X114325870Y-105123298D01* -X114289792Y-105210397D01* -X114271400Y-105302862D01* -X111407315Y-105302862D01* -X111407059Y-105302246D01* -X111338266Y-105199291D01* -X111250709Y-105111734D01* -X111147754Y-105042941D01* -X111033356Y-104995556D01* -X110911912Y-104971400D01* -X110788088Y-104971400D01* -X110666644Y-104995556D01* -X110552246Y-105042941D01* -X110449291Y-105111734D01* -X110361734Y-105199291D01* -X110292941Y-105302246D01* -X110245556Y-105416644D01* -X110221400Y-105538088D01* -X110130257Y-105538088D01* -X110124130Y-105523298D01* -X110071753Y-105444910D01* -X110005090Y-105378247D01* -X109926702Y-105325870D01* -X109839603Y-105289792D01* -X109747138Y-105271400D01* -X109652862Y-105271400D01* -X109560397Y-105289792D01* -X109473298Y-105325870D01* -X109394910Y-105378247D01* -X109328247Y-105444910D01* -X109275870Y-105523298D01* -X109239792Y-105610397D01* -X109221400Y-105702862D01* -X85686376Y-105702862D01* -X85674130Y-105673298D01* -X85621753Y-105594910D01* -X85555090Y-105528247D01* -X85476702Y-105475870D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X81432984Y-105852862D01* -X81450130Y-105827202D01* -X81486208Y-105740103D01* -X81504600Y-105647638D01* -X81504600Y-105553362D01* -X81486208Y-105460897D01* -X81450130Y-105373798D01* -X81397753Y-105295410D01* -X81331090Y-105228747D01* -X81252702Y-105176370D01* -X81165603Y-105140292D01* -X81073138Y-105121900D01* -X80978862Y-105121900D01* -X80886397Y-105140292D01* -X80799298Y-105176370D01* -X80720910Y-105228747D01* -X80654247Y-105295410D01* -X80601870Y-105373798D01* -X80565792Y-105460897D01* -X80547400Y-105553362D01* -X65226591Y-105553362D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X65040229Y-105052862D01* -X82321400Y-105052862D01* -X82321400Y-105147138D01* -X82339792Y-105239603D01* -X82375870Y-105326702D01* -X82428247Y-105405090D01* -X82494910Y-105471753D01* -X82573298Y-105524130D01* -X82660397Y-105560208D01* -X82752862Y-105578600D01* -X82847138Y-105578600D01* -X82939603Y-105560208D01* -X83026702Y-105524130D01* -X83105090Y-105471753D01* -X83171753Y-105405090D01* -X83224130Y-105326702D01* -X83260208Y-105239603D01* -X83278600Y-105147138D01* -X83278600Y-105052862D01* -X83260208Y-104960397D01* -X83224130Y-104873298D01* -X83171753Y-104794910D01* -X83105090Y-104728247D01* -X83026702Y-104675870D01* -X82939603Y-104639792D01* -X82847138Y-104621400D01* -X82752862Y-104621400D01* -X82660397Y-104639792D01* -X82573298Y-104675870D01* -X82494910Y-104728247D01* -X82428247Y-104794910D01* -X82375870Y-104873298D01* -X82339792Y-104960397D01* -X82321400Y-105052862D01* -X65040229Y-105052862D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63167105Y-105146649D01* -X63084772Y-105345417D01* -X63042800Y-105556428D01* -X62687200Y-105556428D01* -X62645228Y-105345417D01* -X62562895Y-105146649D01* -X62443367Y-104967763D01* -X62291237Y-104815633D01* -X62112351Y-104696105D01* -X61913583Y-104613772D01* -X61702572Y-104571800D01* -X61487428Y-104571800D01* -X61276417Y-104613772D01* -X61077649Y-104696105D01* -X60898763Y-104815633D01* -X60746633Y-104967763D01* -X60627105Y-105146649D01* -X60544772Y-105345417D01* -X60502800Y-105556428D01* -X46177200Y-105556428D01* -X46177200Y-104252862D01* -X81471400Y-104252862D01* -X81471400Y-104347138D01* -X81489792Y-104439603D01* -X81525870Y-104526702D01* -X81578247Y-104605090D01* -X81644910Y-104671753D01* -X81723298Y-104724130D01* -X81810397Y-104760208D01* -X81902862Y-104778600D01* -X81997138Y-104778600D01* -X82089603Y-104760208D01* -X82176702Y-104724130D01* -X82255090Y-104671753D01* -X82321753Y-104605090D01* -X82374130Y-104526702D01* -X82410208Y-104439603D01* -X82428600Y-104347138D01* -X82428600Y-104252862D01* -X82410208Y-104160397D01* -X82374130Y-104073298D01* -X82321753Y-103994910D01* -X82279705Y-103952862D01* -X99121400Y-103952862D01* -X99121400Y-104047138D01* -X99139792Y-104139603D01* -X99175870Y-104226702D01* -X99228247Y-104305090D01* -X99294910Y-104371753D01* -X99373298Y-104424130D01* -X99460397Y-104460208D01* -X99552862Y-104478600D01* -X99647138Y-104478600D01* -X99739603Y-104460208D01* -X99757337Y-104452862D01* -X100021400Y-104452862D01* -X100021400Y-104547138D01* -X100039792Y-104639603D01* -X100075870Y-104726702D01* -X100128247Y-104805090D01* -X100194910Y-104871753D01* -X100273298Y-104924130D01* -X100360397Y-104960208D01* -X100452862Y-104978600D01* -X100547138Y-104978600D01* -X100639603Y-104960208D01* -X100726702Y-104924130D01* -X100805090Y-104871753D01* -X100871753Y-104805090D01* -X100873241Y-104802862D01* -X108471400Y-104802862D01* -X108471400Y-104897138D01* -X108489792Y-104989603D01* -X108525870Y-105076702D01* -X108578247Y-105155090D01* -X108644910Y-105221753D01* -X108723298Y-105274130D01* -X108810397Y-105310208D01* -X108902862Y-105328600D01* -X108997138Y-105328600D01* -X109089603Y-105310208D01* -X109176702Y-105274130D01* -X109255090Y-105221753D01* -X109321753Y-105155090D01* -X109374130Y-105076702D01* -X109410208Y-104989603D01* -X109428600Y-104897138D01* -X109428600Y-104802862D01* -X109410208Y-104710397D01* -X109374130Y-104623298D01* -X109360476Y-104602862D01* -X109471400Y-104602862D01* -X109471400Y-104697138D01* -X109489792Y-104789603D01* -X109525870Y-104876702D01* -X109578247Y-104955090D01* -X109644910Y-105021753D01* -X109723298Y-105074130D01* -X109810397Y-105110208D01* -X109902862Y-105128600D01* -X109997138Y-105128600D01* -X110089603Y-105110208D01* -X110176702Y-105074130D01* -X110255090Y-105021753D01* -X110321753Y-104955090D01* -X110374130Y-104876702D01* -X110410208Y-104789603D01* -X110428600Y-104697138D01* -X110428600Y-104602862D01* -X111271400Y-104602862D01* -X111271400Y-104697138D01* -X111289792Y-104789603D01* -X111325870Y-104876702D01* -X111378247Y-104955090D01* -X111444910Y-105021753D01* -X111523298Y-105074130D01* -X111610397Y-105110208D01* -X111702862Y-105128600D01* -X111797138Y-105128600D01* -X111889603Y-105110208D01* -X111976702Y-105074130D01* -X112055090Y-105021753D01* -X112121753Y-104955090D01* -X112174130Y-104876702D01* -X112210208Y-104789603D01* -X112228600Y-104697138D01* -X112228600Y-104602862D01* -X113571400Y-104602862D01* -X113571400Y-104697138D01* -X113589792Y-104789603D01* -X113625870Y-104876702D01* -X113678247Y-104955090D01* -X113744910Y-105021753D01* -X113823298Y-105074130D01* -X113910397Y-105110208D01* -X114002862Y-105128600D01* -X114097138Y-105128600D01* -X114189603Y-105110208D01* -X114276702Y-105074130D01* -X114355090Y-105021753D01* -X114421753Y-104955090D01* -X114474130Y-104876702D01* -X114510208Y-104789603D01* -X114528600Y-104697138D01* -X114528600Y-104602862D01* -X114510208Y-104510397D01* -X114474130Y-104423298D01* -X114421753Y-104344910D01* -X114355090Y-104278247D01* -X114276702Y-104225870D01* -X114189603Y-104189792D01* -X114097138Y-104171400D01* -X114002862Y-104171400D01* -X113910397Y-104189792D01* -X113823298Y-104225870D01* -X113744910Y-104278247D01* -X113678247Y-104344910D01* -X113625870Y-104423298D01* -X113589792Y-104510397D01* -X113571400Y-104602862D01* -X112228600Y-104602862D01* -X112210208Y-104510397D01* -X112174130Y-104423298D01* -X112121753Y-104344910D01* -X112055090Y-104278247D01* -X111976702Y-104225870D01* -X111889603Y-104189792D01* -X111797138Y-104171400D01* -X111702862Y-104171400D01* -X111610397Y-104189792D01* -X111523298Y-104225870D01* -X111444910Y-104278247D01* -X111378247Y-104344910D01* -X111325870Y-104423298D01* -X111289792Y-104510397D01* -X111271400Y-104602862D01* -X110428600Y-104602862D01* -X110410208Y-104510397D01* -X110374130Y-104423298D01* -X110321753Y-104344910D01* -X110255090Y-104278247D01* -X110176702Y-104225870D01* -X110089603Y-104189792D01* -X109997138Y-104171400D01* -X109902862Y-104171400D01* -X109810397Y-104189792D01* -X109723298Y-104225870D01* -X109644910Y-104278247D01* -X109578247Y-104344910D01* -X109525870Y-104423298D01* -X109489792Y-104510397D01* -X109471400Y-104602862D01* -X109360476Y-104602862D01* -X109321753Y-104544910D01* -X109255090Y-104478247D01* -X109176702Y-104425870D01* -X109089603Y-104389792D01* -X108997138Y-104371400D01* -X108902862Y-104371400D01* -X108810397Y-104389792D01* -X108723298Y-104425870D01* -X108644910Y-104478247D01* -X108578247Y-104544910D01* -X108525870Y-104623298D01* -X108489792Y-104710397D01* -X108471400Y-104802862D01* -X100873241Y-104802862D01* -X100924130Y-104726702D01* -X100960208Y-104639603D01* -X100978600Y-104547138D01* -X100978600Y-104452862D01* -X100960208Y-104360397D01* -X100924130Y-104273298D01* -X100871753Y-104194910D01* -X100805090Y-104128247D01* -X100726702Y-104075870D01* -X100639603Y-104039792D01* -X100547138Y-104021400D01* -X100452862Y-104021400D01* -X100360397Y-104039792D01* -X100273298Y-104075870D01* -X100194910Y-104128247D01* -X100128247Y-104194910D01* -X100075870Y-104273298D01* -X100039792Y-104360397D01* -X100021400Y-104452862D01* -X99757337Y-104452862D01* -X99826702Y-104424130D01* -X99905090Y-104371753D01* -X99971753Y-104305090D01* -X100024130Y-104226702D01* -X100060208Y-104139603D01* -X100078600Y-104047138D01* -X100078600Y-103952862D01* -X100060208Y-103860397D01* -X100024130Y-103773298D01* -X99971753Y-103694910D01* -X99905090Y-103628247D01* -X99826702Y-103575870D01* -X99739603Y-103539792D01* -X99647138Y-103521400D01* -X99552862Y-103521400D01* -X99460397Y-103539792D01* -X99373298Y-103575870D01* -X99294910Y-103628247D01* -X99228247Y-103694910D01* -X99175870Y-103773298D01* -X99139792Y-103860397D01* -X99121400Y-103952862D01* -X82279705Y-103952862D01* -X82255090Y-103928247D01* -X82176702Y-103875870D01* -X82089603Y-103839792D01* -X81997138Y-103821400D01* -X81902862Y-103821400D01* -X81810397Y-103839792D01* -X81723298Y-103875870D01* -X81644910Y-103928247D01* -X81578247Y-103994910D01* -X81525870Y-104073298D01* -X81489792Y-104160397D01* -X81471400Y-104252862D01* -X46177200Y-104252862D01* -X46177200Y-103016428D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61276417Y-104174228D01* -X61487428Y-104216200D01* -X61702572Y-104216200D01* -X61913583Y-104174228D01* -X62112351Y-104091895D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63286633Y-103820237D01* -X63438763Y-103972367D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103076862D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76844247Y-103429090D01* -X76910910Y-103495753D01* -X76989298Y-103548130D01* -X77076397Y-103584208D01* -X77168862Y-103602600D01* -X77263138Y-103602600D01* -X77355603Y-103584208D01* -X77442702Y-103548130D01* -X77521090Y-103495753D01* -X77587753Y-103429090D01* -X77640130Y-103350702D01* -X77676208Y-103263603D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78114247Y-103429090D01* -X78180910Y-103495753D01* -X78259298Y-103548130D01* -X78346397Y-103584208D01* -X78438862Y-103602600D01* -X78533138Y-103602600D01* -X78625603Y-103584208D01* -X78712702Y-103548130D01* -X78791090Y-103495753D01* -X78857753Y-103429090D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79384247Y-103429090D01* -X79450910Y-103495753D01* -X79529298Y-103548130D01* -X79616397Y-103584208D01* -X79708862Y-103602600D01* -X79803138Y-103602600D01* -X79895603Y-103584208D01* -X79982702Y-103548130D01* -X80061090Y-103495753D01* -X80127753Y-103429090D01* -X80178686Y-103352862D01* -X87071400Y-103352862D01* -X87071400Y-103447138D01* -X87089792Y-103539603D01* -X87125870Y-103626702D01* -X87178247Y-103705090D01* -X87244910Y-103771753D01* -X87323298Y-103824130D01* -X87410397Y-103860208D01* -X87502862Y-103878600D01* -X87597138Y-103878600D01* -X87689603Y-103860208D01* -X87776702Y-103824130D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88027461Y-103452862D01* -X100071400Y-103452862D01* -X100071400Y-103547138D01* -X100089792Y-103639603D01* -X100125870Y-103726702D01* -X100178247Y-103805090D01* -X100244910Y-103871753D01* -X100323298Y-103924130D01* -X100410397Y-103960208D01* -X100502862Y-103978600D01* -X100597138Y-103978600D01* -X100689603Y-103960208D01* -X100776702Y-103924130D01* -X100855090Y-103871753D01* -X100921753Y-103805090D01* -X100974130Y-103726702D01* -X101010208Y-103639603D01* -X101028600Y-103547138D01* -X101028600Y-103502862D01* -X102371400Y-103502862D01* -X102371400Y-103597138D01* -X102389792Y-103689603D01* -X102425870Y-103776702D01* -X102478247Y-103855090D01* -X102544910Y-103921753D01* -X102623298Y-103974130D01* -X102710397Y-104010208D01* -X102802862Y-104028600D01* -X102897138Y-104028600D01* -X102989603Y-104010208D01* -X103076702Y-103974130D01* -X103155090Y-103921753D01* -X103221753Y-103855090D01* -X103274130Y-103776702D01* -X103310208Y-103689603D01* -X103328600Y-103597138D01* -X103328600Y-103502862D01* -X103325662Y-103488088D01* -X104121400Y-103488088D01* -X104121400Y-103611912D01* -X104145556Y-103733356D01* -X104192941Y-103847754D01* -X104261734Y-103950709D01* -X104349291Y-104038266D01* -X104452246Y-104107059D01* -X104566644Y-104154444D01* -X104688088Y-104178600D01* -X104811912Y-104178600D01* -X104933356Y-104154444D01* -X105047754Y-104107059D01* -X105150709Y-104038266D01* -X105238266Y-103950709D01* -X105307059Y-103847754D01* -X105325653Y-103802862D01* -X114271400Y-103802862D01* -X114271400Y-103897138D01* -X114289792Y-103989603D01* -X114325870Y-104076702D01* -X114378247Y-104155090D01* -X114444910Y-104221753D01* -X114523298Y-104274130D01* -X114610397Y-104310208D01* -X114702862Y-104328600D01* -X114797138Y-104328600D01* -X114889603Y-104310208D01* -X114976702Y-104274130D01* -X115055090Y-104221753D01* -X115121753Y-104155090D01* -X115174130Y-104076702D01* -X115210208Y-103989603D01* -X115228600Y-103897138D01* -X115228600Y-103802862D01* -X115228522Y-103802468D01* -X122067400Y-103802468D01* -X122067400Y-103897532D01* -X122085946Y-103990769D01* -X122122326Y-104078597D01* -X122175140Y-104157640D01* -X122242360Y-104224860D01* -X122321403Y-104277674D01* -X122409231Y-104314054D01* -X122502468Y-104332600D01* -X122597532Y-104332600D01* -X122690769Y-104314054D01* -X122778597Y-104277674D01* -X122857640Y-104224860D01* -X122924860Y-104157640D01* -X122977674Y-104078597D01* -X123014054Y-103990769D01* -X123032600Y-103897532D01* -X123032600Y-103802468D01* -X123014054Y-103709231D01* -X122977674Y-103621403D01* -X122924860Y-103542360D01* -X122857640Y-103475140D01* -X122778597Y-103422326D01* -X122690769Y-103385946D01* -X122597532Y-103367400D01* -X122502468Y-103367400D01* -X122409231Y-103385946D01* -X122321403Y-103422326D01* -X122242360Y-103475140D01* -X122175140Y-103542360D01* -X122122326Y-103621403D01* -X122085946Y-103709231D01* -X122067400Y-103802468D01* -X115228522Y-103802468D01* -X115210208Y-103710397D01* -X115174130Y-103623298D01* -X115121753Y-103544910D01* -X115055090Y-103478247D01* -X114976702Y-103425870D01* -X114889603Y-103389792D01* -X114797138Y-103371400D01* -X114702862Y-103371400D01* -X114610397Y-103389792D01* -X114523298Y-103425870D01* -X114444910Y-103478247D01* -X114378247Y-103544910D01* -X114325870Y-103623298D01* -X114289792Y-103710397D01* -X114271400Y-103802862D01* -X105325653Y-103802862D01* -X105354444Y-103733356D01* -X105378600Y-103611912D01* -X105378600Y-103488088D01* -X105354444Y-103366644D01* -X105307059Y-103252246D01* -X105238266Y-103149291D01* -X105150709Y-103061734D01* -X105062013Y-103002468D01* -X122767400Y-103002468D01* -X122767400Y-103097532D01* -X122785946Y-103190769D01* -X122822326Y-103278597D01* -X122875140Y-103357640D01* -X122942360Y-103424860D01* -X123021403Y-103477674D01* -X123109231Y-103514054D01* -X123202468Y-103532600D01* -X123297532Y-103532600D01* -X123390769Y-103514054D01* -X123478597Y-103477674D01* -X123557640Y-103424860D01* -X123624860Y-103357640D01* -X123677674Y-103278597D01* -X123714054Y-103190769D01* -X123732600Y-103097532D01* -X123732600Y-103002862D01* -X125071400Y-103002862D01* -X125071400Y-103097138D01* -X125089792Y-103189603D01* -X125125870Y-103276702D01* -X125178247Y-103355090D01* -X125244910Y-103421753D01* -X125323298Y-103474130D01* -X125410397Y-103510208D01* -X125502862Y-103528600D01* -X125597138Y-103528600D01* -X125689603Y-103510208D01* -X125776702Y-103474130D01* -X125855090Y-103421753D01* -X125921753Y-103355090D01* -X125974130Y-103276702D01* -X125989349Y-103239960D01* -X126840400Y-103239960D01* -X126840400Y-103360040D01* -X126863826Y-103477814D01* -X126909779Y-103588754D01* -X126976492Y-103688598D01* -X127061402Y-103773508D01* -X127161246Y-103840221D01* -X127272186Y-103886174D01* -X127389960Y-103909600D01* -X127510040Y-103909600D01* -X127627814Y-103886174D01* -X127738754Y-103840221D01* -X127838598Y-103773508D01* -X127923508Y-103688598D01* -X127990221Y-103588754D01* -X128036174Y-103477814D01* -X128059600Y-103360040D01* -X128059600Y-103239960D01* -X128036174Y-103122186D01* -X127990221Y-103011246D01* -X127923508Y-102911402D01* -X127838598Y-102826492D01* -X127738754Y-102759779D01* -X127627814Y-102713826D01* -X127510040Y-102690400D01* -X127389960Y-102690400D01* -X127272186Y-102713826D01* -X127161246Y-102759779D01* -X127061402Y-102826492D01* -X126976492Y-102911402D01* -X126909779Y-103011246D01* -X126863826Y-103122186D01* -X126840400Y-103239960D01* -X125989349Y-103239960D01* -X126010208Y-103189603D01* -X126028600Y-103097138D01* -X126028600Y-103002862D01* -X126010208Y-102910397D01* -X125974130Y-102823298D01* -X125921753Y-102744910D01* -X125855090Y-102678247D01* -X125776702Y-102625870D01* -X125689603Y-102589792D01* -X125597138Y-102571400D01* -X125502862Y-102571400D01* -X125410397Y-102589792D01* -X125323298Y-102625870D01* -X125244910Y-102678247D01* -X125178247Y-102744910D01* -X125125870Y-102823298D01* -X125089792Y-102910397D01* -X125071400Y-103002862D01* -X123732600Y-103002862D01* -X123732600Y-103002468D01* -X123714054Y-102909231D01* -X123677674Y-102821403D01* -X123624860Y-102742360D01* -X123557640Y-102675140D01* -X123478597Y-102622326D01* -X123390769Y-102585946D01* -X123297532Y-102567400D01* -X123202468Y-102567400D01* -X123109231Y-102585946D01* -X123021403Y-102622326D01* -X122942360Y-102675140D01* -X122875140Y-102742360D01* -X122822326Y-102821403D01* -X122785946Y-102909231D01* -X122767400Y-103002468D01* -X105062013Y-103002468D01* -X105047754Y-102992941D01* -X104933356Y-102945556D01* -X104811912Y-102921400D01* -X104688088Y-102921400D01* -X104566644Y-102945556D01* -X104452246Y-102992941D01* -X104349291Y-103061734D01* -X104261734Y-103149291D01* -X104192941Y-103252246D01* -X104145556Y-103366644D01* -X104121400Y-103488088D01* -X103325662Y-103488088D01* -X103310208Y-103410397D01* -X103274130Y-103323298D01* -X103221753Y-103244910D01* -X103155090Y-103178247D01* -X103076702Y-103125870D01* -X102989603Y-103089792D01* -X102897138Y-103071400D01* -X102802862Y-103071400D01* -X102710397Y-103089792D01* -X102623298Y-103125870D01* -X102544910Y-103178247D01* -X102478247Y-103244910D01* -X102425870Y-103323298D01* -X102389792Y-103410397D01* -X102371400Y-103502862D01* -X101028600Y-103502862D01* -X101028600Y-103452862D01* -X101010208Y-103360397D01* -X100974130Y-103273298D01* -X100921753Y-103194910D01* -X100855090Y-103128247D01* -X100776702Y-103075870D01* -X100689603Y-103039792D01* -X100597138Y-103021400D01* -X100502862Y-103021400D01* -X100410397Y-103039792D01* -X100323298Y-103075870D01* -X100244910Y-103128247D01* -X100178247Y-103194910D01* -X100125870Y-103273298D01* -X100089792Y-103360397D01* -X100071400Y-103452862D01* -X88027461Y-103452862D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87323298Y-102975870D01* -X87244910Y-103028247D01* -X87178247Y-103094910D01* -X87125870Y-103173298D01* -X87089792Y-103260397D01* -X87071400Y-103352862D01* -X80178686Y-103352862D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79616397Y-102663792D01* -X79529298Y-102699870D01* -X79450910Y-102752247D01* -X79384247Y-102818910D01* -X79331870Y-102897298D01* -X79295792Y-102984397D01* -X79277400Y-103076862D01* -X78964600Y-103076862D01* -X78946208Y-102984397D01* -X78910130Y-102897298D01* -X78857753Y-102818910D01* -X78791090Y-102752247D01* -X78712702Y-102699870D01* -X78625603Y-102663792D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78346397Y-102663792D01* -X78259298Y-102699870D01* -X78180910Y-102752247D01* -X78114247Y-102818910D01* -X78061870Y-102897298D01* -X78025792Y-102984397D01* -X78007400Y-103076862D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X65227200Y-103076862D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64958466Y-102402862D01* -X83771400Y-102402862D01* -X83771400Y-102497138D01* -X83789792Y-102589603D01* -X83825870Y-102676702D01* -X83878247Y-102755090D01* -X83944910Y-102821753D01* -X84023298Y-102874130D01* -X84110397Y-102910208D01* -X84202862Y-102928600D01* -X84297138Y-102928600D01* -X84389603Y-102910208D01* -X84476702Y-102874130D01* -X84555090Y-102821753D01* -X84621753Y-102755090D01* -X84674130Y-102676702D01* -X84710208Y-102589603D01* -X84728600Y-102497138D01* -X84728600Y-102402862D01* -X99121400Y-102402862D01* -X99121400Y-102497138D01* -X99139792Y-102589603D01* -X99175870Y-102676702D01* -X99228247Y-102755090D01* -X99294910Y-102821753D01* -X99373298Y-102874130D01* -X99460397Y-102910208D01* -X99552862Y-102928600D01* -X99647138Y-102928600D01* -X99739603Y-102910208D01* -X99826702Y-102874130D01* -X99905090Y-102821753D01* -X99971753Y-102755090D01* -X100024130Y-102676702D01* -X100060208Y-102589603D01* -X100070454Y-102538088D01* -X103121400Y-102538088D01* -X103121400Y-102661912D01* -X103145556Y-102783356D01* -X103192941Y-102897754D01* -X103261734Y-103000709D01* -X103349291Y-103088266D01* -X103452246Y-103157059D01* -X103566644Y-103204444D01* -X103688088Y-103228600D01* -X103811912Y-103228600D01* -X103933356Y-103204444D01* -X104047754Y-103157059D01* -X104150709Y-103088266D01* -X104238266Y-103000709D01* -X104307059Y-102897754D01* -X104354444Y-102783356D01* -X104378600Y-102661912D01* -X104378600Y-102538088D01* -X104354444Y-102416644D01* -X104307059Y-102302246D01* -X104238266Y-102199291D01* -X104150709Y-102111734D01* -X104047754Y-102042941D01* -X103933356Y-101995556D01* -X103811912Y-101971400D01* -X103688088Y-101971400D01* -X103566644Y-101995556D01* -X103452246Y-102042941D01* -X103349291Y-102111734D01* -X103261734Y-102199291D01* -X103192941Y-102302246D01* -X103145556Y-102416644D01* -X103121400Y-102538088D01* -X100070454Y-102538088D01* -X100078600Y-102497138D01* -X100078600Y-102402862D01* -X100060208Y-102310397D01* -X100024130Y-102223298D01* -X99971753Y-102144910D01* -X99905090Y-102078247D01* -X99826702Y-102025870D01* -X99739603Y-101989792D01* -X99647138Y-101971400D01* -X99552862Y-101971400D01* -X99460397Y-101989792D01* -X99373298Y-102025870D01* -X99294910Y-102078247D01* -X99228247Y-102144910D01* -X99175870Y-102223298D01* -X99139792Y-102310397D01* -X99121400Y-102402862D01* -X84728600Y-102402862D01* -X84710208Y-102310397D01* -X84674130Y-102223298D01* -X84621753Y-102144910D01* -X84555090Y-102078247D01* -X84476702Y-102025870D01* -X84389603Y-101989792D01* -X84297138Y-101971400D01* -X84202862Y-101971400D01* -X84110397Y-101989792D01* -X84023298Y-102025870D01* -X83944910Y-102078247D01* -X83878247Y-102144910D01* -X83825870Y-102223298D01* -X83789792Y-102310397D01* -X83771400Y-102402862D01* -X64958466Y-102402862D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X46177200Y-103016428D01* -X46177200Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64800564Y-101452862D01* -X83021400Y-101452862D01* -X83021400Y-101547138D01* -X83039792Y-101639603D01* -X83075870Y-101726702D01* -X83128247Y-101805090D01* -X83194910Y-101871753D01* -X83273298Y-101924130D01* -X83360397Y-101960208D01* -X83452862Y-101978600D01* -X83547138Y-101978600D01* -X83639603Y-101960208D01* -X83726702Y-101924130D01* -X83805090Y-101871753D01* -X83871753Y-101805090D01* -X83924130Y-101726702D01* -X83960208Y-101639603D01* -X83978600Y-101547138D01* -X83978600Y-101452862D01* -X83960208Y-101360397D01* -X83957087Y-101352862D01* -X84771400Y-101352862D01* -X84771400Y-101447138D01* -X84789792Y-101539603D01* -X84825870Y-101626702D01* -X84878247Y-101705090D01* -X84944910Y-101771753D01* -X85023298Y-101824130D01* -X85110397Y-101860208D01* -X85202862Y-101878600D01* -X85297138Y-101878600D01* -X85389603Y-101860208D01* -X85476702Y-101824130D01* -X85555090Y-101771753D01* -X85621753Y-101705090D01* -X85674130Y-101626702D01* -X85710208Y-101539603D01* -X85728600Y-101447138D01* -X85728600Y-101352862D01* -X87021400Y-101352862D01* -X87021400Y-101447138D01* -X87039792Y-101539603D01* -X87075870Y-101626702D01* -X87128247Y-101705090D01* -X87194910Y-101771753D01* -X87273298Y-101824130D01* -X87360397Y-101860208D01* -X87452862Y-101878600D01* -X87547138Y-101878600D01* -X87639603Y-101860208D01* -X87657337Y-101852862D01* -X87971400Y-101852862D01* -X87971400Y-101947138D01* -X87989792Y-102039603D01* -X88025870Y-102126702D01* -X88078247Y-102205090D01* -X88144910Y-102271753D01* -X88223298Y-102324130D01* -X88310397Y-102360208D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88025870Y-101673298D01* -X87989792Y-101760397D01* -X87971400Y-101852862D01* -X87657337Y-101852862D01* -X87726702Y-101824130D01* -X87805090Y-101771753D01* -X87871753Y-101705090D01* -X87924130Y-101626702D01* -X87960208Y-101539603D01* -X87978600Y-101447138D01* -X87978600Y-101352862D01* -X87960208Y-101260397D01* -X87924130Y-101173298D01* -X87871753Y-101094910D01* -X87805090Y-101028247D01* -X87726702Y-100975870D01* -X87639603Y-100939792D01* -X87547138Y-100921400D01* -X87452862Y-100921400D01* -X87360397Y-100939792D01* -X87273298Y-100975870D01* -X87194910Y-101028247D01* -X87128247Y-101094910D01* -X87075870Y-101173298D01* -X87039792Y-101260397D01* -X87021400Y-101352862D01* -X85728600Y-101352862D01* -X85710208Y-101260397D01* -X85674130Y-101173298D01* -X85621753Y-101094910D01* -X85555090Y-101028247D01* -X85476702Y-100975870D01* -X85389603Y-100939792D01* -X85297138Y-100921400D01* -X85202862Y-100921400D01* -X85110397Y-100939792D01* -X85023298Y-100975870D01* -X84944910Y-101028247D01* -X84878247Y-101094910D01* -X84825870Y-101173298D01* -X84789792Y-101260397D01* -X84771400Y-101352862D01* -X83957087Y-101352862D01* -X83924130Y-101273298D01* -X83871753Y-101194910D01* -X83805090Y-101128247D01* -X83726702Y-101075870D01* -X83639603Y-101039792D01* -X83547138Y-101021400D01* -X83452862Y-101021400D01* -X83360397Y-101039792D01* -X83273298Y-101075870D01* -X83194910Y-101128247D01* -X83128247Y-101194910D01* -X83075870Y-101273298D01* -X83039792Y-101360397D01* -X83021400Y-101452862D01* -X64800564Y-101452862D01* -X64831237Y-101432367D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65195117Y-100852862D01* -X99171400Y-100852862D01* -X99171400Y-100947138D01* -X99189792Y-101039603D01* -X99225870Y-101126702D01* -X99278247Y-101205090D01* -X99344910Y-101271753D01* -X99423298Y-101324130D01* -X99510397Y-101360208D01* -X99602862Y-101378600D01* -X99697138Y-101378600D01* -X99789603Y-101360208D01* -X99807337Y-101352862D01* -X100071400Y-101352862D01* -X100071400Y-101447138D01* -X100089792Y-101539603D01* -X100125870Y-101626702D01* -X100178247Y-101705090D01* -X100244910Y-101771753D01* -X100323298Y-101824130D01* -X100410397Y-101860208D01* -X100502862Y-101878600D01* -X100597138Y-101878600D01* -X100689603Y-101860208D01* -X100776702Y-101824130D01* -X100855090Y-101771753D01* -X100921753Y-101705090D01* -X100974130Y-101626702D01* -X101010208Y-101539603D01* -X101028600Y-101447138D01* -X101028600Y-101352862D01* -X102371400Y-101352862D01* -X102371400Y-101447138D01* -X102389792Y-101539603D01* -X102425870Y-101626702D01* -X102478247Y-101705090D01* -X102544910Y-101771753D01* -X102623298Y-101824130D01* -X102710397Y-101860208D01* -X102802862Y-101878600D01* -X102897138Y-101878600D01* -X102989603Y-101860208D01* -X103076702Y-101824130D01* -X103155090Y-101771753D01* -X103221753Y-101705090D01* -X103274130Y-101626702D01* -X103290124Y-101588088D01* -X104121400Y-101588088D01* -X104121400Y-101711912D01* -X104145556Y-101833356D01* -X104192941Y-101947754D01* -X104261734Y-102050709D01* -X104349291Y-102138266D01* -X104452246Y-102207059D01* -X104566644Y-102254444D01* -X104688088Y-102278600D01* -X104811912Y-102278600D01* -X104933356Y-102254444D01* -X105047754Y-102207059D01* -X105054035Y-102202862D01* -X122121400Y-102202862D01* -X122121400Y-102297138D01* -X122139792Y-102389603D01* -X122175870Y-102476702D01* -X122228247Y-102555090D01* -X122294910Y-102621753D01* -X122373298Y-102674130D01* -X122460397Y-102710208D01* -X122552862Y-102728600D01* -X122647138Y-102728600D01* -X122739603Y-102710208D01* -X122826702Y-102674130D01* -X122905090Y-102621753D01* -X122971753Y-102555090D01* -X123024130Y-102476702D01* -X123060208Y-102389603D01* -X123078600Y-102297138D01* -X123078600Y-102202862D01* -X123060208Y-102110397D01* -X123030257Y-102038088D01* -X125821400Y-102038088D01* -X125821400Y-102161912D01* -X125845556Y-102283356D01* -X125892941Y-102397754D01* -X125961734Y-102500709D01* -X126049291Y-102588266D01* -X126152246Y-102657059D01* -X126266644Y-102704444D01* -X126388088Y-102728600D01* -X126511912Y-102728600D01* -X126633356Y-102704444D01* -X126747754Y-102657059D01* -X126850709Y-102588266D01* -X126938266Y-102500709D01* -X127007059Y-102397754D01* -X127054444Y-102283356D01* -X127078600Y-102161912D01* -X127078600Y-102038088D01* -X127054444Y-101916644D01* -X127007059Y-101802246D01* -X126938266Y-101699291D01* -X126850709Y-101611734D01* -X126747754Y-101542941D01* -X126633356Y-101495556D01* -X126511912Y-101471400D01* -X126388088Y-101471400D01* -X126266644Y-101495556D01* -X126152246Y-101542941D01* -X126049291Y-101611734D01* -X125961734Y-101699291D01* -X125892941Y-101802246D01* -X125845556Y-101916644D01* -X125821400Y-102038088D01* -X123030257Y-102038088D01* -X123024130Y-102023298D01* -X122971753Y-101944910D01* -X122905090Y-101878247D01* -X122826702Y-101825870D01* -X122739603Y-101789792D01* -X122647138Y-101771400D01* -X122552862Y-101771400D01* -X122460397Y-101789792D01* -X122373298Y-101825870D01* -X122294910Y-101878247D01* -X122228247Y-101944910D01* -X122175870Y-102023298D01* -X122139792Y-102110397D01* -X122121400Y-102202862D01* -X105054035Y-102202862D01* -X105150709Y-102138266D01* -X105238266Y-102050709D01* -X105307059Y-101947754D01* -X105354444Y-101833356D01* -X105378600Y-101711912D01* -X105378600Y-101588088D01* -X105354444Y-101466644D01* -X105328025Y-101402862D01* -X113571400Y-101402862D01* -X113571400Y-101497138D01* -X113589792Y-101589603D01* -X113625870Y-101676702D01* -X113678247Y-101755090D01* -X113744910Y-101821753D01* -X113823298Y-101874130D01* -X113910397Y-101910208D01* -X114002862Y-101928600D01* -X114097138Y-101928600D01* -X114189603Y-101910208D01* -X114276702Y-101874130D01* -X114355090Y-101821753D01* -X114421753Y-101755090D01* -X114474130Y-101676702D01* -X114510208Y-101589603D01* -X114528600Y-101497138D01* -X114528600Y-101402862D01* -X122771400Y-101402862D01* -X122771400Y-101497138D01* -X122789792Y-101589603D01* -X122825870Y-101676702D01* -X122878247Y-101755090D01* -X122944910Y-101821753D01* -X123023298Y-101874130D01* -X123110397Y-101910208D01* -X123202862Y-101928600D01* -X123297138Y-101928600D01* -X123389603Y-101910208D01* -X123476702Y-101874130D01* -X123555090Y-101821753D01* -X123621753Y-101755090D01* -X123674130Y-101676702D01* -X123710208Y-101589603D01* -X123728600Y-101497138D01* -X123728600Y-101402862D01* -X123710208Y-101310397D01* -X123674130Y-101223298D01* -X123621753Y-101144910D01* -X123555090Y-101078247D01* -X123476702Y-101025870D01* -X123389603Y-100989792D01* -X123297138Y-100971400D01* -X123202862Y-100971400D01* -X123110397Y-100989792D01* -X123023298Y-101025870D01* -X122944910Y-101078247D01* -X122878247Y-101144910D01* -X122825870Y-101223298D01* -X122789792Y-101310397D01* -X122771400Y-101402862D01* -X114528600Y-101402862D01* -X114510208Y-101310397D01* -X114474130Y-101223298D01* -X114421753Y-101144910D01* -X114355090Y-101078247D01* -X114276702Y-101025870D01* -X114189603Y-100989792D01* -X114097138Y-100971400D01* -X114002862Y-100971400D01* -X113910397Y-100989792D01* -X113823298Y-101025870D01* -X113744910Y-101078247D01* -X113678247Y-101144910D01* -X113625870Y-101223298D01* -X113589792Y-101310397D01* -X113571400Y-101402862D01* -X105328025Y-101402862D01* -X105307059Y-101352246D01* -X105238266Y-101249291D01* -X105150709Y-101161734D01* -X105047754Y-101092941D01* -X104933356Y-101045556D01* -X104811912Y-101021400D01* -X104688088Y-101021400D01* -X104566644Y-101045556D01* -X104452246Y-101092941D01* -X104349291Y-101161734D01* -X104261734Y-101249291D01* -X104192941Y-101352246D01* -X104145556Y-101466644D01* -X104121400Y-101588088D01* -X103290124Y-101588088D01* -X103310208Y-101539603D01* -X103328600Y-101447138D01* -X103328600Y-101352862D01* -X103310208Y-101260397D01* -X103274130Y-101173298D01* -X103221753Y-101094910D01* -X103155090Y-101028247D01* -X103076702Y-100975870D01* -X102989603Y-100939792D01* -X102897138Y-100921400D01* -X102802862Y-100921400D01* -X102710397Y-100939792D01* -X102623298Y-100975870D01* -X102544910Y-101028247D01* -X102478247Y-101094910D01* -X102425870Y-101173298D01* -X102389792Y-101260397D01* -X102371400Y-101352862D01* -X101028600Y-101352862D01* -X101010208Y-101260397D01* -X100974130Y-101173298D01* -X100921753Y-101094910D01* -X100855090Y-101028247D01* -X100776702Y-100975870D01* -X100689603Y-100939792D01* -X100597138Y-100921400D01* -X100502862Y-100921400D01* -X100410397Y-100939792D01* -X100323298Y-100975870D01* -X100244910Y-101028247D01* -X100178247Y-101094910D01* -X100125870Y-101173298D01* -X100089792Y-101260397D01* -X100071400Y-101352862D01* -X99807337Y-101352862D01* -X99876702Y-101324130D01* -X99955090Y-101271753D01* -X100021753Y-101205090D01* -X100074130Y-101126702D01* -X100110208Y-101039603D01* -X100128600Y-100947138D01* -X100128600Y-100852862D01* -X100110208Y-100760397D01* -X100074130Y-100673298D01* -X100027067Y-100602862D01* -X122121400Y-100602862D01* -X122121400Y-100697138D01* -X122139792Y-100789603D01* -X122175870Y-100876702D01* -X122228247Y-100955090D01* -X122294910Y-101021753D01* -X122373298Y-101074130D01* -X122460397Y-101110208D01* -X122552862Y-101128600D01* -X122647138Y-101128600D01* -X122739603Y-101110208D01* -X122826702Y-101074130D01* -X122905090Y-101021753D01* -X122971753Y-100955090D01* -X123024130Y-100876702D01* -X123060208Y-100789603D01* -X123078600Y-100697138D01* -X123078600Y-100602862D01* -X123060208Y-100510397D01* -X123024130Y-100423298D01* -X122971753Y-100344910D01* -X122905090Y-100278247D01* -X122826702Y-100225870D01* -X122739603Y-100189792D01* -X122647138Y-100171400D01* -X122552862Y-100171400D01* -X122460397Y-100189792D01* -X122373298Y-100225870D01* -X122294910Y-100278247D01* -X122228247Y-100344910D01* -X122175870Y-100423298D01* -X122139792Y-100510397D01* -X122121400Y-100602862D01* -X100027067Y-100602862D01* -X100021753Y-100594910D01* -X99955090Y-100528247D01* -X99876702Y-100475870D01* -X99789603Y-100439792D01* -X99697138Y-100421400D01* -X99602862Y-100421400D01* -X99510397Y-100439792D01* -X99423298Y-100475870D01* -X99344910Y-100528247D01* -X99278247Y-100594910D01* -X99225870Y-100673298D01* -X99189792Y-100760397D01* -X99171400Y-100852862D01* -X65195117Y-100852862D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65102895Y-100066649D01* -X65060274Y-100002862D01* -X67671400Y-100002862D01* -X67671400Y-100097138D01* -X67689792Y-100189603D01* -X67725870Y-100276702D01* -X67778247Y-100355090D01* -X67844910Y-100421753D01* -X67923298Y-100474130D01* -X68010397Y-100510208D01* -X68102862Y-100528600D01* -X68197138Y-100528600D01* -X68289603Y-100510208D01* -X68376702Y-100474130D01* -X68455090Y-100421753D01* -X68521753Y-100355090D01* -X68574130Y-100276702D01* -X68610208Y-100189603D01* -X68628600Y-100097138D01* -X68628600Y-100002862D01* -X69371400Y-100002862D01* -X69371400Y-100097138D01* -X69389792Y-100189603D01* -X69425870Y-100276702D01* -X69478247Y-100355090D01* -X69544910Y-100421753D01* -X69623298Y-100474130D01* -X69710397Y-100510208D01* -X69802862Y-100528600D01* -X69897138Y-100528600D01* -X69989603Y-100510208D01* -X70076702Y-100474130D01* -X70155090Y-100421753D01* -X70221753Y-100355090D01* -X70274130Y-100276702D01* -X70310208Y-100189603D01* -X70328600Y-100097138D01* -X70328600Y-100002862D01* -X70310208Y-99910397D01* -X70274130Y-99823298D01* -X70221753Y-99744910D01* -X70155090Y-99678247D01* -X70076702Y-99625870D01* -X69989603Y-99589792D01* -X69897138Y-99571400D01* -X69802862Y-99571400D01* -X69710397Y-99589792D01* -X69623298Y-99625870D01* -X69544910Y-99678247D01* -X69478247Y-99744910D01* -X69425870Y-99823298D01* -X69389792Y-99910397D01* -X69371400Y-100002862D01* -X68628600Y-100002862D01* -X68610208Y-99910397D01* -X68574130Y-99823298D01* -X68521753Y-99744910D01* -X68455090Y-99678247D01* -X68376702Y-99625870D01* -X68289603Y-99589792D01* -X68197138Y-99571400D01* -X68102862Y-99571400D01* -X68010397Y-99589792D01* -X67923298Y-99625870D01* -X67844910Y-99678247D01* -X67778247Y-99744910D01* -X67725870Y-99823298D01* -X67689792Y-99910397D01* -X67671400Y-100002862D01* -X65060274Y-100002862D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X46177200Y-100476428D01* -X46177200Y-98287359D01* -X60530257Y-98287359D01* -X60574492Y-98433195D01* -X60670029Y-98624808D01* -X60801112Y-98794101D01* -X60962704Y-98934568D01* -X61148595Y-99040811D01* -X61351641Y-99108747D01* -X61521200Y-99076558D01* -X61521200Y-98117800D01* -X61668800Y-98117800D01* -X61668800Y-99076558D01* -X61838359Y-99108747D01* -X62041405Y-99040811D01* -X62227296Y-98934568D01* -X62388888Y-98794101D01* -X62519971Y-98624808D01* -X62615508Y-98433195D01* -X62659743Y-98287359D01* -X62627416Y-98117800D01* -X61668800Y-98117800D01* -X61521200Y-98117800D01* -X60562584Y-98117800D01* -X60530257Y-98287359D01* -X46177200Y-98287359D01* -X46177200Y-97800641D01* -X60530257Y-97800641D01* -X60562584Y-97970200D01* -X61521200Y-97970200D01* -X61521200Y-97011442D01* -X61668800Y-97011442D01* -X61668800Y-97970200D01* -X62627416Y-97970200D01* -X62633854Y-97936428D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64553448Y-99052862D01* -X68521400Y-99052862D01* -X68521400Y-99147138D01* -X68539792Y-99239603D01* -X68575870Y-99326702D01* -X68628247Y-99405090D01* -X68694910Y-99471753D01* -X68773298Y-99524130D01* -X68860397Y-99560208D01* -X68952862Y-99578600D01* -X69047138Y-99578600D01* -X69139603Y-99560208D01* -X69226702Y-99524130D01* -X69305090Y-99471753D01* -X69371753Y-99405090D01* -X69373241Y-99402862D01* -X82471400Y-99402862D01* -X82471400Y-99497138D01* -X82489792Y-99589603D01* -X82525870Y-99676702D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83374130Y-99676702D01* -X83410208Y-99589603D01* -X83428600Y-99497138D01* -X83428600Y-99402862D01* -X83410208Y-99310397D01* -X83407087Y-99302862D01* -X84771400Y-99302862D01* -X84771400Y-99397138D01* -X84789792Y-99489603D01* -X84825870Y-99576702D01* -X84878247Y-99655090D01* -X84944910Y-99721753D01* -X85023298Y-99774130D01* -X85110397Y-99810208D01* -X85202862Y-99828600D01* -X85297138Y-99828600D01* -X85389603Y-99810208D01* -X85476702Y-99774130D01* -X85555090Y-99721753D01* -X85621753Y-99655090D01* -X85674130Y-99576702D01* -X85710208Y-99489603D01* -X85728600Y-99397138D01* -X85728600Y-99302862D01* -X87021400Y-99302862D01* -X87021400Y-99397138D01* -X87039792Y-99489603D01* -X87075870Y-99576702D01* -X87128247Y-99655090D01* -X87194910Y-99721753D01* -X87273298Y-99774130D01* -X87360397Y-99810208D01* -X87452862Y-99828600D01* -X87547138Y-99828600D01* -X87639603Y-99810208D01* -X87726702Y-99774130D01* -X87805090Y-99721753D01* -X87871753Y-99655090D01* -X87906650Y-99602862D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88934004Y-99852862D01* -X99171400Y-99852862D01* -X99171400Y-99947138D01* -X99189792Y-100039603D01* -X99225870Y-100126702D01* -X99278247Y-100205090D01* -X99344910Y-100271753D01* -X99423298Y-100324130D01* -X99510397Y-100360208D01* -X99602862Y-100378600D01* -X99697138Y-100378600D01* -X99789603Y-100360208D01* -X99876702Y-100324130D01* -X99955090Y-100271753D01* -X100021753Y-100205090D01* -X100074130Y-100126702D01* -X100110208Y-100039603D01* -X100128600Y-99947138D01* -X100128600Y-99852862D01* -X100110208Y-99760397D01* -X100074130Y-99673298D01* -X100021753Y-99594910D01* -X99955090Y-99528247D01* -X99876702Y-99475870D01* -X99789603Y-99439792D01* -X99697138Y-99421400D01* -X99602862Y-99421400D01* -X99510397Y-99439792D01* -X99423298Y-99475870D01* -X99344910Y-99528247D01* -X99278247Y-99594910D01* -X99225870Y-99673298D01* -X99189792Y-99760397D01* -X99171400Y-99852862D01* -X88934004Y-99852862D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88726702Y-99225870D01* -X88639603Y-99189792D01* -X88547138Y-99171400D01* -X88452862Y-99171400D01* -X88360397Y-99189792D01* -X88273298Y-99225870D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X87906650Y-99602862D01* -X87924130Y-99576702D01* -X87960208Y-99489603D01* -X87978600Y-99397138D01* -X87978600Y-99302862D01* -X87960208Y-99210397D01* -X87924130Y-99123298D01* -X87871753Y-99044910D01* -X87805090Y-98978247D01* -X87726702Y-98925870D01* -X87639603Y-98889792D01* -X87547138Y-98871400D01* -X87452862Y-98871400D01* -X87360397Y-98889792D01* -X87273298Y-98925870D01* -X87194910Y-98978247D01* -X87128247Y-99044910D01* -X87075870Y-99123298D01* -X87039792Y-99210397D01* -X87021400Y-99302862D01* -X85728600Y-99302862D01* -X85710208Y-99210397D01* -X85674130Y-99123298D01* -X85621753Y-99044910D01* -X85555090Y-98978247D01* -X85476702Y-98925870D01* -X85389603Y-98889792D01* -X85297138Y-98871400D01* -X85202862Y-98871400D01* -X85110397Y-98889792D01* -X85023298Y-98925870D01* -X84944910Y-98978247D01* -X84878247Y-99044910D01* -X84825870Y-99123298D01* -X84789792Y-99210397D01* -X84771400Y-99302862D01* -X83407087Y-99302862D01* -X83374130Y-99223298D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82525870Y-99223298D01* -X82489792Y-99310397D01* -X82471400Y-99402862D01* -X69373241Y-99402862D01* -X69424130Y-99326702D01* -X69460208Y-99239603D01* -X69478600Y-99147138D01* -X69478600Y-99052862D01* -X69460208Y-98960397D01* -X69424130Y-98873298D01* -X69371753Y-98794910D01* -X69305090Y-98728247D01* -X69226702Y-98675870D01* -X69139603Y-98639792D01* -X69047138Y-98621400D01* -X68952862Y-98621400D01* -X68860397Y-98639792D01* -X68773298Y-98675870D01* -X68694910Y-98728247D01* -X68628247Y-98794910D01* -X68575870Y-98873298D01* -X68539792Y-98960397D01* -X68521400Y-99052862D01* -X64553448Y-99052862D01* -X64652351Y-99011895D01* -X64831237Y-98892367D01* -X64983367Y-98740237D01* -X65102895Y-98561351D01* -X65106411Y-98552862D01* -X83021400Y-98552862D01* -X83021400Y-98647138D01* -X83039792Y-98739603D01* -X83075870Y-98826702D01* -X83128247Y-98905090D01* -X83194910Y-98971753D01* -X83273298Y-99024130D01* -X83360397Y-99060208D01* -X83452862Y-99078600D01* -X83547138Y-99078600D01* -X83639603Y-99060208D01* -X83726702Y-99024130D01* -X83805090Y-98971753D01* -X83871753Y-98905090D01* -X83924130Y-98826702D01* -X83960208Y-98739603D01* -X83978600Y-98647138D01* -X83978600Y-98552862D01* -X83960208Y-98460397D01* -X83924130Y-98373298D01* -X83910476Y-98352862D01* -X87971400Y-98352862D01* -X87971400Y-98447138D01* -X87989792Y-98539603D01* -X88025870Y-98626702D01* -X88078247Y-98705090D01* -X88144910Y-98771753D01* -X88223298Y-98824130D01* -X88310397Y-98860208D01* -X88402862Y-98878600D01* -X88497138Y-98878600D01* -X88589603Y-98860208D01* -X88607337Y-98852862D01* -X100071400Y-98852862D01* -X100071400Y-98947138D01* -X100089792Y-99039603D01* -X100125870Y-99126702D01* -X100178247Y-99205090D01* -X100244910Y-99271753D01* -X100323298Y-99324130D01* -X100410397Y-99360208D01* -X100502862Y-99378600D01* -X100597138Y-99378600D01* -X100689603Y-99360208D01* -X100707337Y-99352862D01* -X102371400Y-99352862D01* -X102371400Y-99447138D01* -X102389792Y-99539603D01* -X102425870Y-99626702D01* -X102478247Y-99705090D01* -X102544910Y-99771753D01* -X102623298Y-99824130D01* -X102710397Y-99860208D01* -X102802862Y-99878600D01* -X102897138Y-99878600D01* -X102989603Y-99860208D01* -X103076702Y-99824130D01* -X103108531Y-99802862D01* -X114221400Y-99802862D01* -X114221400Y-99897138D01* -X114239792Y-99989603D01* -X114275870Y-100076702D01* -X114328247Y-100155090D01* -X114394910Y-100221753D01* -X114473298Y-100274130D01* -X114560397Y-100310208D01* -X114652862Y-100328600D01* -X114747138Y-100328600D01* -X114839603Y-100310208D01* -X114926702Y-100274130D01* -X115005090Y-100221753D01* -X115071753Y-100155090D01* -X115124130Y-100076702D01* -X115160208Y-99989603D01* -X115178600Y-99897138D01* -X115178600Y-99802862D01* -X122771400Y-99802862D01* -X122771400Y-99897138D01* -X122789792Y-99989603D01* -X122825870Y-100076702D01* -X122878247Y-100155090D01* -X122944910Y-100221753D01* -X123023298Y-100274130D01* -X123110397Y-100310208D01* -X123202862Y-100328600D01* -X123297138Y-100328600D01* -X123389603Y-100310208D01* -X123476702Y-100274130D01* -X123555090Y-100221753D01* -X123621753Y-100155090D01* -X123674130Y-100076702D01* -X123710208Y-99989603D01* -X123728600Y-99897138D01* -X123728600Y-99802862D01* -X123710208Y-99710397D01* -X123674130Y-99623298D01* -X123621753Y-99544910D01* -X123555090Y-99478247D01* -X123476702Y-99425870D01* -X123389603Y-99389792D01* -X123297138Y-99371400D01* -X123202862Y-99371400D01* -X123110397Y-99389792D01* -X123023298Y-99425870D01* -X122944910Y-99478247D01* -X122878247Y-99544910D01* -X122825870Y-99623298D01* -X122789792Y-99710397D01* -X122771400Y-99802862D01* -X115178600Y-99802862D01* -X115160208Y-99710397D01* -X115124130Y-99623298D01* -X115071753Y-99544910D01* -X115005090Y-99478247D01* -X114926702Y-99425870D01* -X114839603Y-99389792D01* -X114747138Y-99371400D01* -X114652862Y-99371400D01* -X114560397Y-99389792D01* -X114473298Y-99425870D01* -X114394910Y-99478247D01* -X114328247Y-99544910D01* -X114275870Y-99623298D01* -X114239792Y-99710397D01* -X114221400Y-99802862D01* -X103108531Y-99802862D01* -X103155090Y-99771753D01* -X103221753Y-99705090D01* -X103274130Y-99626702D01* -X103310208Y-99539603D01* -X103328600Y-99447138D01* -X103328600Y-99352862D01* -X103310208Y-99260397D01* -X103274130Y-99173298D01* -X103221753Y-99094910D01* -X103155090Y-99028247D01* -X103117099Y-99002862D01* -X113571400Y-99002862D01* -X113571400Y-99097138D01* -X113589792Y-99189603D01* -X113625870Y-99276702D01* -X113678247Y-99355090D01* -X113744910Y-99421753D01* -X113823298Y-99474130D01* -X113910397Y-99510208D01* -X114002862Y-99528600D01* -X114097138Y-99528600D01* -X114189603Y-99510208D01* -X114276702Y-99474130D01* -X114355090Y-99421753D01* -X114421753Y-99355090D01* -X114474130Y-99276702D01* -X114510208Y-99189603D01* -X114528600Y-99097138D01* -X114528600Y-99002862D01* -X122121400Y-99002862D01* -X122121400Y-99097138D01* -X122139792Y-99189603D01* -X122175870Y-99276702D01* -X122228247Y-99355090D01* -X122294910Y-99421753D01* -X122373298Y-99474130D01* -X122460397Y-99510208D01* -X122552862Y-99528600D01* -X122647138Y-99528600D01* -X122739603Y-99510208D01* -X122826702Y-99474130D01* -X122905090Y-99421753D01* -X122971753Y-99355090D01* -X123024130Y-99276702D01* -X123060208Y-99189603D01* -X123078600Y-99097138D01* -X123078600Y-99002862D01* -X123060208Y-98910397D01* -X123024130Y-98823298D01* -X122971753Y-98744910D01* -X122905090Y-98678247D01* -X122826702Y-98625870D01* -X122739603Y-98589792D01* -X122647138Y-98571400D01* -X122552862Y-98571400D01* -X122460397Y-98589792D01* -X122373298Y-98625870D01* -X122294910Y-98678247D01* -X122228247Y-98744910D01* -X122175870Y-98823298D01* -X122139792Y-98910397D01* -X122121400Y-99002862D01* -X114528600Y-99002862D01* -X114510208Y-98910397D01* -X114474130Y-98823298D01* -X114421753Y-98744910D01* -X114355090Y-98678247D01* -X114276702Y-98625870D01* -X114189603Y-98589792D01* -X114097138Y-98571400D01* -X114002862Y-98571400D01* -X113910397Y-98589792D01* -X113823298Y-98625870D01* -X113744910Y-98678247D01* -X113678247Y-98744910D01* -X113625870Y-98823298D01* -X113589792Y-98910397D01* -X113571400Y-99002862D01* -X103117099Y-99002862D01* -X103076702Y-98975870D01* -X102989603Y-98939792D01* -X102897138Y-98921400D01* -X102802862Y-98921400D01* -X102710397Y-98939792D01* -X102623298Y-98975870D01* -X102544910Y-99028247D01* -X102478247Y-99094910D01* -X102425870Y-99173298D01* -X102389792Y-99260397D01* -X102371400Y-99352862D01* -X100707337Y-99352862D01* -X100776702Y-99324130D01* -X100855090Y-99271753D01* -X100921753Y-99205090D01* -X100974130Y-99126702D01* -X101010208Y-99039603D01* -X101028600Y-98947138D01* -X101028600Y-98852862D01* -X101010208Y-98760397D01* -X100974130Y-98673298D01* -X100921753Y-98594910D01* -X100855090Y-98528247D01* -X100776702Y-98475870D01* -X100689603Y-98439792D01* -X100597138Y-98421400D01* -X100502862Y-98421400D01* -X100410397Y-98439792D01* -X100323298Y-98475870D01* -X100244910Y-98528247D01* -X100178247Y-98594910D01* -X100125870Y-98673298D01* -X100089792Y-98760397D01* -X100071400Y-98852862D01* -X88607337Y-98852862D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88223298Y-97975870D01* -X88144910Y-98028247D01* -X88078247Y-98094910D01* -X88025870Y-98173298D01* -X87989792Y-98260397D01* -X87971400Y-98352862D01* -X83910476Y-98352862D01* -X83871753Y-98294910D01* -X83805090Y-98228247D01* -X83726702Y-98175870D01* -X83639603Y-98139792D01* -X83547138Y-98121400D01* -X83452862Y-98121400D01* -X83360397Y-98139792D01* -X83273298Y-98175870D01* -X83194910Y-98228247D01* -X83128247Y-98294910D01* -X83075870Y-98373298D01* -X83039792Y-98460397D01* -X83021400Y-98552862D01* -X65106411Y-98552862D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65200633Y-97802862D01* -X69121400Y-97802862D01* -X69121400Y-97897138D01* -X69139792Y-97989603D01* -X69175870Y-98076702D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70060208Y-97710397D01* -X70024130Y-97623298D01* -X69971753Y-97544910D01* -X69905090Y-97478247D01* -X69826702Y-97425870D01* -X69739603Y-97389792D01* -X69647138Y-97371400D01* -X69552862Y-97371400D01* -X69460397Y-97389792D01* -X69373298Y-97425870D01* -X69294910Y-97478247D01* -X69228247Y-97544910D01* -X69175870Y-97623298D01* -X69139792Y-97710397D01* -X69121400Y-97802862D01* -X65200633Y-97802862D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64986775Y-97352862D01* -X87971400Y-97352862D01* -X87971400Y-97447138D01* -X87989792Y-97539603D01* -X88025870Y-97626702D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88607337Y-97852862D01* -X100071400Y-97852862D01* -X100071400Y-97947138D01* -X100089792Y-98039603D01* -X100125870Y-98126702D01* -X100178247Y-98205090D01* -X100244910Y-98271753D01* -X100323298Y-98324130D01* -X100410397Y-98360208D01* -X100502862Y-98378600D01* -X100597138Y-98378600D01* -X100689603Y-98360208D01* -X100707337Y-98352862D01* -X102371400Y-98352862D01* -X102371400Y-98447138D01* -X102389792Y-98539603D01* -X102425870Y-98626702D01* -X102478247Y-98705090D01* -X102544910Y-98771753D01* -X102623298Y-98824130D01* -X102710397Y-98860208D01* -X102802862Y-98878600D01* -X102897138Y-98878600D01* -X102989603Y-98860208D01* -X103076702Y-98824130D01* -X103155090Y-98771753D01* -X103221753Y-98705090D01* -X103274130Y-98626702D01* -X103310208Y-98539603D01* -X103328600Y-98447138D01* -X103328600Y-98352862D01* -X103310208Y-98260397D01* -X103274130Y-98173298D01* -X103221753Y-98094910D01* -X103155090Y-98028247D01* -X103076702Y-97975870D01* -X103021157Y-97952862D01* -X106471400Y-97952862D01* -X106471400Y-98047138D01* -X106489792Y-98139603D01* -X106525870Y-98226702D01* -X106578247Y-98305090D01* -X106644910Y-98371753D01* -X106723298Y-98424130D01* -X106810397Y-98460208D01* -X106902862Y-98478600D01* -X106997138Y-98478600D01* -X107089603Y-98460208D01* -X107176702Y-98424130D01* -X107255090Y-98371753D01* -X107321753Y-98305090D01* -X107374130Y-98226702D01* -X107384004Y-98202862D01* -X114221400Y-98202862D01* -X114221400Y-98297138D01* -X114239792Y-98389603D01* -X114275870Y-98476702D01* -X114328247Y-98555090D01* -X114394910Y-98621753D01* -X114473298Y-98674130D01* -X114560397Y-98710208D01* -X114652862Y-98728600D01* -X114747138Y-98728600D01* -X114839603Y-98710208D01* -X114926702Y-98674130D01* -X115005090Y-98621753D01* -X115071753Y-98555090D01* -X115124130Y-98476702D01* -X115160208Y-98389603D01* -X115178600Y-98297138D01* -X115178600Y-98202862D01* -X122771400Y-98202862D01* -X122771400Y-98297138D01* -X122789792Y-98389603D01* -X122825870Y-98476702D01* -X122878247Y-98555090D01* -X122944910Y-98621753D01* -X123023298Y-98674130D01* -X123110397Y-98710208D01* -X123202862Y-98728600D01* -X123297138Y-98728600D01* -X123389603Y-98710208D01* -X123476702Y-98674130D01* -X123555090Y-98621753D01* -X123621753Y-98555090D01* -X123674130Y-98476702D01* -X123710208Y-98389603D01* -X123728600Y-98297138D01* -X123728600Y-98202862D01* -X123710208Y-98110397D01* -X123674130Y-98023298D01* -X123621753Y-97944910D01* -X123555090Y-97878247D01* -X123476702Y-97825870D01* -X123389603Y-97789792D01* -X123297138Y-97771400D01* -X123202862Y-97771400D01* -X123110397Y-97789792D01* -X123023298Y-97825870D01* -X122944910Y-97878247D01* -X122878247Y-97944910D01* -X122825870Y-98023298D01* -X122789792Y-98110397D01* -X122771400Y-98202862D01* -X115178600Y-98202862D01* -X115160208Y-98110397D01* -X115124130Y-98023298D01* -X115071753Y-97944910D01* -X115005090Y-97878247D01* -X114926702Y-97825870D01* -X114839603Y-97789792D01* -X114747138Y-97771400D01* -X114652862Y-97771400D01* -X114560397Y-97789792D01* -X114473298Y-97825870D01* -X114394910Y-97878247D01* -X114328247Y-97944910D01* -X114275870Y-98023298D01* -X114239792Y-98110397D01* -X114221400Y-98202862D01* -X107384004Y-98202862D01* -X107410208Y-98139603D01* -X107428600Y-98047138D01* -X107428600Y-97952862D01* -X107410208Y-97860397D01* -X107374130Y-97773298D01* -X107321753Y-97694910D01* -X107255090Y-97628247D01* -X107176702Y-97575870D01* -X107089603Y-97539792D01* -X106997138Y-97521400D01* -X106902862Y-97521400D01* -X106810397Y-97539792D01* -X106723298Y-97575870D01* -X106644910Y-97628247D01* -X106578247Y-97694910D01* -X106525870Y-97773298D01* -X106489792Y-97860397D01* -X106471400Y-97952862D01* -X103021157Y-97952862D01* -X102989603Y-97939792D01* -X102897138Y-97921400D01* -X102802862Y-97921400D01* -X102710397Y-97939792D01* -X102623298Y-97975870D01* -X102544910Y-98028247D01* -X102478247Y-98094910D01* -X102425870Y-98173298D01* -X102389792Y-98260397D01* -X102371400Y-98352862D01* -X100707337Y-98352862D01* -X100776702Y-98324130D01* -X100855090Y-98271753D01* -X100921753Y-98205090D01* -X100974130Y-98126702D01* -X101010208Y-98039603D01* -X101028600Y-97947138D01* -X101028600Y-97852862D01* -X101010208Y-97760397D01* -X100974130Y-97673298D01* -X100921753Y-97594910D01* -X100855090Y-97528247D01* -X100776702Y-97475870D01* -X100689603Y-97439792D01* -X100597138Y-97421400D01* -X100502862Y-97421400D01* -X100410397Y-97439792D01* -X100323298Y-97475870D01* -X100244910Y-97528247D01* -X100178247Y-97594910D01* -X100125870Y-97673298D01* -X100089792Y-97760397D01* -X100071400Y-97852862D01* -X88607337Y-97852862D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88025870Y-97173298D01* -X87989792Y-97260397D01* -X87971400Y-97352862D01* -X64986775Y-97352862D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X62633854Y-97936428D01* -X62659743Y-97800641D01* -X62615508Y-97654805D01* -X62519971Y-97463192D01* -X62388888Y-97293899D01* -X62227296Y-97153432D01* -X62041405Y-97047189D01* -X61838359Y-96979253D01* -X61668800Y-97011442D01* -X61521200Y-97011442D01* -X61351641Y-96979253D01* -X61148595Y-97047189D01* -X60962704Y-97153432D01* -X60801112Y-97293899D01* -X60670029Y-97463192D01* -X60574492Y-97654805D01* -X60530257Y-97800641D01* -X46177200Y-97800641D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48894597Y-96402862D01* -X67421400Y-96402862D01* -X67421400Y-96497138D01* -X67439792Y-96589603D01* -X67475870Y-96676702D01* -X67528247Y-96755090D01* -X67594910Y-96821753D01* -X67673298Y-96874130D01* -X67760397Y-96910208D01* -X67852862Y-96928600D01* -X67947138Y-96928600D01* -X68039603Y-96910208D01* -X68126702Y-96874130D01* -X68158531Y-96852862D01* -X96721400Y-96852862D01* -X96721400Y-96947138D01* -X96739792Y-97039603D01* -X96775870Y-97126702D01* -X96828247Y-97205090D01* -X96894910Y-97271753D01* -X96973298Y-97324130D01* -X97060397Y-97360208D01* -X97152862Y-97378600D01* -X97247138Y-97378600D01* -X97339603Y-97360208D01* -X97426702Y-97324130D01* -X97505090Y-97271753D01* -X97571753Y-97205090D01* -X97624130Y-97126702D01* -X97660208Y-97039603D01* -X97678600Y-96947138D01* -X97678600Y-96852862D01* -X97660208Y-96760397D01* -X97624130Y-96673298D01* -X97571753Y-96594910D01* -X97505090Y-96528247D01* -X97426702Y-96475870D01* -X97339603Y-96439792D01* -X97247138Y-96421400D01* -X97152862Y-96421400D01* -X97060397Y-96439792D01* -X96973298Y-96475870D01* -X96894910Y-96528247D01* -X96828247Y-96594910D01* -X96775870Y-96673298D01* -X96739792Y-96760397D01* -X96721400Y-96852862D01* -X68158531Y-96852862D01* -X68205090Y-96821753D01* -X68271753Y-96755090D01* -X68324130Y-96676702D01* -X68360208Y-96589603D01* -X68378600Y-96497138D01* -X68378600Y-96402862D01* -X68360208Y-96310397D01* -X68324130Y-96223298D01* -X68271753Y-96144910D01* -X68205090Y-96078247D01* -X68126702Y-96025870D01* -X68039603Y-95989792D01* -X67947138Y-95971400D01* -X67852862Y-95971400D01* -X67760397Y-95989792D01* -X67673298Y-96025870D01* -X67594910Y-96078247D01* -X67528247Y-96144910D01* -X67475870Y-96223298D01* -X67439792Y-96310397D01* -X67421400Y-96402862D01* -X48894597Y-96402862D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48846027Y-95757879D01* -X48814612Y-95710862D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77835292Y-95897603D01* -X77871370Y-95984702D01* -X77923747Y-96063090D01* -X77990410Y-96129753D01* -X78068798Y-96182130D01* -X78155897Y-96218208D01* -X78248362Y-96236600D01* -X78342638Y-96236600D01* -X78435103Y-96218208D01* -X78522202Y-96182130D01* -X78600590Y-96129753D01* -X78667253Y-96063090D01* -X78719630Y-95984702D01* -X78755708Y-95897603D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79486292Y-95897603D01* -X79522370Y-95984702D01* -X79574747Y-96063090D01* -X79641410Y-96129753D01* -X79719798Y-96182130D01* -X79806897Y-96218208D01* -X79899362Y-96236600D01* -X79993638Y-96236600D01* -X80086103Y-96218208D01* -X80173202Y-96182130D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80654247Y-96063090D01* -X80720910Y-96129753D01* -X80799298Y-96182130D01* -X80886397Y-96218208D01* -X80978862Y-96236600D01* -X81073138Y-96236600D01* -X81165603Y-96218208D01* -X81252702Y-96182130D01* -X81331090Y-96129753D01* -X81397753Y-96063090D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81459063Y-95552862D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89373241Y-95902862D01* -X96221400Y-95902862D01* -X96221400Y-95997138D01* -X96239792Y-96089603D01* -X96275870Y-96176702D01* -X96328247Y-96255090D01* -X96394910Y-96321753D01* -X96473298Y-96374130D01* -X96560397Y-96410208D01* -X96652862Y-96428600D01* -X96747138Y-96428600D01* -X96839603Y-96410208D01* -X96926702Y-96374130D01* -X96958531Y-96352862D01* -X97621400Y-96352862D01* -X97621400Y-96447138D01* -X97639792Y-96539603D01* -X97675870Y-96626702D01* -X97728247Y-96705090D01* -X97794910Y-96771753D01* -X97873298Y-96824130D01* -X97960397Y-96860208D01* -X98052862Y-96878600D01* -X98147138Y-96878600D01* -X98239603Y-96860208D01* -X98257337Y-96852862D01* -X100071400Y-96852862D01* -X100071400Y-96947138D01* -X100089792Y-97039603D01* -X100125870Y-97126702D01* -X100178247Y-97205090D01* -X100244910Y-97271753D01* -X100323298Y-97324130D01* -X100410397Y-97360208D01* -X100502862Y-97378600D01* -X100597138Y-97378600D01* -X100689603Y-97360208D01* -X100707337Y-97352862D01* -X102371400Y-97352862D01* -X102371400Y-97447138D01* -X102389792Y-97539603D01* -X102425870Y-97626702D01* -X102478247Y-97705090D01* -X102544910Y-97771753D01* -X102623298Y-97824130D01* -X102710397Y-97860208D01* -X102802862Y-97878600D01* -X102897138Y-97878600D01* -X102989603Y-97860208D01* -X103076702Y-97824130D01* -X103155090Y-97771753D01* -X103221753Y-97705090D01* -X103274130Y-97626702D01* -X103310208Y-97539603D01* -X103328600Y-97447138D01* -X103328600Y-97352862D01* -X103310208Y-97260397D01* -X103274130Y-97173298D01* -X103221753Y-97094910D01* -X103155090Y-97028247D01* -X103076702Y-96975870D01* -X103021157Y-96952862D01* -X105471400Y-96952862D01* -X105471400Y-97047138D01* -X105489792Y-97139603D01* -X105525870Y-97226702D01* -X105578247Y-97305090D01* -X105644910Y-97371753D01* -X105723298Y-97424130D01* -X105810397Y-97460208D01* -X105902862Y-97478600D01* -X105997138Y-97478600D01* -X106089603Y-97460208D01* -X106176702Y-97424130D01* -X106255090Y-97371753D01* -X106321753Y-97305090D01* -X106374130Y-97226702D01* -X106410208Y-97139603D01* -X106427461Y-97052862D01* -X107071400Y-97052862D01* -X107071400Y-97147138D01* -X107089792Y-97239603D01* -X107125870Y-97326702D01* -X107178247Y-97405090D01* -X107244910Y-97471753D01* -X107323298Y-97524130D01* -X107410397Y-97560208D01* -X107502862Y-97578600D01* -X107597138Y-97578600D01* -X107689603Y-97560208D01* -X107776702Y-97524130D01* -X107855090Y-97471753D01* -X107921753Y-97405090D01* -X107923241Y-97402862D01* -X113571400Y-97402862D01* -X113571400Y-97497138D01* -X113589792Y-97589603D01* -X113625870Y-97676702D01* -X113678247Y-97755090D01* -X113744910Y-97821753D01* -X113823298Y-97874130D01* -X113910397Y-97910208D01* -X114002862Y-97928600D01* -X114097138Y-97928600D01* -X114189603Y-97910208D01* -X114276702Y-97874130D01* -X114355090Y-97821753D01* -X114421753Y-97755090D01* -X114474130Y-97676702D01* -X114510208Y-97589603D01* -X114528600Y-97497138D01* -X114528600Y-97402862D01* -X122121400Y-97402862D01* -X122121400Y-97497138D01* -X122139792Y-97589603D01* -X122175870Y-97676702D01* -X122228247Y-97755090D01* -X122294910Y-97821753D01* -X122373298Y-97874130D01* -X122460397Y-97910208D01* -X122552862Y-97928600D01* -X122647138Y-97928600D01* -X122739603Y-97910208D01* -X122826702Y-97874130D01* -X122905090Y-97821753D01* -X122971753Y-97755090D01* -X123024130Y-97676702D01* -X123060208Y-97589603D01* -X123078600Y-97497138D01* -X123078600Y-97402862D01* -X123060208Y-97310397D01* -X123024130Y-97223298D01* -X122971753Y-97144910D01* -X122905090Y-97078247D01* -X122826702Y-97025870D01* -X122739603Y-96989792D01* -X122647138Y-96971400D01* -X122552862Y-96971400D01* -X122460397Y-96989792D01* -X122373298Y-97025870D01* -X122294910Y-97078247D01* -X122228247Y-97144910D01* -X122175870Y-97223298D01* -X122139792Y-97310397D01* -X122121400Y-97402862D01* -X114528600Y-97402862D01* -X114510208Y-97310397D01* -X114474130Y-97223298D01* -X114421753Y-97144910D01* -X114355090Y-97078247D01* -X114276702Y-97025870D01* -X114189603Y-96989792D01* -X114097138Y-96971400D01* -X114002862Y-96971400D01* -X113910397Y-96989792D01* -X113823298Y-97025870D01* -X113744910Y-97078247D01* -X113678247Y-97144910D01* -X113625870Y-97223298D01* -X113589792Y-97310397D01* -X113571400Y-97402862D01* -X107923241Y-97402862D01* -X107974130Y-97326702D01* -X108010208Y-97239603D01* -X108028600Y-97147138D01* -X108028600Y-97052862D01* -X108010208Y-96960397D01* -X107974130Y-96873298D01* -X107921753Y-96794910D01* -X107855090Y-96728247D01* -X107776702Y-96675870D01* -X107689603Y-96639792D01* -X107597138Y-96621400D01* -X107502862Y-96621400D01* -X107410397Y-96639792D01* -X107323298Y-96675870D01* -X107244910Y-96728247D01* -X107178247Y-96794910D01* -X107125870Y-96873298D01* -X107089792Y-96960397D01* -X107071400Y-97052862D01* -X106427461Y-97052862D01* -X106428600Y-97047138D01* -X106428600Y-96952862D01* -X106410208Y-96860397D01* -X106374130Y-96773298D01* -X106321753Y-96694910D01* -X106255090Y-96628247D01* -X106217099Y-96602862D01* -X114221400Y-96602862D01* -X114221400Y-96697138D01* -X114239792Y-96789603D01* -X114275870Y-96876702D01* -X114328247Y-96955090D01* -X114394910Y-97021753D01* -X114473298Y-97074130D01* -X114560397Y-97110208D01* -X114652862Y-97128600D01* -X114747138Y-97128600D01* -X114839603Y-97110208D01* -X114926702Y-97074130D01* -X115005090Y-97021753D01* -X115071753Y-96955090D01* -X115124130Y-96876702D01* -X115160208Y-96789603D01* -X115178600Y-96697138D01* -X115178600Y-96602862D01* -X122771400Y-96602862D01* -X122771400Y-96697138D01* -X122789792Y-96789603D01* -X122825870Y-96876702D01* -X122878247Y-96955090D01* -X122944910Y-97021753D01* -X123023298Y-97074130D01* -X123110397Y-97110208D01* -X123202862Y-97128600D01* -X123297138Y-97128600D01* -X123389603Y-97110208D01* -X123476702Y-97074130D01* -X123555090Y-97021753D01* -X123621753Y-96955090D01* -X123674130Y-96876702D01* -X123710208Y-96789603D01* -X123728600Y-96697138D01* -X123728600Y-96602862D01* -X123710208Y-96510397D01* -X123674130Y-96423298D01* -X123621753Y-96344910D01* -X123555090Y-96278247D01* -X123476702Y-96225870D01* -X123389603Y-96189792D01* -X123297138Y-96171400D01* -X123202862Y-96171400D01* -X123110397Y-96189792D01* -X123023298Y-96225870D01* -X122944910Y-96278247D01* -X122878247Y-96344910D01* -X122825870Y-96423298D01* -X122789792Y-96510397D01* -X122771400Y-96602862D01* -X115178600Y-96602862D01* -X115160208Y-96510397D01* -X115124130Y-96423298D01* -X115071753Y-96344910D01* -X115005090Y-96278247D01* -X114926702Y-96225870D01* -X114839603Y-96189792D01* -X114747138Y-96171400D01* -X114652862Y-96171400D01* -X114560397Y-96189792D01* -X114473298Y-96225870D01* -X114394910Y-96278247D01* -X114328247Y-96344910D01* -X114275870Y-96423298D01* -X114239792Y-96510397D01* -X114221400Y-96602862D01* -X106217099Y-96602862D01* -X106176702Y-96575870D01* -X106089603Y-96539792D01* -X105997138Y-96521400D01* -X105902862Y-96521400D01* -X105810397Y-96539792D01* -X105723298Y-96575870D01* -X105644910Y-96628247D01* -X105578247Y-96694910D01* -X105525870Y-96773298D01* -X105489792Y-96860397D01* -X105471400Y-96952862D01* -X103021157Y-96952862D01* -X102989603Y-96939792D01* -X102897138Y-96921400D01* -X102802862Y-96921400D01* -X102710397Y-96939792D01* -X102623298Y-96975870D01* -X102544910Y-97028247D01* -X102478247Y-97094910D01* -X102425870Y-97173298D01* -X102389792Y-97260397D01* -X102371400Y-97352862D01* -X100707337Y-97352862D01* -X100776702Y-97324130D01* -X100855090Y-97271753D01* -X100921753Y-97205090D01* -X100974130Y-97126702D01* -X101010208Y-97039603D01* -X101028600Y-96947138D01* -X101028600Y-96852862D01* -X101010208Y-96760397D01* -X100974130Y-96673298D01* -X100921753Y-96594910D01* -X100855090Y-96528247D01* -X100776702Y-96475870D01* -X100689603Y-96439792D01* -X100597138Y-96421400D01* -X100502862Y-96421400D01* -X100410397Y-96439792D01* -X100323298Y-96475870D01* -X100244910Y-96528247D01* -X100178247Y-96594910D01* -X100125870Y-96673298D01* -X100089792Y-96760397D01* -X100071400Y-96852862D01* -X98257337Y-96852862D01* -X98326702Y-96824130D01* -X98405090Y-96771753D01* -X98471753Y-96705090D01* -X98524130Y-96626702D01* -X98560208Y-96539603D01* -X98578600Y-96447138D01* -X98578600Y-96352862D01* -X98560208Y-96260397D01* -X98557087Y-96252862D01* -X98671400Y-96252862D01* -X98671400Y-96347138D01* -X98689792Y-96439603D01* -X98725870Y-96526702D01* -X98778247Y-96605090D01* -X98844910Y-96671753D01* -X98923298Y-96724130D01* -X99010397Y-96760208D01* -X99102862Y-96778600D01* -X99197138Y-96778600D01* -X99289603Y-96760208D01* -X99376702Y-96724130D01* -X99455090Y-96671753D01* -X99521753Y-96605090D01* -X99574130Y-96526702D01* -X99610208Y-96439603D01* -X99628600Y-96347138D01* -X99628600Y-96252862D01* -X99610208Y-96160397D01* -X99574130Y-96073298D01* -X99521753Y-95994910D01* -X99455090Y-95928247D01* -X99376702Y-95875870D01* -X99321157Y-95852862D01* -X100071400Y-95852862D01* -X100071400Y-95947138D01* -X100089792Y-96039603D01* -X100125870Y-96126702D01* -X100178247Y-96205090D01* -X100244910Y-96271753D01* -X100323298Y-96324130D01* -X100410397Y-96360208D01* -X100502862Y-96378600D01* -X100597138Y-96378600D01* -X100689603Y-96360208D01* -X100707337Y-96352862D01* -X102371400Y-96352862D01* -X102371400Y-96447138D01* -X102389792Y-96539603D01* -X102425870Y-96626702D01* -X102478247Y-96705090D01* -X102544910Y-96771753D01* -X102623298Y-96824130D01* -X102710397Y-96860208D01* -X102802862Y-96878600D01* -X102897138Y-96878600D01* -X102989603Y-96860208D01* -X103076702Y-96824130D01* -X103155090Y-96771753D01* -X103221753Y-96705090D01* -X103274130Y-96626702D01* -X103310208Y-96539603D01* -X103328600Y-96447138D01* -X103328600Y-96352862D01* -X103310208Y-96260397D01* -X103274130Y-96173298D01* -X103221753Y-96094910D01* -X103155090Y-96028247D01* -X103076702Y-95975870D01* -X102989603Y-95939792D01* -X102897138Y-95921400D01* -X102802862Y-95921400D01* -X102710397Y-95939792D01* -X102623298Y-95975870D01* -X102544910Y-96028247D01* -X102478247Y-96094910D01* -X102425870Y-96173298D01* -X102389792Y-96260397D01* -X102371400Y-96352862D01* -X100707337Y-96352862D01* -X100776702Y-96324130D01* -X100855090Y-96271753D01* -X100921753Y-96205090D01* -X100974130Y-96126702D01* -X101010208Y-96039603D01* -X101028600Y-95947138D01* -X101028600Y-95852862D01* -X101010208Y-95760397D01* -X100974130Y-95673298D01* -X100921753Y-95594910D01* -X100855090Y-95528247D01* -X100776702Y-95475870D01* -X100689603Y-95439792D01* -X100597138Y-95421400D01* -X100502862Y-95421400D01* -X100410397Y-95439792D01* -X100323298Y-95475870D01* -X100244910Y-95528247D01* -X100178247Y-95594910D01* -X100125870Y-95673298D01* -X100089792Y-95760397D01* -X100071400Y-95852862D01* -X99321157Y-95852862D01* -X99289603Y-95839792D01* -X99197138Y-95821400D01* -X99102862Y-95821400D01* -X99010397Y-95839792D01* -X98923298Y-95875870D01* -X98844910Y-95928247D01* -X98778247Y-95994910D01* -X98725870Y-96073298D01* -X98689792Y-96160397D01* -X98671400Y-96252862D01* -X98557087Y-96252862D01* -X98524130Y-96173298D01* -X98471753Y-96094910D01* -X98405090Y-96028247D01* -X98326702Y-95975870D01* -X98239603Y-95939792D01* -X98147138Y-95921400D01* -X98052862Y-95921400D01* -X97960397Y-95939792D01* -X97873298Y-95975870D01* -X97794910Y-96028247D01* -X97728247Y-96094910D01* -X97675870Y-96173298D01* -X97639792Y-96260397D01* -X97621400Y-96352862D01* -X96958531Y-96352862D01* -X97005090Y-96321753D01* -X97071753Y-96255090D01* -X97124130Y-96176702D01* -X97160208Y-96089603D01* -X97178600Y-95997138D01* -X97178600Y-95902862D01* -X97160208Y-95810397D01* -X97124130Y-95723298D01* -X97071753Y-95644910D01* -X97005090Y-95578247D01* -X96926702Y-95525870D01* -X96839603Y-95489792D01* -X96747138Y-95471400D01* -X96652862Y-95471400D01* -X96560397Y-95489792D01* -X96473298Y-95525870D01* -X96394910Y-95578247D01* -X96328247Y-95644910D01* -X96275870Y-95723298D01* -X96239792Y-95810397D01* -X96221400Y-95902862D01* -X89373241Y-95902862D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89478600Y-95647138D01* -X89478600Y-95552862D01* -X89460208Y-95460397D01* -X89424130Y-95373298D01* -X89377067Y-95302862D01* -X89571400Y-95302862D01* -X89571400Y-95397138D01* -X89589792Y-95489603D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90474130Y-95123298D01* -X90421753Y-95044910D01* -X90355090Y-94978247D01* -X90276702Y-94925870D01* -X90189603Y-94889792D01* -X90097138Y-94871400D01* -X90002862Y-94871400D01* -X89910397Y-94889792D01* -X89823298Y-94925870D01* -X89744910Y-94978247D01* -X89678247Y-95044910D01* -X89625870Y-95123298D01* -X89589792Y-95210397D01* -X89571400Y-95302862D01* -X89377067Y-95302862D01* -X89371753Y-95294910D01* -X89305090Y-95228247D01* -X89226702Y-95175870D01* -X89139603Y-95139792D01* -X89047138Y-95121400D01* -X88952862Y-95121400D01* -X88860397Y-95139792D01* -X88773298Y-95175870D01* -X88694910Y-95228247D01* -X88628247Y-95294910D01* -X88575870Y-95373298D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X81459063Y-95552862D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81252702Y-95333870D01* -X81165603Y-95297792D01* -X81073138Y-95279400D01* -X80978862Y-95279400D01* -X80886397Y-95297792D01* -X80799298Y-95333870D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80173202Y-95333870D01* -X80086103Y-95297792D01* -X79993638Y-95279400D01* -X79899362Y-95279400D01* -X79806897Y-95297792D01* -X79719798Y-95333870D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X48814612Y-95710862D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-95002862D01* -X69121400Y-95002862D01* -X69121400Y-95097138D01* -X69139792Y-95189603D01* -X69175870Y-95276702D01* -X69228247Y-95355090D01* -X69294910Y-95421753D01* -X69373298Y-95474130D01* -X69460397Y-95510208D01* -X69552862Y-95528600D01* -X69647138Y-95528600D01* -X69739603Y-95510208D01* -X69826702Y-95474130D01* -X69905090Y-95421753D01* -X69971753Y-95355090D01* -X70024130Y-95276702D01* -X70060208Y-95189603D01* -X70078600Y-95097138D01* -X70078600Y-95002862D01* -X70060208Y-94910397D01* -X70024130Y-94823298D01* -X70010476Y-94802862D01* -X90521400Y-94802862D01* -X90521400Y-94897138D01* -X90539792Y-94989603D01* -X90575870Y-95076702D01* -X90628247Y-95155090D01* -X90694910Y-95221753D01* -X90773298Y-95274130D01* -X90860397Y-95310208D01* -X90952862Y-95328600D01* -X91047138Y-95328600D01* -X91139603Y-95310208D01* -X91226702Y-95274130D01* -X91305090Y-95221753D01* -X91323981Y-95202862D01* -X95471400Y-95202862D01* -X95471400Y-95297138D01* -X95489792Y-95389603D01* -X95525870Y-95476702D01* -X95578247Y-95555090D01* -X95644910Y-95621753D01* -X95723298Y-95674130D01* -X95810397Y-95710208D01* -X95902862Y-95728600D01* -X95997138Y-95728600D01* -X96089603Y-95710208D01* -X96176702Y-95674130D01* -X96255090Y-95621753D01* -X96321753Y-95555090D01* -X96374130Y-95476702D01* -X96410208Y-95389603D01* -X96428600Y-95297138D01* -X96428600Y-95202862D01* -X96410208Y-95110397D01* -X96374130Y-95023298D01* -X96327067Y-94952862D01* -X96471400Y-94952862D01* -X96471400Y-95047138D01* -X96489792Y-95139603D01* -X96525870Y-95226702D01* -X96578247Y-95305090D01* -X96644910Y-95371753D01* -X96723298Y-95424130D01* -X96810397Y-95460208D01* -X96902862Y-95478600D01* -X96997138Y-95478600D01* -X97089603Y-95460208D01* -X97176702Y-95424130D01* -X97255090Y-95371753D01* -X97321753Y-95305090D01* -X97374130Y-95226702D01* -X97410208Y-95139603D01* -X97428600Y-95047138D01* -X97428600Y-94952862D01* -X97410208Y-94860397D01* -X97407087Y-94852862D01* -X97471400Y-94852862D01* -X97471400Y-94947138D01* -X97489792Y-95039603D01* -X97525870Y-95126702D01* -X97578247Y-95205090D01* -X97644910Y-95271753D01* -X97723298Y-95324130D01* -X97810397Y-95360208D01* -X97902862Y-95378600D01* -X97997138Y-95378600D01* -X98089603Y-95360208D01* -X98176702Y-95324130D01* -X98255090Y-95271753D01* -X98321753Y-95205090D01* -X98374130Y-95126702D01* -X98410208Y-95039603D01* -X98428600Y-94947138D01* -X98428600Y-94852862D01* -X99171400Y-94852862D01* -X99171400Y-94947138D01* -X99189792Y-95039603D01* -X99225870Y-95126702D01* -X99278247Y-95205090D01* -X99344910Y-95271753D01* -X99423298Y-95324130D01* -X99510397Y-95360208D01* -X99602862Y-95378600D01* -X99697138Y-95378600D01* -X99789603Y-95360208D01* -X99807337Y-95352862D01* -X102371400Y-95352862D01* -X102371400Y-95447138D01* -X102389792Y-95539603D01* -X102425870Y-95626702D01* -X102478247Y-95705090D01* -X102544910Y-95771753D01* -X102623298Y-95824130D01* -X102710397Y-95860208D01* -X102802862Y-95878600D01* -X102897138Y-95878600D01* -X102989603Y-95860208D01* -X103076702Y-95824130D01* -X103108531Y-95802862D01* -X113571400Y-95802862D01* -X113571400Y-95897138D01* -X113589792Y-95989603D01* -X113625870Y-96076702D01* -X113678247Y-96155090D01* -X113744910Y-96221753D01* -X113823298Y-96274130D01* -X113910397Y-96310208D01* -X114002862Y-96328600D01* -X114097138Y-96328600D01* -X114189603Y-96310208D01* -X114276702Y-96274130D01* -X114355090Y-96221753D01* -X114421753Y-96155090D01* -X114474130Y-96076702D01* -X114510208Y-95989603D01* -X114528600Y-95897138D01* -X114528600Y-95802862D01* -X122121400Y-95802862D01* -X122121400Y-95897138D01* -X122139792Y-95989603D01* -X122175870Y-96076702D01* -X122228247Y-96155090D01* -X122294910Y-96221753D01* -X122373298Y-96274130D01* -X122460397Y-96310208D01* -X122552862Y-96328600D01* -X122647138Y-96328600D01* -X122739603Y-96310208D01* -X122826702Y-96274130D01* -X122905090Y-96221753D01* -X122971753Y-96155090D01* -X123024130Y-96076702D01* -X123060208Y-95989603D01* -X123078600Y-95897138D01* -X123078600Y-95802862D01* -X123060208Y-95710397D01* -X123024130Y-95623298D01* -X122971753Y-95544910D01* -X122905090Y-95478247D01* -X122826702Y-95425870D01* -X122739603Y-95389792D01* -X122647138Y-95371400D01* -X122552862Y-95371400D01* -X122460397Y-95389792D01* -X122373298Y-95425870D01* -X122294910Y-95478247D01* -X122228247Y-95544910D01* -X122175870Y-95623298D01* -X122139792Y-95710397D01* -X122121400Y-95802862D01* -X114528600Y-95802862D01* -X114510208Y-95710397D01* -X114474130Y-95623298D01* -X114421753Y-95544910D01* -X114355090Y-95478247D01* -X114276702Y-95425870D01* -X114189603Y-95389792D01* -X114097138Y-95371400D01* -X114002862Y-95371400D01* -X113910397Y-95389792D01* -X113823298Y-95425870D01* -X113744910Y-95478247D01* -X113678247Y-95544910D01* -X113625870Y-95623298D01* -X113589792Y-95710397D01* -X113571400Y-95802862D01* -X103108531Y-95802862D01* -X103155090Y-95771753D01* -X103221753Y-95705090D01* -X103274130Y-95626702D01* -X103310208Y-95539603D01* -X103328600Y-95447138D01* -X103328600Y-95352862D01* -X103310208Y-95260397D01* -X103274130Y-95173298D01* -X103221753Y-95094910D01* -X103155090Y-95028247D01* -X103117099Y-95002862D01* -X114221400Y-95002862D01* -X114221400Y-95097138D01* -X114239792Y-95189603D01* -X114275870Y-95276702D01* -X114328247Y-95355090D01* -X114394910Y-95421753D01* -X114473298Y-95474130D01* -X114560397Y-95510208D01* -X114652862Y-95528600D01* -X114747138Y-95528600D01* -X114839603Y-95510208D01* -X114926702Y-95474130D01* -X115005090Y-95421753D01* -X115071753Y-95355090D01* -X115124130Y-95276702D01* -X115160208Y-95189603D01* -X115178600Y-95097138D01* -X115178600Y-95002862D01* -X122771400Y-95002862D01* -X122771400Y-95097138D01* -X122789792Y-95189603D01* -X122825870Y-95276702D01* -X122878247Y-95355090D01* -X122944910Y-95421753D01* -X123023298Y-95474130D01* -X123110397Y-95510208D01* -X123202862Y-95528600D01* -X123297138Y-95528600D01* -X123389603Y-95510208D01* -X123476702Y-95474130D01* -X123555090Y-95421753D01* -X123621753Y-95355090D01* -X123674130Y-95276702D01* -X123710208Y-95189603D01* -X123728600Y-95097138D01* -X123728600Y-95002862D01* -X123710208Y-94910397D01* -X123674130Y-94823298D01* -X123621753Y-94744910D01* -X123555090Y-94678247D01* -X123476702Y-94625870D01* -X123389603Y-94589792D01* -X123297138Y-94571400D01* -X123202862Y-94571400D01* -X123110397Y-94589792D01* -X123023298Y-94625870D01* -X122944910Y-94678247D01* -X122878247Y-94744910D01* -X122825870Y-94823298D01* -X122789792Y-94910397D01* -X122771400Y-95002862D01* -X115178600Y-95002862D01* -X115160208Y-94910397D01* -X115124130Y-94823298D01* -X115071753Y-94744910D01* -X115005090Y-94678247D01* -X114926702Y-94625870D01* -X114839603Y-94589792D01* -X114747138Y-94571400D01* -X114652862Y-94571400D01* -X114560397Y-94589792D01* -X114473298Y-94625870D01* -X114394910Y-94678247D01* -X114328247Y-94744910D01* -X114275870Y-94823298D01* -X114239792Y-94910397D01* -X114221400Y-95002862D01* -X103117099Y-95002862D01* -X103076702Y-94975870D01* -X102989603Y-94939792D01* -X102897138Y-94921400D01* -X102802862Y-94921400D01* -X102710397Y-94939792D01* -X102623298Y-94975870D01* -X102544910Y-95028247D01* -X102478247Y-95094910D01* -X102425870Y-95173298D01* -X102389792Y-95260397D01* -X102371400Y-95352862D01* -X99807337Y-95352862D01* -X99876702Y-95324130D01* -X99955090Y-95271753D01* -X100021753Y-95205090D01* -X100074130Y-95126702D01* -X100110208Y-95039603D01* -X100128600Y-94947138D01* -X100128600Y-94852862D01* -X100110208Y-94760397D01* -X100074130Y-94673298D01* -X100021753Y-94594910D01* -X99955090Y-94528247D01* -X99876702Y-94475870D01* -X99789603Y-94439792D01* -X99697138Y-94421400D01* -X99602862Y-94421400D01* -X99510397Y-94439792D01* -X99423298Y-94475870D01* -X99344910Y-94528247D01* -X99278247Y-94594910D01* -X99225870Y-94673298D01* -X99189792Y-94760397D01* -X99171400Y-94852862D01* -X98428600Y-94852862D01* -X98410208Y-94760397D01* -X98374130Y-94673298D01* -X98321753Y-94594910D01* -X98255090Y-94528247D01* -X98176702Y-94475870D01* -X98089603Y-94439792D01* -X97997138Y-94421400D01* -X97902862Y-94421400D01* -X97810397Y-94439792D01* -X97723298Y-94475870D01* -X97644910Y-94528247D01* -X97578247Y-94594910D01* -X97525870Y-94673298D01* -X97489792Y-94760397D01* -X97471400Y-94852862D01* -X97407087Y-94852862D01* -X97374130Y-94773298D01* -X97321753Y-94694910D01* -X97255090Y-94628247D01* -X97176702Y-94575870D01* -X97089603Y-94539792D01* -X96997138Y-94521400D01* -X96902862Y-94521400D01* -X96810397Y-94539792D01* -X96723298Y-94575870D01* -X96644910Y-94628247D01* -X96578247Y-94694910D01* -X96525870Y-94773298D01* -X96489792Y-94860397D01* -X96471400Y-94952862D01* -X96327067Y-94952862D01* -X96321753Y-94944910D01* -X96255090Y-94878247D01* -X96176702Y-94825870D01* -X96089603Y-94789792D01* -X95997138Y-94771400D01* -X95902862Y-94771400D01* -X95810397Y-94789792D01* -X95723298Y-94825870D01* -X95644910Y-94878247D01* -X95578247Y-94944910D01* -X95525870Y-95023298D01* -X95489792Y-95110397D01* -X95471400Y-95202862D01* -X91323981Y-95202862D01* -X91371753Y-95155090D01* -X91424130Y-95076702D01* -X91460208Y-94989603D01* -X91478600Y-94897138D01* -X91478600Y-94802862D01* -X91460208Y-94710397D01* -X91424130Y-94623298D01* -X91371753Y-94544910D01* -X91305090Y-94478247D01* -X91226702Y-94425870D01* -X91139603Y-94389792D01* -X91047138Y-94371400D01* -X90952862Y-94371400D01* -X90860397Y-94389792D01* -X90773298Y-94425870D01* -X90694910Y-94478247D01* -X90628247Y-94544910D01* -X90575870Y-94623298D01* -X90539792Y-94710397D01* -X90521400Y-94802862D01* -X70010476Y-94802862D01* -X69971753Y-94744910D01* -X69905090Y-94678247D01* -X69826702Y-94625870D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69175870Y-94823298D01* -X69139792Y-94910397D01* -X69121400Y-95002862D01* -X46177200Y-95002862D01* -X46177200Y-94202862D01* -X113571400Y-94202862D01* -X113571400Y-94297138D01* -X113589792Y-94389603D01* -X113625870Y-94476702D01* -X113678247Y-94555090D01* -X113744910Y-94621753D01* -X113823298Y-94674130D01* -X113910397Y-94710208D01* -X114002862Y-94728600D01* -X114097138Y-94728600D01* -X114189603Y-94710208D01* -X114276702Y-94674130D01* -X114355090Y-94621753D01* -X114421753Y-94555090D01* -X114474130Y-94476702D01* -X114510208Y-94389603D01* -X114528600Y-94297138D01* -X114528600Y-94202862D01* -X122121400Y-94202862D01* -X122121400Y-94297138D01* -X122139792Y-94389603D01* -X122175870Y-94476702D01* -X122228247Y-94555090D01* -X122294910Y-94621753D01* -X122373298Y-94674130D01* -X122460397Y-94710208D01* -X122552862Y-94728600D01* -X122647138Y-94728600D01* -X122739603Y-94710208D01* -X122826702Y-94674130D01* -X122905090Y-94621753D01* -X122971753Y-94555090D01* -X123024130Y-94476702D01* -X123060208Y-94389603D01* -X123078600Y-94297138D01* -X123078600Y-94202862D01* -X123060208Y-94110397D01* -X123024130Y-94023298D01* -X122971753Y-93944910D01* -X122905090Y-93878247D01* -X122826702Y-93825870D01* -X122739603Y-93789792D01* -X122647138Y-93771400D01* -X122552862Y-93771400D01* -X122460397Y-93789792D01* -X122373298Y-93825870D01* -X122294910Y-93878247D01* -X122228247Y-93944910D01* -X122175870Y-94023298D01* -X122139792Y-94110397D01* -X122121400Y-94202862D01* -X114528600Y-94202862D01* -X114510208Y-94110397D01* -X114474130Y-94023298D01* -X114421753Y-93944910D01* -X114355090Y-93878247D01* -X114276702Y-93825870D01* -X114189603Y-93789792D01* -X114097138Y-93771400D01* -X114002862Y-93771400D01* -X113910397Y-93789792D01* -X113823298Y-93825870D01* -X113744910Y-93878247D01* -X113678247Y-93944910D01* -X113625870Y-94023298D01* -X113589792Y-94110397D01* -X113571400Y-94202862D01* -X46177200Y-94202862D01* -X46177200Y-93606544D01* -X46217936Y-93402862D01* -X114221400Y-93402862D01* -X114221400Y-93497138D01* -X114239792Y-93589603D01* -X114275870Y-93676702D01* -X114328247Y-93755090D01* -X114394910Y-93821753D01* -X114473298Y-93874130D01* -X114560397Y-93910208D01* -X114652862Y-93928600D01* -X114747138Y-93928600D01* -X114839603Y-93910208D01* -X114926702Y-93874130D01* -X115005090Y-93821753D01* -X115071753Y-93755090D01* -X115124130Y-93676702D01* -X115160208Y-93589603D01* -X115178600Y-93497138D01* -X115178600Y-93402862D01* -X121471400Y-93402862D01* -X121471400Y-93497138D01* -X121489792Y-93589603D01* -X121525870Y-93676702D01* -X121578247Y-93755090D01* -X121644910Y-93821753D01* -X121723298Y-93874130D01* -X121810397Y-93910208D01* -X121902862Y-93928600D01* -X121997138Y-93928600D01* -X122089603Y-93910208D01* -X122176702Y-93874130D01* -X122255090Y-93821753D01* -X122321753Y-93755090D01* -X122374130Y-93676702D01* -X122410208Y-93589603D01* -X122428600Y-93497138D01* -X122428600Y-93402862D01* -X122410208Y-93310397D01* -X122374130Y-93223298D01* -X122321753Y-93144910D01* -X122255090Y-93078247D01* -X122176702Y-93025870D01* -X122089603Y-92989792D01* -X121997138Y-92971400D01* -X121902862Y-92971400D01* -X121810397Y-92989792D01* -X121723298Y-93025870D01* -X121644910Y-93078247D01* -X121578247Y-93144910D01* -X121525870Y-93223298D01* -X121489792Y-93310397D01* -X121471400Y-93402862D01* -X115178600Y-93402862D01* -X115160208Y-93310397D01* -X115124130Y-93223298D01* -X115071753Y-93144910D01* -X115005090Y-93078247D01* -X114926702Y-93025870D01* -X114839603Y-92989792D01* -X114747138Y-92971400D01* -X114652862Y-92971400D01* -X114560397Y-92989792D01* -X114473298Y-93025870D01* -X114394910Y-93078247D01* -X114328247Y-93144910D01* -X114275870Y-93223298D01* -X114239792Y-93310397D01* -X114221400Y-93402862D01* -X46217936Y-93402862D01* -X46300719Y-92988951D01* -X46518763Y-92552862D01* -X90421400Y-92552862D01* -X90421400Y-92647138D01* -X90439792Y-92739603D01* -X90475870Y-92826702D01* -X90528247Y-92905090D01* -X90594910Y-92971753D01* -X90673298Y-93024130D01* -X90760397Y-93060208D01* -X90852862Y-93078600D01* -X90947138Y-93078600D01* -X91039603Y-93060208D01* -X91126702Y-93024130D01* -X91205090Y-92971753D01* -X91271753Y-92905090D01* -X91324130Y-92826702D01* -X91360208Y-92739603D01* -X91378600Y-92647138D01* -X91378600Y-92552862D01* -X97421400Y-92552862D01* -X97421400Y-92647138D01* -X97439792Y-92739603D01* -X97475870Y-92826702D01* -X97528247Y-92905090D01* -X97594910Y-92971753D01* -X97673298Y-93024130D01* -X97760397Y-93060208D01* -X97852862Y-93078600D01* -X97947138Y-93078600D01* -X98039603Y-93060208D01* -X98126702Y-93024130D01* -X98205090Y-92971753D01* -X98271753Y-92905090D01* -X98324130Y-92826702D01* -X98360208Y-92739603D01* -X98378600Y-92647138D01* -X98378600Y-92552862D01* -X99571400Y-92552862D01* -X99571400Y-92647138D01* -X99589792Y-92739603D01* -X99625870Y-92826702D01* -X99678247Y-92905090D01* -X99744910Y-92971753D01* -X99823298Y-93024130D01* -X99910397Y-93060208D01* -X100002862Y-93078600D01* -X100097138Y-93078600D01* -X100189603Y-93060208D01* -X100276702Y-93024130D01* -X100355090Y-92971753D01* -X100421753Y-92905090D01* -X100474130Y-92826702D01* -X100510208Y-92739603D01* -X100528600Y-92647138D01* -X100528600Y-92602862D01* -X122771400Y-92602862D01* -X122771400Y-92697138D01* -X122789792Y-92789603D01* -X122825870Y-92876702D01* -X122878247Y-92955090D01* -X122944910Y-93021753D01* -X123023298Y-93074130D01* -X123110397Y-93110208D01* -X123202862Y-93128600D01* -X123297138Y-93128600D01* -X123389603Y-93110208D01* -X123476702Y-93074130D01* -X123555090Y-93021753D01* -X123621753Y-92955090D01* -X123674130Y-92876702D01* -X123710208Y-92789603D01* -X123728600Y-92697138D01* -X123728600Y-92602862D01* -X125071400Y-92602862D01* -X125071400Y-92697138D01* -X125089792Y-92789603D01* -X125125870Y-92876702D01* -X125178247Y-92955090D01* -X125244910Y-93021753D01* -X125323298Y-93074130D01* -X125410397Y-93110208D01* -X125502862Y-93128600D01* -X125597138Y-93128600D01* -X125689603Y-93110208D01* -X125776702Y-93074130D01* -X125855090Y-93021753D01* -X125921753Y-92955090D01* -X125974130Y-92876702D01* -X125990124Y-92838088D01* -X126821400Y-92838088D01* -X126821400Y-92961912D01* -X126845556Y-93083356D01* -X126892941Y-93197754D01* -X126961734Y-93300709D01* -X127049291Y-93388266D01* -X127152246Y-93457059D01* -X127266644Y-93504444D01* -X127388088Y-93528600D01* -X127511912Y-93528600D01* -X127633356Y-93504444D01* -X127747754Y-93457059D01* -X127850709Y-93388266D01* -X127938266Y-93300709D01* -X128007059Y-93197754D01* -X128054444Y-93083356D01* -X128078600Y-92961912D01* -X128078600Y-92838088D01* -X128054444Y-92716644D01* -X128007059Y-92602246D01* -X127938266Y-92499291D01* -X127850709Y-92411734D01* -X127747754Y-92342941D01* -X127633356Y-92295556D01* -X127511912Y-92271400D01* -X127388088Y-92271400D01* -X127266644Y-92295556D01* -X127152246Y-92342941D01* -X127049291Y-92411734D01* -X126961734Y-92499291D01* -X126892941Y-92602246D01* -X126845556Y-92716644D01* -X126821400Y-92838088D01* -X125990124Y-92838088D01* -X126010208Y-92789603D01* -X126028600Y-92697138D01* -X126028600Y-92602862D01* -X126010208Y-92510397D01* -X125974130Y-92423298D01* -X125921753Y-92344910D01* -X125855090Y-92278247D01* -X125776702Y-92225870D01* -X125689603Y-92189792D01* -X125597138Y-92171400D01* -X125502862Y-92171400D01* -X125410397Y-92189792D01* -X125323298Y-92225870D01* -X125244910Y-92278247D01* -X125178247Y-92344910D01* -X125125870Y-92423298D01* -X125089792Y-92510397D01* -X125071400Y-92602862D01* -X123728600Y-92602862D01* -X123710208Y-92510397D01* -X123674130Y-92423298D01* -X123621753Y-92344910D01* -X123555090Y-92278247D01* -X123476702Y-92225870D01* -X123389603Y-92189792D01* -X123297138Y-92171400D01* -X123202862Y-92171400D01* -X123110397Y-92189792D01* -X123023298Y-92225870D01* -X122944910Y-92278247D01* -X122878247Y-92344910D01* -X122825870Y-92423298D01* -X122789792Y-92510397D01* -X122771400Y-92602862D01* -X100528600Y-92602862D01* -X100528600Y-92552862D01* -X100510208Y-92460397D01* -X100474130Y-92373298D01* -X100421753Y-92294910D01* -X100355090Y-92228247D01* -X100276702Y-92175870D01* -X100189603Y-92139792D01* -X100097138Y-92121400D01* -X100002862Y-92121400D01* -X99910397Y-92139792D01* -X99823298Y-92175870D01* -X99744910Y-92228247D01* -X99678247Y-92294910D01* -X99625870Y-92373298D01* -X99589792Y-92460397D01* -X99571400Y-92552862D01* -X98378600Y-92552862D01* -X98360208Y-92460397D01* -X98324130Y-92373298D01* -X98271753Y-92294910D01* -X98205090Y-92228247D01* -X98126702Y-92175870D01* -X98039603Y-92139792D01* -X97947138Y-92121400D01* -X97852862Y-92121400D01* -X97760397Y-92139792D01* -X97673298Y-92175870D01* -X97594910Y-92228247D01* -X97528247Y-92294910D01* -X97475870Y-92373298D01* -X97439792Y-92460397D01* -X97421400Y-92552862D01* -X91378600Y-92552862D01* -X91360208Y-92460397D01* -X91324130Y-92373298D01* -X91271753Y-92294910D01* -X91205090Y-92228247D01* -X91126702Y-92175870D01* -X91039603Y-92139792D01* -X90947138Y-92121400D01* -X90852862Y-92121400D01* -X90760397Y-92139792D01* -X90673298Y-92175870D01* -X90594910Y-92228247D01* -X90528247Y-92294910D01* -X90475870Y-92373298D01* -X90439792Y-92460397D01* -X90421400Y-92552862D01* -X46518763Y-92552862D01* -X46544624Y-92501140D01* -X47397827Y-91647937D01* -X98221400Y-91647937D01* -X98221400Y-91752063D01* -X98241713Y-91854187D01* -X98281560Y-91950386D01* -X98339409Y-92036963D01* -X98413037Y-92110591D01* -X98499614Y-92168440D01* -X98595813Y-92208287D01* -X98697937Y-92228600D01* -X98802063Y-92228600D01* -X98904187Y-92208287D01* -X99000386Y-92168440D01* -X99086963Y-92110591D01* -X99160591Y-92036963D01* -X99218440Y-91950386D01* -X99258287Y-91854187D01* -X99278600Y-91752063D01* -X99278600Y-91647937D01* -X99268655Y-91597937D01* -X113721400Y-91597937D01* -X113721400Y-91702063D01* -X113741713Y-91804187D01* -X113781560Y-91900386D01* -X113839409Y-91986963D01* -X113913037Y-92060591D01* -X113999614Y-92118440D01* -X114095813Y-92158287D01* -X114197937Y-92178600D01* -X114302063Y-92178600D01* -X114404187Y-92158287D01* -X114500386Y-92118440D01* -X114586963Y-92060591D01* -X114660591Y-91986963D01* -X114716785Y-91902862D01* -X123921400Y-91902862D01* -X123921400Y-91997138D01* -X123939792Y-92089603D01* -X123975870Y-92176702D01* -X124028247Y-92255090D01* -X124094910Y-92321753D01* -X124173298Y-92374130D01* -X124260397Y-92410208D01* -X124352862Y-92428600D01* -X124447138Y-92428600D01* -X124539603Y-92410208D01* -X124626702Y-92374130D01* -X124705090Y-92321753D01* -X124771753Y-92255090D01* -X124824130Y-92176702D01* -X124860208Y-92089603D01* -X124878600Y-91997138D01* -X124878600Y-91902862D01* -X124860208Y-91810397D01* -X124834336Y-91747937D01* -X125921400Y-91747937D01* -X125921400Y-91852063D01* -X125941713Y-91954187D01* -X125981560Y-92050386D01* -X126039409Y-92136963D01* -X126113037Y-92210591D01* -X126199614Y-92268440D01* -X126295813Y-92308287D01* -X126397937Y-92328600D01* -X126502063Y-92328600D01* -X126604187Y-92308287D01* -X126700386Y-92268440D01* -X126786963Y-92210591D01* -X126860591Y-92136963D01* -X126918440Y-92050386D01* -X126958287Y-91954187D01* -X126978600Y-91852063D01* -X126978600Y-91747937D01* -X126958287Y-91645813D01* -X126918440Y-91549614D01* -X126860591Y-91463037D01* -X126786963Y-91389409D01* -X126700386Y-91331560D01* -X126604187Y-91291713D01* -X126502063Y-91271400D01* -X126397937Y-91271400D01* -X126295813Y-91291713D01* -X126199614Y-91331560D01* -X126113037Y-91389409D01* -X126039409Y-91463037D01* -X125981560Y-91549614D01* -X125941713Y-91645813D01* -X125921400Y-91747937D01* -X124834336Y-91747937D01* -X124824130Y-91723298D01* -X124771753Y-91644910D01* -X124705090Y-91578247D01* -X124626702Y-91525870D01* -X124539603Y-91489792D01* -X124447138Y-91471400D01* -X124352862Y-91471400D01* -X124260397Y-91489792D01* -X124173298Y-91525870D01* -X124094910Y-91578247D01* -X124028247Y-91644910D01* -X123975870Y-91723298D01* -X123939792Y-91810397D01* -X123921400Y-91902862D01* -X114716785Y-91902862D01* -X114718440Y-91900386D01* -X114758287Y-91804187D01* -X114778600Y-91702063D01* -X114778600Y-91597937D01* -X114758287Y-91495813D01* -X114718440Y-91399614D01* -X114660591Y-91313037D01* -X114586963Y-91239409D01* -X114500386Y-91181560D01* -X114404187Y-91141713D01* -X114302063Y-91121400D01* -X114197937Y-91121400D01* -X114095813Y-91141713D01* -X113999614Y-91181560D01* -X113913037Y-91239409D01* -X113839409Y-91313037D01* -X113781560Y-91399614D01* -X113741713Y-91495813D01* -X113721400Y-91597937D01* -X99268655Y-91597937D01* -X99258287Y-91545813D01* -X99218440Y-91449614D01* -X99160591Y-91363037D01* -X99086963Y-91289409D01* -X99000386Y-91231560D01* -X98904187Y-91191713D01* -X98802063Y-91171400D01* -X98697937Y-91171400D01* -X98595813Y-91191713D01* -X98499614Y-91231560D01* -X98413037Y-91289409D01* -X98339409Y-91363037D01* -X98281560Y-91449614D01* -X98241713Y-91545813D01* -X98221400Y-91647937D01* -X47397827Y-91647937D01* -X48297827Y-90747937D01* -X90221400Y-90747937D01* -X90221400Y-90852063D01* -X90241713Y-90954187D01* -X90281560Y-91050386D01* -X90339409Y-91136963D01* -X90413037Y-91210591D01* -X90499614Y-91268440D01* -X90595813Y-91308287D01* -X90697937Y-91328600D01* -X90802063Y-91328600D01* -X90904187Y-91308287D01* -X91000386Y-91268440D01* -X91086963Y-91210591D01* -X91160591Y-91136963D01* -X91218440Y-91050386D01* -X91258287Y-90954187D01* -X91278600Y-90852063D01* -X91278600Y-90747937D01* -X97221400Y-90747937D01* -X97221400Y-90852063D01* -X97241713Y-90954187D01* -X97281560Y-91050386D01* -X97339409Y-91136963D01* -X97413037Y-91210591D01* -X97499614Y-91268440D01* -X97595813Y-91308287D01* -X97697937Y-91328600D01* -X97802063Y-91328600D01* -X97904187Y-91308287D01* -X98000386Y-91268440D01* -X98086963Y-91210591D01* -X98160591Y-91136963D01* -X98218440Y-91050386D01* -X98258287Y-90954187D01* -X98278600Y-90852063D01* -X98278600Y-90747937D01* -X98268655Y-90697937D01* -X114721400Y-90697937D01* -X114721400Y-90802063D01* -X114741713Y-90904187D01* -X114781560Y-91000386D01* -X114839409Y-91086963D01* -X114913037Y-91160591D01* -X114999614Y-91218440D01* -X115095813Y-91258287D01* -X115197937Y-91278600D01* -X115302063Y-91278600D01* -X115404187Y-91258287D01* -X115500386Y-91218440D01* -X115586963Y-91160591D01* -X115660591Y-91086963D01* -X115718440Y-91000386D01* -X115758287Y-90904187D01* -X115778600Y-90802063D01* -X115778600Y-90697937D01* -X124721400Y-90697937D01* -X124721400Y-90802063D01* -X124741713Y-90904187D01* -X124781560Y-91000386D01* -X124839409Y-91086963D01* -X124913037Y-91160591D01* -X124999614Y-91218440D01* -X125095813Y-91258287D01* -X125197937Y-91278600D01* -X125302063Y-91278600D01* -X125404187Y-91258287D01* -X125500386Y-91218440D01* -X125586963Y-91160591D01* -X125660591Y-91086963D01* -X125718440Y-91000386D01* -X125758287Y-90904187D01* -X125762130Y-90884862D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134822910Y-91303753D01* -X134901298Y-91356130D01* -X134988397Y-91392208D01* -X135080862Y-91410600D01* -X135175138Y-91410600D01* -X135267603Y-91392208D01* -X135354702Y-91356130D01* -X135433090Y-91303753D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135588208Y-91071603D01* -X135606600Y-90979138D01* -X135606600Y-90884862D01* -X135919400Y-90884862D01* -X135919400Y-90979138D01* -X135937792Y-91071603D01* -X135973870Y-91158702D01* -X136026247Y-91237090D01* -X136092910Y-91303753D01* -X136171298Y-91356130D01* -X136258397Y-91392208D01* -X136350862Y-91410600D01* -X136445138Y-91410600D01* -X136537603Y-91392208D01* -X136624702Y-91356130D01* -X136703090Y-91303753D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X135973870Y-90705298D01* -X135937792Y-90792397D01* -X135919400Y-90884862D01* -X135606600Y-90884862D01* -X135588208Y-90792397D01* -X135552130Y-90705298D01* -X135499753Y-90626910D01* -X135433090Y-90560247D01* -X135354702Y-90507870D01* -X135267603Y-90471792D01* -X135175138Y-90453400D01* -X135080862Y-90453400D01* -X134988397Y-90471792D01* -X134901298Y-90507870D01* -X134822910Y-90560247D01* -X134756247Y-90626910D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X125762130Y-90884862D01* -X125778600Y-90802063D01* -X125778600Y-90697937D01* -X125758287Y-90595813D01* -X125718440Y-90499614D01* -X125660591Y-90413037D01* -X125586963Y-90339409D01* -X125500386Y-90281560D01* -X125404187Y-90241713D01* -X125302063Y-90221400D01* -X125197937Y-90221400D01* -X125095813Y-90241713D01* -X124999614Y-90281560D01* -X124913037Y-90339409D01* -X124839409Y-90413037D01* -X124781560Y-90499614D01* -X124741713Y-90595813D01* -X124721400Y-90697937D01* -X115778600Y-90697937D01* -X115758287Y-90595813D01* -X115718440Y-90499614D01* -X115660591Y-90413037D01* -X115586963Y-90339409D01* -X115500386Y-90281560D01* -X115404187Y-90241713D01* -X115302063Y-90221400D01* -X115197937Y-90221400D01* -X115095813Y-90241713D01* -X114999614Y-90281560D01* -X114913037Y-90339409D01* -X114839409Y-90413037D01* -X114781560Y-90499614D01* -X114741713Y-90595813D01* -X114721400Y-90697937D01* -X98268655Y-90697937D01* -X98258287Y-90645813D01* -X98218440Y-90549614D01* -X98160591Y-90463037D01* -X98086963Y-90389409D01* -X98000386Y-90331560D01* -X97904187Y-90291713D01* -X97802063Y-90271400D01* -X97697937Y-90271400D01* -X97595813Y-90291713D01* -X97499614Y-90331560D01* -X97413037Y-90389409D01* -X97339409Y-90463037D01* -X97281560Y-90549614D01* -X97241713Y-90645813D01* -X97221400Y-90747937D01* -X91278600Y-90747937D01* -X91258287Y-90645813D01* -X91218440Y-90549614D01* -X91160591Y-90463037D01* -X91086963Y-90389409D01* -X91000386Y-90331560D01* -X90904187Y-90291713D01* -X90802063Y-90271400D01* -X90697937Y-90271400D01* -X90595813Y-90291713D01* -X90499614Y-90331560D01* -X90413037Y-90389409D01* -X90339409Y-90463037D01* -X90281560Y-90549614D01* -X90241713Y-90645813D01* -X90221400Y-90747937D01* -X48297827Y-90747937D01* -X49247827Y-89797937D01* -X113721400Y-89797937D01* -X113721400Y-89902063D01* -X113741713Y-90004187D01* -X113781560Y-90100386D01* -X113839409Y-90186963D01* -X113913037Y-90260591D01* -X113999614Y-90318440D01* -X114095813Y-90358287D01* -X114197937Y-90378600D01* -X114302063Y-90378600D01* -X114404187Y-90358287D01* -X114500386Y-90318440D01* -X114586963Y-90260591D01* -X114660591Y-90186963D01* -X114718440Y-90100386D01* -X114758287Y-90004187D01* -X114778600Y-89902063D01* -X114778600Y-89797937D01* -X123721400Y-89797937D01* -X123721400Y-89902063D01* -X123741713Y-90004187D01* -X123781560Y-90100386D01* -X123839409Y-90186963D01* -X123913037Y-90260591D01* -X123999614Y-90318440D01* -X124095813Y-90358287D01* -X124197937Y-90378600D01* -X124302063Y-90378600D01* -X124404187Y-90358287D01* -X124500386Y-90318440D01* -X124586963Y-90260591D01* -X124660591Y-90186963D01* -X124718440Y-90100386D01* -X124758287Y-90004187D01* -X124778600Y-89902063D01* -X124778600Y-89797937D01* -X124758287Y-89695813D01* -X124718440Y-89599614D01* -X124660591Y-89513037D01* -X124586963Y-89439409D01* -X124500386Y-89381560D01* -X124404187Y-89341713D01* -X124302063Y-89321400D01* -X124197937Y-89321400D01* -X124095813Y-89341713D01* -X123999614Y-89381560D01* -X123913037Y-89439409D01* -X123839409Y-89513037D01* -X123781560Y-89599614D01* -X123741713Y-89695813D01* -X123721400Y-89797937D01* -X114778600Y-89797937D01* -X114758287Y-89695813D01* -X114718440Y-89599614D01* -X114660591Y-89513037D01* -X114586963Y-89439409D01* -X114500386Y-89381560D01* -X114404187Y-89341713D01* -X114302063Y-89321400D01* -X114197937Y-89321400D01* -X114095813Y-89341713D01* -X113999614Y-89381560D01* -X113913037Y-89439409D01* -X113839409Y-89513037D01* -X113781560Y-89599614D01* -X113741713Y-89695813D01* -X113721400Y-89797937D01* -X49247827Y-89797937D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56702010Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X56702010Y-82343754D01* -X58211140Y-80834624D01* -X58698951Y-80590719D01* -X59316544Y-80467200D01* -X142994456Y-80467200D01* -X143609112Y-80590131D01* -M02* diff --git a/gerber/GR8RAM-In2_Cu.g3 b/gerber/GR8RAM-In2_Cu.g3 deleted file mode 100644 index dadd7be..0000000 --- a/gerber/GR8RAM-In2_Cu.g3 +++ /dev/null @@ -1,76650 +0,0 @@ -G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* -G04 #@! TF.CreationDate,2021-04-19T04:27:15-04:00* -G04 #@! TF.ProjectId,GR8RAM,47523852-414d-42e6-9b69-6361645f7063,0.9* -G04 #@! TF.SameCoordinates,Original* -G04 #@! TF.FileFunction,Copper,L3,Inr* -G04 #@! TF.FilePolarity,Positive* -%FSLAX46Y46*% -G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* -G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2021-04-19 04:27:15* -%MOMM*% -%LPD*% -G04 APERTURE LIST* -%ADD10R,1.727200X1.727200*% -%ADD11O,1.727200X1.727200*% -%ADD12C,2.000000*% -%ADD13C,0.800000*% -%ADD14C,1.524000*% -%ADD15C,0.500000*% -%ADD16C,0.762000*% -%ADD17C,0.600000*% -%ADD18C,0.508000*% -%ADD19C,0.152400*% -G04 APERTURE END LIST* -D10* -X64135000Y-108204000D03* -D11* -X61595000Y-108204000D03* -X64135000Y-105664000D03* -X61595000Y-105664000D03* -X64135000Y-103124000D03* -X61595000Y-103124000D03* -X64135000Y-100584000D03* -X61595000Y-100584000D03* -X64135000Y-98044000D03* -X61595000Y-98044000D03* -D12* -X140462000Y-129540000D03* -D13* -X137160000Y-127000000D03* -X138303000Y-128270000D03* -D14* -X137160000Y-129794000D03* -D15* -X60200000Y-106850000D03* -X74803000Y-83185000D03* -X79883000Y-83185000D03* -X84963000Y-84074000D03* -X90043000Y-83820000D03* -X102743000Y-80645000D03* -X119850000Y-103050000D03* -X117450000Y-103050000D03* -X118650000Y-109450000D03* -X118650000Y-107050000D03* -X118650000Y-104650000D03* -X118650000Y-110650000D03* -X118650000Y-111850000D03* -X118650000Y-113450000D03* -X118650000Y-105850000D03* -X118650000Y-108250000D03* -X144780000Y-93345000D03* -X51435000Y-131318000D03* -X143002000Y-131318000D03* -X144780000Y-129540000D03* -X46355000Y-104521000D03* -X46355000Y-124841000D03* -X46355000Y-119761000D03* -X46355000Y-109601000D03* -X71755000Y-131318000D03* -X117983000Y-80645000D03* -X112903000Y-80645000D03* -X107823000Y-80645000D03* -X97663000Y-80645000D03* -X92583000Y-80645000D03* -X87503000Y-80645000D03* -X48133000Y-131318000D03* -X46355000Y-129540000D03* -X144780000Y-82423000D03* -X144780000Y-98425000D03* -X81788000Y-129349500D03* -X79950000Y-128350000D03* -X97790000Y-131318000D03* -X85090000Y-131318000D03* -X87630000Y-131318000D03* -X102870000Y-131318000D03* -X105410000Y-131318000D03* -X107950000Y-131318000D03* -X110490000Y-131318000D03* -X113030000Y-131318000D03* -X115570000Y-131318000D03* -X118110000Y-131318000D03* -X100330000Y-131318000D03* -X95250000Y-131318000D03* -X92710000Y-131318000D03* -X90170000Y-131318000D03* -X80010000Y-131318000D03* -X77470000Y-131318000D03* -X82550000Y-131318000D03* -X138303000Y-80645000D03* -X133223000Y-80645000D03* -X128143000Y-80645000D03* -X123063000Y-80645000D03* -X46355000Y-99441000D03* -X120650000Y-131318000D03* -X125730000Y-131318000D03* -X128270000Y-131318000D03* -X123190000Y-131318000D03* -X46355000Y-114681000D03* -X144780000Y-88265000D03* -X138430000Y-131318000D03* -X111750000Y-103050000D03* -X125550000Y-104650000D03* -D16* -X126450000Y-109500000D03* -D15* -X114050000Y-92650000D03* -X123250000Y-104650000D03* -X114050000Y-103050000D03* -X123250000Y-109450000D03* -X109950000Y-107950000D03* -D13* -X110850000Y-107000000D03* -D15* -X114050000Y-107050000D03* -X111750000Y-111850000D03* -X114050000Y-111850000D03* -X130810000Y-131318000D03* -X133350000Y-131318000D03* -X135890000Y-131318000D03* -X77475000Y-120550000D03* -X95875000Y-120550000D03* -D13* -X115443000Y-129540000D03* -X114300000Y-128270000D03* -X134366000Y-128270000D03* -X135509000Y-127000000D03* -X135509000Y-129540000D03* -D15* -X83950000Y-126100000D03* -X84600000Y-124950000D03* -X93150000Y-123800000D03* -X93150000Y-126100000D03* -X93800000Y-124950000D03* -X102350000Y-123800000D03* -X103000000Y-124950000D03* -X102350000Y-126100000D03* -X98200000Y-110200000D03* -X91200000Y-110200000D03* -X102850000Y-100400000D03* -X102850000Y-102750000D03* -X85250000Y-100150000D03* -X98700000Y-92600000D03* -X96300000Y-92599990D03* -X100550000Y-102800000D03* -X100550000Y-100400000D03* -X98650000Y-94900000D03* -X91150000Y-107900000D03* -X98150000Y-107900000D03* -D13* -X74549000Y-129540000D03* -X120777000Y-129540000D03* -D15* -X102875000Y-105150000D03* -D13* -X73406000Y-128524000D03* -D15* -X104750000Y-102600000D03* -D13* -X109450000Y-103350000D03* -D15* -X109800000Y-95500000D03* -X104000000Y-108075000D03* -X104700000Y-100200000D03* -X85200000Y-97150000D03* -X106350000Y-120150000D03* -X105050000Y-120550000D03* -X106850000Y-99500000D03* -X108050000Y-104350000D03* -X89300000Y-92550000D03* -X102250000Y-109400000D03* -X105250000Y-110100000D03* -X106500000Y-105550000D03* -X110750000Y-96450000D03* -X106750000Y-97000000D03* -X108200000Y-97750000D03* -X83950000Y-123800000D03* -X85450000Y-108550000D03* -D13* -X126450000Y-114300000D03* -D15* -X103750000Y-105850000D03* -X61341000Y-117792500D03* -X62611000Y-120713500D03* -X66103500Y-124714000D03* -X65786000Y-106299000D03* -X65786000Y-107696000D03* -D17* -X112900000Y-91950000D03* -D15* -X125600000Y-94150000D03* -D13* -X132750000Y-122300000D03* -D15* -X82423000Y-80645000D03* -X77343000Y-80645000D03* -X72263000Y-80645000D03* -X62103000Y-80645000D03* -X56515000Y-131318000D03* -X61595000Y-131318000D03* -X66675000Y-131318000D03* -X48895000Y-122301000D03* -X48895000Y-112141000D03* -X48895000Y-107061000D03* -X48895000Y-101981000D03* -X48895000Y-117221000D03* -X53975000Y-122301000D03* -X53975000Y-112141000D03* -X53975000Y-117221000D03* -X51435000Y-119761000D03* -X51435000Y-124841000D03* -X51435000Y-114681000D03* -X56400000Y-99441000D03* -X56388000Y-119761000D03* -X56388000Y-124841000D03* -X56400000Y-109600000D03* -X56388000Y-114681000D03* -X56400000Y-104521000D03* -X72263000Y-80645000D03* -X67183000Y-80645000D03* -X53848000Y-128016000D03* -X64008000Y-128016000D03* -X58928000Y-128016000D03* -X69088000Y-128016000D03* -X56515000Y-94361000D03* -X53975000Y-96901000D03* -X120523000Y-83185000D03* -X115443000Y-83947000D03* -X135763000Y-83185000D03* -X130683000Y-83185000D03* -X125603000Y-83185000D03* -X130683000Y-88265000D03* -X143383000Y-85725000D03* -X144780000Y-113665000D03* -X144780000Y-118745000D03* -X144780000Y-123825000D03* -X67183000Y-90805000D03* -X62103000Y-90805000D03* -X64643000Y-93345000D03* -X58166000Y-91059000D03* -X68650000Y-110500000D03* -X68650000Y-109100000D03* -X64643000Y-83185000D03* -X69723000Y-83185000D03* -X100203000Y-83947000D03* -X89450000Y-104100000D03* -X99750000Y-107350000D03* -X59563000Y-93345000D03* -X96150000Y-111850000D03* -X58050000Y-115200000D03* -X57400000Y-110050000D03* -X66800000Y-110050000D03* -X63100000Y-116150000D03* -X61531500Y-124714000D03* -X58750000Y-123850000D03* -X57400000Y-123900000D03* -X66800000Y-101400000D03* -X101150000Y-93000000D03* -X102500000Y-117600000D03* -X110100000Y-128450000D03* -X107200000Y-128400000D03* -X105200000Y-128700000D03* -X103150000Y-129100000D03* -X102100000Y-129700000D03* -X99550000Y-128550000D03* -X86850000Y-129950000D03* -X88050000Y-129650000D03* -X90300000Y-129350000D03* -X92550000Y-129050000D03* -X94500000Y-129900000D03* -X92550000Y-127950000D03* -X90900000Y-126300000D03* -X91950000Y-129850000D03* -X89400000Y-129850000D03* -X80750000Y-129900000D03* -X88400000Y-128050000D03* -X99750000Y-114850000D03* -X106900000Y-126800000D03* -X108900000Y-126800000D03* -X97450000Y-126900000D03* -X98550000Y-128050000D03* -X95000000Y-127900000D03* -X108900000Y-113400000D03* -X108100000Y-108450000D03* -D13* -X126450000Y-95100000D03* -D15* -X68350000Y-111600000D03* -X91600000Y-92600000D03* -X112900000Y-114050000D03* -X114050000Y-113450000D03* -X111750000Y-113450000D03* -D17* -X110800000Y-102450000D03* -D15* -X111750000Y-92500000D03* -X114400000Y-121000000D03* -X115000000Y-120100000D03* -X105400000Y-111400000D03* -X87800000Y-106400000D03* -X86650000Y-120550000D03* -X85150000Y-104650000D03* -X82800000Y-102000000D03* -X83650000Y-102800000D03* -X81950000Y-102800000D03* -X77216000Y-95821500D03* -X76350000Y-104700000D03* -X87150000Y-92900000D03* -X83150000Y-93800000D03* -X86400000Y-94450000D03* -X83500000Y-100300000D03* -X83500000Y-97400000D03* -X64135000Y-122428000D03* -X69723000Y-93345000D03* -X116950000Y-121500000D03* -X118650000Y-121500000D03* -X105400000Y-113100000D03* -X125550000Y-113050000D03* -X92450000Y-112900000D03* -X87500000Y-100150000D03* -X91700000Y-94850000D03* -D13* -X127450000Y-93900000D03* -X127450000Y-108300000D03* -D15* -X69850000Y-101250000D03* -X68150000Y-101250000D03* -X140843000Y-88265000D03* -X135763000Y-88265000D03* -X75700000Y-101650000D03* -X78000000Y-107250000D03* -X78200000Y-105950000D03* -X82500000Y-97800000D03* -D16* -X127450000Y-104300000D03* -D15* -X69750000Y-112950000D03* -X51435000Y-99441000D03* -X51435000Y-104521000D03* -X51435000Y-109601000D03* -X53975000Y-101981000D03* -X53975000Y-107061000D03* -X64600000Y-114250000D03* -X63690500Y-115062000D03* -X63373000Y-117665500D03* -X87400000Y-111750000D03* -X86400000Y-109800000D03* -X46355000Y-93472000D03* -X59182000Y-80645000D03* -X51435000Y-94361000D03* -X53975000Y-91821000D03* -X56515000Y-83185000D03* -X53975000Y-85725000D03* -X51435000Y-88265000D03* -X48895000Y-90805000D03* -X55880000Y-88519000D03* -X71755000Y-114681000D03* -X71755000Y-124841000D03* -X74295000Y-122301000D03* -X71755000Y-119761000D03* -X74295000Y-117221000D03* -X69215000Y-122301000D03* -X69215000Y-117221000D03* -X76835000Y-114681000D03* -X143002000Y-80645000D03* -X84500000Y-113750000D03* -X87800000Y-114450000D03* -X110900000Y-99800000D03* -X105050000Y-98950000D03* -X107550000Y-100550000D03* -X108850000Y-100550000D03* -X109400000Y-99700000D03* -X106000000Y-100300000D03* -X57912000Y-86360000D03* -X59944000Y-84328000D03* -X117800000Y-120550000D03* -X110363000Y-83947000D03* -X105283000Y-83947000D03* -X107823000Y-90805000D03* -X110363000Y-88265000D03* -X105283000Y-88265000D03* -X100203000Y-88265000D03* -X102743000Y-90805000D03* -X117983000Y-90805000D03* -X120523000Y-88265000D03* -X115443000Y-88265000D03* -X125603000Y-88265000D03* -X128143000Y-90805000D03* -X133223000Y-90805000D03* -X130683000Y-93345000D03* -X133223000Y-95885000D03* -X143383000Y-90805000D03* -X128143000Y-95885000D03* -X130683000Y-98425000D03* -X69000000Y-102200000D03* -X101000000Y-111550000D03* -X103950000Y-114500000D03* -X106350000Y-112250000D03* -X135763000Y-93345000D03* -X138303000Y-95885000D03* -X135763000Y-98425000D03* -X143383000Y-95885000D03* -X140843000Y-98425000D03* -X140843000Y-93345000D03* -X138303000Y-90805000D03* -D13* -X130350000Y-122300000D03* -X129150000Y-123350000D03* -X131550000Y-123550000D03* -X140100000Y-122300000D03* -X141300000Y-123550000D03* -D17* -X123050000Y-91650000D03* -X123050000Y-89850000D03* -X122050000Y-90750000D03* -X113050000Y-89850000D03* -X112050000Y-90750000D03* -X123050000Y-116250000D03* -X122050000Y-115350000D03* -X123050000Y-114450000D03* -X113050000Y-116250000D03* -X112050000Y-115350000D03* -X126450000Y-105400000D03* -X127350000Y-113200000D03* -X92400000Y-111100000D03* -X91400000Y-112000000D03* -X98400000Y-112000000D03* -X99400000Y-111100000D03* -X88550000Y-91700000D03* -X89550000Y-90800000D03* -X95550000Y-91700000D03* -X96550000Y-90800000D03* -X104650000Y-105050000D03* -X76600000Y-121400000D03* -X75700000Y-120400000D03* -X84900000Y-120400000D03* -X85800000Y-121400000D03* -D15* -X97200000Y-117950000D03* -X88000000Y-117950000D03* -X78800000Y-117950000D03* -X106400000Y-117950000D03* -X143383000Y-100965000D03* -X138303000Y-100965000D03* -X144780000Y-103505000D03* -X133223000Y-100965000D03* -X143383000Y-106045000D03* -X144780000Y-108585000D03* -X144780000Y-113665000D03* -X140843000Y-113665000D03* -X135763000Y-113665000D03* -X143383000Y-116205000D03* -X133223000Y-116205000D03* -X138303000Y-116205000D03* -X77216000Y-98298000D03* -X76454000Y-97091500D03* -X128143000Y-100965000D03* -X143383000Y-121285000D03* -X128143000Y-116205000D03* -X130683000Y-113665000D03* -X135128000Y-100838000D03* -X136398000Y-100838000D03* -X72263000Y-90805000D03* -X102743000Y-86487000D03* -X97663000Y-86487000D03* -X107823000Y-86487000D03* -X117983000Y-86487000D03* -X112903000Y-86487000D03* -X92583000Y-86487000D03* -X87503000Y-86487000D03* -X82423000Y-85725000D03* -X77343000Y-85725000D03* -X67183000Y-85725000D03* -X72263000Y-85725000D03* -X62103000Y-85725000D03* -X72263000Y-85725000D03* -X123063000Y-85725000D03* -X128143000Y-85725000D03* -X133223000Y-85725000D03* -X138303000Y-85725000D03* -X74803000Y-88265000D03* -X79883000Y-88265000D03* -X84963000Y-88392000D03* -X69723000Y-88265000D03* -X64643000Y-88265000D03* -X59563000Y-88265000D03* -X77850000Y-127200000D03* -X91950000Y-126750000D03* -X86900000Y-126200000D03* -D17* -X94100000Y-120400000D03* -X103300000Y-120400000D03* -X95000000Y-121400000D03* -X104200000Y-121400000D03* -D15* -X111550000Y-126100000D03* -X110250000Y-126100000D03* -X111550000Y-123800000D03* -X112200000Y-124950000D03* -D13* -X134000000Y-123500000D03* -X135200000Y-122300000D03* -X138900000Y-123450000D03* -D15* -X130683000Y-118745000D03* -X140843000Y-118745000D03* -X128143000Y-121285000D03* -X135763000Y-118745000D03* -X138303000Y-121285000D03* -X125603000Y-118745000D03* -X123063000Y-121285000D03* -X120523000Y-118745000D03* -X115697000Y-118745000D03* -X117983000Y-116205000D03* -X125603000Y-123825000D03* -X120523000Y-123825000D03* -X123063000Y-126365000D03* -X128143000Y-126365000D03* -X125603000Y-128905000D03* -X130683000Y-128905000D03* -X113157000Y-123825000D03* -D13* -X121856500Y-128270000D03* -D15* -X95123000Y-83820000D03* -X95123000Y-88265000D03* -X83300000Y-126850000D03* -X82650000Y-126100000D03* -X79400000Y-126850000D03* -X82000000Y-126850000D03* -X78750000Y-126100000D03* -X80050000Y-126100000D03* -X80700000Y-126850000D03* -X81350000Y-126100000D03* -D13* -X77343000Y-128270000D03* -X76200000Y-129540000D03* -X119126000Y-129540000D03* -X117094000Y-129540000D03* -D15* -X106350000Y-123050000D03* -X85200000Y-127381000D03* -X108300000Y-123800000D03* -X113150000Y-127950000D03* -X108950000Y-123050000D03* -X116600000Y-125400000D03* -X107650000Y-123050000D03* -X107000000Y-123800000D03* -X132080000Y-131191000D03* -X134620000Y-131191000D03* -X134620000Y-131191000D03* -X85250000Y-106900000D03* -X72100000Y-112100000D03* -X84350000Y-107400000D03* -X85250000Y-105900000D03* -X72050000Y-110650000D03* -X84150000Y-108400000D03* -X88450000Y-98400000D03* -X82200000Y-109200000D03* -X88500000Y-99650000D03* -X82700000Y-108300000D03* -X88450000Y-101900000D03* -X83200000Y-109200000D03* -D17* -X114250000Y-91650000D03* -X114250000Y-89850000D03* -X115250000Y-90750000D03* -D15* -X104200000Y-113100000D03* -X104200000Y-111400000D03* -X69850000Y-100050000D03* -X68150000Y-100050000D03* -D18* -X123250000Y-113450000D03* -D15* -X124400000Y-91950000D03* -D18* -X123250000Y-103050000D03* -X123250000Y-111850000D03* -D15* -X125550000Y-103050000D03* -X125550000Y-107050000D03* -X123250000Y-107050000D03* -X125550000Y-92650000D03* -X114050000Y-104650000D03* -X111750000Y-109450000D03* -X114050000Y-109450000D03* -X123250000Y-92650000D03* -X125550000Y-111850000D03* -X111750000Y-104650000D03* -D13* -X110850000Y-105600000D03* -D15* -X109950000Y-104650000D03* -X77475000Y-119050000D03* -D13* -X104750000Y-103550000D03* -D15* -X100550000Y-103500000D03* -X100550000Y-101400000D03* -X97950000Y-94900000D03* -X90450000Y-107900000D03* -X102850000Y-101400000D03* -X85250000Y-101400000D03* -X90900000Y-92600000D03* -X85250000Y-99350000D03* -X97900000Y-92600000D03* -X102850000Y-103550000D03* -X97400000Y-110200000D03* -X90400000Y-110200000D03* -D13* -X104750000Y-101650000D03* -X95000000Y-118100000D03* -D15* -X86675000Y-119050000D03* -X105075000Y-119050000D03* -X105700000Y-123800000D03* -D13* -X85800000Y-118100000D03* -D15* -X95875000Y-119050000D03* -D13* -X103750000Y-102600000D03* -D15* -X106950000Y-98000000D03* -X107550000Y-97100000D03* -X105700000Y-120150000D03* -X96500000Y-120150000D03* -X96500000Y-117950000D03* -X97450000Y-107900000D03* -X105700000Y-117950000D03* -X87300000Y-120150000D03* -X78100000Y-120150000D03* -D13* -X104200000Y-118100000D03* -D15* -X124400000Y-114050000D03* -D13* -X126450000Y-102100000D03* -D15* -X84250000Y-102450000D03* -X81950000Y-104300000D03* -X81026000Y-105600500D03* -X116850000Y-122700000D03* -X83500000Y-101500000D03* -X83500000Y-98600000D03* -X64135000Y-121666000D03* -X87500000Y-99350000D03* -X87500000Y-101400000D03* -X91000000Y-94850000D03* -D13* -X127450000Y-92900000D03* -X127450000Y-107300000D03* -D15* -X67900000Y-96450000D03* -D16* -X127450000Y-103300000D03* -D15* -X69750000Y-109800000D03* -X62928500Y-117030500D03* -X82800000Y-105100000D03* -X118650000Y-122700000D03* -X117800000Y-123650000D03* -X69000000Y-99100000D03* -X103250000Y-112250000D03* -X96500000Y-123800000D03* -D13* -X130350000Y-126100000D03* -X131550000Y-124850000D03* -D17* -X124250000Y-89850000D03* -X125250000Y-90750000D03* -X124250000Y-116250000D03* -X125250000Y-115350000D03* -X114250000Y-114450000D03* -X115250000Y-115350000D03* -X114250000Y-116250000D03* -X126450000Y-91800000D03* -X126450000Y-106200000D03* -X126450000Y-111000000D03* -X127350000Y-112000000D03* -D15* -X87300000Y-123800000D03* -D17* -X89200000Y-111100000D03* -X90200000Y-112000000D03* -X96200000Y-111100000D03* -X97200000Y-112000000D03* -X90750000Y-90800000D03* -X97750000Y-90800000D03* -X98750000Y-91700000D03* -D15* -X78100000Y-117950000D03* -D17* -X76600000Y-118200000D03* -X75700000Y-119200000D03* -D15* -X87300000Y-117950000D03* -D13* -X110850000Y-110450000D03* -D15* -X105950000Y-97000000D03* -X84950000Y-119150000D03* -X94150000Y-119150000D03* -X103350000Y-119150000D03* -D13* -X129150000Y-124850000D03* -X132750000Y-126100000D03* -X134000000Y-124900000D03* -X135200000Y-126050000D03* -D15* -X100050000Y-112900000D03* -X97800000Y-106950000D03* -X87550000Y-103400000D03* -X78100000Y-123800000D03* -D18* -X114750000Y-112650000D03* -X122550000Y-112650000D03* -D15* -X108950000Y-112050000D03* -D18* -X122550000Y-111150000D03* -X114750000Y-111150000D03* -D15* -X109700000Y-111150000D03* -X114750000Y-108750000D03* -D18* -X122550000Y-108750000D03* -D15* -X108950000Y-109250000D03* -X114750000Y-110150000D03* -X122550000Y-110150000D03* -X109700000Y-110150000D03* -X114750000Y-105350000D03* -X122550000Y-105350000D03* -X109700000Y-105750000D03* -X114750000Y-103850000D03* -D18* -X122550000Y-103850000D03* -D15* -X108950000Y-104850000D03* -D18* -X122550000Y-106350000D03* -D15* -X114750000Y-106350000D03* -X109700000Y-106750000D03* -X114750000Y-107750000D03* -X122550000Y-107750000D03* -X108950000Y-107650000D03* -X122600000Y-102250000D03* -X97200000Y-96900000D03* -X123250000Y-101450000D03* -X99600000Y-104000000D03* -X122600000Y-100650000D03* -X99600000Y-102450000D03* -X99650000Y-100900000D03* -X123250000Y-99850000D03* -X102850000Y-99400000D03* -X122600000Y-99050000D03* -X102850000Y-95400000D03* -X122600000Y-95850000D03* -X121950000Y-93450000D03* -X95950000Y-95250000D03* -X100050000Y-92600000D03* -X114700000Y-93450000D03* -X99650000Y-94900000D03* -X114700000Y-95050000D03* -X99650000Y-99900000D03* -X114700000Y-99850000D03* -X100500000Y-104500000D03* -X114050000Y-101450000D03* -X100550000Y-98900000D03* -X114050000Y-99050000D03* -X102850000Y-98400000D03* -X123250000Y-98250000D03* -X100550000Y-97900000D03* -X114700000Y-98250000D03* -X102850000Y-97400000D03* -X122600000Y-97450000D03* -X100550000Y-96900000D03* -X114050000Y-97450000D03* -X102850000Y-96400000D03* -X123250000Y-96650000D03* -X100550000Y-95900000D03* -X114700000Y-96650000D03* -X114050000Y-95850000D03* -X99150000Y-96300000D03* -X123250000Y-95050000D03* -X98100000Y-96400000D03* -X96950000Y-95000000D03* -X122600000Y-94250000D03* -X114050000Y-94250000D03* -X96700000Y-95950000D03* -X79946500Y-95758000D03* -X77216000Y-103124000D03* -X82950000Y-99450000D03* -X81026000Y-95758000D03* -X88450000Y-97400000D03* -X78486000Y-103124000D03* -X79800000Y-108650000D03* -X116300000Y-120100000D03* -X90050000Y-106900000D03* -X69600000Y-97850000D03* -X78295500Y-95758000D03* -X69600000Y-95050000D03* -X79756000Y-103124000D03* -X80050000Y-107650000D03* -X90050000Y-95350000D03* -X135128000Y-90932000D03* -X89000000Y-95600000D03* -X136398000Y-90932000D03* -D19* -G36* -X61642792Y-80505397D02* -G01* -X61624400Y-80597862D01* -X61624400Y-80692138D01* -X61642792Y-80784603D01* -X61678870Y-80871702D01* -X61731247Y-80950090D01* -X61797910Y-81016753D01* -X61876298Y-81069130D01* -X61963397Y-81105208D01* -X62055862Y-81123600D01* -X62150138Y-81123600D01* -X62242603Y-81105208D01* -X62329702Y-81069130D01* -X62408090Y-81016753D01* -X62474753Y-80950090D01* -X62527130Y-80871702D01* -X62563208Y-80784603D01* -X62581600Y-80692138D01* -X62581600Y-80597862D01* -X62563208Y-80505397D01* -X62547386Y-80467200D01* -X66738614Y-80467200D01* -X66722792Y-80505397D01* -X66704400Y-80597862D01* -X66704400Y-80692138D01* -X66722792Y-80784603D01* -X66758870Y-80871702D01* -X66811247Y-80950090D01* -X66877910Y-81016753D01* -X66956298Y-81069130D01* -X67043397Y-81105208D01* -X67135862Y-81123600D01* -X67230138Y-81123600D01* -X67322603Y-81105208D01* -X67409702Y-81069130D01* -X67488090Y-81016753D01* -X67554753Y-80950090D01* -X67607130Y-80871702D01* -X67643208Y-80784603D01* -X67661600Y-80692138D01* -X67661600Y-80597862D01* -X67643208Y-80505397D01* -X67627386Y-80467200D01* -X71818614Y-80467200D01* -X71802792Y-80505397D01* -X71784400Y-80597862D01* -X71784400Y-80692138D01* -X71802792Y-80784603D01* -X71838870Y-80871702D01* -X71891247Y-80950090D01* -X71957910Y-81016753D01* -X72036298Y-81069130D01* -X72123397Y-81105208D01* -X72215862Y-81123600D01* -X72310138Y-81123600D01* -X72402603Y-81105208D01* -X72489702Y-81069130D01* -X72568090Y-81016753D01* -X72634753Y-80950090D01* -X72687130Y-80871702D01* -X72723208Y-80784603D01* -X72741600Y-80692138D01* -X72741600Y-80597862D01* -X72723208Y-80505397D01* -X72707386Y-80467200D01* -X76898614Y-80467200D01* -X76882792Y-80505397D01* -X76864400Y-80597862D01* -X76864400Y-80692138D01* -X76882792Y-80784603D01* -X76918870Y-80871702D01* -X76971247Y-80950090D01* -X77037910Y-81016753D01* -X77116298Y-81069130D01* -X77203397Y-81105208D01* -X77295862Y-81123600D01* -X77390138Y-81123600D01* -X77482603Y-81105208D01* -X77569702Y-81069130D01* -X77648090Y-81016753D01* -X77714753Y-80950090D01* -X77767130Y-80871702D01* -X77803208Y-80784603D01* -X77821600Y-80692138D01* -X77821600Y-80597862D01* -X77803208Y-80505397D01* -X77787386Y-80467200D01* -X81978614Y-80467200D01* -X81962792Y-80505397D01* -X81944400Y-80597862D01* -X81944400Y-80692138D01* -X81962792Y-80784603D01* -X81998870Y-80871702D01* -X82051247Y-80950090D01* -X82117910Y-81016753D01* -X82196298Y-81069130D01* -X82283397Y-81105208D01* -X82375862Y-81123600D01* -X82470138Y-81123600D01* -X82562603Y-81105208D01* -X82649702Y-81069130D01* -X82728090Y-81016753D01* -X82794753Y-80950090D01* -X82847130Y-80871702D01* -X82883208Y-80784603D01* -X82901600Y-80692138D01* -X82901600Y-80597862D01* -X82883208Y-80505397D01* -X82867386Y-80467200D01* -X87058614Y-80467200D01* -X87042792Y-80505397D01* -X87024400Y-80597862D01* -X87024400Y-80692138D01* -X87042792Y-80784603D01* -X87078870Y-80871702D01* -X87131247Y-80950090D01* -X87197910Y-81016753D01* -X87276298Y-81069130D01* -X87363397Y-81105208D01* -X87455862Y-81123600D01* -X87550138Y-81123600D01* -X87642603Y-81105208D01* -X87729702Y-81069130D01* -X87808090Y-81016753D01* -X87874753Y-80950090D01* -X87927130Y-80871702D01* -X87963208Y-80784603D01* -X87981600Y-80692138D01* -X87981600Y-80597862D01* -X87963208Y-80505397D01* -X87947386Y-80467200D01* -X92138614Y-80467200D01* -X92122792Y-80505397D01* -X92104400Y-80597862D01* -X92104400Y-80692138D01* -X92122792Y-80784603D01* -X92158870Y-80871702D01* -X92211247Y-80950090D01* -X92277910Y-81016753D01* -X92356298Y-81069130D01* -X92443397Y-81105208D01* -X92535862Y-81123600D01* -X92630138Y-81123600D01* -X92722603Y-81105208D01* -X92809702Y-81069130D01* -X92888090Y-81016753D01* -X92954753Y-80950090D01* -X93007130Y-80871702D01* -X93043208Y-80784603D01* -X93061600Y-80692138D01* -X93061600Y-80597862D01* -X93043208Y-80505397D01* -X93027386Y-80467200D01* -X97218614Y-80467200D01* -X97202792Y-80505397D01* -X97184400Y-80597862D01* -X97184400Y-80692138D01* -X97202792Y-80784603D01* -X97238870Y-80871702D01* -X97291247Y-80950090D01* -X97357910Y-81016753D01* -X97436298Y-81069130D01* -X97523397Y-81105208D01* -X97615862Y-81123600D01* -X97710138Y-81123600D01* -X97802603Y-81105208D01* -X97889702Y-81069130D01* -X97968090Y-81016753D01* -X98034753Y-80950090D01* -X98087130Y-80871702D01* -X98123208Y-80784603D01* -X98141600Y-80692138D01* -X98141600Y-80597862D01* -X98123208Y-80505397D01* -X98107386Y-80467200D01* -X102298614Y-80467200D01* -X102282792Y-80505397D01* -X102264400Y-80597862D01* -X102264400Y-80692138D01* -X102282792Y-80784603D01* -X102318870Y-80871702D01* -X102371247Y-80950090D01* -X102437910Y-81016753D01* -X102516298Y-81069130D01* -X102603397Y-81105208D01* -X102695862Y-81123600D01* -X102790138Y-81123600D01* -X102882603Y-81105208D01* -X102969702Y-81069130D01* -X103048090Y-81016753D01* -X103114753Y-80950090D01* -X103167130Y-80871702D01* -X103203208Y-80784603D01* -X103221600Y-80692138D01* -X103221600Y-80597862D01* -X103203208Y-80505397D01* -X103187386Y-80467200D01* -X107378614Y-80467200D01* -X107362792Y-80505397D01* -X107344400Y-80597862D01* -X107344400Y-80692138D01* -X107362792Y-80784603D01* -X107398870Y-80871702D01* -X107451247Y-80950090D01* -X107517910Y-81016753D01* -X107596298Y-81069130D01* -X107683397Y-81105208D01* -X107775862Y-81123600D01* -X107870138Y-81123600D01* -X107962603Y-81105208D01* -X108049702Y-81069130D01* -X108128090Y-81016753D01* -X108194753Y-80950090D01* -X108247130Y-80871702D01* -X108283208Y-80784603D01* -X108301600Y-80692138D01* -X108301600Y-80597862D01* -X108283208Y-80505397D01* -X108267386Y-80467200D01* -X112458614Y-80467200D01* -X112442792Y-80505397D01* -X112424400Y-80597862D01* -X112424400Y-80692138D01* -X112442792Y-80784603D01* -X112478870Y-80871702D01* -X112531247Y-80950090D01* -X112597910Y-81016753D01* -X112676298Y-81069130D01* -X112763397Y-81105208D01* -X112855862Y-81123600D01* -X112950138Y-81123600D01* -X113042603Y-81105208D01* -X113129702Y-81069130D01* -X113208090Y-81016753D01* -X113274753Y-80950090D01* -X113327130Y-80871702D01* -X113363208Y-80784603D01* -X113381600Y-80692138D01* -X113381600Y-80597862D01* -X113363208Y-80505397D01* -X113347386Y-80467200D01* -X117538614Y-80467200D01* -X117522792Y-80505397D01* -X117504400Y-80597862D01* -X117504400Y-80692138D01* -X117522792Y-80784603D01* -X117558870Y-80871702D01* -X117611247Y-80950090D01* -X117677910Y-81016753D01* -X117756298Y-81069130D01* -X117843397Y-81105208D01* -X117935862Y-81123600D01* -X118030138Y-81123600D01* -X118122603Y-81105208D01* -X118209702Y-81069130D01* -X118288090Y-81016753D01* -X118354753Y-80950090D01* -X118407130Y-80871702D01* -X118443208Y-80784603D01* -X118461600Y-80692138D01* -X118461600Y-80597862D01* -X118443208Y-80505397D01* -X118427386Y-80467200D01* -X122618614Y-80467200D01* -X122602792Y-80505397D01* -X122584400Y-80597862D01* -X122584400Y-80692138D01* -X122602792Y-80784603D01* -X122638870Y-80871702D01* -X122691247Y-80950090D01* -X122757910Y-81016753D01* -X122836298Y-81069130D01* -X122923397Y-81105208D01* -X123015862Y-81123600D01* -X123110138Y-81123600D01* -X123202603Y-81105208D01* -X123289702Y-81069130D01* -X123368090Y-81016753D01* -X123434753Y-80950090D01* -X123487130Y-80871702D01* -X123523208Y-80784603D01* -X123541600Y-80692138D01* -X123541600Y-80597862D01* -X123523208Y-80505397D01* -X123507386Y-80467200D01* -X127698614Y-80467200D01* -X127682792Y-80505397D01* -X127664400Y-80597862D01* -X127664400Y-80692138D01* -X127682792Y-80784603D01* -X127718870Y-80871702D01* -X127771247Y-80950090D01* -X127837910Y-81016753D01* -X127916298Y-81069130D01* -X128003397Y-81105208D01* -X128095862Y-81123600D01* -X128190138Y-81123600D01* -X128282603Y-81105208D01* -X128369702Y-81069130D01* -X128448090Y-81016753D01* -X128514753Y-80950090D01* -X128567130Y-80871702D01* -X128603208Y-80784603D01* -X128621600Y-80692138D01* -X128621600Y-80597862D01* -X128603208Y-80505397D01* -X128587386Y-80467200D01* -X132778614Y-80467200D01* -X132762792Y-80505397D01* -X132744400Y-80597862D01* -X132744400Y-80692138D01* -X132762792Y-80784603D01* -X132798870Y-80871702D01* -X132851247Y-80950090D01* -X132917910Y-81016753D01* -X132996298Y-81069130D01* -X133083397Y-81105208D01* -X133175862Y-81123600D01* -X133270138Y-81123600D01* -X133362603Y-81105208D01* -X133449702Y-81069130D01* -X133528090Y-81016753D01* -X133594753Y-80950090D01* -X133647130Y-80871702D01* -X133683208Y-80784603D01* -X133701600Y-80692138D01* -X133701600Y-80597862D01* -X133683208Y-80505397D01* -X133667386Y-80467200D01* -X137858614Y-80467200D01* -X137842792Y-80505397D01* -X137824400Y-80597862D01* -X137824400Y-80692138D01* -X137842792Y-80784603D01* -X137878870Y-80871702D01* -X137931247Y-80950090D01* -X137997910Y-81016753D01* -X138076298Y-81069130D01* -X138163397Y-81105208D01* -X138255862Y-81123600D01* -X138350138Y-81123600D01* -X138442603Y-81105208D01* -X138529702Y-81069130D01* -X138608090Y-81016753D01* -X138674753Y-80950090D01* -X138727130Y-80871702D01* -X138763208Y-80784603D01* -X138781600Y-80692138D01* -X138781600Y-80597862D01* -X138763208Y-80505397D01* -X138747386Y-80467200D01* -X142557614Y-80467200D01* -X142541792Y-80505397D01* -X142523400Y-80597862D01* -X142523400Y-80692138D01* -X142541792Y-80784603D01* -X142577870Y-80871702D01* -X142630247Y-80950090D01* -X142696910Y-81016753D01* -X142775298Y-81069130D01* -X142862397Y-81105208D01* -X142954862Y-81123600D01* -X143049138Y-81123600D01* -X143141603Y-81105208D01* -X143228702Y-81069130D01* -X143307090Y-81016753D01* -X143373753Y-80950090D01* -X143426130Y-80871702D01* -X143462208Y-80784603D01* -X143480600Y-80692138D01* -X143480600Y-80597862D01* -X143473674Y-80563044D01* -X143609112Y-80590131D01* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144861956Y-81951326D01* -X144827138Y-81944400D01* -X144732862Y-81944400D01* -X144640397Y-81962792D01* -X144553298Y-81998870D01* -X144474910Y-82051247D01* -X144408247Y-82117910D01* -X144355870Y-82196298D01* -X144319792Y-82283397D01* -X144301400Y-82375862D01* -X144301400Y-82470138D01* -X144319792Y-82562603D01* -X144355870Y-82649702D01* -X144408247Y-82728090D01* -X144474910Y-82794753D01* -X144553298Y-82847130D01* -X144640397Y-82883208D01* -X144732862Y-82901600D01* -X144827138Y-82901600D01* -X144919603Y-82883208D01* -X144957800Y-82867386D01* -X144957800Y-87820614D01* -X144919603Y-87804792D01* -X144827138Y-87786400D01* -X144732862Y-87786400D01* -X144640397Y-87804792D01* -X144553298Y-87840870D01* -X144474910Y-87893247D01* -X144408247Y-87959910D01* -X144355870Y-88038298D01* -X144319792Y-88125397D01* -X144301400Y-88217862D01* -X144301400Y-88312138D01* -X144319792Y-88404603D01* -X144355870Y-88491702D01* -X144408247Y-88570090D01* -X144474910Y-88636753D01* -X144553298Y-88689130D01* -X144640397Y-88725208D01* -X144732862Y-88743600D01* -X144827138Y-88743600D01* -X144919603Y-88725208D01* -X144957800Y-88709386D01* -X144957800Y-92900614D01* -X144919603Y-92884792D01* -X144827138Y-92866400D01* -X144732862Y-92866400D01* -X144640397Y-92884792D01* -X144553298Y-92920870D01* -X144474910Y-92973247D01* -X144408247Y-93039910D01* -X144355870Y-93118298D01* -X144319792Y-93205397D01* -X144301400Y-93297862D01* -X144301400Y-93392138D01* -X144319792Y-93484603D01* -X144355870Y-93571702D01* -X144408247Y-93650090D01* -X144474910Y-93716753D01* -X144553298Y-93769130D01* -X144640397Y-93805208D01* -X144732862Y-93823600D01* -X144827138Y-93823600D01* -X144919603Y-93805208D01* -X144957800Y-93789386D01* -X144957800Y-97980614D01* -X144919603Y-97964792D01* -X144827138Y-97946400D01* -X144732862Y-97946400D01* -X144640397Y-97964792D01* -X144553298Y-98000870D01* -X144474910Y-98053247D01* -X144408247Y-98119910D01* -X144355870Y-98198298D01* -X144319792Y-98285397D01* -X144301400Y-98377862D01* -X144301400Y-98472138D01* -X144319792Y-98564603D01* -X144355870Y-98651702D01* -X144408247Y-98730090D01* -X144474910Y-98796753D01* -X144553298Y-98849130D01* -X144640397Y-98885208D01* -X144732862Y-98903600D01* -X144827138Y-98903600D01* -X144919603Y-98885208D01* -X144957800Y-98869386D01* -X144957800Y-103060614D01* -X144919603Y-103044792D01* -X144827138Y-103026400D01* -X144732862Y-103026400D01* -X144640397Y-103044792D01* -X144553298Y-103080870D01* -X144474910Y-103133247D01* -X144408247Y-103199910D01* -X144355870Y-103278298D01* -X144319792Y-103365397D01* -X144301400Y-103457862D01* -X144301400Y-103552138D01* -X144319792Y-103644603D01* -X144355870Y-103731702D01* -X144408247Y-103810090D01* -X144474910Y-103876753D01* -X144553298Y-103929130D01* -X144640397Y-103965208D01* -X144732862Y-103983600D01* -X144827138Y-103983600D01* -X144919603Y-103965208D01* -X144957800Y-103949386D01* -X144957800Y-108140614D01* -X144919603Y-108124792D01* -X144827138Y-108106400D01* -X144732862Y-108106400D01* -X144640397Y-108124792D01* -X144553298Y-108160870D01* -X144474910Y-108213247D01* -X144408247Y-108279910D01* -X144355870Y-108358298D01* -X144319792Y-108445397D01* -X144301400Y-108537862D01* -X144301400Y-108632138D01* -X144319792Y-108724603D01* -X144355870Y-108811702D01* -X144408247Y-108890090D01* -X144474910Y-108956753D01* -X144553298Y-109009130D01* -X144640397Y-109045208D01* -X144732862Y-109063600D01* -X144827138Y-109063600D01* -X144919603Y-109045208D01* -X144957800Y-109029386D01* -X144957800Y-113220614D01* -X144919603Y-113204792D01* -X144827138Y-113186400D01* -X144732862Y-113186400D01* -X144640397Y-113204792D01* -X144553298Y-113240870D01* -X144474910Y-113293247D01* -X144408247Y-113359910D01* -X144355870Y-113438298D01* -X144319792Y-113525397D01* -X144301400Y-113617862D01* -X144301400Y-113712138D01* -X144319792Y-113804603D01* -X144355870Y-113891702D01* -X144408247Y-113970090D01* -X144474910Y-114036753D01* -X144553298Y-114089130D01* -X144640397Y-114125208D01* -X144732862Y-114143600D01* -X144827138Y-114143600D01* -X144919603Y-114125208D01* -X144957800Y-114109386D01* -X144957800Y-118300614D01* -X144919603Y-118284792D01* -X144827138Y-118266400D01* -X144732862Y-118266400D01* -X144640397Y-118284792D01* -X144553298Y-118320870D01* -X144474910Y-118373247D01* -X144408247Y-118439910D01* -X144355870Y-118518298D01* -X144319792Y-118605397D01* -X144301400Y-118697862D01* -X144301400Y-118792138D01* -X144319792Y-118884603D01* -X144355870Y-118971702D01* -X144408247Y-119050090D01* -X144474910Y-119116753D01* -X144553298Y-119169130D01* -X144640397Y-119205208D01* -X144732862Y-119223600D01* -X144827138Y-119223600D01* -X144919603Y-119205208D01* -X144957800Y-119189386D01* -X144957800Y-123380614D01* -X144919603Y-123364792D01* -X144827138Y-123346400D01* -X144732862Y-123346400D01* -X144640397Y-123364792D01* -X144553298Y-123400870D01* -X144474910Y-123453247D01* -X144408247Y-123519910D01* -X144355870Y-123598298D01* -X144319792Y-123685397D01* -X144301400Y-123777862D01* -X144301400Y-123872138D01* -X144319792Y-123964603D01* -X144355870Y-124051702D01* -X144408247Y-124130090D01* -X144474910Y-124196753D01* -X144553298Y-124249130D01* -X144640397Y-124285208D01* -X144732862Y-124303600D01* -X144827138Y-124303600D01* -X144919603Y-124285208D01* -X144957800Y-124269386D01* -X144957800Y-129095614D01* -X144919603Y-129079792D01* -X144827138Y-129061400D01* -X144732862Y-129061400D01* -X144640397Y-129079792D01* -X144553298Y-129115870D01* -X144474910Y-129168247D01* -X144408247Y-129234910D01* -X144355870Y-129313298D01* -X144319792Y-129400397D01* -X144301400Y-129492862D01* -X144301400Y-129587138D01* -X144319792Y-129679603D01* -X144355870Y-129766702D01* -X144408247Y-129845090D01* -X144474910Y-129911753D01* -X144553298Y-129964130D01* -X144640397Y-130000208D01* -X144732862Y-130018600D01* -X144827138Y-130018600D01* -X144861956Y-130011674D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X143473674Y-131399956D01* -X143480600Y-131365138D01* -X143480600Y-131270862D01* -X143462208Y-131178397D01* -X143426130Y-131091298D01* -X143373753Y-131012910D01* -X143307090Y-130946247D01* -X143228702Y-130893870D01* -X143141603Y-130857792D01* -X143049138Y-130839400D01* -X142954862Y-130839400D01* -X142862397Y-130857792D01* -X142775298Y-130893870D01* -X142696910Y-130946247D01* -X142630247Y-131012910D01* -X142577870Y-131091298D01* -X142541792Y-131178397D01* -X142523400Y-131270862D01* -X142523400Y-131365138D01* -X142541792Y-131457603D01* -X142557614Y-131495800D01* -X138938000Y-131495800D01* -X138923134Y-131497264D01* -X138908840Y-131501600D01* -X138895666Y-131508642D01* -X138884118Y-131518118D01* -X138874642Y-131529666D01* -X138867600Y-131542840D01* -X138863264Y-131557134D01* -X138861800Y-131572000D01* -X138861800Y-139115800D01* -X74498200Y-139115800D01* -X74498200Y-138303000D01* -X78155800Y-138303000D01* -X78155800Y-138684000D01* -X78157264Y-138698866D01* -X78161600Y-138713160D01* -X78168642Y-138726334D01* -X78178118Y-138737882D01* -X78189666Y-138747358D01* -X78202840Y-138754400D01* -X78217134Y-138758736D01* -X78232000Y-138760200D01* -X78613000Y-138760200D01* -X78627866Y-138758736D01* -X78642160Y-138754400D01* -X78655334Y-138747358D01* -X78666882Y-138737882D01* -X78676358Y-138726334D01* -X78683400Y-138713160D01* -X78687736Y-138698866D01* -X78689200Y-138684000D01* -X78689200Y-138303000D01* -X78790800Y-138303000D01* -X78790800Y-138684000D01* -X78792264Y-138698866D01* -X78796600Y-138713160D01* -X78803642Y-138726334D01* -X78813118Y-138737882D01* -X78824666Y-138747358D01* -X78837840Y-138754400D01* -X78852134Y-138758736D01* -X78867000Y-138760200D01* -X79248000Y-138760200D01* -X79262866Y-138758736D01* -X79277160Y-138754400D01* -X79290334Y-138747358D01* -X79301882Y-138737882D01* -X79311358Y-138726334D01* -X79318400Y-138713160D01* -X79322736Y-138698866D01* -X79324200Y-138684000D01* -X79324200Y-138303000D01* -X80695800Y-138303000D01* -X80695800Y-138684000D01* -X80697264Y-138698866D01* -X80701600Y-138713160D01* -X80708642Y-138726334D01* -X80718118Y-138737882D01* -X80729666Y-138747358D01* -X80742840Y-138754400D01* -X80757134Y-138758736D01* -X80772000Y-138760200D01* -X81153000Y-138760200D01* -X81167866Y-138758736D01* -X81182160Y-138754400D01* -X81195334Y-138747358D01* -X81206882Y-138737882D01* -X81216358Y-138726334D01* -X81223400Y-138713160D01* -X81227736Y-138698866D01* -X81229200Y-138684000D01* -X81229200Y-138303000D01* -X81330800Y-138303000D01* -X81330800Y-138684000D01* -X81332264Y-138698866D01* -X81336600Y-138713160D01* -X81343642Y-138726334D01* -X81353118Y-138737882D01* -X81364666Y-138747358D01* -X81377840Y-138754400D01* -X81392134Y-138758736D01* -X81407000Y-138760200D01* -X81788000Y-138760200D01* -X81802866Y-138758736D01* -X81817160Y-138754400D01* -X81830334Y-138747358D01* -X81841882Y-138737882D01* -X81851358Y-138726334D01* -X81858400Y-138713160D01* -X81862736Y-138698866D01* -X81864200Y-138684000D01* -X81864200Y-138303000D01* -X83235800Y-138303000D01* -X83235800Y-138684000D01* -X83237264Y-138698866D01* -X83241600Y-138713160D01* -X83248642Y-138726334D01* -X83258118Y-138737882D01* -X83269666Y-138747358D01* -X83282840Y-138754400D01* -X83297134Y-138758736D01* -X83312000Y-138760200D01* -X83693000Y-138760200D01* -X83707866Y-138758736D01* -X83722160Y-138754400D01* -X83735334Y-138747358D01* -X83746882Y-138737882D01* -X83756358Y-138726334D01* -X83763400Y-138713160D01* -X83767736Y-138698866D01* -X83769200Y-138684000D01* -X83769200Y-138303000D01* -X83870800Y-138303000D01* -X83870800Y-138684000D01* -X83872264Y-138698866D01* -X83876600Y-138713160D01* -X83883642Y-138726334D01* -X83893118Y-138737882D01* -X83904666Y-138747358D01* -X83917840Y-138754400D01* -X83932134Y-138758736D01* -X83947000Y-138760200D01* -X84328000Y-138760200D01* -X84342866Y-138758736D01* -X84357160Y-138754400D01* -X84370334Y-138747358D01* -X84381882Y-138737882D01* -X84391358Y-138726334D01* -X84398400Y-138713160D01* -X84402736Y-138698866D01* -X84404200Y-138684000D01* -X84404200Y-138303000D01* -X85775800Y-138303000D01* -X85775800Y-138684000D01* -X85777264Y-138698866D01* -X85781600Y-138713160D01* -X85788642Y-138726334D01* -X85798118Y-138737882D01* -X85809666Y-138747358D01* -X85822840Y-138754400D01* -X85837134Y-138758736D01* -X85852000Y-138760200D01* -X86233000Y-138760200D01* -X86247866Y-138758736D01* -X86262160Y-138754400D01* -X86275334Y-138747358D01* -X86286882Y-138737882D01* -X86296358Y-138726334D01* -X86303400Y-138713160D01* -X86307736Y-138698866D01* -X86309200Y-138684000D01* -X86309200Y-138303000D01* -X86410800Y-138303000D01* -X86410800Y-138684000D01* -X86412264Y-138698866D01* -X86416600Y-138713160D01* -X86423642Y-138726334D01* -X86433118Y-138737882D01* -X86444666Y-138747358D01* -X86457840Y-138754400D01* -X86472134Y-138758736D01* -X86487000Y-138760200D01* -X86868000Y-138760200D01* -X86882866Y-138758736D01* -X86897160Y-138754400D01* -X86910334Y-138747358D01* -X86921882Y-138737882D01* -X86931358Y-138726334D01* -X86938400Y-138713160D01* -X86942736Y-138698866D01* -X86944200Y-138684000D01* -X86944200Y-138303000D01* -X88315800Y-138303000D01* -X88315800Y-138684000D01* -X88317264Y-138698866D01* -X88321600Y-138713160D01* -X88328642Y-138726334D01* -X88338118Y-138737882D01* -X88349666Y-138747358D01* -X88362840Y-138754400D01* -X88377134Y-138758736D01* -X88392000Y-138760200D01* -X88773000Y-138760200D01* -X88787866Y-138758736D01* -X88802160Y-138754400D01* -X88815334Y-138747358D01* -X88826882Y-138737882D01* -X88836358Y-138726334D01* -X88843400Y-138713160D01* -X88847736Y-138698866D01* -X88849200Y-138684000D01* -X88849200Y-138303000D01* -X88950800Y-138303000D01* -X88950800Y-138684000D01* -X88952264Y-138698866D01* -X88956600Y-138713160D01* -X88963642Y-138726334D01* -X88973118Y-138737882D01* -X88984666Y-138747358D01* -X88997840Y-138754400D01* -X89012134Y-138758736D01* -X89027000Y-138760200D01* -X89408000Y-138760200D01* -X89422866Y-138758736D01* -X89437160Y-138754400D01* -X89450334Y-138747358D01* -X89461882Y-138737882D01* -X89471358Y-138726334D01* -X89478400Y-138713160D01* -X89482736Y-138698866D01* -X89484200Y-138684000D01* -X89484200Y-138303000D01* -X90855800Y-138303000D01* -X90855800Y-138684000D01* -X90857264Y-138698866D01* -X90861600Y-138713160D01* -X90868642Y-138726334D01* -X90878118Y-138737882D01* -X90889666Y-138747358D01* -X90902840Y-138754400D01* -X90917134Y-138758736D01* -X90932000Y-138760200D01* -X91313000Y-138760200D01* -X91327866Y-138758736D01* -X91342160Y-138754400D01* -X91355334Y-138747358D01* -X91366882Y-138737882D01* -X91376358Y-138726334D01* -X91383400Y-138713160D01* -X91387736Y-138698866D01* -X91389200Y-138684000D01* -X91389200Y-138303000D01* -X91490800Y-138303000D01* -X91490800Y-138684000D01* -X91492264Y-138698866D01* -X91496600Y-138713160D01* -X91503642Y-138726334D01* -X91513118Y-138737882D01* -X91524666Y-138747358D01* -X91537840Y-138754400D01* -X91552134Y-138758736D01* -X91567000Y-138760200D01* -X91948000Y-138760200D01* -X91962866Y-138758736D01* -X91977160Y-138754400D01* -X91990334Y-138747358D01* -X92001882Y-138737882D01* -X92011358Y-138726334D01* -X92018400Y-138713160D01* -X92022736Y-138698866D01* -X92024200Y-138684000D01* -X92024200Y-138303000D01* -X93395800Y-138303000D01* -X93395800Y-138684000D01* -X93397264Y-138698866D01* -X93401600Y-138713160D01* -X93408642Y-138726334D01* -X93418118Y-138737882D01* -X93429666Y-138747358D01* -X93442840Y-138754400D01* -X93457134Y-138758736D01* -X93472000Y-138760200D01* -X93853000Y-138760200D01* -X93867866Y-138758736D01* -X93882160Y-138754400D01* -X93895334Y-138747358D01* -X93906882Y-138737882D01* -X93916358Y-138726334D01* -X93923400Y-138713160D01* -X93927736Y-138698866D01* -X93929200Y-138684000D01* -X93929200Y-138303000D01* -X94030800Y-138303000D01* -X94030800Y-138684000D01* -X94032264Y-138698866D01* -X94036600Y-138713160D01* -X94043642Y-138726334D01* -X94053118Y-138737882D01* -X94064666Y-138747358D01* -X94077840Y-138754400D01* -X94092134Y-138758736D01* -X94107000Y-138760200D01* -X94488000Y-138760200D01* -X94502866Y-138758736D01* -X94517160Y-138754400D01* -X94530334Y-138747358D01* -X94541882Y-138737882D01* -X94551358Y-138726334D01* -X94558400Y-138713160D01* -X94562736Y-138698866D01* -X94564200Y-138684000D01* -X94564200Y-138303000D01* -X95935800Y-138303000D01* -X95935800Y-138684000D01* -X95937264Y-138698866D01* -X95941600Y-138713160D01* -X95948642Y-138726334D01* -X95958118Y-138737882D01* -X95969666Y-138747358D01* -X95982840Y-138754400D01* -X95997134Y-138758736D01* -X96012000Y-138760200D01* -X96393000Y-138760200D01* -X96407866Y-138758736D01* -X96422160Y-138754400D01* -X96435334Y-138747358D01* -X96446882Y-138737882D01* -X96456358Y-138726334D01* -X96463400Y-138713160D01* -X96467736Y-138698866D01* -X96469200Y-138684000D01* -X96469200Y-138303000D01* -X96570800Y-138303000D01* -X96570800Y-138684000D01* -X96572264Y-138698866D01* -X96576600Y-138713160D01* -X96583642Y-138726334D01* -X96593118Y-138737882D01* -X96604666Y-138747358D01* -X96617840Y-138754400D01* -X96632134Y-138758736D01* -X96647000Y-138760200D01* -X97028000Y-138760200D01* -X97042866Y-138758736D01* -X97057160Y-138754400D01* -X97070334Y-138747358D01* -X97081882Y-138737882D01* -X97091358Y-138726334D01* -X97098400Y-138713160D01* -X97102736Y-138698866D01* -X97104200Y-138684000D01* -X97104200Y-138303000D01* -X98475800Y-138303000D01* -X98475800Y-138684000D01* -X98477264Y-138698866D01* -X98481600Y-138713160D01* -X98488642Y-138726334D01* -X98498118Y-138737882D01* -X98509666Y-138747358D01* -X98522840Y-138754400D01* -X98537134Y-138758736D01* -X98552000Y-138760200D01* -X98933000Y-138760200D01* -X98947866Y-138758736D01* -X98962160Y-138754400D01* -X98975334Y-138747358D01* -X98986882Y-138737882D01* -X98996358Y-138726334D01* -X99003400Y-138713160D01* -X99007736Y-138698866D01* -X99009200Y-138684000D01* -X99009200Y-138303000D01* -X99110800Y-138303000D01* -X99110800Y-138684000D01* -X99112264Y-138698866D01* -X99116600Y-138713160D01* -X99123642Y-138726334D01* -X99133118Y-138737882D01* -X99144666Y-138747358D01* -X99157840Y-138754400D01* -X99172134Y-138758736D01* -X99187000Y-138760200D01* -X99568000Y-138760200D01* -X99582866Y-138758736D01* -X99597160Y-138754400D01* -X99610334Y-138747358D01* -X99621882Y-138737882D01* -X99631358Y-138726334D01* -X99638400Y-138713160D01* -X99642736Y-138698866D01* -X99644200Y-138684000D01* -X99644200Y-138303000D01* -X101015800Y-138303000D01* -X101015800Y-138684000D01* -X101017264Y-138698866D01* -X101021600Y-138713160D01* -X101028642Y-138726334D01* -X101038118Y-138737882D01* -X101049666Y-138747358D01* -X101062840Y-138754400D01* -X101077134Y-138758736D01* -X101092000Y-138760200D01* -X101473000Y-138760200D01* -X101487866Y-138758736D01* -X101502160Y-138754400D01* -X101515334Y-138747358D01* -X101526882Y-138737882D01* -X101536358Y-138726334D01* -X101543400Y-138713160D01* -X101547736Y-138698866D01* -X101549200Y-138684000D01* -X101549200Y-138303000D01* -X101650800Y-138303000D01* -X101650800Y-138684000D01* -X101652264Y-138698866D01* -X101656600Y-138713160D01* -X101663642Y-138726334D01* -X101673118Y-138737882D01* -X101684666Y-138747358D01* -X101697840Y-138754400D01* -X101712134Y-138758736D01* -X101727000Y-138760200D01* -X102108000Y-138760200D01* -X102122866Y-138758736D01* -X102137160Y-138754400D01* -X102150334Y-138747358D01* -X102161882Y-138737882D01* -X102171358Y-138726334D01* -X102178400Y-138713160D01* -X102182736Y-138698866D01* -X102184200Y-138684000D01* -X102184200Y-138303000D01* -X103555800Y-138303000D01* -X103555800Y-138684000D01* -X103557264Y-138698866D01* -X103561600Y-138713160D01* -X103568642Y-138726334D01* -X103578118Y-138737882D01* -X103589666Y-138747358D01* -X103602840Y-138754400D01* -X103617134Y-138758736D01* -X103632000Y-138760200D01* -X104013000Y-138760200D01* -X104027866Y-138758736D01* -X104042160Y-138754400D01* -X104055334Y-138747358D01* -X104066882Y-138737882D01* -X104076358Y-138726334D01* -X104083400Y-138713160D01* -X104087736Y-138698866D01* -X104089200Y-138684000D01* -X104089200Y-138303000D01* -X104190800Y-138303000D01* -X104190800Y-138684000D01* -X104192264Y-138698866D01* -X104196600Y-138713160D01* -X104203642Y-138726334D01* -X104213118Y-138737882D01* -X104224666Y-138747358D01* -X104237840Y-138754400D01* -X104252134Y-138758736D01* -X104267000Y-138760200D01* -X104648000Y-138760200D01* -X104662866Y-138758736D01* -X104677160Y-138754400D01* -X104690334Y-138747358D01* -X104701882Y-138737882D01* -X104711358Y-138726334D01* -X104718400Y-138713160D01* -X104722736Y-138698866D01* -X104724200Y-138684000D01* -X104724200Y-138303000D01* -X106095800Y-138303000D01* -X106095800Y-138684000D01* -X106097264Y-138698866D01* -X106101600Y-138713160D01* -X106108642Y-138726334D01* -X106118118Y-138737882D01* -X106129666Y-138747358D01* -X106142840Y-138754400D01* -X106157134Y-138758736D01* -X106172000Y-138760200D01* -X106553000Y-138760200D01* -X106567866Y-138758736D01* -X106582160Y-138754400D01* -X106595334Y-138747358D01* -X106606882Y-138737882D01* -X106616358Y-138726334D01* -X106623400Y-138713160D01* -X106627736Y-138698866D01* -X106629200Y-138684000D01* -X106629200Y-138303000D01* -X106730800Y-138303000D01* -X106730800Y-138684000D01* -X106732264Y-138698866D01* -X106736600Y-138713160D01* -X106743642Y-138726334D01* -X106753118Y-138737882D01* -X106764666Y-138747358D01* -X106777840Y-138754400D01* -X106792134Y-138758736D01* -X106807000Y-138760200D01* -X107188000Y-138760200D01* -X107202866Y-138758736D01* -X107217160Y-138754400D01* -X107230334Y-138747358D01* -X107241882Y-138737882D01* -X107251358Y-138726334D01* -X107258400Y-138713160D01* -X107262736Y-138698866D01* -X107264200Y-138684000D01* -X107264200Y-138303000D01* -X108635800Y-138303000D01* -X108635800Y-138684000D01* -X108637264Y-138698866D01* -X108641600Y-138713160D01* -X108648642Y-138726334D01* -X108658118Y-138737882D01* -X108669666Y-138747358D01* -X108682840Y-138754400D01* -X108697134Y-138758736D01* -X108712000Y-138760200D01* -X109093000Y-138760200D01* -X109107866Y-138758736D01* -X109122160Y-138754400D01* -X109135334Y-138747358D01* -X109146882Y-138737882D01* -X109156358Y-138726334D01* -X109163400Y-138713160D01* -X109167736Y-138698866D01* -X109169200Y-138684000D01* -X109169200Y-138303000D01* -X109270800Y-138303000D01* -X109270800Y-138684000D01* -X109272264Y-138698866D01* -X109276600Y-138713160D01* -X109283642Y-138726334D01* -X109293118Y-138737882D01* -X109304666Y-138747358D01* -X109317840Y-138754400D01* -X109332134Y-138758736D01* -X109347000Y-138760200D01* -X109728000Y-138760200D01* -X109742866Y-138758736D01* -X109757160Y-138754400D01* -X109770334Y-138747358D01* -X109781882Y-138737882D01* -X109791358Y-138726334D01* -X109798400Y-138713160D01* -X109802736Y-138698866D01* -X109804200Y-138684000D01* -X109804200Y-138303000D01* -X111175800Y-138303000D01* -X111175800Y-138684000D01* -X111177264Y-138698866D01* -X111181600Y-138713160D01* -X111188642Y-138726334D01* -X111198118Y-138737882D01* -X111209666Y-138747358D01* -X111222840Y-138754400D01* -X111237134Y-138758736D01* -X111252000Y-138760200D01* -X111633000Y-138760200D01* -X111647866Y-138758736D01* -X111662160Y-138754400D01* -X111675334Y-138747358D01* -X111686882Y-138737882D01* -X111696358Y-138726334D01* -X111703400Y-138713160D01* -X111707736Y-138698866D01* -X111709200Y-138684000D01* -X111709200Y-138303000D01* -X111810800Y-138303000D01* -X111810800Y-138684000D01* -X111812264Y-138698866D01* -X111816600Y-138713160D01* -X111823642Y-138726334D01* -X111833118Y-138737882D01* -X111844666Y-138747358D01* -X111857840Y-138754400D01* -X111872134Y-138758736D01* -X111887000Y-138760200D01* -X112268000Y-138760200D01* -X112282866Y-138758736D01* -X112297160Y-138754400D01* -X112310334Y-138747358D01* -X112321882Y-138737882D01* -X112331358Y-138726334D01* -X112338400Y-138713160D01* -X112342736Y-138698866D01* -X112344200Y-138684000D01* -X112344200Y-138303000D01* -X113715800Y-138303000D01* -X113715800Y-138684000D01* -X113717264Y-138698866D01* -X113721600Y-138713160D01* -X113728642Y-138726334D01* -X113738118Y-138737882D01* -X113749666Y-138747358D01* -X113762840Y-138754400D01* -X113777134Y-138758736D01* -X113792000Y-138760200D01* -X114173000Y-138760200D01* -X114187866Y-138758736D01* -X114202160Y-138754400D01* -X114215334Y-138747358D01* -X114226882Y-138737882D01* -X114236358Y-138726334D01* -X114243400Y-138713160D01* -X114247736Y-138698866D01* -X114249200Y-138684000D01* -X114249200Y-138303000D01* -X114350800Y-138303000D01* -X114350800Y-138684000D01* -X114352264Y-138698866D01* -X114356600Y-138713160D01* -X114363642Y-138726334D01* -X114373118Y-138737882D01* -X114384666Y-138747358D01* -X114397840Y-138754400D01* -X114412134Y-138758736D01* -X114427000Y-138760200D01* -X114808000Y-138760200D01* -X114822866Y-138758736D01* -X114837160Y-138754400D01* -X114850334Y-138747358D01* -X114861882Y-138737882D01* -X114871358Y-138726334D01* -X114878400Y-138713160D01* -X114882736Y-138698866D01* -X114884200Y-138684000D01* -X114884200Y-138303000D01* -X121335800Y-138303000D01* -X121335800Y-138684000D01* -X121337264Y-138698866D01* -X121341600Y-138713160D01* -X121348642Y-138726334D01* -X121358118Y-138737882D01* -X121369666Y-138747358D01* -X121382840Y-138754400D01* -X121397134Y-138758736D01* -X121412000Y-138760200D01* -X121793000Y-138760200D01* -X121807866Y-138758736D01* -X121822160Y-138754400D01* -X121835334Y-138747358D01* -X121846882Y-138737882D01* -X121856358Y-138726334D01* -X121863400Y-138713160D01* -X121867736Y-138698866D01* -X121869200Y-138684000D01* -X121869200Y-138303000D01* -X121970800Y-138303000D01* -X121970800Y-138684000D01* -X121972264Y-138698866D01* -X121976600Y-138713160D01* -X121983642Y-138726334D01* -X121993118Y-138737882D01* -X122004666Y-138747358D01* -X122017840Y-138754400D01* -X122032134Y-138758736D01* -X122047000Y-138760200D01* -X122428000Y-138760200D01* -X122442866Y-138758736D01* -X122457160Y-138754400D01* -X122470334Y-138747358D01* -X122481882Y-138737882D01* -X122491358Y-138726334D01* -X122498400Y-138713160D01* -X122502736Y-138698866D01* -X122504200Y-138684000D01* -X122504200Y-138303000D01* -X123875800Y-138303000D01* -X123875800Y-138684000D01* -X123877264Y-138698866D01* -X123881600Y-138713160D01* -X123888642Y-138726334D01* -X123898118Y-138737882D01* -X123909666Y-138747358D01* -X123922840Y-138754400D01* -X123937134Y-138758736D01* -X123952000Y-138760200D01* -X124333000Y-138760200D01* -X124347866Y-138758736D01* -X124362160Y-138754400D01* -X124375334Y-138747358D01* -X124386882Y-138737882D01* -X124396358Y-138726334D01* -X124403400Y-138713160D01* -X124407736Y-138698866D01* -X124409200Y-138684000D01* -X124409200Y-138303000D01* -X124510800Y-138303000D01* -X124510800Y-138684000D01* -X124512264Y-138698866D01* -X124516600Y-138713160D01* -X124523642Y-138726334D01* -X124533118Y-138737882D01* -X124544666Y-138747358D01* -X124557840Y-138754400D01* -X124572134Y-138758736D01* -X124587000Y-138760200D01* -X124968000Y-138760200D01* -X124982866Y-138758736D01* -X124997160Y-138754400D01* -X125010334Y-138747358D01* -X125021882Y-138737882D01* -X125031358Y-138726334D01* -X125038400Y-138713160D01* -X125042736Y-138698866D01* -X125044200Y-138684000D01* -X125044200Y-138303000D01* -X126415800Y-138303000D01* -X126415800Y-138684000D01* -X126417264Y-138698866D01* -X126421600Y-138713160D01* -X126428642Y-138726334D01* -X126438118Y-138737882D01* -X126449666Y-138747358D01* -X126462840Y-138754400D01* -X126477134Y-138758736D01* -X126492000Y-138760200D01* -X126873000Y-138760200D01* -X126887866Y-138758736D01* -X126902160Y-138754400D01* -X126915334Y-138747358D01* -X126926882Y-138737882D01* -X126936358Y-138726334D01* -X126943400Y-138713160D01* -X126947736Y-138698866D01* -X126949200Y-138684000D01* -X126949200Y-138303000D01* -X127050800Y-138303000D01* -X127050800Y-138684000D01* -X127052264Y-138698866D01* -X127056600Y-138713160D01* -X127063642Y-138726334D01* -X127073118Y-138737882D01* -X127084666Y-138747358D01* -X127097840Y-138754400D01* -X127112134Y-138758736D01* -X127127000Y-138760200D01* -X127508000Y-138760200D01* -X127522866Y-138758736D01* -X127537160Y-138754400D01* -X127550334Y-138747358D01* -X127561882Y-138737882D01* -X127571358Y-138726334D01* -X127578400Y-138713160D01* -X127582736Y-138698866D01* -X127584200Y-138684000D01* -X127584200Y-138303000D01* -X128955800Y-138303000D01* -X128955800Y-138684000D01* -X128957264Y-138698866D01* -X128961600Y-138713160D01* -X128968642Y-138726334D01* -X128978118Y-138737882D01* -X128989666Y-138747358D01* -X129002840Y-138754400D01* -X129017134Y-138758736D01* -X129032000Y-138760200D01* -X129413000Y-138760200D01* -X129427866Y-138758736D01* -X129442160Y-138754400D01* -X129455334Y-138747358D01* -X129466882Y-138737882D01* -X129476358Y-138726334D01* -X129483400Y-138713160D01* -X129487736Y-138698866D01* -X129489200Y-138684000D01* -X129489200Y-138303000D01* -X129590800Y-138303000D01* -X129590800Y-138684000D01* -X129592264Y-138698866D01* -X129596600Y-138713160D01* -X129603642Y-138726334D01* -X129613118Y-138737882D01* -X129624666Y-138747358D01* -X129637840Y-138754400D01* -X129652134Y-138758736D01* -X129667000Y-138760200D01* -X130048000Y-138760200D01* -X130062866Y-138758736D01* -X130077160Y-138754400D01* -X130090334Y-138747358D01* -X130101882Y-138737882D01* -X130111358Y-138726334D01* -X130118400Y-138713160D01* -X130122736Y-138698866D01* -X130124200Y-138684000D01* -X130124200Y-138303000D01* -X131495800Y-138303000D01* -X131495800Y-138684000D01* -X131497264Y-138698866D01* -X131501600Y-138713160D01* -X131508642Y-138726334D01* -X131518118Y-138737882D01* -X131529666Y-138747358D01* -X131542840Y-138754400D01* -X131557134Y-138758736D01* -X131572000Y-138760200D01* -X131953000Y-138760200D01* -X131967866Y-138758736D01* -X131982160Y-138754400D01* -X131995334Y-138747358D01* -X132006882Y-138737882D01* -X132016358Y-138726334D01* -X132023400Y-138713160D01* -X132027736Y-138698866D01* -X132029200Y-138684000D01* -X132029200Y-138303000D01* -X132130800Y-138303000D01* -X132130800Y-138684000D01* -X132132264Y-138698866D01* -X132136600Y-138713160D01* -X132143642Y-138726334D01* -X132153118Y-138737882D01* -X132164666Y-138747358D01* -X132177840Y-138754400D01* -X132192134Y-138758736D01* -X132207000Y-138760200D01* -X132588000Y-138760200D01* -X132602866Y-138758736D01* -X132617160Y-138754400D01* -X132630334Y-138747358D01* -X132641882Y-138737882D01* -X132651358Y-138726334D01* -X132658400Y-138713160D01* -X132662736Y-138698866D01* -X132664200Y-138684000D01* -X132664200Y-138303000D01* -X134035800Y-138303000D01* -X134035800Y-138684000D01* -X134037264Y-138698866D01* -X134041600Y-138713160D01* -X134048642Y-138726334D01* -X134058118Y-138737882D01* -X134069666Y-138747358D01* -X134082840Y-138754400D01* -X134097134Y-138758736D01* -X134112000Y-138760200D01* -X134493000Y-138760200D01* -X134507866Y-138758736D01* -X134522160Y-138754400D01* -X134535334Y-138747358D01* -X134546882Y-138737882D01* -X134556358Y-138726334D01* -X134563400Y-138713160D01* -X134567736Y-138698866D01* -X134569200Y-138684000D01* -X134569200Y-138303000D01* -X134670800Y-138303000D01* -X134670800Y-138684000D01* -X134672264Y-138698866D01* -X134676600Y-138713160D01* -X134683642Y-138726334D01* -X134693118Y-138737882D01* -X134704666Y-138747358D01* -X134717840Y-138754400D01* -X134732134Y-138758736D01* -X134747000Y-138760200D01* -X135128000Y-138760200D01* -X135142866Y-138758736D01* -X135157160Y-138754400D01* -X135170334Y-138747358D01* -X135181882Y-138737882D01* -X135191358Y-138726334D01* -X135198400Y-138713160D01* -X135202736Y-138698866D01* -X135204200Y-138684000D01* -X135204200Y-138303000D01* -X135202736Y-138288134D01* -X135198400Y-138273840D01* -X135191358Y-138260666D01* -X135181882Y-138249118D01* -X135170334Y-138239642D01* -X135157160Y-138232600D01* -X135142866Y-138228264D01* -X135128000Y-138226800D01* -X134747000Y-138226800D01* -X134732134Y-138228264D01* -X134717840Y-138232600D01* -X134704666Y-138239642D01* -X134693118Y-138249118D01* -X134683642Y-138260666D01* -X134676600Y-138273840D01* -X134672264Y-138288134D01* -X134670800Y-138303000D01* -X134569200Y-138303000D01* -X134567736Y-138288134D01* -X134563400Y-138273840D01* -X134556358Y-138260666D01* -X134546882Y-138249118D01* -X134535334Y-138239642D01* -X134522160Y-138232600D01* -X134507866Y-138228264D01* -X134493000Y-138226800D01* -X134112000Y-138226800D01* -X134097134Y-138228264D01* -X134082840Y-138232600D01* -X134069666Y-138239642D01* -X134058118Y-138249118D01* -X134048642Y-138260666D01* -X134041600Y-138273840D01* -X134037264Y-138288134D01* -X134035800Y-138303000D01* -X132664200Y-138303000D01* -X132662736Y-138288134D01* -X132658400Y-138273840D01* -X132651358Y-138260666D01* -X132641882Y-138249118D01* -X132630334Y-138239642D01* -X132617160Y-138232600D01* -X132602866Y-138228264D01* -X132588000Y-138226800D01* -X132207000Y-138226800D01* -X132192134Y-138228264D01* -X132177840Y-138232600D01* -X132164666Y-138239642D01* -X132153118Y-138249118D01* -X132143642Y-138260666D01* -X132136600Y-138273840D01* -X132132264Y-138288134D01* -X132130800Y-138303000D01* -X132029200Y-138303000D01* -X132027736Y-138288134D01* -X132023400Y-138273840D01* -X132016358Y-138260666D01* -X132006882Y-138249118D01* -X131995334Y-138239642D01* -X131982160Y-138232600D01* -X131967866Y-138228264D01* -X131953000Y-138226800D01* -X131572000Y-138226800D01* -X131557134Y-138228264D01* -X131542840Y-138232600D01* -X131529666Y-138239642D01* -X131518118Y-138249118D01* -X131508642Y-138260666D01* -X131501600Y-138273840D01* -X131497264Y-138288134D01* -X131495800Y-138303000D01* -X130124200Y-138303000D01* -X130122736Y-138288134D01* -X130118400Y-138273840D01* -X130111358Y-138260666D01* -X130101882Y-138249118D01* -X130090334Y-138239642D01* -X130077160Y-138232600D01* -X130062866Y-138228264D01* -X130048000Y-138226800D01* -X129667000Y-138226800D01* -X129652134Y-138228264D01* -X129637840Y-138232600D01* -X129624666Y-138239642D01* -X129613118Y-138249118D01* -X129603642Y-138260666D01* -X129596600Y-138273840D01* -X129592264Y-138288134D01* -X129590800Y-138303000D01* -X129489200Y-138303000D01* -X129487736Y-138288134D01* -X129483400Y-138273840D01* -X129476358Y-138260666D01* -X129466882Y-138249118D01* -X129455334Y-138239642D01* -X129442160Y-138232600D01* -X129427866Y-138228264D01* -X129413000Y-138226800D01* -X129032000Y-138226800D01* -X129017134Y-138228264D01* -X129002840Y-138232600D01* -X128989666Y-138239642D01* -X128978118Y-138249118D01* -X128968642Y-138260666D01* -X128961600Y-138273840D01* -X128957264Y-138288134D01* -X128955800Y-138303000D01* -X127584200Y-138303000D01* -X127582736Y-138288134D01* -X127578400Y-138273840D01* -X127571358Y-138260666D01* -X127561882Y-138249118D01* -X127550334Y-138239642D01* -X127537160Y-138232600D01* -X127522866Y-138228264D01* -X127508000Y-138226800D01* -X127127000Y-138226800D01* -X127112134Y-138228264D01* -X127097840Y-138232600D01* -X127084666Y-138239642D01* -X127073118Y-138249118D01* -X127063642Y-138260666D01* -X127056600Y-138273840D01* -X127052264Y-138288134D01* -X127050800Y-138303000D01* -X126949200Y-138303000D01* -X126947736Y-138288134D01* -X126943400Y-138273840D01* -X126936358Y-138260666D01* -X126926882Y-138249118D01* -X126915334Y-138239642D01* -X126902160Y-138232600D01* -X126887866Y-138228264D01* -X126873000Y-138226800D01* -X126492000Y-138226800D01* -X126477134Y-138228264D01* -X126462840Y-138232600D01* -X126449666Y-138239642D01* -X126438118Y-138249118D01* -X126428642Y-138260666D01* -X126421600Y-138273840D01* -X126417264Y-138288134D01* -X126415800Y-138303000D01* -X125044200Y-138303000D01* -X125042736Y-138288134D01* -X125038400Y-138273840D01* -X125031358Y-138260666D01* -X125021882Y-138249118D01* -X125010334Y-138239642D01* -X124997160Y-138232600D01* -X124982866Y-138228264D01* -X124968000Y-138226800D01* -X124587000Y-138226800D01* -X124572134Y-138228264D01* -X124557840Y-138232600D01* -X124544666Y-138239642D01* -X124533118Y-138249118D01* -X124523642Y-138260666D01* -X124516600Y-138273840D01* -X124512264Y-138288134D01* -X124510800Y-138303000D01* -X124409200Y-138303000D01* -X124407736Y-138288134D01* -X124403400Y-138273840D01* -X124396358Y-138260666D01* -X124386882Y-138249118D01* -X124375334Y-138239642D01* -X124362160Y-138232600D01* -X124347866Y-138228264D01* -X124333000Y-138226800D01* -X123952000Y-138226800D01* -X123937134Y-138228264D01* -X123922840Y-138232600D01* -X123909666Y-138239642D01* -X123898118Y-138249118D01* -X123888642Y-138260666D01* -X123881600Y-138273840D01* -X123877264Y-138288134D01* -X123875800Y-138303000D01* -X122504200Y-138303000D01* -X122502736Y-138288134D01* -X122498400Y-138273840D01* -X122491358Y-138260666D01* -X122481882Y-138249118D01* -X122470334Y-138239642D01* -X122457160Y-138232600D01* -X122442866Y-138228264D01* -X122428000Y-138226800D01* -X122047000Y-138226800D01* -X122032134Y-138228264D01* -X122017840Y-138232600D01* -X122004666Y-138239642D01* -X121993118Y-138249118D01* -X121983642Y-138260666D01* -X121976600Y-138273840D01* -X121972264Y-138288134D01* -X121970800Y-138303000D01* -X121869200Y-138303000D01* -X121867736Y-138288134D01* -X121863400Y-138273840D01* -X121856358Y-138260666D01* -X121846882Y-138249118D01* -X121835334Y-138239642D01* -X121822160Y-138232600D01* -X121807866Y-138228264D01* -X121793000Y-138226800D01* -X121412000Y-138226800D01* -X121397134Y-138228264D01* -X121382840Y-138232600D01* -X121369666Y-138239642D01* -X121358118Y-138249118D01* -X121348642Y-138260666D01* -X121341600Y-138273840D01* -X121337264Y-138288134D01* -X121335800Y-138303000D01* -X114884200Y-138303000D01* -X114882736Y-138288134D01* -X114878400Y-138273840D01* -X114871358Y-138260666D01* -X114861882Y-138249118D01* -X114850334Y-138239642D01* -X114837160Y-138232600D01* -X114822866Y-138228264D01* -X114808000Y-138226800D01* -X114427000Y-138226800D01* -X114412134Y-138228264D01* -X114397840Y-138232600D01* -X114384666Y-138239642D01* -X114373118Y-138249118D01* -X114363642Y-138260666D01* -X114356600Y-138273840D01* -X114352264Y-138288134D01* -X114350800Y-138303000D01* -X114249200Y-138303000D01* -X114247736Y-138288134D01* -X114243400Y-138273840D01* -X114236358Y-138260666D01* -X114226882Y-138249118D01* -X114215334Y-138239642D01* -X114202160Y-138232600D01* -X114187866Y-138228264D01* -X114173000Y-138226800D01* -X113792000Y-138226800D01* -X113777134Y-138228264D01* -X113762840Y-138232600D01* -X113749666Y-138239642D01* -X113738118Y-138249118D01* -X113728642Y-138260666D01* -X113721600Y-138273840D01* -X113717264Y-138288134D01* -X113715800Y-138303000D01* -X112344200Y-138303000D01* -X112342736Y-138288134D01* -X112338400Y-138273840D01* -X112331358Y-138260666D01* -X112321882Y-138249118D01* -X112310334Y-138239642D01* -X112297160Y-138232600D01* -X112282866Y-138228264D01* -X112268000Y-138226800D01* -X111887000Y-138226800D01* -X111872134Y-138228264D01* -X111857840Y-138232600D01* -X111844666Y-138239642D01* -X111833118Y-138249118D01* -X111823642Y-138260666D01* -X111816600Y-138273840D01* -X111812264Y-138288134D01* -X111810800Y-138303000D01* -X111709200Y-138303000D01* -X111707736Y-138288134D01* -X111703400Y-138273840D01* -X111696358Y-138260666D01* -X111686882Y-138249118D01* -X111675334Y-138239642D01* -X111662160Y-138232600D01* -X111647866Y-138228264D01* -X111633000Y-138226800D01* -X111252000Y-138226800D01* -X111237134Y-138228264D01* -X111222840Y-138232600D01* -X111209666Y-138239642D01* -X111198118Y-138249118D01* -X111188642Y-138260666D01* -X111181600Y-138273840D01* -X111177264Y-138288134D01* -X111175800Y-138303000D01* -X109804200Y-138303000D01* -X109802736Y-138288134D01* -X109798400Y-138273840D01* -X109791358Y-138260666D01* -X109781882Y-138249118D01* -X109770334Y-138239642D01* -X109757160Y-138232600D01* -X109742866Y-138228264D01* -X109728000Y-138226800D01* -X109347000Y-138226800D01* -X109332134Y-138228264D01* -X109317840Y-138232600D01* -X109304666Y-138239642D01* -X109293118Y-138249118D01* -X109283642Y-138260666D01* -X109276600Y-138273840D01* -X109272264Y-138288134D01* -X109270800Y-138303000D01* -X109169200Y-138303000D01* -X109167736Y-138288134D01* -X109163400Y-138273840D01* -X109156358Y-138260666D01* -X109146882Y-138249118D01* -X109135334Y-138239642D01* -X109122160Y-138232600D01* -X109107866Y-138228264D01* -X109093000Y-138226800D01* -X108712000Y-138226800D01* -X108697134Y-138228264D01* -X108682840Y-138232600D01* -X108669666Y-138239642D01* -X108658118Y-138249118D01* -X108648642Y-138260666D01* -X108641600Y-138273840D01* -X108637264Y-138288134D01* -X108635800Y-138303000D01* -X107264200Y-138303000D01* -X107262736Y-138288134D01* -X107258400Y-138273840D01* -X107251358Y-138260666D01* -X107241882Y-138249118D01* -X107230334Y-138239642D01* -X107217160Y-138232600D01* -X107202866Y-138228264D01* -X107188000Y-138226800D01* -X106807000Y-138226800D01* -X106792134Y-138228264D01* -X106777840Y-138232600D01* -X106764666Y-138239642D01* -X106753118Y-138249118D01* -X106743642Y-138260666D01* -X106736600Y-138273840D01* -X106732264Y-138288134D01* -X106730800Y-138303000D01* -X106629200Y-138303000D01* -X106627736Y-138288134D01* -X106623400Y-138273840D01* -X106616358Y-138260666D01* -X106606882Y-138249118D01* -X106595334Y-138239642D01* -X106582160Y-138232600D01* -X106567866Y-138228264D01* -X106553000Y-138226800D01* -X106172000Y-138226800D01* -X106157134Y-138228264D01* -X106142840Y-138232600D01* -X106129666Y-138239642D01* -X106118118Y-138249118D01* -X106108642Y-138260666D01* -X106101600Y-138273840D01* -X106097264Y-138288134D01* -X106095800Y-138303000D01* -X104724200Y-138303000D01* -X104722736Y-138288134D01* -X104718400Y-138273840D01* -X104711358Y-138260666D01* -X104701882Y-138249118D01* -X104690334Y-138239642D01* -X104677160Y-138232600D01* -X104662866Y-138228264D01* -X104648000Y-138226800D01* -X104267000Y-138226800D01* -X104252134Y-138228264D01* -X104237840Y-138232600D01* -X104224666Y-138239642D01* -X104213118Y-138249118D01* -X104203642Y-138260666D01* -X104196600Y-138273840D01* -X104192264Y-138288134D01* -X104190800Y-138303000D01* -X104089200Y-138303000D01* -X104087736Y-138288134D01* -X104083400Y-138273840D01* -X104076358Y-138260666D01* -X104066882Y-138249118D01* -X104055334Y-138239642D01* -X104042160Y-138232600D01* -X104027866Y-138228264D01* -X104013000Y-138226800D01* -X103632000Y-138226800D01* -X103617134Y-138228264D01* -X103602840Y-138232600D01* -X103589666Y-138239642D01* -X103578118Y-138249118D01* -X103568642Y-138260666D01* -X103561600Y-138273840D01* -X103557264Y-138288134D01* -X103555800Y-138303000D01* -X102184200Y-138303000D01* -X102182736Y-138288134D01* -X102178400Y-138273840D01* -X102171358Y-138260666D01* -X102161882Y-138249118D01* -X102150334Y-138239642D01* -X102137160Y-138232600D01* -X102122866Y-138228264D01* -X102108000Y-138226800D01* -X101727000Y-138226800D01* -X101712134Y-138228264D01* -X101697840Y-138232600D01* -X101684666Y-138239642D01* -X101673118Y-138249118D01* -X101663642Y-138260666D01* -X101656600Y-138273840D01* -X101652264Y-138288134D01* -X101650800Y-138303000D01* -X101549200Y-138303000D01* -X101547736Y-138288134D01* -X101543400Y-138273840D01* -X101536358Y-138260666D01* -X101526882Y-138249118D01* -X101515334Y-138239642D01* -X101502160Y-138232600D01* -X101487866Y-138228264D01* -X101473000Y-138226800D01* -X101092000Y-138226800D01* -X101077134Y-138228264D01* -X101062840Y-138232600D01* -X101049666Y-138239642D01* -X101038118Y-138249118D01* -X101028642Y-138260666D01* -X101021600Y-138273840D01* -X101017264Y-138288134D01* -X101015800Y-138303000D01* -X99644200Y-138303000D01* -X99642736Y-138288134D01* -X99638400Y-138273840D01* -X99631358Y-138260666D01* -X99621882Y-138249118D01* -X99610334Y-138239642D01* -X99597160Y-138232600D01* -X99582866Y-138228264D01* -X99568000Y-138226800D01* -X99187000Y-138226800D01* -X99172134Y-138228264D01* -X99157840Y-138232600D01* -X99144666Y-138239642D01* -X99133118Y-138249118D01* -X99123642Y-138260666D01* -X99116600Y-138273840D01* -X99112264Y-138288134D01* -X99110800Y-138303000D01* -X99009200Y-138303000D01* -X99007736Y-138288134D01* -X99003400Y-138273840D01* -X98996358Y-138260666D01* -X98986882Y-138249118D01* -X98975334Y-138239642D01* -X98962160Y-138232600D01* -X98947866Y-138228264D01* -X98933000Y-138226800D01* -X98552000Y-138226800D01* -X98537134Y-138228264D01* -X98522840Y-138232600D01* -X98509666Y-138239642D01* -X98498118Y-138249118D01* -X98488642Y-138260666D01* -X98481600Y-138273840D01* -X98477264Y-138288134D01* -X98475800Y-138303000D01* -X97104200Y-138303000D01* -X97102736Y-138288134D01* -X97098400Y-138273840D01* -X97091358Y-138260666D01* -X97081882Y-138249118D01* -X97070334Y-138239642D01* -X97057160Y-138232600D01* -X97042866Y-138228264D01* -X97028000Y-138226800D01* -X96647000Y-138226800D01* -X96632134Y-138228264D01* -X96617840Y-138232600D01* -X96604666Y-138239642D01* -X96593118Y-138249118D01* -X96583642Y-138260666D01* -X96576600Y-138273840D01* -X96572264Y-138288134D01* -X96570800Y-138303000D01* -X96469200Y-138303000D01* -X96467736Y-138288134D01* -X96463400Y-138273840D01* -X96456358Y-138260666D01* -X96446882Y-138249118D01* -X96435334Y-138239642D01* -X96422160Y-138232600D01* -X96407866Y-138228264D01* -X96393000Y-138226800D01* -X96012000Y-138226800D01* -X95997134Y-138228264D01* -X95982840Y-138232600D01* -X95969666Y-138239642D01* -X95958118Y-138249118D01* -X95948642Y-138260666D01* -X95941600Y-138273840D01* -X95937264Y-138288134D01* -X95935800Y-138303000D01* -X94564200Y-138303000D01* -X94562736Y-138288134D01* -X94558400Y-138273840D01* -X94551358Y-138260666D01* -X94541882Y-138249118D01* -X94530334Y-138239642D01* -X94517160Y-138232600D01* -X94502866Y-138228264D01* -X94488000Y-138226800D01* -X94107000Y-138226800D01* -X94092134Y-138228264D01* -X94077840Y-138232600D01* -X94064666Y-138239642D01* -X94053118Y-138249118D01* -X94043642Y-138260666D01* -X94036600Y-138273840D01* -X94032264Y-138288134D01* -X94030800Y-138303000D01* -X93929200Y-138303000D01* -X93927736Y-138288134D01* -X93923400Y-138273840D01* -X93916358Y-138260666D01* -X93906882Y-138249118D01* -X93895334Y-138239642D01* -X93882160Y-138232600D01* -X93867866Y-138228264D01* -X93853000Y-138226800D01* -X93472000Y-138226800D01* -X93457134Y-138228264D01* -X93442840Y-138232600D01* -X93429666Y-138239642D01* -X93418118Y-138249118D01* -X93408642Y-138260666D01* -X93401600Y-138273840D01* -X93397264Y-138288134D01* -X93395800Y-138303000D01* -X92024200Y-138303000D01* -X92022736Y-138288134D01* -X92018400Y-138273840D01* -X92011358Y-138260666D01* -X92001882Y-138249118D01* -X91990334Y-138239642D01* -X91977160Y-138232600D01* -X91962866Y-138228264D01* -X91948000Y-138226800D01* -X91567000Y-138226800D01* -X91552134Y-138228264D01* -X91537840Y-138232600D01* -X91524666Y-138239642D01* -X91513118Y-138249118D01* -X91503642Y-138260666D01* -X91496600Y-138273840D01* -X91492264Y-138288134D01* -X91490800Y-138303000D01* -X91389200Y-138303000D01* -X91387736Y-138288134D01* -X91383400Y-138273840D01* -X91376358Y-138260666D01* -X91366882Y-138249118D01* -X91355334Y-138239642D01* -X91342160Y-138232600D01* -X91327866Y-138228264D01* -X91313000Y-138226800D01* -X90932000Y-138226800D01* -X90917134Y-138228264D01* -X90902840Y-138232600D01* -X90889666Y-138239642D01* -X90878118Y-138249118D01* -X90868642Y-138260666D01* -X90861600Y-138273840D01* -X90857264Y-138288134D01* -X90855800Y-138303000D01* -X89484200Y-138303000D01* -X89482736Y-138288134D01* -X89478400Y-138273840D01* -X89471358Y-138260666D01* -X89461882Y-138249118D01* -X89450334Y-138239642D01* -X89437160Y-138232600D01* -X89422866Y-138228264D01* -X89408000Y-138226800D01* -X89027000Y-138226800D01* -X89012134Y-138228264D01* -X88997840Y-138232600D01* -X88984666Y-138239642D01* -X88973118Y-138249118D01* -X88963642Y-138260666D01* -X88956600Y-138273840D01* -X88952264Y-138288134D01* -X88950800Y-138303000D01* -X88849200Y-138303000D01* -X88847736Y-138288134D01* -X88843400Y-138273840D01* -X88836358Y-138260666D01* -X88826882Y-138249118D01* -X88815334Y-138239642D01* -X88802160Y-138232600D01* -X88787866Y-138228264D01* -X88773000Y-138226800D01* -X88392000Y-138226800D01* -X88377134Y-138228264D01* -X88362840Y-138232600D01* -X88349666Y-138239642D01* -X88338118Y-138249118D01* -X88328642Y-138260666D01* -X88321600Y-138273840D01* -X88317264Y-138288134D01* -X88315800Y-138303000D01* -X86944200Y-138303000D01* -X86942736Y-138288134D01* -X86938400Y-138273840D01* -X86931358Y-138260666D01* -X86921882Y-138249118D01* -X86910334Y-138239642D01* -X86897160Y-138232600D01* -X86882866Y-138228264D01* -X86868000Y-138226800D01* -X86487000Y-138226800D01* -X86472134Y-138228264D01* -X86457840Y-138232600D01* -X86444666Y-138239642D01* -X86433118Y-138249118D01* -X86423642Y-138260666D01* -X86416600Y-138273840D01* -X86412264Y-138288134D01* -X86410800Y-138303000D01* -X86309200Y-138303000D01* -X86307736Y-138288134D01* -X86303400Y-138273840D01* -X86296358Y-138260666D01* -X86286882Y-138249118D01* -X86275334Y-138239642D01* -X86262160Y-138232600D01* -X86247866Y-138228264D01* -X86233000Y-138226800D01* -X85852000Y-138226800D01* -X85837134Y-138228264D01* -X85822840Y-138232600D01* -X85809666Y-138239642D01* -X85798118Y-138249118D01* -X85788642Y-138260666D01* -X85781600Y-138273840D01* -X85777264Y-138288134D01* -X85775800Y-138303000D01* -X84404200Y-138303000D01* -X84402736Y-138288134D01* -X84398400Y-138273840D01* -X84391358Y-138260666D01* -X84381882Y-138249118D01* -X84370334Y-138239642D01* -X84357160Y-138232600D01* -X84342866Y-138228264D01* -X84328000Y-138226800D01* -X83947000Y-138226800D01* -X83932134Y-138228264D01* -X83917840Y-138232600D01* -X83904666Y-138239642D01* -X83893118Y-138249118D01* -X83883642Y-138260666D01* -X83876600Y-138273840D01* -X83872264Y-138288134D01* -X83870800Y-138303000D01* -X83769200Y-138303000D01* -X83767736Y-138288134D01* -X83763400Y-138273840D01* -X83756358Y-138260666D01* -X83746882Y-138249118D01* -X83735334Y-138239642D01* -X83722160Y-138232600D01* -X83707866Y-138228264D01* -X83693000Y-138226800D01* -X83312000Y-138226800D01* -X83297134Y-138228264D01* -X83282840Y-138232600D01* -X83269666Y-138239642D01* -X83258118Y-138249118D01* -X83248642Y-138260666D01* -X83241600Y-138273840D01* -X83237264Y-138288134D01* -X83235800Y-138303000D01* -X81864200Y-138303000D01* -X81862736Y-138288134D01* -X81858400Y-138273840D01* -X81851358Y-138260666D01* -X81841882Y-138249118D01* -X81830334Y-138239642D01* -X81817160Y-138232600D01* -X81802866Y-138228264D01* -X81788000Y-138226800D01* -X81407000Y-138226800D01* -X81392134Y-138228264D01* -X81377840Y-138232600D01* -X81364666Y-138239642D01* -X81353118Y-138249118D01* -X81343642Y-138260666D01* -X81336600Y-138273840D01* -X81332264Y-138288134D01* -X81330800Y-138303000D01* -X81229200Y-138303000D01* -X81227736Y-138288134D01* -X81223400Y-138273840D01* -X81216358Y-138260666D01* -X81206882Y-138249118D01* -X81195334Y-138239642D01* -X81182160Y-138232600D01* -X81167866Y-138228264D01* -X81153000Y-138226800D01* -X80772000Y-138226800D01* -X80757134Y-138228264D01* -X80742840Y-138232600D01* -X80729666Y-138239642D01* -X80718118Y-138249118D01* -X80708642Y-138260666D01* -X80701600Y-138273840D01* -X80697264Y-138288134D01* -X80695800Y-138303000D01* -X79324200Y-138303000D01* -X79322736Y-138288134D01* -X79318400Y-138273840D01* -X79311358Y-138260666D01* -X79301882Y-138249118D01* -X79290334Y-138239642D01* -X79277160Y-138232600D01* -X79262866Y-138228264D01* -X79248000Y-138226800D01* -X78867000Y-138226800D01* -X78852134Y-138228264D01* -X78837840Y-138232600D01* -X78824666Y-138239642D01* -X78813118Y-138249118D01* -X78803642Y-138260666D01* -X78796600Y-138273840D01* -X78792264Y-138288134D01* -X78790800Y-138303000D01* -X78689200Y-138303000D01* -X78687736Y-138288134D01* -X78683400Y-138273840D01* -X78676358Y-138260666D01* -X78666882Y-138249118D01* -X78655334Y-138239642D01* -X78642160Y-138232600D01* -X78627866Y-138228264D01* -X78613000Y-138226800D01* -X78232000Y-138226800D01* -X78217134Y-138228264D01* -X78202840Y-138232600D01* -X78189666Y-138239642D01* -X78178118Y-138249118D01* -X78168642Y-138260666D01* -X78161600Y-138273840D01* -X78157264Y-138288134D01* -X78155800Y-138303000D01* -X74498200Y-138303000D01* -X74498200Y-137668000D01* -X78155800Y-137668000D01* -X78155800Y-138049000D01* -X78157264Y-138063866D01* -X78161600Y-138078160D01* -X78168642Y-138091334D01* -X78178118Y-138102882D01* -X78189666Y-138112358D01* -X78202840Y-138119400D01* -X78217134Y-138123736D01* -X78232000Y-138125200D01* -X78613000Y-138125200D01* -X78627866Y-138123736D01* -X78642160Y-138119400D01* -X78655334Y-138112358D01* -X78666882Y-138102882D01* -X78676358Y-138091334D01* -X78683400Y-138078160D01* -X78687736Y-138063866D01* -X78689200Y-138049000D01* -X78689200Y-137668000D01* -X78790800Y-137668000D01* -X78790800Y-138049000D01* -X78792264Y-138063866D01* -X78796600Y-138078160D01* -X78803642Y-138091334D01* -X78813118Y-138102882D01* -X78824666Y-138112358D01* -X78837840Y-138119400D01* -X78852134Y-138123736D01* -X78867000Y-138125200D01* -X79248000Y-138125200D01* -X79262866Y-138123736D01* -X79277160Y-138119400D01* -X79290334Y-138112358D01* -X79301882Y-138102882D01* -X79311358Y-138091334D01* -X79318400Y-138078160D01* -X79322736Y-138063866D01* -X79324200Y-138049000D01* -X79324200Y-137668000D01* -X80695800Y-137668000D01* -X80695800Y-138049000D01* -X80697264Y-138063866D01* -X80701600Y-138078160D01* -X80708642Y-138091334D01* -X80718118Y-138102882D01* -X80729666Y-138112358D01* -X80742840Y-138119400D01* -X80757134Y-138123736D01* -X80772000Y-138125200D01* -X81153000Y-138125200D01* -X81167866Y-138123736D01* -X81182160Y-138119400D01* -X81195334Y-138112358D01* -X81206882Y-138102882D01* -X81216358Y-138091334D01* -X81223400Y-138078160D01* -X81227736Y-138063866D01* -X81229200Y-138049000D01* -X81229200Y-137668000D01* -X81330800Y-137668000D01* -X81330800Y-138049000D01* -X81332264Y-138063866D01* -X81336600Y-138078160D01* -X81343642Y-138091334D01* -X81353118Y-138102882D01* -X81364666Y-138112358D01* -X81377840Y-138119400D01* -X81392134Y-138123736D01* -X81407000Y-138125200D01* -X81788000Y-138125200D01* -X81802866Y-138123736D01* -X81817160Y-138119400D01* -X81830334Y-138112358D01* -X81841882Y-138102882D01* -X81851358Y-138091334D01* -X81858400Y-138078160D01* -X81862736Y-138063866D01* -X81864200Y-138049000D01* -X81864200Y-137668000D01* -X83235800Y-137668000D01* -X83235800Y-138049000D01* -X83237264Y-138063866D01* -X83241600Y-138078160D01* -X83248642Y-138091334D01* -X83258118Y-138102882D01* -X83269666Y-138112358D01* -X83282840Y-138119400D01* -X83297134Y-138123736D01* -X83312000Y-138125200D01* -X83693000Y-138125200D01* -X83707866Y-138123736D01* -X83722160Y-138119400D01* -X83735334Y-138112358D01* -X83746882Y-138102882D01* -X83756358Y-138091334D01* -X83763400Y-138078160D01* -X83767736Y-138063866D01* -X83769200Y-138049000D01* -X83769200Y-137668000D01* -X83870800Y-137668000D01* -X83870800Y-138049000D01* -X83872264Y-138063866D01* -X83876600Y-138078160D01* -X83883642Y-138091334D01* -X83893118Y-138102882D01* -X83904666Y-138112358D01* -X83917840Y-138119400D01* -X83932134Y-138123736D01* -X83947000Y-138125200D01* -X84328000Y-138125200D01* -X84342866Y-138123736D01* -X84357160Y-138119400D01* -X84370334Y-138112358D01* -X84381882Y-138102882D01* -X84391358Y-138091334D01* -X84398400Y-138078160D01* -X84402736Y-138063866D01* -X84404200Y-138049000D01* -X84404200Y-137668000D01* -X85775800Y-137668000D01* -X85775800Y-138049000D01* -X85777264Y-138063866D01* -X85781600Y-138078160D01* -X85788642Y-138091334D01* -X85798118Y-138102882D01* -X85809666Y-138112358D01* -X85822840Y-138119400D01* -X85837134Y-138123736D01* -X85852000Y-138125200D01* -X86233000Y-138125200D01* -X86247866Y-138123736D01* -X86262160Y-138119400D01* -X86275334Y-138112358D01* -X86286882Y-138102882D01* -X86296358Y-138091334D01* -X86303400Y-138078160D01* -X86307736Y-138063866D01* -X86309200Y-138049000D01* -X86309200Y-137668000D01* -X86410800Y-137668000D01* -X86410800Y-138049000D01* -X86412264Y-138063866D01* -X86416600Y-138078160D01* -X86423642Y-138091334D01* -X86433118Y-138102882D01* -X86444666Y-138112358D01* -X86457840Y-138119400D01* -X86472134Y-138123736D01* -X86487000Y-138125200D01* -X86868000Y-138125200D01* -X86882866Y-138123736D01* -X86897160Y-138119400D01* -X86910334Y-138112358D01* -X86921882Y-138102882D01* -X86931358Y-138091334D01* -X86938400Y-138078160D01* -X86942736Y-138063866D01* -X86944200Y-138049000D01* -X86944200Y-137668000D01* -X88315800Y-137668000D01* -X88315800Y-138049000D01* -X88317264Y-138063866D01* -X88321600Y-138078160D01* -X88328642Y-138091334D01* -X88338118Y-138102882D01* -X88349666Y-138112358D01* -X88362840Y-138119400D01* -X88377134Y-138123736D01* -X88392000Y-138125200D01* -X88773000Y-138125200D01* -X88787866Y-138123736D01* -X88802160Y-138119400D01* -X88815334Y-138112358D01* -X88826882Y-138102882D01* -X88836358Y-138091334D01* -X88843400Y-138078160D01* -X88847736Y-138063866D01* -X88849200Y-138049000D01* -X88849200Y-137668000D01* -X88950800Y-137668000D01* -X88950800Y-138049000D01* -X88952264Y-138063866D01* -X88956600Y-138078160D01* -X88963642Y-138091334D01* -X88973118Y-138102882D01* -X88984666Y-138112358D01* -X88997840Y-138119400D01* -X89012134Y-138123736D01* -X89027000Y-138125200D01* -X89408000Y-138125200D01* -X89422866Y-138123736D01* -X89437160Y-138119400D01* -X89450334Y-138112358D01* -X89461882Y-138102882D01* -X89471358Y-138091334D01* -X89478400Y-138078160D01* -X89482736Y-138063866D01* -X89484200Y-138049000D01* -X89484200Y-137668000D01* -X90855800Y-137668000D01* -X90855800Y-138049000D01* -X90857264Y-138063866D01* -X90861600Y-138078160D01* -X90868642Y-138091334D01* -X90878118Y-138102882D01* -X90889666Y-138112358D01* -X90902840Y-138119400D01* -X90917134Y-138123736D01* -X90932000Y-138125200D01* -X91313000Y-138125200D01* -X91327866Y-138123736D01* -X91342160Y-138119400D01* -X91355334Y-138112358D01* -X91366882Y-138102882D01* -X91376358Y-138091334D01* -X91383400Y-138078160D01* -X91387736Y-138063866D01* -X91389200Y-138049000D01* -X91389200Y-137668000D01* -X91490800Y-137668000D01* -X91490800Y-138049000D01* -X91492264Y-138063866D01* -X91496600Y-138078160D01* -X91503642Y-138091334D01* -X91513118Y-138102882D01* -X91524666Y-138112358D01* -X91537840Y-138119400D01* -X91552134Y-138123736D01* -X91567000Y-138125200D01* -X91948000Y-138125200D01* -X91962866Y-138123736D01* -X91977160Y-138119400D01* -X91990334Y-138112358D01* -X92001882Y-138102882D01* -X92011358Y-138091334D01* -X92018400Y-138078160D01* -X92022736Y-138063866D01* -X92024200Y-138049000D01* -X92024200Y-137668000D01* -X93395800Y-137668000D01* -X93395800Y-138049000D01* -X93397264Y-138063866D01* -X93401600Y-138078160D01* -X93408642Y-138091334D01* -X93418118Y-138102882D01* -X93429666Y-138112358D01* -X93442840Y-138119400D01* -X93457134Y-138123736D01* -X93472000Y-138125200D01* -X93853000Y-138125200D01* -X93867866Y-138123736D01* -X93882160Y-138119400D01* -X93895334Y-138112358D01* -X93906882Y-138102882D01* -X93916358Y-138091334D01* -X93923400Y-138078160D01* -X93927736Y-138063866D01* -X93929200Y-138049000D01* -X93929200Y-137668000D01* -X94030800Y-137668000D01* -X94030800Y-138049000D01* -X94032264Y-138063866D01* -X94036600Y-138078160D01* -X94043642Y-138091334D01* -X94053118Y-138102882D01* -X94064666Y-138112358D01* -X94077840Y-138119400D01* -X94092134Y-138123736D01* -X94107000Y-138125200D01* -X94488000Y-138125200D01* -X94502866Y-138123736D01* -X94517160Y-138119400D01* -X94530334Y-138112358D01* -X94541882Y-138102882D01* -X94551358Y-138091334D01* -X94558400Y-138078160D01* -X94562736Y-138063866D01* -X94564200Y-138049000D01* -X94564200Y-137668000D01* -X95935800Y-137668000D01* -X95935800Y-138049000D01* -X95937264Y-138063866D01* -X95941600Y-138078160D01* -X95948642Y-138091334D01* -X95958118Y-138102882D01* -X95969666Y-138112358D01* -X95982840Y-138119400D01* -X95997134Y-138123736D01* -X96012000Y-138125200D01* -X96393000Y-138125200D01* -X96407866Y-138123736D01* -X96422160Y-138119400D01* -X96435334Y-138112358D01* -X96446882Y-138102882D01* -X96456358Y-138091334D01* -X96463400Y-138078160D01* -X96467736Y-138063866D01* -X96469200Y-138049000D01* -X96469200Y-137668000D01* -X96570800Y-137668000D01* -X96570800Y-138049000D01* -X96572264Y-138063866D01* -X96576600Y-138078160D01* -X96583642Y-138091334D01* -X96593118Y-138102882D01* -X96604666Y-138112358D01* -X96617840Y-138119400D01* -X96632134Y-138123736D01* -X96647000Y-138125200D01* -X97028000Y-138125200D01* -X97042866Y-138123736D01* -X97057160Y-138119400D01* -X97070334Y-138112358D01* -X97081882Y-138102882D01* -X97091358Y-138091334D01* -X97098400Y-138078160D01* -X97102736Y-138063866D01* -X97104200Y-138049000D01* -X97104200Y-137668000D01* -X98475800Y-137668000D01* -X98475800Y-138049000D01* -X98477264Y-138063866D01* -X98481600Y-138078160D01* -X98488642Y-138091334D01* -X98498118Y-138102882D01* -X98509666Y-138112358D01* -X98522840Y-138119400D01* -X98537134Y-138123736D01* -X98552000Y-138125200D01* -X98933000Y-138125200D01* -X98947866Y-138123736D01* -X98962160Y-138119400D01* -X98975334Y-138112358D01* -X98986882Y-138102882D01* -X98996358Y-138091334D01* -X99003400Y-138078160D01* -X99007736Y-138063866D01* -X99009200Y-138049000D01* -X99009200Y-137668000D01* -X99110800Y-137668000D01* -X99110800Y-138049000D01* -X99112264Y-138063866D01* -X99116600Y-138078160D01* -X99123642Y-138091334D01* -X99133118Y-138102882D01* -X99144666Y-138112358D01* -X99157840Y-138119400D01* -X99172134Y-138123736D01* -X99187000Y-138125200D01* -X99568000Y-138125200D01* -X99582866Y-138123736D01* -X99597160Y-138119400D01* -X99610334Y-138112358D01* -X99621882Y-138102882D01* -X99631358Y-138091334D01* -X99638400Y-138078160D01* -X99642736Y-138063866D01* -X99644200Y-138049000D01* -X99644200Y-137668000D01* -X101015800Y-137668000D01* -X101015800Y-138049000D01* -X101017264Y-138063866D01* -X101021600Y-138078160D01* -X101028642Y-138091334D01* -X101038118Y-138102882D01* -X101049666Y-138112358D01* -X101062840Y-138119400D01* -X101077134Y-138123736D01* -X101092000Y-138125200D01* -X101473000Y-138125200D01* -X101487866Y-138123736D01* -X101502160Y-138119400D01* -X101515334Y-138112358D01* -X101526882Y-138102882D01* -X101536358Y-138091334D01* -X101543400Y-138078160D01* -X101547736Y-138063866D01* -X101549200Y-138049000D01* -X101549200Y-137668000D01* -X101650800Y-137668000D01* -X101650800Y-138049000D01* -X101652264Y-138063866D01* -X101656600Y-138078160D01* -X101663642Y-138091334D01* -X101673118Y-138102882D01* -X101684666Y-138112358D01* -X101697840Y-138119400D01* -X101712134Y-138123736D01* -X101727000Y-138125200D01* -X102108000Y-138125200D01* -X102122866Y-138123736D01* -X102137160Y-138119400D01* -X102150334Y-138112358D01* -X102161882Y-138102882D01* -X102171358Y-138091334D01* -X102178400Y-138078160D01* -X102182736Y-138063866D01* -X102184200Y-138049000D01* -X102184200Y-137668000D01* -X103555800Y-137668000D01* -X103555800Y-138049000D01* -X103557264Y-138063866D01* -X103561600Y-138078160D01* -X103568642Y-138091334D01* -X103578118Y-138102882D01* -X103589666Y-138112358D01* -X103602840Y-138119400D01* -X103617134Y-138123736D01* -X103632000Y-138125200D01* -X104013000Y-138125200D01* -X104027866Y-138123736D01* -X104042160Y-138119400D01* -X104055334Y-138112358D01* -X104066882Y-138102882D01* -X104076358Y-138091334D01* -X104083400Y-138078160D01* -X104087736Y-138063866D01* -X104089200Y-138049000D01* -X104089200Y-137668000D01* -X104190800Y-137668000D01* -X104190800Y-138049000D01* -X104192264Y-138063866D01* -X104196600Y-138078160D01* -X104203642Y-138091334D01* -X104213118Y-138102882D01* -X104224666Y-138112358D01* -X104237840Y-138119400D01* -X104252134Y-138123736D01* -X104267000Y-138125200D01* -X104648000Y-138125200D01* -X104662866Y-138123736D01* -X104677160Y-138119400D01* -X104690334Y-138112358D01* -X104701882Y-138102882D01* -X104711358Y-138091334D01* -X104718400Y-138078160D01* -X104722736Y-138063866D01* -X104724200Y-138049000D01* -X104724200Y-137668000D01* -X106095800Y-137668000D01* -X106095800Y-138049000D01* -X106097264Y-138063866D01* -X106101600Y-138078160D01* -X106108642Y-138091334D01* -X106118118Y-138102882D01* -X106129666Y-138112358D01* -X106142840Y-138119400D01* -X106157134Y-138123736D01* -X106172000Y-138125200D01* -X106553000Y-138125200D01* -X106567866Y-138123736D01* -X106582160Y-138119400D01* -X106595334Y-138112358D01* -X106606882Y-138102882D01* -X106616358Y-138091334D01* -X106623400Y-138078160D01* -X106627736Y-138063866D01* -X106629200Y-138049000D01* -X106629200Y-137668000D01* -X106730800Y-137668000D01* -X106730800Y-138049000D01* -X106732264Y-138063866D01* -X106736600Y-138078160D01* -X106743642Y-138091334D01* -X106753118Y-138102882D01* -X106764666Y-138112358D01* -X106777840Y-138119400D01* -X106792134Y-138123736D01* -X106807000Y-138125200D01* -X107188000Y-138125200D01* -X107202866Y-138123736D01* -X107217160Y-138119400D01* -X107230334Y-138112358D01* -X107241882Y-138102882D01* -X107251358Y-138091334D01* -X107258400Y-138078160D01* -X107262736Y-138063866D01* -X107264200Y-138049000D01* -X107264200Y-137668000D01* -X108635800Y-137668000D01* -X108635800Y-138049000D01* -X108637264Y-138063866D01* -X108641600Y-138078160D01* -X108648642Y-138091334D01* -X108658118Y-138102882D01* -X108669666Y-138112358D01* -X108682840Y-138119400D01* -X108697134Y-138123736D01* -X108712000Y-138125200D01* -X109093000Y-138125200D01* -X109107866Y-138123736D01* -X109122160Y-138119400D01* -X109135334Y-138112358D01* -X109146882Y-138102882D01* -X109156358Y-138091334D01* -X109163400Y-138078160D01* -X109167736Y-138063866D01* -X109169200Y-138049000D01* -X109169200Y-137668000D01* -X109270800Y-137668000D01* -X109270800Y-138049000D01* -X109272264Y-138063866D01* -X109276600Y-138078160D01* -X109283642Y-138091334D01* -X109293118Y-138102882D01* -X109304666Y-138112358D01* -X109317840Y-138119400D01* -X109332134Y-138123736D01* -X109347000Y-138125200D01* -X109728000Y-138125200D01* -X109742866Y-138123736D01* -X109757160Y-138119400D01* -X109770334Y-138112358D01* -X109781882Y-138102882D01* -X109791358Y-138091334D01* -X109798400Y-138078160D01* -X109802736Y-138063866D01* -X109804200Y-138049000D01* -X109804200Y-137668000D01* -X111175800Y-137668000D01* -X111175800Y-138049000D01* -X111177264Y-138063866D01* -X111181600Y-138078160D01* -X111188642Y-138091334D01* -X111198118Y-138102882D01* -X111209666Y-138112358D01* -X111222840Y-138119400D01* -X111237134Y-138123736D01* -X111252000Y-138125200D01* -X111633000Y-138125200D01* -X111647866Y-138123736D01* -X111662160Y-138119400D01* -X111675334Y-138112358D01* -X111686882Y-138102882D01* -X111696358Y-138091334D01* -X111703400Y-138078160D01* -X111707736Y-138063866D01* -X111709200Y-138049000D01* -X111709200Y-137668000D01* -X111810800Y-137668000D01* -X111810800Y-138049000D01* -X111812264Y-138063866D01* -X111816600Y-138078160D01* -X111823642Y-138091334D01* -X111833118Y-138102882D01* -X111844666Y-138112358D01* -X111857840Y-138119400D01* -X111872134Y-138123736D01* -X111887000Y-138125200D01* -X112268000Y-138125200D01* -X112282866Y-138123736D01* -X112297160Y-138119400D01* -X112310334Y-138112358D01* -X112321882Y-138102882D01* -X112331358Y-138091334D01* -X112338400Y-138078160D01* -X112342736Y-138063866D01* -X112344200Y-138049000D01* -X112344200Y-137668000D01* -X113715800Y-137668000D01* -X113715800Y-138049000D01* -X113717264Y-138063866D01* -X113721600Y-138078160D01* -X113728642Y-138091334D01* -X113738118Y-138102882D01* -X113749666Y-138112358D01* -X113762840Y-138119400D01* -X113777134Y-138123736D01* -X113792000Y-138125200D01* -X114173000Y-138125200D01* -X114187866Y-138123736D01* -X114202160Y-138119400D01* -X114215334Y-138112358D01* -X114226882Y-138102882D01* -X114236358Y-138091334D01* -X114243400Y-138078160D01* -X114247736Y-138063866D01* -X114249200Y-138049000D01* -X114249200Y-137668000D01* -X114350800Y-137668000D01* -X114350800Y-138049000D01* -X114352264Y-138063866D01* -X114356600Y-138078160D01* -X114363642Y-138091334D01* -X114373118Y-138102882D01* -X114384666Y-138112358D01* -X114397840Y-138119400D01* -X114412134Y-138123736D01* -X114427000Y-138125200D01* -X114808000Y-138125200D01* -X114822866Y-138123736D01* -X114837160Y-138119400D01* -X114850334Y-138112358D01* -X114861882Y-138102882D01* -X114871358Y-138091334D01* -X114878400Y-138078160D01* -X114882736Y-138063866D01* -X114884200Y-138049000D01* -X114884200Y-137668000D01* -X121335800Y-137668000D01* -X121335800Y-138049000D01* -X121337264Y-138063866D01* -X121341600Y-138078160D01* -X121348642Y-138091334D01* -X121358118Y-138102882D01* -X121369666Y-138112358D01* -X121382840Y-138119400D01* -X121397134Y-138123736D01* -X121412000Y-138125200D01* -X121793000Y-138125200D01* -X121807866Y-138123736D01* -X121822160Y-138119400D01* -X121835334Y-138112358D01* -X121846882Y-138102882D01* -X121856358Y-138091334D01* -X121863400Y-138078160D01* -X121867736Y-138063866D01* -X121869200Y-138049000D01* -X121869200Y-137668000D01* -X121970800Y-137668000D01* -X121970800Y-138049000D01* -X121972264Y-138063866D01* -X121976600Y-138078160D01* -X121983642Y-138091334D01* -X121993118Y-138102882D01* -X122004666Y-138112358D01* -X122017840Y-138119400D01* -X122032134Y-138123736D01* -X122047000Y-138125200D01* -X122428000Y-138125200D01* -X122442866Y-138123736D01* -X122457160Y-138119400D01* -X122470334Y-138112358D01* -X122481882Y-138102882D01* -X122491358Y-138091334D01* -X122498400Y-138078160D01* -X122502736Y-138063866D01* -X122504200Y-138049000D01* -X122504200Y-137668000D01* -X123875800Y-137668000D01* -X123875800Y-138049000D01* -X123877264Y-138063866D01* -X123881600Y-138078160D01* -X123888642Y-138091334D01* -X123898118Y-138102882D01* -X123909666Y-138112358D01* -X123922840Y-138119400D01* -X123937134Y-138123736D01* -X123952000Y-138125200D01* -X124333000Y-138125200D01* -X124347866Y-138123736D01* -X124362160Y-138119400D01* -X124375334Y-138112358D01* -X124386882Y-138102882D01* -X124396358Y-138091334D01* -X124403400Y-138078160D01* -X124407736Y-138063866D01* -X124409200Y-138049000D01* -X124409200Y-137668000D01* -X124510800Y-137668000D01* -X124510800Y-138049000D01* -X124512264Y-138063866D01* -X124516600Y-138078160D01* -X124523642Y-138091334D01* -X124533118Y-138102882D01* -X124544666Y-138112358D01* -X124557840Y-138119400D01* -X124572134Y-138123736D01* -X124587000Y-138125200D01* -X124968000Y-138125200D01* -X124982866Y-138123736D01* -X124997160Y-138119400D01* -X125010334Y-138112358D01* -X125021882Y-138102882D01* -X125031358Y-138091334D01* -X125038400Y-138078160D01* -X125042736Y-138063866D01* -X125044200Y-138049000D01* -X125044200Y-137668000D01* -X126415800Y-137668000D01* -X126415800Y-138049000D01* -X126417264Y-138063866D01* -X126421600Y-138078160D01* -X126428642Y-138091334D01* -X126438118Y-138102882D01* -X126449666Y-138112358D01* -X126462840Y-138119400D01* -X126477134Y-138123736D01* -X126492000Y-138125200D01* -X126873000Y-138125200D01* -X126887866Y-138123736D01* -X126902160Y-138119400D01* -X126915334Y-138112358D01* -X126926882Y-138102882D01* -X126936358Y-138091334D01* -X126943400Y-138078160D01* -X126947736Y-138063866D01* -X126949200Y-138049000D01* -X126949200Y-137668000D01* -X127050800Y-137668000D01* -X127050800Y-138049000D01* -X127052264Y-138063866D01* -X127056600Y-138078160D01* -X127063642Y-138091334D01* -X127073118Y-138102882D01* -X127084666Y-138112358D01* -X127097840Y-138119400D01* -X127112134Y-138123736D01* -X127127000Y-138125200D01* -X127508000Y-138125200D01* -X127522866Y-138123736D01* -X127537160Y-138119400D01* -X127550334Y-138112358D01* -X127561882Y-138102882D01* -X127571358Y-138091334D01* -X127578400Y-138078160D01* -X127582736Y-138063866D01* -X127584200Y-138049000D01* -X127584200Y-137668000D01* -X128955800Y-137668000D01* -X128955800Y-138049000D01* -X128957264Y-138063866D01* -X128961600Y-138078160D01* -X128968642Y-138091334D01* -X128978118Y-138102882D01* -X128989666Y-138112358D01* -X129002840Y-138119400D01* -X129017134Y-138123736D01* -X129032000Y-138125200D01* -X129413000Y-138125200D01* -X129427866Y-138123736D01* -X129442160Y-138119400D01* -X129455334Y-138112358D01* -X129466882Y-138102882D01* -X129476358Y-138091334D01* -X129483400Y-138078160D01* -X129487736Y-138063866D01* -X129489200Y-138049000D01* -X129489200Y-137668000D01* -X129590800Y-137668000D01* -X129590800Y-138049000D01* -X129592264Y-138063866D01* -X129596600Y-138078160D01* -X129603642Y-138091334D01* -X129613118Y-138102882D01* -X129624666Y-138112358D01* -X129637840Y-138119400D01* -X129652134Y-138123736D01* -X129667000Y-138125200D01* -X130048000Y-138125200D01* -X130062866Y-138123736D01* -X130077160Y-138119400D01* -X130090334Y-138112358D01* -X130101882Y-138102882D01* -X130111358Y-138091334D01* -X130118400Y-138078160D01* -X130122736Y-138063866D01* -X130124200Y-138049000D01* -X130124200Y-137668000D01* -X131495800Y-137668000D01* -X131495800Y-138049000D01* -X131497264Y-138063866D01* -X131501600Y-138078160D01* -X131508642Y-138091334D01* -X131518118Y-138102882D01* -X131529666Y-138112358D01* -X131542840Y-138119400D01* -X131557134Y-138123736D01* -X131572000Y-138125200D01* -X131953000Y-138125200D01* -X131967866Y-138123736D01* -X131982160Y-138119400D01* -X131995334Y-138112358D01* -X132006882Y-138102882D01* -X132016358Y-138091334D01* -X132023400Y-138078160D01* -X132027736Y-138063866D01* -X132029200Y-138049000D01* -X132029200Y-137668000D01* -X132130800Y-137668000D01* -X132130800Y-138049000D01* -X132132264Y-138063866D01* -X132136600Y-138078160D01* -X132143642Y-138091334D01* -X132153118Y-138102882D01* -X132164666Y-138112358D01* -X132177840Y-138119400D01* -X132192134Y-138123736D01* -X132207000Y-138125200D01* -X132588000Y-138125200D01* -X132602866Y-138123736D01* -X132617160Y-138119400D01* -X132630334Y-138112358D01* -X132641882Y-138102882D01* -X132651358Y-138091334D01* -X132658400Y-138078160D01* -X132662736Y-138063866D01* -X132664200Y-138049000D01* -X132664200Y-137668000D01* -X134035800Y-137668000D01* -X134035800Y-138049000D01* -X134037264Y-138063866D01* -X134041600Y-138078160D01* -X134048642Y-138091334D01* -X134058118Y-138102882D01* -X134069666Y-138112358D01* -X134082840Y-138119400D01* -X134097134Y-138123736D01* -X134112000Y-138125200D01* -X134493000Y-138125200D01* -X134507866Y-138123736D01* -X134522160Y-138119400D01* -X134535334Y-138112358D01* -X134546882Y-138102882D01* -X134556358Y-138091334D01* -X134563400Y-138078160D01* -X134567736Y-138063866D01* -X134569200Y-138049000D01* -X134569200Y-137668000D01* -X134670800Y-137668000D01* -X134670800Y-138049000D01* -X134672264Y-138063866D01* -X134676600Y-138078160D01* -X134683642Y-138091334D01* -X134693118Y-138102882D01* -X134704666Y-138112358D01* -X134717840Y-138119400D01* -X134732134Y-138123736D01* -X134747000Y-138125200D01* -X135128000Y-138125200D01* -X135142866Y-138123736D01* -X135157160Y-138119400D01* -X135170334Y-138112358D01* -X135181882Y-138102882D01* -X135191358Y-138091334D01* -X135198400Y-138078160D01* -X135202736Y-138063866D01* -X135204200Y-138049000D01* -X135204200Y-137668000D01* -X135202736Y-137653134D01* -X135198400Y-137638840D01* -X135191358Y-137625666D01* -X135181882Y-137614118D01* -X135170334Y-137604642D01* -X135157160Y-137597600D01* -X135142866Y-137593264D01* -X135128000Y-137591800D01* -X134747000Y-137591800D01* -X134732134Y-137593264D01* -X134717840Y-137597600D01* -X134704666Y-137604642D01* -X134693118Y-137614118D01* -X134683642Y-137625666D01* -X134676600Y-137638840D01* -X134672264Y-137653134D01* -X134670800Y-137668000D01* -X134569200Y-137668000D01* -X134567736Y-137653134D01* -X134563400Y-137638840D01* -X134556358Y-137625666D01* -X134546882Y-137614118D01* -X134535334Y-137604642D01* -X134522160Y-137597600D01* -X134507866Y-137593264D01* -X134493000Y-137591800D01* -X134112000Y-137591800D01* -X134097134Y-137593264D01* -X134082840Y-137597600D01* -X134069666Y-137604642D01* -X134058118Y-137614118D01* -X134048642Y-137625666D01* -X134041600Y-137638840D01* -X134037264Y-137653134D01* -X134035800Y-137668000D01* -X132664200Y-137668000D01* -X132662736Y-137653134D01* -X132658400Y-137638840D01* -X132651358Y-137625666D01* -X132641882Y-137614118D01* -X132630334Y-137604642D01* -X132617160Y-137597600D01* -X132602866Y-137593264D01* -X132588000Y-137591800D01* -X132207000Y-137591800D01* -X132192134Y-137593264D01* -X132177840Y-137597600D01* -X132164666Y-137604642D01* -X132153118Y-137614118D01* -X132143642Y-137625666D01* -X132136600Y-137638840D01* -X132132264Y-137653134D01* -X132130800Y-137668000D01* -X132029200Y-137668000D01* -X132027736Y-137653134D01* -X132023400Y-137638840D01* -X132016358Y-137625666D01* -X132006882Y-137614118D01* -X131995334Y-137604642D01* -X131982160Y-137597600D01* -X131967866Y-137593264D01* -X131953000Y-137591800D01* -X131572000Y-137591800D01* -X131557134Y-137593264D01* -X131542840Y-137597600D01* -X131529666Y-137604642D01* -X131518118Y-137614118D01* -X131508642Y-137625666D01* -X131501600Y-137638840D01* -X131497264Y-137653134D01* -X131495800Y-137668000D01* -X130124200Y-137668000D01* -X130122736Y-137653134D01* -X130118400Y-137638840D01* -X130111358Y-137625666D01* -X130101882Y-137614118D01* -X130090334Y-137604642D01* -X130077160Y-137597600D01* -X130062866Y-137593264D01* -X130048000Y-137591800D01* -X129667000Y-137591800D01* -X129652134Y-137593264D01* -X129637840Y-137597600D01* -X129624666Y-137604642D01* -X129613118Y-137614118D01* -X129603642Y-137625666D01* -X129596600Y-137638840D01* -X129592264Y-137653134D01* -X129590800Y-137668000D01* -X129489200Y-137668000D01* -X129487736Y-137653134D01* -X129483400Y-137638840D01* -X129476358Y-137625666D01* -X129466882Y-137614118D01* -X129455334Y-137604642D01* -X129442160Y-137597600D01* -X129427866Y-137593264D01* -X129413000Y-137591800D01* -X129032000Y-137591800D01* -X129017134Y-137593264D01* -X129002840Y-137597600D01* -X128989666Y-137604642D01* -X128978118Y-137614118D01* -X128968642Y-137625666D01* -X128961600Y-137638840D01* -X128957264Y-137653134D01* -X128955800Y-137668000D01* -X127584200Y-137668000D01* -X127582736Y-137653134D01* -X127578400Y-137638840D01* -X127571358Y-137625666D01* -X127561882Y-137614118D01* -X127550334Y-137604642D01* -X127537160Y-137597600D01* -X127522866Y-137593264D01* -X127508000Y-137591800D01* -X127127000Y-137591800D01* -X127112134Y-137593264D01* -X127097840Y-137597600D01* -X127084666Y-137604642D01* -X127073118Y-137614118D01* -X127063642Y-137625666D01* -X127056600Y-137638840D01* -X127052264Y-137653134D01* -X127050800Y-137668000D01* -X126949200Y-137668000D01* -X126947736Y-137653134D01* -X126943400Y-137638840D01* -X126936358Y-137625666D01* -X126926882Y-137614118D01* -X126915334Y-137604642D01* -X126902160Y-137597600D01* -X126887866Y-137593264D01* -X126873000Y-137591800D01* -X126492000Y-137591800D01* -X126477134Y-137593264D01* -X126462840Y-137597600D01* -X126449666Y-137604642D01* -X126438118Y-137614118D01* -X126428642Y-137625666D01* -X126421600Y-137638840D01* -X126417264Y-137653134D01* -X126415800Y-137668000D01* -X125044200Y-137668000D01* -X125042736Y-137653134D01* -X125038400Y-137638840D01* -X125031358Y-137625666D01* -X125021882Y-137614118D01* -X125010334Y-137604642D01* -X124997160Y-137597600D01* -X124982866Y-137593264D01* -X124968000Y-137591800D01* -X124587000Y-137591800D01* -X124572134Y-137593264D01* -X124557840Y-137597600D01* -X124544666Y-137604642D01* -X124533118Y-137614118D01* -X124523642Y-137625666D01* -X124516600Y-137638840D01* -X124512264Y-137653134D01* -X124510800Y-137668000D01* -X124409200Y-137668000D01* -X124407736Y-137653134D01* -X124403400Y-137638840D01* -X124396358Y-137625666D01* -X124386882Y-137614118D01* -X124375334Y-137604642D01* -X124362160Y-137597600D01* -X124347866Y-137593264D01* -X124333000Y-137591800D01* -X123952000Y-137591800D01* -X123937134Y-137593264D01* -X123922840Y-137597600D01* -X123909666Y-137604642D01* -X123898118Y-137614118D01* -X123888642Y-137625666D01* -X123881600Y-137638840D01* -X123877264Y-137653134D01* -X123875800Y-137668000D01* -X122504200Y-137668000D01* -X122502736Y-137653134D01* -X122498400Y-137638840D01* -X122491358Y-137625666D01* -X122481882Y-137614118D01* -X122470334Y-137604642D01* -X122457160Y-137597600D01* -X122442866Y-137593264D01* -X122428000Y-137591800D01* -X122047000Y-137591800D01* -X122032134Y-137593264D01* -X122017840Y-137597600D01* -X122004666Y-137604642D01* -X121993118Y-137614118D01* -X121983642Y-137625666D01* -X121976600Y-137638840D01* -X121972264Y-137653134D01* -X121970800Y-137668000D01* -X121869200Y-137668000D01* -X121867736Y-137653134D01* -X121863400Y-137638840D01* -X121856358Y-137625666D01* -X121846882Y-137614118D01* -X121835334Y-137604642D01* -X121822160Y-137597600D01* -X121807866Y-137593264D01* -X121793000Y-137591800D01* -X121412000Y-137591800D01* -X121397134Y-137593264D01* -X121382840Y-137597600D01* -X121369666Y-137604642D01* -X121358118Y-137614118D01* -X121348642Y-137625666D01* -X121341600Y-137638840D01* -X121337264Y-137653134D01* -X121335800Y-137668000D01* -X114884200Y-137668000D01* -X114882736Y-137653134D01* -X114878400Y-137638840D01* -X114871358Y-137625666D01* -X114861882Y-137614118D01* -X114850334Y-137604642D01* -X114837160Y-137597600D01* -X114822866Y-137593264D01* -X114808000Y-137591800D01* -X114427000Y-137591800D01* -X114412134Y-137593264D01* -X114397840Y-137597600D01* -X114384666Y-137604642D01* -X114373118Y-137614118D01* -X114363642Y-137625666D01* -X114356600Y-137638840D01* -X114352264Y-137653134D01* -X114350800Y-137668000D01* -X114249200Y-137668000D01* -X114247736Y-137653134D01* -X114243400Y-137638840D01* -X114236358Y-137625666D01* -X114226882Y-137614118D01* -X114215334Y-137604642D01* -X114202160Y-137597600D01* -X114187866Y-137593264D01* -X114173000Y-137591800D01* -X113792000Y-137591800D01* -X113777134Y-137593264D01* -X113762840Y-137597600D01* -X113749666Y-137604642D01* -X113738118Y-137614118D01* -X113728642Y-137625666D01* -X113721600Y-137638840D01* -X113717264Y-137653134D01* -X113715800Y-137668000D01* -X112344200Y-137668000D01* -X112342736Y-137653134D01* -X112338400Y-137638840D01* -X112331358Y-137625666D01* -X112321882Y-137614118D01* -X112310334Y-137604642D01* -X112297160Y-137597600D01* -X112282866Y-137593264D01* -X112268000Y-137591800D01* -X111887000Y-137591800D01* -X111872134Y-137593264D01* -X111857840Y-137597600D01* -X111844666Y-137604642D01* -X111833118Y-137614118D01* -X111823642Y-137625666D01* -X111816600Y-137638840D01* -X111812264Y-137653134D01* -X111810800Y-137668000D01* -X111709200Y-137668000D01* -X111707736Y-137653134D01* -X111703400Y-137638840D01* -X111696358Y-137625666D01* -X111686882Y-137614118D01* -X111675334Y-137604642D01* -X111662160Y-137597600D01* -X111647866Y-137593264D01* -X111633000Y-137591800D01* -X111252000Y-137591800D01* -X111237134Y-137593264D01* -X111222840Y-137597600D01* -X111209666Y-137604642D01* -X111198118Y-137614118D01* -X111188642Y-137625666D01* -X111181600Y-137638840D01* -X111177264Y-137653134D01* -X111175800Y-137668000D01* -X109804200Y-137668000D01* -X109802736Y-137653134D01* -X109798400Y-137638840D01* -X109791358Y-137625666D01* -X109781882Y-137614118D01* -X109770334Y-137604642D01* -X109757160Y-137597600D01* -X109742866Y-137593264D01* -X109728000Y-137591800D01* -X109347000Y-137591800D01* -X109332134Y-137593264D01* -X109317840Y-137597600D01* -X109304666Y-137604642D01* -X109293118Y-137614118D01* -X109283642Y-137625666D01* -X109276600Y-137638840D01* -X109272264Y-137653134D01* -X109270800Y-137668000D01* -X109169200Y-137668000D01* -X109167736Y-137653134D01* -X109163400Y-137638840D01* -X109156358Y-137625666D01* -X109146882Y-137614118D01* -X109135334Y-137604642D01* -X109122160Y-137597600D01* -X109107866Y-137593264D01* -X109093000Y-137591800D01* -X108712000Y-137591800D01* -X108697134Y-137593264D01* -X108682840Y-137597600D01* -X108669666Y-137604642D01* -X108658118Y-137614118D01* -X108648642Y-137625666D01* -X108641600Y-137638840D01* -X108637264Y-137653134D01* -X108635800Y-137668000D01* -X107264200Y-137668000D01* -X107262736Y-137653134D01* -X107258400Y-137638840D01* -X107251358Y-137625666D01* -X107241882Y-137614118D01* -X107230334Y-137604642D01* -X107217160Y-137597600D01* -X107202866Y-137593264D01* -X107188000Y-137591800D01* -X106807000Y-137591800D01* -X106792134Y-137593264D01* -X106777840Y-137597600D01* -X106764666Y-137604642D01* -X106753118Y-137614118D01* -X106743642Y-137625666D01* -X106736600Y-137638840D01* -X106732264Y-137653134D01* -X106730800Y-137668000D01* -X106629200Y-137668000D01* -X106627736Y-137653134D01* -X106623400Y-137638840D01* -X106616358Y-137625666D01* -X106606882Y-137614118D01* -X106595334Y-137604642D01* -X106582160Y-137597600D01* -X106567866Y-137593264D01* -X106553000Y-137591800D01* -X106172000Y-137591800D01* -X106157134Y-137593264D01* -X106142840Y-137597600D01* -X106129666Y-137604642D01* -X106118118Y-137614118D01* -X106108642Y-137625666D01* -X106101600Y-137638840D01* -X106097264Y-137653134D01* -X106095800Y-137668000D01* -X104724200Y-137668000D01* -X104722736Y-137653134D01* -X104718400Y-137638840D01* -X104711358Y-137625666D01* -X104701882Y-137614118D01* -X104690334Y-137604642D01* -X104677160Y-137597600D01* -X104662866Y-137593264D01* -X104648000Y-137591800D01* -X104267000Y-137591800D01* -X104252134Y-137593264D01* -X104237840Y-137597600D01* -X104224666Y-137604642D01* -X104213118Y-137614118D01* -X104203642Y-137625666D01* -X104196600Y-137638840D01* -X104192264Y-137653134D01* -X104190800Y-137668000D01* -X104089200Y-137668000D01* -X104087736Y-137653134D01* -X104083400Y-137638840D01* -X104076358Y-137625666D01* -X104066882Y-137614118D01* -X104055334Y-137604642D01* -X104042160Y-137597600D01* -X104027866Y-137593264D01* -X104013000Y-137591800D01* -X103632000Y-137591800D01* -X103617134Y-137593264D01* -X103602840Y-137597600D01* -X103589666Y-137604642D01* -X103578118Y-137614118D01* -X103568642Y-137625666D01* -X103561600Y-137638840D01* -X103557264Y-137653134D01* -X103555800Y-137668000D01* -X102184200Y-137668000D01* -X102182736Y-137653134D01* -X102178400Y-137638840D01* -X102171358Y-137625666D01* -X102161882Y-137614118D01* -X102150334Y-137604642D01* -X102137160Y-137597600D01* -X102122866Y-137593264D01* -X102108000Y-137591800D01* -X101727000Y-137591800D01* -X101712134Y-137593264D01* -X101697840Y-137597600D01* -X101684666Y-137604642D01* -X101673118Y-137614118D01* -X101663642Y-137625666D01* -X101656600Y-137638840D01* -X101652264Y-137653134D01* -X101650800Y-137668000D01* -X101549200Y-137668000D01* -X101547736Y-137653134D01* -X101543400Y-137638840D01* -X101536358Y-137625666D01* -X101526882Y-137614118D01* -X101515334Y-137604642D01* -X101502160Y-137597600D01* -X101487866Y-137593264D01* -X101473000Y-137591800D01* -X101092000Y-137591800D01* -X101077134Y-137593264D01* -X101062840Y-137597600D01* -X101049666Y-137604642D01* -X101038118Y-137614118D01* -X101028642Y-137625666D01* -X101021600Y-137638840D01* -X101017264Y-137653134D01* -X101015800Y-137668000D01* -X99644200Y-137668000D01* -X99642736Y-137653134D01* -X99638400Y-137638840D01* -X99631358Y-137625666D01* -X99621882Y-137614118D01* -X99610334Y-137604642D01* -X99597160Y-137597600D01* -X99582866Y-137593264D01* -X99568000Y-137591800D01* -X99187000Y-137591800D01* -X99172134Y-137593264D01* -X99157840Y-137597600D01* -X99144666Y-137604642D01* -X99133118Y-137614118D01* -X99123642Y-137625666D01* -X99116600Y-137638840D01* -X99112264Y-137653134D01* -X99110800Y-137668000D01* -X99009200Y-137668000D01* -X99007736Y-137653134D01* -X99003400Y-137638840D01* -X98996358Y-137625666D01* -X98986882Y-137614118D01* -X98975334Y-137604642D01* -X98962160Y-137597600D01* -X98947866Y-137593264D01* -X98933000Y-137591800D01* -X98552000Y-137591800D01* -X98537134Y-137593264D01* -X98522840Y-137597600D01* -X98509666Y-137604642D01* -X98498118Y-137614118D01* -X98488642Y-137625666D01* -X98481600Y-137638840D01* -X98477264Y-137653134D01* -X98475800Y-137668000D01* -X97104200Y-137668000D01* -X97102736Y-137653134D01* -X97098400Y-137638840D01* -X97091358Y-137625666D01* -X97081882Y-137614118D01* -X97070334Y-137604642D01* -X97057160Y-137597600D01* -X97042866Y-137593264D01* -X97028000Y-137591800D01* -X96647000Y-137591800D01* -X96632134Y-137593264D01* -X96617840Y-137597600D01* -X96604666Y-137604642D01* -X96593118Y-137614118D01* -X96583642Y-137625666D01* -X96576600Y-137638840D01* -X96572264Y-137653134D01* -X96570800Y-137668000D01* -X96469200Y-137668000D01* -X96467736Y-137653134D01* -X96463400Y-137638840D01* -X96456358Y-137625666D01* -X96446882Y-137614118D01* -X96435334Y-137604642D01* -X96422160Y-137597600D01* -X96407866Y-137593264D01* -X96393000Y-137591800D01* -X96012000Y-137591800D01* -X95997134Y-137593264D01* -X95982840Y-137597600D01* -X95969666Y-137604642D01* -X95958118Y-137614118D01* -X95948642Y-137625666D01* -X95941600Y-137638840D01* -X95937264Y-137653134D01* -X95935800Y-137668000D01* -X94564200Y-137668000D01* -X94562736Y-137653134D01* -X94558400Y-137638840D01* -X94551358Y-137625666D01* -X94541882Y-137614118D01* -X94530334Y-137604642D01* -X94517160Y-137597600D01* -X94502866Y-137593264D01* -X94488000Y-137591800D01* -X94107000Y-137591800D01* -X94092134Y-137593264D01* -X94077840Y-137597600D01* -X94064666Y-137604642D01* -X94053118Y-137614118D01* -X94043642Y-137625666D01* -X94036600Y-137638840D01* -X94032264Y-137653134D01* -X94030800Y-137668000D01* -X93929200Y-137668000D01* -X93927736Y-137653134D01* -X93923400Y-137638840D01* -X93916358Y-137625666D01* -X93906882Y-137614118D01* -X93895334Y-137604642D01* -X93882160Y-137597600D01* -X93867866Y-137593264D01* -X93853000Y-137591800D01* -X93472000Y-137591800D01* -X93457134Y-137593264D01* -X93442840Y-137597600D01* -X93429666Y-137604642D01* -X93418118Y-137614118D01* -X93408642Y-137625666D01* -X93401600Y-137638840D01* -X93397264Y-137653134D01* -X93395800Y-137668000D01* -X92024200Y-137668000D01* -X92022736Y-137653134D01* -X92018400Y-137638840D01* -X92011358Y-137625666D01* -X92001882Y-137614118D01* -X91990334Y-137604642D01* -X91977160Y-137597600D01* -X91962866Y-137593264D01* -X91948000Y-137591800D01* -X91567000Y-137591800D01* -X91552134Y-137593264D01* -X91537840Y-137597600D01* -X91524666Y-137604642D01* -X91513118Y-137614118D01* -X91503642Y-137625666D01* -X91496600Y-137638840D01* -X91492264Y-137653134D01* -X91490800Y-137668000D01* -X91389200Y-137668000D01* -X91387736Y-137653134D01* -X91383400Y-137638840D01* -X91376358Y-137625666D01* -X91366882Y-137614118D01* -X91355334Y-137604642D01* -X91342160Y-137597600D01* -X91327866Y-137593264D01* -X91313000Y-137591800D01* -X90932000Y-137591800D01* -X90917134Y-137593264D01* -X90902840Y-137597600D01* -X90889666Y-137604642D01* -X90878118Y-137614118D01* -X90868642Y-137625666D01* -X90861600Y-137638840D01* -X90857264Y-137653134D01* -X90855800Y-137668000D01* -X89484200Y-137668000D01* -X89482736Y-137653134D01* -X89478400Y-137638840D01* -X89471358Y-137625666D01* -X89461882Y-137614118D01* -X89450334Y-137604642D01* -X89437160Y-137597600D01* -X89422866Y-137593264D01* -X89408000Y-137591800D01* -X89027000Y-137591800D01* -X89012134Y-137593264D01* -X88997840Y-137597600D01* -X88984666Y-137604642D01* -X88973118Y-137614118D01* -X88963642Y-137625666D01* -X88956600Y-137638840D01* -X88952264Y-137653134D01* -X88950800Y-137668000D01* -X88849200Y-137668000D01* -X88847736Y-137653134D01* -X88843400Y-137638840D01* -X88836358Y-137625666D01* -X88826882Y-137614118D01* -X88815334Y-137604642D01* -X88802160Y-137597600D01* -X88787866Y-137593264D01* -X88773000Y-137591800D01* -X88392000Y-137591800D01* -X88377134Y-137593264D01* -X88362840Y-137597600D01* -X88349666Y-137604642D01* -X88338118Y-137614118D01* -X88328642Y-137625666D01* -X88321600Y-137638840D01* -X88317264Y-137653134D01* -X88315800Y-137668000D01* -X86944200Y-137668000D01* -X86942736Y-137653134D01* -X86938400Y-137638840D01* -X86931358Y-137625666D01* -X86921882Y-137614118D01* -X86910334Y-137604642D01* -X86897160Y-137597600D01* -X86882866Y-137593264D01* -X86868000Y-137591800D01* -X86487000Y-137591800D01* -X86472134Y-137593264D01* -X86457840Y-137597600D01* -X86444666Y-137604642D01* -X86433118Y-137614118D01* -X86423642Y-137625666D01* -X86416600Y-137638840D01* -X86412264Y-137653134D01* -X86410800Y-137668000D01* -X86309200Y-137668000D01* -X86307736Y-137653134D01* -X86303400Y-137638840D01* -X86296358Y-137625666D01* -X86286882Y-137614118D01* -X86275334Y-137604642D01* -X86262160Y-137597600D01* -X86247866Y-137593264D01* -X86233000Y-137591800D01* -X85852000Y-137591800D01* -X85837134Y-137593264D01* -X85822840Y-137597600D01* -X85809666Y-137604642D01* -X85798118Y-137614118D01* -X85788642Y-137625666D01* -X85781600Y-137638840D01* -X85777264Y-137653134D01* -X85775800Y-137668000D01* -X84404200Y-137668000D01* -X84402736Y-137653134D01* -X84398400Y-137638840D01* -X84391358Y-137625666D01* -X84381882Y-137614118D01* -X84370334Y-137604642D01* -X84357160Y-137597600D01* -X84342866Y-137593264D01* -X84328000Y-137591800D01* -X83947000Y-137591800D01* -X83932134Y-137593264D01* -X83917840Y-137597600D01* -X83904666Y-137604642D01* -X83893118Y-137614118D01* -X83883642Y-137625666D01* -X83876600Y-137638840D01* -X83872264Y-137653134D01* -X83870800Y-137668000D01* -X83769200Y-137668000D01* -X83767736Y-137653134D01* -X83763400Y-137638840D01* -X83756358Y-137625666D01* -X83746882Y-137614118D01* -X83735334Y-137604642D01* -X83722160Y-137597600D01* -X83707866Y-137593264D01* -X83693000Y-137591800D01* -X83312000Y-137591800D01* -X83297134Y-137593264D01* -X83282840Y-137597600D01* -X83269666Y-137604642D01* -X83258118Y-137614118D01* -X83248642Y-137625666D01* -X83241600Y-137638840D01* -X83237264Y-137653134D01* -X83235800Y-137668000D01* -X81864200Y-137668000D01* -X81862736Y-137653134D01* -X81858400Y-137638840D01* -X81851358Y-137625666D01* -X81841882Y-137614118D01* -X81830334Y-137604642D01* -X81817160Y-137597600D01* -X81802866Y-137593264D01* -X81788000Y-137591800D01* -X81407000Y-137591800D01* -X81392134Y-137593264D01* -X81377840Y-137597600D01* -X81364666Y-137604642D01* -X81353118Y-137614118D01* -X81343642Y-137625666D01* -X81336600Y-137638840D01* -X81332264Y-137653134D01* -X81330800Y-137668000D01* -X81229200Y-137668000D01* -X81227736Y-137653134D01* -X81223400Y-137638840D01* -X81216358Y-137625666D01* -X81206882Y-137614118D01* -X81195334Y-137604642D01* -X81182160Y-137597600D01* -X81167866Y-137593264D01* -X81153000Y-137591800D01* -X80772000Y-137591800D01* -X80757134Y-137593264D01* -X80742840Y-137597600D01* -X80729666Y-137604642D01* -X80718118Y-137614118D01* -X80708642Y-137625666D01* -X80701600Y-137638840D01* -X80697264Y-137653134D01* -X80695800Y-137668000D01* -X79324200Y-137668000D01* -X79322736Y-137653134D01* -X79318400Y-137638840D01* -X79311358Y-137625666D01* -X79301882Y-137614118D01* -X79290334Y-137604642D01* -X79277160Y-137597600D01* -X79262866Y-137593264D01* -X79248000Y-137591800D01* -X78867000Y-137591800D01* -X78852134Y-137593264D01* -X78837840Y-137597600D01* -X78824666Y-137604642D01* -X78813118Y-137614118D01* -X78803642Y-137625666D01* -X78796600Y-137638840D01* -X78792264Y-137653134D01* -X78790800Y-137668000D01* -X78689200Y-137668000D01* -X78687736Y-137653134D01* -X78683400Y-137638840D01* -X78676358Y-137625666D01* -X78666882Y-137614118D01* -X78655334Y-137604642D01* -X78642160Y-137597600D01* -X78627866Y-137593264D01* -X78613000Y-137591800D01* -X78232000Y-137591800D01* -X78217134Y-137593264D01* -X78202840Y-137597600D01* -X78189666Y-137604642D01* -X78178118Y-137614118D01* -X78168642Y-137625666D01* -X78161600Y-137638840D01* -X78157264Y-137653134D01* -X78155800Y-137668000D01* -X74498200Y-137668000D01* -X74498200Y-137033000D01* -X78155800Y-137033000D01* -X78155800Y-137414000D01* -X78157264Y-137428866D01* -X78161600Y-137443160D01* -X78168642Y-137456334D01* -X78178118Y-137467882D01* -X78189666Y-137477358D01* -X78202840Y-137484400D01* -X78217134Y-137488736D01* -X78232000Y-137490200D01* -X78613000Y-137490200D01* -X78627866Y-137488736D01* -X78642160Y-137484400D01* -X78655334Y-137477358D01* -X78666882Y-137467882D01* -X78676358Y-137456334D01* -X78683400Y-137443160D01* -X78687736Y-137428866D01* -X78689200Y-137414000D01* -X78689200Y-137033000D01* -X78790800Y-137033000D01* -X78790800Y-137414000D01* -X78792264Y-137428866D01* -X78796600Y-137443160D01* -X78803642Y-137456334D01* -X78813118Y-137467882D01* -X78824666Y-137477358D01* -X78837840Y-137484400D01* -X78852134Y-137488736D01* -X78867000Y-137490200D01* -X79248000Y-137490200D01* -X79262866Y-137488736D01* -X79277160Y-137484400D01* -X79290334Y-137477358D01* -X79301882Y-137467882D01* -X79311358Y-137456334D01* -X79318400Y-137443160D01* -X79322736Y-137428866D01* -X79324200Y-137414000D01* -X79324200Y-137033000D01* -X80695800Y-137033000D01* -X80695800Y-137414000D01* -X80697264Y-137428866D01* -X80701600Y-137443160D01* -X80708642Y-137456334D01* -X80718118Y-137467882D01* -X80729666Y-137477358D01* -X80742840Y-137484400D01* -X80757134Y-137488736D01* -X80772000Y-137490200D01* -X81153000Y-137490200D01* -X81167866Y-137488736D01* -X81182160Y-137484400D01* -X81195334Y-137477358D01* -X81206882Y-137467882D01* -X81216358Y-137456334D01* -X81223400Y-137443160D01* -X81227736Y-137428866D01* -X81229200Y-137414000D01* -X81229200Y-137033000D01* -X81330800Y-137033000D01* -X81330800Y-137414000D01* -X81332264Y-137428866D01* -X81336600Y-137443160D01* -X81343642Y-137456334D01* -X81353118Y-137467882D01* -X81364666Y-137477358D01* -X81377840Y-137484400D01* -X81392134Y-137488736D01* -X81407000Y-137490200D01* -X81788000Y-137490200D01* -X81802866Y-137488736D01* -X81817160Y-137484400D01* -X81830334Y-137477358D01* -X81841882Y-137467882D01* -X81851358Y-137456334D01* -X81858400Y-137443160D01* -X81862736Y-137428866D01* -X81864200Y-137414000D01* -X81864200Y-137033000D01* -X83235800Y-137033000D01* -X83235800Y-137414000D01* -X83237264Y-137428866D01* -X83241600Y-137443160D01* -X83248642Y-137456334D01* -X83258118Y-137467882D01* -X83269666Y-137477358D01* -X83282840Y-137484400D01* -X83297134Y-137488736D01* -X83312000Y-137490200D01* -X83693000Y-137490200D01* -X83707866Y-137488736D01* -X83722160Y-137484400D01* -X83735334Y-137477358D01* -X83746882Y-137467882D01* -X83756358Y-137456334D01* -X83763400Y-137443160D01* -X83767736Y-137428866D01* -X83769200Y-137414000D01* -X83769200Y-137033000D01* -X83870800Y-137033000D01* -X83870800Y-137414000D01* -X83872264Y-137428866D01* -X83876600Y-137443160D01* -X83883642Y-137456334D01* -X83893118Y-137467882D01* -X83904666Y-137477358D01* -X83917840Y-137484400D01* -X83932134Y-137488736D01* -X83947000Y-137490200D01* -X84328000Y-137490200D01* -X84342866Y-137488736D01* -X84357160Y-137484400D01* -X84370334Y-137477358D01* -X84381882Y-137467882D01* -X84391358Y-137456334D01* -X84398400Y-137443160D01* -X84402736Y-137428866D01* -X84404200Y-137414000D01* -X84404200Y-137033000D01* -X85775800Y-137033000D01* -X85775800Y-137414000D01* -X85777264Y-137428866D01* -X85781600Y-137443160D01* -X85788642Y-137456334D01* -X85798118Y-137467882D01* -X85809666Y-137477358D01* -X85822840Y-137484400D01* -X85837134Y-137488736D01* -X85852000Y-137490200D01* -X86233000Y-137490200D01* -X86247866Y-137488736D01* -X86262160Y-137484400D01* -X86275334Y-137477358D01* -X86286882Y-137467882D01* -X86296358Y-137456334D01* -X86303400Y-137443160D01* -X86307736Y-137428866D01* -X86309200Y-137414000D01* -X86309200Y-137033000D01* -X86410800Y-137033000D01* -X86410800Y-137414000D01* -X86412264Y-137428866D01* -X86416600Y-137443160D01* -X86423642Y-137456334D01* -X86433118Y-137467882D01* -X86444666Y-137477358D01* -X86457840Y-137484400D01* -X86472134Y-137488736D01* -X86487000Y-137490200D01* -X86868000Y-137490200D01* -X86882866Y-137488736D01* -X86897160Y-137484400D01* -X86910334Y-137477358D01* -X86921882Y-137467882D01* -X86931358Y-137456334D01* -X86938400Y-137443160D01* -X86942736Y-137428866D01* -X86944200Y-137414000D01* -X86944200Y-137033000D01* -X88315800Y-137033000D01* -X88315800Y-137414000D01* -X88317264Y-137428866D01* -X88321600Y-137443160D01* -X88328642Y-137456334D01* -X88338118Y-137467882D01* -X88349666Y-137477358D01* -X88362840Y-137484400D01* -X88377134Y-137488736D01* -X88392000Y-137490200D01* -X88773000Y-137490200D01* -X88787866Y-137488736D01* -X88802160Y-137484400D01* -X88815334Y-137477358D01* -X88826882Y-137467882D01* -X88836358Y-137456334D01* -X88843400Y-137443160D01* -X88847736Y-137428866D01* -X88849200Y-137414000D01* -X88849200Y-137033000D01* -X88950800Y-137033000D01* -X88950800Y-137414000D01* -X88952264Y-137428866D01* -X88956600Y-137443160D01* -X88963642Y-137456334D01* -X88973118Y-137467882D01* -X88984666Y-137477358D01* -X88997840Y-137484400D01* -X89012134Y-137488736D01* -X89027000Y-137490200D01* -X89408000Y-137490200D01* -X89422866Y-137488736D01* -X89437160Y-137484400D01* -X89450334Y-137477358D01* -X89461882Y-137467882D01* -X89471358Y-137456334D01* -X89478400Y-137443160D01* -X89482736Y-137428866D01* -X89484200Y-137414000D01* -X89484200Y-137033000D01* -X90855800Y-137033000D01* -X90855800Y-137414000D01* -X90857264Y-137428866D01* -X90861600Y-137443160D01* -X90868642Y-137456334D01* -X90878118Y-137467882D01* -X90889666Y-137477358D01* -X90902840Y-137484400D01* -X90917134Y-137488736D01* -X90932000Y-137490200D01* -X91313000Y-137490200D01* -X91327866Y-137488736D01* -X91342160Y-137484400D01* -X91355334Y-137477358D01* -X91366882Y-137467882D01* -X91376358Y-137456334D01* -X91383400Y-137443160D01* -X91387736Y-137428866D01* -X91389200Y-137414000D01* -X91389200Y-137033000D01* -X91490800Y-137033000D01* -X91490800Y-137414000D01* -X91492264Y-137428866D01* -X91496600Y-137443160D01* -X91503642Y-137456334D01* -X91513118Y-137467882D01* -X91524666Y-137477358D01* -X91537840Y-137484400D01* -X91552134Y-137488736D01* -X91567000Y-137490200D01* -X91948000Y-137490200D01* -X91962866Y-137488736D01* -X91977160Y-137484400D01* -X91990334Y-137477358D01* -X92001882Y-137467882D01* -X92011358Y-137456334D01* -X92018400Y-137443160D01* -X92022736Y-137428866D01* -X92024200Y-137414000D01* -X92024200Y-137033000D01* -X93395800Y-137033000D01* -X93395800Y-137414000D01* -X93397264Y-137428866D01* -X93401600Y-137443160D01* -X93408642Y-137456334D01* -X93418118Y-137467882D01* -X93429666Y-137477358D01* -X93442840Y-137484400D01* -X93457134Y-137488736D01* -X93472000Y-137490200D01* -X93853000Y-137490200D01* -X93867866Y-137488736D01* -X93882160Y-137484400D01* -X93895334Y-137477358D01* -X93906882Y-137467882D01* -X93916358Y-137456334D01* -X93923400Y-137443160D01* -X93927736Y-137428866D01* -X93929200Y-137414000D01* -X93929200Y-137033000D01* -X94030800Y-137033000D01* -X94030800Y-137414000D01* -X94032264Y-137428866D01* -X94036600Y-137443160D01* -X94043642Y-137456334D01* -X94053118Y-137467882D01* -X94064666Y-137477358D01* -X94077840Y-137484400D01* -X94092134Y-137488736D01* -X94107000Y-137490200D01* -X94488000Y-137490200D01* -X94502866Y-137488736D01* -X94517160Y-137484400D01* -X94530334Y-137477358D01* -X94541882Y-137467882D01* -X94551358Y-137456334D01* -X94558400Y-137443160D01* -X94562736Y-137428866D01* -X94564200Y-137414000D01* -X94564200Y-137033000D01* -X95935800Y-137033000D01* -X95935800Y-137414000D01* -X95937264Y-137428866D01* -X95941600Y-137443160D01* -X95948642Y-137456334D01* -X95958118Y-137467882D01* -X95969666Y-137477358D01* -X95982840Y-137484400D01* -X95997134Y-137488736D01* -X96012000Y-137490200D01* -X96393000Y-137490200D01* -X96407866Y-137488736D01* -X96422160Y-137484400D01* -X96435334Y-137477358D01* -X96446882Y-137467882D01* -X96456358Y-137456334D01* -X96463400Y-137443160D01* -X96467736Y-137428866D01* -X96469200Y-137414000D01* -X96469200Y-137033000D01* -X96570800Y-137033000D01* -X96570800Y-137414000D01* -X96572264Y-137428866D01* -X96576600Y-137443160D01* -X96583642Y-137456334D01* -X96593118Y-137467882D01* -X96604666Y-137477358D01* -X96617840Y-137484400D01* -X96632134Y-137488736D01* -X96647000Y-137490200D01* -X97028000Y-137490200D01* -X97042866Y-137488736D01* -X97057160Y-137484400D01* -X97070334Y-137477358D01* -X97081882Y-137467882D01* -X97091358Y-137456334D01* -X97098400Y-137443160D01* -X97102736Y-137428866D01* -X97104200Y-137414000D01* -X97104200Y-137033000D01* -X98475800Y-137033000D01* -X98475800Y-137414000D01* -X98477264Y-137428866D01* -X98481600Y-137443160D01* -X98488642Y-137456334D01* -X98498118Y-137467882D01* -X98509666Y-137477358D01* -X98522840Y-137484400D01* -X98537134Y-137488736D01* -X98552000Y-137490200D01* -X98933000Y-137490200D01* -X98947866Y-137488736D01* -X98962160Y-137484400D01* -X98975334Y-137477358D01* -X98986882Y-137467882D01* -X98996358Y-137456334D01* -X99003400Y-137443160D01* -X99007736Y-137428866D01* -X99009200Y-137414000D01* -X99009200Y-137033000D01* -X99110800Y-137033000D01* -X99110800Y-137414000D01* -X99112264Y-137428866D01* -X99116600Y-137443160D01* -X99123642Y-137456334D01* -X99133118Y-137467882D01* -X99144666Y-137477358D01* -X99157840Y-137484400D01* -X99172134Y-137488736D01* -X99187000Y-137490200D01* -X99568000Y-137490200D01* -X99582866Y-137488736D01* -X99597160Y-137484400D01* -X99610334Y-137477358D01* -X99621882Y-137467882D01* -X99631358Y-137456334D01* -X99638400Y-137443160D01* -X99642736Y-137428866D01* -X99644200Y-137414000D01* -X99644200Y-137033000D01* -X101015800Y-137033000D01* -X101015800Y-137414000D01* -X101017264Y-137428866D01* -X101021600Y-137443160D01* -X101028642Y-137456334D01* -X101038118Y-137467882D01* -X101049666Y-137477358D01* -X101062840Y-137484400D01* -X101077134Y-137488736D01* -X101092000Y-137490200D01* -X101473000Y-137490200D01* -X101487866Y-137488736D01* -X101502160Y-137484400D01* -X101515334Y-137477358D01* -X101526882Y-137467882D01* -X101536358Y-137456334D01* -X101543400Y-137443160D01* -X101547736Y-137428866D01* -X101549200Y-137414000D01* -X101549200Y-137033000D01* -X101650800Y-137033000D01* -X101650800Y-137414000D01* -X101652264Y-137428866D01* -X101656600Y-137443160D01* -X101663642Y-137456334D01* -X101673118Y-137467882D01* -X101684666Y-137477358D01* -X101697840Y-137484400D01* -X101712134Y-137488736D01* -X101727000Y-137490200D01* -X102108000Y-137490200D01* -X102122866Y-137488736D01* -X102137160Y-137484400D01* -X102150334Y-137477358D01* -X102161882Y-137467882D01* -X102171358Y-137456334D01* -X102178400Y-137443160D01* -X102182736Y-137428866D01* -X102184200Y-137414000D01* -X102184200Y-137033000D01* -X103555800Y-137033000D01* -X103555800Y-137414000D01* -X103557264Y-137428866D01* -X103561600Y-137443160D01* -X103568642Y-137456334D01* -X103578118Y-137467882D01* -X103589666Y-137477358D01* -X103602840Y-137484400D01* -X103617134Y-137488736D01* -X103632000Y-137490200D01* -X104013000Y-137490200D01* -X104027866Y-137488736D01* -X104042160Y-137484400D01* -X104055334Y-137477358D01* -X104066882Y-137467882D01* -X104076358Y-137456334D01* -X104083400Y-137443160D01* -X104087736Y-137428866D01* -X104089200Y-137414000D01* -X104089200Y-137033000D01* -X104190800Y-137033000D01* -X104190800Y-137414000D01* -X104192264Y-137428866D01* -X104196600Y-137443160D01* -X104203642Y-137456334D01* -X104213118Y-137467882D01* -X104224666Y-137477358D01* -X104237840Y-137484400D01* -X104252134Y-137488736D01* -X104267000Y-137490200D01* -X104648000Y-137490200D01* -X104662866Y-137488736D01* -X104677160Y-137484400D01* -X104690334Y-137477358D01* -X104701882Y-137467882D01* -X104711358Y-137456334D01* -X104718400Y-137443160D01* -X104722736Y-137428866D01* -X104724200Y-137414000D01* -X104724200Y-137033000D01* -X106095800Y-137033000D01* -X106095800Y-137414000D01* -X106097264Y-137428866D01* -X106101600Y-137443160D01* -X106108642Y-137456334D01* -X106118118Y-137467882D01* -X106129666Y-137477358D01* -X106142840Y-137484400D01* -X106157134Y-137488736D01* -X106172000Y-137490200D01* -X106553000Y-137490200D01* -X106567866Y-137488736D01* -X106582160Y-137484400D01* -X106595334Y-137477358D01* -X106606882Y-137467882D01* -X106616358Y-137456334D01* -X106623400Y-137443160D01* -X106627736Y-137428866D01* -X106629200Y-137414000D01* -X106629200Y-137033000D01* -X106730800Y-137033000D01* -X106730800Y-137414000D01* -X106732264Y-137428866D01* -X106736600Y-137443160D01* -X106743642Y-137456334D01* -X106753118Y-137467882D01* -X106764666Y-137477358D01* -X106777840Y-137484400D01* -X106792134Y-137488736D01* -X106807000Y-137490200D01* -X107188000Y-137490200D01* -X107202866Y-137488736D01* -X107217160Y-137484400D01* -X107230334Y-137477358D01* -X107241882Y-137467882D01* -X107251358Y-137456334D01* -X107258400Y-137443160D01* -X107262736Y-137428866D01* -X107264200Y-137414000D01* -X107264200Y-137033000D01* -X108635800Y-137033000D01* -X108635800Y-137414000D01* -X108637264Y-137428866D01* -X108641600Y-137443160D01* -X108648642Y-137456334D01* -X108658118Y-137467882D01* -X108669666Y-137477358D01* -X108682840Y-137484400D01* -X108697134Y-137488736D01* -X108712000Y-137490200D01* -X109093000Y-137490200D01* -X109107866Y-137488736D01* -X109122160Y-137484400D01* -X109135334Y-137477358D01* -X109146882Y-137467882D01* -X109156358Y-137456334D01* -X109163400Y-137443160D01* -X109167736Y-137428866D01* -X109169200Y-137414000D01* -X109169200Y-137033000D01* -X109270800Y-137033000D01* -X109270800Y-137414000D01* -X109272264Y-137428866D01* -X109276600Y-137443160D01* -X109283642Y-137456334D01* -X109293118Y-137467882D01* -X109304666Y-137477358D01* -X109317840Y-137484400D01* -X109332134Y-137488736D01* -X109347000Y-137490200D01* -X109728000Y-137490200D01* -X109742866Y-137488736D01* -X109757160Y-137484400D01* -X109770334Y-137477358D01* -X109781882Y-137467882D01* -X109791358Y-137456334D01* -X109798400Y-137443160D01* -X109802736Y-137428866D01* -X109804200Y-137414000D01* -X109804200Y-137033000D01* -X111175800Y-137033000D01* -X111175800Y-137414000D01* -X111177264Y-137428866D01* -X111181600Y-137443160D01* -X111188642Y-137456334D01* -X111198118Y-137467882D01* -X111209666Y-137477358D01* -X111222840Y-137484400D01* -X111237134Y-137488736D01* -X111252000Y-137490200D01* -X111633000Y-137490200D01* -X111647866Y-137488736D01* -X111662160Y-137484400D01* -X111675334Y-137477358D01* -X111686882Y-137467882D01* -X111696358Y-137456334D01* -X111703400Y-137443160D01* -X111707736Y-137428866D01* -X111709200Y-137414000D01* -X111709200Y-137033000D01* -X111810800Y-137033000D01* -X111810800Y-137414000D01* -X111812264Y-137428866D01* -X111816600Y-137443160D01* -X111823642Y-137456334D01* -X111833118Y-137467882D01* -X111844666Y-137477358D01* -X111857840Y-137484400D01* -X111872134Y-137488736D01* -X111887000Y-137490200D01* -X112268000Y-137490200D01* -X112282866Y-137488736D01* -X112297160Y-137484400D01* -X112310334Y-137477358D01* -X112321882Y-137467882D01* -X112331358Y-137456334D01* -X112338400Y-137443160D01* -X112342736Y-137428866D01* -X112344200Y-137414000D01* -X112344200Y-137033000D01* -X113715800Y-137033000D01* -X113715800Y-137414000D01* -X113717264Y-137428866D01* -X113721600Y-137443160D01* -X113728642Y-137456334D01* -X113738118Y-137467882D01* -X113749666Y-137477358D01* -X113762840Y-137484400D01* -X113777134Y-137488736D01* -X113792000Y-137490200D01* -X114173000Y-137490200D01* -X114187866Y-137488736D01* -X114202160Y-137484400D01* -X114215334Y-137477358D01* -X114226882Y-137467882D01* -X114236358Y-137456334D01* -X114243400Y-137443160D01* -X114247736Y-137428866D01* -X114249200Y-137414000D01* -X114249200Y-137033000D01* -X114350800Y-137033000D01* -X114350800Y-137414000D01* -X114352264Y-137428866D01* -X114356600Y-137443160D01* -X114363642Y-137456334D01* -X114373118Y-137467882D01* -X114384666Y-137477358D01* -X114397840Y-137484400D01* -X114412134Y-137488736D01* -X114427000Y-137490200D01* -X114808000Y-137490200D01* -X114822866Y-137488736D01* -X114837160Y-137484400D01* -X114850334Y-137477358D01* -X114861882Y-137467882D01* -X114871358Y-137456334D01* -X114878400Y-137443160D01* -X114882736Y-137428866D01* -X114884200Y-137414000D01* -X114884200Y-137033000D01* -X121335800Y-137033000D01* -X121335800Y-137414000D01* -X121337264Y-137428866D01* -X121341600Y-137443160D01* -X121348642Y-137456334D01* -X121358118Y-137467882D01* -X121369666Y-137477358D01* -X121382840Y-137484400D01* -X121397134Y-137488736D01* -X121412000Y-137490200D01* -X121793000Y-137490200D01* -X121807866Y-137488736D01* -X121822160Y-137484400D01* -X121835334Y-137477358D01* -X121846882Y-137467882D01* -X121856358Y-137456334D01* -X121863400Y-137443160D01* -X121867736Y-137428866D01* -X121869200Y-137414000D01* -X121869200Y-137033000D01* -X121970800Y-137033000D01* -X121970800Y-137414000D01* -X121972264Y-137428866D01* -X121976600Y-137443160D01* -X121983642Y-137456334D01* -X121993118Y-137467882D01* -X122004666Y-137477358D01* -X122017840Y-137484400D01* -X122032134Y-137488736D01* -X122047000Y-137490200D01* -X122428000Y-137490200D01* -X122442866Y-137488736D01* -X122457160Y-137484400D01* -X122470334Y-137477358D01* -X122481882Y-137467882D01* -X122491358Y-137456334D01* -X122498400Y-137443160D01* -X122502736Y-137428866D01* -X122504200Y-137414000D01* -X122504200Y-137033000D01* -X123875800Y-137033000D01* -X123875800Y-137414000D01* -X123877264Y-137428866D01* -X123881600Y-137443160D01* -X123888642Y-137456334D01* -X123898118Y-137467882D01* -X123909666Y-137477358D01* -X123922840Y-137484400D01* -X123937134Y-137488736D01* -X123952000Y-137490200D01* -X124333000Y-137490200D01* -X124347866Y-137488736D01* -X124362160Y-137484400D01* -X124375334Y-137477358D01* -X124386882Y-137467882D01* -X124396358Y-137456334D01* -X124403400Y-137443160D01* -X124407736Y-137428866D01* -X124409200Y-137414000D01* -X124409200Y-137033000D01* -X124510800Y-137033000D01* -X124510800Y-137414000D01* -X124512264Y-137428866D01* -X124516600Y-137443160D01* -X124523642Y-137456334D01* -X124533118Y-137467882D01* -X124544666Y-137477358D01* -X124557840Y-137484400D01* -X124572134Y-137488736D01* -X124587000Y-137490200D01* -X124968000Y-137490200D01* -X124982866Y-137488736D01* -X124997160Y-137484400D01* -X125010334Y-137477358D01* -X125021882Y-137467882D01* -X125031358Y-137456334D01* -X125038400Y-137443160D01* -X125042736Y-137428866D01* -X125044200Y-137414000D01* -X125044200Y-137033000D01* -X126415800Y-137033000D01* -X126415800Y-137414000D01* -X126417264Y-137428866D01* -X126421600Y-137443160D01* -X126428642Y-137456334D01* -X126438118Y-137467882D01* -X126449666Y-137477358D01* -X126462840Y-137484400D01* -X126477134Y-137488736D01* -X126492000Y-137490200D01* -X126873000Y-137490200D01* -X126887866Y-137488736D01* -X126902160Y-137484400D01* -X126915334Y-137477358D01* -X126926882Y-137467882D01* -X126936358Y-137456334D01* -X126943400Y-137443160D01* -X126947736Y-137428866D01* -X126949200Y-137414000D01* -X126949200Y-137033000D01* -X127050800Y-137033000D01* -X127050800Y-137414000D01* -X127052264Y-137428866D01* -X127056600Y-137443160D01* -X127063642Y-137456334D01* -X127073118Y-137467882D01* -X127084666Y-137477358D01* -X127097840Y-137484400D01* -X127112134Y-137488736D01* -X127127000Y-137490200D01* -X127508000Y-137490200D01* -X127522866Y-137488736D01* -X127537160Y-137484400D01* -X127550334Y-137477358D01* -X127561882Y-137467882D01* -X127571358Y-137456334D01* -X127578400Y-137443160D01* -X127582736Y-137428866D01* -X127584200Y-137414000D01* -X127584200Y-137033000D01* -X128955800Y-137033000D01* -X128955800Y-137414000D01* -X128957264Y-137428866D01* -X128961600Y-137443160D01* -X128968642Y-137456334D01* -X128978118Y-137467882D01* -X128989666Y-137477358D01* -X129002840Y-137484400D01* -X129017134Y-137488736D01* -X129032000Y-137490200D01* -X129413000Y-137490200D01* -X129427866Y-137488736D01* -X129442160Y-137484400D01* -X129455334Y-137477358D01* -X129466882Y-137467882D01* -X129476358Y-137456334D01* -X129483400Y-137443160D01* -X129487736Y-137428866D01* -X129489200Y-137414000D01* -X129489200Y-137033000D01* -X129590800Y-137033000D01* -X129590800Y-137414000D01* -X129592264Y-137428866D01* -X129596600Y-137443160D01* -X129603642Y-137456334D01* -X129613118Y-137467882D01* -X129624666Y-137477358D01* -X129637840Y-137484400D01* -X129652134Y-137488736D01* -X129667000Y-137490200D01* -X130048000Y-137490200D01* -X130062866Y-137488736D01* -X130077160Y-137484400D01* -X130090334Y-137477358D01* -X130101882Y-137467882D01* -X130111358Y-137456334D01* -X130118400Y-137443160D01* -X130122736Y-137428866D01* -X130124200Y-137414000D01* -X130124200Y-137033000D01* -X131495800Y-137033000D01* -X131495800Y-137414000D01* -X131497264Y-137428866D01* -X131501600Y-137443160D01* -X131508642Y-137456334D01* -X131518118Y-137467882D01* -X131529666Y-137477358D01* -X131542840Y-137484400D01* -X131557134Y-137488736D01* -X131572000Y-137490200D01* -X131953000Y-137490200D01* -X131967866Y-137488736D01* -X131982160Y-137484400D01* -X131995334Y-137477358D01* -X132006882Y-137467882D01* -X132016358Y-137456334D01* -X132023400Y-137443160D01* -X132027736Y-137428866D01* -X132029200Y-137414000D01* -X132029200Y-137033000D01* -X132130800Y-137033000D01* -X132130800Y-137414000D01* -X132132264Y-137428866D01* -X132136600Y-137443160D01* -X132143642Y-137456334D01* -X132153118Y-137467882D01* -X132164666Y-137477358D01* -X132177840Y-137484400D01* -X132192134Y-137488736D01* -X132207000Y-137490200D01* -X132588000Y-137490200D01* -X132602866Y-137488736D01* -X132617160Y-137484400D01* -X132630334Y-137477358D01* -X132641882Y-137467882D01* -X132651358Y-137456334D01* -X132658400Y-137443160D01* -X132662736Y-137428866D01* -X132664200Y-137414000D01* -X132664200Y-137033000D01* -X134035800Y-137033000D01* -X134035800Y-137414000D01* -X134037264Y-137428866D01* -X134041600Y-137443160D01* -X134048642Y-137456334D01* -X134058118Y-137467882D01* -X134069666Y-137477358D01* -X134082840Y-137484400D01* -X134097134Y-137488736D01* -X134112000Y-137490200D01* -X134493000Y-137490200D01* -X134507866Y-137488736D01* -X134522160Y-137484400D01* -X134535334Y-137477358D01* -X134546882Y-137467882D01* -X134556358Y-137456334D01* -X134563400Y-137443160D01* -X134567736Y-137428866D01* -X134569200Y-137414000D01* -X134569200Y-137033000D01* -X134670800Y-137033000D01* -X134670800Y-137414000D01* -X134672264Y-137428866D01* -X134676600Y-137443160D01* -X134683642Y-137456334D01* -X134693118Y-137467882D01* -X134704666Y-137477358D01* -X134717840Y-137484400D01* -X134732134Y-137488736D01* -X134747000Y-137490200D01* -X135128000Y-137490200D01* -X135142866Y-137488736D01* -X135157160Y-137484400D01* -X135170334Y-137477358D01* -X135181882Y-137467882D01* -X135191358Y-137456334D01* -X135198400Y-137443160D01* -X135202736Y-137428866D01* -X135204200Y-137414000D01* -X135204200Y-137033000D01* -X135202736Y-137018134D01* -X135198400Y-137003840D01* -X135191358Y-136990666D01* -X135181882Y-136979118D01* -X135170334Y-136969642D01* -X135157160Y-136962600D01* -X135142866Y-136958264D01* -X135128000Y-136956800D01* -X134747000Y-136956800D01* -X134732134Y-136958264D01* -X134717840Y-136962600D01* -X134704666Y-136969642D01* -X134693118Y-136979118D01* -X134683642Y-136990666D01* -X134676600Y-137003840D01* -X134672264Y-137018134D01* -X134670800Y-137033000D01* -X134569200Y-137033000D01* -X134567736Y-137018134D01* -X134563400Y-137003840D01* -X134556358Y-136990666D01* -X134546882Y-136979118D01* -X134535334Y-136969642D01* -X134522160Y-136962600D01* -X134507866Y-136958264D01* -X134493000Y-136956800D01* -X134112000Y-136956800D01* -X134097134Y-136958264D01* -X134082840Y-136962600D01* -X134069666Y-136969642D01* -X134058118Y-136979118D01* -X134048642Y-136990666D01* -X134041600Y-137003840D01* -X134037264Y-137018134D01* -X134035800Y-137033000D01* -X132664200Y-137033000D01* -X132662736Y-137018134D01* -X132658400Y-137003840D01* -X132651358Y-136990666D01* -X132641882Y-136979118D01* -X132630334Y-136969642D01* -X132617160Y-136962600D01* -X132602866Y-136958264D01* -X132588000Y-136956800D01* -X132207000Y-136956800D01* -X132192134Y-136958264D01* -X132177840Y-136962600D01* -X132164666Y-136969642D01* -X132153118Y-136979118D01* -X132143642Y-136990666D01* -X132136600Y-137003840D01* -X132132264Y-137018134D01* -X132130800Y-137033000D01* -X132029200Y-137033000D01* -X132027736Y-137018134D01* -X132023400Y-137003840D01* -X132016358Y-136990666D01* -X132006882Y-136979118D01* -X131995334Y-136969642D01* -X131982160Y-136962600D01* -X131967866Y-136958264D01* -X131953000Y-136956800D01* -X131572000Y-136956800D01* -X131557134Y-136958264D01* -X131542840Y-136962600D01* -X131529666Y-136969642D01* -X131518118Y-136979118D01* -X131508642Y-136990666D01* -X131501600Y-137003840D01* -X131497264Y-137018134D01* -X131495800Y-137033000D01* -X130124200Y-137033000D01* -X130122736Y-137018134D01* -X130118400Y-137003840D01* -X130111358Y-136990666D01* -X130101882Y-136979118D01* -X130090334Y-136969642D01* -X130077160Y-136962600D01* -X130062866Y-136958264D01* -X130048000Y-136956800D01* -X129667000Y-136956800D01* -X129652134Y-136958264D01* -X129637840Y-136962600D01* -X129624666Y-136969642D01* -X129613118Y-136979118D01* -X129603642Y-136990666D01* -X129596600Y-137003840D01* -X129592264Y-137018134D01* -X129590800Y-137033000D01* -X129489200Y-137033000D01* -X129487736Y-137018134D01* -X129483400Y-137003840D01* -X129476358Y-136990666D01* -X129466882Y-136979118D01* -X129455334Y-136969642D01* -X129442160Y-136962600D01* -X129427866Y-136958264D01* -X129413000Y-136956800D01* -X129032000Y-136956800D01* -X129017134Y-136958264D01* -X129002840Y-136962600D01* -X128989666Y-136969642D01* -X128978118Y-136979118D01* -X128968642Y-136990666D01* -X128961600Y-137003840D01* -X128957264Y-137018134D01* -X128955800Y-137033000D01* -X127584200Y-137033000D01* -X127582736Y-137018134D01* -X127578400Y-137003840D01* -X127571358Y-136990666D01* -X127561882Y-136979118D01* -X127550334Y-136969642D01* -X127537160Y-136962600D01* -X127522866Y-136958264D01* -X127508000Y-136956800D01* -X127127000Y-136956800D01* -X127112134Y-136958264D01* -X127097840Y-136962600D01* -X127084666Y-136969642D01* -X127073118Y-136979118D01* -X127063642Y-136990666D01* -X127056600Y-137003840D01* -X127052264Y-137018134D01* -X127050800Y-137033000D01* -X126949200Y-137033000D01* -X126947736Y-137018134D01* -X126943400Y-137003840D01* -X126936358Y-136990666D01* -X126926882Y-136979118D01* -X126915334Y-136969642D01* -X126902160Y-136962600D01* -X126887866Y-136958264D01* -X126873000Y-136956800D01* -X126492000Y-136956800D01* -X126477134Y-136958264D01* -X126462840Y-136962600D01* -X126449666Y-136969642D01* -X126438118Y-136979118D01* -X126428642Y-136990666D01* -X126421600Y-137003840D01* -X126417264Y-137018134D01* -X126415800Y-137033000D01* -X125044200Y-137033000D01* -X125042736Y-137018134D01* -X125038400Y-137003840D01* -X125031358Y-136990666D01* -X125021882Y-136979118D01* -X125010334Y-136969642D01* -X124997160Y-136962600D01* -X124982866Y-136958264D01* -X124968000Y-136956800D01* -X124587000Y-136956800D01* -X124572134Y-136958264D01* -X124557840Y-136962600D01* -X124544666Y-136969642D01* -X124533118Y-136979118D01* -X124523642Y-136990666D01* -X124516600Y-137003840D01* -X124512264Y-137018134D01* -X124510800Y-137033000D01* -X124409200Y-137033000D01* -X124407736Y-137018134D01* -X124403400Y-137003840D01* -X124396358Y-136990666D01* -X124386882Y-136979118D01* -X124375334Y-136969642D01* -X124362160Y-136962600D01* -X124347866Y-136958264D01* -X124333000Y-136956800D01* -X123952000Y-136956800D01* -X123937134Y-136958264D01* -X123922840Y-136962600D01* -X123909666Y-136969642D01* -X123898118Y-136979118D01* -X123888642Y-136990666D01* -X123881600Y-137003840D01* -X123877264Y-137018134D01* -X123875800Y-137033000D01* -X122504200Y-137033000D01* -X122502736Y-137018134D01* -X122498400Y-137003840D01* -X122491358Y-136990666D01* -X122481882Y-136979118D01* -X122470334Y-136969642D01* -X122457160Y-136962600D01* -X122442866Y-136958264D01* -X122428000Y-136956800D01* -X122047000Y-136956800D01* -X122032134Y-136958264D01* -X122017840Y-136962600D01* -X122004666Y-136969642D01* -X121993118Y-136979118D01* -X121983642Y-136990666D01* -X121976600Y-137003840D01* -X121972264Y-137018134D01* -X121970800Y-137033000D01* -X121869200Y-137033000D01* -X121867736Y-137018134D01* -X121863400Y-137003840D01* -X121856358Y-136990666D01* -X121846882Y-136979118D01* -X121835334Y-136969642D01* -X121822160Y-136962600D01* -X121807866Y-136958264D01* -X121793000Y-136956800D01* -X121412000Y-136956800D01* -X121397134Y-136958264D01* -X121382840Y-136962600D01* -X121369666Y-136969642D01* -X121358118Y-136979118D01* -X121348642Y-136990666D01* -X121341600Y-137003840D01* -X121337264Y-137018134D01* -X121335800Y-137033000D01* -X114884200Y-137033000D01* -X114882736Y-137018134D01* -X114878400Y-137003840D01* -X114871358Y-136990666D01* -X114861882Y-136979118D01* -X114850334Y-136969642D01* -X114837160Y-136962600D01* -X114822866Y-136958264D01* -X114808000Y-136956800D01* -X114427000Y-136956800D01* -X114412134Y-136958264D01* -X114397840Y-136962600D01* -X114384666Y-136969642D01* -X114373118Y-136979118D01* -X114363642Y-136990666D01* -X114356600Y-137003840D01* -X114352264Y-137018134D01* -X114350800Y-137033000D01* -X114249200Y-137033000D01* -X114247736Y-137018134D01* -X114243400Y-137003840D01* -X114236358Y-136990666D01* -X114226882Y-136979118D01* -X114215334Y-136969642D01* -X114202160Y-136962600D01* -X114187866Y-136958264D01* -X114173000Y-136956800D01* -X113792000Y-136956800D01* -X113777134Y-136958264D01* -X113762840Y-136962600D01* -X113749666Y-136969642D01* -X113738118Y-136979118D01* -X113728642Y-136990666D01* -X113721600Y-137003840D01* -X113717264Y-137018134D01* -X113715800Y-137033000D01* -X112344200Y-137033000D01* -X112342736Y-137018134D01* -X112338400Y-137003840D01* -X112331358Y-136990666D01* -X112321882Y-136979118D01* -X112310334Y-136969642D01* -X112297160Y-136962600D01* -X112282866Y-136958264D01* -X112268000Y-136956800D01* -X111887000Y-136956800D01* -X111872134Y-136958264D01* -X111857840Y-136962600D01* -X111844666Y-136969642D01* -X111833118Y-136979118D01* -X111823642Y-136990666D01* -X111816600Y-137003840D01* -X111812264Y-137018134D01* -X111810800Y-137033000D01* -X111709200Y-137033000D01* -X111707736Y-137018134D01* -X111703400Y-137003840D01* -X111696358Y-136990666D01* -X111686882Y-136979118D01* -X111675334Y-136969642D01* -X111662160Y-136962600D01* -X111647866Y-136958264D01* -X111633000Y-136956800D01* -X111252000Y-136956800D01* -X111237134Y-136958264D01* -X111222840Y-136962600D01* -X111209666Y-136969642D01* -X111198118Y-136979118D01* -X111188642Y-136990666D01* -X111181600Y-137003840D01* -X111177264Y-137018134D01* -X111175800Y-137033000D01* -X109804200Y-137033000D01* -X109802736Y-137018134D01* -X109798400Y-137003840D01* -X109791358Y-136990666D01* -X109781882Y-136979118D01* -X109770334Y-136969642D01* -X109757160Y-136962600D01* -X109742866Y-136958264D01* -X109728000Y-136956800D01* -X109347000Y-136956800D01* -X109332134Y-136958264D01* -X109317840Y-136962600D01* -X109304666Y-136969642D01* -X109293118Y-136979118D01* -X109283642Y-136990666D01* -X109276600Y-137003840D01* -X109272264Y-137018134D01* -X109270800Y-137033000D01* -X109169200Y-137033000D01* -X109167736Y-137018134D01* -X109163400Y-137003840D01* -X109156358Y-136990666D01* -X109146882Y-136979118D01* -X109135334Y-136969642D01* -X109122160Y-136962600D01* -X109107866Y-136958264D01* -X109093000Y-136956800D01* -X108712000Y-136956800D01* -X108697134Y-136958264D01* -X108682840Y-136962600D01* -X108669666Y-136969642D01* -X108658118Y-136979118D01* -X108648642Y-136990666D01* -X108641600Y-137003840D01* -X108637264Y-137018134D01* -X108635800Y-137033000D01* -X107264200Y-137033000D01* -X107262736Y-137018134D01* -X107258400Y-137003840D01* -X107251358Y-136990666D01* -X107241882Y-136979118D01* -X107230334Y-136969642D01* -X107217160Y-136962600D01* -X107202866Y-136958264D01* -X107188000Y-136956800D01* -X106807000Y-136956800D01* -X106792134Y-136958264D01* -X106777840Y-136962600D01* -X106764666Y-136969642D01* -X106753118Y-136979118D01* -X106743642Y-136990666D01* -X106736600Y-137003840D01* -X106732264Y-137018134D01* -X106730800Y-137033000D01* -X106629200Y-137033000D01* -X106627736Y-137018134D01* -X106623400Y-137003840D01* -X106616358Y-136990666D01* -X106606882Y-136979118D01* -X106595334Y-136969642D01* -X106582160Y-136962600D01* -X106567866Y-136958264D01* -X106553000Y-136956800D01* -X106172000Y-136956800D01* -X106157134Y-136958264D01* -X106142840Y-136962600D01* -X106129666Y-136969642D01* -X106118118Y-136979118D01* -X106108642Y-136990666D01* -X106101600Y-137003840D01* -X106097264Y-137018134D01* -X106095800Y-137033000D01* -X104724200Y-137033000D01* -X104722736Y-137018134D01* -X104718400Y-137003840D01* -X104711358Y-136990666D01* -X104701882Y-136979118D01* -X104690334Y-136969642D01* -X104677160Y-136962600D01* -X104662866Y-136958264D01* -X104648000Y-136956800D01* -X104267000Y-136956800D01* -X104252134Y-136958264D01* -X104237840Y-136962600D01* -X104224666Y-136969642D01* -X104213118Y-136979118D01* -X104203642Y-136990666D01* -X104196600Y-137003840D01* -X104192264Y-137018134D01* -X104190800Y-137033000D01* -X104089200Y-137033000D01* -X104087736Y-137018134D01* -X104083400Y-137003840D01* -X104076358Y-136990666D01* -X104066882Y-136979118D01* -X104055334Y-136969642D01* -X104042160Y-136962600D01* -X104027866Y-136958264D01* -X104013000Y-136956800D01* -X103632000Y-136956800D01* -X103617134Y-136958264D01* -X103602840Y-136962600D01* -X103589666Y-136969642D01* -X103578118Y-136979118D01* -X103568642Y-136990666D01* -X103561600Y-137003840D01* -X103557264Y-137018134D01* -X103555800Y-137033000D01* -X102184200Y-137033000D01* -X102182736Y-137018134D01* -X102178400Y-137003840D01* -X102171358Y-136990666D01* -X102161882Y-136979118D01* -X102150334Y-136969642D01* -X102137160Y-136962600D01* -X102122866Y-136958264D01* -X102108000Y-136956800D01* -X101727000Y-136956800D01* -X101712134Y-136958264D01* -X101697840Y-136962600D01* -X101684666Y-136969642D01* -X101673118Y-136979118D01* -X101663642Y-136990666D01* -X101656600Y-137003840D01* -X101652264Y-137018134D01* -X101650800Y-137033000D01* -X101549200Y-137033000D01* -X101547736Y-137018134D01* -X101543400Y-137003840D01* -X101536358Y-136990666D01* -X101526882Y-136979118D01* -X101515334Y-136969642D01* -X101502160Y-136962600D01* -X101487866Y-136958264D01* -X101473000Y-136956800D01* -X101092000Y-136956800D01* -X101077134Y-136958264D01* -X101062840Y-136962600D01* -X101049666Y-136969642D01* -X101038118Y-136979118D01* -X101028642Y-136990666D01* -X101021600Y-137003840D01* -X101017264Y-137018134D01* -X101015800Y-137033000D01* -X99644200Y-137033000D01* -X99642736Y-137018134D01* -X99638400Y-137003840D01* -X99631358Y-136990666D01* -X99621882Y-136979118D01* -X99610334Y-136969642D01* -X99597160Y-136962600D01* -X99582866Y-136958264D01* -X99568000Y-136956800D01* -X99187000Y-136956800D01* -X99172134Y-136958264D01* -X99157840Y-136962600D01* -X99144666Y-136969642D01* -X99133118Y-136979118D01* -X99123642Y-136990666D01* -X99116600Y-137003840D01* -X99112264Y-137018134D01* -X99110800Y-137033000D01* -X99009200Y-137033000D01* -X99007736Y-137018134D01* -X99003400Y-137003840D01* -X98996358Y-136990666D01* -X98986882Y-136979118D01* -X98975334Y-136969642D01* -X98962160Y-136962600D01* -X98947866Y-136958264D01* -X98933000Y-136956800D01* -X98552000Y-136956800D01* -X98537134Y-136958264D01* -X98522840Y-136962600D01* -X98509666Y-136969642D01* -X98498118Y-136979118D01* -X98488642Y-136990666D01* -X98481600Y-137003840D01* -X98477264Y-137018134D01* -X98475800Y-137033000D01* -X97104200Y-137033000D01* -X97102736Y-137018134D01* -X97098400Y-137003840D01* -X97091358Y-136990666D01* -X97081882Y-136979118D01* -X97070334Y-136969642D01* -X97057160Y-136962600D01* -X97042866Y-136958264D01* -X97028000Y-136956800D01* -X96647000Y-136956800D01* -X96632134Y-136958264D01* -X96617840Y-136962600D01* -X96604666Y-136969642D01* -X96593118Y-136979118D01* -X96583642Y-136990666D01* -X96576600Y-137003840D01* -X96572264Y-137018134D01* -X96570800Y-137033000D01* -X96469200Y-137033000D01* -X96467736Y-137018134D01* -X96463400Y-137003840D01* -X96456358Y-136990666D01* -X96446882Y-136979118D01* -X96435334Y-136969642D01* -X96422160Y-136962600D01* -X96407866Y-136958264D01* -X96393000Y-136956800D01* -X96012000Y-136956800D01* -X95997134Y-136958264D01* -X95982840Y-136962600D01* -X95969666Y-136969642D01* -X95958118Y-136979118D01* -X95948642Y-136990666D01* -X95941600Y-137003840D01* -X95937264Y-137018134D01* -X95935800Y-137033000D01* -X94564200Y-137033000D01* -X94562736Y-137018134D01* -X94558400Y-137003840D01* -X94551358Y-136990666D01* -X94541882Y-136979118D01* -X94530334Y-136969642D01* -X94517160Y-136962600D01* -X94502866Y-136958264D01* -X94488000Y-136956800D01* -X94107000Y-136956800D01* -X94092134Y-136958264D01* -X94077840Y-136962600D01* -X94064666Y-136969642D01* -X94053118Y-136979118D01* -X94043642Y-136990666D01* -X94036600Y-137003840D01* -X94032264Y-137018134D01* -X94030800Y-137033000D01* -X93929200Y-137033000D01* -X93927736Y-137018134D01* -X93923400Y-137003840D01* -X93916358Y-136990666D01* -X93906882Y-136979118D01* -X93895334Y-136969642D01* -X93882160Y-136962600D01* -X93867866Y-136958264D01* -X93853000Y-136956800D01* -X93472000Y-136956800D01* -X93457134Y-136958264D01* -X93442840Y-136962600D01* -X93429666Y-136969642D01* -X93418118Y-136979118D01* -X93408642Y-136990666D01* -X93401600Y-137003840D01* -X93397264Y-137018134D01* -X93395800Y-137033000D01* -X92024200Y-137033000D01* -X92022736Y-137018134D01* -X92018400Y-137003840D01* -X92011358Y-136990666D01* -X92001882Y-136979118D01* -X91990334Y-136969642D01* -X91977160Y-136962600D01* -X91962866Y-136958264D01* -X91948000Y-136956800D01* -X91567000Y-136956800D01* -X91552134Y-136958264D01* -X91537840Y-136962600D01* -X91524666Y-136969642D01* -X91513118Y-136979118D01* -X91503642Y-136990666D01* -X91496600Y-137003840D01* -X91492264Y-137018134D01* -X91490800Y-137033000D01* -X91389200Y-137033000D01* -X91387736Y-137018134D01* -X91383400Y-137003840D01* -X91376358Y-136990666D01* -X91366882Y-136979118D01* -X91355334Y-136969642D01* -X91342160Y-136962600D01* -X91327866Y-136958264D01* -X91313000Y-136956800D01* -X90932000Y-136956800D01* -X90917134Y-136958264D01* -X90902840Y-136962600D01* -X90889666Y-136969642D01* -X90878118Y-136979118D01* -X90868642Y-136990666D01* -X90861600Y-137003840D01* -X90857264Y-137018134D01* -X90855800Y-137033000D01* -X89484200Y-137033000D01* -X89482736Y-137018134D01* -X89478400Y-137003840D01* -X89471358Y-136990666D01* -X89461882Y-136979118D01* -X89450334Y-136969642D01* -X89437160Y-136962600D01* -X89422866Y-136958264D01* -X89408000Y-136956800D01* -X89027000Y-136956800D01* -X89012134Y-136958264D01* -X88997840Y-136962600D01* -X88984666Y-136969642D01* -X88973118Y-136979118D01* -X88963642Y-136990666D01* -X88956600Y-137003840D01* -X88952264Y-137018134D01* -X88950800Y-137033000D01* -X88849200Y-137033000D01* -X88847736Y-137018134D01* -X88843400Y-137003840D01* -X88836358Y-136990666D01* -X88826882Y-136979118D01* -X88815334Y-136969642D01* -X88802160Y-136962600D01* -X88787866Y-136958264D01* -X88773000Y-136956800D01* -X88392000Y-136956800D01* -X88377134Y-136958264D01* -X88362840Y-136962600D01* -X88349666Y-136969642D01* -X88338118Y-136979118D01* -X88328642Y-136990666D01* -X88321600Y-137003840D01* -X88317264Y-137018134D01* -X88315800Y-137033000D01* -X86944200Y-137033000D01* -X86942736Y-137018134D01* -X86938400Y-137003840D01* -X86931358Y-136990666D01* -X86921882Y-136979118D01* -X86910334Y-136969642D01* -X86897160Y-136962600D01* -X86882866Y-136958264D01* -X86868000Y-136956800D01* -X86487000Y-136956800D01* -X86472134Y-136958264D01* -X86457840Y-136962600D01* -X86444666Y-136969642D01* -X86433118Y-136979118D01* -X86423642Y-136990666D01* -X86416600Y-137003840D01* -X86412264Y-137018134D01* -X86410800Y-137033000D01* -X86309200Y-137033000D01* -X86307736Y-137018134D01* -X86303400Y-137003840D01* -X86296358Y-136990666D01* -X86286882Y-136979118D01* -X86275334Y-136969642D01* -X86262160Y-136962600D01* -X86247866Y-136958264D01* -X86233000Y-136956800D01* -X85852000Y-136956800D01* -X85837134Y-136958264D01* -X85822840Y-136962600D01* -X85809666Y-136969642D01* -X85798118Y-136979118D01* -X85788642Y-136990666D01* -X85781600Y-137003840D01* -X85777264Y-137018134D01* -X85775800Y-137033000D01* -X84404200Y-137033000D01* -X84402736Y-137018134D01* -X84398400Y-137003840D01* -X84391358Y-136990666D01* -X84381882Y-136979118D01* -X84370334Y-136969642D01* -X84357160Y-136962600D01* -X84342866Y-136958264D01* -X84328000Y-136956800D01* -X83947000Y-136956800D01* -X83932134Y-136958264D01* -X83917840Y-136962600D01* -X83904666Y-136969642D01* -X83893118Y-136979118D01* -X83883642Y-136990666D01* -X83876600Y-137003840D01* -X83872264Y-137018134D01* -X83870800Y-137033000D01* -X83769200Y-137033000D01* -X83767736Y-137018134D01* -X83763400Y-137003840D01* -X83756358Y-136990666D01* -X83746882Y-136979118D01* -X83735334Y-136969642D01* -X83722160Y-136962600D01* -X83707866Y-136958264D01* -X83693000Y-136956800D01* -X83312000Y-136956800D01* -X83297134Y-136958264D01* -X83282840Y-136962600D01* -X83269666Y-136969642D01* -X83258118Y-136979118D01* -X83248642Y-136990666D01* -X83241600Y-137003840D01* -X83237264Y-137018134D01* -X83235800Y-137033000D01* -X81864200Y-137033000D01* -X81862736Y-137018134D01* -X81858400Y-137003840D01* -X81851358Y-136990666D01* -X81841882Y-136979118D01* -X81830334Y-136969642D01* -X81817160Y-136962600D01* -X81802866Y-136958264D01* -X81788000Y-136956800D01* -X81407000Y-136956800D01* -X81392134Y-136958264D01* -X81377840Y-136962600D01* -X81364666Y-136969642D01* -X81353118Y-136979118D01* -X81343642Y-136990666D01* -X81336600Y-137003840D01* -X81332264Y-137018134D01* -X81330800Y-137033000D01* -X81229200Y-137033000D01* -X81227736Y-137018134D01* -X81223400Y-137003840D01* -X81216358Y-136990666D01* -X81206882Y-136979118D01* -X81195334Y-136969642D01* -X81182160Y-136962600D01* -X81167866Y-136958264D01* -X81153000Y-136956800D01* -X80772000Y-136956800D01* -X80757134Y-136958264D01* -X80742840Y-136962600D01* -X80729666Y-136969642D01* -X80718118Y-136979118D01* -X80708642Y-136990666D01* -X80701600Y-137003840D01* -X80697264Y-137018134D01* -X80695800Y-137033000D01* -X79324200Y-137033000D01* -X79322736Y-137018134D01* -X79318400Y-137003840D01* -X79311358Y-136990666D01* -X79301882Y-136979118D01* -X79290334Y-136969642D01* -X79277160Y-136962600D01* -X79262866Y-136958264D01* -X79248000Y-136956800D01* -X78867000Y-136956800D01* -X78852134Y-136958264D01* -X78837840Y-136962600D01* -X78824666Y-136969642D01* -X78813118Y-136979118D01* -X78803642Y-136990666D01* -X78796600Y-137003840D01* -X78792264Y-137018134D01* -X78790800Y-137033000D01* -X78689200Y-137033000D01* -X78687736Y-137018134D01* -X78683400Y-137003840D01* -X78676358Y-136990666D01* -X78666882Y-136979118D01* -X78655334Y-136969642D01* -X78642160Y-136962600D01* -X78627866Y-136958264D01* -X78613000Y-136956800D01* -X78232000Y-136956800D01* -X78217134Y-136958264D01* -X78202840Y-136962600D01* -X78189666Y-136969642D01* -X78178118Y-136979118D01* -X78168642Y-136990666D01* -X78161600Y-137003840D01* -X78157264Y-137018134D01* -X78155800Y-137033000D01* -X74498200Y-137033000D01* -X74498200Y-136398000D01* -X78155800Y-136398000D01* -X78155800Y-136779000D01* -X78157264Y-136793866D01* -X78161600Y-136808160D01* -X78168642Y-136821334D01* -X78178118Y-136832882D01* -X78189666Y-136842358D01* -X78202840Y-136849400D01* -X78217134Y-136853736D01* -X78232000Y-136855200D01* -X78613000Y-136855200D01* -X78627866Y-136853736D01* -X78642160Y-136849400D01* -X78655334Y-136842358D01* -X78666882Y-136832882D01* -X78676358Y-136821334D01* -X78683400Y-136808160D01* -X78687736Y-136793866D01* -X78689200Y-136779000D01* -X78689200Y-136398000D01* -X78790800Y-136398000D01* -X78790800Y-136779000D01* -X78792264Y-136793866D01* -X78796600Y-136808160D01* -X78803642Y-136821334D01* -X78813118Y-136832882D01* -X78824666Y-136842358D01* -X78837840Y-136849400D01* -X78852134Y-136853736D01* -X78867000Y-136855200D01* -X79248000Y-136855200D01* -X79262866Y-136853736D01* -X79277160Y-136849400D01* -X79290334Y-136842358D01* -X79301882Y-136832882D01* -X79311358Y-136821334D01* -X79318400Y-136808160D01* -X79322736Y-136793866D01* -X79324200Y-136779000D01* -X79324200Y-136398000D01* -X80695800Y-136398000D01* -X80695800Y-136779000D01* -X80697264Y-136793866D01* -X80701600Y-136808160D01* -X80708642Y-136821334D01* -X80718118Y-136832882D01* -X80729666Y-136842358D01* -X80742840Y-136849400D01* -X80757134Y-136853736D01* -X80772000Y-136855200D01* -X81153000Y-136855200D01* -X81167866Y-136853736D01* -X81182160Y-136849400D01* -X81195334Y-136842358D01* -X81206882Y-136832882D01* -X81216358Y-136821334D01* -X81223400Y-136808160D01* -X81227736Y-136793866D01* -X81229200Y-136779000D01* -X81229200Y-136398000D01* -X81330800Y-136398000D01* -X81330800Y-136779000D01* -X81332264Y-136793866D01* -X81336600Y-136808160D01* -X81343642Y-136821334D01* -X81353118Y-136832882D01* -X81364666Y-136842358D01* -X81377840Y-136849400D01* -X81392134Y-136853736D01* -X81407000Y-136855200D01* -X81788000Y-136855200D01* -X81802866Y-136853736D01* -X81817160Y-136849400D01* -X81830334Y-136842358D01* -X81841882Y-136832882D01* -X81851358Y-136821334D01* -X81858400Y-136808160D01* -X81862736Y-136793866D01* -X81864200Y-136779000D01* -X81864200Y-136398000D01* -X83235800Y-136398000D01* -X83235800Y-136779000D01* -X83237264Y-136793866D01* -X83241600Y-136808160D01* -X83248642Y-136821334D01* -X83258118Y-136832882D01* -X83269666Y-136842358D01* -X83282840Y-136849400D01* -X83297134Y-136853736D01* -X83312000Y-136855200D01* -X83693000Y-136855200D01* -X83707866Y-136853736D01* -X83722160Y-136849400D01* -X83735334Y-136842358D01* -X83746882Y-136832882D01* -X83756358Y-136821334D01* -X83763400Y-136808160D01* -X83767736Y-136793866D01* -X83769200Y-136779000D01* -X83769200Y-136398000D01* -X83870800Y-136398000D01* -X83870800Y-136779000D01* -X83872264Y-136793866D01* -X83876600Y-136808160D01* -X83883642Y-136821334D01* -X83893118Y-136832882D01* -X83904666Y-136842358D01* -X83917840Y-136849400D01* -X83932134Y-136853736D01* -X83947000Y-136855200D01* -X84328000Y-136855200D01* -X84342866Y-136853736D01* -X84357160Y-136849400D01* -X84370334Y-136842358D01* -X84381882Y-136832882D01* -X84391358Y-136821334D01* -X84398400Y-136808160D01* -X84402736Y-136793866D01* -X84404200Y-136779000D01* -X84404200Y-136398000D01* -X85775800Y-136398000D01* -X85775800Y-136779000D01* -X85777264Y-136793866D01* -X85781600Y-136808160D01* -X85788642Y-136821334D01* -X85798118Y-136832882D01* -X85809666Y-136842358D01* -X85822840Y-136849400D01* -X85837134Y-136853736D01* -X85852000Y-136855200D01* -X86233000Y-136855200D01* -X86247866Y-136853736D01* -X86262160Y-136849400D01* -X86275334Y-136842358D01* -X86286882Y-136832882D01* -X86296358Y-136821334D01* -X86303400Y-136808160D01* -X86307736Y-136793866D01* -X86309200Y-136779000D01* -X86309200Y-136398000D01* -X86410800Y-136398000D01* -X86410800Y-136779000D01* -X86412264Y-136793866D01* -X86416600Y-136808160D01* -X86423642Y-136821334D01* -X86433118Y-136832882D01* -X86444666Y-136842358D01* -X86457840Y-136849400D01* -X86472134Y-136853736D01* -X86487000Y-136855200D01* -X86868000Y-136855200D01* -X86882866Y-136853736D01* -X86897160Y-136849400D01* -X86910334Y-136842358D01* -X86921882Y-136832882D01* -X86931358Y-136821334D01* -X86938400Y-136808160D01* -X86942736Y-136793866D01* -X86944200Y-136779000D01* -X86944200Y-136398000D01* -X88315800Y-136398000D01* -X88315800Y-136779000D01* -X88317264Y-136793866D01* -X88321600Y-136808160D01* -X88328642Y-136821334D01* -X88338118Y-136832882D01* -X88349666Y-136842358D01* -X88362840Y-136849400D01* -X88377134Y-136853736D01* -X88392000Y-136855200D01* -X88773000Y-136855200D01* -X88787866Y-136853736D01* -X88802160Y-136849400D01* -X88815334Y-136842358D01* -X88826882Y-136832882D01* -X88836358Y-136821334D01* -X88843400Y-136808160D01* -X88847736Y-136793866D01* -X88849200Y-136779000D01* -X88849200Y-136398000D01* -X88950800Y-136398000D01* -X88950800Y-136779000D01* -X88952264Y-136793866D01* -X88956600Y-136808160D01* -X88963642Y-136821334D01* -X88973118Y-136832882D01* -X88984666Y-136842358D01* -X88997840Y-136849400D01* -X89012134Y-136853736D01* -X89027000Y-136855200D01* -X89408000Y-136855200D01* -X89422866Y-136853736D01* -X89437160Y-136849400D01* -X89450334Y-136842358D01* -X89461882Y-136832882D01* -X89471358Y-136821334D01* -X89478400Y-136808160D01* -X89482736Y-136793866D01* -X89484200Y-136779000D01* -X89484200Y-136398000D01* -X90855800Y-136398000D01* -X90855800Y-136779000D01* -X90857264Y-136793866D01* -X90861600Y-136808160D01* -X90868642Y-136821334D01* -X90878118Y-136832882D01* -X90889666Y-136842358D01* -X90902840Y-136849400D01* -X90917134Y-136853736D01* -X90932000Y-136855200D01* -X91313000Y-136855200D01* -X91327866Y-136853736D01* -X91342160Y-136849400D01* -X91355334Y-136842358D01* -X91366882Y-136832882D01* -X91376358Y-136821334D01* -X91383400Y-136808160D01* -X91387736Y-136793866D01* -X91389200Y-136779000D01* -X91389200Y-136398000D01* -X91490800Y-136398000D01* -X91490800Y-136779000D01* -X91492264Y-136793866D01* -X91496600Y-136808160D01* -X91503642Y-136821334D01* -X91513118Y-136832882D01* -X91524666Y-136842358D01* -X91537840Y-136849400D01* -X91552134Y-136853736D01* -X91567000Y-136855200D01* -X91948000Y-136855200D01* -X91962866Y-136853736D01* -X91977160Y-136849400D01* -X91990334Y-136842358D01* -X92001882Y-136832882D01* -X92011358Y-136821334D01* -X92018400Y-136808160D01* -X92022736Y-136793866D01* -X92024200Y-136779000D01* -X92024200Y-136398000D01* -X93395800Y-136398000D01* -X93395800Y-136779000D01* -X93397264Y-136793866D01* -X93401600Y-136808160D01* -X93408642Y-136821334D01* -X93418118Y-136832882D01* -X93429666Y-136842358D01* -X93442840Y-136849400D01* -X93457134Y-136853736D01* -X93472000Y-136855200D01* -X93853000Y-136855200D01* -X93867866Y-136853736D01* -X93882160Y-136849400D01* -X93895334Y-136842358D01* -X93906882Y-136832882D01* -X93916358Y-136821334D01* -X93923400Y-136808160D01* -X93927736Y-136793866D01* -X93929200Y-136779000D01* -X93929200Y-136398000D01* -X94030800Y-136398000D01* -X94030800Y-136779000D01* -X94032264Y-136793866D01* -X94036600Y-136808160D01* -X94043642Y-136821334D01* -X94053118Y-136832882D01* -X94064666Y-136842358D01* -X94077840Y-136849400D01* -X94092134Y-136853736D01* -X94107000Y-136855200D01* -X94488000Y-136855200D01* -X94502866Y-136853736D01* -X94517160Y-136849400D01* -X94530334Y-136842358D01* -X94541882Y-136832882D01* -X94551358Y-136821334D01* -X94558400Y-136808160D01* -X94562736Y-136793866D01* -X94564200Y-136779000D01* -X94564200Y-136398000D01* -X95935800Y-136398000D01* -X95935800Y-136779000D01* -X95937264Y-136793866D01* -X95941600Y-136808160D01* -X95948642Y-136821334D01* -X95958118Y-136832882D01* -X95969666Y-136842358D01* -X95982840Y-136849400D01* -X95997134Y-136853736D01* -X96012000Y-136855200D01* -X96393000Y-136855200D01* -X96407866Y-136853736D01* -X96422160Y-136849400D01* -X96435334Y-136842358D01* -X96446882Y-136832882D01* -X96456358Y-136821334D01* -X96463400Y-136808160D01* -X96467736Y-136793866D01* -X96469200Y-136779000D01* -X96469200Y-136398000D01* -X96570800Y-136398000D01* -X96570800Y-136779000D01* -X96572264Y-136793866D01* -X96576600Y-136808160D01* -X96583642Y-136821334D01* -X96593118Y-136832882D01* -X96604666Y-136842358D01* -X96617840Y-136849400D01* -X96632134Y-136853736D01* -X96647000Y-136855200D01* -X97028000Y-136855200D01* -X97042866Y-136853736D01* -X97057160Y-136849400D01* -X97070334Y-136842358D01* -X97081882Y-136832882D01* -X97091358Y-136821334D01* -X97098400Y-136808160D01* -X97102736Y-136793866D01* -X97104200Y-136779000D01* -X97104200Y-136398000D01* -X98475800Y-136398000D01* -X98475800Y-136779000D01* -X98477264Y-136793866D01* -X98481600Y-136808160D01* -X98488642Y-136821334D01* -X98498118Y-136832882D01* -X98509666Y-136842358D01* -X98522840Y-136849400D01* -X98537134Y-136853736D01* -X98552000Y-136855200D01* -X98933000Y-136855200D01* -X98947866Y-136853736D01* -X98962160Y-136849400D01* -X98975334Y-136842358D01* -X98986882Y-136832882D01* -X98996358Y-136821334D01* -X99003400Y-136808160D01* -X99007736Y-136793866D01* -X99009200Y-136779000D01* -X99009200Y-136398000D01* -X99110800Y-136398000D01* -X99110800Y-136779000D01* -X99112264Y-136793866D01* -X99116600Y-136808160D01* -X99123642Y-136821334D01* -X99133118Y-136832882D01* -X99144666Y-136842358D01* -X99157840Y-136849400D01* -X99172134Y-136853736D01* -X99187000Y-136855200D01* -X99568000Y-136855200D01* -X99582866Y-136853736D01* -X99597160Y-136849400D01* -X99610334Y-136842358D01* -X99621882Y-136832882D01* -X99631358Y-136821334D01* -X99638400Y-136808160D01* -X99642736Y-136793866D01* -X99644200Y-136779000D01* -X99644200Y-136398000D01* -X101015800Y-136398000D01* -X101015800Y-136779000D01* -X101017264Y-136793866D01* -X101021600Y-136808160D01* -X101028642Y-136821334D01* -X101038118Y-136832882D01* -X101049666Y-136842358D01* -X101062840Y-136849400D01* -X101077134Y-136853736D01* -X101092000Y-136855200D01* -X101473000Y-136855200D01* -X101487866Y-136853736D01* -X101502160Y-136849400D01* -X101515334Y-136842358D01* -X101526882Y-136832882D01* -X101536358Y-136821334D01* -X101543400Y-136808160D01* -X101547736Y-136793866D01* -X101549200Y-136779000D01* -X101549200Y-136398000D01* -X101650800Y-136398000D01* -X101650800Y-136779000D01* -X101652264Y-136793866D01* -X101656600Y-136808160D01* -X101663642Y-136821334D01* -X101673118Y-136832882D01* -X101684666Y-136842358D01* -X101697840Y-136849400D01* -X101712134Y-136853736D01* -X101727000Y-136855200D01* -X102108000Y-136855200D01* -X102122866Y-136853736D01* -X102137160Y-136849400D01* -X102150334Y-136842358D01* -X102161882Y-136832882D01* -X102171358Y-136821334D01* -X102178400Y-136808160D01* -X102182736Y-136793866D01* -X102184200Y-136779000D01* -X102184200Y-136398000D01* -X103555800Y-136398000D01* -X103555800Y-136779000D01* -X103557264Y-136793866D01* -X103561600Y-136808160D01* -X103568642Y-136821334D01* -X103578118Y-136832882D01* -X103589666Y-136842358D01* -X103602840Y-136849400D01* -X103617134Y-136853736D01* -X103632000Y-136855200D01* -X104013000Y-136855200D01* -X104027866Y-136853736D01* -X104042160Y-136849400D01* -X104055334Y-136842358D01* -X104066882Y-136832882D01* -X104076358Y-136821334D01* -X104083400Y-136808160D01* -X104087736Y-136793866D01* -X104089200Y-136779000D01* -X104089200Y-136398000D01* -X104190800Y-136398000D01* -X104190800Y-136779000D01* -X104192264Y-136793866D01* -X104196600Y-136808160D01* -X104203642Y-136821334D01* -X104213118Y-136832882D01* -X104224666Y-136842358D01* -X104237840Y-136849400D01* -X104252134Y-136853736D01* -X104267000Y-136855200D01* -X104648000Y-136855200D01* -X104662866Y-136853736D01* -X104677160Y-136849400D01* -X104690334Y-136842358D01* -X104701882Y-136832882D01* -X104711358Y-136821334D01* -X104718400Y-136808160D01* -X104722736Y-136793866D01* -X104724200Y-136779000D01* -X104724200Y-136398000D01* -X106095800Y-136398000D01* -X106095800Y-136779000D01* -X106097264Y-136793866D01* -X106101600Y-136808160D01* -X106108642Y-136821334D01* -X106118118Y-136832882D01* -X106129666Y-136842358D01* -X106142840Y-136849400D01* -X106157134Y-136853736D01* -X106172000Y-136855200D01* -X106553000Y-136855200D01* -X106567866Y-136853736D01* -X106582160Y-136849400D01* -X106595334Y-136842358D01* -X106606882Y-136832882D01* -X106616358Y-136821334D01* -X106623400Y-136808160D01* -X106627736Y-136793866D01* -X106629200Y-136779000D01* -X106629200Y-136398000D01* -X106730800Y-136398000D01* -X106730800Y-136779000D01* -X106732264Y-136793866D01* -X106736600Y-136808160D01* -X106743642Y-136821334D01* -X106753118Y-136832882D01* -X106764666Y-136842358D01* -X106777840Y-136849400D01* -X106792134Y-136853736D01* -X106807000Y-136855200D01* -X107188000Y-136855200D01* -X107202866Y-136853736D01* -X107217160Y-136849400D01* -X107230334Y-136842358D01* -X107241882Y-136832882D01* -X107251358Y-136821334D01* -X107258400Y-136808160D01* -X107262736Y-136793866D01* -X107264200Y-136779000D01* -X107264200Y-136398000D01* -X108635800Y-136398000D01* -X108635800Y-136779000D01* -X108637264Y-136793866D01* -X108641600Y-136808160D01* -X108648642Y-136821334D01* -X108658118Y-136832882D01* -X108669666Y-136842358D01* -X108682840Y-136849400D01* -X108697134Y-136853736D01* -X108712000Y-136855200D01* -X109093000Y-136855200D01* -X109107866Y-136853736D01* -X109122160Y-136849400D01* -X109135334Y-136842358D01* -X109146882Y-136832882D01* -X109156358Y-136821334D01* -X109163400Y-136808160D01* -X109167736Y-136793866D01* -X109169200Y-136779000D01* -X109169200Y-136398000D01* -X109270800Y-136398000D01* -X109270800Y-136779000D01* -X109272264Y-136793866D01* -X109276600Y-136808160D01* -X109283642Y-136821334D01* -X109293118Y-136832882D01* -X109304666Y-136842358D01* -X109317840Y-136849400D01* -X109332134Y-136853736D01* -X109347000Y-136855200D01* -X109728000Y-136855200D01* -X109742866Y-136853736D01* -X109757160Y-136849400D01* -X109770334Y-136842358D01* -X109781882Y-136832882D01* -X109791358Y-136821334D01* -X109798400Y-136808160D01* -X109802736Y-136793866D01* -X109804200Y-136779000D01* -X109804200Y-136398000D01* -X111175800Y-136398000D01* -X111175800Y-136779000D01* -X111177264Y-136793866D01* -X111181600Y-136808160D01* -X111188642Y-136821334D01* -X111198118Y-136832882D01* -X111209666Y-136842358D01* -X111222840Y-136849400D01* -X111237134Y-136853736D01* -X111252000Y-136855200D01* -X111633000Y-136855200D01* -X111647866Y-136853736D01* -X111662160Y-136849400D01* -X111675334Y-136842358D01* -X111686882Y-136832882D01* -X111696358Y-136821334D01* -X111703400Y-136808160D01* -X111707736Y-136793866D01* -X111709200Y-136779000D01* -X111709200Y-136398000D01* -X111810800Y-136398000D01* -X111810800Y-136779000D01* -X111812264Y-136793866D01* -X111816600Y-136808160D01* -X111823642Y-136821334D01* -X111833118Y-136832882D01* -X111844666Y-136842358D01* -X111857840Y-136849400D01* -X111872134Y-136853736D01* -X111887000Y-136855200D01* -X112268000Y-136855200D01* -X112282866Y-136853736D01* -X112297160Y-136849400D01* -X112310334Y-136842358D01* -X112321882Y-136832882D01* -X112331358Y-136821334D01* -X112338400Y-136808160D01* -X112342736Y-136793866D01* -X112344200Y-136779000D01* -X112344200Y-136398000D01* -X113715800Y-136398000D01* -X113715800Y-136779000D01* -X113717264Y-136793866D01* -X113721600Y-136808160D01* -X113728642Y-136821334D01* -X113738118Y-136832882D01* -X113749666Y-136842358D01* -X113762840Y-136849400D01* -X113777134Y-136853736D01* -X113792000Y-136855200D01* -X114173000Y-136855200D01* -X114187866Y-136853736D01* -X114202160Y-136849400D01* -X114215334Y-136842358D01* -X114226882Y-136832882D01* -X114236358Y-136821334D01* -X114243400Y-136808160D01* -X114247736Y-136793866D01* -X114249200Y-136779000D01* -X114249200Y-136398000D01* -X114350800Y-136398000D01* -X114350800Y-136779000D01* -X114352264Y-136793866D01* -X114356600Y-136808160D01* -X114363642Y-136821334D01* -X114373118Y-136832882D01* -X114384666Y-136842358D01* -X114397840Y-136849400D01* -X114412134Y-136853736D01* -X114427000Y-136855200D01* -X114808000Y-136855200D01* -X114822866Y-136853736D01* -X114837160Y-136849400D01* -X114850334Y-136842358D01* -X114861882Y-136832882D01* -X114871358Y-136821334D01* -X114878400Y-136808160D01* -X114882736Y-136793866D01* -X114884200Y-136779000D01* -X114884200Y-136398000D01* -X121335800Y-136398000D01* -X121335800Y-136779000D01* -X121337264Y-136793866D01* -X121341600Y-136808160D01* -X121348642Y-136821334D01* -X121358118Y-136832882D01* -X121369666Y-136842358D01* -X121382840Y-136849400D01* -X121397134Y-136853736D01* -X121412000Y-136855200D01* -X121793000Y-136855200D01* -X121807866Y-136853736D01* -X121822160Y-136849400D01* -X121835334Y-136842358D01* -X121846882Y-136832882D01* -X121856358Y-136821334D01* -X121863400Y-136808160D01* -X121867736Y-136793866D01* -X121869200Y-136779000D01* -X121869200Y-136398000D01* -X121970800Y-136398000D01* -X121970800Y-136779000D01* -X121972264Y-136793866D01* -X121976600Y-136808160D01* -X121983642Y-136821334D01* -X121993118Y-136832882D01* -X122004666Y-136842358D01* -X122017840Y-136849400D01* -X122032134Y-136853736D01* -X122047000Y-136855200D01* -X122428000Y-136855200D01* -X122442866Y-136853736D01* -X122457160Y-136849400D01* -X122470334Y-136842358D01* -X122481882Y-136832882D01* -X122491358Y-136821334D01* -X122498400Y-136808160D01* -X122502736Y-136793866D01* -X122504200Y-136779000D01* -X122504200Y-136398000D01* -X123875800Y-136398000D01* -X123875800Y-136779000D01* -X123877264Y-136793866D01* -X123881600Y-136808160D01* -X123888642Y-136821334D01* -X123898118Y-136832882D01* -X123909666Y-136842358D01* -X123922840Y-136849400D01* -X123937134Y-136853736D01* -X123952000Y-136855200D01* -X124333000Y-136855200D01* -X124347866Y-136853736D01* -X124362160Y-136849400D01* -X124375334Y-136842358D01* -X124386882Y-136832882D01* -X124396358Y-136821334D01* -X124403400Y-136808160D01* -X124407736Y-136793866D01* -X124409200Y-136779000D01* -X124409200Y-136398000D01* -X124510800Y-136398000D01* -X124510800Y-136779000D01* -X124512264Y-136793866D01* -X124516600Y-136808160D01* -X124523642Y-136821334D01* -X124533118Y-136832882D01* -X124544666Y-136842358D01* -X124557840Y-136849400D01* -X124572134Y-136853736D01* -X124587000Y-136855200D01* -X124968000Y-136855200D01* -X124982866Y-136853736D01* -X124997160Y-136849400D01* -X125010334Y-136842358D01* -X125021882Y-136832882D01* -X125031358Y-136821334D01* -X125038400Y-136808160D01* -X125042736Y-136793866D01* -X125044200Y-136779000D01* -X125044200Y-136398000D01* -X126415800Y-136398000D01* -X126415800Y-136779000D01* -X126417264Y-136793866D01* -X126421600Y-136808160D01* -X126428642Y-136821334D01* -X126438118Y-136832882D01* -X126449666Y-136842358D01* -X126462840Y-136849400D01* -X126477134Y-136853736D01* -X126492000Y-136855200D01* -X126873000Y-136855200D01* -X126887866Y-136853736D01* -X126902160Y-136849400D01* -X126915334Y-136842358D01* -X126926882Y-136832882D01* -X126936358Y-136821334D01* -X126943400Y-136808160D01* -X126947736Y-136793866D01* -X126949200Y-136779000D01* -X126949200Y-136398000D01* -X127050800Y-136398000D01* -X127050800Y-136779000D01* -X127052264Y-136793866D01* -X127056600Y-136808160D01* -X127063642Y-136821334D01* -X127073118Y-136832882D01* -X127084666Y-136842358D01* -X127097840Y-136849400D01* -X127112134Y-136853736D01* -X127127000Y-136855200D01* -X127508000Y-136855200D01* -X127522866Y-136853736D01* -X127537160Y-136849400D01* -X127550334Y-136842358D01* -X127561882Y-136832882D01* -X127571358Y-136821334D01* -X127578400Y-136808160D01* -X127582736Y-136793866D01* -X127584200Y-136779000D01* -X127584200Y-136398000D01* -X128955800Y-136398000D01* -X128955800Y-136779000D01* -X128957264Y-136793866D01* -X128961600Y-136808160D01* -X128968642Y-136821334D01* -X128978118Y-136832882D01* -X128989666Y-136842358D01* -X129002840Y-136849400D01* -X129017134Y-136853736D01* -X129032000Y-136855200D01* -X129413000Y-136855200D01* -X129427866Y-136853736D01* -X129442160Y-136849400D01* -X129455334Y-136842358D01* -X129466882Y-136832882D01* -X129476358Y-136821334D01* -X129483400Y-136808160D01* -X129487736Y-136793866D01* -X129489200Y-136779000D01* -X129489200Y-136398000D01* -X129590800Y-136398000D01* -X129590800Y-136779000D01* -X129592264Y-136793866D01* -X129596600Y-136808160D01* -X129603642Y-136821334D01* -X129613118Y-136832882D01* -X129624666Y-136842358D01* -X129637840Y-136849400D01* -X129652134Y-136853736D01* -X129667000Y-136855200D01* -X130048000Y-136855200D01* -X130062866Y-136853736D01* -X130077160Y-136849400D01* -X130090334Y-136842358D01* -X130101882Y-136832882D01* -X130111358Y-136821334D01* -X130118400Y-136808160D01* -X130122736Y-136793866D01* -X130124200Y-136779000D01* -X130124200Y-136398000D01* -X131495800Y-136398000D01* -X131495800Y-136779000D01* -X131497264Y-136793866D01* -X131501600Y-136808160D01* -X131508642Y-136821334D01* -X131518118Y-136832882D01* -X131529666Y-136842358D01* -X131542840Y-136849400D01* -X131557134Y-136853736D01* -X131572000Y-136855200D01* -X131953000Y-136855200D01* -X131967866Y-136853736D01* -X131982160Y-136849400D01* -X131995334Y-136842358D01* -X132006882Y-136832882D01* -X132016358Y-136821334D01* -X132023400Y-136808160D01* -X132027736Y-136793866D01* -X132029200Y-136779000D01* -X132029200Y-136398000D01* -X132130800Y-136398000D01* -X132130800Y-136779000D01* -X132132264Y-136793866D01* -X132136600Y-136808160D01* -X132143642Y-136821334D01* -X132153118Y-136832882D01* -X132164666Y-136842358D01* -X132177840Y-136849400D01* -X132192134Y-136853736D01* -X132207000Y-136855200D01* -X132588000Y-136855200D01* -X132602866Y-136853736D01* -X132617160Y-136849400D01* -X132630334Y-136842358D01* -X132641882Y-136832882D01* -X132651358Y-136821334D01* -X132658400Y-136808160D01* -X132662736Y-136793866D01* -X132664200Y-136779000D01* -X132664200Y-136398000D01* -X134035800Y-136398000D01* -X134035800Y-136779000D01* -X134037264Y-136793866D01* -X134041600Y-136808160D01* -X134048642Y-136821334D01* -X134058118Y-136832882D01* -X134069666Y-136842358D01* -X134082840Y-136849400D01* -X134097134Y-136853736D01* -X134112000Y-136855200D01* -X134493000Y-136855200D01* -X134507866Y-136853736D01* -X134522160Y-136849400D01* -X134535334Y-136842358D01* -X134546882Y-136832882D01* -X134556358Y-136821334D01* -X134563400Y-136808160D01* -X134567736Y-136793866D01* -X134569200Y-136779000D01* -X134569200Y-136398000D01* -X134670800Y-136398000D01* -X134670800Y-136779000D01* -X134672264Y-136793866D01* -X134676600Y-136808160D01* -X134683642Y-136821334D01* -X134693118Y-136832882D01* -X134704666Y-136842358D01* -X134717840Y-136849400D01* -X134732134Y-136853736D01* -X134747000Y-136855200D01* -X135128000Y-136855200D01* -X135142866Y-136853736D01* -X135157160Y-136849400D01* -X135170334Y-136842358D01* -X135181882Y-136832882D01* -X135191358Y-136821334D01* -X135198400Y-136808160D01* -X135202736Y-136793866D01* -X135204200Y-136779000D01* -X135204200Y-136398000D01* -X135202736Y-136383134D01* -X135198400Y-136368840D01* -X135191358Y-136355666D01* -X135181882Y-136344118D01* -X135170334Y-136334642D01* -X135157160Y-136327600D01* -X135142866Y-136323264D01* -X135128000Y-136321800D01* -X134747000Y-136321800D01* -X134732134Y-136323264D01* -X134717840Y-136327600D01* -X134704666Y-136334642D01* -X134693118Y-136344118D01* -X134683642Y-136355666D01* -X134676600Y-136368840D01* -X134672264Y-136383134D01* -X134670800Y-136398000D01* -X134569200Y-136398000D01* -X134567736Y-136383134D01* -X134563400Y-136368840D01* -X134556358Y-136355666D01* -X134546882Y-136344118D01* -X134535334Y-136334642D01* -X134522160Y-136327600D01* -X134507866Y-136323264D01* -X134493000Y-136321800D01* -X134112000Y-136321800D01* -X134097134Y-136323264D01* -X134082840Y-136327600D01* -X134069666Y-136334642D01* -X134058118Y-136344118D01* -X134048642Y-136355666D01* -X134041600Y-136368840D01* -X134037264Y-136383134D01* -X134035800Y-136398000D01* -X132664200Y-136398000D01* -X132662736Y-136383134D01* -X132658400Y-136368840D01* -X132651358Y-136355666D01* -X132641882Y-136344118D01* -X132630334Y-136334642D01* -X132617160Y-136327600D01* -X132602866Y-136323264D01* -X132588000Y-136321800D01* -X132207000Y-136321800D01* -X132192134Y-136323264D01* -X132177840Y-136327600D01* -X132164666Y-136334642D01* -X132153118Y-136344118D01* -X132143642Y-136355666D01* -X132136600Y-136368840D01* -X132132264Y-136383134D01* -X132130800Y-136398000D01* -X132029200Y-136398000D01* -X132027736Y-136383134D01* -X132023400Y-136368840D01* -X132016358Y-136355666D01* -X132006882Y-136344118D01* -X131995334Y-136334642D01* -X131982160Y-136327600D01* -X131967866Y-136323264D01* -X131953000Y-136321800D01* -X131572000Y-136321800D01* -X131557134Y-136323264D01* -X131542840Y-136327600D01* -X131529666Y-136334642D01* -X131518118Y-136344118D01* -X131508642Y-136355666D01* -X131501600Y-136368840D01* -X131497264Y-136383134D01* -X131495800Y-136398000D01* -X130124200Y-136398000D01* -X130122736Y-136383134D01* -X130118400Y-136368840D01* -X130111358Y-136355666D01* -X130101882Y-136344118D01* -X130090334Y-136334642D01* -X130077160Y-136327600D01* -X130062866Y-136323264D01* -X130048000Y-136321800D01* -X129667000Y-136321800D01* -X129652134Y-136323264D01* -X129637840Y-136327600D01* -X129624666Y-136334642D01* -X129613118Y-136344118D01* -X129603642Y-136355666D01* -X129596600Y-136368840D01* -X129592264Y-136383134D01* -X129590800Y-136398000D01* -X129489200Y-136398000D01* -X129487736Y-136383134D01* -X129483400Y-136368840D01* -X129476358Y-136355666D01* -X129466882Y-136344118D01* -X129455334Y-136334642D01* -X129442160Y-136327600D01* -X129427866Y-136323264D01* -X129413000Y-136321800D01* -X129032000Y-136321800D01* -X129017134Y-136323264D01* -X129002840Y-136327600D01* -X128989666Y-136334642D01* -X128978118Y-136344118D01* -X128968642Y-136355666D01* -X128961600Y-136368840D01* -X128957264Y-136383134D01* -X128955800Y-136398000D01* -X127584200Y-136398000D01* -X127582736Y-136383134D01* -X127578400Y-136368840D01* -X127571358Y-136355666D01* -X127561882Y-136344118D01* -X127550334Y-136334642D01* -X127537160Y-136327600D01* -X127522866Y-136323264D01* -X127508000Y-136321800D01* -X127127000Y-136321800D01* -X127112134Y-136323264D01* -X127097840Y-136327600D01* -X127084666Y-136334642D01* -X127073118Y-136344118D01* -X127063642Y-136355666D01* -X127056600Y-136368840D01* -X127052264Y-136383134D01* -X127050800Y-136398000D01* -X126949200Y-136398000D01* -X126947736Y-136383134D01* -X126943400Y-136368840D01* -X126936358Y-136355666D01* -X126926882Y-136344118D01* -X126915334Y-136334642D01* -X126902160Y-136327600D01* -X126887866Y-136323264D01* -X126873000Y-136321800D01* -X126492000Y-136321800D01* -X126477134Y-136323264D01* -X126462840Y-136327600D01* -X126449666Y-136334642D01* -X126438118Y-136344118D01* -X126428642Y-136355666D01* -X126421600Y-136368840D01* -X126417264Y-136383134D01* -X126415800Y-136398000D01* -X125044200Y-136398000D01* -X125042736Y-136383134D01* -X125038400Y-136368840D01* -X125031358Y-136355666D01* -X125021882Y-136344118D01* -X125010334Y-136334642D01* -X124997160Y-136327600D01* -X124982866Y-136323264D01* -X124968000Y-136321800D01* -X124587000Y-136321800D01* -X124572134Y-136323264D01* -X124557840Y-136327600D01* -X124544666Y-136334642D01* -X124533118Y-136344118D01* -X124523642Y-136355666D01* -X124516600Y-136368840D01* -X124512264Y-136383134D01* -X124510800Y-136398000D01* -X124409200Y-136398000D01* -X124407736Y-136383134D01* -X124403400Y-136368840D01* -X124396358Y-136355666D01* -X124386882Y-136344118D01* -X124375334Y-136334642D01* -X124362160Y-136327600D01* -X124347866Y-136323264D01* -X124333000Y-136321800D01* -X123952000Y-136321800D01* -X123937134Y-136323264D01* -X123922840Y-136327600D01* -X123909666Y-136334642D01* -X123898118Y-136344118D01* -X123888642Y-136355666D01* -X123881600Y-136368840D01* -X123877264Y-136383134D01* -X123875800Y-136398000D01* -X122504200Y-136398000D01* -X122502736Y-136383134D01* -X122498400Y-136368840D01* -X122491358Y-136355666D01* -X122481882Y-136344118D01* -X122470334Y-136334642D01* -X122457160Y-136327600D01* -X122442866Y-136323264D01* -X122428000Y-136321800D01* -X122047000Y-136321800D01* -X122032134Y-136323264D01* -X122017840Y-136327600D01* -X122004666Y-136334642D01* -X121993118Y-136344118D01* -X121983642Y-136355666D01* -X121976600Y-136368840D01* -X121972264Y-136383134D01* -X121970800Y-136398000D01* -X121869200Y-136398000D01* -X121867736Y-136383134D01* -X121863400Y-136368840D01* -X121856358Y-136355666D01* -X121846882Y-136344118D01* -X121835334Y-136334642D01* -X121822160Y-136327600D01* -X121807866Y-136323264D01* -X121793000Y-136321800D01* -X121412000Y-136321800D01* -X121397134Y-136323264D01* -X121382840Y-136327600D01* -X121369666Y-136334642D01* -X121358118Y-136344118D01* -X121348642Y-136355666D01* -X121341600Y-136368840D01* -X121337264Y-136383134D01* -X121335800Y-136398000D01* -X114884200Y-136398000D01* -X114882736Y-136383134D01* -X114878400Y-136368840D01* -X114871358Y-136355666D01* -X114861882Y-136344118D01* -X114850334Y-136334642D01* -X114837160Y-136327600D01* -X114822866Y-136323264D01* -X114808000Y-136321800D01* -X114427000Y-136321800D01* -X114412134Y-136323264D01* -X114397840Y-136327600D01* -X114384666Y-136334642D01* -X114373118Y-136344118D01* -X114363642Y-136355666D01* -X114356600Y-136368840D01* -X114352264Y-136383134D01* -X114350800Y-136398000D01* -X114249200Y-136398000D01* -X114247736Y-136383134D01* -X114243400Y-136368840D01* -X114236358Y-136355666D01* -X114226882Y-136344118D01* -X114215334Y-136334642D01* -X114202160Y-136327600D01* -X114187866Y-136323264D01* -X114173000Y-136321800D01* -X113792000Y-136321800D01* -X113777134Y-136323264D01* -X113762840Y-136327600D01* -X113749666Y-136334642D01* -X113738118Y-136344118D01* -X113728642Y-136355666D01* -X113721600Y-136368840D01* -X113717264Y-136383134D01* -X113715800Y-136398000D01* -X112344200Y-136398000D01* -X112342736Y-136383134D01* -X112338400Y-136368840D01* -X112331358Y-136355666D01* -X112321882Y-136344118D01* -X112310334Y-136334642D01* -X112297160Y-136327600D01* -X112282866Y-136323264D01* -X112268000Y-136321800D01* -X111887000Y-136321800D01* -X111872134Y-136323264D01* -X111857840Y-136327600D01* -X111844666Y-136334642D01* -X111833118Y-136344118D01* -X111823642Y-136355666D01* -X111816600Y-136368840D01* -X111812264Y-136383134D01* -X111810800Y-136398000D01* -X111709200Y-136398000D01* -X111707736Y-136383134D01* -X111703400Y-136368840D01* -X111696358Y-136355666D01* -X111686882Y-136344118D01* -X111675334Y-136334642D01* -X111662160Y-136327600D01* -X111647866Y-136323264D01* -X111633000Y-136321800D01* -X111252000Y-136321800D01* -X111237134Y-136323264D01* -X111222840Y-136327600D01* -X111209666Y-136334642D01* -X111198118Y-136344118D01* -X111188642Y-136355666D01* -X111181600Y-136368840D01* -X111177264Y-136383134D01* -X111175800Y-136398000D01* -X109804200Y-136398000D01* -X109802736Y-136383134D01* -X109798400Y-136368840D01* -X109791358Y-136355666D01* -X109781882Y-136344118D01* -X109770334Y-136334642D01* -X109757160Y-136327600D01* -X109742866Y-136323264D01* -X109728000Y-136321800D01* -X109347000Y-136321800D01* -X109332134Y-136323264D01* -X109317840Y-136327600D01* -X109304666Y-136334642D01* -X109293118Y-136344118D01* -X109283642Y-136355666D01* -X109276600Y-136368840D01* -X109272264Y-136383134D01* -X109270800Y-136398000D01* -X109169200Y-136398000D01* -X109167736Y-136383134D01* -X109163400Y-136368840D01* -X109156358Y-136355666D01* -X109146882Y-136344118D01* -X109135334Y-136334642D01* -X109122160Y-136327600D01* -X109107866Y-136323264D01* -X109093000Y-136321800D01* -X108712000Y-136321800D01* -X108697134Y-136323264D01* -X108682840Y-136327600D01* -X108669666Y-136334642D01* -X108658118Y-136344118D01* -X108648642Y-136355666D01* -X108641600Y-136368840D01* -X108637264Y-136383134D01* -X108635800Y-136398000D01* -X107264200Y-136398000D01* -X107262736Y-136383134D01* -X107258400Y-136368840D01* -X107251358Y-136355666D01* -X107241882Y-136344118D01* -X107230334Y-136334642D01* -X107217160Y-136327600D01* -X107202866Y-136323264D01* -X107188000Y-136321800D01* -X106807000Y-136321800D01* -X106792134Y-136323264D01* -X106777840Y-136327600D01* -X106764666Y-136334642D01* -X106753118Y-136344118D01* -X106743642Y-136355666D01* -X106736600Y-136368840D01* -X106732264Y-136383134D01* -X106730800Y-136398000D01* -X106629200Y-136398000D01* -X106627736Y-136383134D01* -X106623400Y-136368840D01* -X106616358Y-136355666D01* -X106606882Y-136344118D01* -X106595334Y-136334642D01* -X106582160Y-136327600D01* -X106567866Y-136323264D01* -X106553000Y-136321800D01* -X106172000Y-136321800D01* -X106157134Y-136323264D01* -X106142840Y-136327600D01* -X106129666Y-136334642D01* -X106118118Y-136344118D01* -X106108642Y-136355666D01* -X106101600Y-136368840D01* -X106097264Y-136383134D01* -X106095800Y-136398000D01* -X104724200Y-136398000D01* -X104722736Y-136383134D01* -X104718400Y-136368840D01* -X104711358Y-136355666D01* -X104701882Y-136344118D01* -X104690334Y-136334642D01* -X104677160Y-136327600D01* -X104662866Y-136323264D01* -X104648000Y-136321800D01* -X104267000Y-136321800D01* -X104252134Y-136323264D01* -X104237840Y-136327600D01* -X104224666Y-136334642D01* -X104213118Y-136344118D01* -X104203642Y-136355666D01* -X104196600Y-136368840D01* -X104192264Y-136383134D01* -X104190800Y-136398000D01* -X104089200Y-136398000D01* -X104087736Y-136383134D01* -X104083400Y-136368840D01* -X104076358Y-136355666D01* -X104066882Y-136344118D01* -X104055334Y-136334642D01* -X104042160Y-136327600D01* -X104027866Y-136323264D01* -X104013000Y-136321800D01* -X103632000Y-136321800D01* -X103617134Y-136323264D01* -X103602840Y-136327600D01* -X103589666Y-136334642D01* -X103578118Y-136344118D01* -X103568642Y-136355666D01* -X103561600Y-136368840D01* -X103557264Y-136383134D01* -X103555800Y-136398000D01* -X102184200Y-136398000D01* -X102182736Y-136383134D01* -X102178400Y-136368840D01* -X102171358Y-136355666D01* -X102161882Y-136344118D01* -X102150334Y-136334642D01* -X102137160Y-136327600D01* -X102122866Y-136323264D01* -X102108000Y-136321800D01* -X101727000Y-136321800D01* -X101712134Y-136323264D01* -X101697840Y-136327600D01* -X101684666Y-136334642D01* -X101673118Y-136344118D01* -X101663642Y-136355666D01* -X101656600Y-136368840D01* -X101652264Y-136383134D01* -X101650800Y-136398000D01* -X101549200Y-136398000D01* -X101547736Y-136383134D01* -X101543400Y-136368840D01* -X101536358Y-136355666D01* -X101526882Y-136344118D01* -X101515334Y-136334642D01* -X101502160Y-136327600D01* -X101487866Y-136323264D01* -X101473000Y-136321800D01* -X101092000Y-136321800D01* -X101077134Y-136323264D01* -X101062840Y-136327600D01* -X101049666Y-136334642D01* -X101038118Y-136344118D01* -X101028642Y-136355666D01* -X101021600Y-136368840D01* -X101017264Y-136383134D01* -X101015800Y-136398000D01* -X99644200Y-136398000D01* -X99642736Y-136383134D01* -X99638400Y-136368840D01* -X99631358Y-136355666D01* -X99621882Y-136344118D01* -X99610334Y-136334642D01* -X99597160Y-136327600D01* -X99582866Y-136323264D01* -X99568000Y-136321800D01* -X99187000Y-136321800D01* -X99172134Y-136323264D01* -X99157840Y-136327600D01* -X99144666Y-136334642D01* -X99133118Y-136344118D01* -X99123642Y-136355666D01* -X99116600Y-136368840D01* -X99112264Y-136383134D01* -X99110800Y-136398000D01* -X99009200Y-136398000D01* -X99007736Y-136383134D01* -X99003400Y-136368840D01* -X98996358Y-136355666D01* -X98986882Y-136344118D01* -X98975334Y-136334642D01* -X98962160Y-136327600D01* -X98947866Y-136323264D01* -X98933000Y-136321800D01* -X98552000Y-136321800D01* -X98537134Y-136323264D01* -X98522840Y-136327600D01* -X98509666Y-136334642D01* -X98498118Y-136344118D01* -X98488642Y-136355666D01* -X98481600Y-136368840D01* -X98477264Y-136383134D01* -X98475800Y-136398000D01* -X97104200Y-136398000D01* -X97102736Y-136383134D01* -X97098400Y-136368840D01* -X97091358Y-136355666D01* -X97081882Y-136344118D01* -X97070334Y-136334642D01* -X97057160Y-136327600D01* -X97042866Y-136323264D01* -X97028000Y-136321800D01* -X96647000Y-136321800D01* -X96632134Y-136323264D01* -X96617840Y-136327600D01* -X96604666Y-136334642D01* -X96593118Y-136344118D01* -X96583642Y-136355666D01* -X96576600Y-136368840D01* -X96572264Y-136383134D01* -X96570800Y-136398000D01* -X96469200Y-136398000D01* -X96467736Y-136383134D01* -X96463400Y-136368840D01* -X96456358Y-136355666D01* -X96446882Y-136344118D01* -X96435334Y-136334642D01* -X96422160Y-136327600D01* -X96407866Y-136323264D01* -X96393000Y-136321800D01* -X96012000Y-136321800D01* -X95997134Y-136323264D01* -X95982840Y-136327600D01* -X95969666Y-136334642D01* -X95958118Y-136344118D01* -X95948642Y-136355666D01* -X95941600Y-136368840D01* -X95937264Y-136383134D01* -X95935800Y-136398000D01* -X94564200Y-136398000D01* -X94562736Y-136383134D01* -X94558400Y-136368840D01* -X94551358Y-136355666D01* -X94541882Y-136344118D01* -X94530334Y-136334642D01* -X94517160Y-136327600D01* -X94502866Y-136323264D01* -X94488000Y-136321800D01* -X94107000Y-136321800D01* -X94092134Y-136323264D01* -X94077840Y-136327600D01* -X94064666Y-136334642D01* -X94053118Y-136344118D01* -X94043642Y-136355666D01* -X94036600Y-136368840D01* -X94032264Y-136383134D01* -X94030800Y-136398000D01* -X93929200Y-136398000D01* -X93927736Y-136383134D01* -X93923400Y-136368840D01* -X93916358Y-136355666D01* -X93906882Y-136344118D01* -X93895334Y-136334642D01* -X93882160Y-136327600D01* -X93867866Y-136323264D01* -X93853000Y-136321800D01* -X93472000Y-136321800D01* -X93457134Y-136323264D01* -X93442840Y-136327600D01* -X93429666Y-136334642D01* -X93418118Y-136344118D01* -X93408642Y-136355666D01* -X93401600Y-136368840D01* -X93397264Y-136383134D01* -X93395800Y-136398000D01* -X92024200Y-136398000D01* -X92022736Y-136383134D01* -X92018400Y-136368840D01* -X92011358Y-136355666D01* -X92001882Y-136344118D01* -X91990334Y-136334642D01* -X91977160Y-136327600D01* -X91962866Y-136323264D01* -X91948000Y-136321800D01* -X91567000Y-136321800D01* -X91552134Y-136323264D01* -X91537840Y-136327600D01* -X91524666Y-136334642D01* -X91513118Y-136344118D01* -X91503642Y-136355666D01* -X91496600Y-136368840D01* -X91492264Y-136383134D01* -X91490800Y-136398000D01* -X91389200Y-136398000D01* -X91387736Y-136383134D01* -X91383400Y-136368840D01* -X91376358Y-136355666D01* -X91366882Y-136344118D01* -X91355334Y-136334642D01* -X91342160Y-136327600D01* -X91327866Y-136323264D01* -X91313000Y-136321800D01* -X90932000Y-136321800D01* -X90917134Y-136323264D01* -X90902840Y-136327600D01* -X90889666Y-136334642D01* -X90878118Y-136344118D01* -X90868642Y-136355666D01* -X90861600Y-136368840D01* -X90857264Y-136383134D01* -X90855800Y-136398000D01* -X89484200Y-136398000D01* -X89482736Y-136383134D01* -X89478400Y-136368840D01* -X89471358Y-136355666D01* -X89461882Y-136344118D01* -X89450334Y-136334642D01* -X89437160Y-136327600D01* -X89422866Y-136323264D01* -X89408000Y-136321800D01* -X89027000Y-136321800D01* -X89012134Y-136323264D01* -X88997840Y-136327600D01* -X88984666Y-136334642D01* -X88973118Y-136344118D01* -X88963642Y-136355666D01* -X88956600Y-136368840D01* -X88952264Y-136383134D01* -X88950800Y-136398000D01* -X88849200Y-136398000D01* -X88847736Y-136383134D01* -X88843400Y-136368840D01* -X88836358Y-136355666D01* -X88826882Y-136344118D01* -X88815334Y-136334642D01* -X88802160Y-136327600D01* -X88787866Y-136323264D01* -X88773000Y-136321800D01* -X88392000Y-136321800D01* -X88377134Y-136323264D01* -X88362840Y-136327600D01* -X88349666Y-136334642D01* -X88338118Y-136344118D01* -X88328642Y-136355666D01* -X88321600Y-136368840D01* -X88317264Y-136383134D01* -X88315800Y-136398000D01* -X86944200Y-136398000D01* -X86942736Y-136383134D01* -X86938400Y-136368840D01* -X86931358Y-136355666D01* -X86921882Y-136344118D01* -X86910334Y-136334642D01* -X86897160Y-136327600D01* -X86882866Y-136323264D01* -X86868000Y-136321800D01* -X86487000Y-136321800D01* -X86472134Y-136323264D01* -X86457840Y-136327600D01* -X86444666Y-136334642D01* -X86433118Y-136344118D01* -X86423642Y-136355666D01* -X86416600Y-136368840D01* -X86412264Y-136383134D01* -X86410800Y-136398000D01* -X86309200Y-136398000D01* -X86307736Y-136383134D01* -X86303400Y-136368840D01* -X86296358Y-136355666D01* -X86286882Y-136344118D01* -X86275334Y-136334642D01* -X86262160Y-136327600D01* -X86247866Y-136323264D01* -X86233000Y-136321800D01* -X85852000Y-136321800D01* -X85837134Y-136323264D01* -X85822840Y-136327600D01* -X85809666Y-136334642D01* -X85798118Y-136344118D01* -X85788642Y-136355666D01* -X85781600Y-136368840D01* -X85777264Y-136383134D01* -X85775800Y-136398000D01* -X84404200Y-136398000D01* -X84402736Y-136383134D01* -X84398400Y-136368840D01* -X84391358Y-136355666D01* -X84381882Y-136344118D01* -X84370334Y-136334642D01* -X84357160Y-136327600D01* -X84342866Y-136323264D01* -X84328000Y-136321800D01* -X83947000Y-136321800D01* -X83932134Y-136323264D01* -X83917840Y-136327600D01* -X83904666Y-136334642D01* -X83893118Y-136344118D01* -X83883642Y-136355666D01* -X83876600Y-136368840D01* -X83872264Y-136383134D01* -X83870800Y-136398000D01* -X83769200Y-136398000D01* -X83767736Y-136383134D01* -X83763400Y-136368840D01* -X83756358Y-136355666D01* -X83746882Y-136344118D01* -X83735334Y-136334642D01* -X83722160Y-136327600D01* -X83707866Y-136323264D01* -X83693000Y-136321800D01* -X83312000Y-136321800D01* -X83297134Y-136323264D01* -X83282840Y-136327600D01* -X83269666Y-136334642D01* -X83258118Y-136344118D01* -X83248642Y-136355666D01* -X83241600Y-136368840D01* -X83237264Y-136383134D01* -X83235800Y-136398000D01* -X81864200Y-136398000D01* -X81862736Y-136383134D01* -X81858400Y-136368840D01* -X81851358Y-136355666D01* -X81841882Y-136344118D01* -X81830334Y-136334642D01* -X81817160Y-136327600D01* -X81802866Y-136323264D01* -X81788000Y-136321800D01* -X81407000Y-136321800D01* -X81392134Y-136323264D01* -X81377840Y-136327600D01* -X81364666Y-136334642D01* -X81353118Y-136344118D01* -X81343642Y-136355666D01* -X81336600Y-136368840D01* -X81332264Y-136383134D01* -X81330800Y-136398000D01* -X81229200Y-136398000D01* -X81227736Y-136383134D01* -X81223400Y-136368840D01* -X81216358Y-136355666D01* -X81206882Y-136344118D01* -X81195334Y-136334642D01* -X81182160Y-136327600D01* -X81167866Y-136323264D01* -X81153000Y-136321800D01* -X80772000Y-136321800D01* -X80757134Y-136323264D01* -X80742840Y-136327600D01* -X80729666Y-136334642D01* -X80718118Y-136344118D01* -X80708642Y-136355666D01* -X80701600Y-136368840D01* -X80697264Y-136383134D01* -X80695800Y-136398000D01* -X79324200Y-136398000D01* -X79322736Y-136383134D01* -X79318400Y-136368840D01* -X79311358Y-136355666D01* -X79301882Y-136344118D01* -X79290334Y-136334642D01* -X79277160Y-136327600D01* -X79262866Y-136323264D01* -X79248000Y-136321800D01* -X78867000Y-136321800D01* -X78852134Y-136323264D01* -X78837840Y-136327600D01* -X78824666Y-136334642D01* -X78813118Y-136344118D01* -X78803642Y-136355666D01* -X78796600Y-136368840D01* -X78792264Y-136383134D01* -X78790800Y-136398000D01* -X78689200Y-136398000D01* -X78687736Y-136383134D01* -X78683400Y-136368840D01* -X78676358Y-136355666D01* -X78666882Y-136344118D01* -X78655334Y-136334642D01* -X78642160Y-136327600D01* -X78627866Y-136323264D01* -X78613000Y-136321800D01* -X78232000Y-136321800D01* -X78217134Y-136323264D01* -X78202840Y-136327600D01* -X78189666Y-136334642D01* -X78178118Y-136344118D01* -X78168642Y-136355666D01* -X78161600Y-136368840D01* -X78157264Y-136383134D01* -X78155800Y-136398000D01* -X74498200Y-136398000D01* -X74498200Y-135763000D01* -X78155800Y-135763000D01* -X78155800Y-136144000D01* -X78157264Y-136158866D01* -X78161600Y-136173160D01* -X78168642Y-136186334D01* -X78178118Y-136197882D01* -X78189666Y-136207358D01* -X78202840Y-136214400D01* -X78217134Y-136218736D01* -X78232000Y-136220200D01* -X78613000Y-136220200D01* -X78627866Y-136218736D01* -X78642160Y-136214400D01* -X78655334Y-136207358D01* -X78666882Y-136197882D01* -X78676358Y-136186334D01* -X78683400Y-136173160D01* -X78687736Y-136158866D01* -X78689200Y-136144000D01* -X78689200Y-135763000D01* -X78790800Y-135763000D01* -X78790800Y-136144000D01* -X78792264Y-136158866D01* -X78796600Y-136173160D01* -X78803642Y-136186334D01* -X78813118Y-136197882D01* -X78824666Y-136207358D01* -X78837840Y-136214400D01* -X78852134Y-136218736D01* -X78867000Y-136220200D01* -X79248000Y-136220200D01* -X79262866Y-136218736D01* -X79277160Y-136214400D01* -X79290334Y-136207358D01* -X79301882Y-136197882D01* -X79311358Y-136186334D01* -X79318400Y-136173160D01* -X79322736Y-136158866D01* -X79324200Y-136144000D01* -X79324200Y-135763000D01* -X80695800Y-135763000D01* -X80695800Y-136144000D01* -X80697264Y-136158866D01* -X80701600Y-136173160D01* -X80708642Y-136186334D01* -X80718118Y-136197882D01* -X80729666Y-136207358D01* -X80742840Y-136214400D01* -X80757134Y-136218736D01* -X80772000Y-136220200D01* -X81153000Y-136220200D01* -X81167866Y-136218736D01* -X81182160Y-136214400D01* -X81195334Y-136207358D01* -X81206882Y-136197882D01* -X81216358Y-136186334D01* -X81223400Y-136173160D01* -X81227736Y-136158866D01* -X81229200Y-136144000D01* -X81229200Y-135763000D01* -X81330800Y-135763000D01* -X81330800Y-136144000D01* -X81332264Y-136158866D01* -X81336600Y-136173160D01* -X81343642Y-136186334D01* -X81353118Y-136197882D01* -X81364666Y-136207358D01* -X81377840Y-136214400D01* -X81392134Y-136218736D01* -X81407000Y-136220200D01* -X81788000Y-136220200D01* -X81802866Y-136218736D01* -X81817160Y-136214400D01* -X81830334Y-136207358D01* -X81841882Y-136197882D01* -X81851358Y-136186334D01* -X81858400Y-136173160D01* -X81862736Y-136158866D01* -X81864200Y-136144000D01* -X81864200Y-135763000D01* -X83235800Y-135763000D01* -X83235800Y-136144000D01* -X83237264Y-136158866D01* -X83241600Y-136173160D01* -X83248642Y-136186334D01* -X83258118Y-136197882D01* -X83269666Y-136207358D01* -X83282840Y-136214400D01* -X83297134Y-136218736D01* -X83312000Y-136220200D01* -X83693000Y-136220200D01* -X83707866Y-136218736D01* -X83722160Y-136214400D01* -X83735334Y-136207358D01* -X83746882Y-136197882D01* -X83756358Y-136186334D01* -X83763400Y-136173160D01* -X83767736Y-136158866D01* -X83769200Y-136144000D01* -X83769200Y-135763000D01* -X83870800Y-135763000D01* -X83870800Y-136144000D01* -X83872264Y-136158866D01* -X83876600Y-136173160D01* -X83883642Y-136186334D01* -X83893118Y-136197882D01* -X83904666Y-136207358D01* -X83917840Y-136214400D01* -X83932134Y-136218736D01* -X83947000Y-136220200D01* -X84328000Y-136220200D01* -X84342866Y-136218736D01* -X84357160Y-136214400D01* -X84370334Y-136207358D01* -X84381882Y-136197882D01* -X84391358Y-136186334D01* -X84398400Y-136173160D01* -X84402736Y-136158866D01* -X84404200Y-136144000D01* -X84404200Y-135763000D01* -X85775800Y-135763000D01* -X85775800Y-136144000D01* -X85777264Y-136158866D01* -X85781600Y-136173160D01* -X85788642Y-136186334D01* -X85798118Y-136197882D01* -X85809666Y-136207358D01* -X85822840Y-136214400D01* -X85837134Y-136218736D01* -X85852000Y-136220200D01* -X86233000Y-136220200D01* -X86247866Y-136218736D01* -X86262160Y-136214400D01* -X86275334Y-136207358D01* -X86286882Y-136197882D01* -X86296358Y-136186334D01* -X86303400Y-136173160D01* -X86307736Y-136158866D01* -X86309200Y-136144000D01* -X86309200Y-135763000D01* -X86410800Y-135763000D01* -X86410800Y-136144000D01* -X86412264Y-136158866D01* -X86416600Y-136173160D01* -X86423642Y-136186334D01* -X86433118Y-136197882D01* -X86444666Y-136207358D01* -X86457840Y-136214400D01* -X86472134Y-136218736D01* -X86487000Y-136220200D01* -X86868000Y-136220200D01* -X86882866Y-136218736D01* -X86897160Y-136214400D01* -X86910334Y-136207358D01* -X86921882Y-136197882D01* -X86931358Y-136186334D01* -X86938400Y-136173160D01* -X86942736Y-136158866D01* -X86944200Y-136144000D01* -X86944200Y-135763000D01* -X88315800Y-135763000D01* -X88315800Y-136144000D01* -X88317264Y-136158866D01* -X88321600Y-136173160D01* -X88328642Y-136186334D01* -X88338118Y-136197882D01* -X88349666Y-136207358D01* -X88362840Y-136214400D01* -X88377134Y-136218736D01* -X88392000Y-136220200D01* -X88773000Y-136220200D01* -X88787866Y-136218736D01* -X88802160Y-136214400D01* -X88815334Y-136207358D01* -X88826882Y-136197882D01* -X88836358Y-136186334D01* -X88843400Y-136173160D01* -X88847736Y-136158866D01* -X88849200Y-136144000D01* -X88849200Y-135763000D01* -X88950800Y-135763000D01* -X88950800Y-136144000D01* -X88952264Y-136158866D01* -X88956600Y-136173160D01* -X88963642Y-136186334D01* -X88973118Y-136197882D01* -X88984666Y-136207358D01* -X88997840Y-136214400D01* -X89012134Y-136218736D01* -X89027000Y-136220200D01* -X89408000Y-136220200D01* -X89422866Y-136218736D01* -X89437160Y-136214400D01* -X89450334Y-136207358D01* -X89461882Y-136197882D01* -X89471358Y-136186334D01* -X89478400Y-136173160D01* -X89482736Y-136158866D01* -X89484200Y-136144000D01* -X89484200Y-135763000D01* -X90855800Y-135763000D01* -X90855800Y-136144000D01* -X90857264Y-136158866D01* -X90861600Y-136173160D01* -X90868642Y-136186334D01* -X90878118Y-136197882D01* -X90889666Y-136207358D01* -X90902840Y-136214400D01* -X90917134Y-136218736D01* -X90932000Y-136220200D01* -X91313000Y-136220200D01* -X91327866Y-136218736D01* -X91342160Y-136214400D01* -X91355334Y-136207358D01* -X91366882Y-136197882D01* -X91376358Y-136186334D01* -X91383400Y-136173160D01* -X91387736Y-136158866D01* -X91389200Y-136144000D01* -X91389200Y-135763000D01* -X91490800Y-135763000D01* -X91490800Y-136144000D01* -X91492264Y-136158866D01* -X91496600Y-136173160D01* -X91503642Y-136186334D01* -X91513118Y-136197882D01* -X91524666Y-136207358D01* -X91537840Y-136214400D01* -X91552134Y-136218736D01* -X91567000Y-136220200D01* -X91948000Y-136220200D01* -X91962866Y-136218736D01* -X91977160Y-136214400D01* -X91990334Y-136207358D01* -X92001882Y-136197882D01* -X92011358Y-136186334D01* -X92018400Y-136173160D01* -X92022736Y-136158866D01* -X92024200Y-136144000D01* -X92024200Y-135763000D01* -X93395800Y-135763000D01* -X93395800Y-136144000D01* -X93397264Y-136158866D01* -X93401600Y-136173160D01* -X93408642Y-136186334D01* -X93418118Y-136197882D01* -X93429666Y-136207358D01* -X93442840Y-136214400D01* -X93457134Y-136218736D01* -X93472000Y-136220200D01* -X93853000Y-136220200D01* -X93867866Y-136218736D01* -X93882160Y-136214400D01* -X93895334Y-136207358D01* -X93906882Y-136197882D01* -X93916358Y-136186334D01* -X93923400Y-136173160D01* -X93927736Y-136158866D01* -X93929200Y-136144000D01* -X93929200Y-135763000D01* -X94030800Y-135763000D01* -X94030800Y-136144000D01* -X94032264Y-136158866D01* -X94036600Y-136173160D01* -X94043642Y-136186334D01* -X94053118Y-136197882D01* -X94064666Y-136207358D01* -X94077840Y-136214400D01* -X94092134Y-136218736D01* -X94107000Y-136220200D01* -X94488000Y-136220200D01* -X94502866Y-136218736D01* -X94517160Y-136214400D01* -X94530334Y-136207358D01* -X94541882Y-136197882D01* -X94551358Y-136186334D01* -X94558400Y-136173160D01* -X94562736Y-136158866D01* -X94564200Y-136144000D01* -X94564200Y-135763000D01* -X95935800Y-135763000D01* -X95935800Y-136144000D01* -X95937264Y-136158866D01* -X95941600Y-136173160D01* -X95948642Y-136186334D01* -X95958118Y-136197882D01* -X95969666Y-136207358D01* -X95982840Y-136214400D01* -X95997134Y-136218736D01* -X96012000Y-136220200D01* -X96393000Y-136220200D01* -X96407866Y-136218736D01* -X96422160Y-136214400D01* -X96435334Y-136207358D01* -X96446882Y-136197882D01* -X96456358Y-136186334D01* -X96463400Y-136173160D01* -X96467736Y-136158866D01* -X96469200Y-136144000D01* -X96469200Y-135763000D01* -X96570800Y-135763000D01* -X96570800Y-136144000D01* -X96572264Y-136158866D01* -X96576600Y-136173160D01* -X96583642Y-136186334D01* -X96593118Y-136197882D01* -X96604666Y-136207358D01* -X96617840Y-136214400D01* -X96632134Y-136218736D01* -X96647000Y-136220200D01* -X97028000Y-136220200D01* -X97042866Y-136218736D01* -X97057160Y-136214400D01* -X97070334Y-136207358D01* -X97081882Y-136197882D01* -X97091358Y-136186334D01* -X97098400Y-136173160D01* -X97102736Y-136158866D01* -X97104200Y-136144000D01* -X97104200Y-135763000D01* -X98475800Y-135763000D01* -X98475800Y-136144000D01* -X98477264Y-136158866D01* -X98481600Y-136173160D01* -X98488642Y-136186334D01* -X98498118Y-136197882D01* -X98509666Y-136207358D01* -X98522840Y-136214400D01* -X98537134Y-136218736D01* -X98552000Y-136220200D01* -X98933000Y-136220200D01* -X98947866Y-136218736D01* -X98962160Y-136214400D01* -X98975334Y-136207358D01* -X98986882Y-136197882D01* -X98996358Y-136186334D01* -X99003400Y-136173160D01* -X99007736Y-136158866D01* -X99009200Y-136144000D01* -X99009200Y-135763000D01* -X99110800Y-135763000D01* -X99110800Y-136144000D01* -X99112264Y-136158866D01* -X99116600Y-136173160D01* -X99123642Y-136186334D01* -X99133118Y-136197882D01* -X99144666Y-136207358D01* -X99157840Y-136214400D01* -X99172134Y-136218736D01* -X99187000Y-136220200D01* -X99568000Y-136220200D01* -X99582866Y-136218736D01* -X99597160Y-136214400D01* -X99610334Y-136207358D01* -X99621882Y-136197882D01* -X99631358Y-136186334D01* -X99638400Y-136173160D01* -X99642736Y-136158866D01* -X99644200Y-136144000D01* -X99644200Y-135763000D01* -X101015800Y-135763000D01* -X101015800Y-136144000D01* -X101017264Y-136158866D01* -X101021600Y-136173160D01* -X101028642Y-136186334D01* -X101038118Y-136197882D01* -X101049666Y-136207358D01* -X101062840Y-136214400D01* -X101077134Y-136218736D01* -X101092000Y-136220200D01* -X101473000Y-136220200D01* -X101487866Y-136218736D01* -X101502160Y-136214400D01* -X101515334Y-136207358D01* -X101526882Y-136197882D01* -X101536358Y-136186334D01* -X101543400Y-136173160D01* -X101547736Y-136158866D01* -X101549200Y-136144000D01* -X101549200Y-135763000D01* -X101650800Y-135763000D01* -X101650800Y-136144000D01* -X101652264Y-136158866D01* -X101656600Y-136173160D01* -X101663642Y-136186334D01* -X101673118Y-136197882D01* -X101684666Y-136207358D01* -X101697840Y-136214400D01* -X101712134Y-136218736D01* -X101727000Y-136220200D01* -X102108000Y-136220200D01* -X102122866Y-136218736D01* -X102137160Y-136214400D01* -X102150334Y-136207358D01* -X102161882Y-136197882D01* -X102171358Y-136186334D01* -X102178400Y-136173160D01* -X102182736Y-136158866D01* -X102184200Y-136144000D01* -X102184200Y-135763000D01* -X103555800Y-135763000D01* -X103555800Y-136144000D01* -X103557264Y-136158866D01* -X103561600Y-136173160D01* -X103568642Y-136186334D01* -X103578118Y-136197882D01* -X103589666Y-136207358D01* -X103602840Y-136214400D01* -X103617134Y-136218736D01* -X103632000Y-136220200D01* -X104013000Y-136220200D01* -X104027866Y-136218736D01* -X104042160Y-136214400D01* -X104055334Y-136207358D01* -X104066882Y-136197882D01* -X104076358Y-136186334D01* -X104083400Y-136173160D01* -X104087736Y-136158866D01* -X104089200Y-136144000D01* -X104089200Y-135763000D01* -X104190800Y-135763000D01* -X104190800Y-136144000D01* -X104192264Y-136158866D01* -X104196600Y-136173160D01* -X104203642Y-136186334D01* -X104213118Y-136197882D01* -X104224666Y-136207358D01* -X104237840Y-136214400D01* -X104252134Y-136218736D01* -X104267000Y-136220200D01* -X104648000Y-136220200D01* -X104662866Y-136218736D01* -X104677160Y-136214400D01* -X104690334Y-136207358D01* -X104701882Y-136197882D01* -X104711358Y-136186334D01* -X104718400Y-136173160D01* -X104722736Y-136158866D01* -X104724200Y-136144000D01* -X104724200Y-135763000D01* -X106095800Y-135763000D01* -X106095800Y-136144000D01* -X106097264Y-136158866D01* -X106101600Y-136173160D01* -X106108642Y-136186334D01* -X106118118Y-136197882D01* -X106129666Y-136207358D01* -X106142840Y-136214400D01* -X106157134Y-136218736D01* -X106172000Y-136220200D01* -X106553000Y-136220200D01* -X106567866Y-136218736D01* -X106582160Y-136214400D01* -X106595334Y-136207358D01* -X106606882Y-136197882D01* -X106616358Y-136186334D01* -X106623400Y-136173160D01* -X106627736Y-136158866D01* -X106629200Y-136144000D01* -X106629200Y-135763000D01* -X106730800Y-135763000D01* -X106730800Y-136144000D01* -X106732264Y-136158866D01* -X106736600Y-136173160D01* -X106743642Y-136186334D01* -X106753118Y-136197882D01* -X106764666Y-136207358D01* -X106777840Y-136214400D01* -X106792134Y-136218736D01* -X106807000Y-136220200D01* -X107188000Y-136220200D01* -X107202866Y-136218736D01* -X107217160Y-136214400D01* -X107230334Y-136207358D01* -X107241882Y-136197882D01* -X107251358Y-136186334D01* -X107258400Y-136173160D01* -X107262736Y-136158866D01* -X107264200Y-136144000D01* -X107264200Y-135763000D01* -X108635800Y-135763000D01* -X108635800Y-136144000D01* -X108637264Y-136158866D01* -X108641600Y-136173160D01* -X108648642Y-136186334D01* -X108658118Y-136197882D01* -X108669666Y-136207358D01* -X108682840Y-136214400D01* -X108697134Y-136218736D01* -X108712000Y-136220200D01* -X109093000Y-136220200D01* -X109107866Y-136218736D01* -X109122160Y-136214400D01* -X109135334Y-136207358D01* -X109146882Y-136197882D01* -X109156358Y-136186334D01* -X109163400Y-136173160D01* -X109167736Y-136158866D01* -X109169200Y-136144000D01* -X109169200Y-135763000D01* -X109270800Y-135763000D01* -X109270800Y-136144000D01* -X109272264Y-136158866D01* -X109276600Y-136173160D01* -X109283642Y-136186334D01* -X109293118Y-136197882D01* -X109304666Y-136207358D01* -X109317840Y-136214400D01* -X109332134Y-136218736D01* -X109347000Y-136220200D01* -X109728000Y-136220200D01* -X109742866Y-136218736D01* -X109757160Y-136214400D01* -X109770334Y-136207358D01* -X109781882Y-136197882D01* -X109791358Y-136186334D01* -X109798400Y-136173160D01* -X109802736Y-136158866D01* -X109804200Y-136144000D01* -X109804200Y-135763000D01* -X111175800Y-135763000D01* -X111175800Y-136144000D01* -X111177264Y-136158866D01* -X111181600Y-136173160D01* -X111188642Y-136186334D01* -X111198118Y-136197882D01* -X111209666Y-136207358D01* -X111222840Y-136214400D01* -X111237134Y-136218736D01* -X111252000Y-136220200D01* -X111633000Y-136220200D01* -X111647866Y-136218736D01* -X111662160Y-136214400D01* -X111675334Y-136207358D01* -X111686882Y-136197882D01* -X111696358Y-136186334D01* -X111703400Y-136173160D01* -X111707736Y-136158866D01* -X111709200Y-136144000D01* -X111709200Y-135763000D01* -X111810800Y-135763000D01* -X111810800Y-136144000D01* -X111812264Y-136158866D01* -X111816600Y-136173160D01* -X111823642Y-136186334D01* -X111833118Y-136197882D01* -X111844666Y-136207358D01* -X111857840Y-136214400D01* -X111872134Y-136218736D01* -X111887000Y-136220200D01* -X112268000Y-136220200D01* -X112282866Y-136218736D01* -X112297160Y-136214400D01* -X112310334Y-136207358D01* -X112321882Y-136197882D01* -X112331358Y-136186334D01* -X112338400Y-136173160D01* -X112342736Y-136158866D01* -X112344200Y-136144000D01* -X112344200Y-135763000D01* -X113715800Y-135763000D01* -X113715800Y-136144000D01* -X113717264Y-136158866D01* -X113721600Y-136173160D01* -X113728642Y-136186334D01* -X113738118Y-136197882D01* -X113749666Y-136207358D01* -X113762840Y-136214400D01* -X113777134Y-136218736D01* -X113792000Y-136220200D01* -X114173000Y-136220200D01* -X114187866Y-136218736D01* -X114202160Y-136214400D01* -X114215334Y-136207358D01* -X114226882Y-136197882D01* -X114236358Y-136186334D01* -X114243400Y-136173160D01* -X114247736Y-136158866D01* -X114249200Y-136144000D01* -X114249200Y-135763000D01* -X114350800Y-135763000D01* -X114350800Y-136144000D01* -X114352264Y-136158866D01* -X114356600Y-136173160D01* -X114363642Y-136186334D01* -X114373118Y-136197882D01* -X114384666Y-136207358D01* -X114397840Y-136214400D01* -X114412134Y-136218736D01* -X114427000Y-136220200D01* -X114808000Y-136220200D01* -X114822866Y-136218736D01* -X114837160Y-136214400D01* -X114850334Y-136207358D01* -X114861882Y-136197882D01* -X114871358Y-136186334D01* -X114878400Y-136173160D01* -X114882736Y-136158866D01* -X114884200Y-136144000D01* -X114884200Y-135763000D01* -X121335800Y-135763000D01* -X121335800Y-136144000D01* -X121337264Y-136158866D01* -X121341600Y-136173160D01* -X121348642Y-136186334D01* -X121358118Y-136197882D01* -X121369666Y-136207358D01* -X121382840Y-136214400D01* -X121397134Y-136218736D01* -X121412000Y-136220200D01* -X121793000Y-136220200D01* -X121807866Y-136218736D01* -X121822160Y-136214400D01* -X121835334Y-136207358D01* -X121846882Y-136197882D01* -X121856358Y-136186334D01* -X121863400Y-136173160D01* -X121867736Y-136158866D01* -X121869200Y-136144000D01* -X121869200Y-135763000D01* -X121970800Y-135763000D01* -X121970800Y-136144000D01* -X121972264Y-136158866D01* -X121976600Y-136173160D01* -X121983642Y-136186334D01* -X121993118Y-136197882D01* -X122004666Y-136207358D01* -X122017840Y-136214400D01* -X122032134Y-136218736D01* -X122047000Y-136220200D01* -X122428000Y-136220200D01* -X122442866Y-136218736D01* -X122457160Y-136214400D01* -X122470334Y-136207358D01* -X122481882Y-136197882D01* -X122491358Y-136186334D01* -X122498400Y-136173160D01* -X122502736Y-136158866D01* -X122504200Y-136144000D01* -X122504200Y-135763000D01* -X123875800Y-135763000D01* -X123875800Y-136144000D01* -X123877264Y-136158866D01* -X123881600Y-136173160D01* -X123888642Y-136186334D01* -X123898118Y-136197882D01* -X123909666Y-136207358D01* -X123922840Y-136214400D01* -X123937134Y-136218736D01* -X123952000Y-136220200D01* -X124333000Y-136220200D01* -X124347866Y-136218736D01* -X124362160Y-136214400D01* -X124375334Y-136207358D01* -X124386882Y-136197882D01* -X124396358Y-136186334D01* -X124403400Y-136173160D01* -X124407736Y-136158866D01* -X124409200Y-136144000D01* -X124409200Y-135763000D01* -X124510800Y-135763000D01* -X124510800Y-136144000D01* -X124512264Y-136158866D01* -X124516600Y-136173160D01* -X124523642Y-136186334D01* -X124533118Y-136197882D01* -X124544666Y-136207358D01* -X124557840Y-136214400D01* -X124572134Y-136218736D01* -X124587000Y-136220200D01* -X124968000Y-136220200D01* -X124982866Y-136218736D01* -X124997160Y-136214400D01* -X125010334Y-136207358D01* -X125021882Y-136197882D01* -X125031358Y-136186334D01* -X125038400Y-136173160D01* -X125042736Y-136158866D01* -X125044200Y-136144000D01* -X125044200Y-135763000D01* -X126415800Y-135763000D01* -X126415800Y-136144000D01* -X126417264Y-136158866D01* -X126421600Y-136173160D01* -X126428642Y-136186334D01* -X126438118Y-136197882D01* -X126449666Y-136207358D01* -X126462840Y-136214400D01* -X126477134Y-136218736D01* -X126492000Y-136220200D01* -X126873000Y-136220200D01* -X126887866Y-136218736D01* -X126902160Y-136214400D01* -X126915334Y-136207358D01* -X126926882Y-136197882D01* -X126936358Y-136186334D01* -X126943400Y-136173160D01* -X126947736Y-136158866D01* -X126949200Y-136144000D01* -X126949200Y-135763000D01* -X127050800Y-135763000D01* -X127050800Y-136144000D01* -X127052264Y-136158866D01* -X127056600Y-136173160D01* -X127063642Y-136186334D01* -X127073118Y-136197882D01* -X127084666Y-136207358D01* -X127097840Y-136214400D01* -X127112134Y-136218736D01* -X127127000Y-136220200D01* -X127508000Y-136220200D01* -X127522866Y-136218736D01* -X127537160Y-136214400D01* -X127550334Y-136207358D01* -X127561882Y-136197882D01* -X127571358Y-136186334D01* -X127578400Y-136173160D01* -X127582736Y-136158866D01* -X127584200Y-136144000D01* -X127584200Y-135763000D01* -X128955800Y-135763000D01* -X128955800Y-136144000D01* -X128957264Y-136158866D01* -X128961600Y-136173160D01* -X128968642Y-136186334D01* -X128978118Y-136197882D01* -X128989666Y-136207358D01* -X129002840Y-136214400D01* -X129017134Y-136218736D01* -X129032000Y-136220200D01* -X129413000Y-136220200D01* -X129427866Y-136218736D01* -X129442160Y-136214400D01* -X129455334Y-136207358D01* -X129466882Y-136197882D01* -X129476358Y-136186334D01* -X129483400Y-136173160D01* -X129487736Y-136158866D01* -X129489200Y-136144000D01* -X129489200Y-135763000D01* -X129590800Y-135763000D01* -X129590800Y-136144000D01* -X129592264Y-136158866D01* -X129596600Y-136173160D01* -X129603642Y-136186334D01* -X129613118Y-136197882D01* -X129624666Y-136207358D01* -X129637840Y-136214400D01* -X129652134Y-136218736D01* -X129667000Y-136220200D01* -X130048000Y-136220200D01* -X130062866Y-136218736D01* -X130077160Y-136214400D01* -X130090334Y-136207358D01* -X130101882Y-136197882D01* -X130111358Y-136186334D01* -X130118400Y-136173160D01* -X130122736Y-136158866D01* -X130124200Y-136144000D01* -X130124200Y-135763000D01* -X131495800Y-135763000D01* -X131495800Y-136144000D01* -X131497264Y-136158866D01* -X131501600Y-136173160D01* -X131508642Y-136186334D01* -X131518118Y-136197882D01* -X131529666Y-136207358D01* -X131542840Y-136214400D01* -X131557134Y-136218736D01* -X131572000Y-136220200D01* -X131953000Y-136220200D01* -X131967866Y-136218736D01* -X131982160Y-136214400D01* -X131995334Y-136207358D01* -X132006882Y-136197882D01* -X132016358Y-136186334D01* -X132023400Y-136173160D01* -X132027736Y-136158866D01* -X132029200Y-136144000D01* -X132029200Y-135763000D01* -X132130800Y-135763000D01* -X132130800Y-136144000D01* -X132132264Y-136158866D01* -X132136600Y-136173160D01* -X132143642Y-136186334D01* -X132153118Y-136197882D01* -X132164666Y-136207358D01* -X132177840Y-136214400D01* -X132192134Y-136218736D01* -X132207000Y-136220200D01* -X132588000Y-136220200D01* -X132602866Y-136218736D01* -X132617160Y-136214400D01* -X132630334Y-136207358D01* -X132641882Y-136197882D01* -X132651358Y-136186334D01* -X132658400Y-136173160D01* -X132662736Y-136158866D01* -X132664200Y-136144000D01* -X132664200Y-135763000D01* -X134035800Y-135763000D01* -X134035800Y-136144000D01* -X134037264Y-136158866D01* -X134041600Y-136173160D01* -X134048642Y-136186334D01* -X134058118Y-136197882D01* -X134069666Y-136207358D01* -X134082840Y-136214400D01* -X134097134Y-136218736D01* -X134112000Y-136220200D01* -X134493000Y-136220200D01* -X134507866Y-136218736D01* -X134522160Y-136214400D01* -X134535334Y-136207358D01* -X134546882Y-136197882D01* -X134556358Y-136186334D01* -X134563400Y-136173160D01* -X134567736Y-136158866D01* -X134569200Y-136144000D01* -X134569200Y-135763000D01* -X134670800Y-135763000D01* -X134670800Y-136144000D01* -X134672264Y-136158866D01* -X134676600Y-136173160D01* -X134683642Y-136186334D01* -X134693118Y-136197882D01* -X134704666Y-136207358D01* -X134717840Y-136214400D01* -X134732134Y-136218736D01* -X134747000Y-136220200D01* -X135128000Y-136220200D01* -X135142866Y-136218736D01* -X135157160Y-136214400D01* -X135170334Y-136207358D01* -X135181882Y-136197882D01* -X135191358Y-136186334D01* -X135198400Y-136173160D01* -X135202736Y-136158866D01* -X135204200Y-136144000D01* -X135204200Y-135763000D01* -X135202736Y-135748134D01* -X135198400Y-135733840D01* -X135191358Y-135720666D01* -X135181882Y-135709118D01* -X135170334Y-135699642D01* -X135157160Y-135692600D01* -X135142866Y-135688264D01* -X135128000Y-135686800D01* -X134747000Y-135686800D01* -X134732134Y-135688264D01* -X134717840Y-135692600D01* -X134704666Y-135699642D01* -X134693118Y-135709118D01* -X134683642Y-135720666D01* -X134676600Y-135733840D01* -X134672264Y-135748134D01* -X134670800Y-135763000D01* -X134569200Y-135763000D01* -X134567736Y-135748134D01* -X134563400Y-135733840D01* -X134556358Y-135720666D01* -X134546882Y-135709118D01* -X134535334Y-135699642D01* -X134522160Y-135692600D01* -X134507866Y-135688264D01* -X134493000Y-135686800D01* -X134112000Y-135686800D01* -X134097134Y-135688264D01* -X134082840Y-135692600D01* -X134069666Y-135699642D01* -X134058118Y-135709118D01* -X134048642Y-135720666D01* -X134041600Y-135733840D01* -X134037264Y-135748134D01* -X134035800Y-135763000D01* -X132664200Y-135763000D01* -X132662736Y-135748134D01* -X132658400Y-135733840D01* -X132651358Y-135720666D01* -X132641882Y-135709118D01* -X132630334Y-135699642D01* -X132617160Y-135692600D01* -X132602866Y-135688264D01* -X132588000Y-135686800D01* -X132207000Y-135686800D01* -X132192134Y-135688264D01* -X132177840Y-135692600D01* -X132164666Y-135699642D01* -X132153118Y-135709118D01* -X132143642Y-135720666D01* -X132136600Y-135733840D01* -X132132264Y-135748134D01* -X132130800Y-135763000D01* -X132029200Y-135763000D01* -X132027736Y-135748134D01* -X132023400Y-135733840D01* -X132016358Y-135720666D01* -X132006882Y-135709118D01* -X131995334Y-135699642D01* -X131982160Y-135692600D01* -X131967866Y-135688264D01* -X131953000Y-135686800D01* -X131572000Y-135686800D01* -X131557134Y-135688264D01* -X131542840Y-135692600D01* -X131529666Y-135699642D01* -X131518118Y-135709118D01* -X131508642Y-135720666D01* -X131501600Y-135733840D01* -X131497264Y-135748134D01* -X131495800Y-135763000D01* -X130124200Y-135763000D01* -X130122736Y-135748134D01* -X130118400Y-135733840D01* -X130111358Y-135720666D01* -X130101882Y-135709118D01* -X130090334Y-135699642D01* -X130077160Y-135692600D01* -X130062866Y-135688264D01* -X130048000Y-135686800D01* -X129667000Y-135686800D01* -X129652134Y-135688264D01* -X129637840Y-135692600D01* -X129624666Y-135699642D01* -X129613118Y-135709118D01* -X129603642Y-135720666D01* -X129596600Y-135733840D01* -X129592264Y-135748134D01* -X129590800Y-135763000D01* -X129489200Y-135763000D01* -X129487736Y-135748134D01* -X129483400Y-135733840D01* -X129476358Y-135720666D01* -X129466882Y-135709118D01* -X129455334Y-135699642D01* -X129442160Y-135692600D01* -X129427866Y-135688264D01* -X129413000Y-135686800D01* -X129032000Y-135686800D01* -X129017134Y-135688264D01* -X129002840Y-135692600D01* -X128989666Y-135699642D01* -X128978118Y-135709118D01* -X128968642Y-135720666D01* -X128961600Y-135733840D01* -X128957264Y-135748134D01* -X128955800Y-135763000D01* -X127584200Y-135763000D01* -X127582736Y-135748134D01* -X127578400Y-135733840D01* -X127571358Y-135720666D01* -X127561882Y-135709118D01* -X127550334Y-135699642D01* -X127537160Y-135692600D01* -X127522866Y-135688264D01* -X127508000Y-135686800D01* -X127127000Y-135686800D01* -X127112134Y-135688264D01* -X127097840Y-135692600D01* -X127084666Y-135699642D01* -X127073118Y-135709118D01* -X127063642Y-135720666D01* -X127056600Y-135733840D01* -X127052264Y-135748134D01* -X127050800Y-135763000D01* -X126949200Y-135763000D01* -X126947736Y-135748134D01* -X126943400Y-135733840D01* -X126936358Y-135720666D01* -X126926882Y-135709118D01* -X126915334Y-135699642D01* -X126902160Y-135692600D01* -X126887866Y-135688264D01* -X126873000Y-135686800D01* -X126492000Y-135686800D01* -X126477134Y-135688264D01* -X126462840Y-135692600D01* -X126449666Y-135699642D01* -X126438118Y-135709118D01* -X126428642Y-135720666D01* -X126421600Y-135733840D01* -X126417264Y-135748134D01* -X126415800Y-135763000D01* -X125044200Y-135763000D01* -X125042736Y-135748134D01* -X125038400Y-135733840D01* -X125031358Y-135720666D01* -X125021882Y-135709118D01* -X125010334Y-135699642D01* -X124997160Y-135692600D01* -X124982866Y-135688264D01* -X124968000Y-135686800D01* -X124587000Y-135686800D01* -X124572134Y-135688264D01* -X124557840Y-135692600D01* -X124544666Y-135699642D01* -X124533118Y-135709118D01* -X124523642Y-135720666D01* -X124516600Y-135733840D01* -X124512264Y-135748134D01* -X124510800Y-135763000D01* -X124409200Y-135763000D01* -X124407736Y-135748134D01* -X124403400Y-135733840D01* -X124396358Y-135720666D01* -X124386882Y-135709118D01* -X124375334Y-135699642D01* -X124362160Y-135692600D01* -X124347866Y-135688264D01* -X124333000Y-135686800D01* -X123952000Y-135686800D01* -X123937134Y-135688264D01* -X123922840Y-135692600D01* -X123909666Y-135699642D01* -X123898118Y-135709118D01* -X123888642Y-135720666D01* -X123881600Y-135733840D01* -X123877264Y-135748134D01* -X123875800Y-135763000D01* -X122504200Y-135763000D01* -X122502736Y-135748134D01* -X122498400Y-135733840D01* -X122491358Y-135720666D01* -X122481882Y-135709118D01* -X122470334Y-135699642D01* -X122457160Y-135692600D01* -X122442866Y-135688264D01* -X122428000Y-135686800D01* -X122047000Y-135686800D01* -X122032134Y-135688264D01* -X122017840Y-135692600D01* -X122004666Y-135699642D01* -X121993118Y-135709118D01* -X121983642Y-135720666D01* -X121976600Y-135733840D01* -X121972264Y-135748134D01* -X121970800Y-135763000D01* -X121869200Y-135763000D01* -X121867736Y-135748134D01* -X121863400Y-135733840D01* -X121856358Y-135720666D01* -X121846882Y-135709118D01* -X121835334Y-135699642D01* -X121822160Y-135692600D01* -X121807866Y-135688264D01* -X121793000Y-135686800D01* -X121412000Y-135686800D01* -X121397134Y-135688264D01* -X121382840Y-135692600D01* -X121369666Y-135699642D01* -X121358118Y-135709118D01* -X121348642Y-135720666D01* -X121341600Y-135733840D01* -X121337264Y-135748134D01* -X121335800Y-135763000D01* -X114884200Y-135763000D01* -X114882736Y-135748134D01* -X114878400Y-135733840D01* -X114871358Y-135720666D01* -X114861882Y-135709118D01* -X114850334Y-135699642D01* -X114837160Y-135692600D01* -X114822866Y-135688264D01* -X114808000Y-135686800D01* -X114427000Y-135686800D01* -X114412134Y-135688264D01* -X114397840Y-135692600D01* -X114384666Y-135699642D01* -X114373118Y-135709118D01* -X114363642Y-135720666D01* -X114356600Y-135733840D01* -X114352264Y-135748134D01* -X114350800Y-135763000D01* -X114249200Y-135763000D01* -X114247736Y-135748134D01* -X114243400Y-135733840D01* -X114236358Y-135720666D01* -X114226882Y-135709118D01* -X114215334Y-135699642D01* -X114202160Y-135692600D01* -X114187866Y-135688264D01* -X114173000Y-135686800D01* -X113792000Y-135686800D01* -X113777134Y-135688264D01* -X113762840Y-135692600D01* -X113749666Y-135699642D01* -X113738118Y-135709118D01* -X113728642Y-135720666D01* -X113721600Y-135733840D01* -X113717264Y-135748134D01* -X113715800Y-135763000D01* -X112344200Y-135763000D01* -X112342736Y-135748134D01* -X112338400Y-135733840D01* -X112331358Y-135720666D01* -X112321882Y-135709118D01* -X112310334Y-135699642D01* -X112297160Y-135692600D01* -X112282866Y-135688264D01* -X112268000Y-135686800D01* -X111887000Y-135686800D01* -X111872134Y-135688264D01* -X111857840Y-135692600D01* -X111844666Y-135699642D01* -X111833118Y-135709118D01* -X111823642Y-135720666D01* -X111816600Y-135733840D01* -X111812264Y-135748134D01* -X111810800Y-135763000D01* -X111709200Y-135763000D01* -X111707736Y-135748134D01* -X111703400Y-135733840D01* -X111696358Y-135720666D01* -X111686882Y-135709118D01* -X111675334Y-135699642D01* -X111662160Y-135692600D01* -X111647866Y-135688264D01* -X111633000Y-135686800D01* -X111252000Y-135686800D01* -X111237134Y-135688264D01* -X111222840Y-135692600D01* -X111209666Y-135699642D01* -X111198118Y-135709118D01* -X111188642Y-135720666D01* -X111181600Y-135733840D01* -X111177264Y-135748134D01* -X111175800Y-135763000D01* -X109804200Y-135763000D01* -X109802736Y-135748134D01* -X109798400Y-135733840D01* -X109791358Y-135720666D01* -X109781882Y-135709118D01* -X109770334Y-135699642D01* -X109757160Y-135692600D01* -X109742866Y-135688264D01* -X109728000Y-135686800D01* -X109347000Y-135686800D01* -X109332134Y-135688264D01* -X109317840Y-135692600D01* -X109304666Y-135699642D01* -X109293118Y-135709118D01* -X109283642Y-135720666D01* -X109276600Y-135733840D01* -X109272264Y-135748134D01* -X109270800Y-135763000D01* -X109169200Y-135763000D01* -X109167736Y-135748134D01* -X109163400Y-135733840D01* -X109156358Y-135720666D01* -X109146882Y-135709118D01* -X109135334Y-135699642D01* -X109122160Y-135692600D01* -X109107866Y-135688264D01* -X109093000Y-135686800D01* -X108712000Y-135686800D01* -X108697134Y-135688264D01* -X108682840Y-135692600D01* -X108669666Y-135699642D01* -X108658118Y-135709118D01* -X108648642Y-135720666D01* -X108641600Y-135733840D01* -X108637264Y-135748134D01* -X108635800Y-135763000D01* -X107264200Y-135763000D01* -X107262736Y-135748134D01* -X107258400Y-135733840D01* -X107251358Y-135720666D01* -X107241882Y-135709118D01* -X107230334Y-135699642D01* -X107217160Y-135692600D01* -X107202866Y-135688264D01* -X107188000Y-135686800D01* -X106807000Y-135686800D01* -X106792134Y-135688264D01* -X106777840Y-135692600D01* -X106764666Y-135699642D01* -X106753118Y-135709118D01* -X106743642Y-135720666D01* -X106736600Y-135733840D01* -X106732264Y-135748134D01* -X106730800Y-135763000D01* -X106629200Y-135763000D01* -X106627736Y-135748134D01* -X106623400Y-135733840D01* -X106616358Y-135720666D01* -X106606882Y-135709118D01* -X106595334Y-135699642D01* -X106582160Y-135692600D01* -X106567866Y-135688264D01* -X106553000Y-135686800D01* -X106172000Y-135686800D01* -X106157134Y-135688264D01* -X106142840Y-135692600D01* -X106129666Y-135699642D01* -X106118118Y-135709118D01* -X106108642Y-135720666D01* -X106101600Y-135733840D01* -X106097264Y-135748134D01* -X106095800Y-135763000D01* -X104724200Y-135763000D01* -X104722736Y-135748134D01* -X104718400Y-135733840D01* -X104711358Y-135720666D01* -X104701882Y-135709118D01* -X104690334Y-135699642D01* -X104677160Y-135692600D01* -X104662866Y-135688264D01* -X104648000Y-135686800D01* -X104267000Y-135686800D01* -X104252134Y-135688264D01* -X104237840Y-135692600D01* -X104224666Y-135699642D01* -X104213118Y-135709118D01* -X104203642Y-135720666D01* -X104196600Y-135733840D01* -X104192264Y-135748134D01* -X104190800Y-135763000D01* -X104089200Y-135763000D01* -X104087736Y-135748134D01* -X104083400Y-135733840D01* -X104076358Y-135720666D01* -X104066882Y-135709118D01* -X104055334Y-135699642D01* -X104042160Y-135692600D01* -X104027866Y-135688264D01* -X104013000Y-135686800D01* -X103632000Y-135686800D01* -X103617134Y-135688264D01* -X103602840Y-135692600D01* -X103589666Y-135699642D01* -X103578118Y-135709118D01* -X103568642Y-135720666D01* -X103561600Y-135733840D01* -X103557264Y-135748134D01* -X103555800Y-135763000D01* -X102184200Y-135763000D01* -X102182736Y-135748134D01* -X102178400Y-135733840D01* -X102171358Y-135720666D01* -X102161882Y-135709118D01* -X102150334Y-135699642D01* -X102137160Y-135692600D01* -X102122866Y-135688264D01* -X102108000Y-135686800D01* -X101727000Y-135686800D01* -X101712134Y-135688264D01* -X101697840Y-135692600D01* -X101684666Y-135699642D01* -X101673118Y-135709118D01* -X101663642Y-135720666D01* -X101656600Y-135733840D01* -X101652264Y-135748134D01* -X101650800Y-135763000D01* -X101549200Y-135763000D01* -X101547736Y-135748134D01* -X101543400Y-135733840D01* -X101536358Y-135720666D01* -X101526882Y-135709118D01* -X101515334Y-135699642D01* -X101502160Y-135692600D01* -X101487866Y-135688264D01* -X101473000Y-135686800D01* -X101092000Y-135686800D01* -X101077134Y-135688264D01* -X101062840Y-135692600D01* -X101049666Y-135699642D01* -X101038118Y-135709118D01* -X101028642Y-135720666D01* -X101021600Y-135733840D01* -X101017264Y-135748134D01* -X101015800Y-135763000D01* -X99644200Y-135763000D01* -X99642736Y-135748134D01* -X99638400Y-135733840D01* -X99631358Y-135720666D01* -X99621882Y-135709118D01* -X99610334Y-135699642D01* -X99597160Y-135692600D01* -X99582866Y-135688264D01* -X99568000Y-135686800D01* -X99187000Y-135686800D01* -X99172134Y-135688264D01* -X99157840Y-135692600D01* -X99144666Y-135699642D01* -X99133118Y-135709118D01* -X99123642Y-135720666D01* -X99116600Y-135733840D01* -X99112264Y-135748134D01* -X99110800Y-135763000D01* -X99009200Y-135763000D01* -X99007736Y-135748134D01* -X99003400Y-135733840D01* -X98996358Y-135720666D01* -X98986882Y-135709118D01* -X98975334Y-135699642D01* -X98962160Y-135692600D01* -X98947866Y-135688264D01* -X98933000Y-135686800D01* -X98552000Y-135686800D01* -X98537134Y-135688264D01* -X98522840Y-135692600D01* -X98509666Y-135699642D01* -X98498118Y-135709118D01* -X98488642Y-135720666D01* -X98481600Y-135733840D01* -X98477264Y-135748134D01* -X98475800Y-135763000D01* -X97104200Y-135763000D01* -X97102736Y-135748134D01* -X97098400Y-135733840D01* -X97091358Y-135720666D01* -X97081882Y-135709118D01* -X97070334Y-135699642D01* -X97057160Y-135692600D01* -X97042866Y-135688264D01* -X97028000Y-135686800D01* -X96647000Y-135686800D01* -X96632134Y-135688264D01* -X96617840Y-135692600D01* -X96604666Y-135699642D01* -X96593118Y-135709118D01* -X96583642Y-135720666D01* -X96576600Y-135733840D01* -X96572264Y-135748134D01* -X96570800Y-135763000D01* -X96469200Y-135763000D01* -X96467736Y-135748134D01* -X96463400Y-135733840D01* -X96456358Y-135720666D01* -X96446882Y-135709118D01* -X96435334Y-135699642D01* -X96422160Y-135692600D01* -X96407866Y-135688264D01* -X96393000Y-135686800D01* -X96012000Y-135686800D01* -X95997134Y-135688264D01* -X95982840Y-135692600D01* -X95969666Y-135699642D01* -X95958118Y-135709118D01* -X95948642Y-135720666D01* -X95941600Y-135733840D01* -X95937264Y-135748134D01* -X95935800Y-135763000D01* -X94564200Y-135763000D01* -X94562736Y-135748134D01* -X94558400Y-135733840D01* -X94551358Y-135720666D01* -X94541882Y-135709118D01* -X94530334Y-135699642D01* -X94517160Y-135692600D01* -X94502866Y-135688264D01* -X94488000Y-135686800D01* -X94107000Y-135686800D01* -X94092134Y-135688264D01* -X94077840Y-135692600D01* -X94064666Y-135699642D01* -X94053118Y-135709118D01* -X94043642Y-135720666D01* -X94036600Y-135733840D01* -X94032264Y-135748134D01* -X94030800Y-135763000D01* -X93929200Y-135763000D01* -X93927736Y-135748134D01* -X93923400Y-135733840D01* -X93916358Y-135720666D01* -X93906882Y-135709118D01* -X93895334Y-135699642D01* -X93882160Y-135692600D01* -X93867866Y-135688264D01* -X93853000Y-135686800D01* -X93472000Y-135686800D01* -X93457134Y-135688264D01* -X93442840Y-135692600D01* -X93429666Y-135699642D01* -X93418118Y-135709118D01* -X93408642Y-135720666D01* -X93401600Y-135733840D01* -X93397264Y-135748134D01* -X93395800Y-135763000D01* -X92024200Y-135763000D01* -X92022736Y-135748134D01* -X92018400Y-135733840D01* -X92011358Y-135720666D01* -X92001882Y-135709118D01* -X91990334Y-135699642D01* -X91977160Y-135692600D01* -X91962866Y-135688264D01* -X91948000Y-135686800D01* -X91567000Y-135686800D01* -X91552134Y-135688264D01* -X91537840Y-135692600D01* -X91524666Y-135699642D01* -X91513118Y-135709118D01* -X91503642Y-135720666D01* -X91496600Y-135733840D01* -X91492264Y-135748134D01* -X91490800Y-135763000D01* -X91389200Y-135763000D01* -X91387736Y-135748134D01* -X91383400Y-135733840D01* -X91376358Y-135720666D01* -X91366882Y-135709118D01* -X91355334Y-135699642D01* -X91342160Y-135692600D01* -X91327866Y-135688264D01* -X91313000Y-135686800D01* -X90932000Y-135686800D01* -X90917134Y-135688264D01* -X90902840Y-135692600D01* -X90889666Y-135699642D01* -X90878118Y-135709118D01* -X90868642Y-135720666D01* -X90861600Y-135733840D01* -X90857264Y-135748134D01* -X90855800Y-135763000D01* -X89484200Y-135763000D01* -X89482736Y-135748134D01* -X89478400Y-135733840D01* -X89471358Y-135720666D01* -X89461882Y-135709118D01* -X89450334Y-135699642D01* -X89437160Y-135692600D01* -X89422866Y-135688264D01* -X89408000Y-135686800D01* -X89027000Y-135686800D01* -X89012134Y-135688264D01* -X88997840Y-135692600D01* -X88984666Y-135699642D01* -X88973118Y-135709118D01* -X88963642Y-135720666D01* -X88956600Y-135733840D01* -X88952264Y-135748134D01* -X88950800Y-135763000D01* -X88849200Y-135763000D01* -X88847736Y-135748134D01* -X88843400Y-135733840D01* -X88836358Y-135720666D01* -X88826882Y-135709118D01* -X88815334Y-135699642D01* -X88802160Y-135692600D01* -X88787866Y-135688264D01* -X88773000Y-135686800D01* -X88392000Y-135686800D01* -X88377134Y-135688264D01* -X88362840Y-135692600D01* -X88349666Y-135699642D01* -X88338118Y-135709118D01* -X88328642Y-135720666D01* -X88321600Y-135733840D01* -X88317264Y-135748134D01* -X88315800Y-135763000D01* -X86944200Y-135763000D01* -X86942736Y-135748134D01* -X86938400Y-135733840D01* -X86931358Y-135720666D01* -X86921882Y-135709118D01* -X86910334Y-135699642D01* -X86897160Y-135692600D01* -X86882866Y-135688264D01* -X86868000Y-135686800D01* -X86487000Y-135686800D01* -X86472134Y-135688264D01* -X86457840Y-135692600D01* -X86444666Y-135699642D01* -X86433118Y-135709118D01* -X86423642Y-135720666D01* -X86416600Y-135733840D01* -X86412264Y-135748134D01* -X86410800Y-135763000D01* -X86309200Y-135763000D01* -X86307736Y-135748134D01* -X86303400Y-135733840D01* -X86296358Y-135720666D01* -X86286882Y-135709118D01* -X86275334Y-135699642D01* -X86262160Y-135692600D01* -X86247866Y-135688264D01* -X86233000Y-135686800D01* -X85852000Y-135686800D01* -X85837134Y-135688264D01* -X85822840Y-135692600D01* -X85809666Y-135699642D01* -X85798118Y-135709118D01* -X85788642Y-135720666D01* -X85781600Y-135733840D01* -X85777264Y-135748134D01* -X85775800Y-135763000D01* -X84404200Y-135763000D01* -X84402736Y-135748134D01* -X84398400Y-135733840D01* -X84391358Y-135720666D01* -X84381882Y-135709118D01* -X84370334Y-135699642D01* -X84357160Y-135692600D01* -X84342866Y-135688264D01* -X84328000Y-135686800D01* -X83947000Y-135686800D01* -X83932134Y-135688264D01* -X83917840Y-135692600D01* -X83904666Y-135699642D01* -X83893118Y-135709118D01* -X83883642Y-135720666D01* -X83876600Y-135733840D01* -X83872264Y-135748134D01* -X83870800Y-135763000D01* -X83769200Y-135763000D01* -X83767736Y-135748134D01* -X83763400Y-135733840D01* -X83756358Y-135720666D01* -X83746882Y-135709118D01* -X83735334Y-135699642D01* -X83722160Y-135692600D01* -X83707866Y-135688264D01* -X83693000Y-135686800D01* -X83312000Y-135686800D01* -X83297134Y-135688264D01* -X83282840Y-135692600D01* -X83269666Y-135699642D01* -X83258118Y-135709118D01* -X83248642Y-135720666D01* -X83241600Y-135733840D01* -X83237264Y-135748134D01* -X83235800Y-135763000D01* -X81864200Y-135763000D01* -X81862736Y-135748134D01* -X81858400Y-135733840D01* -X81851358Y-135720666D01* -X81841882Y-135709118D01* -X81830334Y-135699642D01* -X81817160Y-135692600D01* -X81802866Y-135688264D01* -X81788000Y-135686800D01* -X81407000Y-135686800D01* -X81392134Y-135688264D01* -X81377840Y-135692600D01* -X81364666Y-135699642D01* -X81353118Y-135709118D01* -X81343642Y-135720666D01* -X81336600Y-135733840D01* -X81332264Y-135748134D01* -X81330800Y-135763000D01* -X81229200Y-135763000D01* -X81227736Y-135748134D01* -X81223400Y-135733840D01* -X81216358Y-135720666D01* -X81206882Y-135709118D01* -X81195334Y-135699642D01* -X81182160Y-135692600D01* -X81167866Y-135688264D01* -X81153000Y-135686800D01* -X80772000Y-135686800D01* -X80757134Y-135688264D01* -X80742840Y-135692600D01* -X80729666Y-135699642D01* -X80718118Y-135709118D01* -X80708642Y-135720666D01* -X80701600Y-135733840D01* -X80697264Y-135748134D01* -X80695800Y-135763000D01* -X79324200Y-135763000D01* -X79322736Y-135748134D01* -X79318400Y-135733840D01* -X79311358Y-135720666D01* -X79301882Y-135709118D01* -X79290334Y-135699642D01* -X79277160Y-135692600D01* -X79262866Y-135688264D01* -X79248000Y-135686800D01* -X78867000Y-135686800D01* -X78852134Y-135688264D01* -X78837840Y-135692600D01* -X78824666Y-135699642D01* -X78813118Y-135709118D01* -X78803642Y-135720666D01* -X78796600Y-135733840D01* -X78792264Y-135748134D01* -X78790800Y-135763000D01* -X78689200Y-135763000D01* -X78687736Y-135748134D01* -X78683400Y-135733840D01* -X78676358Y-135720666D01* -X78666882Y-135709118D01* -X78655334Y-135699642D01* -X78642160Y-135692600D01* -X78627866Y-135688264D01* -X78613000Y-135686800D01* -X78232000Y-135686800D01* -X78217134Y-135688264D01* -X78202840Y-135692600D01* -X78189666Y-135699642D01* -X78178118Y-135709118D01* -X78168642Y-135720666D01* -X78161600Y-135733840D01* -X78157264Y-135748134D01* -X78155800Y-135763000D01* -X74498200Y-135763000D01* -X74498200Y-135128000D01* -X78155800Y-135128000D01* -X78155800Y-135509000D01* -X78157264Y-135523866D01* -X78161600Y-135538160D01* -X78168642Y-135551334D01* -X78178118Y-135562882D01* -X78189666Y-135572358D01* -X78202840Y-135579400D01* -X78217134Y-135583736D01* -X78232000Y-135585200D01* -X78613000Y-135585200D01* -X78627866Y-135583736D01* -X78642160Y-135579400D01* -X78655334Y-135572358D01* -X78666882Y-135562882D01* -X78676358Y-135551334D01* -X78683400Y-135538160D01* -X78687736Y-135523866D01* -X78689200Y-135509000D01* -X78689200Y-135128000D01* -X78790800Y-135128000D01* -X78790800Y-135509000D01* -X78792264Y-135523866D01* -X78796600Y-135538160D01* -X78803642Y-135551334D01* -X78813118Y-135562882D01* -X78824666Y-135572358D01* -X78837840Y-135579400D01* -X78852134Y-135583736D01* -X78867000Y-135585200D01* -X79248000Y-135585200D01* -X79262866Y-135583736D01* -X79277160Y-135579400D01* -X79290334Y-135572358D01* -X79301882Y-135562882D01* -X79311358Y-135551334D01* -X79318400Y-135538160D01* -X79322736Y-135523866D01* -X79324200Y-135509000D01* -X79324200Y-135128000D01* -X80695800Y-135128000D01* -X80695800Y-135509000D01* -X80697264Y-135523866D01* -X80701600Y-135538160D01* -X80708642Y-135551334D01* -X80718118Y-135562882D01* -X80729666Y-135572358D01* -X80742840Y-135579400D01* -X80757134Y-135583736D01* -X80772000Y-135585200D01* -X81153000Y-135585200D01* -X81167866Y-135583736D01* -X81182160Y-135579400D01* -X81195334Y-135572358D01* -X81206882Y-135562882D01* -X81216358Y-135551334D01* -X81223400Y-135538160D01* -X81227736Y-135523866D01* -X81229200Y-135509000D01* -X81229200Y-135128000D01* -X81330800Y-135128000D01* -X81330800Y-135509000D01* -X81332264Y-135523866D01* -X81336600Y-135538160D01* -X81343642Y-135551334D01* -X81353118Y-135562882D01* -X81364666Y-135572358D01* -X81377840Y-135579400D01* -X81392134Y-135583736D01* -X81407000Y-135585200D01* -X81788000Y-135585200D01* -X81802866Y-135583736D01* -X81817160Y-135579400D01* -X81830334Y-135572358D01* -X81841882Y-135562882D01* -X81851358Y-135551334D01* -X81858400Y-135538160D01* -X81862736Y-135523866D01* -X81864200Y-135509000D01* -X81864200Y-135128000D01* -X83235800Y-135128000D01* -X83235800Y-135509000D01* -X83237264Y-135523866D01* -X83241600Y-135538160D01* -X83248642Y-135551334D01* -X83258118Y-135562882D01* -X83269666Y-135572358D01* -X83282840Y-135579400D01* -X83297134Y-135583736D01* -X83312000Y-135585200D01* -X83693000Y-135585200D01* -X83707866Y-135583736D01* -X83722160Y-135579400D01* -X83735334Y-135572358D01* -X83746882Y-135562882D01* -X83756358Y-135551334D01* -X83763400Y-135538160D01* -X83767736Y-135523866D01* -X83769200Y-135509000D01* -X83769200Y-135128000D01* -X83870800Y-135128000D01* -X83870800Y-135509000D01* -X83872264Y-135523866D01* -X83876600Y-135538160D01* -X83883642Y-135551334D01* -X83893118Y-135562882D01* -X83904666Y-135572358D01* -X83917840Y-135579400D01* -X83932134Y-135583736D01* -X83947000Y-135585200D01* -X84328000Y-135585200D01* -X84342866Y-135583736D01* -X84357160Y-135579400D01* -X84370334Y-135572358D01* -X84381882Y-135562882D01* -X84391358Y-135551334D01* -X84398400Y-135538160D01* -X84402736Y-135523866D01* -X84404200Y-135509000D01* -X84404200Y-135128000D01* -X85775800Y-135128000D01* -X85775800Y-135509000D01* -X85777264Y-135523866D01* -X85781600Y-135538160D01* -X85788642Y-135551334D01* -X85798118Y-135562882D01* -X85809666Y-135572358D01* -X85822840Y-135579400D01* -X85837134Y-135583736D01* -X85852000Y-135585200D01* -X86233000Y-135585200D01* -X86247866Y-135583736D01* -X86262160Y-135579400D01* -X86275334Y-135572358D01* -X86286882Y-135562882D01* -X86296358Y-135551334D01* -X86303400Y-135538160D01* -X86307736Y-135523866D01* -X86309200Y-135509000D01* -X86309200Y-135128000D01* -X86410800Y-135128000D01* -X86410800Y-135509000D01* -X86412264Y-135523866D01* -X86416600Y-135538160D01* -X86423642Y-135551334D01* -X86433118Y-135562882D01* -X86444666Y-135572358D01* -X86457840Y-135579400D01* -X86472134Y-135583736D01* -X86487000Y-135585200D01* -X86868000Y-135585200D01* -X86882866Y-135583736D01* -X86897160Y-135579400D01* -X86910334Y-135572358D01* -X86921882Y-135562882D01* -X86931358Y-135551334D01* -X86938400Y-135538160D01* -X86942736Y-135523866D01* -X86944200Y-135509000D01* -X86944200Y-135128000D01* -X88315800Y-135128000D01* -X88315800Y-135509000D01* -X88317264Y-135523866D01* -X88321600Y-135538160D01* -X88328642Y-135551334D01* -X88338118Y-135562882D01* -X88349666Y-135572358D01* -X88362840Y-135579400D01* -X88377134Y-135583736D01* -X88392000Y-135585200D01* -X88773000Y-135585200D01* -X88787866Y-135583736D01* -X88802160Y-135579400D01* -X88815334Y-135572358D01* -X88826882Y-135562882D01* -X88836358Y-135551334D01* -X88843400Y-135538160D01* -X88847736Y-135523866D01* -X88849200Y-135509000D01* -X88849200Y-135128000D01* -X88950800Y-135128000D01* -X88950800Y-135509000D01* -X88952264Y-135523866D01* -X88956600Y-135538160D01* -X88963642Y-135551334D01* -X88973118Y-135562882D01* -X88984666Y-135572358D01* -X88997840Y-135579400D01* -X89012134Y-135583736D01* -X89027000Y-135585200D01* -X89408000Y-135585200D01* -X89422866Y-135583736D01* -X89437160Y-135579400D01* -X89450334Y-135572358D01* -X89461882Y-135562882D01* -X89471358Y-135551334D01* -X89478400Y-135538160D01* -X89482736Y-135523866D01* -X89484200Y-135509000D01* -X89484200Y-135128000D01* -X90855800Y-135128000D01* -X90855800Y-135509000D01* -X90857264Y-135523866D01* -X90861600Y-135538160D01* -X90868642Y-135551334D01* -X90878118Y-135562882D01* -X90889666Y-135572358D01* -X90902840Y-135579400D01* -X90917134Y-135583736D01* -X90932000Y-135585200D01* -X91313000Y-135585200D01* -X91327866Y-135583736D01* -X91342160Y-135579400D01* -X91355334Y-135572358D01* -X91366882Y-135562882D01* -X91376358Y-135551334D01* -X91383400Y-135538160D01* -X91387736Y-135523866D01* -X91389200Y-135509000D01* -X91389200Y-135128000D01* -X91490800Y-135128000D01* -X91490800Y-135509000D01* -X91492264Y-135523866D01* -X91496600Y-135538160D01* -X91503642Y-135551334D01* -X91513118Y-135562882D01* -X91524666Y-135572358D01* -X91537840Y-135579400D01* -X91552134Y-135583736D01* -X91567000Y-135585200D01* -X91948000Y-135585200D01* -X91962866Y-135583736D01* -X91977160Y-135579400D01* -X91990334Y-135572358D01* -X92001882Y-135562882D01* -X92011358Y-135551334D01* -X92018400Y-135538160D01* -X92022736Y-135523866D01* -X92024200Y-135509000D01* -X92024200Y-135128000D01* -X93395800Y-135128000D01* -X93395800Y-135509000D01* -X93397264Y-135523866D01* -X93401600Y-135538160D01* -X93408642Y-135551334D01* -X93418118Y-135562882D01* -X93429666Y-135572358D01* -X93442840Y-135579400D01* -X93457134Y-135583736D01* -X93472000Y-135585200D01* -X93853000Y-135585200D01* -X93867866Y-135583736D01* -X93882160Y-135579400D01* -X93895334Y-135572358D01* -X93906882Y-135562882D01* -X93916358Y-135551334D01* -X93923400Y-135538160D01* -X93927736Y-135523866D01* -X93929200Y-135509000D01* -X93929200Y-135128000D01* -X94030800Y-135128000D01* -X94030800Y-135509000D01* -X94032264Y-135523866D01* -X94036600Y-135538160D01* -X94043642Y-135551334D01* -X94053118Y-135562882D01* -X94064666Y-135572358D01* -X94077840Y-135579400D01* -X94092134Y-135583736D01* -X94107000Y-135585200D01* -X94488000Y-135585200D01* -X94502866Y-135583736D01* -X94517160Y-135579400D01* -X94530334Y-135572358D01* -X94541882Y-135562882D01* -X94551358Y-135551334D01* -X94558400Y-135538160D01* -X94562736Y-135523866D01* -X94564200Y-135509000D01* -X94564200Y-135128000D01* -X95935800Y-135128000D01* -X95935800Y-135509000D01* -X95937264Y-135523866D01* -X95941600Y-135538160D01* -X95948642Y-135551334D01* -X95958118Y-135562882D01* -X95969666Y-135572358D01* -X95982840Y-135579400D01* -X95997134Y-135583736D01* -X96012000Y-135585200D01* -X96393000Y-135585200D01* -X96407866Y-135583736D01* -X96422160Y-135579400D01* -X96435334Y-135572358D01* -X96446882Y-135562882D01* -X96456358Y-135551334D01* -X96463400Y-135538160D01* -X96467736Y-135523866D01* -X96469200Y-135509000D01* -X96469200Y-135128000D01* -X96570800Y-135128000D01* -X96570800Y-135509000D01* -X96572264Y-135523866D01* -X96576600Y-135538160D01* -X96583642Y-135551334D01* -X96593118Y-135562882D01* -X96604666Y-135572358D01* -X96617840Y-135579400D01* -X96632134Y-135583736D01* -X96647000Y-135585200D01* -X97028000Y-135585200D01* -X97042866Y-135583736D01* -X97057160Y-135579400D01* -X97070334Y-135572358D01* -X97081882Y-135562882D01* -X97091358Y-135551334D01* -X97098400Y-135538160D01* -X97102736Y-135523866D01* -X97104200Y-135509000D01* -X97104200Y-135128000D01* -X98475800Y-135128000D01* -X98475800Y-135509000D01* -X98477264Y-135523866D01* -X98481600Y-135538160D01* -X98488642Y-135551334D01* -X98498118Y-135562882D01* -X98509666Y-135572358D01* -X98522840Y-135579400D01* -X98537134Y-135583736D01* -X98552000Y-135585200D01* -X98933000Y-135585200D01* -X98947866Y-135583736D01* -X98962160Y-135579400D01* -X98975334Y-135572358D01* -X98986882Y-135562882D01* -X98996358Y-135551334D01* -X99003400Y-135538160D01* -X99007736Y-135523866D01* -X99009200Y-135509000D01* -X99009200Y-135128000D01* -X99110800Y-135128000D01* -X99110800Y-135509000D01* -X99112264Y-135523866D01* -X99116600Y-135538160D01* -X99123642Y-135551334D01* -X99133118Y-135562882D01* -X99144666Y-135572358D01* -X99157840Y-135579400D01* -X99172134Y-135583736D01* -X99187000Y-135585200D01* -X99568000Y-135585200D01* -X99582866Y-135583736D01* -X99597160Y-135579400D01* -X99610334Y-135572358D01* -X99621882Y-135562882D01* -X99631358Y-135551334D01* -X99638400Y-135538160D01* -X99642736Y-135523866D01* -X99644200Y-135509000D01* -X99644200Y-135128000D01* -X101015800Y-135128000D01* -X101015800Y-135509000D01* -X101017264Y-135523866D01* -X101021600Y-135538160D01* -X101028642Y-135551334D01* -X101038118Y-135562882D01* -X101049666Y-135572358D01* -X101062840Y-135579400D01* -X101077134Y-135583736D01* -X101092000Y-135585200D01* -X101473000Y-135585200D01* -X101487866Y-135583736D01* -X101502160Y-135579400D01* -X101515334Y-135572358D01* -X101526882Y-135562882D01* -X101536358Y-135551334D01* -X101543400Y-135538160D01* -X101547736Y-135523866D01* -X101549200Y-135509000D01* -X101549200Y-135128000D01* -X101650800Y-135128000D01* -X101650800Y-135509000D01* -X101652264Y-135523866D01* -X101656600Y-135538160D01* -X101663642Y-135551334D01* -X101673118Y-135562882D01* -X101684666Y-135572358D01* -X101697840Y-135579400D01* -X101712134Y-135583736D01* -X101727000Y-135585200D01* -X102108000Y-135585200D01* -X102122866Y-135583736D01* -X102137160Y-135579400D01* -X102150334Y-135572358D01* -X102161882Y-135562882D01* -X102171358Y-135551334D01* -X102178400Y-135538160D01* -X102182736Y-135523866D01* -X102184200Y-135509000D01* -X102184200Y-135128000D01* -X103555800Y-135128000D01* -X103555800Y-135509000D01* -X103557264Y-135523866D01* -X103561600Y-135538160D01* -X103568642Y-135551334D01* -X103578118Y-135562882D01* -X103589666Y-135572358D01* -X103602840Y-135579400D01* -X103617134Y-135583736D01* -X103632000Y-135585200D01* -X104013000Y-135585200D01* -X104027866Y-135583736D01* -X104042160Y-135579400D01* -X104055334Y-135572358D01* -X104066882Y-135562882D01* -X104076358Y-135551334D01* -X104083400Y-135538160D01* -X104087736Y-135523866D01* -X104089200Y-135509000D01* -X104089200Y-135128000D01* -X104190800Y-135128000D01* -X104190800Y-135509000D01* -X104192264Y-135523866D01* -X104196600Y-135538160D01* -X104203642Y-135551334D01* -X104213118Y-135562882D01* -X104224666Y-135572358D01* -X104237840Y-135579400D01* -X104252134Y-135583736D01* -X104267000Y-135585200D01* -X104648000Y-135585200D01* -X104662866Y-135583736D01* -X104677160Y-135579400D01* -X104690334Y-135572358D01* -X104701882Y-135562882D01* -X104711358Y-135551334D01* -X104718400Y-135538160D01* -X104722736Y-135523866D01* -X104724200Y-135509000D01* -X104724200Y-135128000D01* -X106095800Y-135128000D01* -X106095800Y-135509000D01* -X106097264Y-135523866D01* -X106101600Y-135538160D01* -X106108642Y-135551334D01* -X106118118Y-135562882D01* -X106129666Y-135572358D01* -X106142840Y-135579400D01* -X106157134Y-135583736D01* -X106172000Y-135585200D01* -X106553000Y-135585200D01* -X106567866Y-135583736D01* -X106582160Y-135579400D01* -X106595334Y-135572358D01* -X106606882Y-135562882D01* -X106616358Y-135551334D01* -X106623400Y-135538160D01* -X106627736Y-135523866D01* -X106629200Y-135509000D01* -X106629200Y-135128000D01* -X106730800Y-135128000D01* -X106730800Y-135509000D01* -X106732264Y-135523866D01* -X106736600Y-135538160D01* -X106743642Y-135551334D01* -X106753118Y-135562882D01* -X106764666Y-135572358D01* -X106777840Y-135579400D01* -X106792134Y-135583736D01* -X106807000Y-135585200D01* -X107188000Y-135585200D01* -X107202866Y-135583736D01* -X107217160Y-135579400D01* -X107230334Y-135572358D01* -X107241882Y-135562882D01* -X107251358Y-135551334D01* -X107258400Y-135538160D01* -X107262736Y-135523866D01* -X107264200Y-135509000D01* -X107264200Y-135128000D01* -X108635800Y-135128000D01* -X108635800Y-135509000D01* -X108637264Y-135523866D01* -X108641600Y-135538160D01* -X108648642Y-135551334D01* -X108658118Y-135562882D01* -X108669666Y-135572358D01* -X108682840Y-135579400D01* -X108697134Y-135583736D01* -X108712000Y-135585200D01* -X109093000Y-135585200D01* -X109107866Y-135583736D01* -X109122160Y-135579400D01* -X109135334Y-135572358D01* -X109146882Y-135562882D01* -X109156358Y-135551334D01* -X109163400Y-135538160D01* -X109167736Y-135523866D01* -X109169200Y-135509000D01* -X109169200Y-135128000D01* -X109270800Y-135128000D01* -X109270800Y-135509000D01* -X109272264Y-135523866D01* -X109276600Y-135538160D01* -X109283642Y-135551334D01* -X109293118Y-135562882D01* -X109304666Y-135572358D01* -X109317840Y-135579400D01* -X109332134Y-135583736D01* -X109347000Y-135585200D01* -X109728000Y-135585200D01* -X109742866Y-135583736D01* -X109757160Y-135579400D01* -X109770334Y-135572358D01* -X109781882Y-135562882D01* -X109791358Y-135551334D01* -X109798400Y-135538160D01* -X109802736Y-135523866D01* -X109804200Y-135509000D01* -X109804200Y-135128000D01* -X111175800Y-135128000D01* -X111175800Y-135509000D01* -X111177264Y-135523866D01* -X111181600Y-135538160D01* -X111188642Y-135551334D01* -X111198118Y-135562882D01* -X111209666Y-135572358D01* -X111222840Y-135579400D01* -X111237134Y-135583736D01* -X111252000Y-135585200D01* -X111633000Y-135585200D01* -X111647866Y-135583736D01* -X111662160Y-135579400D01* -X111675334Y-135572358D01* -X111686882Y-135562882D01* -X111696358Y-135551334D01* -X111703400Y-135538160D01* -X111707736Y-135523866D01* -X111709200Y-135509000D01* -X111709200Y-135128000D01* -X111810800Y-135128000D01* -X111810800Y-135509000D01* -X111812264Y-135523866D01* -X111816600Y-135538160D01* -X111823642Y-135551334D01* -X111833118Y-135562882D01* -X111844666Y-135572358D01* -X111857840Y-135579400D01* -X111872134Y-135583736D01* -X111887000Y-135585200D01* -X112268000Y-135585200D01* -X112282866Y-135583736D01* -X112297160Y-135579400D01* -X112310334Y-135572358D01* -X112321882Y-135562882D01* -X112331358Y-135551334D01* -X112338400Y-135538160D01* -X112342736Y-135523866D01* -X112344200Y-135509000D01* -X112344200Y-135128000D01* -X113715800Y-135128000D01* -X113715800Y-135509000D01* -X113717264Y-135523866D01* -X113721600Y-135538160D01* -X113728642Y-135551334D01* -X113738118Y-135562882D01* -X113749666Y-135572358D01* -X113762840Y-135579400D01* -X113777134Y-135583736D01* -X113792000Y-135585200D01* -X114173000Y-135585200D01* -X114187866Y-135583736D01* -X114202160Y-135579400D01* -X114215334Y-135572358D01* -X114226882Y-135562882D01* -X114236358Y-135551334D01* -X114243400Y-135538160D01* -X114247736Y-135523866D01* -X114249200Y-135509000D01* -X114249200Y-135128000D01* -X114350800Y-135128000D01* -X114350800Y-135509000D01* -X114352264Y-135523866D01* -X114356600Y-135538160D01* -X114363642Y-135551334D01* -X114373118Y-135562882D01* -X114384666Y-135572358D01* -X114397840Y-135579400D01* -X114412134Y-135583736D01* -X114427000Y-135585200D01* -X114808000Y-135585200D01* -X114822866Y-135583736D01* -X114837160Y-135579400D01* -X114850334Y-135572358D01* -X114861882Y-135562882D01* -X114871358Y-135551334D01* -X114878400Y-135538160D01* -X114882736Y-135523866D01* -X114884200Y-135509000D01* -X114884200Y-135128000D01* -X121335800Y-135128000D01* -X121335800Y-135509000D01* -X121337264Y-135523866D01* -X121341600Y-135538160D01* -X121348642Y-135551334D01* -X121358118Y-135562882D01* -X121369666Y-135572358D01* -X121382840Y-135579400D01* -X121397134Y-135583736D01* -X121412000Y-135585200D01* -X121793000Y-135585200D01* -X121807866Y-135583736D01* -X121822160Y-135579400D01* -X121835334Y-135572358D01* -X121846882Y-135562882D01* -X121856358Y-135551334D01* -X121863400Y-135538160D01* -X121867736Y-135523866D01* -X121869200Y-135509000D01* -X121869200Y-135128000D01* -X121970800Y-135128000D01* -X121970800Y-135509000D01* -X121972264Y-135523866D01* -X121976600Y-135538160D01* -X121983642Y-135551334D01* -X121993118Y-135562882D01* -X122004666Y-135572358D01* -X122017840Y-135579400D01* -X122032134Y-135583736D01* -X122047000Y-135585200D01* -X122428000Y-135585200D01* -X122442866Y-135583736D01* -X122457160Y-135579400D01* -X122470334Y-135572358D01* -X122481882Y-135562882D01* -X122491358Y-135551334D01* -X122498400Y-135538160D01* -X122502736Y-135523866D01* -X122504200Y-135509000D01* -X122504200Y-135128000D01* -X123875800Y-135128000D01* -X123875800Y-135509000D01* -X123877264Y-135523866D01* -X123881600Y-135538160D01* -X123888642Y-135551334D01* -X123898118Y-135562882D01* -X123909666Y-135572358D01* -X123922840Y-135579400D01* -X123937134Y-135583736D01* -X123952000Y-135585200D01* -X124333000Y-135585200D01* -X124347866Y-135583736D01* -X124362160Y-135579400D01* -X124375334Y-135572358D01* -X124386882Y-135562882D01* -X124396358Y-135551334D01* -X124403400Y-135538160D01* -X124407736Y-135523866D01* -X124409200Y-135509000D01* -X124409200Y-135128000D01* -X124510800Y-135128000D01* -X124510800Y-135509000D01* -X124512264Y-135523866D01* -X124516600Y-135538160D01* -X124523642Y-135551334D01* -X124533118Y-135562882D01* -X124544666Y-135572358D01* -X124557840Y-135579400D01* -X124572134Y-135583736D01* -X124587000Y-135585200D01* -X124968000Y-135585200D01* -X124982866Y-135583736D01* -X124997160Y-135579400D01* -X125010334Y-135572358D01* -X125021882Y-135562882D01* -X125031358Y-135551334D01* -X125038400Y-135538160D01* -X125042736Y-135523866D01* -X125044200Y-135509000D01* -X125044200Y-135128000D01* -X126415800Y-135128000D01* -X126415800Y-135509000D01* -X126417264Y-135523866D01* -X126421600Y-135538160D01* -X126428642Y-135551334D01* -X126438118Y-135562882D01* -X126449666Y-135572358D01* -X126462840Y-135579400D01* -X126477134Y-135583736D01* -X126492000Y-135585200D01* -X126873000Y-135585200D01* -X126887866Y-135583736D01* -X126902160Y-135579400D01* -X126915334Y-135572358D01* -X126926882Y-135562882D01* -X126936358Y-135551334D01* -X126943400Y-135538160D01* -X126947736Y-135523866D01* -X126949200Y-135509000D01* -X126949200Y-135128000D01* -X127050800Y-135128000D01* -X127050800Y-135509000D01* -X127052264Y-135523866D01* -X127056600Y-135538160D01* -X127063642Y-135551334D01* -X127073118Y-135562882D01* -X127084666Y-135572358D01* -X127097840Y-135579400D01* -X127112134Y-135583736D01* -X127127000Y-135585200D01* -X127508000Y-135585200D01* -X127522866Y-135583736D01* -X127537160Y-135579400D01* -X127550334Y-135572358D01* -X127561882Y-135562882D01* -X127571358Y-135551334D01* -X127578400Y-135538160D01* -X127582736Y-135523866D01* -X127584200Y-135509000D01* -X127584200Y-135128000D01* -X128955800Y-135128000D01* -X128955800Y-135509000D01* -X128957264Y-135523866D01* -X128961600Y-135538160D01* -X128968642Y-135551334D01* -X128978118Y-135562882D01* -X128989666Y-135572358D01* -X129002840Y-135579400D01* -X129017134Y-135583736D01* -X129032000Y-135585200D01* -X129413000Y-135585200D01* -X129427866Y-135583736D01* -X129442160Y-135579400D01* -X129455334Y-135572358D01* -X129466882Y-135562882D01* -X129476358Y-135551334D01* -X129483400Y-135538160D01* -X129487736Y-135523866D01* -X129489200Y-135509000D01* -X129489200Y-135128000D01* -X129590800Y-135128000D01* -X129590800Y-135509000D01* -X129592264Y-135523866D01* -X129596600Y-135538160D01* -X129603642Y-135551334D01* -X129613118Y-135562882D01* -X129624666Y-135572358D01* -X129637840Y-135579400D01* -X129652134Y-135583736D01* -X129667000Y-135585200D01* -X130048000Y-135585200D01* -X130062866Y-135583736D01* -X130077160Y-135579400D01* -X130090334Y-135572358D01* -X130101882Y-135562882D01* -X130111358Y-135551334D01* -X130118400Y-135538160D01* -X130122736Y-135523866D01* -X130124200Y-135509000D01* -X130124200Y-135128000D01* -X131495800Y-135128000D01* -X131495800Y-135509000D01* -X131497264Y-135523866D01* -X131501600Y-135538160D01* -X131508642Y-135551334D01* -X131518118Y-135562882D01* -X131529666Y-135572358D01* -X131542840Y-135579400D01* -X131557134Y-135583736D01* -X131572000Y-135585200D01* -X131953000Y-135585200D01* -X131967866Y-135583736D01* -X131982160Y-135579400D01* -X131995334Y-135572358D01* -X132006882Y-135562882D01* -X132016358Y-135551334D01* -X132023400Y-135538160D01* -X132027736Y-135523866D01* -X132029200Y-135509000D01* -X132029200Y-135128000D01* -X132130800Y-135128000D01* -X132130800Y-135509000D01* -X132132264Y-135523866D01* -X132136600Y-135538160D01* -X132143642Y-135551334D01* -X132153118Y-135562882D01* -X132164666Y-135572358D01* -X132177840Y-135579400D01* -X132192134Y-135583736D01* -X132207000Y-135585200D01* -X132588000Y-135585200D01* -X132602866Y-135583736D01* -X132617160Y-135579400D01* -X132630334Y-135572358D01* -X132641882Y-135562882D01* -X132651358Y-135551334D01* -X132658400Y-135538160D01* -X132662736Y-135523866D01* -X132664200Y-135509000D01* -X132664200Y-135128000D01* -X134035800Y-135128000D01* -X134035800Y-135509000D01* -X134037264Y-135523866D01* -X134041600Y-135538160D01* -X134048642Y-135551334D01* -X134058118Y-135562882D01* -X134069666Y-135572358D01* -X134082840Y-135579400D01* -X134097134Y-135583736D01* -X134112000Y-135585200D01* -X134493000Y-135585200D01* -X134507866Y-135583736D01* -X134522160Y-135579400D01* -X134535334Y-135572358D01* -X134546882Y-135562882D01* -X134556358Y-135551334D01* -X134563400Y-135538160D01* -X134567736Y-135523866D01* -X134569200Y-135509000D01* -X134569200Y-135128000D01* -X134670800Y-135128000D01* -X134670800Y-135509000D01* -X134672264Y-135523866D01* -X134676600Y-135538160D01* -X134683642Y-135551334D01* -X134693118Y-135562882D01* -X134704666Y-135572358D01* -X134717840Y-135579400D01* -X134732134Y-135583736D01* -X134747000Y-135585200D01* -X135128000Y-135585200D01* -X135142866Y-135583736D01* -X135157160Y-135579400D01* -X135170334Y-135572358D01* -X135181882Y-135562882D01* -X135191358Y-135551334D01* -X135198400Y-135538160D01* -X135202736Y-135523866D01* -X135204200Y-135509000D01* -X135204200Y-135128000D01* -X135202736Y-135113134D01* -X135198400Y-135098840D01* -X135191358Y-135085666D01* -X135181882Y-135074118D01* -X135170334Y-135064642D01* -X135157160Y-135057600D01* -X135142866Y-135053264D01* -X135128000Y-135051800D01* -X134747000Y-135051800D01* -X134732134Y-135053264D01* -X134717840Y-135057600D01* -X134704666Y-135064642D01* -X134693118Y-135074118D01* -X134683642Y-135085666D01* -X134676600Y-135098840D01* -X134672264Y-135113134D01* -X134670800Y-135128000D01* -X134569200Y-135128000D01* -X134567736Y-135113134D01* -X134563400Y-135098840D01* -X134556358Y-135085666D01* -X134546882Y-135074118D01* -X134535334Y-135064642D01* -X134522160Y-135057600D01* -X134507866Y-135053264D01* -X134493000Y-135051800D01* -X134112000Y-135051800D01* -X134097134Y-135053264D01* -X134082840Y-135057600D01* -X134069666Y-135064642D01* -X134058118Y-135074118D01* -X134048642Y-135085666D01* -X134041600Y-135098840D01* -X134037264Y-135113134D01* -X134035800Y-135128000D01* -X132664200Y-135128000D01* -X132662736Y-135113134D01* -X132658400Y-135098840D01* -X132651358Y-135085666D01* -X132641882Y-135074118D01* -X132630334Y-135064642D01* -X132617160Y-135057600D01* -X132602866Y-135053264D01* -X132588000Y-135051800D01* -X132207000Y-135051800D01* -X132192134Y-135053264D01* -X132177840Y-135057600D01* -X132164666Y-135064642D01* -X132153118Y-135074118D01* -X132143642Y-135085666D01* -X132136600Y-135098840D01* -X132132264Y-135113134D01* -X132130800Y-135128000D01* -X132029200Y-135128000D01* -X132027736Y-135113134D01* -X132023400Y-135098840D01* -X132016358Y-135085666D01* -X132006882Y-135074118D01* -X131995334Y-135064642D01* -X131982160Y-135057600D01* -X131967866Y-135053264D01* -X131953000Y-135051800D01* -X131572000Y-135051800D01* -X131557134Y-135053264D01* -X131542840Y-135057600D01* -X131529666Y-135064642D01* -X131518118Y-135074118D01* -X131508642Y-135085666D01* -X131501600Y-135098840D01* -X131497264Y-135113134D01* -X131495800Y-135128000D01* -X130124200Y-135128000D01* -X130122736Y-135113134D01* -X130118400Y-135098840D01* -X130111358Y-135085666D01* -X130101882Y-135074118D01* -X130090334Y-135064642D01* -X130077160Y-135057600D01* -X130062866Y-135053264D01* -X130048000Y-135051800D01* -X129667000Y-135051800D01* -X129652134Y-135053264D01* -X129637840Y-135057600D01* -X129624666Y-135064642D01* -X129613118Y-135074118D01* -X129603642Y-135085666D01* -X129596600Y-135098840D01* -X129592264Y-135113134D01* -X129590800Y-135128000D01* -X129489200Y-135128000D01* -X129487736Y-135113134D01* -X129483400Y-135098840D01* -X129476358Y-135085666D01* -X129466882Y-135074118D01* -X129455334Y-135064642D01* -X129442160Y-135057600D01* -X129427866Y-135053264D01* -X129413000Y-135051800D01* -X129032000Y-135051800D01* -X129017134Y-135053264D01* -X129002840Y-135057600D01* -X128989666Y-135064642D01* -X128978118Y-135074118D01* -X128968642Y-135085666D01* -X128961600Y-135098840D01* -X128957264Y-135113134D01* -X128955800Y-135128000D01* -X127584200Y-135128000D01* -X127582736Y-135113134D01* -X127578400Y-135098840D01* -X127571358Y-135085666D01* -X127561882Y-135074118D01* -X127550334Y-135064642D01* -X127537160Y-135057600D01* -X127522866Y-135053264D01* -X127508000Y-135051800D01* -X127127000Y-135051800D01* -X127112134Y-135053264D01* -X127097840Y-135057600D01* -X127084666Y-135064642D01* -X127073118Y-135074118D01* -X127063642Y-135085666D01* -X127056600Y-135098840D01* -X127052264Y-135113134D01* -X127050800Y-135128000D01* -X126949200Y-135128000D01* -X126947736Y-135113134D01* -X126943400Y-135098840D01* -X126936358Y-135085666D01* -X126926882Y-135074118D01* -X126915334Y-135064642D01* -X126902160Y-135057600D01* -X126887866Y-135053264D01* -X126873000Y-135051800D01* -X126492000Y-135051800D01* -X126477134Y-135053264D01* -X126462840Y-135057600D01* -X126449666Y-135064642D01* -X126438118Y-135074118D01* -X126428642Y-135085666D01* -X126421600Y-135098840D01* -X126417264Y-135113134D01* -X126415800Y-135128000D01* -X125044200Y-135128000D01* -X125042736Y-135113134D01* -X125038400Y-135098840D01* -X125031358Y-135085666D01* -X125021882Y-135074118D01* -X125010334Y-135064642D01* -X124997160Y-135057600D01* -X124982866Y-135053264D01* -X124968000Y-135051800D01* -X124587000Y-135051800D01* -X124572134Y-135053264D01* -X124557840Y-135057600D01* -X124544666Y-135064642D01* -X124533118Y-135074118D01* -X124523642Y-135085666D01* -X124516600Y-135098840D01* -X124512264Y-135113134D01* -X124510800Y-135128000D01* -X124409200Y-135128000D01* -X124407736Y-135113134D01* -X124403400Y-135098840D01* -X124396358Y-135085666D01* -X124386882Y-135074118D01* -X124375334Y-135064642D01* -X124362160Y-135057600D01* -X124347866Y-135053264D01* -X124333000Y-135051800D01* -X123952000Y-135051800D01* -X123937134Y-135053264D01* -X123922840Y-135057600D01* -X123909666Y-135064642D01* -X123898118Y-135074118D01* -X123888642Y-135085666D01* -X123881600Y-135098840D01* -X123877264Y-135113134D01* -X123875800Y-135128000D01* -X122504200Y-135128000D01* -X122502736Y-135113134D01* -X122498400Y-135098840D01* -X122491358Y-135085666D01* -X122481882Y-135074118D01* -X122470334Y-135064642D01* -X122457160Y-135057600D01* -X122442866Y-135053264D01* -X122428000Y-135051800D01* -X122047000Y-135051800D01* -X122032134Y-135053264D01* -X122017840Y-135057600D01* -X122004666Y-135064642D01* -X121993118Y-135074118D01* -X121983642Y-135085666D01* -X121976600Y-135098840D01* -X121972264Y-135113134D01* -X121970800Y-135128000D01* -X121869200Y-135128000D01* -X121867736Y-135113134D01* -X121863400Y-135098840D01* -X121856358Y-135085666D01* -X121846882Y-135074118D01* -X121835334Y-135064642D01* -X121822160Y-135057600D01* -X121807866Y-135053264D01* -X121793000Y-135051800D01* -X121412000Y-135051800D01* -X121397134Y-135053264D01* -X121382840Y-135057600D01* -X121369666Y-135064642D01* -X121358118Y-135074118D01* -X121348642Y-135085666D01* -X121341600Y-135098840D01* -X121337264Y-135113134D01* -X121335800Y-135128000D01* -X114884200Y-135128000D01* -X114882736Y-135113134D01* -X114878400Y-135098840D01* -X114871358Y-135085666D01* -X114861882Y-135074118D01* -X114850334Y-135064642D01* -X114837160Y-135057600D01* -X114822866Y-135053264D01* -X114808000Y-135051800D01* -X114427000Y-135051800D01* -X114412134Y-135053264D01* -X114397840Y-135057600D01* -X114384666Y-135064642D01* -X114373118Y-135074118D01* -X114363642Y-135085666D01* -X114356600Y-135098840D01* -X114352264Y-135113134D01* -X114350800Y-135128000D01* -X114249200Y-135128000D01* -X114247736Y-135113134D01* -X114243400Y-135098840D01* -X114236358Y-135085666D01* -X114226882Y-135074118D01* -X114215334Y-135064642D01* -X114202160Y-135057600D01* -X114187866Y-135053264D01* -X114173000Y-135051800D01* -X113792000Y-135051800D01* -X113777134Y-135053264D01* -X113762840Y-135057600D01* -X113749666Y-135064642D01* -X113738118Y-135074118D01* -X113728642Y-135085666D01* -X113721600Y-135098840D01* -X113717264Y-135113134D01* -X113715800Y-135128000D01* -X112344200Y-135128000D01* -X112342736Y-135113134D01* -X112338400Y-135098840D01* -X112331358Y-135085666D01* -X112321882Y-135074118D01* -X112310334Y-135064642D01* -X112297160Y-135057600D01* -X112282866Y-135053264D01* -X112268000Y-135051800D01* -X111887000Y-135051800D01* -X111872134Y-135053264D01* -X111857840Y-135057600D01* -X111844666Y-135064642D01* -X111833118Y-135074118D01* -X111823642Y-135085666D01* -X111816600Y-135098840D01* -X111812264Y-135113134D01* -X111810800Y-135128000D01* -X111709200Y-135128000D01* -X111707736Y-135113134D01* -X111703400Y-135098840D01* -X111696358Y-135085666D01* -X111686882Y-135074118D01* -X111675334Y-135064642D01* -X111662160Y-135057600D01* -X111647866Y-135053264D01* -X111633000Y-135051800D01* -X111252000Y-135051800D01* -X111237134Y-135053264D01* -X111222840Y-135057600D01* -X111209666Y-135064642D01* -X111198118Y-135074118D01* -X111188642Y-135085666D01* -X111181600Y-135098840D01* -X111177264Y-135113134D01* -X111175800Y-135128000D01* -X109804200Y-135128000D01* -X109802736Y-135113134D01* -X109798400Y-135098840D01* -X109791358Y-135085666D01* -X109781882Y-135074118D01* -X109770334Y-135064642D01* -X109757160Y-135057600D01* -X109742866Y-135053264D01* -X109728000Y-135051800D01* -X109347000Y-135051800D01* -X109332134Y-135053264D01* -X109317840Y-135057600D01* -X109304666Y-135064642D01* -X109293118Y-135074118D01* -X109283642Y-135085666D01* -X109276600Y-135098840D01* -X109272264Y-135113134D01* -X109270800Y-135128000D01* -X109169200Y-135128000D01* -X109167736Y-135113134D01* -X109163400Y-135098840D01* -X109156358Y-135085666D01* -X109146882Y-135074118D01* -X109135334Y-135064642D01* -X109122160Y-135057600D01* -X109107866Y-135053264D01* -X109093000Y-135051800D01* -X108712000Y-135051800D01* -X108697134Y-135053264D01* -X108682840Y-135057600D01* -X108669666Y-135064642D01* -X108658118Y-135074118D01* -X108648642Y-135085666D01* -X108641600Y-135098840D01* -X108637264Y-135113134D01* -X108635800Y-135128000D01* -X107264200Y-135128000D01* -X107262736Y-135113134D01* -X107258400Y-135098840D01* -X107251358Y-135085666D01* -X107241882Y-135074118D01* -X107230334Y-135064642D01* -X107217160Y-135057600D01* -X107202866Y-135053264D01* -X107188000Y-135051800D01* -X106807000Y-135051800D01* -X106792134Y-135053264D01* -X106777840Y-135057600D01* -X106764666Y-135064642D01* -X106753118Y-135074118D01* -X106743642Y-135085666D01* -X106736600Y-135098840D01* -X106732264Y-135113134D01* -X106730800Y-135128000D01* -X106629200Y-135128000D01* -X106627736Y-135113134D01* -X106623400Y-135098840D01* -X106616358Y-135085666D01* -X106606882Y-135074118D01* -X106595334Y-135064642D01* -X106582160Y-135057600D01* -X106567866Y-135053264D01* -X106553000Y-135051800D01* -X106172000Y-135051800D01* -X106157134Y-135053264D01* -X106142840Y-135057600D01* -X106129666Y-135064642D01* -X106118118Y-135074118D01* -X106108642Y-135085666D01* -X106101600Y-135098840D01* -X106097264Y-135113134D01* -X106095800Y-135128000D01* -X104724200Y-135128000D01* -X104722736Y-135113134D01* -X104718400Y-135098840D01* -X104711358Y-135085666D01* -X104701882Y-135074118D01* -X104690334Y-135064642D01* -X104677160Y-135057600D01* -X104662866Y-135053264D01* -X104648000Y-135051800D01* -X104267000Y-135051800D01* -X104252134Y-135053264D01* -X104237840Y-135057600D01* -X104224666Y-135064642D01* -X104213118Y-135074118D01* -X104203642Y-135085666D01* -X104196600Y-135098840D01* -X104192264Y-135113134D01* -X104190800Y-135128000D01* -X104089200Y-135128000D01* -X104087736Y-135113134D01* -X104083400Y-135098840D01* -X104076358Y-135085666D01* -X104066882Y-135074118D01* -X104055334Y-135064642D01* -X104042160Y-135057600D01* -X104027866Y-135053264D01* -X104013000Y-135051800D01* -X103632000Y-135051800D01* -X103617134Y-135053264D01* -X103602840Y-135057600D01* -X103589666Y-135064642D01* -X103578118Y-135074118D01* -X103568642Y-135085666D01* -X103561600Y-135098840D01* -X103557264Y-135113134D01* -X103555800Y-135128000D01* -X102184200Y-135128000D01* -X102182736Y-135113134D01* -X102178400Y-135098840D01* -X102171358Y-135085666D01* -X102161882Y-135074118D01* -X102150334Y-135064642D01* -X102137160Y-135057600D01* -X102122866Y-135053264D01* -X102108000Y-135051800D01* -X101727000Y-135051800D01* -X101712134Y-135053264D01* -X101697840Y-135057600D01* -X101684666Y-135064642D01* -X101673118Y-135074118D01* -X101663642Y-135085666D01* -X101656600Y-135098840D01* -X101652264Y-135113134D01* -X101650800Y-135128000D01* -X101549200Y-135128000D01* -X101547736Y-135113134D01* -X101543400Y-135098840D01* -X101536358Y-135085666D01* -X101526882Y-135074118D01* -X101515334Y-135064642D01* -X101502160Y-135057600D01* -X101487866Y-135053264D01* -X101473000Y-135051800D01* -X101092000Y-135051800D01* -X101077134Y-135053264D01* -X101062840Y-135057600D01* -X101049666Y-135064642D01* -X101038118Y-135074118D01* -X101028642Y-135085666D01* -X101021600Y-135098840D01* -X101017264Y-135113134D01* -X101015800Y-135128000D01* -X99644200Y-135128000D01* -X99642736Y-135113134D01* -X99638400Y-135098840D01* -X99631358Y-135085666D01* -X99621882Y-135074118D01* -X99610334Y-135064642D01* -X99597160Y-135057600D01* -X99582866Y-135053264D01* -X99568000Y-135051800D01* -X99187000Y-135051800D01* -X99172134Y-135053264D01* -X99157840Y-135057600D01* -X99144666Y-135064642D01* -X99133118Y-135074118D01* -X99123642Y-135085666D01* -X99116600Y-135098840D01* -X99112264Y-135113134D01* -X99110800Y-135128000D01* -X99009200Y-135128000D01* -X99007736Y-135113134D01* -X99003400Y-135098840D01* -X98996358Y-135085666D01* -X98986882Y-135074118D01* -X98975334Y-135064642D01* -X98962160Y-135057600D01* -X98947866Y-135053264D01* -X98933000Y-135051800D01* -X98552000Y-135051800D01* -X98537134Y-135053264D01* -X98522840Y-135057600D01* -X98509666Y-135064642D01* -X98498118Y-135074118D01* -X98488642Y-135085666D01* -X98481600Y-135098840D01* -X98477264Y-135113134D01* -X98475800Y-135128000D01* -X97104200Y-135128000D01* -X97102736Y-135113134D01* -X97098400Y-135098840D01* -X97091358Y-135085666D01* -X97081882Y-135074118D01* -X97070334Y-135064642D01* -X97057160Y-135057600D01* -X97042866Y-135053264D01* -X97028000Y-135051800D01* -X96647000Y-135051800D01* -X96632134Y-135053264D01* -X96617840Y-135057600D01* -X96604666Y-135064642D01* -X96593118Y-135074118D01* -X96583642Y-135085666D01* -X96576600Y-135098840D01* -X96572264Y-135113134D01* -X96570800Y-135128000D01* -X96469200Y-135128000D01* -X96467736Y-135113134D01* -X96463400Y-135098840D01* -X96456358Y-135085666D01* -X96446882Y-135074118D01* -X96435334Y-135064642D01* -X96422160Y-135057600D01* -X96407866Y-135053264D01* -X96393000Y-135051800D01* -X96012000Y-135051800D01* -X95997134Y-135053264D01* -X95982840Y-135057600D01* -X95969666Y-135064642D01* -X95958118Y-135074118D01* -X95948642Y-135085666D01* -X95941600Y-135098840D01* -X95937264Y-135113134D01* -X95935800Y-135128000D01* -X94564200Y-135128000D01* -X94562736Y-135113134D01* -X94558400Y-135098840D01* -X94551358Y-135085666D01* -X94541882Y-135074118D01* -X94530334Y-135064642D01* -X94517160Y-135057600D01* -X94502866Y-135053264D01* -X94488000Y-135051800D01* -X94107000Y-135051800D01* -X94092134Y-135053264D01* -X94077840Y-135057600D01* -X94064666Y-135064642D01* -X94053118Y-135074118D01* -X94043642Y-135085666D01* -X94036600Y-135098840D01* -X94032264Y-135113134D01* -X94030800Y-135128000D01* -X93929200Y-135128000D01* -X93927736Y-135113134D01* -X93923400Y-135098840D01* -X93916358Y-135085666D01* -X93906882Y-135074118D01* -X93895334Y-135064642D01* -X93882160Y-135057600D01* -X93867866Y-135053264D01* -X93853000Y-135051800D01* -X93472000Y-135051800D01* -X93457134Y-135053264D01* -X93442840Y-135057600D01* -X93429666Y-135064642D01* -X93418118Y-135074118D01* -X93408642Y-135085666D01* -X93401600Y-135098840D01* -X93397264Y-135113134D01* -X93395800Y-135128000D01* -X92024200Y-135128000D01* -X92022736Y-135113134D01* -X92018400Y-135098840D01* -X92011358Y-135085666D01* -X92001882Y-135074118D01* -X91990334Y-135064642D01* -X91977160Y-135057600D01* -X91962866Y-135053264D01* -X91948000Y-135051800D01* -X91567000Y-135051800D01* -X91552134Y-135053264D01* -X91537840Y-135057600D01* -X91524666Y-135064642D01* -X91513118Y-135074118D01* -X91503642Y-135085666D01* -X91496600Y-135098840D01* -X91492264Y-135113134D01* -X91490800Y-135128000D01* -X91389200Y-135128000D01* -X91387736Y-135113134D01* -X91383400Y-135098840D01* -X91376358Y-135085666D01* -X91366882Y-135074118D01* -X91355334Y-135064642D01* -X91342160Y-135057600D01* -X91327866Y-135053264D01* -X91313000Y-135051800D01* -X90932000Y-135051800D01* -X90917134Y-135053264D01* -X90902840Y-135057600D01* -X90889666Y-135064642D01* -X90878118Y-135074118D01* -X90868642Y-135085666D01* -X90861600Y-135098840D01* -X90857264Y-135113134D01* -X90855800Y-135128000D01* -X89484200Y-135128000D01* -X89482736Y-135113134D01* -X89478400Y-135098840D01* -X89471358Y-135085666D01* -X89461882Y-135074118D01* -X89450334Y-135064642D01* -X89437160Y-135057600D01* -X89422866Y-135053264D01* -X89408000Y-135051800D01* -X89027000Y-135051800D01* -X89012134Y-135053264D01* -X88997840Y-135057600D01* -X88984666Y-135064642D01* -X88973118Y-135074118D01* -X88963642Y-135085666D01* -X88956600Y-135098840D01* -X88952264Y-135113134D01* -X88950800Y-135128000D01* -X88849200Y-135128000D01* -X88847736Y-135113134D01* -X88843400Y-135098840D01* -X88836358Y-135085666D01* -X88826882Y-135074118D01* -X88815334Y-135064642D01* -X88802160Y-135057600D01* -X88787866Y-135053264D01* -X88773000Y-135051800D01* -X88392000Y-135051800D01* -X88377134Y-135053264D01* -X88362840Y-135057600D01* -X88349666Y-135064642D01* -X88338118Y-135074118D01* -X88328642Y-135085666D01* -X88321600Y-135098840D01* -X88317264Y-135113134D01* -X88315800Y-135128000D01* -X86944200Y-135128000D01* -X86942736Y-135113134D01* -X86938400Y-135098840D01* -X86931358Y-135085666D01* -X86921882Y-135074118D01* -X86910334Y-135064642D01* -X86897160Y-135057600D01* -X86882866Y-135053264D01* -X86868000Y-135051800D01* -X86487000Y-135051800D01* -X86472134Y-135053264D01* -X86457840Y-135057600D01* -X86444666Y-135064642D01* -X86433118Y-135074118D01* -X86423642Y-135085666D01* -X86416600Y-135098840D01* -X86412264Y-135113134D01* -X86410800Y-135128000D01* -X86309200Y-135128000D01* -X86307736Y-135113134D01* -X86303400Y-135098840D01* -X86296358Y-135085666D01* -X86286882Y-135074118D01* -X86275334Y-135064642D01* -X86262160Y-135057600D01* -X86247866Y-135053264D01* -X86233000Y-135051800D01* -X85852000Y-135051800D01* -X85837134Y-135053264D01* -X85822840Y-135057600D01* -X85809666Y-135064642D01* -X85798118Y-135074118D01* -X85788642Y-135085666D01* -X85781600Y-135098840D01* -X85777264Y-135113134D01* -X85775800Y-135128000D01* -X84404200Y-135128000D01* -X84402736Y-135113134D01* -X84398400Y-135098840D01* -X84391358Y-135085666D01* -X84381882Y-135074118D01* -X84370334Y-135064642D01* -X84357160Y-135057600D01* -X84342866Y-135053264D01* -X84328000Y-135051800D01* -X83947000Y-135051800D01* -X83932134Y-135053264D01* -X83917840Y-135057600D01* -X83904666Y-135064642D01* -X83893118Y-135074118D01* -X83883642Y-135085666D01* -X83876600Y-135098840D01* -X83872264Y-135113134D01* -X83870800Y-135128000D01* -X83769200Y-135128000D01* -X83767736Y-135113134D01* -X83763400Y-135098840D01* -X83756358Y-135085666D01* -X83746882Y-135074118D01* -X83735334Y-135064642D01* -X83722160Y-135057600D01* -X83707866Y-135053264D01* -X83693000Y-135051800D01* -X83312000Y-135051800D01* -X83297134Y-135053264D01* -X83282840Y-135057600D01* -X83269666Y-135064642D01* -X83258118Y-135074118D01* -X83248642Y-135085666D01* -X83241600Y-135098840D01* -X83237264Y-135113134D01* -X83235800Y-135128000D01* -X81864200Y-135128000D01* -X81862736Y-135113134D01* -X81858400Y-135098840D01* -X81851358Y-135085666D01* -X81841882Y-135074118D01* -X81830334Y-135064642D01* -X81817160Y-135057600D01* -X81802866Y-135053264D01* -X81788000Y-135051800D01* -X81407000Y-135051800D01* -X81392134Y-135053264D01* -X81377840Y-135057600D01* -X81364666Y-135064642D01* -X81353118Y-135074118D01* -X81343642Y-135085666D01* -X81336600Y-135098840D01* -X81332264Y-135113134D01* -X81330800Y-135128000D01* -X81229200Y-135128000D01* -X81227736Y-135113134D01* -X81223400Y-135098840D01* -X81216358Y-135085666D01* -X81206882Y-135074118D01* -X81195334Y-135064642D01* -X81182160Y-135057600D01* -X81167866Y-135053264D01* -X81153000Y-135051800D01* -X80772000Y-135051800D01* -X80757134Y-135053264D01* -X80742840Y-135057600D01* -X80729666Y-135064642D01* -X80718118Y-135074118D01* -X80708642Y-135085666D01* -X80701600Y-135098840D01* -X80697264Y-135113134D01* -X80695800Y-135128000D01* -X79324200Y-135128000D01* -X79322736Y-135113134D01* -X79318400Y-135098840D01* -X79311358Y-135085666D01* -X79301882Y-135074118D01* -X79290334Y-135064642D01* -X79277160Y-135057600D01* -X79262866Y-135053264D01* -X79248000Y-135051800D01* -X78867000Y-135051800D01* -X78852134Y-135053264D01* -X78837840Y-135057600D01* -X78824666Y-135064642D01* -X78813118Y-135074118D01* -X78803642Y-135085666D01* -X78796600Y-135098840D01* -X78792264Y-135113134D01* -X78790800Y-135128000D01* -X78689200Y-135128000D01* -X78687736Y-135113134D01* -X78683400Y-135098840D01* -X78676358Y-135085666D01* -X78666882Y-135074118D01* -X78655334Y-135064642D01* -X78642160Y-135057600D01* -X78627866Y-135053264D01* -X78613000Y-135051800D01* -X78232000Y-135051800D01* -X78217134Y-135053264D01* -X78202840Y-135057600D01* -X78189666Y-135064642D01* -X78178118Y-135074118D01* -X78168642Y-135085666D01* -X78161600Y-135098840D01* -X78157264Y-135113134D01* -X78155800Y-135128000D01* -X74498200Y-135128000D01* -X74498200Y-134493000D01* -X78155800Y-134493000D01* -X78155800Y-134874000D01* -X78157264Y-134888866D01* -X78161600Y-134903160D01* -X78168642Y-134916334D01* -X78178118Y-134927882D01* -X78189666Y-134937358D01* -X78202840Y-134944400D01* -X78217134Y-134948736D01* -X78232000Y-134950200D01* -X78613000Y-134950200D01* -X78627866Y-134948736D01* -X78642160Y-134944400D01* -X78655334Y-134937358D01* -X78666882Y-134927882D01* -X78676358Y-134916334D01* -X78683400Y-134903160D01* -X78687736Y-134888866D01* -X78689200Y-134874000D01* -X78689200Y-134493000D01* -X78790800Y-134493000D01* -X78790800Y-134874000D01* -X78792264Y-134888866D01* -X78796600Y-134903160D01* -X78803642Y-134916334D01* -X78813118Y-134927882D01* -X78824666Y-134937358D01* -X78837840Y-134944400D01* -X78852134Y-134948736D01* -X78867000Y-134950200D01* -X79248000Y-134950200D01* -X79262866Y-134948736D01* -X79277160Y-134944400D01* -X79290334Y-134937358D01* -X79301882Y-134927882D01* -X79311358Y-134916334D01* -X79318400Y-134903160D01* -X79322736Y-134888866D01* -X79324200Y-134874000D01* -X79324200Y-134493000D01* -X80695800Y-134493000D01* -X80695800Y-134874000D01* -X80697264Y-134888866D01* -X80701600Y-134903160D01* -X80708642Y-134916334D01* -X80718118Y-134927882D01* -X80729666Y-134937358D01* -X80742840Y-134944400D01* -X80757134Y-134948736D01* -X80772000Y-134950200D01* -X81153000Y-134950200D01* -X81167866Y-134948736D01* -X81182160Y-134944400D01* -X81195334Y-134937358D01* -X81206882Y-134927882D01* -X81216358Y-134916334D01* -X81223400Y-134903160D01* -X81227736Y-134888866D01* -X81229200Y-134874000D01* -X81229200Y-134493000D01* -X81330800Y-134493000D01* -X81330800Y-134874000D01* -X81332264Y-134888866D01* -X81336600Y-134903160D01* -X81343642Y-134916334D01* -X81353118Y-134927882D01* -X81364666Y-134937358D01* -X81377840Y-134944400D01* -X81392134Y-134948736D01* -X81407000Y-134950200D01* -X81788000Y-134950200D01* -X81802866Y-134948736D01* -X81817160Y-134944400D01* -X81830334Y-134937358D01* -X81841882Y-134927882D01* -X81851358Y-134916334D01* -X81858400Y-134903160D01* -X81862736Y-134888866D01* -X81864200Y-134874000D01* -X81864200Y-134493000D01* -X83235800Y-134493000D01* -X83235800Y-134874000D01* -X83237264Y-134888866D01* -X83241600Y-134903160D01* -X83248642Y-134916334D01* -X83258118Y-134927882D01* -X83269666Y-134937358D01* -X83282840Y-134944400D01* -X83297134Y-134948736D01* -X83312000Y-134950200D01* -X83693000Y-134950200D01* -X83707866Y-134948736D01* -X83722160Y-134944400D01* -X83735334Y-134937358D01* -X83746882Y-134927882D01* -X83756358Y-134916334D01* -X83763400Y-134903160D01* -X83767736Y-134888866D01* -X83769200Y-134874000D01* -X83769200Y-134493000D01* -X83870800Y-134493000D01* -X83870800Y-134874000D01* -X83872264Y-134888866D01* -X83876600Y-134903160D01* -X83883642Y-134916334D01* -X83893118Y-134927882D01* -X83904666Y-134937358D01* -X83917840Y-134944400D01* -X83932134Y-134948736D01* -X83947000Y-134950200D01* -X84328000Y-134950200D01* -X84342866Y-134948736D01* -X84357160Y-134944400D01* -X84370334Y-134937358D01* -X84381882Y-134927882D01* -X84391358Y-134916334D01* -X84398400Y-134903160D01* -X84402736Y-134888866D01* -X84404200Y-134874000D01* -X84404200Y-134493000D01* -X85775800Y-134493000D01* -X85775800Y-134874000D01* -X85777264Y-134888866D01* -X85781600Y-134903160D01* -X85788642Y-134916334D01* -X85798118Y-134927882D01* -X85809666Y-134937358D01* -X85822840Y-134944400D01* -X85837134Y-134948736D01* -X85852000Y-134950200D01* -X86233000Y-134950200D01* -X86247866Y-134948736D01* -X86262160Y-134944400D01* -X86275334Y-134937358D01* -X86286882Y-134927882D01* -X86296358Y-134916334D01* -X86303400Y-134903160D01* -X86307736Y-134888866D01* -X86309200Y-134874000D01* -X86309200Y-134493000D01* -X86410800Y-134493000D01* -X86410800Y-134874000D01* -X86412264Y-134888866D01* -X86416600Y-134903160D01* -X86423642Y-134916334D01* -X86433118Y-134927882D01* -X86444666Y-134937358D01* -X86457840Y-134944400D01* -X86472134Y-134948736D01* -X86487000Y-134950200D01* -X86868000Y-134950200D01* -X86882866Y-134948736D01* -X86897160Y-134944400D01* -X86910334Y-134937358D01* -X86921882Y-134927882D01* -X86931358Y-134916334D01* -X86938400Y-134903160D01* -X86942736Y-134888866D01* -X86944200Y-134874000D01* -X86944200Y-134493000D01* -X88315800Y-134493000D01* -X88315800Y-134874000D01* -X88317264Y-134888866D01* -X88321600Y-134903160D01* -X88328642Y-134916334D01* -X88338118Y-134927882D01* -X88349666Y-134937358D01* -X88362840Y-134944400D01* -X88377134Y-134948736D01* -X88392000Y-134950200D01* -X88773000Y-134950200D01* -X88787866Y-134948736D01* -X88802160Y-134944400D01* -X88815334Y-134937358D01* -X88826882Y-134927882D01* -X88836358Y-134916334D01* -X88843400Y-134903160D01* -X88847736Y-134888866D01* -X88849200Y-134874000D01* -X88849200Y-134493000D01* -X88950800Y-134493000D01* -X88950800Y-134874000D01* -X88952264Y-134888866D01* -X88956600Y-134903160D01* -X88963642Y-134916334D01* -X88973118Y-134927882D01* -X88984666Y-134937358D01* -X88997840Y-134944400D01* -X89012134Y-134948736D01* -X89027000Y-134950200D01* -X89408000Y-134950200D01* -X89422866Y-134948736D01* -X89437160Y-134944400D01* -X89450334Y-134937358D01* -X89461882Y-134927882D01* -X89471358Y-134916334D01* -X89478400Y-134903160D01* -X89482736Y-134888866D01* -X89484200Y-134874000D01* -X89484200Y-134493000D01* -X90855800Y-134493000D01* -X90855800Y-134874000D01* -X90857264Y-134888866D01* -X90861600Y-134903160D01* -X90868642Y-134916334D01* -X90878118Y-134927882D01* -X90889666Y-134937358D01* -X90902840Y-134944400D01* -X90917134Y-134948736D01* -X90932000Y-134950200D01* -X91313000Y-134950200D01* -X91327866Y-134948736D01* -X91342160Y-134944400D01* -X91355334Y-134937358D01* -X91366882Y-134927882D01* -X91376358Y-134916334D01* -X91383400Y-134903160D01* -X91387736Y-134888866D01* -X91389200Y-134874000D01* -X91389200Y-134493000D01* -X91490800Y-134493000D01* -X91490800Y-134874000D01* -X91492264Y-134888866D01* -X91496600Y-134903160D01* -X91503642Y-134916334D01* -X91513118Y-134927882D01* -X91524666Y-134937358D01* -X91537840Y-134944400D01* -X91552134Y-134948736D01* -X91567000Y-134950200D01* -X91948000Y-134950200D01* -X91962866Y-134948736D01* -X91977160Y-134944400D01* -X91990334Y-134937358D01* -X92001882Y-134927882D01* -X92011358Y-134916334D01* -X92018400Y-134903160D01* -X92022736Y-134888866D01* -X92024200Y-134874000D01* -X92024200Y-134493000D01* -X93395800Y-134493000D01* -X93395800Y-134874000D01* -X93397264Y-134888866D01* -X93401600Y-134903160D01* -X93408642Y-134916334D01* -X93418118Y-134927882D01* -X93429666Y-134937358D01* -X93442840Y-134944400D01* -X93457134Y-134948736D01* -X93472000Y-134950200D01* -X93853000Y-134950200D01* -X93867866Y-134948736D01* -X93882160Y-134944400D01* -X93895334Y-134937358D01* -X93906882Y-134927882D01* -X93916358Y-134916334D01* -X93923400Y-134903160D01* -X93927736Y-134888866D01* -X93929200Y-134874000D01* -X93929200Y-134493000D01* -X94030800Y-134493000D01* -X94030800Y-134874000D01* -X94032264Y-134888866D01* -X94036600Y-134903160D01* -X94043642Y-134916334D01* -X94053118Y-134927882D01* -X94064666Y-134937358D01* -X94077840Y-134944400D01* -X94092134Y-134948736D01* -X94107000Y-134950200D01* -X94488000Y-134950200D01* -X94502866Y-134948736D01* -X94517160Y-134944400D01* -X94530334Y-134937358D01* -X94541882Y-134927882D01* -X94551358Y-134916334D01* -X94558400Y-134903160D01* -X94562736Y-134888866D01* -X94564200Y-134874000D01* -X94564200Y-134493000D01* -X95935800Y-134493000D01* -X95935800Y-134874000D01* -X95937264Y-134888866D01* -X95941600Y-134903160D01* -X95948642Y-134916334D01* -X95958118Y-134927882D01* -X95969666Y-134937358D01* -X95982840Y-134944400D01* -X95997134Y-134948736D01* -X96012000Y-134950200D01* -X96393000Y-134950200D01* -X96407866Y-134948736D01* -X96422160Y-134944400D01* -X96435334Y-134937358D01* -X96446882Y-134927882D01* -X96456358Y-134916334D01* -X96463400Y-134903160D01* -X96467736Y-134888866D01* -X96469200Y-134874000D01* -X96469200Y-134493000D01* -X96570800Y-134493000D01* -X96570800Y-134874000D01* -X96572264Y-134888866D01* -X96576600Y-134903160D01* -X96583642Y-134916334D01* -X96593118Y-134927882D01* -X96604666Y-134937358D01* -X96617840Y-134944400D01* -X96632134Y-134948736D01* -X96647000Y-134950200D01* -X97028000Y-134950200D01* -X97042866Y-134948736D01* -X97057160Y-134944400D01* -X97070334Y-134937358D01* -X97081882Y-134927882D01* -X97091358Y-134916334D01* -X97098400Y-134903160D01* -X97102736Y-134888866D01* -X97104200Y-134874000D01* -X97104200Y-134493000D01* -X98475800Y-134493000D01* -X98475800Y-134874000D01* -X98477264Y-134888866D01* -X98481600Y-134903160D01* -X98488642Y-134916334D01* -X98498118Y-134927882D01* -X98509666Y-134937358D01* -X98522840Y-134944400D01* -X98537134Y-134948736D01* -X98552000Y-134950200D01* -X98933000Y-134950200D01* -X98947866Y-134948736D01* -X98962160Y-134944400D01* -X98975334Y-134937358D01* -X98986882Y-134927882D01* -X98996358Y-134916334D01* -X99003400Y-134903160D01* -X99007736Y-134888866D01* -X99009200Y-134874000D01* -X99009200Y-134493000D01* -X99110800Y-134493000D01* -X99110800Y-134874000D01* -X99112264Y-134888866D01* -X99116600Y-134903160D01* -X99123642Y-134916334D01* -X99133118Y-134927882D01* -X99144666Y-134937358D01* -X99157840Y-134944400D01* -X99172134Y-134948736D01* -X99187000Y-134950200D01* -X99568000Y-134950200D01* -X99582866Y-134948736D01* -X99597160Y-134944400D01* -X99610334Y-134937358D01* -X99621882Y-134927882D01* -X99631358Y-134916334D01* -X99638400Y-134903160D01* -X99642736Y-134888866D01* -X99644200Y-134874000D01* -X99644200Y-134493000D01* -X101015800Y-134493000D01* -X101015800Y-134874000D01* -X101017264Y-134888866D01* -X101021600Y-134903160D01* -X101028642Y-134916334D01* -X101038118Y-134927882D01* -X101049666Y-134937358D01* -X101062840Y-134944400D01* -X101077134Y-134948736D01* -X101092000Y-134950200D01* -X101473000Y-134950200D01* -X101487866Y-134948736D01* -X101502160Y-134944400D01* -X101515334Y-134937358D01* -X101526882Y-134927882D01* -X101536358Y-134916334D01* -X101543400Y-134903160D01* -X101547736Y-134888866D01* -X101549200Y-134874000D01* -X101549200Y-134493000D01* -X101650800Y-134493000D01* -X101650800Y-134874000D01* -X101652264Y-134888866D01* -X101656600Y-134903160D01* -X101663642Y-134916334D01* -X101673118Y-134927882D01* -X101684666Y-134937358D01* -X101697840Y-134944400D01* -X101712134Y-134948736D01* -X101727000Y-134950200D01* -X102108000Y-134950200D01* -X102122866Y-134948736D01* -X102137160Y-134944400D01* -X102150334Y-134937358D01* -X102161882Y-134927882D01* -X102171358Y-134916334D01* -X102178400Y-134903160D01* -X102182736Y-134888866D01* -X102184200Y-134874000D01* -X102184200Y-134493000D01* -X103555800Y-134493000D01* -X103555800Y-134874000D01* -X103557264Y-134888866D01* -X103561600Y-134903160D01* -X103568642Y-134916334D01* -X103578118Y-134927882D01* -X103589666Y-134937358D01* -X103602840Y-134944400D01* -X103617134Y-134948736D01* -X103632000Y-134950200D01* -X104013000Y-134950200D01* -X104027866Y-134948736D01* -X104042160Y-134944400D01* -X104055334Y-134937358D01* -X104066882Y-134927882D01* -X104076358Y-134916334D01* -X104083400Y-134903160D01* -X104087736Y-134888866D01* -X104089200Y-134874000D01* -X104089200Y-134493000D01* -X104190800Y-134493000D01* -X104190800Y-134874000D01* -X104192264Y-134888866D01* -X104196600Y-134903160D01* -X104203642Y-134916334D01* -X104213118Y-134927882D01* -X104224666Y-134937358D01* -X104237840Y-134944400D01* -X104252134Y-134948736D01* -X104267000Y-134950200D01* -X104648000Y-134950200D01* -X104662866Y-134948736D01* -X104677160Y-134944400D01* -X104690334Y-134937358D01* -X104701882Y-134927882D01* -X104711358Y-134916334D01* -X104718400Y-134903160D01* -X104722736Y-134888866D01* -X104724200Y-134874000D01* -X104724200Y-134493000D01* -X106095800Y-134493000D01* -X106095800Y-134874000D01* -X106097264Y-134888866D01* -X106101600Y-134903160D01* -X106108642Y-134916334D01* -X106118118Y-134927882D01* -X106129666Y-134937358D01* -X106142840Y-134944400D01* -X106157134Y-134948736D01* -X106172000Y-134950200D01* -X106553000Y-134950200D01* -X106567866Y-134948736D01* -X106582160Y-134944400D01* -X106595334Y-134937358D01* -X106606882Y-134927882D01* -X106616358Y-134916334D01* -X106623400Y-134903160D01* -X106627736Y-134888866D01* -X106629200Y-134874000D01* -X106629200Y-134493000D01* -X106730800Y-134493000D01* -X106730800Y-134874000D01* -X106732264Y-134888866D01* -X106736600Y-134903160D01* -X106743642Y-134916334D01* -X106753118Y-134927882D01* -X106764666Y-134937358D01* -X106777840Y-134944400D01* -X106792134Y-134948736D01* -X106807000Y-134950200D01* -X107188000Y-134950200D01* -X107202866Y-134948736D01* -X107217160Y-134944400D01* -X107230334Y-134937358D01* -X107241882Y-134927882D01* -X107251358Y-134916334D01* -X107258400Y-134903160D01* -X107262736Y-134888866D01* -X107264200Y-134874000D01* -X107264200Y-134493000D01* -X108635800Y-134493000D01* -X108635800Y-134874000D01* -X108637264Y-134888866D01* -X108641600Y-134903160D01* -X108648642Y-134916334D01* -X108658118Y-134927882D01* -X108669666Y-134937358D01* -X108682840Y-134944400D01* -X108697134Y-134948736D01* -X108712000Y-134950200D01* -X109093000Y-134950200D01* -X109107866Y-134948736D01* -X109122160Y-134944400D01* -X109135334Y-134937358D01* -X109146882Y-134927882D01* -X109156358Y-134916334D01* -X109163400Y-134903160D01* -X109167736Y-134888866D01* -X109169200Y-134874000D01* -X109169200Y-134493000D01* -X109270800Y-134493000D01* -X109270800Y-134874000D01* -X109272264Y-134888866D01* -X109276600Y-134903160D01* -X109283642Y-134916334D01* -X109293118Y-134927882D01* -X109304666Y-134937358D01* -X109317840Y-134944400D01* -X109332134Y-134948736D01* -X109347000Y-134950200D01* -X109728000Y-134950200D01* -X109742866Y-134948736D01* -X109757160Y-134944400D01* -X109770334Y-134937358D01* -X109781882Y-134927882D01* -X109791358Y-134916334D01* -X109798400Y-134903160D01* -X109802736Y-134888866D01* -X109804200Y-134874000D01* -X109804200Y-134493000D01* -X111175800Y-134493000D01* -X111175800Y-134874000D01* -X111177264Y-134888866D01* -X111181600Y-134903160D01* -X111188642Y-134916334D01* -X111198118Y-134927882D01* -X111209666Y-134937358D01* -X111222840Y-134944400D01* -X111237134Y-134948736D01* -X111252000Y-134950200D01* -X111633000Y-134950200D01* -X111647866Y-134948736D01* -X111662160Y-134944400D01* -X111675334Y-134937358D01* -X111686882Y-134927882D01* -X111696358Y-134916334D01* -X111703400Y-134903160D01* -X111707736Y-134888866D01* -X111709200Y-134874000D01* -X111709200Y-134493000D01* -X111810800Y-134493000D01* -X111810800Y-134874000D01* -X111812264Y-134888866D01* -X111816600Y-134903160D01* -X111823642Y-134916334D01* -X111833118Y-134927882D01* -X111844666Y-134937358D01* -X111857840Y-134944400D01* -X111872134Y-134948736D01* -X111887000Y-134950200D01* -X112268000Y-134950200D01* -X112282866Y-134948736D01* -X112297160Y-134944400D01* -X112310334Y-134937358D01* -X112321882Y-134927882D01* -X112331358Y-134916334D01* -X112338400Y-134903160D01* -X112342736Y-134888866D01* -X112344200Y-134874000D01* -X112344200Y-134493000D01* -X113715800Y-134493000D01* -X113715800Y-134874000D01* -X113717264Y-134888866D01* -X113721600Y-134903160D01* -X113728642Y-134916334D01* -X113738118Y-134927882D01* -X113749666Y-134937358D01* -X113762840Y-134944400D01* -X113777134Y-134948736D01* -X113792000Y-134950200D01* -X114173000Y-134950200D01* -X114187866Y-134948736D01* -X114202160Y-134944400D01* -X114215334Y-134937358D01* -X114226882Y-134927882D01* -X114236358Y-134916334D01* -X114243400Y-134903160D01* -X114247736Y-134888866D01* -X114249200Y-134874000D01* -X114249200Y-134493000D01* -X114350800Y-134493000D01* -X114350800Y-134874000D01* -X114352264Y-134888866D01* -X114356600Y-134903160D01* -X114363642Y-134916334D01* -X114373118Y-134927882D01* -X114384666Y-134937358D01* -X114397840Y-134944400D01* -X114412134Y-134948736D01* -X114427000Y-134950200D01* -X114808000Y-134950200D01* -X114822866Y-134948736D01* -X114837160Y-134944400D01* -X114850334Y-134937358D01* -X114861882Y-134927882D01* -X114871358Y-134916334D01* -X114878400Y-134903160D01* -X114882736Y-134888866D01* -X114884200Y-134874000D01* -X114884200Y-134493000D01* -X121335800Y-134493000D01* -X121335800Y-134874000D01* -X121337264Y-134888866D01* -X121341600Y-134903160D01* -X121348642Y-134916334D01* -X121358118Y-134927882D01* -X121369666Y-134937358D01* -X121382840Y-134944400D01* -X121397134Y-134948736D01* -X121412000Y-134950200D01* -X121793000Y-134950200D01* -X121807866Y-134948736D01* -X121822160Y-134944400D01* -X121835334Y-134937358D01* -X121846882Y-134927882D01* -X121856358Y-134916334D01* -X121863400Y-134903160D01* -X121867736Y-134888866D01* -X121869200Y-134874000D01* -X121869200Y-134493000D01* -X121970800Y-134493000D01* -X121970800Y-134874000D01* -X121972264Y-134888866D01* -X121976600Y-134903160D01* -X121983642Y-134916334D01* -X121993118Y-134927882D01* -X122004666Y-134937358D01* -X122017840Y-134944400D01* -X122032134Y-134948736D01* -X122047000Y-134950200D01* -X122428000Y-134950200D01* -X122442866Y-134948736D01* -X122457160Y-134944400D01* -X122470334Y-134937358D01* -X122481882Y-134927882D01* -X122491358Y-134916334D01* -X122498400Y-134903160D01* -X122502736Y-134888866D01* -X122504200Y-134874000D01* -X122504200Y-134493000D01* -X123875800Y-134493000D01* -X123875800Y-134874000D01* -X123877264Y-134888866D01* -X123881600Y-134903160D01* -X123888642Y-134916334D01* -X123898118Y-134927882D01* -X123909666Y-134937358D01* -X123922840Y-134944400D01* -X123937134Y-134948736D01* -X123952000Y-134950200D01* -X124333000Y-134950200D01* -X124347866Y-134948736D01* -X124362160Y-134944400D01* -X124375334Y-134937358D01* -X124386882Y-134927882D01* -X124396358Y-134916334D01* -X124403400Y-134903160D01* -X124407736Y-134888866D01* -X124409200Y-134874000D01* -X124409200Y-134493000D01* -X124510800Y-134493000D01* -X124510800Y-134874000D01* -X124512264Y-134888866D01* -X124516600Y-134903160D01* -X124523642Y-134916334D01* -X124533118Y-134927882D01* -X124544666Y-134937358D01* -X124557840Y-134944400D01* -X124572134Y-134948736D01* -X124587000Y-134950200D01* -X124968000Y-134950200D01* -X124982866Y-134948736D01* -X124997160Y-134944400D01* -X125010334Y-134937358D01* -X125021882Y-134927882D01* -X125031358Y-134916334D01* -X125038400Y-134903160D01* -X125042736Y-134888866D01* -X125044200Y-134874000D01* -X125044200Y-134493000D01* -X126415800Y-134493000D01* -X126415800Y-134874000D01* -X126417264Y-134888866D01* -X126421600Y-134903160D01* -X126428642Y-134916334D01* -X126438118Y-134927882D01* -X126449666Y-134937358D01* -X126462840Y-134944400D01* -X126477134Y-134948736D01* -X126492000Y-134950200D01* -X126873000Y-134950200D01* -X126887866Y-134948736D01* -X126902160Y-134944400D01* -X126915334Y-134937358D01* -X126926882Y-134927882D01* -X126936358Y-134916334D01* -X126943400Y-134903160D01* -X126947736Y-134888866D01* -X126949200Y-134874000D01* -X126949200Y-134493000D01* -X127050800Y-134493000D01* -X127050800Y-134874000D01* -X127052264Y-134888866D01* -X127056600Y-134903160D01* -X127063642Y-134916334D01* -X127073118Y-134927882D01* -X127084666Y-134937358D01* -X127097840Y-134944400D01* -X127112134Y-134948736D01* -X127127000Y-134950200D01* -X127508000Y-134950200D01* -X127522866Y-134948736D01* -X127537160Y-134944400D01* -X127550334Y-134937358D01* -X127561882Y-134927882D01* -X127571358Y-134916334D01* -X127578400Y-134903160D01* -X127582736Y-134888866D01* -X127584200Y-134874000D01* -X127584200Y-134493000D01* -X128955800Y-134493000D01* -X128955800Y-134874000D01* -X128957264Y-134888866D01* -X128961600Y-134903160D01* -X128968642Y-134916334D01* -X128978118Y-134927882D01* -X128989666Y-134937358D01* -X129002840Y-134944400D01* -X129017134Y-134948736D01* -X129032000Y-134950200D01* -X129413000Y-134950200D01* -X129427866Y-134948736D01* -X129442160Y-134944400D01* -X129455334Y-134937358D01* -X129466882Y-134927882D01* -X129476358Y-134916334D01* -X129483400Y-134903160D01* -X129487736Y-134888866D01* -X129489200Y-134874000D01* -X129489200Y-134493000D01* -X129590800Y-134493000D01* -X129590800Y-134874000D01* -X129592264Y-134888866D01* -X129596600Y-134903160D01* -X129603642Y-134916334D01* -X129613118Y-134927882D01* -X129624666Y-134937358D01* -X129637840Y-134944400D01* -X129652134Y-134948736D01* -X129667000Y-134950200D01* -X130048000Y-134950200D01* -X130062866Y-134948736D01* -X130077160Y-134944400D01* -X130090334Y-134937358D01* -X130101882Y-134927882D01* -X130111358Y-134916334D01* -X130118400Y-134903160D01* -X130122736Y-134888866D01* -X130124200Y-134874000D01* -X130124200Y-134493000D01* -X131495800Y-134493000D01* -X131495800Y-134874000D01* -X131497264Y-134888866D01* -X131501600Y-134903160D01* -X131508642Y-134916334D01* -X131518118Y-134927882D01* -X131529666Y-134937358D01* -X131542840Y-134944400D01* -X131557134Y-134948736D01* -X131572000Y-134950200D01* -X131953000Y-134950200D01* -X131967866Y-134948736D01* -X131982160Y-134944400D01* -X131995334Y-134937358D01* -X132006882Y-134927882D01* -X132016358Y-134916334D01* -X132023400Y-134903160D01* -X132027736Y-134888866D01* -X132029200Y-134874000D01* -X132029200Y-134493000D01* -X132130800Y-134493000D01* -X132130800Y-134874000D01* -X132132264Y-134888866D01* -X132136600Y-134903160D01* -X132143642Y-134916334D01* -X132153118Y-134927882D01* -X132164666Y-134937358D01* -X132177840Y-134944400D01* -X132192134Y-134948736D01* -X132207000Y-134950200D01* -X132588000Y-134950200D01* -X132602866Y-134948736D01* -X132617160Y-134944400D01* -X132630334Y-134937358D01* -X132641882Y-134927882D01* -X132651358Y-134916334D01* -X132658400Y-134903160D01* -X132662736Y-134888866D01* -X132664200Y-134874000D01* -X132664200Y-134493000D01* -X134035800Y-134493000D01* -X134035800Y-134874000D01* -X134037264Y-134888866D01* -X134041600Y-134903160D01* -X134048642Y-134916334D01* -X134058118Y-134927882D01* -X134069666Y-134937358D01* -X134082840Y-134944400D01* -X134097134Y-134948736D01* -X134112000Y-134950200D01* -X134493000Y-134950200D01* -X134507866Y-134948736D01* -X134522160Y-134944400D01* -X134535334Y-134937358D01* -X134546882Y-134927882D01* -X134556358Y-134916334D01* -X134563400Y-134903160D01* -X134567736Y-134888866D01* -X134569200Y-134874000D01* -X134569200Y-134493000D01* -X134670800Y-134493000D01* -X134670800Y-134874000D01* -X134672264Y-134888866D01* -X134676600Y-134903160D01* -X134683642Y-134916334D01* -X134693118Y-134927882D01* -X134704666Y-134937358D01* -X134717840Y-134944400D01* -X134732134Y-134948736D01* -X134747000Y-134950200D01* -X135128000Y-134950200D01* -X135142866Y-134948736D01* -X135157160Y-134944400D01* -X135170334Y-134937358D01* -X135181882Y-134927882D01* -X135191358Y-134916334D01* -X135198400Y-134903160D01* -X135202736Y-134888866D01* -X135204200Y-134874000D01* -X135204200Y-134493000D01* -X135202736Y-134478134D01* -X135198400Y-134463840D01* -X135191358Y-134450666D01* -X135181882Y-134439118D01* -X135170334Y-134429642D01* -X135157160Y-134422600D01* -X135142866Y-134418264D01* -X135128000Y-134416800D01* -X134747000Y-134416800D01* -X134732134Y-134418264D01* -X134717840Y-134422600D01* -X134704666Y-134429642D01* -X134693118Y-134439118D01* -X134683642Y-134450666D01* -X134676600Y-134463840D01* -X134672264Y-134478134D01* -X134670800Y-134493000D01* -X134569200Y-134493000D01* -X134567736Y-134478134D01* -X134563400Y-134463840D01* -X134556358Y-134450666D01* -X134546882Y-134439118D01* -X134535334Y-134429642D01* -X134522160Y-134422600D01* -X134507866Y-134418264D01* -X134493000Y-134416800D01* -X134112000Y-134416800D01* -X134097134Y-134418264D01* -X134082840Y-134422600D01* -X134069666Y-134429642D01* -X134058118Y-134439118D01* -X134048642Y-134450666D01* -X134041600Y-134463840D01* -X134037264Y-134478134D01* -X134035800Y-134493000D01* -X132664200Y-134493000D01* -X132662736Y-134478134D01* -X132658400Y-134463840D01* -X132651358Y-134450666D01* -X132641882Y-134439118D01* -X132630334Y-134429642D01* -X132617160Y-134422600D01* -X132602866Y-134418264D01* -X132588000Y-134416800D01* -X132207000Y-134416800D01* -X132192134Y-134418264D01* -X132177840Y-134422600D01* -X132164666Y-134429642D01* -X132153118Y-134439118D01* -X132143642Y-134450666D01* -X132136600Y-134463840D01* -X132132264Y-134478134D01* -X132130800Y-134493000D01* -X132029200Y-134493000D01* -X132027736Y-134478134D01* -X132023400Y-134463840D01* -X132016358Y-134450666D01* -X132006882Y-134439118D01* -X131995334Y-134429642D01* -X131982160Y-134422600D01* -X131967866Y-134418264D01* -X131953000Y-134416800D01* -X131572000Y-134416800D01* -X131557134Y-134418264D01* -X131542840Y-134422600D01* -X131529666Y-134429642D01* -X131518118Y-134439118D01* -X131508642Y-134450666D01* -X131501600Y-134463840D01* -X131497264Y-134478134D01* -X131495800Y-134493000D01* -X130124200Y-134493000D01* -X130122736Y-134478134D01* -X130118400Y-134463840D01* -X130111358Y-134450666D01* -X130101882Y-134439118D01* -X130090334Y-134429642D01* -X130077160Y-134422600D01* -X130062866Y-134418264D01* -X130048000Y-134416800D01* -X129667000Y-134416800D01* -X129652134Y-134418264D01* -X129637840Y-134422600D01* -X129624666Y-134429642D01* -X129613118Y-134439118D01* -X129603642Y-134450666D01* -X129596600Y-134463840D01* -X129592264Y-134478134D01* -X129590800Y-134493000D01* -X129489200Y-134493000D01* -X129487736Y-134478134D01* -X129483400Y-134463840D01* -X129476358Y-134450666D01* -X129466882Y-134439118D01* -X129455334Y-134429642D01* -X129442160Y-134422600D01* -X129427866Y-134418264D01* -X129413000Y-134416800D01* -X129032000Y-134416800D01* -X129017134Y-134418264D01* -X129002840Y-134422600D01* -X128989666Y-134429642D01* -X128978118Y-134439118D01* -X128968642Y-134450666D01* -X128961600Y-134463840D01* -X128957264Y-134478134D01* -X128955800Y-134493000D01* -X127584200Y-134493000D01* -X127582736Y-134478134D01* -X127578400Y-134463840D01* -X127571358Y-134450666D01* -X127561882Y-134439118D01* -X127550334Y-134429642D01* -X127537160Y-134422600D01* -X127522866Y-134418264D01* -X127508000Y-134416800D01* -X127127000Y-134416800D01* -X127112134Y-134418264D01* -X127097840Y-134422600D01* -X127084666Y-134429642D01* -X127073118Y-134439118D01* -X127063642Y-134450666D01* -X127056600Y-134463840D01* -X127052264Y-134478134D01* -X127050800Y-134493000D01* -X126949200Y-134493000D01* -X126947736Y-134478134D01* -X126943400Y-134463840D01* -X126936358Y-134450666D01* -X126926882Y-134439118D01* -X126915334Y-134429642D01* -X126902160Y-134422600D01* -X126887866Y-134418264D01* -X126873000Y-134416800D01* -X126492000Y-134416800D01* -X126477134Y-134418264D01* -X126462840Y-134422600D01* -X126449666Y-134429642D01* -X126438118Y-134439118D01* -X126428642Y-134450666D01* -X126421600Y-134463840D01* -X126417264Y-134478134D01* -X126415800Y-134493000D01* -X125044200Y-134493000D01* -X125042736Y-134478134D01* -X125038400Y-134463840D01* -X125031358Y-134450666D01* -X125021882Y-134439118D01* -X125010334Y-134429642D01* -X124997160Y-134422600D01* -X124982866Y-134418264D01* -X124968000Y-134416800D01* -X124587000Y-134416800D01* -X124572134Y-134418264D01* -X124557840Y-134422600D01* -X124544666Y-134429642D01* -X124533118Y-134439118D01* -X124523642Y-134450666D01* -X124516600Y-134463840D01* -X124512264Y-134478134D01* -X124510800Y-134493000D01* -X124409200Y-134493000D01* -X124407736Y-134478134D01* -X124403400Y-134463840D01* -X124396358Y-134450666D01* -X124386882Y-134439118D01* -X124375334Y-134429642D01* -X124362160Y-134422600D01* -X124347866Y-134418264D01* -X124333000Y-134416800D01* -X123952000Y-134416800D01* -X123937134Y-134418264D01* -X123922840Y-134422600D01* -X123909666Y-134429642D01* -X123898118Y-134439118D01* -X123888642Y-134450666D01* -X123881600Y-134463840D01* -X123877264Y-134478134D01* -X123875800Y-134493000D01* -X122504200Y-134493000D01* -X122502736Y-134478134D01* -X122498400Y-134463840D01* -X122491358Y-134450666D01* -X122481882Y-134439118D01* -X122470334Y-134429642D01* -X122457160Y-134422600D01* -X122442866Y-134418264D01* -X122428000Y-134416800D01* -X122047000Y-134416800D01* -X122032134Y-134418264D01* -X122017840Y-134422600D01* -X122004666Y-134429642D01* -X121993118Y-134439118D01* -X121983642Y-134450666D01* -X121976600Y-134463840D01* -X121972264Y-134478134D01* -X121970800Y-134493000D01* -X121869200Y-134493000D01* -X121867736Y-134478134D01* -X121863400Y-134463840D01* -X121856358Y-134450666D01* -X121846882Y-134439118D01* -X121835334Y-134429642D01* -X121822160Y-134422600D01* -X121807866Y-134418264D01* -X121793000Y-134416800D01* -X121412000Y-134416800D01* -X121397134Y-134418264D01* -X121382840Y-134422600D01* -X121369666Y-134429642D01* -X121358118Y-134439118D01* -X121348642Y-134450666D01* -X121341600Y-134463840D01* -X121337264Y-134478134D01* -X121335800Y-134493000D01* -X114884200Y-134493000D01* -X114882736Y-134478134D01* -X114878400Y-134463840D01* -X114871358Y-134450666D01* -X114861882Y-134439118D01* -X114850334Y-134429642D01* -X114837160Y-134422600D01* -X114822866Y-134418264D01* -X114808000Y-134416800D01* -X114427000Y-134416800D01* -X114412134Y-134418264D01* -X114397840Y-134422600D01* -X114384666Y-134429642D01* -X114373118Y-134439118D01* -X114363642Y-134450666D01* -X114356600Y-134463840D01* -X114352264Y-134478134D01* -X114350800Y-134493000D01* -X114249200Y-134493000D01* -X114247736Y-134478134D01* -X114243400Y-134463840D01* -X114236358Y-134450666D01* -X114226882Y-134439118D01* -X114215334Y-134429642D01* -X114202160Y-134422600D01* -X114187866Y-134418264D01* -X114173000Y-134416800D01* -X113792000Y-134416800D01* -X113777134Y-134418264D01* -X113762840Y-134422600D01* -X113749666Y-134429642D01* -X113738118Y-134439118D01* -X113728642Y-134450666D01* -X113721600Y-134463840D01* -X113717264Y-134478134D01* -X113715800Y-134493000D01* -X112344200Y-134493000D01* -X112342736Y-134478134D01* -X112338400Y-134463840D01* -X112331358Y-134450666D01* -X112321882Y-134439118D01* -X112310334Y-134429642D01* -X112297160Y-134422600D01* -X112282866Y-134418264D01* -X112268000Y-134416800D01* -X111887000Y-134416800D01* -X111872134Y-134418264D01* -X111857840Y-134422600D01* -X111844666Y-134429642D01* -X111833118Y-134439118D01* -X111823642Y-134450666D01* -X111816600Y-134463840D01* -X111812264Y-134478134D01* -X111810800Y-134493000D01* -X111709200Y-134493000D01* -X111707736Y-134478134D01* -X111703400Y-134463840D01* -X111696358Y-134450666D01* -X111686882Y-134439118D01* -X111675334Y-134429642D01* -X111662160Y-134422600D01* -X111647866Y-134418264D01* -X111633000Y-134416800D01* -X111252000Y-134416800D01* -X111237134Y-134418264D01* -X111222840Y-134422600D01* -X111209666Y-134429642D01* -X111198118Y-134439118D01* -X111188642Y-134450666D01* -X111181600Y-134463840D01* -X111177264Y-134478134D01* -X111175800Y-134493000D01* -X109804200Y-134493000D01* -X109802736Y-134478134D01* -X109798400Y-134463840D01* -X109791358Y-134450666D01* -X109781882Y-134439118D01* -X109770334Y-134429642D01* -X109757160Y-134422600D01* -X109742866Y-134418264D01* -X109728000Y-134416800D01* -X109347000Y-134416800D01* -X109332134Y-134418264D01* -X109317840Y-134422600D01* -X109304666Y-134429642D01* -X109293118Y-134439118D01* -X109283642Y-134450666D01* -X109276600Y-134463840D01* -X109272264Y-134478134D01* -X109270800Y-134493000D01* -X109169200Y-134493000D01* -X109167736Y-134478134D01* -X109163400Y-134463840D01* -X109156358Y-134450666D01* -X109146882Y-134439118D01* -X109135334Y-134429642D01* -X109122160Y-134422600D01* -X109107866Y-134418264D01* -X109093000Y-134416800D01* -X108712000Y-134416800D01* -X108697134Y-134418264D01* -X108682840Y-134422600D01* -X108669666Y-134429642D01* -X108658118Y-134439118D01* -X108648642Y-134450666D01* -X108641600Y-134463840D01* -X108637264Y-134478134D01* -X108635800Y-134493000D01* -X107264200Y-134493000D01* -X107262736Y-134478134D01* -X107258400Y-134463840D01* -X107251358Y-134450666D01* -X107241882Y-134439118D01* -X107230334Y-134429642D01* -X107217160Y-134422600D01* -X107202866Y-134418264D01* -X107188000Y-134416800D01* -X106807000Y-134416800D01* -X106792134Y-134418264D01* -X106777840Y-134422600D01* -X106764666Y-134429642D01* -X106753118Y-134439118D01* -X106743642Y-134450666D01* -X106736600Y-134463840D01* -X106732264Y-134478134D01* -X106730800Y-134493000D01* -X106629200Y-134493000D01* -X106627736Y-134478134D01* -X106623400Y-134463840D01* -X106616358Y-134450666D01* -X106606882Y-134439118D01* -X106595334Y-134429642D01* -X106582160Y-134422600D01* -X106567866Y-134418264D01* -X106553000Y-134416800D01* -X106172000Y-134416800D01* -X106157134Y-134418264D01* -X106142840Y-134422600D01* -X106129666Y-134429642D01* -X106118118Y-134439118D01* -X106108642Y-134450666D01* -X106101600Y-134463840D01* -X106097264Y-134478134D01* -X106095800Y-134493000D01* -X104724200Y-134493000D01* -X104722736Y-134478134D01* -X104718400Y-134463840D01* -X104711358Y-134450666D01* -X104701882Y-134439118D01* -X104690334Y-134429642D01* -X104677160Y-134422600D01* -X104662866Y-134418264D01* -X104648000Y-134416800D01* -X104267000Y-134416800D01* -X104252134Y-134418264D01* -X104237840Y-134422600D01* -X104224666Y-134429642D01* -X104213118Y-134439118D01* -X104203642Y-134450666D01* -X104196600Y-134463840D01* -X104192264Y-134478134D01* -X104190800Y-134493000D01* -X104089200Y-134493000D01* -X104087736Y-134478134D01* -X104083400Y-134463840D01* -X104076358Y-134450666D01* -X104066882Y-134439118D01* -X104055334Y-134429642D01* -X104042160Y-134422600D01* -X104027866Y-134418264D01* -X104013000Y-134416800D01* -X103632000Y-134416800D01* -X103617134Y-134418264D01* -X103602840Y-134422600D01* -X103589666Y-134429642D01* -X103578118Y-134439118D01* -X103568642Y-134450666D01* -X103561600Y-134463840D01* -X103557264Y-134478134D01* -X103555800Y-134493000D01* -X102184200Y-134493000D01* -X102182736Y-134478134D01* -X102178400Y-134463840D01* -X102171358Y-134450666D01* -X102161882Y-134439118D01* -X102150334Y-134429642D01* -X102137160Y-134422600D01* -X102122866Y-134418264D01* -X102108000Y-134416800D01* -X101727000Y-134416800D01* -X101712134Y-134418264D01* -X101697840Y-134422600D01* -X101684666Y-134429642D01* -X101673118Y-134439118D01* -X101663642Y-134450666D01* -X101656600Y-134463840D01* -X101652264Y-134478134D01* -X101650800Y-134493000D01* -X101549200Y-134493000D01* -X101547736Y-134478134D01* -X101543400Y-134463840D01* -X101536358Y-134450666D01* -X101526882Y-134439118D01* -X101515334Y-134429642D01* -X101502160Y-134422600D01* -X101487866Y-134418264D01* -X101473000Y-134416800D01* -X101092000Y-134416800D01* -X101077134Y-134418264D01* -X101062840Y-134422600D01* -X101049666Y-134429642D01* -X101038118Y-134439118D01* -X101028642Y-134450666D01* -X101021600Y-134463840D01* -X101017264Y-134478134D01* -X101015800Y-134493000D01* -X99644200Y-134493000D01* -X99642736Y-134478134D01* -X99638400Y-134463840D01* -X99631358Y-134450666D01* -X99621882Y-134439118D01* -X99610334Y-134429642D01* -X99597160Y-134422600D01* -X99582866Y-134418264D01* -X99568000Y-134416800D01* -X99187000Y-134416800D01* -X99172134Y-134418264D01* -X99157840Y-134422600D01* -X99144666Y-134429642D01* -X99133118Y-134439118D01* -X99123642Y-134450666D01* -X99116600Y-134463840D01* -X99112264Y-134478134D01* -X99110800Y-134493000D01* -X99009200Y-134493000D01* -X99007736Y-134478134D01* -X99003400Y-134463840D01* -X98996358Y-134450666D01* -X98986882Y-134439118D01* -X98975334Y-134429642D01* -X98962160Y-134422600D01* -X98947866Y-134418264D01* -X98933000Y-134416800D01* -X98552000Y-134416800D01* -X98537134Y-134418264D01* -X98522840Y-134422600D01* -X98509666Y-134429642D01* -X98498118Y-134439118D01* -X98488642Y-134450666D01* -X98481600Y-134463840D01* -X98477264Y-134478134D01* -X98475800Y-134493000D01* -X97104200Y-134493000D01* -X97102736Y-134478134D01* -X97098400Y-134463840D01* -X97091358Y-134450666D01* -X97081882Y-134439118D01* -X97070334Y-134429642D01* -X97057160Y-134422600D01* -X97042866Y-134418264D01* -X97028000Y-134416800D01* -X96647000Y-134416800D01* -X96632134Y-134418264D01* -X96617840Y-134422600D01* -X96604666Y-134429642D01* -X96593118Y-134439118D01* -X96583642Y-134450666D01* -X96576600Y-134463840D01* -X96572264Y-134478134D01* -X96570800Y-134493000D01* -X96469200Y-134493000D01* -X96467736Y-134478134D01* -X96463400Y-134463840D01* -X96456358Y-134450666D01* -X96446882Y-134439118D01* -X96435334Y-134429642D01* -X96422160Y-134422600D01* -X96407866Y-134418264D01* -X96393000Y-134416800D01* -X96012000Y-134416800D01* -X95997134Y-134418264D01* -X95982840Y-134422600D01* -X95969666Y-134429642D01* -X95958118Y-134439118D01* -X95948642Y-134450666D01* -X95941600Y-134463840D01* -X95937264Y-134478134D01* -X95935800Y-134493000D01* -X94564200Y-134493000D01* -X94562736Y-134478134D01* -X94558400Y-134463840D01* -X94551358Y-134450666D01* -X94541882Y-134439118D01* -X94530334Y-134429642D01* -X94517160Y-134422600D01* -X94502866Y-134418264D01* -X94488000Y-134416800D01* -X94107000Y-134416800D01* -X94092134Y-134418264D01* -X94077840Y-134422600D01* -X94064666Y-134429642D01* -X94053118Y-134439118D01* -X94043642Y-134450666D01* -X94036600Y-134463840D01* -X94032264Y-134478134D01* -X94030800Y-134493000D01* -X93929200Y-134493000D01* -X93927736Y-134478134D01* -X93923400Y-134463840D01* -X93916358Y-134450666D01* -X93906882Y-134439118D01* -X93895334Y-134429642D01* -X93882160Y-134422600D01* -X93867866Y-134418264D01* -X93853000Y-134416800D01* -X93472000Y-134416800D01* -X93457134Y-134418264D01* -X93442840Y-134422600D01* -X93429666Y-134429642D01* -X93418118Y-134439118D01* -X93408642Y-134450666D01* -X93401600Y-134463840D01* -X93397264Y-134478134D01* -X93395800Y-134493000D01* -X92024200Y-134493000D01* -X92022736Y-134478134D01* -X92018400Y-134463840D01* -X92011358Y-134450666D01* -X92001882Y-134439118D01* -X91990334Y-134429642D01* -X91977160Y-134422600D01* -X91962866Y-134418264D01* -X91948000Y-134416800D01* -X91567000Y-134416800D01* -X91552134Y-134418264D01* -X91537840Y-134422600D01* -X91524666Y-134429642D01* -X91513118Y-134439118D01* -X91503642Y-134450666D01* -X91496600Y-134463840D01* -X91492264Y-134478134D01* -X91490800Y-134493000D01* -X91389200Y-134493000D01* -X91387736Y-134478134D01* -X91383400Y-134463840D01* -X91376358Y-134450666D01* -X91366882Y-134439118D01* -X91355334Y-134429642D01* -X91342160Y-134422600D01* -X91327866Y-134418264D01* -X91313000Y-134416800D01* -X90932000Y-134416800D01* -X90917134Y-134418264D01* -X90902840Y-134422600D01* -X90889666Y-134429642D01* -X90878118Y-134439118D01* -X90868642Y-134450666D01* -X90861600Y-134463840D01* -X90857264Y-134478134D01* -X90855800Y-134493000D01* -X89484200Y-134493000D01* -X89482736Y-134478134D01* -X89478400Y-134463840D01* -X89471358Y-134450666D01* -X89461882Y-134439118D01* -X89450334Y-134429642D01* -X89437160Y-134422600D01* -X89422866Y-134418264D01* -X89408000Y-134416800D01* -X89027000Y-134416800D01* -X89012134Y-134418264D01* -X88997840Y-134422600D01* -X88984666Y-134429642D01* -X88973118Y-134439118D01* -X88963642Y-134450666D01* -X88956600Y-134463840D01* -X88952264Y-134478134D01* -X88950800Y-134493000D01* -X88849200Y-134493000D01* -X88847736Y-134478134D01* -X88843400Y-134463840D01* -X88836358Y-134450666D01* -X88826882Y-134439118D01* -X88815334Y-134429642D01* -X88802160Y-134422600D01* -X88787866Y-134418264D01* -X88773000Y-134416800D01* -X88392000Y-134416800D01* -X88377134Y-134418264D01* -X88362840Y-134422600D01* -X88349666Y-134429642D01* -X88338118Y-134439118D01* -X88328642Y-134450666D01* -X88321600Y-134463840D01* -X88317264Y-134478134D01* -X88315800Y-134493000D01* -X86944200Y-134493000D01* -X86942736Y-134478134D01* -X86938400Y-134463840D01* -X86931358Y-134450666D01* -X86921882Y-134439118D01* -X86910334Y-134429642D01* -X86897160Y-134422600D01* -X86882866Y-134418264D01* -X86868000Y-134416800D01* -X86487000Y-134416800D01* -X86472134Y-134418264D01* -X86457840Y-134422600D01* -X86444666Y-134429642D01* -X86433118Y-134439118D01* -X86423642Y-134450666D01* -X86416600Y-134463840D01* -X86412264Y-134478134D01* -X86410800Y-134493000D01* -X86309200Y-134493000D01* -X86307736Y-134478134D01* -X86303400Y-134463840D01* -X86296358Y-134450666D01* -X86286882Y-134439118D01* -X86275334Y-134429642D01* -X86262160Y-134422600D01* -X86247866Y-134418264D01* -X86233000Y-134416800D01* -X85852000Y-134416800D01* -X85837134Y-134418264D01* -X85822840Y-134422600D01* -X85809666Y-134429642D01* -X85798118Y-134439118D01* -X85788642Y-134450666D01* -X85781600Y-134463840D01* -X85777264Y-134478134D01* -X85775800Y-134493000D01* -X84404200Y-134493000D01* -X84402736Y-134478134D01* -X84398400Y-134463840D01* -X84391358Y-134450666D01* -X84381882Y-134439118D01* -X84370334Y-134429642D01* -X84357160Y-134422600D01* -X84342866Y-134418264D01* -X84328000Y-134416800D01* -X83947000Y-134416800D01* -X83932134Y-134418264D01* -X83917840Y-134422600D01* -X83904666Y-134429642D01* -X83893118Y-134439118D01* -X83883642Y-134450666D01* -X83876600Y-134463840D01* -X83872264Y-134478134D01* -X83870800Y-134493000D01* -X83769200Y-134493000D01* -X83767736Y-134478134D01* -X83763400Y-134463840D01* -X83756358Y-134450666D01* -X83746882Y-134439118D01* -X83735334Y-134429642D01* -X83722160Y-134422600D01* -X83707866Y-134418264D01* -X83693000Y-134416800D01* -X83312000Y-134416800D01* -X83297134Y-134418264D01* -X83282840Y-134422600D01* -X83269666Y-134429642D01* -X83258118Y-134439118D01* -X83248642Y-134450666D01* -X83241600Y-134463840D01* -X83237264Y-134478134D01* -X83235800Y-134493000D01* -X81864200Y-134493000D01* -X81862736Y-134478134D01* -X81858400Y-134463840D01* -X81851358Y-134450666D01* -X81841882Y-134439118D01* -X81830334Y-134429642D01* -X81817160Y-134422600D01* -X81802866Y-134418264D01* -X81788000Y-134416800D01* -X81407000Y-134416800D01* -X81392134Y-134418264D01* -X81377840Y-134422600D01* -X81364666Y-134429642D01* -X81353118Y-134439118D01* -X81343642Y-134450666D01* -X81336600Y-134463840D01* -X81332264Y-134478134D01* -X81330800Y-134493000D01* -X81229200Y-134493000D01* -X81227736Y-134478134D01* -X81223400Y-134463840D01* -X81216358Y-134450666D01* -X81206882Y-134439118D01* -X81195334Y-134429642D01* -X81182160Y-134422600D01* -X81167866Y-134418264D01* -X81153000Y-134416800D01* -X80772000Y-134416800D01* -X80757134Y-134418264D01* -X80742840Y-134422600D01* -X80729666Y-134429642D01* -X80718118Y-134439118D01* -X80708642Y-134450666D01* -X80701600Y-134463840D01* -X80697264Y-134478134D01* -X80695800Y-134493000D01* -X79324200Y-134493000D01* -X79322736Y-134478134D01* -X79318400Y-134463840D01* -X79311358Y-134450666D01* -X79301882Y-134439118D01* -X79290334Y-134429642D01* -X79277160Y-134422600D01* -X79262866Y-134418264D01* -X79248000Y-134416800D01* -X78867000Y-134416800D01* -X78852134Y-134418264D01* -X78837840Y-134422600D01* -X78824666Y-134429642D01* -X78813118Y-134439118D01* -X78803642Y-134450666D01* -X78796600Y-134463840D01* -X78792264Y-134478134D01* -X78790800Y-134493000D01* -X78689200Y-134493000D01* -X78687736Y-134478134D01* -X78683400Y-134463840D01* -X78676358Y-134450666D01* -X78666882Y-134439118D01* -X78655334Y-134429642D01* -X78642160Y-134422600D01* -X78627866Y-134418264D01* -X78613000Y-134416800D01* -X78232000Y-134416800D01* -X78217134Y-134418264D01* -X78202840Y-134422600D01* -X78189666Y-134429642D01* -X78178118Y-134439118D01* -X78168642Y-134450666D01* -X78161600Y-134463840D01* -X78157264Y-134478134D01* -X78155800Y-134493000D01* -X74498200Y-134493000D01* -X74498200Y-133858000D01* -X78155800Y-133858000D01* -X78155800Y-134239000D01* -X78157264Y-134253866D01* -X78161600Y-134268160D01* -X78168642Y-134281334D01* -X78178118Y-134292882D01* -X78189666Y-134302358D01* -X78202840Y-134309400D01* -X78217134Y-134313736D01* -X78232000Y-134315200D01* -X78613000Y-134315200D01* -X78627866Y-134313736D01* -X78642160Y-134309400D01* -X78655334Y-134302358D01* -X78666882Y-134292882D01* -X78676358Y-134281334D01* -X78683400Y-134268160D01* -X78687736Y-134253866D01* -X78689200Y-134239000D01* -X78689200Y-133858000D01* -X78790800Y-133858000D01* -X78790800Y-134239000D01* -X78792264Y-134253866D01* -X78796600Y-134268160D01* -X78803642Y-134281334D01* -X78813118Y-134292882D01* -X78824666Y-134302358D01* -X78837840Y-134309400D01* -X78852134Y-134313736D01* -X78867000Y-134315200D01* -X79248000Y-134315200D01* -X79262866Y-134313736D01* -X79277160Y-134309400D01* -X79290334Y-134302358D01* -X79301882Y-134292882D01* -X79311358Y-134281334D01* -X79318400Y-134268160D01* -X79322736Y-134253866D01* -X79324200Y-134239000D01* -X79324200Y-133858000D01* -X80695800Y-133858000D01* -X80695800Y-134239000D01* -X80697264Y-134253866D01* -X80701600Y-134268160D01* -X80708642Y-134281334D01* -X80718118Y-134292882D01* -X80729666Y-134302358D01* -X80742840Y-134309400D01* -X80757134Y-134313736D01* -X80772000Y-134315200D01* -X81153000Y-134315200D01* -X81167866Y-134313736D01* -X81182160Y-134309400D01* -X81195334Y-134302358D01* -X81206882Y-134292882D01* -X81216358Y-134281334D01* -X81223400Y-134268160D01* -X81227736Y-134253866D01* -X81229200Y-134239000D01* -X81229200Y-133858000D01* -X81330800Y-133858000D01* -X81330800Y-134239000D01* -X81332264Y-134253866D01* -X81336600Y-134268160D01* -X81343642Y-134281334D01* -X81353118Y-134292882D01* -X81364666Y-134302358D01* -X81377840Y-134309400D01* -X81392134Y-134313736D01* -X81407000Y-134315200D01* -X81788000Y-134315200D01* -X81802866Y-134313736D01* -X81817160Y-134309400D01* -X81830334Y-134302358D01* -X81841882Y-134292882D01* -X81851358Y-134281334D01* -X81858400Y-134268160D01* -X81862736Y-134253866D01* -X81864200Y-134239000D01* -X81864200Y-133858000D01* -X83235800Y-133858000D01* -X83235800Y-134239000D01* -X83237264Y-134253866D01* -X83241600Y-134268160D01* -X83248642Y-134281334D01* -X83258118Y-134292882D01* -X83269666Y-134302358D01* -X83282840Y-134309400D01* -X83297134Y-134313736D01* -X83312000Y-134315200D01* -X83693000Y-134315200D01* -X83707866Y-134313736D01* -X83722160Y-134309400D01* -X83735334Y-134302358D01* -X83746882Y-134292882D01* -X83756358Y-134281334D01* -X83763400Y-134268160D01* -X83767736Y-134253866D01* -X83769200Y-134239000D01* -X83769200Y-133858000D01* -X83870800Y-133858000D01* -X83870800Y-134239000D01* -X83872264Y-134253866D01* -X83876600Y-134268160D01* -X83883642Y-134281334D01* -X83893118Y-134292882D01* -X83904666Y-134302358D01* -X83917840Y-134309400D01* -X83932134Y-134313736D01* -X83947000Y-134315200D01* -X84328000Y-134315200D01* -X84342866Y-134313736D01* -X84357160Y-134309400D01* -X84370334Y-134302358D01* -X84381882Y-134292882D01* -X84391358Y-134281334D01* -X84398400Y-134268160D01* -X84402736Y-134253866D01* -X84404200Y-134239000D01* -X84404200Y-133858000D01* -X85775800Y-133858000D01* -X85775800Y-134239000D01* -X85777264Y-134253866D01* -X85781600Y-134268160D01* -X85788642Y-134281334D01* -X85798118Y-134292882D01* -X85809666Y-134302358D01* -X85822840Y-134309400D01* -X85837134Y-134313736D01* -X85852000Y-134315200D01* -X86233000Y-134315200D01* -X86247866Y-134313736D01* -X86262160Y-134309400D01* -X86275334Y-134302358D01* -X86286882Y-134292882D01* -X86296358Y-134281334D01* -X86303400Y-134268160D01* -X86307736Y-134253866D01* -X86309200Y-134239000D01* -X86309200Y-133858000D01* -X86410800Y-133858000D01* -X86410800Y-134239000D01* -X86412264Y-134253866D01* -X86416600Y-134268160D01* -X86423642Y-134281334D01* -X86433118Y-134292882D01* -X86444666Y-134302358D01* -X86457840Y-134309400D01* -X86472134Y-134313736D01* -X86487000Y-134315200D01* -X86868000Y-134315200D01* -X86882866Y-134313736D01* -X86897160Y-134309400D01* -X86910334Y-134302358D01* -X86921882Y-134292882D01* -X86931358Y-134281334D01* -X86938400Y-134268160D01* -X86942736Y-134253866D01* -X86944200Y-134239000D01* -X86944200Y-133858000D01* -X88315800Y-133858000D01* -X88315800Y-134239000D01* -X88317264Y-134253866D01* -X88321600Y-134268160D01* -X88328642Y-134281334D01* -X88338118Y-134292882D01* -X88349666Y-134302358D01* -X88362840Y-134309400D01* -X88377134Y-134313736D01* -X88392000Y-134315200D01* -X88773000Y-134315200D01* -X88787866Y-134313736D01* -X88802160Y-134309400D01* -X88815334Y-134302358D01* -X88826882Y-134292882D01* -X88836358Y-134281334D01* -X88843400Y-134268160D01* -X88847736Y-134253866D01* -X88849200Y-134239000D01* -X88849200Y-133858000D01* -X88950800Y-133858000D01* -X88950800Y-134239000D01* -X88952264Y-134253866D01* -X88956600Y-134268160D01* -X88963642Y-134281334D01* -X88973118Y-134292882D01* -X88984666Y-134302358D01* -X88997840Y-134309400D01* -X89012134Y-134313736D01* -X89027000Y-134315200D01* -X89408000Y-134315200D01* -X89422866Y-134313736D01* -X89437160Y-134309400D01* -X89450334Y-134302358D01* -X89461882Y-134292882D01* -X89471358Y-134281334D01* -X89478400Y-134268160D01* -X89482736Y-134253866D01* -X89484200Y-134239000D01* -X89484200Y-133858000D01* -X90855800Y-133858000D01* -X90855800Y-134239000D01* -X90857264Y-134253866D01* -X90861600Y-134268160D01* -X90868642Y-134281334D01* -X90878118Y-134292882D01* -X90889666Y-134302358D01* -X90902840Y-134309400D01* -X90917134Y-134313736D01* -X90932000Y-134315200D01* -X91313000Y-134315200D01* -X91327866Y-134313736D01* -X91342160Y-134309400D01* -X91355334Y-134302358D01* -X91366882Y-134292882D01* -X91376358Y-134281334D01* -X91383400Y-134268160D01* -X91387736Y-134253866D01* -X91389200Y-134239000D01* -X91389200Y-133858000D01* -X91490800Y-133858000D01* -X91490800Y-134239000D01* -X91492264Y-134253866D01* -X91496600Y-134268160D01* -X91503642Y-134281334D01* -X91513118Y-134292882D01* -X91524666Y-134302358D01* -X91537840Y-134309400D01* -X91552134Y-134313736D01* -X91567000Y-134315200D01* -X91948000Y-134315200D01* -X91962866Y-134313736D01* -X91977160Y-134309400D01* -X91990334Y-134302358D01* -X92001882Y-134292882D01* -X92011358Y-134281334D01* -X92018400Y-134268160D01* -X92022736Y-134253866D01* -X92024200Y-134239000D01* -X92024200Y-133858000D01* -X93395800Y-133858000D01* -X93395800Y-134239000D01* -X93397264Y-134253866D01* -X93401600Y-134268160D01* -X93408642Y-134281334D01* -X93418118Y-134292882D01* -X93429666Y-134302358D01* -X93442840Y-134309400D01* -X93457134Y-134313736D01* -X93472000Y-134315200D01* -X93853000Y-134315200D01* -X93867866Y-134313736D01* -X93882160Y-134309400D01* -X93895334Y-134302358D01* -X93906882Y-134292882D01* -X93916358Y-134281334D01* -X93923400Y-134268160D01* -X93927736Y-134253866D01* -X93929200Y-134239000D01* -X93929200Y-133858000D01* -X94030800Y-133858000D01* -X94030800Y-134239000D01* -X94032264Y-134253866D01* -X94036600Y-134268160D01* -X94043642Y-134281334D01* -X94053118Y-134292882D01* -X94064666Y-134302358D01* -X94077840Y-134309400D01* -X94092134Y-134313736D01* -X94107000Y-134315200D01* -X94488000Y-134315200D01* -X94502866Y-134313736D01* -X94517160Y-134309400D01* -X94530334Y-134302358D01* -X94541882Y-134292882D01* -X94551358Y-134281334D01* -X94558400Y-134268160D01* -X94562736Y-134253866D01* -X94564200Y-134239000D01* -X94564200Y-133858000D01* -X95935800Y-133858000D01* -X95935800Y-134239000D01* -X95937264Y-134253866D01* -X95941600Y-134268160D01* -X95948642Y-134281334D01* -X95958118Y-134292882D01* -X95969666Y-134302358D01* -X95982840Y-134309400D01* -X95997134Y-134313736D01* -X96012000Y-134315200D01* -X96393000Y-134315200D01* -X96407866Y-134313736D01* -X96422160Y-134309400D01* -X96435334Y-134302358D01* -X96446882Y-134292882D01* -X96456358Y-134281334D01* -X96463400Y-134268160D01* -X96467736Y-134253866D01* -X96469200Y-134239000D01* -X96469200Y-133858000D01* -X96570800Y-133858000D01* -X96570800Y-134239000D01* -X96572264Y-134253866D01* -X96576600Y-134268160D01* -X96583642Y-134281334D01* -X96593118Y-134292882D01* -X96604666Y-134302358D01* -X96617840Y-134309400D01* -X96632134Y-134313736D01* -X96647000Y-134315200D01* -X97028000Y-134315200D01* -X97042866Y-134313736D01* -X97057160Y-134309400D01* -X97070334Y-134302358D01* -X97081882Y-134292882D01* -X97091358Y-134281334D01* -X97098400Y-134268160D01* -X97102736Y-134253866D01* -X97104200Y-134239000D01* -X97104200Y-133858000D01* -X98475800Y-133858000D01* -X98475800Y-134239000D01* -X98477264Y-134253866D01* -X98481600Y-134268160D01* -X98488642Y-134281334D01* -X98498118Y-134292882D01* -X98509666Y-134302358D01* -X98522840Y-134309400D01* -X98537134Y-134313736D01* -X98552000Y-134315200D01* -X98933000Y-134315200D01* -X98947866Y-134313736D01* -X98962160Y-134309400D01* -X98975334Y-134302358D01* -X98986882Y-134292882D01* -X98996358Y-134281334D01* -X99003400Y-134268160D01* -X99007736Y-134253866D01* -X99009200Y-134239000D01* -X99009200Y-133858000D01* -X99110800Y-133858000D01* -X99110800Y-134239000D01* -X99112264Y-134253866D01* -X99116600Y-134268160D01* -X99123642Y-134281334D01* -X99133118Y-134292882D01* -X99144666Y-134302358D01* -X99157840Y-134309400D01* -X99172134Y-134313736D01* -X99187000Y-134315200D01* -X99568000Y-134315200D01* -X99582866Y-134313736D01* -X99597160Y-134309400D01* -X99610334Y-134302358D01* -X99621882Y-134292882D01* -X99631358Y-134281334D01* -X99638400Y-134268160D01* -X99642736Y-134253866D01* -X99644200Y-134239000D01* -X99644200Y-133858000D01* -X101015800Y-133858000D01* -X101015800Y-134239000D01* -X101017264Y-134253866D01* -X101021600Y-134268160D01* -X101028642Y-134281334D01* -X101038118Y-134292882D01* -X101049666Y-134302358D01* -X101062840Y-134309400D01* -X101077134Y-134313736D01* -X101092000Y-134315200D01* -X101473000Y-134315200D01* -X101487866Y-134313736D01* -X101502160Y-134309400D01* -X101515334Y-134302358D01* -X101526882Y-134292882D01* -X101536358Y-134281334D01* -X101543400Y-134268160D01* -X101547736Y-134253866D01* -X101549200Y-134239000D01* -X101549200Y-133858000D01* -X101650800Y-133858000D01* -X101650800Y-134239000D01* -X101652264Y-134253866D01* -X101656600Y-134268160D01* -X101663642Y-134281334D01* -X101673118Y-134292882D01* -X101684666Y-134302358D01* -X101697840Y-134309400D01* -X101712134Y-134313736D01* -X101727000Y-134315200D01* -X102108000Y-134315200D01* -X102122866Y-134313736D01* -X102137160Y-134309400D01* -X102150334Y-134302358D01* -X102161882Y-134292882D01* -X102171358Y-134281334D01* -X102178400Y-134268160D01* -X102182736Y-134253866D01* -X102184200Y-134239000D01* -X102184200Y-133858000D01* -X103555800Y-133858000D01* -X103555800Y-134239000D01* -X103557264Y-134253866D01* -X103561600Y-134268160D01* -X103568642Y-134281334D01* -X103578118Y-134292882D01* -X103589666Y-134302358D01* -X103602840Y-134309400D01* -X103617134Y-134313736D01* -X103632000Y-134315200D01* -X104013000Y-134315200D01* -X104027866Y-134313736D01* -X104042160Y-134309400D01* -X104055334Y-134302358D01* -X104066882Y-134292882D01* -X104076358Y-134281334D01* -X104083400Y-134268160D01* -X104087736Y-134253866D01* -X104089200Y-134239000D01* -X104089200Y-133858000D01* -X104190800Y-133858000D01* -X104190800Y-134239000D01* -X104192264Y-134253866D01* -X104196600Y-134268160D01* -X104203642Y-134281334D01* -X104213118Y-134292882D01* -X104224666Y-134302358D01* -X104237840Y-134309400D01* -X104252134Y-134313736D01* -X104267000Y-134315200D01* -X104648000Y-134315200D01* -X104662866Y-134313736D01* -X104677160Y-134309400D01* -X104690334Y-134302358D01* -X104701882Y-134292882D01* -X104711358Y-134281334D01* -X104718400Y-134268160D01* -X104722736Y-134253866D01* -X104724200Y-134239000D01* -X104724200Y-133858000D01* -X106095800Y-133858000D01* -X106095800Y-134239000D01* -X106097264Y-134253866D01* -X106101600Y-134268160D01* -X106108642Y-134281334D01* -X106118118Y-134292882D01* -X106129666Y-134302358D01* -X106142840Y-134309400D01* -X106157134Y-134313736D01* -X106172000Y-134315200D01* -X106553000Y-134315200D01* -X106567866Y-134313736D01* -X106582160Y-134309400D01* -X106595334Y-134302358D01* -X106606882Y-134292882D01* -X106616358Y-134281334D01* -X106623400Y-134268160D01* -X106627736Y-134253866D01* -X106629200Y-134239000D01* -X106629200Y-133858000D01* -X106730800Y-133858000D01* -X106730800Y-134239000D01* -X106732264Y-134253866D01* -X106736600Y-134268160D01* -X106743642Y-134281334D01* -X106753118Y-134292882D01* -X106764666Y-134302358D01* -X106777840Y-134309400D01* -X106792134Y-134313736D01* -X106807000Y-134315200D01* -X107188000Y-134315200D01* -X107202866Y-134313736D01* -X107217160Y-134309400D01* -X107230334Y-134302358D01* -X107241882Y-134292882D01* -X107251358Y-134281334D01* -X107258400Y-134268160D01* -X107262736Y-134253866D01* -X107264200Y-134239000D01* -X107264200Y-133858000D01* -X108635800Y-133858000D01* -X108635800Y-134239000D01* -X108637264Y-134253866D01* -X108641600Y-134268160D01* -X108648642Y-134281334D01* -X108658118Y-134292882D01* -X108669666Y-134302358D01* -X108682840Y-134309400D01* -X108697134Y-134313736D01* -X108712000Y-134315200D01* -X109093000Y-134315200D01* -X109107866Y-134313736D01* -X109122160Y-134309400D01* -X109135334Y-134302358D01* -X109146882Y-134292882D01* -X109156358Y-134281334D01* -X109163400Y-134268160D01* -X109167736Y-134253866D01* -X109169200Y-134239000D01* -X109169200Y-133858000D01* -X109270800Y-133858000D01* -X109270800Y-134239000D01* -X109272264Y-134253866D01* -X109276600Y-134268160D01* -X109283642Y-134281334D01* -X109293118Y-134292882D01* -X109304666Y-134302358D01* -X109317840Y-134309400D01* -X109332134Y-134313736D01* -X109347000Y-134315200D01* -X109728000Y-134315200D01* -X109742866Y-134313736D01* -X109757160Y-134309400D01* -X109770334Y-134302358D01* -X109781882Y-134292882D01* -X109791358Y-134281334D01* -X109798400Y-134268160D01* -X109802736Y-134253866D01* -X109804200Y-134239000D01* -X109804200Y-133858000D01* -X111175800Y-133858000D01* -X111175800Y-134239000D01* -X111177264Y-134253866D01* -X111181600Y-134268160D01* -X111188642Y-134281334D01* -X111198118Y-134292882D01* -X111209666Y-134302358D01* -X111222840Y-134309400D01* -X111237134Y-134313736D01* -X111252000Y-134315200D01* -X111633000Y-134315200D01* -X111647866Y-134313736D01* -X111662160Y-134309400D01* -X111675334Y-134302358D01* -X111686882Y-134292882D01* -X111696358Y-134281334D01* -X111703400Y-134268160D01* -X111707736Y-134253866D01* -X111709200Y-134239000D01* -X111709200Y-133858000D01* -X111810800Y-133858000D01* -X111810800Y-134239000D01* -X111812264Y-134253866D01* -X111816600Y-134268160D01* -X111823642Y-134281334D01* -X111833118Y-134292882D01* -X111844666Y-134302358D01* -X111857840Y-134309400D01* -X111872134Y-134313736D01* -X111887000Y-134315200D01* -X112268000Y-134315200D01* -X112282866Y-134313736D01* -X112297160Y-134309400D01* -X112310334Y-134302358D01* -X112321882Y-134292882D01* -X112331358Y-134281334D01* -X112338400Y-134268160D01* -X112342736Y-134253866D01* -X112344200Y-134239000D01* -X112344200Y-133858000D01* -X113715800Y-133858000D01* -X113715800Y-134239000D01* -X113717264Y-134253866D01* -X113721600Y-134268160D01* -X113728642Y-134281334D01* -X113738118Y-134292882D01* -X113749666Y-134302358D01* -X113762840Y-134309400D01* -X113777134Y-134313736D01* -X113792000Y-134315200D01* -X114173000Y-134315200D01* -X114187866Y-134313736D01* -X114202160Y-134309400D01* -X114215334Y-134302358D01* -X114226882Y-134292882D01* -X114236358Y-134281334D01* -X114243400Y-134268160D01* -X114247736Y-134253866D01* -X114249200Y-134239000D01* -X114249200Y-133858000D01* -X114350800Y-133858000D01* -X114350800Y-134239000D01* -X114352264Y-134253866D01* -X114356600Y-134268160D01* -X114363642Y-134281334D01* -X114373118Y-134292882D01* -X114384666Y-134302358D01* -X114397840Y-134309400D01* -X114412134Y-134313736D01* -X114427000Y-134315200D01* -X114808000Y-134315200D01* -X114822866Y-134313736D01* -X114837160Y-134309400D01* -X114850334Y-134302358D01* -X114861882Y-134292882D01* -X114871358Y-134281334D01* -X114878400Y-134268160D01* -X114882736Y-134253866D01* -X114884200Y-134239000D01* -X114884200Y-133858000D01* -X121335800Y-133858000D01* -X121335800Y-134239000D01* -X121337264Y-134253866D01* -X121341600Y-134268160D01* -X121348642Y-134281334D01* -X121358118Y-134292882D01* -X121369666Y-134302358D01* -X121382840Y-134309400D01* -X121397134Y-134313736D01* -X121412000Y-134315200D01* -X121793000Y-134315200D01* -X121807866Y-134313736D01* -X121822160Y-134309400D01* -X121835334Y-134302358D01* -X121846882Y-134292882D01* -X121856358Y-134281334D01* -X121863400Y-134268160D01* -X121867736Y-134253866D01* -X121869200Y-134239000D01* -X121869200Y-133858000D01* -X121970800Y-133858000D01* -X121970800Y-134239000D01* -X121972264Y-134253866D01* -X121976600Y-134268160D01* -X121983642Y-134281334D01* -X121993118Y-134292882D01* -X122004666Y-134302358D01* -X122017840Y-134309400D01* -X122032134Y-134313736D01* -X122047000Y-134315200D01* -X122428000Y-134315200D01* -X122442866Y-134313736D01* -X122457160Y-134309400D01* -X122470334Y-134302358D01* -X122481882Y-134292882D01* -X122491358Y-134281334D01* -X122498400Y-134268160D01* -X122502736Y-134253866D01* -X122504200Y-134239000D01* -X122504200Y-133858000D01* -X123875800Y-133858000D01* -X123875800Y-134239000D01* -X123877264Y-134253866D01* -X123881600Y-134268160D01* -X123888642Y-134281334D01* -X123898118Y-134292882D01* -X123909666Y-134302358D01* -X123922840Y-134309400D01* -X123937134Y-134313736D01* -X123952000Y-134315200D01* -X124333000Y-134315200D01* -X124347866Y-134313736D01* -X124362160Y-134309400D01* -X124375334Y-134302358D01* -X124386882Y-134292882D01* -X124396358Y-134281334D01* -X124403400Y-134268160D01* -X124407736Y-134253866D01* -X124409200Y-134239000D01* -X124409200Y-133858000D01* -X124510800Y-133858000D01* -X124510800Y-134239000D01* -X124512264Y-134253866D01* -X124516600Y-134268160D01* -X124523642Y-134281334D01* -X124533118Y-134292882D01* -X124544666Y-134302358D01* -X124557840Y-134309400D01* -X124572134Y-134313736D01* -X124587000Y-134315200D01* -X124968000Y-134315200D01* -X124982866Y-134313736D01* -X124997160Y-134309400D01* -X125010334Y-134302358D01* -X125021882Y-134292882D01* -X125031358Y-134281334D01* -X125038400Y-134268160D01* -X125042736Y-134253866D01* -X125044200Y-134239000D01* -X125044200Y-133858000D01* -X126415800Y-133858000D01* -X126415800Y-134239000D01* -X126417264Y-134253866D01* -X126421600Y-134268160D01* -X126428642Y-134281334D01* -X126438118Y-134292882D01* -X126449666Y-134302358D01* -X126462840Y-134309400D01* -X126477134Y-134313736D01* -X126492000Y-134315200D01* -X126873000Y-134315200D01* -X126887866Y-134313736D01* -X126902160Y-134309400D01* -X126915334Y-134302358D01* -X126926882Y-134292882D01* -X126936358Y-134281334D01* -X126943400Y-134268160D01* -X126947736Y-134253866D01* -X126949200Y-134239000D01* -X126949200Y-133858000D01* -X127050800Y-133858000D01* -X127050800Y-134239000D01* -X127052264Y-134253866D01* -X127056600Y-134268160D01* -X127063642Y-134281334D01* -X127073118Y-134292882D01* -X127084666Y-134302358D01* -X127097840Y-134309400D01* -X127112134Y-134313736D01* -X127127000Y-134315200D01* -X127508000Y-134315200D01* -X127522866Y-134313736D01* -X127537160Y-134309400D01* -X127550334Y-134302358D01* -X127561882Y-134292882D01* -X127571358Y-134281334D01* -X127578400Y-134268160D01* -X127582736Y-134253866D01* -X127584200Y-134239000D01* -X127584200Y-133858000D01* -X128955800Y-133858000D01* -X128955800Y-134239000D01* -X128957264Y-134253866D01* -X128961600Y-134268160D01* -X128968642Y-134281334D01* -X128978118Y-134292882D01* -X128989666Y-134302358D01* -X129002840Y-134309400D01* -X129017134Y-134313736D01* -X129032000Y-134315200D01* -X129413000Y-134315200D01* -X129427866Y-134313736D01* -X129442160Y-134309400D01* -X129455334Y-134302358D01* -X129466882Y-134292882D01* -X129476358Y-134281334D01* -X129483400Y-134268160D01* -X129487736Y-134253866D01* -X129489200Y-134239000D01* -X129489200Y-133858000D01* -X129590800Y-133858000D01* -X129590800Y-134239000D01* -X129592264Y-134253866D01* -X129596600Y-134268160D01* -X129603642Y-134281334D01* -X129613118Y-134292882D01* -X129624666Y-134302358D01* -X129637840Y-134309400D01* -X129652134Y-134313736D01* -X129667000Y-134315200D01* -X130048000Y-134315200D01* -X130062866Y-134313736D01* -X130077160Y-134309400D01* -X130090334Y-134302358D01* -X130101882Y-134292882D01* -X130111358Y-134281334D01* -X130118400Y-134268160D01* -X130122736Y-134253866D01* -X130124200Y-134239000D01* -X130124200Y-133858000D01* -X131495800Y-133858000D01* -X131495800Y-134239000D01* -X131497264Y-134253866D01* -X131501600Y-134268160D01* -X131508642Y-134281334D01* -X131518118Y-134292882D01* -X131529666Y-134302358D01* -X131542840Y-134309400D01* -X131557134Y-134313736D01* -X131572000Y-134315200D01* -X131953000Y-134315200D01* -X131967866Y-134313736D01* -X131982160Y-134309400D01* -X131995334Y-134302358D01* -X132006882Y-134292882D01* -X132016358Y-134281334D01* -X132023400Y-134268160D01* -X132027736Y-134253866D01* -X132029200Y-134239000D01* -X132029200Y-133858000D01* -X132130800Y-133858000D01* -X132130800Y-134239000D01* -X132132264Y-134253866D01* -X132136600Y-134268160D01* -X132143642Y-134281334D01* -X132153118Y-134292882D01* -X132164666Y-134302358D01* -X132177840Y-134309400D01* -X132192134Y-134313736D01* -X132207000Y-134315200D01* -X132588000Y-134315200D01* -X132602866Y-134313736D01* -X132617160Y-134309400D01* -X132630334Y-134302358D01* -X132641882Y-134292882D01* -X132651358Y-134281334D01* -X132658400Y-134268160D01* -X132662736Y-134253866D01* -X132664200Y-134239000D01* -X132664200Y-133858000D01* -X134035800Y-133858000D01* -X134035800Y-134239000D01* -X134037264Y-134253866D01* -X134041600Y-134268160D01* -X134048642Y-134281334D01* -X134058118Y-134292882D01* -X134069666Y-134302358D01* -X134082840Y-134309400D01* -X134097134Y-134313736D01* -X134112000Y-134315200D01* -X134493000Y-134315200D01* -X134507866Y-134313736D01* -X134522160Y-134309400D01* -X134535334Y-134302358D01* -X134546882Y-134292882D01* -X134556358Y-134281334D01* -X134563400Y-134268160D01* -X134567736Y-134253866D01* -X134569200Y-134239000D01* -X134569200Y-133858000D01* -X134670800Y-133858000D01* -X134670800Y-134239000D01* -X134672264Y-134253866D01* -X134676600Y-134268160D01* -X134683642Y-134281334D01* -X134693118Y-134292882D01* -X134704666Y-134302358D01* -X134717840Y-134309400D01* -X134732134Y-134313736D01* -X134747000Y-134315200D01* -X135128000Y-134315200D01* -X135142866Y-134313736D01* -X135157160Y-134309400D01* -X135170334Y-134302358D01* -X135181882Y-134292882D01* -X135191358Y-134281334D01* -X135198400Y-134268160D01* -X135202736Y-134253866D01* -X135204200Y-134239000D01* -X135204200Y-133858000D01* -X135202736Y-133843134D01* -X135198400Y-133828840D01* -X135191358Y-133815666D01* -X135181882Y-133804118D01* -X135170334Y-133794642D01* -X135157160Y-133787600D01* -X135142866Y-133783264D01* -X135128000Y-133781800D01* -X134747000Y-133781800D01* -X134732134Y-133783264D01* -X134717840Y-133787600D01* -X134704666Y-133794642D01* -X134693118Y-133804118D01* -X134683642Y-133815666D01* -X134676600Y-133828840D01* -X134672264Y-133843134D01* -X134670800Y-133858000D01* -X134569200Y-133858000D01* -X134567736Y-133843134D01* -X134563400Y-133828840D01* -X134556358Y-133815666D01* -X134546882Y-133804118D01* -X134535334Y-133794642D01* -X134522160Y-133787600D01* -X134507866Y-133783264D01* -X134493000Y-133781800D01* -X134112000Y-133781800D01* -X134097134Y-133783264D01* -X134082840Y-133787600D01* -X134069666Y-133794642D01* -X134058118Y-133804118D01* -X134048642Y-133815666D01* -X134041600Y-133828840D01* -X134037264Y-133843134D01* -X134035800Y-133858000D01* -X132664200Y-133858000D01* -X132662736Y-133843134D01* -X132658400Y-133828840D01* -X132651358Y-133815666D01* -X132641882Y-133804118D01* -X132630334Y-133794642D01* -X132617160Y-133787600D01* -X132602866Y-133783264D01* -X132588000Y-133781800D01* -X132207000Y-133781800D01* -X132192134Y-133783264D01* -X132177840Y-133787600D01* -X132164666Y-133794642D01* -X132153118Y-133804118D01* -X132143642Y-133815666D01* -X132136600Y-133828840D01* -X132132264Y-133843134D01* -X132130800Y-133858000D01* -X132029200Y-133858000D01* -X132027736Y-133843134D01* -X132023400Y-133828840D01* -X132016358Y-133815666D01* -X132006882Y-133804118D01* -X131995334Y-133794642D01* -X131982160Y-133787600D01* -X131967866Y-133783264D01* -X131953000Y-133781800D01* -X131572000Y-133781800D01* -X131557134Y-133783264D01* -X131542840Y-133787600D01* -X131529666Y-133794642D01* -X131518118Y-133804118D01* -X131508642Y-133815666D01* -X131501600Y-133828840D01* -X131497264Y-133843134D01* -X131495800Y-133858000D01* -X130124200Y-133858000D01* -X130122736Y-133843134D01* -X130118400Y-133828840D01* -X130111358Y-133815666D01* -X130101882Y-133804118D01* -X130090334Y-133794642D01* -X130077160Y-133787600D01* -X130062866Y-133783264D01* -X130048000Y-133781800D01* -X129667000Y-133781800D01* -X129652134Y-133783264D01* -X129637840Y-133787600D01* -X129624666Y-133794642D01* -X129613118Y-133804118D01* -X129603642Y-133815666D01* -X129596600Y-133828840D01* -X129592264Y-133843134D01* -X129590800Y-133858000D01* -X129489200Y-133858000D01* -X129487736Y-133843134D01* -X129483400Y-133828840D01* -X129476358Y-133815666D01* -X129466882Y-133804118D01* -X129455334Y-133794642D01* -X129442160Y-133787600D01* -X129427866Y-133783264D01* -X129413000Y-133781800D01* -X129032000Y-133781800D01* -X129017134Y-133783264D01* -X129002840Y-133787600D01* -X128989666Y-133794642D01* -X128978118Y-133804118D01* -X128968642Y-133815666D01* -X128961600Y-133828840D01* -X128957264Y-133843134D01* -X128955800Y-133858000D01* -X127584200Y-133858000D01* -X127582736Y-133843134D01* -X127578400Y-133828840D01* -X127571358Y-133815666D01* -X127561882Y-133804118D01* -X127550334Y-133794642D01* -X127537160Y-133787600D01* -X127522866Y-133783264D01* -X127508000Y-133781800D01* -X127127000Y-133781800D01* -X127112134Y-133783264D01* -X127097840Y-133787600D01* -X127084666Y-133794642D01* -X127073118Y-133804118D01* -X127063642Y-133815666D01* -X127056600Y-133828840D01* -X127052264Y-133843134D01* -X127050800Y-133858000D01* -X126949200Y-133858000D01* -X126947736Y-133843134D01* -X126943400Y-133828840D01* -X126936358Y-133815666D01* -X126926882Y-133804118D01* -X126915334Y-133794642D01* -X126902160Y-133787600D01* -X126887866Y-133783264D01* -X126873000Y-133781800D01* -X126492000Y-133781800D01* -X126477134Y-133783264D01* -X126462840Y-133787600D01* -X126449666Y-133794642D01* -X126438118Y-133804118D01* -X126428642Y-133815666D01* -X126421600Y-133828840D01* -X126417264Y-133843134D01* -X126415800Y-133858000D01* -X125044200Y-133858000D01* -X125042736Y-133843134D01* -X125038400Y-133828840D01* -X125031358Y-133815666D01* -X125021882Y-133804118D01* -X125010334Y-133794642D01* -X124997160Y-133787600D01* -X124982866Y-133783264D01* -X124968000Y-133781800D01* -X124587000Y-133781800D01* -X124572134Y-133783264D01* -X124557840Y-133787600D01* -X124544666Y-133794642D01* -X124533118Y-133804118D01* -X124523642Y-133815666D01* -X124516600Y-133828840D01* -X124512264Y-133843134D01* -X124510800Y-133858000D01* -X124409200Y-133858000D01* -X124407736Y-133843134D01* -X124403400Y-133828840D01* -X124396358Y-133815666D01* -X124386882Y-133804118D01* -X124375334Y-133794642D01* -X124362160Y-133787600D01* -X124347866Y-133783264D01* -X124333000Y-133781800D01* -X123952000Y-133781800D01* -X123937134Y-133783264D01* -X123922840Y-133787600D01* -X123909666Y-133794642D01* -X123898118Y-133804118D01* -X123888642Y-133815666D01* -X123881600Y-133828840D01* -X123877264Y-133843134D01* -X123875800Y-133858000D01* -X122504200Y-133858000D01* -X122502736Y-133843134D01* -X122498400Y-133828840D01* -X122491358Y-133815666D01* -X122481882Y-133804118D01* -X122470334Y-133794642D01* -X122457160Y-133787600D01* -X122442866Y-133783264D01* -X122428000Y-133781800D01* -X122047000Y-133781800D01* -X122032134Y-133783264D01* -X122017840Y-133787600D01* -X122004666Y-133794642D01* -X121993118Y-133804118D01* -X121983642Y-133815666D01* -X121976600Y-133828840D01* -X121972264Y-133843134D01* -X121970800Y-133858000D01* -X121869200Y-133858000D01* -X121867736Y-133843134D01* -X121863400Y-133828840D01* -X121856358Y-133815666D01* -X121846882Y-133804118D01* -X121835334Y-133794642D01* -X121822160Y-133787600D01* -X121807866Y-133783264D01* -X121793000Y-133781800D01* -X121412000Y-133781800D01* -X121397134Y-133783264D01* -X121382840Y-133787600D01* -X121369666Y-133794642D01* -X121358118Y-133804118D01* -X121348642Y-133815666D01* -X121341600Y-133828840D01* -X121337264Y-133843134D01* -X121335800Y-133858000D01* -X114884200Y-133858000D01* -X114882736Y-133843134D01* -X114878400Y-133828840D01* -X114871358Y-133815666D01* -X114861882Y-133804118D01* -X114850334Y-133794642D01* -X114837160Y-133787600D01* -X114822866Y-133783264D01* -X114808000Y-133781800D01* -X114427000Y-133781800D01* -X114412134Y-133783264D01* -X114397840Y-133787600D01* -X114384666Y-133794642D01* -X114373118Y-133804118D01* -X114363642Y-133815666D01* -X114356600Y-133828840D01* -X114352264Y-133843134D01* -X114350800Y-133858000D01* -X114249200Y-133858000D01* -X114247736Y-133843134D01* -X114243400Y-133828840D01* -X114236358Y-133815666D01* -X114226882Y-133804118D01* -X114215334Y-133794642D01* -X114202160Y-133787600D01* -X114187866Y-133783264D01* -X114173000Y-133781800D01* -X113792000Y-133781800D01* -X113777134Y-133783264D01* -X113762840Y-133787600D01* -X113749666Y-133794642D01* -X113738118Y-133804118D01* -X113728642Y-133815666D01* -X113721600Y-133828840D01* -X113717264Y-133843134D01* -X113715800Y-133858000D01* -X112344200Y-133858000D01* -X112342736Y-133843134D01* -X112338400Y-133828840D01* -X112331358Y-133815666D01* -X112321882Y-133804118D01* -X112310334Y-133794642D01* -X112297160Y-133787600D01* -X112282866Y-133783264D01* -X112268000Y-133781800D01* -X111887000Y-133781800D01* -X111872134Y-133783264D01* -X111857840Y-133787600D01* -X111844666Y-133794642D01* -X111833118Y-133804118D01* -X111823642Y-133815666D01* -X111816600Y-133828840D01* -X111812264Y-133843134D01* -X111810800Y-133858000D01* -X111709200Y-133858000D01* -X111707736Y-133843134D01* -X111703400Y-133828840D01* -X111696358Y-133815666D01* -X111686882Y-133804118D01* -X111675334Y-133794642D01* -X111662160Y-133787600D01* -X111647866Y-133783264D01* -X111633000Y-133781800D01* -X111252000Y-133781800D01* -X111237134Y-133783264D01* -X111222840Y-133787600D01* -X111209666Y-133794642D01* -X111198118Y-133804118D01* -X111188642Y-133815666D01* -X111181600Y-133828840D01* -X111177264Y-133843134D01* -X111175800Y-133858000D01* -X109804200Y-133858000D01* -X109802736Y-133843134D01* -X109798400Y-133828840D01* -X109791358Y-133815666D01* -X109781882Y-133804118D01* -X109770334Y-133794642D01* -X109757160Y-133787600D01* -X109742866Y-133783264D01* -X109728000Y-133781800D01* -X109347000Y-133781800D01* -X109332134Y-133783264D01* -X109317840Y-133787600D01* -X109304666Y-133794642D01* -X109293118Y-133804118D01* -X109283642Y-133815666D01* -X109276600Y-133828840D01* -X109272264Y-133843134D01* -X109270800Y-133858000D01* -X109169200Y-133858000D01* -X109167736Y-133843134D01* -X109163400Y-133828840D01* -X109156358Y-133815666D01* -X109146882Y-133804118D01* -X109135334Y-133794642D01* -X109122160Y-133787600D01* -X109107866Y-133783264D01* -X109093000Y-133781800D01* -X108712000Y-133781800D01* -X108697134Y-133783264D01* -X108682840Y-133787600D01* -X108669666Y-133794642D01* -X108658118Y-133804118D01* -X108648642Y-133815666D01* -X108641600Y-133828840D01* -X108637264Y-133843134D01* -X108635800Y-133858000D01* -X107264200Y-133858000D01* -X107262736Y-133843134D01* -X107258400Y-133828840D01* -X107251358Y-133815666D01* -X107241882Y-133804118D01* -X107230334Y-133794642D01* -X107217160Y-133787600D01* -X107202866Y-133783264D01* -X107188000Y-133781800D01* -X106807000Y-133781800D01* -X106792134Y-133783264D01* -X106777840Y-133787600D01* -X106764666Y-133794642D01* -X106753118Y-133804118D01* -X106743642Y-133815666D01* -X106736600Y-133828840D01* -X106732264Y-133843134D01* -X106730800Y-133858000D01* -X106629200Y-133858000D01* -X106627736Y-133843134D01* -X106623400Y-133828840D01* -X106616358Y-133815666D01* -X106606882Y-133804118D01* -X106595334Y-133794642D01* -X106582160Y-133787600D01* -X106567866Y-133783264D01* -X106553000Y-133781800D01* -X106172000Y-133781800D01* -X106157134Y-133783264D01* -X106142840Y-133787600D01* -X106129666Y-133794642D01* -X106118118Y-133804118D01* -X106108642Y-133815666D01* -X106101600Y-133828840D01* -X106097264Y-133843134D01* -X106095800Y-133858000D01* -X104724200Y-133858000D01* -X104722736Y-133843134D01* -X104718400Y-133828840D01* -X104711358Y-133815666D01* -X104701882Y-133804118D01* -X104690334Y-133794642D01* -X104677160Y-133787600D01* -X104662866Y-133783264D01* -X104648000Y-133781800D01* -X104267000Y-133781800D01* -X104252134Y-133783264D01* -X104237840Y-133787600D01* -X104224666Y-133794642D01* -X104213118Y-133804118D01* -X104203642Y-133815666D01* -X104196600Y-133828840D01* -X104192264Y-133843134D01* -X104190800Y-133858000D01* -X104089200Y-133858000D01* -X104087736Y-133843134D01* -X104083400Y-133828840D01* -X104076358Y-133815666D01* -X104066882Y-133804118D01* -X104055334Y-133794642D01* -X104042160Y-133787600D01* -X104027866Y-133783264D01* -X104013000Y-133781800D01* -X103632000Y-133781800D01* -X103617134Y-133783264D01* -X103602840Y-133787600D01* -X103589666Y-133794642D01* -X103578118Y-133804118D01* -X103568642Y-133815666D01* -X103561600Y-133828840D01* -X103557264Y-133843134D01* -X103555800Y-133858000D01* -X102184200Y-133858000D01* -X102182736Y-133843134D01* -X102178400Y-133828840D01* -X102171358Y-133815666D01* -X102161882Y-133804118D01* -X102150334Y-133794642D01* -X102137160Y-133787600D01* -X102122866Y-133783264D01* -X102108000Y-133781800D01* -X101727000Y-133781800D01* -X101712134Y-133783264D01* -X101697840Y-133787600D01* -X101684666Y-133794642D01* -X101673118Y-133804118D01* -X101663642Y-133815666D01* -X101656600Y-133828840D01* -X101652264Y-133843134D01* -X101650800Y-133858000D01* -X101549200Y-133858000D01* -X101547736Y-133843134D01* -X101543400Y-133828840D01* -X101536358Y-133815666D01* -X101526882Y-133804118D01* -X101515334Y-133794642D01* -X101502160Y-133787600D01* -X101487866Y-133783264D01* -X101473000Y-133781800D01* -X101092000Y-133781800D01* -X101077134Y-133783264D01* -X101062840Y-133787600D01* -X101049666Y-133794642D01* -X101038118Y-133804118D01* -X101028642Y-133815666D01* -X101021600Y-133828840D01* -X101017264Y-133843134D01* -X101015800Y-133858000D01* -X99644200Y-133858000D01* -X99642736Y-133843134D01* -X99638400Y-133828840D01* -X99631358Y-133815666D01* -X99621882Y-133804118D01* -X99610334Y-133794642D01* -X99597160Y-133787600D01* -X99582866Y-133783264D01* -X99568000Y-133781800D01* -X99187000Y-133781800D01* -X99172134Y-133783264D01* -X99157840Y-133787600D01* -X99144666Y-133794642D01* -X99133118Y-133804118D01* -X99123642Y-133815666D01* -X99116600Y-133828840D01* -X99112264Y-133843134D01* -X99110800Y-133858000D01* -X99009200Y-133858000D01* -X99007736Y-133843134D01* -X99003400Y-133828840D01* -X98996358Y-133815666D01* -X98986882Y-133804118D01* -X98975334Y-133794642D01* -X98962160Y-133787600D01* -X98947866Y-133783264D01* -X98933000Y-133781800D01* -X98552000Y-133781800D01* -X98537134Y-133783264D01* -X98522840Y-133787600D01* -X98509666Y-133794642D01* -X98498118Y-133804118D01* -X98488642Y-133815666D01* -X98481600Y-133828840D01* -X98477264Y-133843134D01* -X98475800Y-133858000D01* -X97104200Y-133858000D01* -X97102736Y-133843134D01* -X97098400Y-133828840D01* -X97091358Y-133815666D01* -X97081882Y-133804118D01* -X97070334Y-133794642D01* -X97057160Y-133787600D01* -X97042866Y-133783264D01* -X97028000Y-133781800D01* -X96647000Y-133781800D01* -X96632134Y-133783264D01* -X96617840Y-133787600D01* -X96604666Y-133794642D01* -X96593118Y-133804118D01* -X96583642Y-133815666D01* -X96576600Y-133828840D01* -X96572264Y-133843134D01* -X96570800Y-133858000D01* -X96469200Y-133858000D01* -X96467736Y-133843134D01* -X96463400Y-133828840D01* -X96456358Y-133815666D01* -X96446882Y-133804118D01* -X96435334Y-133794642D01* -X96422160Y-133787600D01* -X96407866Y-133783264D01* -X96393000Y-133781800D01* -X96012000Y-133781800D01* -X95997134Y-133783264D01* -X95982840Y-133787600D01* -X95969666Y-133794642D01* -X95958118Y-133804118D01* -X95948642Y-133815666D01* -X95941600Y-133828840D01* -X95937264Y-133843134D01* -X95935800Y-133858000D01* -X94564200Y-133858000D01* -X94562736Y-133843134D01* -X94558400Y-133828840D01* -X94551358Y-133815666D01* -X94541882Y-133804118D01* -X94530334Y-133794642D01* -X94517160Y-133787600D01* -X94502866Y-133783264D01* -X94488000Y-133781800D01* -X94107000Y-133781800D01* -X94092134Y-133783264D01* -X94077840Y-133787600D01* -X94064666Y-133794642D01* -X94053118Y-133804118D01* -X94043642Y-133815666D01* -X94036600Y-133828840D01* -X94032264Y-133843134D01* -X94030800Y-133858000D01* -X93929200Y-133858000D01* -X93927736Y-133843134D01* -X93923400Y-133828840D01* -X93916358Y-133815666D01* -X93906882Y-133804118D01* -X93895334Y-133794642D01* -X93882160Y-133787600D01* -X93867866Y-133783264D01* -X93853000Y-133781800D01* -X93472000Y-133781800D01* -X93457134Y-133783264D01* -X93442840Y-133787600D01* -X93429666Y-133794642D01* -X93418118Y-133804118D01* -X93408642Y-133815666D01* -X93401600Y-133828840D01* -X93397264Y-133843134D01* -X93395800Y-133858000D01* -X92024200Y-133858000D01* -X92022736Y-133843134D01* -X92018400Y-133828840D01* -X92011358Y-133815666D01* -X92001882Y-133804118D01* -X91990334Y-133794642D01* -X91977160Y-133787600D01* -X91962866Y-133783264D01* -X91948000Y-133781800D01* -X91567000Y-133781800D01* -X91552134Y-133783264D01* -X91537840Y-133787600D01* -X91524666Y-133794642D01* -X91513118Y-133804118D01* -X91503642Y-133815666D01* -X91496600Y-133828840D01* -X91492264Y-133843134D01* -X91490800Y-133858000D01* -X91389200Y-133858000D01* -X91387736Y-133843134D01* -X91383400Y-133828840D01* -X91376358Y-133815666D01* -X91366882Y-133804118D01* -X91355334Y-133794642D01* -X91342160Y-133787600D01* -X91327866Y-133783264D01* -X91313000Y-133781800D01* -X90932000Y-133781800D01* -X90917134Y-133783264D01* -X90902840Y-133787600D01* -X90889666Y-133794642D01* -X90878118Y-133804118D01* -X90868642Y-133815666D01* -X90861600Y-133828840D01* -X90857264Y-133843134D01* -X90855800Y-133858000D01* -X89484200Y-133858000D01* -X89482736Y-133843134D01* -X89478400Y-133828840D01* -X89471358Y-133815666D01* -X89461882Y-133804118D01* -X89450334Y-133794642D01* -X89437160Y-133787600D01* -X89422866Y-133783264D01* -X89408000Y-133781800D01* -X89027000Y-133781800D01* -X89012134Y-133783264D01* -X88997840Y-133787600D01* -X88984666Y-133794642D01* -X88973118Y-133804118D01* -X88963642Y-133815666D01* -X88956600Y-133828840D01* -X88952264Y-133843134D01* -X88950800Y-133858000D01* -X88849200Y-133858000D01* -X88847736Y-133843134D01* -X88843400Y-133828840D01* -X88836358Y-133815666D01* -X88826882Y-133804118D01* -X88815334Y-133794642D01* -X88802160Y-133787600D01* -X88787866Y-133783264D01* -X88773000Y-133781800D01* -X88392000Y-133781800D01* -X88377134Y-133783264D01* -X88362840Y-133787600D01* -X88349666Y-133794642D01* -X88338118Y-133804118D01* -X88328642Y-133815666D01* -X88321600Y-133828840D01* -X88317264Y-133843134D01* -X88315800Y-133858000D01* -X86944200Y-133858000D01* -X86942736Y-133843134D01* -X86938400Y-133828840D01* -X86931358Y-133815666D01* -X86921882Y-133804118D01* -X86910334Y-133794642D01* -X86897160Y-133787600D01* -X86882866Y-133783264D01* -X86868000Y-133781800D01* -X86487000Y-133781800D01* -X86472134Y-133783264D01* -X86457840Y-133787600D01* -X86444666Y-133794642D01* -X86433118Y-133804118D01* -X86423642Y-133815666D01* -X86416600Y-133828840D01* -X86412264Y-133843134D01* -X86410800Y-133858000D01* -X86309200Y-133858000D01* -X86307736Y-133843134D01* -X86303400Y-133828840D01* -X86296358Y-133815666D01* -X86286882Y-133804118D01* -X86275334Y-133794642D01* -X86262160Y-133787600D01* -X86247866Y-133783264D01* -X86233000Y-133781800D01* -X85852000Y-133781800D01* -X85837134Y-133783264D01* -X85822840Y-133787600D01* -X85809666Y-133794642D01* -X85798118Y-133804118D01* -X85788642Y-133815666D01* -X85781600Y-133828840D01* -X85777264Y-133843134D01* -X85775800Y-133858000D01* -X84404200Y-133858000D01* -X84402736Y-133843134D01* -X84398400Y-133828840D01* -X84391358Y-133815666D01* -X84381882Y-133804118D01* -X84370334Y-133794642D01* -X84357160Y-133787600D01* -X84342866Y-133783264D01* -X84328000Y-133781800D01* -X83947000Y-133781800D01* -X83932134Y-133783264D01* -X83917840Y-133787600D01* -X83904666Y-133794642D01* -X83893118Y-133804118D01* -X83883642Y-133815666D01* -X83876600Y-133828840D01* -X83872264Y-133843134D01* -X83870800Y-133858000D01* -X83769200Y-133858000D01* -X83767736Y-133843134D01* -X83763400Y-133828840D01* -X83756358Y-133815666D01* -X83746882Y-133804118D01* -X83735334Y-133794642D01* -X83722160Y-133787600D01* -X83707866Y-133783264D01* -X83693000Y-133781800D01* -X83312000Y-133781800D01* -X83297134Y-133783264D01* -X83282840Y-133787600D01* -X83269666Y-133794642D01* -X83258118Y-133804118D01* -X83248642Y-133815666D01* -X83241600Y-133828840D01* -X83237264Y-133843134D01* -X83235800Y-133858000D01* -X81864200Y-133858000D01* -X81862736Y-133843134D01* -X81858400Y-133828840D01* -X81851358Y-133815666D01* -X81841882Y-133804118D01* -X81830334Y-133794642D01* -X81817160Y-133787600D01* -X81802866Y-133783264D01* -X81788000Y-133781800D01* -X81407000Y-133781800D01* -X81392134Y-133783264D01* -X81377840Y-133787600D01* -X81364666Y-133794642D01* -X81353118Y-133804118D01* -X81343642Y-133815666D01* -X81336600Y-133828840D01* -X81332264Y-133843134D01* -X81330800Y-133858000D01* -X81229200Y-133858000D01* -X81227736Y-133843134D01* -X81223400Y-133828840D01* -X81216358Y-133815666D01* -X81206882Y-133804118D01* -X81195334Y-133794642D01* -X81182160Y-133787600D01* -X81167866Y-133783264D01* -X81153000Y-133781800D01* -X80772000Y-133781800D01* -X80757134Y-133783264D01* -X80742840Y-133787600D01* -X80729666Y-133794642D01* -X80718118Y-133804118D01* -X80708642Y-133815666D01* -X80701600Y-133828840D01* -X80697264Y-133843134D01* -X80695800Y-133858000D01* -X79324200Y-133858000D01* -X79322736Y-133843134D01* -X79318400Y-133828840D01* -X79311358Y-133815666D01* -X79301882Y-133804118D01* -X79290334Y-133794642D01* -X79277160Y-133787600D01* -X79262866Y-133783264D01* -X79248000Y-133781800D01* -X78867000Y-133781800D01* -X78852134Y-133783264D01* -X78837840Y-133787600D01* -X78824666Y-133794642D01* -X78813118Y-133804118D01* -X78803642Y-133815666D01* -X78796600Y-133828840D01* -X78792264Y-133843134D01* -X78790800Y-133858000D01* -X78689200Y-133858000D01* -X78687736Y-133843134D01* -X78683400Y-133828840D01* -X78676358Y-133815666D01* -X78666882Y-133804118D01* -X78655334Y-133794642D01* -X78642160Y-133787600D01* -X78627866Y-133783264D01* -X78613000Y-133781800D01* -X78232000Y-133781800D01* -X78217134Y-133783264D01* -X78202840Y-133787600D01* -X78189666Y-133794642D01* -X78178118Y-133804118D01* -X78168642Y-133815666D01* -X78161600Y-133828840D01* -X78157264Y-133843134D01* -X78155800Y-133858000D01* -X74498200Y-133858000D01* -X74498200Y-133223000D01* -X78155800Y-133223000D01* -X78155800Y-133604000D01* -X78157264Y-133618866D01* -X78161600Y-133633160D01* -X78168642Y-133646334D01* -X78178118Y-133657882D01* -X78189666Y-133667358D01* -X78202840Y-133674400D01* -X78217134Y-133678736D01* -X78232000Y-133680200D01* -X78613000Y-133680200D01* -X78627866Y-133678736D01* -X78642160Y-133674400D01* -X78655334Y-133667358D01* -X78666882Y-133657882D01* -X78676358Y-133646334D01* -X78683400Y-133633160D01* -X78687736Y-133618866D01* -X78689200Y-133604000D01* -X78689200Y-133223000D01* -X78790800Y-133223000D01* -X78790800Y-133604000D01* -X78792264Y-133618866D01* -X78796600Y-133633160D01* -X78803642Y-133646334D01* -X78813118Y-133657882D01* -X78824666Y-133667358D01* -X78837840Y-133674400D01* -X78852134Y-133678736D01* -X78867000Y-133680200D01* -X79248000Y-133680200D01* -X79262866Y-133678736D01* -X79277160Y-133674400D01* -X79290334Y-133667358D01* -X79301882Y-133657882D01* -X79311358Y-133646334D01* -X79318400Y-133633160D01* -X79322736Y-133618866D01* -X79324200Y-133604000D01* -X79324200Y-133223000D01* -X80695800Y-133223000D01* -X80695800Y-133604000D01* -X80697264Y-133618866D01* -X80701600Y-133633160D01* -X80708642Y-133646334D01* -X80718118Y-133657882D01* -X80729666Y-133667358D01* -X80742840Y-133674400D01* -X80757134Y-133678736D01* -X80772000Y-133680200D01* -X81153000Y-133680200D01* -X81167866Y-133678736D01* -X81182160Y-133674400D01* -X81195334Y-133667358D01* -X81206882Y-133657882D01* -X81216358Y-133646334D01* -X81223400Y-133633160D01* -X81227736Y-133618866D01* -X81229200Y-133604000D01* -X81229200Y-133223000D01* -X81330800Y-133223000D01* -X81330800Y-133604000D01* -X81332264Y-133618866D01* -X81336600Y-133633160D01* -X81343642Y-133646334D01* -X81353118Y-133657882D01* -X81364666Y-133667358D01* -X81377840Y-133674400D01* -X81392134Y-133678736D01* -X81407000Y-133680200D01* -X81788000Y-133680200D01* -X81802866Y-133678736D01* -X81817160Y-133674400D01* -X81830334Y-133667358D01* -X81841882Y-133657882D01* -X81851358Y-133646334D01* -X81858400Y-133633160D01* -X81862736Y-133618866D01* -X81864200Y-133604000D01* -X81864200Y-133223000D01* -X83235800Y-133223000D01* -X83235800Y-133604000D01* -X83237264Y-133618866D01* -X83241600Y-133633160D01* -X83248642Y-133646334D01* -X83258118Y-133657882D01* -X83269666Y-133667358D01* -X83282840Y-133674400D01* -X83297134Y-133678736D01* -X83312000Y-133680200D01* -X83693000Y-133680200D01* -X83707866Y-133678736D01* -X83722160Y-133674400D01* -X83735334Y-133667358D01* -X83746882Y-133657882D01* -X83756358Y-133646334D01* -X83763400Y-133633160D01* -X83767736Y-133618866D01* -X83769200Y-133604000D01* -X83769200Y-133223000D01* -X83870800Y-133223000D01* -X83870800Y-133604000D01* -X83872264Y-133618866D01* -X83876600Y-133633160D01* -X83883642Y-133646334D01* -X83893118Y-133657882D01* -X83904666Y-133667358D01* -X83917840Y-133674400D01* -X83932134Y-133678736D01* -X83947000Y-133680200D01* -X84328000Y-133680200D01* -X84342866Y-133678736D01* -X84357160Y-133674400D01* -X84370334Y-133667358D01* -X84381882Y-133657882D01* -X84391358Y-133646334D01* -X84398400Y-133633160D01* -X84402736Y-133618866D01* -X84404200Y-133604000D01* -X84404200Y-133223000D01* -X85775800Y-133223000D01* -X85775800Y-133604000D01* -X85777264Y-133618866D01* -X85781600Y-133633160D01* -X85788642Y-133646334D01* -X85798118Y-133657882D01* -X85809666Y-133667358D01* -X85822840Y-133674400D01* -X85837134Y-133678736D01* -X85852000Y-133680200D01* -X86233000Y-133680200D01* -X86247866Y-133678736D01* -X86262160Y-133674400D01* -X86275334Y-133667358D01* -X86286882Y-133657882D01* -X86296358Y-133646334D01* -X86303400Y-133633160D01* -X86307736Y-133618866D01* -X86309200Y-133604000D01* -X86309200Y-133223000D01* -X86410800Y-133223000D01* -X86410800Y-133604000D01* -X86412264Y-133618866D01* -X86416600Y-133633160D01* -X86423642Y-133646334D01* -X86433118Y-133657882D01* -X86444666Y-133667358D01* -X86457840Y-133674400D01* -X86472134Y-133678736D01* -X86487000Y-133680200D01* -X86868000Y-133680200D01* -X86882866Y-133678736D01* -X86897160Y-133674400D01* -X86910334Y-133667358D01* -X86921882Y-133657882D01* -X86931358Y-133646334D01* -X86938400Y-133633160D01* -X86942736Y-133618866D01* -X86944200Y-133604000D01* -X86944200Y-133223000D01* -X88315800Y-133223000D01* -X88315800Y-133604000D01* -X88317264Y-133618866D01* -X88321600Y-133633160D01* -X88328642Y-133646334D01* -X88338118Y-133657882D01* -X88349666Y-133667358D01* -X88362840Y-133674400D01* -X88377134Y-133678736D01* -X88392000Y-133680200D01* -X88773000Y-133680200D01* -X88787866Y-133678736D01* -X88802160Y-133674400D01* -X88815334Y-133667358D01* -X88826882Y-133657882D01* -X88836358Y-133646334D01* -X88843400Y-133633160D01* -X88847736Y-133618866D01* -X88849200Y-133604000D01* -X88849200Y-133223000D01* -X88950800Y-133223000D01* -X88950800Y-133604000D01* -X88952264Y-133618866D01* -X88956600Y-133633160D01* -X88963642Y-133646334D01* -X88973118Y-133657882D01* -X88984666Y-133667358D01* -X88997840Y-133674400D01* -X89012134Y-133678736D01* -X89027000Y-133680200D01* -X89408000Y-133680200D01* -X89422866Y-133678736D01* -X89437160Y-133674400D01* -X89450334Y-133667358D01* -X89461882Y-133657882D01* -X89471358Y-133646334D01* -X89478400Y-133633160D01* -X89482736Y-133618866D01* -X89484200Y-133604000D01* -X89484200Y-133223000D01* -X90855800Y-133223000D01* -X90855800Y-133604000D01* -X90857264Y-133618866D01* -X90861600Y-133633160D01* -X90868642Y-133646334D01* -X90878118Y-133657882D01* -X90889666Y-133667358D01* -X90902840Y-133674400D01* -X90917134Y-133678736D01* -X90932000Y-133680200D01* -X91313000Y-133680200D01* -X91327866Y-133678736D01* -X91342160Y-133674400D01* -X91355334Y-133667358D01* -X91366882Y-133657882D01* -X91376358Y-133646334D01* -X91383400Y-133633160D01* -X91387736Y-133618866D01* -X91389200Y-133604000D01* -X91389200Y-133223000D01* -X91490800Y-133223000D01* -X91490800Y-133604000D01* -X91492264Y-133618866D01* -X91496600Y-133633160D01* -X91503642Y-133646334D01* -X91513118Y-133657882D01* -X91524666Y-133667358D01* -X91537840Y-133674400D01* -X91552134Y-133678736D01* -X91567000Y-133680200D01* -X91948000Y-133680200D01* -X91962866Y-133678736D01* -X91977160Y-133674400D01* -X91990334Y-133667358D01* -X92001882Y-133657882D01* -X92011358Y-133646334D01* -X92018400Y-133633160D01* -X92022736Y-133618866D01* -X92024200Y-133604000D01* -X92024200Y-133223000D01* -X93395800Y-133223000D01* -X93395800Y-133604000D01* -X93397264Y-133618866D01* -X93401600Y-133633160D01* -X93408642Y-133646334D01* -X93418118Y-133657882D01* -X93429666Y-133667358D01* -X93442840Y-133674400D01* -X93457134Y-133678736D01* -X93472000Y-133680200D01* -X93853000Y-133680200D01* -X93867866Y-133678736D01* -X93882160Y-133674400D01* -X93895334Y-133667358D01* -X93906882Y-133657882D01* -X93916358Y-133646334D01* -X93923400Y-133633160D01* -X93927736Y-133618866D01* -X93929200Y-133604000D01* -X93929200Y-133223000D01* -X94030800Y-133223000D01* -X94030800Y-133604000D01* -X94032264Y-133618866D01* -X94036600Y-133633160D01* -X94043642Y-133646334D01* -X94053118Y-133657882D01* -X94064666Y-133667358D01* -X94077840Y-133674400D01* -X94092134Y-133678736D01* -X94107000Y-133680200D01* -X94488000Y-133680200D01* -X94502866Y-133678736D01* -X94517160Y-133674400D01* -X94530334Y-133667358D01* -X94541882Y-133657882D01* -X94551358Y-133646334D01* -X94558400Y-133633160D01* -X94562736Y-133618866D01* -X94564200Y-133604000D01* -X94564200Y-133223000D01* -X95935800Y-133223000D01* -X95935800Y-133604000D01* -X95937264Y-133618866D01* -X95941600Y-133633160D01* -X95948642Y-133646334D01* -X95958118Y-133657882D01* -X95969666Y-133667358D01* -X95982840Y-133674400D01* -X95997134Y-133678736D01* -X96012000Y-133680200D01* -X96393000Y-133680200D01* -X96407866Y-133678736D01* -X96422160Y-133674400D01* -X96435334Y-133667358D01* -X96446882Y-133657882D01* -X96456358Y-133646334D01* -X96463400Y-133633160D01* -X96467736Y-133618866D01* -X96469200Y-133604000D01* -X96469200Y-133223000D01* -X96570800Y-133223000D01* -X96570800Y-133604000D01* -X96572264Y-133618866D01* -X96576600Y-133633160D01* -X96583642Y-133646334D01* -X96593118Y-133657882D01* -X96604666Y-133667358D01* -X96617840Y-133674400D01* -X96632134Y-133678736D01* -X96647000Y-133680200D01* -X97028000Y-133680200D01* -X97042866Y-133678736D01* -X97057160Y-133674400D01* -X97070334Y-133667358D01* -X97081882Y-133657882D01* -X97091358Y-133646334D01* -X97098400Y-133633160D01* -X97102736Y-133618866D01* -X97104200Y-133604000D01* -X97104200Y-133223000D01* -X98475800Y-133223000D01* -X98475800Y-133604000D01* -X98477264Y-133618866D01* -X98481600Y-133633160D01* -X98488642Y-133646334D01* -X98498118Y-133657882D01* -X98509666Y-133667358D01* -X98522840Y-133674400D01* -X98537134Y-133678736D01* -X98552000Y-133680200D01* -X98933000Y-133680200D01* -X98947866Y-133678736D01* -X98962160Y-133674400D01* -X98975334Y-133667358D01* -X98986882Y-133657882D01* -X98996358Y-133646334D01* -X99003400Y-133633160D01* -X99007736Y-133618866D01* -X99009200Y-133604000D01* -X99009200Y-133223000D01* -X99110800Y-133223000D01* -X99110800Y-133604000D01* -X99112264Y-133618866D01* -X99116600Y-133633160D01* -X99123642Y-133646334D01* -X99133118Y-133657882D01* -X99144666Y-133667358D01* -X99157840Y-133674400D01* -X99172134Y-133678736D01* -X99187000Y-133680200D01* -X99568000Y-133680200D01* -X99582866Y-133678736D01* -X99597160Y-133674400D01* -X99610334Y-133667358D01* -X99621882Y-133657882D01* -X99631358Y-133646334D01* -X99638400Y-133633160D01* -X99642736Y-133618866D01* -X99644200Y-133604000D01* -X99644200Y-133223000D01* -X101015800Y-133223000D01* -X101015800Y-133604000D01* -X101017264Y-133618866D01* -X101021600Y-133633160D01* -X101028642Y-133646334D01* -X101038118Y-133657882D01* -X101049666Y-133667358D01* -X101062840Y-133674400D01* -X101077134Y-133678736D01* -X101092000Y-133680200D01* -X101473000Y-133680200D01* -X101487866Y-133678736D01* -X101502160Y-133674400D01* -X101515334Y-133667358D01* -X101526882Y-133657882D01* -X101536358Y-133646334D01* -X101543400Y-133633160D01* -X101547736Y-133618866D01* -X101549200Y-133604000D01* -X101549200Y-133223000D01* -X101650800Y-133223000D01* -X101650800Y-133604000D01* -X101652264Y-133618866D01* -X101656600Y-133633160D01* -X101663642Y-133646334D01* -X101673118Y-133657882D01* -X101684666Y-133667358D01* -X101697840Y-133674400D01* -X101712134Y-133678736D01* -X101727000Y-133680200D01* -X102108000Y-133680200D01* -X102122866Y-133678736D01* -X102137160Y-133674400D01* -X102150334Y-133667358D01* -X102161882Y-133657882D01* -X102171358Y-133646334D01* -X102178400Y-133633160D01* -X102182736Y-133618866D01* -X102184200Y-133604000D01* -X102184200Y-133223000D01* -X103555800Y-133223000D01* -X103555800Y-133604000D01* -X103557264Y-133618866D01* -X103561600Y-133633160D01* -X103568642Y-133646334D01* -X103578118Y-133657882D01* -X103589666Y-133667358D01* -X103602840Y-133674400D01* -X103617134Y-133678736D01* -X103632000Y-133680200D01* -X104013000Y-133680200D01* -X104027866Y-133678736D01* -X104042160Y-133674400D01* -X104055334Y-133667358D01* -X104066882Y-133657882D01* -X104076358Y-133646334D01* -X104083400Y-133633160D01* -X104087736Y-133618866D01* -X104089200Y-133604000D01* -X104089200Y-133223000D01* -X104190800Y-133223000D01* -X104190800Y-133604000D01* -X104192264Y-133618866D01* -X104196600Y-133633160D01* -X104203642Y-133646334D01* -X104213118Y-133657882D01* -X104224666Y-133667358D01* -X104237840Y-133674400D01* -X104252134Y-133678736D01* -X104267000Y-133680200D01* -X104648000Y-133680200D01* -X104662866Y-133678736D01* -X104677160Y-133674400D01* -X104690334Y-133667358D01* -X104701882Y-133657882D01* -X104711358Y-133646334D01* -X104718400Y-133633160D01* -X104722736Y-133618866D01* -X104724200Y-133604000D01* -X104724200Y-133223000D01* -X106095800Y-133223000D01* -X106095800Y-133604000D01* -X106097264Y-133618866D01* -X106101600Y-133633160D01* -X106108642Y-133646334D01* -X106118118Y-133657882D01* -X106129666Y-133667358D01* -X106142840Y-133674400D01* -X106157134Y-133678736D01* -X106172000Y-133680200D01* -X106553000Y-133680200D01* -X106567866Y-133678736D01* -X106582160Y-133674400D01* -X106595334Y-133667358D01* -X106606882Y-133657882D01* -X106616358Y-133646334D01* -X106623400Y-133633160D01* -X106627736Y-133618866D01* -X106629200Y-133604000D01* -X106629200Y-133223000D01* -X106730800Y-133223000D01* -X106730800Y-133604000D01* -X106732264Y-133618866D01* -X106736600Y-133633160D01* -X106743642Y-133646334D01* -X106753118Y-133657882D01* -X106764666Y-133667358D01* -X106777840Y-133674400D01* -X106792134Y-133678736D01* -X106807000Y-133680200D01* -X107188000Y-133680200D01* -X107202866Y-133678736D01* -X107217160Y-133674400D01* -X107230334Y-133667358D01* -X107241882Y-133657882D01* -X107251358Y-133646334D01* -X107258400Y-133633160D01* -X107262736Y-133618866D01* -X107264200Y-133604000D01* -X107264200Y-133223000D01* -X108635800Y-133223000D01* -X108635800Y-133604000D01* -X108637264Y-133618866D01* -X108641600Y-133633160D01* -X108648642Y-133646334D01* -X108658118Y-133657882D01* -X108669666Y-133667358D01* -X108682840Y-133674400D01* -X108697134Y-133678736D01* -X108712000Y-133680200D01* -X109093000Y-133680200D01* -X109107866Y-133678736D01* -X109122160Y-133674400D01* -X109135334Y-133667358D01* -X109146882Y-133657882D01* -X109156358Y-133646334D01* -X109163400Y-133633160D01* -X109167736Y-133618866D01* -X109169200Y-133604000D01* -X109169200Y-133223000D01* -X109270800Y-133223000D01* -X109270800Y-133604000D01* -X109272264Y-133618866D01* -X109276600Y-133633160D01* -X109283642Y-133646334D01* -X109293118Y-133657882D01* -X109304666Y-133667358D01* -X109317840Y-133674400D01* -X109332134Y-133678736D01* -X109347000Y-133680200D01* -X109728000Y-133680200D01* -X109742866Y-133678736D01* -X109757160Y-133674400D01* -X109770334Y-133667358D01* -X109781882Y-133657882D01* -X109791358Y-133646334D01* -X109798400Y-133633160D01* -X109802736Y-133618866D01* -X109804200Y-133604000D01* -X109804200Y-133223000D01* -X111175800Y-133223000D01* -X111175800Y-133604000D01* -X111177264Y-133618866D01* -X111181600Y-133633160D01* -X111188642Y-133646334D01* -X111198118Y-133657882D01* -X111209666Y-133667358D01* -X111222840Y-133674400D01* -X111237134Y-133678736D01* -X111252000Y-133680200D01* -X111633000Y-133680200D01* -X111647866Y-133678736D01* -X111662160Y-133674400D01* -X111675334Y-133667358D01* -X111686882Y-133657882D01* -X111696358Y-133646334D01* -X111703400Y-133633160D01* -X111707736Y-133618866D01* -X111709200Y-133604000D01* -X111709200Y-133223000D01* -X111810800Y-133223000D01* -X111810800Y-133604000D01* -X111812264Y-133618866D01* -X111816600Y-133633160D01* -X111823642Y-133646334D01* -X111833118Y-133657882D01* -X111844666Y-133667358D01* -X111857840Y-133674400D01* -X111872134Y-133678736D01* -X111887000Y-133680200D01* -X112268000Y-133680200D01* -X112282866Y-133678736D01* -X112297160Y-133674400D01* -X112310334Y-133667358D01* -X112321882Y-133657882D01* -X112331358Y-133646334D01* -X112338400Y-133633160D01* -X112342736Y-133618866D01* -X112344200Y-133604000D01* -X112344200Y-133223000D01* -X113715800Y-133223000D01* -X113715800Y-133604000D01* -X113717264Y-133618866D01* -X113721600Y-133633160D01* -X113728642Y-133646334D01* -X113738118Y-133657882D01* -X113749666Y-133667358D01* -X113762840Y-133674400D01* -X113777134Y-133678736D01* -X113792000Y-133680200D01* -X114173000Y-133680200D01* -X114187866Y-133678736D01* -X114202160Y-133674400D01* -X114215334Y-133667358D01* -X114226882Y-133657882D01* -X114236358Y-133646334D01* -X114243400Y-133633160D01* -X114247736Y-133618866D01* -X114249200Y-133604000D01* -X114249200Y-133223000D01* -X114350800Y-133223000D01* -X114350800Y-133604000D01* -X114352264Y-133618866D01* -X114356600Y-133633160D01* -X114363642Y-133646334D01* -X114373118Y-133657882D01* -X114384666Y-133667358D01* -X114397840Y-133674400D01* -X114412134Y-133678736D01* -X114427000Y-133680200D01* -X114808000Y-133680200D01* -X114822866Y-133678736D01* -X114837160Y-133674400D01* -X114850334Y-133667358D01* -X114861882Y-133657882D01* -X114871358Y-133646334D01* -X114878400Y-133633160D01* -X114882736Y-133618866D01* -X114884200Y-133604000D01* -X114884200Y-133223000D01* -X121335800Y-133223000D01* -X121335800Y-133604000D01* -X121337264Y-133618866D01* -X121341600Y-133633160D01* -X121348642Y-133646334D01* -X121358118Y-133657882D01* -X121369666Y-133667358D01* -X121382840Y-133674400D01* -X121397134Y-133678736D01* -X121412000Y-133680200D01* -X121793000Y-133680200D01* -X121807866Y-133678736D01* -X121822160Y-133674400D01* -X121835334Y-133667358D01* -X121846882Y-133657882D01* -X121856358Y-133646334D01* -X121863400Y-133633160D01* -X121867736Y-133618866D01* -X121869200Y-133604000D01* -X121869200Y-133223000D01* -X121970800Y-133223000D01* -X121970800Y-133604000D01* -X121972264Y-133618866D01* -X121976600Y-133633160D01* -X121983642Y-133646334D01* -X121993118Y-133657882D01* -X122004666Y-133667358D01* -X122017840Y-133674400D01* -X122032134Y-133678736D01* -X122047000Y-133680200D01* -X122428000Y-133680200D01* -X122442866Y-133678736D01* -X122457160Y-133674400D01* -X122470334Y-133667358D01* -X122481882Y-133657882D01* -X122491358Y-133646334D01* -X122498400Y-133633160D01* -X122502736Y-133618866D01* -X122504200Y-133604000D01* -X122504200Y-133223000D01* -X123875800Y-133223000D01* -X123875800Y-133604000D01* -X123877264Y-133618866D01* -X123881600Y-133633160D01* -X123888642Y-133646334D01* -X123898118Y-133657882D01* -X123909666Y-133667358D01* -X123922840Y-133674400D01* -X123937134Y-133678736D01* -X123952000Y-133680200D01* -X124333000Y-133680200D01* -X124347866Y-133678736D01* -X124362160Y-133674400D01* -X124375334Y-133667358D01* -X124386882Y-133657882D01* -X124396358Y-133646334D01* -X124403400Y-133633160D01* -X124407736Y-133618866D01* -X124409200Y-133604000D01* -X124409200Y-133223000D01* -X124510800Y-133223000D01* -X124510800Y-133604000D01* -X124512264Y-133618866D01* -X124516600Y-133633160D01* -X124523642Y-133646334D01* -X124533118Y-133657882D01* -X124544666Y-133667358D01* -X124557840Y-133674400D01* -X124572134Y-133678736D01* -X124587000Y-133680200D01* -X124968000Y-133680200D01* -X124982866Y-133678736D01* -X124997160Y-133674400D01* -X125010334Y-133667358D01* -X125021882Y-133657882D01* -X125031358Y-133646334D01* -X125038400Y-133633160D01* -X125042736Y-133618866D01* -X125044200Y-133604000D01* -X125044200Y-133223000D01* -X126415800Y-133223000D01* -X126415800Y-133604000D01* -X126417264Y-133618866D01* -X126421600Y-133633160D01* -X126428642Y-133646334D01* -X126438118Y-133657882D01* -X126449666Y-133667358D01* -X126462840Y-133674400D01* -X126477134Y-133678736D01* -X126492000Y-133680200D01* -X126873000Y-133680200D01* -X126887866Y-133678736D01* -X126902160Y-133674400D01* -X126915334Y-133667358D01* -X126926882Y-133657882D01* -X126936358Y-133646334D01* -X126943400Y-133633160D01* -X126947736Y-133618866D01* -X126949200Y-133604000D01* -X126949200Y-133223000D01* -X127050800Y-133223000D01* -X127050800Y-133604000D01* -X127052264Y-133618866D01* -X127056600Y-133633160D01* -X127063642Y-133646334D01* -X127073118Y-133657882D01* -X127084666Y-133667358D01* -X127097840Y-133674400D01* -X127112134Y-133678736D01* -X127127000Y-133680200D01* -X127508000Y-133680200D01* -X127522866Y-133678736D01* -X127537160Y-133674400D01* -X127550334Y-133667358D01* -X127561882Y-133657882D01* -X127571358Y-133646334D01* -X127578400Y-133633160D01* -X127582736Y-133618866D01* -X127584200Y-133604000D01* -X127584200Y-133223000D01* -X128955800Y-133223000D01* -X128955800Y-133604000D01* -X128957264Y-133618866D01* -X128961600Y-133633160D01* -X128968642Y-133646334D01* -X128978118Y-133657882D01* -X128989666Y-133667358D01* -X129002840Y-133674400D01* -X129017134Y-133678736D01* -X129032000Y-133680200D01* -X129413000Y-133680200D01* -X129427866Y-133678736D01* -X129442160Y-133674400D01* -X129455334Y-133667358D01* -X129466882Y-133657882D01* -X129476358Y-133646334D01* -X129483400Y-133633160D01* -X129487736Y-133618866D01* -X129489200Y-133604000D01* -X129489200Y-133223000D01* -X129590800Y-133223000D01* -X129590800Y-133604000D01* -X129592264Y-133618866D01* -X129596600Y-133633160D01* -X129603642Y-133646334D01* -X129613118Y-133657882D01* -X129624666Y-133667358D01* -X129637840Y-133674400D01* -X129652134Y-133678736D01* -X129667000Y-133680200D01* -X130048000Y-133680200D01* -X130062866Y-133678736D01* -X130077160Y-133674400D01* -X130090334Y-133667358D01* -X130101882Y-133657882D01* -X130111358Y-133646334D01* -X130118400Y-133633160D01* -X130122736Y-133618866D01* -X130124200Y-133604000D01* -X130124200Y-133223000D01* -X131495800Y-133223000D01* -X131495800Y-133604000D01* -X131497264Y-133618866D01* -X131501600Y-133633160D01* -X131508642Y-133646334D01* -X131518118Y-133657882D01* -X131529666Y-133667358D01* -X131542840Y-133674400D01* -X131557134Y-133678736D01* -X131572000Y-133680200D01* -X131953000Y-133680200D01* -X131967866Y-133678736D01* -X131982160Y-133674400D01* -X131995334Y-133667358D01* -X132006882Y-133657882D01* -X132016358Y-133646334D01* -X132023400Y-133633160D01* -X132027736Y-133618866D01* -X132029200Y-133604000D01* -X132029200Y-133223000D01* -X132130800Y-133223000D01* -X132130800Y-133604000D01* -X132132264Y-133618866D01* -X132136600Y-133633160D01* -X132143642Y-133646334D01* -X132153118Y-133657882D01* -X132164666Y-133667358D01* -X132177840Y-133674400D01* -X132192134Y-133678736D01* -X132207000Y-133680200D01* -X132588000Y-133680200D01* -X132602866Y-133678736D01* -X132617160Y-133674400D01* -X132630334Y-133667358D01* -X132641882Y-133657882D01* -X132651358Y-133646334D01* -X132658400Y-133633160D01* -X132662736Y-133618866D01* -X132664200Y-133604000D01* -X132664200Y-133223000D01* -X134035800Y-133223000D01* -X134035800Y-133604000D01* -X134037264Y-133618866D01* -X134041600Y-133633160D01* -X134048642Y-133646334D01* -X134058118Y-133657882D01* -X134069666Y-133667358D01* -X134082840Y-133674400D01* -X134097134Y-133678736D01* -X134112000Y-133680200D01* -X134493000Y-133680200D01* -X134507866Y-133678736D01* -X134522160Y-133674400D01* -X134535334Y-133667358D01* -X134546882Y-133657882D01* -X134556358Y-133646334D01* -X134563400Y-133633160D01* -X134567736Y-133618866D01* -X134569200Y-133604000D01* -X134569200Y-133223000D01* -X134670800Y-133223000D01* -X134670800Y-133604000D01* -X134672264Y-133618866D01* -X134676600Y-133633160D01* -X134683642Y-133646334D01* -X134693118Y-133657882D01* -X134704666Y-133667358D01* -X134717840Y-133674400D01* -X134732134Y-133678736D01* -X134747000Y-133680200D01* -X135128000Y-133680200D01* -X135142866Y-133678736D01* -X135157160Y-133674400D01* -X135170334Y-133667358D01* -X135181882Y-133657882D01* -X135191358Y-133646334D01* -X135198400Y-133633160D01* -X135202736Y-133618866D01* -X135204200Y-133604000D01* -X135204200Y-133223000D01* -X135202736Y-133208134D01* -X135198400Y-133193840D01* -X135191358Y-133180666D01* -X135181882Y-133169118D01* -X135170334Y-133159642D01* -X135157160Y-133152600D01* -X135142866Y-133148264D01* -X135128000Y-133146800D01* -X134747000Y-133146800D01* -X134732134Y-133148264D01* -X134717840Y-133152600D01* -X134704666Y-133159642D01* -X134693118Y-133169118D01* -X134683642Y-133180666D01* -X134676600Y-133193840D01* -X134672264Y-133208134D01* -X134670800Y-133223000D01* -X134569200Y-133223000D01* -X134567736Y-133208134D01* -X134563400Y-133193840D01* -X134556358Y-133180666D01* -X134546882Y-133169118D01* -X134535334Y-133159642D01* -X134522160Y-133152600D01* -X134507866Y-133148264D01* -X134493000Y-133146800D01* -X134112000Y-133146800D01* -X134097134Y-133148264D01* -X134082840Y-133152600D01* -X134069666Y-133159642D01* -X134058118Y-133169118D01* -X134048642Y-133180666D01* -X134041600Y-133193840D01* -X134037264Y-133208134D01* -X134035800Y-133223000D01* -X132664200Y-133223000D01* -X132662736Y-133208134D01* -X132658400Y-133193840D01* -X132651358Y-133180666D01* -X132641882Y-133169118D01* -X132630334Y-133159642D01* -X132617160Y-133152600D01* -X132602866Y-133148264D01* -X132588000Y-133146800D01* -X132207000Y-133146800D01* -X132192134Y-133148264D01* -X132177840Y-133152600D01* -X132164666Y-133159642D01* -X132153118Y-133169118D01* -X132143642Y-133180666D01* -X132136600Y-133193840D01* -X132132264Y-133208134D01* -X132130800Y-133223000D01* -X132029200Y-133223000D01* -X132027736Y-133208134D01* -X132023400Y-133193840D01* -X132016358Y-133180666D01* -X132006882Y-133169118D01* -X131995334Y-133159642D01* -X131982160Y-133152600D01* -X131967866Y-133148264D01* -X131953000Y-133146800D01* -X131572000Y-133146800D01* -X131557134Y-133148264D01* -X131542840Y-133152600D01* -X131529666Y-133159642D01* -X131518118Y-133169118D01* -X131508642Y-133180666D01* -X131501600Y-133193840D01* -X131497264Y-133208134D01* -X131495800Y-133223000D01* -X130124200Y-133223000D01* -X130122736Y-133208134D01* -X130118400Y-133193840D01* -X130111358Y-133180666D01* -X130101882Y-133169118D01* -X130090334Y-133159642D01* -X130077160Y-133152600D01* -X130062866Y-133148264D01* -X130048000Y-133146800D01* -X129667000Y-133146800D01* -X129652134Y-133148264D01* -X129637840Y-133152600D01* -X129624666Y-133159642D01* -X129613118Y-133169118D01* -X129603642Y-133180666D01* -X129596600Y-133193840D01* -X129592264Y-133208134D01* -X129590800Y-133223000D01* -X129489200Y-133223000D01* -X129487736Y-133208134D01* -X129483400Y-133193840D01* -X129476358Y-133180666D01* -X129466882Y-133169118D01* -X129455334Y-133159642D01* -X129442160Y-133152600D01* -X129427866Y-133148264D01* -X129413000Y-133146800D01* -X129032000Y-133146800D01* -X129017134Y-133148264D01* -X129002840Y-133152600D01* -X128989666Y-133159642D01* -X128978118Y-133169118D01* -X128968642Y-133180666D01* -X128961600Y-133193840D01* -X128957264Y-133208134D01* -X128955800Y-133223000D01* -X127584200Y-133223000D01* -X127582736Y-133208134D01* -X127578400Y-133193840D01* -X127571358Y-133180666D01* -X127561882Y-133169118D01* -X127550334Y-133159642D01* -X127537160Y-133152600D01* -X127522866Y-133148264D01* -X127508000Y-133146800D01* -X127127000Y-133146800D01* -X127112134Y-133148264D01* -X127097840Y-133152600D01* -X127084666Y-133159642D01* -X127073118Y-133169118D01* -X127063642Y-133180666D01* -X127056600Y-133193840D01* -X127052264Y-133208134D01* -X127050800Y-133223000D01* -X126949200Y-133223000D01* -X126947736Y-133208134D01* -X126943400Y-133193840D01* -X126936358Y-133180666D01* -X126926882Y-133169118D01* -X126915334Y-133159642D01* -X126902160Y-133152600D01* -X126887866Y-133148264D01* -X126873000Y-133146800D01* -X126492000Y-133146800D01* -X126477134Y-133148264D01* -X126462840Y-133152600D01* -X126449666Y-133159642D01* -X126438118Y-133169118D01* -X126428642Y-133180666D01* -X126421600Y-133193840D01* -X126417264Y-133208134D01* -X126415800Y-133223000D01* -X125044200Y-133223000D01* -X125042736Y-133208134D01* -X125038400Y-133193840D01* -X125031358Y-133180666D01* -X125021882Y-133169118D01* -X125010334Y-133159642D01* -X124997160Y-133152600D01* -X124982866Y-133148264D01* -X124968000Y-133146800D01* -X124587000Y-133146800D01* -X124572134Y-133148264D01* -X124557840Y-133152600D01* -X124544666Y-133159642D01* -X124533118Y-133169118D01* -X124523642Y-133180666D01* -X124516600Y-133193840D01* -X124512264Y-133208134D01* -X124510800Y-133223000D01* -X124409200Y-133223000D01* -X124407736Y-133208134D01* -X124403400Y-133193840D01* -X124396358Y-133180666D01* -X124386882Y-133169118D01* -X124375334Y-133159642D01* -X124362160Y-133152600D01* -X124347866Y-133148264D01* -X124333000Y-133146800D01* -X123952000Y-133146800D01* -X123937134Y-133148264D01* -X123922840Y-133152600D01* -X123909666Y-133159642D01* -X123898118Y-133169118D01* -X123888642Y-133180666D01* -X123881600Y-133193840D01* -X123877264Y-133208134D01* -X123875800Y-133223000D01* -X122504200Y-133223000D01* -X122502736Y-133208134D01* -X122498400Y-133193840D01* -X122491358Y-133180666D01* -X122481882Y-133169118D01* -X122470334Y-133159642D01* -X122457160Y-133152600D01* -X122442866Y-133148264D01* -X122428000Y-133146800D01* -X122047000Y-133146800D01* -X122032134Y-133148264D01* -X122017840Y-133152600D01* -X122004666Y-133159642D01* -X121993118Y-133169118D01* -X121983642Y-133180666D01* -X121976600Y-133193840D01* -X121972264Y-133208134D01* -X121970800Y-133223000D01* -X121869200Y-133223000D01* -X121867736Y-133208134D01* -X121863400Y-133193840D01* -X121856358Y-133180666D01* -X121846882Y-133169118D01* -X121835334Y-133159642D01* -X121822160Y-133152600D01* -X121807866Y-133148264D01* -X121793000Y-133146800D01* -X121412000Y-133146800D01* -X121397134Y-133148264D01* -X121382840Y-133152600D01* -X121369666Y-133159642D01* -X121358118Y-133169118D01* -X121348642Y-133180666D01* -X121341600Y-133193840D01* -X121337264Y-133208134D01* -X121335800Y-133223000D01* -X114884200Y-133223000D01* -X114882736Y-133208134D01* -X114878400Y-133193840D01* -X114871358Y-133180666D01* -X114861882Y-133169118D01* -X114850334Y-133159642D01* -X114837160Y-133152600D01* -X114822866Y-133148264D01* -X114808000Y-133146800D01* -X114427000Y-133146800D01* -X114412134Y-133148264D01* -X114397840Y-133152600D01* -X114384666Y-133159642D01* -X114373118Y-133169118D01* -X114363642Y-133180666D01* -X114356600Y-133193840D01* -X114352264Y-133208134D01* -X114350800Y-133223000D01* -X114249200Y-133223000D01* -X114247736Y-133208134D01* -X114243400Y-133193840D01* -X114236358Y-133180666D01* -X114226882Y-133169118D01* -X114215334Y-133159642D01* -X114202160Y-133152600D01* -X114187866Y-133148264D01* -X114173000Y-133146800D01* -X113792000Y-133146800D01* -X113777134Y-133148264D01* -X113762840Y-133152600D01* -X113749666Y-133159642D01* -X113738118Y-133169118D01* -X113728642Y-133180666D01* -X113721600Y-133193840D01* -X113717264Y-133208134D01* -X113715800Y-133223000D01* -X112344200Y-133223000D01* -X112342736Y-133208134D01* -X112338400Y-133193840D01* -X112331358Y-133180666D01* -X112321882Y-133169118D01* -X112310334Y-133159642D01* -X112297160Y-133152600D01* -X112282866Y-133148264D01* -X112268000Y-133146800D01* -X111887000Y-133146800D01* -X111872134Y-133148264D01* -X111857840Y-133152600D01* -X111844666Y-133159642D01* -X111833118Y-133169118D01* -X111823642Y-133180666D01* -X111816600Y-133193840D01* -X111812264Y-133208134D01* -X111810800Y-133223000D01* -X111709200Y-133223000D01* -X111707736Y-133208134D01* -X111703400Y-133193840D01* -X111696358Y-133180666D01* -X111686882Y-133169118D01* -X111675334Y-133159642D01* -X111662160Y-133152600D01* -X111647866Y-133148264D01* -X111633000Y-133146800D01* -X111252000Y-133146800D01* -X111237134Y-133148264D01* -X111222840Y-133152600D01* -X111209666Y-133159642D01* -X111198118Y-133169118D01* -X111188642Y-133180666D01* -X111181600Y-133193840D01* -X111177264Y-133208134D01* -X111175800Y-133223000D01* -X109804200Y-133223000D01* -X109802736Y-133208134D01* -X109798400Y-133193840D01* -X109791358Y-133180666D01* -X109781882Y-133169118D01* -X109770334Y-133159642D01* -X109757160Y-133152600D01* -X109742866Y-133148264D01* -X109728000Y-133146800D01* -X109347000Y-133146800D01* -X109332134Y-133148264D01* -X109317840Y-133152600D01* -X109304666Y-133159642D01* -X109293118Y-133169118D01* -X109283642Y-133180666D01* -X109276600Y-133193840D01* -X109272264Y-133208134D01* -X109270800Y-133223000D01* -X109169200Y-133223000D01* -X109167736Y-133208134D01* -X109163400Y-133193840D01* -X109156358Y-133180666D01* -X109146882Y-133169118D01* -X109135334Y-133159642D01* -X109122160Y-133152600D01* -X109107866Y-133148264D01* -X109093000Y-133146800D01* -X108712000Y-133146800D01* -X108697134Y-133148264D01* -X108682840Y-133152600D01* -X108669666Y-133159642D01* -X108658118Y-133169118D01* -X108648642Y-133180666D01* -X108641600Y-133193840D01* -X108637264Y-133208134D01* -X108635800Y-133223000D01* -X107264200Y-133223000D01* -X107262736Y-133208134D01* -X107258400Y-133193840D01* -X107251358Y-133180666D01* -X107241882Y-133169118D01* -X107230334Y-133159642D01* -X107217160Y-133152600D01* -X107202866Y-133148264D01* -X107188000Y-133146800D01* -X106807000Y-133146800D01* -X106792134Y-133148264D01* -X106777840Y-133152600D01* -X106764666Y-133159642D01* -X106753118Y-133169118D01* -X106743642Y-133180666D01* -X106736600Y-133193840D01* -X106732264Y-133208134D01* -X106730800Y-133223000D01* -X106629200Y-133223000D01* -X106627736Y-133208134D01* -X106623400Y-133193840D01* -X106616358Y-133180666D01* -X106606882Y-133169118D01* -X106595334Y-133159642D01* -X106582160Y-133152600D01* -X106567866Y-133148264D01* -X106553000Y-133146800D01* -X106172000Y-133146800D01* -X106157134Y-133148264D01* -X106142840Y-133152600D01* -X106129666Y-133159642D01* -X106118118Y-133169118D01* -X106108642Y-133180666D01* -X106101600Y-133193840D01* -X106097264Y-133208134D01* -X106095800Y-133223000D01* -X104724200Y-133223000D01* -X104722736Y-133208134D01* -X104718400Y-133193840D01* -X104711358Y-133180666D01* -X104701882Y-133169118D01* -X104690334Y-133159642D01* -X104677160Y-133152600D01* -X104662866Y-133148264D01* -X104648000Y-133146800D01* -X104267000Y-133146800D01* -X104252134Y-133148264D01* -X104237840Y-133152600D01* -X104224666Y-133159642D01* -X104213118Y-133169118D01* -X104203642Y-133180666D01* -X104196600Y-133193840D01* -X104192264Y-133208134D01* -X104190800Y-133223000D01* -X104089200Y-133223000D01* -X104087736Y-133208134D01* -X104083400Y-133193840D01* -X104076358Y-133180666D01* -X104066882Y-133169118D01* -X104055334Y-133159642D01* -X104042160Y-133152600D01* -X104027866Y-133148264D01* -X104013000Y-133146800D01* -X103632000Y-133146800D01* -X103617134Y-133148264D01* -X103602840Y-133152600D01* -X103589666Y-133159642D01* -X103578118Y-133169118D01* -X103568642Y-133180666D01* -X103561600Y-133193840D01* -X103557264Y-133208134D01* -X103555800Y-133223000D01* -X102184200Y-133223000D01* -X102182736Y-133208134D01* -X102178400Y-133193840D01* -X102171358Y-133180666D01* -X102161882Y-133169118D01* -X102150334Y-133159642D01* -X102137160Y-133152600D01* -X102122866Y-133148264D01* -X102108000Y-133146800D01* -X101727000Y-133146800D01* -X101712134Y-133148264D01* -X101697840Y-133152600D01* -X101684666Y-133159642D01* -X101673118Y-133169118D01* -X101663642Y-133180666D01* -X101656600Y-133193840D01* -X101652264Y-133208134D01* -X101650800Y-133223000D01* -X101549200Y-133223000D01* -X101547736Y-133208134D01* -X101543400Y-133193840D01* -X101536358Y-133180666D01* -X101526882Y-133169118D01* -X101515334Y-133159642D01* -X101502160Y-133152600D01* -X101487866Y-133148264D01* -X101473000Y-133146800D01* -X101092000Y-133146800D01* -X101077134Y-133148264D01* -X101062840Y-133152600D01* -X101049666Y-133159642D01* -X101038118Y-133169118D01* -X101028642Y-133180666D01* -X101021600Y-133193840D01* -X101017264Y-133208134D01* -X101015800Y-133223000D01* -X99644200Y-133223000D01* -X99642736Y-133208134D01* -X99638400Y-133193840D01* -X99631358Y-133180666D01* -X99621882Y-133169118D01* -X99610334Y-133159642D01* -X99597160Y-133152600D01* -X99582866Y-133148264D01* -X99568000Y-133146800D01* -X99187000Y-133146800D01* -X99172134Y-133148264D01* -X99157840Y-133152600D01* -X99144666Y-133159642D01* -X99133118Y-133169118D01* -X99123642Y-133180666D01* -X99116600Y-133193840D01* -X99112264Y-133208134D01* -X99110800Y-133223000D01* -X99009200Y-133223000D01* -X99007736Y-133208134D01* -X99003400Y-133193840D01* -X98996358Y-133180666D01* -X98986882Y-133169118D01* -X98975334Y-133159642D01* -X98962160Y-133152600D01* -X98947866Y-133148264D01* -X98933000Y-133146800D01* -X98552000Y-133146800D01* -X98537134Y-133148264D01* -X98522840Y-133152600D01* -X98509666Y-133159642D01* -X98498118Y-133169118D01* -X98488642Y-133180666D01* -X98481600Y-133193840D01* -X98477264Y-133208134D01* -X98475800Y-133223000D01* -X97104200Y-133223000D01* -X97102736Y-133208134D01* -X97098400Y-133193840D01* -X97091358Y-133180666D01* -X97081882Y-133169118D01* -X97070334Y-133159642D01* -X97057160Y-133152600D01* -X97042866Y-133148264D01* -X97028000Y-133146800D01* -X96647000Y-133146800D01* -X96632134Y-133148264D01* -X96617840Y-133152600D01* -X96604666Y-133159642D01* -X96593118Y-133169118D01* -X96583642Y-133180666D01* -X96576600Y-133193840D01* -X96572264Y-133208134D01* -X96570800Y-133223000D01* -X96469200Y-133223000D01* -X96467736Y-133208134D01* -X96463400Y-133193840D01* -X96456358Y-133180666D01* -X96446882Y-133169118D01* -X96435334Y-133159642D01* -X96422160Y-133152600D01* -X96407866Y-133148264D01* -X96393000Y-133146800D01* -X96012000Y-133146800D01* -X95997134Y-133148264D01* -X95982840Y-133152600D01* -X95969666Y-133159642D01* -X95958118Y-133169118D01* -X95948642Y-133180666D01* -X95941600Y-133193840D01* -X95937264Y-133208134D01* -X95935800Y-133223000D01* -X94564200Y-133223000D01* -X94562736Y-133208134D01* -X94558400Y-133193840D01* -X94551358Y-133180666D01* -X94541882Y-133169118D01* -X94530334Y-133159642D01* -X94517160Y-133152600D01* -X94502866Y-133148264D01* -X94488000Y-133146800D01* -X94107000Y-133146800D01* -X94092134Y-133148264D01* -X94077840Y-133152600D01* -X94064666Y-133159642D01* -X94053118Y-133169118D01* -X94043642Y-133180666D01* -X94036600Y-133193840D01* -X94032264Y-133208134D01* -X94030800Y-133223000D01* -X93929200Y-133223000D01* -X93927736Y-133208134D01* -X93923400Y-133193840D01* -X93916358Y-133180666D01* -X93906882Y-133169118D01* -X93895334Y-133159642D01* -X93882160Y-133152600D01* -X93867866Y-133148264D01* -X93853000Y-133146800D01* -X93472000Y-133146800D01* -X93457134Y-133148264D01* -X93442840Y-133152600D01* -X93429666Y-133159642D01* -X93418118Y-133169118D01* -X93408642Y-133180666D01* -X93401600Y-133193840D01* -X93397264Y-133208134D01* -X93395800Y-133223000D01* -X92024200Y-133223000D01* -X92022736Y-133208134D01* -X92018400Y-133193840D01* -X92011358Y-133180666D01* -X92001882Y-133169118D01* -X91990334Y-133159642D01* -X91977160Y-133152600D01* -X91962866Y-133148264D01* -X91948000Y-133146800D01* -X91567000Y-133146800D01* -X91552134Y-133148264D01* -X91537840Y-133152600D01* -X91524666Y-133159642D01* -X91513118Y-133169118D01* -X91503642Y-133180666D01* -X91496600Y-133193840D01* -X91492264Y-133208134D01* -X91490800Y-133223000D01* -X91389200Y-133223000D01* -X91387736Y-133208134D01* -X91383400Y-133193840D01* -X91376358Y-133180666D01* -X91366882Y-133169118D01* -X91355334Y-133159642D01* -X91342160Y-133152600D01* -X91327866Y-133148264D01* -X91313000Y-133146800D01* -X90932000Y-133146800D01* -X90917134Y-133148264D01* -X90902840Y-133152600D01* -X90889666Y-133159642D01* -X90878118Y-133169118D01* -X90868642Y-133180666D01* -X90861600Y-133193840D01* -X90857264Y-133208134D01* -X90855800Y-133223000D01* -X89484200Y-133223000D01* -X89482736Y-133208134D01* -X89478400Y-133193840D01* -X89471358Y-133180666D01* -X89461882Y-133169118D01* -X89450334Y-133159642D01* -X89437160Y-133152600D01* -X89422866Y-133148264D01* -X89408000Y-133146800D01* -X89027000Y-133146800D01* -X89012134Y-133148264D01* -X88997840Y-133152600D01* -X88984666Y-133159642D01* -X88973118Y-133169118D01* -X88963642Y-133180666D01* -X88956600Y-133193840D01* -X88952264Y-133208134D01* -X88950800Y-133223000D01* -X88849200Y-133223000D01* -X88847736Y-133208134D01* -X88843400Y-133193840D01* -X88836358Y-133180666D01* -X88826882Y-133169118D01* -X88815334Y-133159642D01* -X88802160Y-133152600D01* -X88787866Y-133148264D01* -X88773000Y-133146800D01* -X88392000Y-133146800D01* -X88377134Y-133148264D01* -X88362840Y-133152600D01* -X88349666Y-133159642D01* -X88338118Y-133169118D01* -X88328642Y-133180666D01* -X88321600Y-133193840D01* -X88317264Y-133208134D01* -X88315800Y-133223000D01* -X86944200Y-133223000D01* -X86942736Y-133208134D01* -X86938400Y-133193840D01* -X86931358Y-133180666D01* -X86921882Y-133169118D01* -X86910334Y-133159642D01* -X86897160Y-133152600D01* -X86882866Y-133148264D01* -X86868000Y-133146800D01* -X86487000Y-133146800D01* -X86472134Y-133148264D01* -X86457840Y-133152600D01* -X86444666Y-133159642D01* -X86433118Y-133169118D01* -X86423642Y-133180666D01* -X86416600Y-133193840D01* -X86412264Y-133208134D01* -X86410800Y-133223000D01* -X86309200Y-133223000D01* -X86307736Y-133208134D01* -X86303400Y-133193840D01* -X86296358Y-133180666D01* -X86286882Y-133169118D01* -X86275334Y-133159642D01* -X86262160Y-133152600D01* -X86247866Y-133148264D01* -X86233000Y-133146800D01* -X85852000Y-133146800D01* -X85837134Y-133148264D01* -X85822840Y-133152600D01* -X85809666Y-133159642D01* -X85798118Y-133169118D01* -X85788642Y-133180666D01* -X85781600Y-133193840D01* -X85777264Y-133208134D01* -X85775800Y-133223000D01* -X84404200Y-133223000D01* -X84402736Y-133208134D01* -X84398400Y-133193840D01* -X84391358Y-133180666D01* -X84381882Y-133169118D01* -X84370334Y-133159642D01* -X84357160Y-133152600D01* -X84342866Y-133148264D01* -X84328000Y-133146800D01* -X83947000Y-133146800D01* -X83932134Y-133148264D01* -X83917840Y-133152600D01* -X83904666Y-133159642D01* -X83893118Y-133169118D01* -X83883642Y-133180666D01* -X83876600Y-133193840D01* -X83872264Y-133208134D01* -X83870800Y-133223000D01* -X83769200Y-133223000D01* -X83767736Y-133208134D01* -X83763400Y-133193840D01* -X83756358Y-133180666D01* -X83746882Y-133169118D01* -X83735334Y-133159642D01* -X83722160Y-133152600D01* -X83707866Y-133148264D01* -X83693000Y-133146800D01* -X83312000Y-133146800D01* -X83297134Y-133148264D01* -X83282840Y-133152600D01* -X83269666Y-133159642D01* -X83258118Y-133169118D01* -X83248642Y-133180666D01* -X83241600Y-133193840D01* -X83237264Y-133208134D01* -X83235800Y-133223000D01* -X81864200Y-133223000D01* -X81862736Y-133208134D01* -X81858400Y-133193840D01* -X81851358Y-133180666D01* -X81841882Y-133169118D01* -X81830334Y-133159642D01* -X81817160Y-133152600D01* -X81802866Y-133148264D01* -X81788000Y-133146800D01* -X81407000Y-133146800D01* -X81392134Y-133148264D01* -X81377840Y-133152600D01* -X81364666Y-133159642D01* -X81353118Y-133169118D01* -X81343642Y-133180666D01* -X81336600Y-133193840D01* -X81332264Y-133208134D01* -X81330800Y-133223000D01* -X81229200Y-133223000D01* -X81227736Y-133208134D01* -X81223400Y-133193840D01* -X81216358Y-133180666D01* -X81206882Y-133169118D01* -X81195334Y-133159642D01* -X81182160Y-133152600D01* -X81167866Y-133148264D01* -X81153000Y-133146800D01* -X80772000Y-133146800D01* -X80757134Y-133148264D01* -X80742840Y-133152600D01* -X80729666Y-133159642D01* -X80718118Y-133169118D01* -X80708642Y-133180666D01* -X80701600Y-133193840D01* -X80697264Y-133208134D01* -X80695800Y-133223000D01* -X79324200Y-133223000D01* -X79322736Y-133208134D01* -X79318400Y-133193840D01* -X79311358Y-133180666D01* -X79301882Y-133169118D01* -X79290334Y-133159642D01* -X79277160Y-133152600D01* -X79262866Y-133148264D01* -X79248000Y-133146800D01* -X78867000Y-133146800D01* -X78852134Y-133148264D01* -X78837840Y-133152600D01* -X78824666Y-133159642D01* -X78813118Y-133169118D01* -X78803642Y-133180666D01* -X78796600Y-133193840D01* -X78792264Y-133208134D01* -X78790800Y-133223000D01* -X78689200Y-133223000D01* -X78687736Y-133208134D01* -X78683400Y-133193840D01* -X78676358Y-133180666D01* -X78666882Y-133169118D01* -X78655334Y-133159642D01* -X78642160Y-133152600D01* -X78627866Y-133148264D01* -X78613000Y-133146800D01* -X78232000Y-133146800D01* -X78217134Y-133148264D01* -X78202840Y-133152600D01* -X78189666Y-133159642D01* -X78178118Y-133169118D01* -X78168642Y-133180666D01* -X78161600Y-133193840D01* -X78157264Y-133208134D01* -X78155800Y-133223000D01* -X74498200Y-133223000D01* -X74498200Y-132588000D01* -X78155800Y-132588000D01* -X78155800Y-132969000D01* -X78157264Y-132983866D01* -X78161600Y-132998160D01* -X78168642Y-133011334D01* -X78178118Y-133022882D01* -X78189666Y-133032358D01* -X78202840Y-133039400D01* -X78217134Y-133043736D01* -X78232000Y-133045200D01* -X78613000Y-133045200D01* -X78627866Y-133043736D01* -X78642160Y-133039400D01* -X78655334Y-133032358D01* -X78666882Y-133022882D01* -X78676358Y-133011334D01* -X78683400Y-132998160D01* -X78687736Y-132983866D01* -X78689200Y-132969000D01* -X78689200Y-132588000D01* -X78790800Y-132588000D01* -X78790800Y-132969000D01* -X78792264Y-132983866D01* -X78796600Y-132998160D01* -X78803642Y-133011334D01* -X78813118Y-133022882D01* -X78824666Y-133032358D01* -X78837840Y-133039400D01* -X78852134Y-133043736D01* -X78867000Y-133045200D01* -X79248000Y-133045200D01* -X79262866Y-133043736D01* -X79277160Y-133039400D01* -X79290334Y-133032358D01* -X79301882Y-133022882D01* -X79311358Y-133011334D01* -X79318400Y-132998160D01* -X79322736Y-132983866D01* -X79324200Y-132969000D01* -X79324200Y-132588000D01* -X80695800Y-132588000D01* -X80695800Y-132969000D01* -X80697264Y-132983866D01* -X80701600Y-132998160D01* -X80708642Y-133011334D01* -X80718118Y-133022882D01* -X80729666Y-133032358D01* -X80742840Y-133039400D01* -X80757134Y-133043736D01* -X80772000Y-133045200D01* -X81153000Y-133045200D01* -X81167866Y-133043736D01* -X81182160Y-133039400D01* -X81195334Y-133032358D01* -X81206882Y-133022882D01* -X81216358Y-133011334D01* -X81223400Y-132998160D01* -X81227736Y-132983866D01* -X81229200Y-132969000D01* -X81229200Y-132588000D01* -X81330800Y-132588000D01* -X81330800Y-132969000D01* -X81332264Y-132983866D01* -X81336600Y-132998160D01* -X81343642Y-133011334D01* -X81353118Y-133022882D01* -X81364666Y-133032358D01* -X81377840Y-133039400D01* -X81392134Y-133043736D01* -X81407000Y-133045200D01* -X81788000Y-133045200D01* -X81802866Y-133043736D01* -X81817160Y-133039400D01* -X81830334Y-133032358D01* -X81841882Y-133022882D01* -X81851358Y-133011334D01* -X81858400Y-132998160D01* -X81862736Y-132983866D01* -X81864200Y-132969000D01* -X81864200Y-132588000D01* -X83235800Y-132588000D01* -X83235800Y-132969000D01* -X83237264Y-132983866D01* -X83241600Y-132998160D01* -X83248642Y-133011334D01* -X83258118Y-133022882D01* -X83269666Y-133032358D01* -X83282840Y-133039400D01* -X83297134Y-133043736D01* -X83312000Y-133045200D01* -X83693000Y-133045200D01* -X83707866Y-133043736D01* -X83722160Y-133039400D01* -X83735334Y-133032358D01* -X83746882Y-133022882D01* -X83756358Y-133011334D01* -X83763400Y-132998160D01* -X83767736Y-132983866D01* -X83769200Y-132969000D01* -X83769200Y-132588000D01* -X83870800Y-132588000D01* -X83870800Y-132969000D01* -X83872264Y-132983866D01* -X83876600Y-132998160D01* -X83883642Y-133011334D01* -X83893118Y-133022882D01* -X83904666Y-133032358D01* -X83917840Y-133039400D01* -X83932134Y-133043736D01* -X83947000Y-133045200D01* -X84328000Y-133045200D01* -X84342866Y-133043736D01* -X84357160Y-133039400D01* -X84370334Y-133032358D01* -X84381882Y-133022882D01* -X84391358Y-133011334D01* -X84398400Y-132998160D01* -X84402736Y-132983866D01* -X84404200Y-132969000D01* -X84404200Y-132588000D01* -X85775800Y-132588000D01* -X85775800Y-132969000D01* -X85777264Y-132983866D01* -X85781600Y-132998160D01* -X85788642Y-133011334D01* -X85798118Y-133022882D01* -X85809666Y-133032358D01* -X85822840Y-133039400D01* -X85837134Y-133043736D01* -X85852000Y-133045200D01* -X86233000Y-133045200D01* -X86247866Y-133043736D01* -X86262160Y-133039400D01* -X86275334Y-133032358D01* -X86286882Y-133022882D01* -X86296358Y-133011334D01* -X86303400Y-132998160D01* -X86307736Y-132983866D01* -X86309200Y-132969000D01* -X86309200Y-132588000D01* -X86410800Y-132588000D01* -X86410800Y-132969000D01* -X86412264Y-132983866D01* -X86416600Y-132998160D01* -X86423642Y-133011334D01* -X86433118Y-133022882D01* -X86444666Y-133032358D01* -X86457840Y-133039400D01* -X86472134Y-133043736D01* -X86487000Y-133045200D01* -X86868000Y-133045200D01* -X86882866Y-133043736D01* -X86897160Y-133039400D01* -X86910334Y-133032358D01* -X86921882Y-133022882D01* -X86931358Y-133011334D01* -X86938400Y-132998160D01* -X86942736Y-132983866D01* -X86944200Y-132969000D01* -X86944200Y-132588000D01* -X88315800Y-132588000D01* -X88315800Y-132969000D01* -X88317264Y-132983866D01* -X88321600Y-132998160D01* -X88328642Y-133011334D01* -X88338118Y-133022882D01* -X88349666Y-133032358D01* -X88362840Y-133039400D01* -X88377134Y-133043736D01* -X88392000Y-133045200D01* -X88773000Y-133045200D01* -X88787866Y-133043736D01* -X88802160Y-133039400D01* -X88815334Y-133032358D01* -X88826882Y-133022882D01* -X88836358Y-133011334D01* -X88843400Y-132998160D01* -X88847736Y-132983866D01* -X88849200Y-132969000D01* -X88849200Y-132588000D01* -X88950800Y-132588000D01* -X88950800Y-132969000D01* -X88952264Y-132983866D01* -X88956600Y-132998160D01* -X88963642Y-133011334D01* -X88973118Y-133022882D01* -X88984666Y-133032358D01* -X88997840Y-133039400D01* -X89012134Y-133043736D01* -X89027000Y-133045200D01* -X89408000Y-133045200D01* -X89422866Y-133043736D01* -X89437160Y-133039400D01* -X89450334Y-133032358D01* -X89461882Y-133022882D01* -X89471358Y-133011334D01* -X89478400Y-132998160D01* -X89482736Y-132983866D01* -X89484200Y-132969000D01* -X89484200Y-132588000D01* -X90855800Y-132588000D01* -X90855800Y-132969000D01* -X90857264Y-132983866D01* -X90861600Y-132998160D01* -X90868642Y-133011334D01* -X90878118Y-133022882D01* -X90889666Y-133032358D01* -X90902840Y-133039400D01* -X90917134Y-133043736D01* -X90932000Y-133045200D01* -X91313000Y-133045200D01* -X91327866Y-133043736D01* -X91342160Y-133039400D01* -X91355334Y-133032358D01* -X91366882Y-133022882D01* -X91376358Y-133011334D01* -X91383400Y-132998160D01* -X91387736Y-132983866D01* -X91389200Y-132969000D01* -X91389200Y-132588000D01* -X91490800Y-132588000D01* -X91490800Y-132969000D01* -X91492264Y-132983866D01* -X91496600Y-132998160D01* -X91503642Y-133011334D01* -X91513118Y-133022882D01* -X91524666Y-133032358D01* -X91537840Y-133039400D01* -X91552134Y-133043736D01* -X91567000Y-133045200D01* -X91948000Y-133045200D01* -X91962866Y-133043736D01* -X91977160Y-133039400D01* -X91990334Y-133032358D01* -X92001882Y-133022882D01* -X92011358Y-133011334D01* -X92018400Y-132998160D01* -X92022736Y-132983866D01* -X92024200Y-132969000D01* -X92024200Y-132588000D01* -X93395800Y-132588000D01* -X93395800Y-132969000D01* -X93397264Y-132983866D01* -X93401600Y-132998160D01* -X93408642Y-133011334D01* -X93418118Y-133022882D01* -X93429666Y-133032358D01* -X93442840Y-133039400D01* -X93457134Y-133043736D01* -X93472000Y-133045200D01* -X93853000Y-133045200D01* -X93867866Y-133043736D01* -X93882160Y-133039400D01* -X93895334Y-133032358D01* -X93906882Y-133022882D01* -X93916358Y-133011334D01* -X93923400Y-132998160D01* -X93927736Y-132983866D01* -X93929200Y-132969000D01* -X93929200Y-132588000D01* -X94030800Y-132588000D01* -X94030800Y-132969000D01* -X94032264Y-132983866D01* -X94036600Y-132998160D01* -X94043642Y-133011334D01* -X94053118Y-133022882D01* -X94064666Y-133032358D01* -X94077840Y-133039400D01* -X94092134Y-133043736D01* -X94107000Y-133045200D01* -X94488000Y-133045200D01* -X94502866Y-133043736D01* -X94517160Y-133039400D01* -X94530334Y-133032358D01* -X94541882Y-133022882D01* -X94551358Y-133011334D01* -X94558400Y-132998160D01* -X94562736Y-132983866D01* -X94564200Y-132969000D01* -X94564200Y-132588000D01* -X95935800Y-132588000D01* -X95935800Y-132969000D01* -X95937264Y-132983866D01* -X95941600Y-132998160D01* -X95948642Y-133011334D01* -X95958118Y-133022882D01* -X95969666Y-133032358D01* -X95982840Y-133039400D01* -X95997134Y-133043736D01* -X96012000Y-133045200D01* -X96393000Y-133045200D01* -X96407866Y-133043736D01* -X96422160Y-133039400D01* -X96435334Y-133032358D01* -X96446882Y-133022882D01* -X96456358Y-133011334D01* -X96463400Y-132998160D01* -X96467736Y-132983866D01* -X96469200Y-132969000D01* -X96469200Y-132588000D01* -X96570800Y-132588000D01* -X96570800Y-132969000D01* -X96572264Y-132983866D01* -X96576600Y-132998160D01* -X96583642Y-133011334D01* -X96593118Y-133022882D01* -X96604666Y-133032358D01* -X96617840Y-133039400D01* -X96632134Y-133043736D01* -X96647000Y-133045200D01* -X97028000Y-133045200D01* -X97042866Y-133043736D01* -X97057160Y-133039400D01* -X97070334Y-133032358D01* -X97081882Y-133022882D01* -X97091358Y-133011334D01* -X97098400Y-132998160D01* -X97102736Y-132983866D01* -X97104200Y-132969000D01* -X97104200Y-132588000D01* -X98475800Y-132588000D01* -X98475800Y-132969000D01* -X98477264Y-132983866D01* -X98481600Y-132998160D01* -X98488642Y-133011334D01* -X98498118Y-133022882D01* -X98509666Y-133032358D01* -X98522840Y-133039400D01* -X98537134Y-133043736D01* -X98552000Y-133045200D01* -X98933000Y-133045200D01* -X98947866Y-133043736D01* -X98962160Y-133039400D01* -X98975334Y-133032358D01* -X98986882Y-133022882D01* -X98996358Y-133011334D01* -X99003400Y-132998160D01* -X99007736Y-132983866D01* -X99009200Y-132969000D01* -X99009200Y-132588000D01* -X99110800Y-132588000D01* -X99110800Y-132969000D01* -X99112264Y-132983866D01* -X99116600Y-132998160D01* -X99123642Y-133011334D01* -X99133118Y-133022882D01* -X99144666Y-133032358D01* -X99157840Y-133039400D01* -X99172134Y-133043736D01* -X99187000Y-133045200D01* -X99568000Y-133045200D01* -X99582866Y-133043736D01* -X99597160Y-133039400D01* -X99610334Y-133032358D01* -X99621882Y-133022882D01* -X99631358Y-133011334D01* -X99638400Y-132998160D01* -X99642736Y-132983866D01* -X99644200Y-132969000D01* -X99644200Y-132588000D01* -X101015800Y-132588000D01* -X101015800Y-132969000D01* -X101017264Y-132983866D01* -X101021600Y-132998160D01* -X101028642Y-133011334D01* -X101038118Y-133022882D01* -X101049666Y-133032358D01* -X101062840Y-133039400D01* -X101077134Y-133043736D01* -X101092000Y-133045200D01* -X101473000Y-133045200D01* -X101487866Y-133043736D01* -X101502160Y-133039400D01* -X101515334Y-133032358D01* -X101526882Y-133022882D01* -X101536358Y-133011334D01* -X101543400Y-132998160D01* -X101547736Y-132983866D01* -X101549200Y-132969000D01* -X101549200Y-132588000D01* -X101650800Y-132588000D01* -X101650800Y-132969000D01* -X101652264Y-132983866D01* -X101656600Y-132998160D01* -X101663642Y-133011334D01* -X101673118Y-133022882D01* -X101684666Y-133032358D01* -X101697840Y-133039400D01* -X101712134Y-133043736D01* -X101727000Y-133045200D01* -X102108000Y-133045200D01* -X102122866Y-133043736D01* -X102137160Y-133039400D01* -X102150334Y-133032358D01* -X102161882Y-133022882D01* -X102171358Y-133011334D01* -X102178400Y-132998160D01* -X102182736Y-132983866D01* -X102184200Y-132969000D01* -X102184200Y-132588000D01* -X103555800Y-132588000D01* -X103555800Y-132969000D01* -X103557264Y-132983866D01* -X103561600Y-132998160D01* -X103568642Y-133011334D01* -X103578118Y-133022882D01* -X103589666Y-133032358D01* -X103602840Y-133039400D01* -X103617134Y-133043736D01* -X103632000Y-133045200D01* -X104013000Y-133045200D01* -X104027866Y-133043736D01* -X104042160Y-133039400D01* -X104055334Y-133032358D01* -X104066882Y-133022882D01* -X104076358Y-133011334D01* -X104083400Y-132998160D01* -X104087736Y-132983866D01* -X104089200Y-132969000D01* -X104089200Y-132588000D01* -X104190800Y-132588000D01* -X104190800Y-132969000D01* -X104192264Y-132983866D01* -X104196600Y-132998160D01* -X104203642Y-133011334D01* -X104213118Y-133022882D01* -X104224666Y-133032358D01* -X104237840Y-133039400D01* -X104252134Y-133043736D01* -X104267000Y-133045200D01* -X104648000Y-133045200D01* -X104662866Y-133043736D01* -X104677160Y-133039400D01* -X104690334Y-133032358D01* -X104701882Y-133022882D01* -X104711358Y-133011334D01* -X104718400Y-132998160D01* -X104722736Y-132983866D01* -X104724200Y-132969000D01* -X104724200Y-132588000D01* -X106095800Y-132588000D01* -X106095800Y-132969000D01* -X106097264Y-132983866D01* -X106101600Y-132998160D01* -X106108642Y-133011334D01* -X106118118Y-133022882D01* -X106129666Y-133032358D01* -X106142840Y-133039400D01* -X106157134Y-133043736D01* -X106172000Y-133045200D01* -X106553000Y-133045200D01* -X106567866Y-133043736D01* -X106582160Y-133039400D01* -X106595334Y-133032358D01* -X106606882Y-133022882D01* -X106616358Y-133011334D01* -X106623400Y-132998160D01* -X106627736Y-132983866D01* -X106629200Y-132969000D01* -X106629200Y-132588000D01* -X106730800Y-132588000D01* -X106730800Y-132969000D01* -X106732264Y-132983866D01* -X106736600Y-132998160D01* -X106743642Y-133011334D01* -X106753118Y-133022882D01* -X106764666Y-133032358D01* -X106777840Y-133039400D01* -X106792134Y-133043736D01* -X106807000Y-133045200D01* -X107188000Y-133045200D01* -X107202866Y-133043736D01* -X107217160Y-133039400D01* -X107230334Y-133032358D01* -X107241882Y-133022882D01* -X107251358Y-133011334D01* -X107258400Y-132998160D01* -X107262736Y-132983866D01* -X107264200Y-132969000D01* -X107264200Y-132588000D01* -X108635800Y-132588000D01* -X108635800Y-132969000D01* -X108637264Y-132983866D01* -X108641600Y-132998160D01* -X108648642Y-133011334D01* -X108658118Y-133022882D01* -X108669666Y-133032358D01* -X108682840Y-133039400D01* -X108697134Y-133043736D01* -X108712000Y-133045200D01* -X109093000Y-133045200D01* -X109107866Y-133043736D01* -X109122160Y-133039400D01* -X109135334Y-133032358D01* -X109146882Y-133022882D01* -X109156358Y-133011334D01* -X109163400Y-132998160D01* -X109167736Y-132983866D01* -X109169200Y-132969000D01* -X109169200Y-132588000D01* -X109270800Y-132588000D01* -X109270800Y-132969000D01* -X109272264Y-132983866D01* -X109276600Y-132998160D01* -X109283642Y-133011334D01* -X109293118Y-133022882D01* -X109304666Y-133032358D01* -X109317840Y-133039400D01* -X109332134Y-133043736D01* -X109347000Y-133045200D01* -X109728000Y-133045200D01* -X109742866Y-133043736D01* -X109757160Y-133039400D01* -X109770334Y-133032358D01* -X109781882Y-133022882D01* -X109791358Y-133011334D01* -X109798400Y-132998160D01* -X109802736Y-132983866D01* -X109804200Y-132969000D01* -X109804200Y-132588000D01* -X111175800Y-132588000D01* -X111175800Y-132969000D01* -X111177264Y-132983866D01* -X111181600Y-132998160D01* -X111188642Y-133011334D01* -X111198118Y-133022882D01* -X111209666Y-133032358D01* -X111222840Y-133039400D01* -X111237134Y-133043736D01* -X111252000Y-133045200D01* -X111633000Y-133045200D01* -X111647866Y-133043736D01* -X111662160Y-133039400D01* -X111675334Y-133032358D01* -X111686882Y-133022882D01* -X111696358Y-133011334D01* -X111703400Y-132998160D01* -X111707736Y-132983866D01* -X111709200Y-132969000D01* -X111709200Y-132588000D01* -X111810800Y-132588000D01* -X111810800Y-132969000D01* -X111812264Y-132983866D01* -X111816600Y-132998160D01* -X111823642Y-133011334D01* -X111833118Y-133022882D01* -X111844666Y-133032358D01* -X111857840Y-133039400D01* -X111872134Y-133043736D01* -X111887000Y-133045200D01* -X112268000Y-133045200D01* -X112282866Y-133043736D01* -X112297160Y-133039400D01* -X112310334Y-133032358D01* -X112321882Y-133022882D01* -X112331358Y-133011334D01* -X112338400Y-132998160D01* -X112342736Y-132983866D01* -X112344200Y-132969000D01* -X112344200Y-132588000D01* -X113715800Y-132588000D01* -X113715800Y-132969000D01* -X113717264Y-132983866D01* -X113721600Y-132998160D01* -X113728642Y-133011334D01* -X113738118Y-133022882D01* -X113749666Y-133032358D01* -X113762840Y-133039400D01* -X113777134Y-133043736D01* -X113792000Y-133045200D01* -X114173000Y-133045200D01* -X114187866Y-133043736D01* -X114202160Y-133039400D01* -X114215334Y-133032358D01* -X114226882Y-133022882D01* -X114236358Y-133011334D01* -X114243400Y-132998160D01* -X114247736Y-132983866D01* -X114249200Y-132969000D01* -X114249200Y-132588000D01* -X114350800Y-132588000D01* -X114350800Y-132969000D01* -X114352264Y-132983866D01* -X114356600Y-132998160D01* -X114363642Y-133011334D01* -X114373118Y-133022882D01* -X114384666Y-133032358D01* -X114397840Y-133039400D01* -X114412134Y-133043736D01* -X114427000Y-133045200D01* -X114808000Y-133045200D01* -X114822866Y-133043736D01* -X114837160Y-133039400D01* -X114850334Y-133032358D01* -X114861882Y-133022882D01* -X114871358Y-133011334D01* -X114878400Y-132998160D01* -X114882736Y-132983866D01* -X114884200Y-132969000D01* -X114884200Y-132588000D01* -X121335800Y-132588000D01* -X121335800Y-132969000D01* -X121337264Y-132983866D01* -X121341600Y-132998160D01* -X121348642Y-133011334D01* -X121358118Y-133022882D01* -X121369666Y-133032358D01* -X121382840Y-133039400D01* -X121397134Y-133043736D01* -X121412000Y-133045200D01* -X121793000Y-133045200D01* -X121807866Y-133043736D01* -X121822160Y-133039400D01* -X121835334Y-133032358D01* -X121846882Y-133022882D01* -X121856358Y-133011334D01* -X121863400Y-132998160D01* -X121867736Y-132983866D01* -X121869200Y-132969000D01* -X121869200Y-132588000D01* -X121970800Y-132588000D01* -X121970800Y-132969000D01* -X121972264Y-132983866D01* -X121976600Y-132998160D01* -X121983642Y-133011334D01* -X121993118Y-133022882D01* -X122004666Y-133032358D01* -X122017840Y-133039400D01* -X122032134Y-133043736D01* -X122047000Y-133045200D01* -X122428000Y-133045200D01* -X122442866Y-133043736D01* -X122457160Y-133039400D01* -X122470334Y-133032358D01* -X122481882Y-133022882D01* -X122491358Y-133011334D01* -X122498400Y-132998160D01* -X122502736Y-132983866D01* -X122504200Y-132969000D01* -X122504200Y-132588000D01* -X123875800Y-132588000D01* -X123875800Y-132969000D01* -X123877264Y-132983866D01* -X123881600Y-132998160D01* -X123888642Y-133011334D01* -X123898118Y-133022882D01* -X123909666Y-133032358D01* -X123922840Y-133039400D01* -X123937134Y-133043736D01* -X123952000Y-133045200D01* -X124333000Y-133045200D01* -X124347866Y-133043736D01* -X124362160Y-133039400D01* -X124375334Y-133032358D01* -X124386882Y-133022882D01* -X124396358Y-133011334D01* -X124403400Y-132998160D01* -X124407736Y-132983866D01* -X124409200Y-132969000D01* -X124409200Y-132588000D01* -X124510800Y-132588000D01* -X124510800Y-132969000D01* -X124512264Y-132983866D01* -X124516600Y-132998160D01* -X124523642Y-133011334D01* -X124533118Y-133022882D01* -X124544666Y-133032358D01* -X124557840Y-133039400D01* -X124572134Y-133043736D01* -X124587000Y-133045200D01* -X124968000Y-133045200D01* -X124982866Y-133043736D01* -X124997160Y-133039400D01* -X125010334Y-133032358D01* -X125021882Y-133022882D01* -X125031358Y-133011334D01* -X125038400Y-132998160D01* -X125042736Y-132983866D01* -X125044200Y-132969000D01* -X125044200Y-132588000D01* -X126415800Y-132588000D01* -X126415800Y-132969000D01* -X126417264Y-132983866D01* -X126421600Y-132998160D01* -X126428642Y-133011334D01* -X126438118Y-133022882D01* -X126449666Y-133032358D01* -X126462840Y-133039400D01* -X126477134Y-133043736D01* -X126492000Y-133045200D01* -X126873000Y-133045200D01* -X126887866Y-133043736D01* -X126902160Y-133039400D01* -X126915334Y-133032358D01* -X126926882Y-133022882D01* -X126936358Y-133011334D01* -X126943400Y-132998160D01* -X126947736Y-132983866D01* -X126949200Y-132969000D01* -X126949200Y-132588000D01* -X127050800Y-132588000D01* -X127050800Y-132969000D01* -X127052264Y-132983866D01* -X127056600Y-132998160D01* -X127063642Y-133011334D01* -X127073118Y-133022882D01* -X127084666Y-133032358D01* -X127097840Y-133039400D01* -X127112134Y-133043736D01* -X127127000Y-133045200D01* -X127508000Y-133045200D01* -X127522866Y-133043736D01* -X127537160Y-133039400D01* -X127550334Y-133032358D01* -X127561882Y-133022882D01* -X127571358Y-133011334D01* -X127578400Y-132998160D01* -X127582736Y-132983866D01* -X127584200Y-132969000D01* -X127584200Y-132588000D01* -X128955800Y-132588000D01* -X128955800Y-132969000D01* -X128957264Y-132983866D01* -X128961600Y-132998160D01* -X128968642Y-133011334D01* -X128978118Y-133022882D01* -X128989666Y-133032358D01* -X129002840Y-133039400D01* -X129017134Y-133043736D01* -X129032000Y-133045200D01* -X129413000Y-133045200D01* -X129427866Y-133043736D01* -X129442160Y-133039400D01* -X129455334Y-133032358D01* -X129466882Y-133022882D01* -X129476358Y-133011334D01* -X129483400Y-132998160D01* -X129487736Y-132983866D01* -X129489200Y-132969000D01* -X129489200Y-132588000D01* -X129590800Y-132588000D01* -X129590800Y-132969000D01* -X129592264Y-132983866D01* -X129596600Y-132998160D01* -X129603642Y-133011334D01* -X129613118Y-133022882D01* -X129624666Y-133032358D01* -X129637840Y-133039400D01* -X129652134Y-133043736D01* -X129667000Y-133045200D01* -X130048000Y-133045200D01* -X130062866Y-133043736D01* -X130077160Y-133039400D01* -X130090334Y-133032358D01* -X130101882Y-133022882D01* -X130111358Y-133011334D01* -X130118400Y-132998160D01* -X130122736Y-132983866D01* -X130124200Y-132969000D01* -X130124200Y-132588000D01* -X131495800Y-132588000D01* -X131495800Y-132969000D01* -X131497264Y-132983866D01* -X131501600Y-132998160D01* -X131508642Y-133011334D01* -X131518118Y-133022882D01* -X131529666Y-133032358D01* -X131542840Y-133039400D01* -X131557134Y-133043736D01* -X131572000Y-133045200D01* -X131953000Y-133045200D01* -X131967866Y-133043736D01* -X131982160Y-133039400D01* -X131995334Y-133032358D01* -X132006882Y-133022882D01* -X132016358Y-133011334D01* -X132023400Y-132998160D01* -X132027736Y-132983866D01* -X132029200Y-132969000D01* -X132029200Y-132588000D01* -X132130800Y-132588000D01* -X132130800Y-132969000D01* -X132132264Y-132983866D01* -X132136600Y-132998160D01* -X132143642Y-133011334D01* -X132153118Y-133022882D01* -X132164666Y-133032358D01* -X132177840Y-133039400D01* -X132192134Y-133043736D01* -X132207000Y-133045200D01* -X132588000Y-133045200D01* -X132602866Y-133043736D01* -X132617160Y-133039400D01* -X132630334Y-133032358D01* -X132641882Y-133022882D01* -X132651358Y-133011334D01* -X132658400Y-132998160D01* -X132662736Y-132983866D01* -X132664200Y-132969000D01* -X132664200Y-132588000D01* -X134035800Y-132588000D01* -X134035800Y-132969000D01* -X134037264Y-132983866D01* -X134041600Y-132998160D01* -X134048642Y-133011334D01* -X134058118Y-133022882D01* -X134069666Y-133032358D01* -X134082840Y-133039400D01* -X134097134Y-133043736D01* -X134112000Y-133045200D01* -X134493000Y-133045200D01* -X134507866Y-133043736D01* -X134522160Y-133039400D01* -X134535334Y-133032358D01* -X134546882Y-133022882D01* -X134556358Y-133011334D01* -X134563400Y-132998160D01* -X134567736Y-132983866D01* -X134569200Y-132969000D01* -X134569200Y-132588000D01* -X134670800Y-132588000D01* -X134670800Y-132969000D01* -X134672264Y-132983866D01* -X134676600Y-132998160D01* -X134683642Y-133011334D01* -X134693118Y-133022882D01* -X134704666Y-133032358D01* -X134717840Y-133039400D01* -X134732134Y-133043736D01* -X134747000Y-133045200D01* -X135128000Y-133045200D01* -X135142866Y-133043736D01* -X135157160Y-133039400D01* -X135170334Y-133032358D01* -X135181882Y-133022882D01* -X135191358Y-133011334D01* -X135198400Y-132998160D01* -X135202736Y-132983866D01* -X135204200Y-132969000D01* -X135204200Y-132588000D01* -X135202736Y-132573134D01* -X135198400Y-132558840D01* -X135191358Y-132545666D01* -X135181882Y-132534118D01* -X135170334Y-132524642D01* -X135157160Y-132517600D01* -X135142866Y-132513264D01* -X135128000Y-132511800D01* -X134747000Y-132511800D01* -X134732134Y-132513264D01* -X134717840Y-132517600D01* -X134704666Y-132524642D01* -X134693118Y-132534118D01* -X134683642Y-132545666D01* -X134676600Y-132558840D01* -X134672264Y-132573134D01* -X134670800Y-132588000D01* -X134569200Y-132588000D01* -X134567736Y-132573134D01* -X134563400Y-132558840D01* -X134556358Y-132545666D01* -X134546882Y-132534118D01* -X134535334Y-132524642D01* -X134522160Y-132517600D01* -X134507866Y-132513264D01* -X134493000Y-132511800D01* -X134112000Y-132511800D01* -X134097134Y-132513264D01* -X134082840Y-132517600D01* -X134069666Y-132524642D01* -X134058118Y-132534118D01* -X134048642Y-132545666D01* -X134041600Y-132558840D01* -X134037264Y-132573134D01* -X134035800Y-132588000D01* -X132664200Y-132588000D01* -X132662736Y-132573134D01* -X132658400Y-132558840D01* -X132651358Y-132545666D01* -X132641882Y-132534118D01* -X132630334Y-132524642D01* -X132617160Y-132517600D01* -X132602866Y-132513264D01* -X132588000Y-132511800D01* -X132207000Y-132511800D01* -X132192134Y-132513264D01* -X132177840Y-132517600D01* -X132164666Y-132524642D01* -X132153118Y-132534118D01* -X132143642Y-132545666D01* -X132136600Y-132558840D01* -X132132264Y-132573134D01* -X132130800Y-132588000D01* -X132029200Y-132588000D01* -X132027736Y-132573134D01* -X132023400Y-132558840D01* -X132016358Y-132545666D01* -X132006882Y-132534118D01* -X131995334Y-132524642D01* -X131982160Y-132517600D01* -X131967866Y-132513264D01* -X131953000Y-132511800D01* -X131572000Y-132511800D01* -X131557134Y-132513264D01* -X131542840Y-132517600D01* -X131529666Y-132524642D01* -X131518118Y-132534118D01* -X131508642Y-132545666D01* -X131501600Y-132558840D01* -X131497264Y-132573134D01* -X131495800Y-132588000D01* -X130124200Y-132588000D01* -X130122736Y-132573134D01* -X130118400Y-132558840D01* -X130111358Y-132545666D01* -X130101882Y-132534118D01* -X130090334Y-132524642D01* -X130077160Y-132517600D01* -X130062866Y-132513264D01* -X130048000Y-132511800D01* -X129667000Y-132511800D01* -X129652134Y-132513264D01* -X129637840Y-132517600D01* -X129624666Y-132524642D01* -X129613118Y-132534118D01* -X129603642Y-132545666D01* -X129596600Y-132558840D01* -X129592264Y-132573134D01* -X129590800Y-132588000D01* -X129489200Y-132588000D01* -X129487736Y-132573134D01* -X129483400Y-132558840D01* -X129476358Y-132545666D01* -X129466882Y-132534118D01* -X129455334Y-132524642D01* -X129442160Y-132517600D01* -X129427866Y-132513264D01* -X129413000Y-132511800D01* -X129032000Y-132511800D01* -X129017134Y-132513264D01* -X129002840Y-132517600D01* -X128989666Y-132524642D01* -X128978118Y-132534118D01* -X128968642Y-132545666D01* -X128961600Y-132558840D01* -X128957264Y-132573134D01* -X128955800Y-132588000D01* -X127584200Y-132588000D01* -X127582736Y-132573134D01* -X127578400Y-132558840D01* -X127571358Y-132545666D01* -X127561882Y-132534118D01* -X127550334Y-132524642D01* -X127537160Y-132517600D01* -X127522866Y-132513264D01* -X127508000Y-132511800D01* -X127127000Y-132511800D01* -X127112134Y-132513264D01* -X127097840Y-132517600D01* -X127084666Y-132524642D01* -X127073118Y-132534118D01* -X127063642Y-132545666D01* -X127056600Y-132558840D01* -X127052264Y-132573134D01* -X127050800Y-132588000D01* -X126949200Y-132588000D01* -X126947736Y-132573134D01* -X126943400Y-132558840D01* -X126936358Y-132545666D01* -X126926882Y-132534118D01* -X126915334Y-132524642D01* -X126902160Y-132517600D01* -X126887866Y-132513264D01* -X126873000Y-132511800D01* -X126492000Y-132511800D01* -X126477134Y-132513264D01* -X126462840Y-132517600D01* -X126449666Y-132524642D01* -X126438118Y-132534118D01* -X126428642Y-132545666D01* -X126421600Y-132558840D01* -X126417264Y-132573134D01* -X126415800Y-132588000D01* -X125044200Y-132588000D01* -X125042736Y-132573134D01* -X125038400Y-132558840D01* -X125031358Y-132545666D01* -X125021882Y-132534118D01* -X125010334Y-132524642D01* -X124997160Y-132517600D01* -X124982866Y-132513264D01* -X124968000Y-132511800D01* -X124587000Y-132511800D01* -X124572134Y-132513264D01* -X124557840Y-132517600D01* -X124544666Y-132524642D01* -X124533118Y-132534118D01* -X124523642Y-132545666D01* -X124516600Y-132558840D01* -X124512264Y-132573134D01* -X124510800Y-132588000D01* -X124409200Y-132588000D01* -X124407736Y-132573134D01* -X124403400Y-132558840D01* -X124396358Y-132545666D01* -X124386882Y-132534118D01* -X124375334Y-132524642D01* -X124362160Y-132517600D01* -X124347866Y-132513264D01* -X124333000Y-132511800D01* -X123952000Y-132511800D01* -X123937134Y-132513264D01* -X123922840Y-132517600D01* -X123909666Y-132524642D01* -X123898118Y-132534118D01* -X123888642Y-132545666D01* -X123881600Y-132558840D01* -X123877264Y-132573134D01* -X123875800Y-132588000D01* -X122504200Y-132588000D01* -X122502736Y-132573134D01* -X122498400Y-132558840D01* -X122491358Y-132545666D01* -X122481882Y-132534118D01* -X122470334Y-132524642D01* -X122457160Y-132517600D01* -X122442866Y-132513264D01* -X122428000Y-132511800D01* -X122047000Y-132511800D01* -X122032134Y-132513264D01* -X122017840Y-132517600D01* -X122004666Y-132524642D01* -X121993118Y-132534118D01* -X121983642Y-132545666D01* -X121976600Y-132558840D01* -X121972264Y-132573134D01* -X121970800Y-132588000D01* -X121869200Y-132588000D01* -X121867736Y-132573134D01* -X121863400Y-132558840D01* -X121856358Y-132545666D01* -X121846882Y-132534118D01* -X121835334Y-132524642D01* -X121822160Y-132517600D01* -X121807866Y-132513264D01* -X121793000Y-132511800D01* -X121412000Y-132511800D01* -X121397134Y-132513264D01* -X121382840Y-132517600D01* -X121369666Y-132524642D01* -X121358118Y-132534118D01* -X121348642Y-132545666D01* -X121341600Y-132558840D01* -X121337264Y-132573134D01* -X121335800Y-132588000D01* -X114884200Y-132588000D01* -X114882736Y-132573134D01* -X114878400Y-132558840D01* -X114871358Y-132545666D01* -X114861882Y-132534118D01* -X114850334Y-132524642D01* -X114837160Y-132517600D01* -X114822866Y-132513264D01* -X114808000Y-132511800D01* -X114427000Y-132511800D01* -X114412134Y-132513264D01* -X114397840Y-132517600D01* -X114384666Y-132524642D01* -X114373118Y-132534118D01* -X114363642Y-132545666D01* -X114356600Y-132558840D01* -X114352264Y-132573134D01* -X114350800Y-132588000D01* -X114249200Y-132588000D01* -X114247736Y-132573134D01* -X114243400Y-132558840D01* -X114236358Y-132545666D01* -X114226882Y-132534118D01* -X114215334Y-132524642D01* -X114202160Y-132517600D01* -X114187866Y-132513264D01* -X114173000Y-132511800D01* -X113792000Y-132511800D01* -X113777134Y-132513264D01* -X113762840Y-132517600D01* -X113749666Y-132524642D01* -X113738118Y-132534118D01* -X113728642Y-132545666D01* -X113721600Y-132558840D01* -X113717264Y-132573134D01* -X113715800Y-132588000D01* -X112344200Y-132588000D01* -X112342736Y-132573134D01* -X112338400Y-132558840D01* -X112331358Y-132545666D01* -X112321882Y-132534118D01* -X112310334Y-132524642D01* -X112297160Y-132517600D01* -X112282866Y-132513264D01* -X112268000Y-132511800D01* -X111887000Y-132511800D01* -X111872134Y-132513264D01* -X111857840Y-132517600D01* -X111844666Y-132524642D01* -X111833118Y-132534118D01* -X111823642Y-132545666D01* -X111816600Y-132558840D01* -X111812264Y-132573134D01* -X111810800Y-132588000D01* -X111709200Y-132588000D01* -X111707736Y-132573134D01* -X111703400Y-132558840D01* -X111696358Y-132545666D01* -X111686882Y-132534118D01* -X111675334Y-132524642D01* -X111662160Y-132517600D01* -X111647866Y-132513264D01* -X111633000Y-132511800D01* -X111252000Y-132511800D01* -X111237134Y-132513264D01* -X111222840Y-132517600D01* -X111209666Y-132524642D01* -X111198118Y-132534118D01* -X111188642Y-132545666D01* -X111181600Y-132558840D01* -X111177264Y-132573134D01* -X111175800Y-132588000D01* -X109804200Y-132588000D01* -X109802736Y-132573134D01* -X109798400Y-132558840D01* -X109791358Y-132545666D01* -X109781882Y-132534118D01* -X109770334Y-132524642D01* -X109757160Y-132517600D01* -X109742866Y-132513264D01* -X109728000Y-132511800D01* -X109347000Y-132511800D01* -X109332134Y-132513264D01* -X109317840Y-132517600D01* -X109304666Y-132524642D01* -X109293118Y-132534118D01* -X109283642Y-132545666D01* -X109276600Y-132558840D01* -X109272264Y-132573134D01* -X109270800Y-132588000D01* -X109169200Y-132588000D01* -X109167736Y-132573134D01* -X109163400Y-132558840D01* -X109156358Y-132545666D01* -X109146882Y-132534118D01* -X109135334Y-132524642D01* -X109122160Y-132517600D01* -X109107866Y-132513264D01* -X109093000Y-132511800D01* -X108712000Y-132511800D01* -X108697134Y-132513264D01* -X108682840Y-132517600D01* -X108669666Y-132524642D01* -X108658118Y-132534118D01* -X108648642Y-132545666D01* -X108641600Y-132558840D01* -X108637264Y-132573134D01* -X108635800Y-132588000D01* -X107264200Y-132588000D01* -X107262736Y-132573134D01* -X107258400Y-132558840D01* -X107251358Y-132545666D01* -X107241882Y-132534118D01* -X107230334Y-132524642D01* -X107217160Y-132517600D01* -X107202866Y-132513264D01* -X107188000Y-132511800D01* -X106807000Y-132511800D01* -X106792134Y-132513264D01* -X106777840Y-132517600D01* -X106764666Y-132524642D01* -X106753118Y-132534118D01* -X106743642Y-132545666D01* -X106736600Y-132558840D01* -X106732264Y-132573134D01* -X106730800Y-132588000D01* -X106629200Y-132588000D01* -X106627736Y-132573134D01* -X106623400Y-132558840D01* -X106616358Y-132545666D01* -X106606882Y-132534118D01* -X106595334Y-132524642D01* -X106582160Y-132517600D01* -X106567866Y-132513264D01* -X106553000Y-132511800D01* -X106172000Y-132511800D01* -X106157134Y-132513264D01* -X106142840Y-132517600D01* -X106129666Y-132524642D01* -X106118118Y-132534118D01* -X106108642Y-132545666D01* -X106101600Y-132558840D01* -X106097264Y-132573134D01* -X106095800Y-132588000D01* -X104724200Y-132588000D01* -X104722736Y-132573134D01* -X104718400Y-132558840D01* -X104711358Y-132545666D01* -X104701882Y-132534118D01* -X104690334Y-132524642D01* -X104677160Y-132517600D01* -X104662866Y-132513264D01* -X104648000Y-132511800D01* -X104267000Y-132511800D01* -X104252134Y-132513264D01* -X104237840Y-132517600D01* -X104224666Y-132524642D01* -X104213118Y-132534118D01* -X104203642Y-132545666D01* -X104196600Y-132558840D01* -X104192264Y-132573134D01* -X104190800Y-132588000D01* -X104089200Y-132588000D01* -X104087736Y-132573134D01* -X104083400Y-132558840D01* -X104076358Y-132545666D01* -X104066882Y-132534118D01* -X104055334Y-132524642D01* -X104042160Y-132517600D01* -X104027866Y-132513264D01* -X104013000Y-132511800D01* -X103632000Y-132511800D01* -X103617134Y-132513264D01* -X103602840Y-132517600D01* -X103589666Y-132524642D01* -X103578118Y-132534118D01* -X103568642Y-132545666D01* -X103561600Y-132558840D01* -X103557264Y-132573134D01* -X103555800Y-132588000D01* -X102184200Y-132588000D01* -X102182736Y-132573134D01* -X102178400Y-132558840D01* -X102171358Y-132545666D01* -X102161882Y-132534118D01* -X102150334Y-132524642D01* -X102137160Y-132517600D01* -X102122866Y-132513264D01* -X102108000Y-132511800D01* -X101727000Y-132511800D01* -X101712134Y-132513264D01* -X101697840Y-132517600D01* -X101684666Y-132524642D01* -X101673118Y-132534118D01* -X101663642Y-132545666D01* -X101656600Y-132558840D01* -X101652264Y-132573134D01* -X101650800Y-132588000D01* -X101549200Y-132588000D01* -X101547736Y-132573134D01* -X101543400Y-132558840D01* -X101536358Y-132545666D01* -X101526882Y-132534118D01* -X101515334Y-132524642D01* -X101502160Y-132517600D01* -X101487866Y-132513264D01* -X101473000Y-132511800D01* -X101092000Y-132511800D01* -X101077134Y-132513264D01* -X101062840Y-132517600D01* -X101049666Y-132524642D01* -X101038118Y-132534118D01* -X101028642Y-132545666D01* -X101021600Y-132558840D01* -X101017264Y-132573134D01* -X101015800Y-132588000D01* -X99644200Y-132588000D01* -X99642736Y-132573134D01* -X99638400Y-132558840D01* -X99631358Y-132545666D01* -X99621882Y-132534118D01* -X99610334Y-132524642D01* -X99597160Y-132517600D01* -X99582866Y-132513264D01* -X99568000Y-132511800D01* -X99187000Y-132511800D01* -X99172134Y-132513264D01* -X99157840Y-132517600D01* -X99144666Y-132524642D01* -X99133118Y-132534118D01* -X99123642Y-132545666D01* -X99116600Y-132558840D01* -X99112264Y-132573134D01* -X99110800Y-132588000D01* -X99009200Y-132588000D01* -X99007736Y-132573134D01* -X99003400Y-132558840D01* -X98996358Y-132545666D01* -X98986882Y-132534118D01* -X98975334Y-132524642D01* -X98962160Y-132517600D01* -X98947866Y-132513264D01* -X98933000Y-132511800D01* -X98552000Y-132511800D01* -X98537134Y-132513264D01* -X98522840Y-132517600D01* -X98509666Y-132524642D01* -X98498118Y-132534118D01* -X98488642Y-132545666D01* -X98481600Y-132558840D01* -X98477264Y-132573134D01* -X98475800Y-132588000D01* -X97104200Y-132588000D01* -X97102736Y-132573134D01* -X97098400Y-132558840D01* -X97091358Y-132545666D01* -X97081882Y-132534118D01* -X97070334Y-132524642D01* -X97057160Y-132517600D01* -X97042866Y-132513264D01* -X97028000Y-132511800D01* -X96647000Y-132511800D01* -X96632134Y-132513264D01* -X96617840Y-132517600D01* -X96604666Y-132524642D01* -X96593118Y-132534118D01* -X96583642Y-132545666D01* -X96576600Y-132558840D01* -X96572264Y-132573134D01* -X96570800Y-132588000D01* -X96469200Y-132588000D01* -X96467736Y-132573134D01* -X96463400Y-132558840D01* -X96456358Y-132545666D01* -X96446882Y-132534118D01* -X96435334Y-132524642D01* -X96422160Y-132517600D01* -X96407866Y-132513264D01* -X96393000Y-132511800D01* -X96012000Y-132511800D01* -X95997134Y-132513264D01* -X95982840Y-132517600D01* -X95969666Y-132524642D01* -X95958118Y-132534118D01* -X95948642Y-132545666D01* -X95941600Y-132558840D01* -X95937264Y-132573134D01* -X95935800Y-132588000D01* -X94564200Y-132588000D01* -X94562736Y-132573134D01* -X94558400Y-132558840D01* -X94551358Y-132545666D01* -X94541882Y-132534118D01* -X94530334Y-132524642D01* -X94517160Y-132517600D01* -X94502866Y-132513264D01* -X94488000Y-132511800D01* -X94107000Y-132511800D01* -X94092134Y-132513264D01* -X94077840Y-132517600D01* -X94064666Y-132524642D01* -X94053118Y-132534118D01* -X94043642Y-132545666D01* -X94036600Y-132558840D01* -X94032264Y-132573134D01* -X94030800Y-132588000D01* -X93929200Y-132588000D01* -X93927736Y-132573134D01* -X93923400Y-132558840D01* -X93916358Y-132545666D01* -X93906882Y-132534118D01* -X93895334Y-132524642D01* -X93882160Y-132517600D01* -X93867866Y-132513264D01* -X93853000Y-132511800D01* -X93472000Y-132511800D01* -X93457134Y-132513264D01* -X93442840Y-132517600D01* -X93429666Y-132524642D01* -X93418118Y-132534118D01* -X93408642Y-132545666D01* -X93401600Y-132558840D01* -X93397264Y-132573134D01* -X93395800Y-132588000D01* -X92024200Y-132588000D01* -X92022736Y-132573134D01* -X92018400Y-132558840D01* -X92011358Y-132545666D01* -X92001882Y-132534118D01* -X91990334Y-132524642D01* -X91977160Y-132517600D01* -X91962866Y-132513264D01* -X91948000Y-132511800D01* -X91567000Y-132511800D01* -X91552134Y-132513264D01* -X91537840Y-132517600D01* -X91524666Y-132524642D01* -X91513118Y-132534118D01* -X91503642Y-132545666D01* -X91496600Y-132558840D01* -X91492264Y-132573134D01* -X91490800Y-132588000D01* -X91389200Y-132588000D01* -X91387736Y-132573134D01* -X91383400Y-132558840D01* -X91376358Y-132545666D01* -X91366882Y-132534118D01* -X91355334Y-132524642D01* -X91342160Y-132517600D01* -X91327866Y-132513264D01* -X91313000Y-132511800D01* -X90932000Y-132511800D01* -X90917134Y-132513264D01* -X90902840Y-132517600D01* -X90889666Y-132524642D01* -X90878118Y-132534118D01* -X90868642Y-132545666D01* -X90861600Y-132558840D01* -X90857264Y-132573134D01* -X90855800Y-132588000D01* -X89484200Y-132588000D01* -X89482736Y-132573134D01* -X89478400Y-132558840D01* -X89471358Y-132545666D01* -X89461882Y-132534118D01* -X89450334Y-132524642D01* -X89437160Y-132517600D01* -X89422866Y-132513264D01* -X89408000Y-132511800D01* -X89027000Y-132511800D01* -X89012134Y-132513264D01* -X88997840Y-132517600D01* -X88984666Y-132524642D01* -X88973118Y-132534118D01* -X88963642Y-132545666D01* -X88956600Y-132558840D01* -X88952264Y-132573134D01* -X88950800Y-132588000D01* -X88849200Y-132588000D01* -X88847736Y-132573134D01* -X88843400Y-132558840D01* -X88836358Y-132545666D01* -X88826882Y-132534118D01* -X88815334Y-132524642D01* -X88802160Y-132517600D01* -X88787866Y-132513264D01* -X88773000Y-132511800D01* -X88392000Y-132511800D01* -X88377134Y-132513264D01* -X88362840Y-132517600D01* -X88349666Y-132524642D01* -X88338118Y-132534118D01* -X88328642Y-132545666D01* -X88321600Y-132558840D01* -X88317264Y-132573134D01* -X88315800Y-132588000D01* -X86944200Y-132588000D01* -X86942736Y-132573134D01* -X86938400Y-132558840D01* -X86931358Y-132545666D01* -X86921882Y-132534118D01* -X86910334Y-132524642D01* -X86897160Y-132517600D01* -X86882866Y-132513264D01* -X86868000Y-132511800D01* -X86487000Y-132511800D01* -X86472134Y-132513264D01* -X86457840Y-132517600D01* -X86444666Y-132524642D01* -X86433118Y-132534118D01* -X86423642Y-132545666D01* -X86416600Y-132558840D01* -X86412264Y-132573134D01* -X86410800Y-132588000D01* -X86309200Y-132588000D01* -X86307736Y-132573134D01* -X86303400Y-132558840D01* -X86296358Y-132545666D01* -X86286882Y-132534118D01* -X86275334Y-132524642D01* -X86262160Y-132517600D01* -X86247866Y-132513264D01* -X86233000Y-132511800D01* -X85852000Y-132511800D01* -X85837134Y-132513264D01* -X85822840Y-132517600D01* -X85809666Y-132524642D01* -X85798118Y-132534118D01* -X85788642Y-132545666D01* -X85781600Y-132558840D01* -X85777264Y-132573134D01* -X85775800Y-132588000D01* -X84404200Y-132588000D01* -X84402736Y-132573134D01* -X84398400Y-132558840D01* -X84391358Y-132545666D01* -X84381882Y-132534118D01* -X84370334Y-132524642D01* -X84357160Y-132517600D01* -X84342866Y-132513264D01* -X84328000Y-132511800D01* -X83947000Y-132511800D01* -X83932134Y-132513264D01* -X83917840Y-132517600D01* -X83904666Y-132524642D01* -X83893118Y-132534118D01* -X83883642Y-132545666D01* -X83876600Y-132558840D01* -X83872264Y-132573134D01* -X83870800Y-132588000D01* -X83769200Y-132588000D01* -X83767736Y-132573134D01* -X83763400Y-132558840D01* -X83756358Y-132545666D01* -X83746882Y-132534118D01* -X83735334Y-132524642D01* -X83722160Y-132517600D01* -X83707866Y-132513264D01* -X83693000Y-132511800D01* -X83312000Y-132511800D01* -X83297134Y-132513264D01* -X83282840Y-132517600D01* -X83269666Y-132524642D01* -X83258118Y-132534118D01* -X83248642Y-132545666D01* -X83241600Y-132558840D01* -X83237264Y-132573134D01* -X83235800Y-132588000D01* -X81864200Y-132588000D01* -X81862736Y-132573134D01* -X81858400Y-132558840D01* -X81851358Y-132545666D01* -X81841882Y-132534118D01* -X81830334Y-132524642D01* -X81817160Y-132517600D01* -X81802866Y-132513264D01* -X81788000Y-132511800D01* -X81407000Y-132511800D01* -X81392134Y-132513264D01* -X81377840Y-132517600D01* -X81364666Y-132524642D01* -X81353118Y-132534118D01* -X81343642Y-132545666D01* -X81336600Y-132558840D01* -X81332264Y-132573134D01* -X81330800Y-132588000D01* -X81229200Y-132588000D01* -X81227736Y-132573134D01* -X81223400Y-132558840D01* -X81216358Y-132545666D01* -X81206882Y-132534118D01* -X81195334Y-132524642D01* -X81182160Y-132517600D01* -X81167866Y-132513264D01* -X81153000Y-132511800D01* -X80772000Y-132511800D01* -X80757134Y-132513264D01* -X80742840Y-132517600D01* -X80729666Y-132524642D01* -X80718118Y-132534118D01* -X80708642Y-132545666D01* -X80701600Y-132558840D01* -X80697264Y-132573134D01* -X80695800Y-132588000D01* -X79324200Y-132588000D01* -X79322736Y-132573134D01* -X79318400Y-132558840D01* -X79311358Y-132545666D01* -X79301882Y-132534118D01* -X79290334Y-132524642D01* -X79277160Y-132517600D01* -X79262866Y-132513264D01* -X79248000Y-132511800D01* -X78867000Y-132511800D01* -X78852134Y-132513264D01* -X78837840Y-132517600D01* -X78824666Y-132524642D01* -X78813118Y-132534118D01* -X78803642Y-132545666D01* -X78796600Y-132558840D01* -X78792264Y-132573134D01* -X78790800Y-132588000D01* -X78689200Y-132588000D01* -X78687736Y-132573134D01* -X78683400Y-132558840D01* -X78676358Y-132545666D01* -X78666882Y-132534118D01* -X78655334Y-132524642D01* -X78642160Y-132517600D01* -X78627866Y-132513264D01* -X78613000Y-132511800D01* -X78232000Y-132511800D01* -X78217134Y-132513264D01* -X78202840Y-132517600D01* -X78189666Y-132524642D01* -X78178118Y-132534118D01* -X78168642Y-132545666D01* -X78161600Y-132558840D01* -X78157264Y-132573134D01* -X78155800Y-132588000D01* -X74498200Y-132588000D01* -X74498200Y-131953000D01* -X78155800Y-131953000D01* -X78155800Y-132334000D01* -X78157264Y-132348866D01* -X78161600Y-132363160D01* -X78168642Y-132376334D01* -X78178118Y-132387882D01* -X78189666Y-132397358D01* -X78202840Y-132404400D01* -X78217134Y-132408736D01* -X78232000Y-132410200D01* -X78613000Y-132410200D01* -X78627866Y-132408736D01* -X78642160Y-132404400D01* -X78655334Y-132397358D01* -X78666882Y-132387882D01* -X78676358Y-132376334D01* -X78683400Y-132363160D01* -X78687736Y-132348866D01* -X78689200Y-132334000D01* -X78689200Y-131953000D01* -X78790800Y-131953000D01* -X78790800Y-132334000D01* -X78792264Y-132348866D01* -X78796600Y-132363160D01* -X78803642Y-132376334D01* -X78813118Y-132387882D01* -X78824666Y-132397358D01* -X78837840Y-132404400D01* -X78852134Y-132408736D01* -X78867000Y-132410200D01* -X79248000Y-132410200D01* -X79262866Y-132408736D01* -X79277160Y-132404400D01* -X79290334Y-132397358D01* -X79301882Y-132387882D01* -X79311358Y-132376334D01* -X79318400Y-132363160D01* -X79322736Y-132348866D01* -X79324200Y-132334000D01* -X79324200Y-131953000D01* -X80695800Y-131953000D01* -X80695800Y-132334000D01* -X80697264Y-132348866D01* -X80701600Y-132363160D01* -X80708642Y-132376334D01* -X80718118Y-132387882D01* -X80729666Y-132397358D01* -X80742840Y-132404400D01* -X80757134Y-132408736D01* -X80772000Y-132410200D01* -X81153000Y-132410200D01* -X81167866Y-132408736D01* -X81182160Y-132404400D01* -X81195334Y-132397358D01* -X81206882Y-132387882D01* -X81216358Y-132376334D01* -X81223400Y-132363160D01* -X81227736Y-132348866D01* -X81229200Y-132334000D01* -X81229200Y-131953000D01* -X81330800Y-131953000D01* -X81330800Y-132334000D01* -X81332264Y-132348866D01* -X81336600Y-132363160D01* -X81343642Y-132376334D01* -X81353118Y-132387882D01* -X81364666Y-132397358D01* -X81377840Y-132404400D01* -X81392134Y-132408736D01* -X81407000Y-132410200D01* -X81788000Y-132410200D01* -X81802866Y-132408736D01* -X81817160Y-132404400D01* -X81830334Y-132397358D01* -X81841882Y-132387882D01* -X81851358Y-132376334D01* -X81858400Y-132363160D01* -X81862736Y-132348866D01* -X81864200Y-132334000D01* -X81864200Y-131953000D01* -X83235800Y-131953000D01* -X83235800Y-132334000D01* -X83237264Y-132348866D01* -X83241600Y-132363160D01* -X83248642Y-132376334D01* -X83258118Y-132387882D01* -X83269666Y-132397358D01* -X83282840Y-132404400D01* -X83297134Y-132408736D01* -X83312000Y-132410200D01* -X83693000Y-132410200D01* -X83707866Y-132408736D01* -X83722160Y-132404400D01* -X83735334Y-132397358D01* -X83746882Y-132387882D01* -X83756358Y-132376334D01* -X83763400Y-132363160D01* -X83767736Y-132348866D01* -X83769200Y-132334000D01* -X83769200Y-131953000D01* -X83870800Y-131953000D01* -X83870800Y-132334000D01* -X83872264Y-132348866D01* -X83876600Y-132363160D01* -X83883642Y-132376334D01* -X83893118Y-132387882D01* -X83904666Y-132397358D01* -X83917840Y-132404400D01* -X83932134Y-132408736D01* -X83947000Y-132410200D01* -X84328000Y-132410200D01* -X84342866Y-132408736D01* -X84357160Y-132404400D01* -X84370334Y-132397358D01* -X84381882Y-132387882D01* -X84391358Y-132376334D01* -X84398400Y-132363160D01* -X84402736Y-132348866D01* -X84404200Y-132334000D01* -X84404200Y-131953000D01* -X85775800Y-131953000D01* -X85775800Y-132334000D01* -X85777264Y-132348866D01* -X85781600Y-132363160D01* -X85788642Y-132376334D01* -X85798118Y-132387882D01* -X85809666Y-132397358D01* -X85822840Y-132404400D01* -X85837134Y-132408736D01* -X85852000Y-132410200D01* -X86233000Y-132410200D01* -X86247866Y-132408736D01* -X86262160Y-132404400D01* -X86275334Y-132397358D01* -X86286882Y-132387882D01* -X86296358Y-132376334D01* -X86303400Y-132363160D01* -X86307736Y-132348866D01* -X86309200Y-132334000D01* -X86309200Y-131953000D01* -X86410800Y-131953000D01* -X86410800Y-132334000D01* -X86412264Y-132348866D01* -X86416600Y-132363160D01* -X86423642Y-132376334D01* -X86433118Y-132387882D01* -X86444666Y-132397358D01* -X86457840Y-132404400D01* -X86472134Y-132408736D01* -X86487000Y-132410200D01* -X86868000Y-132410200D01* -X86882866Y-132408736D01* -X86897160Y-132404400D01* -X86910334Y-132397358D01* -X86921882Y-132387882D01* -X86931358Y-132376334D01* -X86938400Y-132363160D01* -X86942736Y-132348866D01* -X86944200Y-132334000D01* -X86944200Y-131953000D01* -X88315800Y-131953000D01* -X88315800Y-132334000D01* -X88317264Y-132348866D01* -X88321600Y-132363160D01* -X88328642Y-132376334D01* -X88338118Y-132387882D01* -X88349666Y-132397358D01* -X88362840Y-132404400D01* -X88377134Y-132408736D01* -X88392000Y-132410200D01* -X88773000Y-132410200D01* -X88787866Y-132408736D01* -X88802160Y-132404400D01* -X88815334Y-132397358D01* -X88826882Y-132387882D01* -X88836358Y-132376334D01* -X88843400Y-132363160D01* -X88847736Y-132348866D01* -X88849200Y-132334000D01* -X88849200Y-131953000D01* -X88950800Y-131953000D01* -X88950800Y-132334000D01* -X88952264Y-132348866D01* -X88956600Y-132363160D01* -X88963642Y-132376334D01* -X88973118Y-132387882D01* -X88984666Y-132397358D01* -X88997840Y-132404400D01* -X89012134Y-132408736D01* -X89027000Y-132410200D01* -X89408000Y-132410200D01* -X89422866Y-132408736D01* -X89437160Y-132404400D01* -X89450334Y-132397358D01* -X89461882Y-132387882D01* -X89471358Y-132376334D01* -X89478400Y-132363160D01* -X89482736Y-132348866D01* -X89484200Y-132334000D01* -X89484200Y-131953000D01* -X90855800Y-131953000D01* -X90855800Y-132334000D01* -X90857264Y-132348866D01* -X90861600Y-132363160D01* -X90868642Y-132376334D01* -X90878118Y-132387882D01* -X90889666Y-132397358D01* -X90902840Y-132404400D01* -X90917134Y-132408736D01* -X90932000Y-132410200D01* -X91313000Y-132410200D01* -X91327866Y-132408736D01* -X91342160Y-132404400D01* -X91355334Y-132397358D01* -X91366882Y-132387882D01* -X91376358Y-132376334D01* -X91383400Y-132363160D01* -X91387736Y-132348866D01* -X91389200Y-132334000D01* -X91389200Y-131953000D01* -X91490800Y-131953000D01* -X91490800Y-132334000D01* -X91492264Y-132348866D01* -X91496600Y-132363160D01* -X91503642Y-132376334D01* -X91513118Y-132387882D01* -X91524666Y-132397358D01* -X91537840Y-132404400D01* -X91552134Y-132408736D01* -X91567000Y-132410200D01* -X91948000Y-132410200D01* -X91962866Y-132408736D01* -X91977160Y-132404400D01* -X91990334Y-132397358D01* -X92001882Y-132387882D01* -X92011358Y-132376334D01* -X92018400Y-132363160D01* -X92022736Y-132348866D01* -X92024200Y-132334000D01* -X92024200Y-131953000D01* -X93395800Y-131953000D01* -X93395800Y-132334000D01* -X93397264Y-132348866D01* -X93401600Y-132363160D01* -X93408642Y-132376334D01* -X93418118Y-132387882D01* -X93429666Y-132397358D01* -X93442840Y-132404400D01* -X93457134Y-132408736D01* -X93472000Y-132410200D01* -X93853000Y-132410200D01* -X93867866Y-132408736D01* -X93882160Y-132404400D01* -X93895334Y-132397358D01* -X93906882Y-132387882D01* -X93916358Y-132376334D01* -X93923400Y-132363160D01* -X93927736Y-132348866D01* -X93929200Y-132334000D01* -X93929200Y-131953000D01* -X94030800Y-131953000D01* -X94030800Y-132334000D01* -X94032264Y-132348866D01* -X94036600Y-132363160D01* -X94043642Y-132376334D01* -X94053118Y-132387882D01* -X94064666Y-132397358D01* -X94077840Y-132404400D01* -X94092134Y-132408736D01* -X94107000Y-132410200D01* -X94488000Y-132410200D01* -X94502866Y-132408736D01* -X94517160Y-132404400D01* -X94530334Y-132397358D01* -X94541882Y-132387882D01* -X94551358Y-132376334D01* -X94558400Y-132363160D01* -X94562736Y-132348866D01* -X94564200Y-132334000D01* -X94564200Y-131953000D01* -X95935800Y-131953000D01* -X95935800Y-132334000D01* -X95937264Y-132348866D01* -X95941600Y-132363160D01* -X95948642Y-132376334D01* -X95958118Y-132387882D01* -X95969666Y-132397358D01* -X95982840Y-132404400D01* -X95997134Y-132408736D01* -X96012000Y-132410200D01* -X96393000Y-132410200D01* -X96407866Y-132408736D01* -X96422160Y-132404400D01* -X96435334Y-132397358D01* -X96446882Y-132387882D01* -X96456358Y-132376334D01* -X96463400Y-132363160D01* -X96467736Y-132348866D01* -X96469200Y-132334000D01* -X96469200Y-131953000D01* -X96570800Y-131953000D01* -X96570800Y-132334000D01* -X96572264Y-132348866D01* -X96576600Y-132363160D01* -X96583642Y-132376334D01* -X96593118Y-132387882D01* -X96604666Y-132397358D01* -X96617840Y-132404400D01* -X96632134Y-132408736D01* -X96647000Y-132410200D01* -X97028000Y-132410200D01* -X97042866Y-132408736D01* -X97057160Y-132404400D01* -X97070334Y-132397358D01* -X97081882Y-132387882D01* -X97091358Y-132376334D01* -X97098400Y-132363160D01* -X97102736Y-132348866D01* -X97104200Y-132334000D01* -X97104200Y-131953000D01* -X98475800Y-131953000D01* -X98475800Y-132334000D01* -X98477264Y-132348866D01* -X98481600Y-132363160D01* -X98488642Y-132376334D01* -X98498118Y-132387882D01* -X98509666Y-132397358D01* -X98522840Y-132404400D01* -X98537134Y-132408736D01* -X98552000Y-132410200D01* -X98933000Y-132410200D01* -X98947866Y-132408736D01* -X98962160Y-132404400D01* -X98975334Y-132397358D01* -X98986882Y-132387882D01* -X98996358Y-132376334D01* -X99003400Y-132363160D01* -X99007736Y-132348866D01* -X99009200Y-132334000D01* -X99009200Y-131953000D01* -X99110800Y-131953000D01* -X99110800Y-132334000D01* -X99112264Y-132348866D01* -X99116600Y-132363160D01* -X99123642Y-132376334D01* -X99133118Y-132387882D01* -X99144666Y-132397358D01* -X99157840Y-132404400D01* -X99172134Y-132408736D01* -X99187000Y-132410200D01* -X99568000Y-132410200D01* -X99582866Y-132408736D01* -X99597160Y-132404400D01* -X99610334Y-132397358D01* -X99621882Y-132387882D01* -X99631358Y-132376334D01* -X99638400Y-132363160D01* -X99642736Y-132348866D01* -X99644200Y-132334000D01* -X99644200Y-131953000D01* -X101015800Y-131953000D01* -X101015800Y-132334000D01* -X101017264Y-132348866D01* -X101021600Y-132363160D01* -X101028642Y-132376334D01* -X101038118Y-132387882D01* -X101049666Y-132397358D01* -X101062840Y-132404400D01* -X101077134Y-132408736D01* -X101092000Y-132410200D01* -X101473000Y-132410200D01* -X101487866Y-132408736D01* -X101502160Y-132404400D01* -X101515334Y-132397358D01* -X101526882Y-132387882D01* -X101536358Y-132376334D01* -X101543400Y-132363160D01* -X101547736Y-132348866D01* -X101549200Y-132334000D01* -X101549200Y-131953000D01* -X101650800Y-131953000D01* -X101650800Y-132334000D01* -X101652264Y-132348866D01* -X101656600Y-132363160D01* -X101663642Y-132376334D01* -X101673118Y-132387882D01* -X101684666Y-132397358D01* -X101697840Y-132404400D01* -X101712134Y-132408736D01* -X101727000Y-132410200D01* -X102108000Y-132410200D01* -X102122866Y-132408736D01* -X102137160Y-132404400D01* -X102150334Y-132397358D01* -X102161882Y-132387882D01* -X102171358Y-132376334D01* -X102178400Y-132363160D01* -X102182736Y-132348866D01* -X102184200Y-132334000D01* -X102184200Y-131953000D01* -X103555800Y-131953000D01* -X103555800Y-132334000D01* -X103557264Y-132348866D01* -X103561600Y-132363160D01* -X103568642Y-132376334D01* -X103578118Y-132387882D01* -X103589666Y-132397358D01* -X103602840Y-132404400D01* -X103617134Y-132408736D01* -X103632000Y-132410200D01* -X104013000Y-132410200D01* -X104027866Y-132408736D01* -X104042160Y-132404400D01* -X104055334Y-132397358D01* -X104066882Y-132387882D01* -X104076358Y-132376334D01* -X104083400Y-132363160D01* -X104087736Y-132348866D01* -X104089200Y-132334000D01* -X104089200Y-131953000D01* -X104190800Y-131953000D01* -X104190800Y-132334000D01* -X104192264Y-132348866D01* -X104196600Y-132363160D01* -X104203642Y-132376334D01* -X104213118Y-132387882D01* -X104224666Y-132397358D01* -X104237840Y-132404400D01* -X104252134Y-132408736D01* -X104267000Y-132410200D01* -X104648000Y-132410200D01* -X104662866Y-132408736D01* -X104677160Y-132404400D01* -X104690334Y-132397358D01* -X104701882Y-132387882D01* -X104711358Y-132376334D01* -X104718400Y-132363160D01* -X104722736Y-132348866D01* -X104724200Y-132334000D01* -X104724200Y-131953000D01* -X106095800Y-131953000D01* -X106095800Y-132334000D01* -X106097264Y-132348866D01* -X106101600Y-132363160D01* -X106108642Y-132376334D01* -X106118118Y-132387882D01* -X106129666Y-132397358D01* -X106142840Y-132404400D01* -X106157134Y-132408736D01* -X106172000Y-132410200D01* -X106553000Y-132410200D01* -X106567866Y-132408736D01* -X106582160Y-132404400D01* -X106595334Y-132397358D01* -X106606882Y-132387882D01* -X106616358Y-132376334D01* -X106623400Y-132363160D01* -X106627736Y-132348866D01* -X106629200Y-132334000D01* -X106629200Y-131953000D01* -X106730800Y-131953000D01* -X106730800Y-132334000D01* -X106732264Y-132348866D01* -X106736600Y-132363160D01* -X106743642Y-132376334D01* -X106753118Y-132387882D01* -X106764666Y-132397358D01* -X106777840Y-132404400D01* -X106792134Y-132408736D01* -X106807000Y-132410200D01* -X107188000Y-132410200D01* -X107202866Y-132408736D01* -X107217160Y-132404400D01* -X107230334Y-132397358D01* -X107241882Y-132387882D01* -X107251358Y-132376334D01* -X107258400Y-132363160D01* -X107262736Y-132348866D01* -X107264200Y-132334000D01* -X107264200Y-131953000D01* -X108635800Y-131953000D01* -X108635800Y-132334000D01* -X108637264Y-132348866D01* -X108641600Y-132363160D01* -X108648642Y-132376334D01* -X108658118Y-132387882D01* -X108669666Y-132397358D01* -X108682840Y-132404400D01* -X108697134Y-132408736D01* -X108712000Y-132410200D01* -X109093000Y-132410200D01* -X109107866Y-132408736D01* -X109122160Y-132404400D01* -X109135334Y-132397358D01* -X109146882Y-132387882D01* -X109156358Y-132376334D01* -X109163400Y-132363160D01* -X109167736Y-132348866D01* -X109169200Y-132334000D01* -X109169200Y-131953000D01* -X109270800Y-131953000D01* -X109270800Y-132334000D01* -X109272264Y-132348866D01* -X109276600Y-132363160D01* -X109283642Y-132376334D01* -X109293118Y-132387882D01* -X109304666Y-132397358D01* -X109317840Y-132404400D01* -X109332134Y-132408736D01* -X109347000Y-132410200D01* -X109728000Y-132410200D01* -X109742866Y-132408736D01* -X109757160Y-132404400D01* -X109770334Y-132397358D01* -X109781882Y-132387882D01* -X109791358Y-132376334D01* -X109798400Y-132363160D01* -X109802736Y-132348866D01* -X109804200Y-132334000D01* -X109804200Y-131953000D01* -X111175800Y-131953000D01* -X111175800Y-132334000D01* -X111177264Y-132348866D01* -X111181600Y-132363160D01* -X111188642Y-132376334D01* -X111198118Y-132387882D01* -X111209666Y-132397358D01* -X111222840Y-132404400D01* -X111237134Y-132408736D01* -X111252000Y-132410200D01* -X111633000Y-132410200D01* -X111647866Y-132408736D01* -X111662160Y-132404400D01* -X111675334Y-132397358D01* -X111686882Y-132387882D01* -X111696358Y-132376334D01* -X111703400Y-132363160D01* -X111707736Y-132348866D01* -X111709200Y-132334000D01* -X111709200Y-131953000D01* -X111810800Y-131953000D01* -X111810800Y-132334000D01* -X111812264Y-132348866D01* -X111816600Y-132363160D01* -X111823642Y-132376334D01* -X111833118Y-132387882D01* -X111844666Y-132397358D01* -X111857840Y-132404400D01* -X111872134Y-132408736D01* -X111887000Y-132410200D01* -X112268000Y-132410200D01* -X112282866Y-132408736D01* -X112297160Y-132404400D01* -X112310334Y-132397358D01* -X112321882Y-132387882D01* -X112331358Y-132376334D01* -X112338400Y-132363160D01* -X112342736Y-132348866D01* -X112344200Y-132334000D01* -X112344200Y-131953000D01* -X113715800Y-131953000D01* -X113715800Y-132334000D01* -X113717264Y-132348866D01* -X113721600Y-132363160D01* -X113728642Y-132376334D01* -X113738118Y-132387882D01* -X113749666Y-132397358D01* -X113762840Y-132404400D01* -X113777134Y-132408736D01* -X113792000Y-132410200D01* -X114173000Y-132410200D01* -X114187866Y-132408736D01* -X114202160Y-132404400D01* -X114215334Y-132397358D01* -X114226882Y-132387882D01* -X114236358Y-132376334D01* -X114243400Y-132363160D01* -X114247736Y-132348866D01* -X114249200Y-132334000D01* -X114249200Y-131953000D01* -X114350800Y-131953000D01* -X114350800Y-132334000D01* -X114352264Y-132348866D01* -X114356600Y-132363160D01* -X114363642Y-132376334D01* -X114373118Y-132387882D01* -X114384666Y-132397358D01* -X114397840Y-132404400D01* -X114412134Y-132408736D01* -X114427000Y-132410200D01* -X114808000Y-132410200D01* -X114822866Y-132408736D01* -X114837160Y-132404400D01* -X114850334Y-132397358D01* -X114861882Y-132387882D01* -X114871358Y-132376334D01* -X114878400Y-132363160D01* -X114882736Y-132348866D01* -X114884200Y-132334000D01* -X114884200Y-131953000D01* -X121335800Y-131953000D01* -X121335800Y-132334000D01* -X121337264Y-132348866D01* -X121341600Y-132363160D01* -X121348642Y-132376334D01* -X121358118Y-132387882D01* -X121369666Y-132397358D01* -X121382840Y-132404400D01* -X121397134Y-132408736D01* -X121412000Y-132410200D01* -X121793000Y-132410200D01* -X121807866Y-132408736D01* -X121822160Y-132404400D01* -X121835334Y-132397358D01* -X121846882Y-132387882D01* -X121856358Y-132376334D01* -X121863400Y-132363160D01* -X121867736Y-132348866D01* -X121869200Y-132334000D01* -X121869200Y-131953000D01* -X121970800Y-131953000D01* -X121970800Y-132334000D01* -X121972264Y-132348866D01* -X121976600Y-132363160D01* -X121983642Y-132376334D01* -X121993118Y-132387882D01* -X122004666Y-132397358D01* -X122017840Y-132404400D01* -X122032134Y-132408736D01* -X122047000Y-132410200D01* -X122428000Y-132410200D01* -X122442866Y-132408736D01* -X122457160Y-132404400D01* -X122470334Y-132397358D01* -X122481882Y-132387882D01* -X122491358Y-132376334D01* -X122498400Y-132363160D01* -X122502736Y-132348866D01* -X122504200Y-132334000D01* -X122504200Y-131953000D01* -X123875800Y-131953000D01* -X123875800Y-132334000D01* -X123877264Y-132348866D01* -X123881600Y-132363160D01* -X123888642Y-132376334D01* -X123898118Y-132387882D01* -X123909666Y-132397358D01* -X123922840Y-132404400D01* -X123937134Y-132408736D01* -X123952000Y-132410200D01* -X124333000Y-132410200D01* -X124347866Y-132408736D01* -X124362160Y-132404400D01* -X124375334Y-132397358D01* -X124386882Y-132387882D01* -X124396358Y-132376334D01* -X124403400Y-132363160D01* -X124407736Y-132348866D01* -X124409200Y-132334000D01* -X124409200Y-131953000D01* -X124510800Y-131953000D01* -X124510800Y-132334000D01* -X124512264Y-132348866D01* -X124516600Y-132363160D01* -X124523642Y-132376334D01* -X124533118Y-132387882D01* -X124544666Y-132397358D01* -X124557840Y-132404400D01* -X124572134Y-132408736D01* -X124587000Y-132410200D01* -X124968000Y-132410200D01* -X124982866Y-132408736D01* -X124997160Y-132404400D01* -X125010334Y-132397358D01* -X125021882Y-132387882D01* -X125031358Y-132376334D01* -X125038400Y-132363160D01* -X125042736Y-132348866D01* -X125044200Y-132334000D01* -X125044200Y-131953000D01* -X126415800Y-131953000D01* -X126415800Y-132334000D01* -X126417264Y-132348866D01* -X126421600Y-132363160D01* -X126428642Y-132376334D01* -X126438118Y-132387882D01* -X126449666Y-132397358D01* -X126462840Y-132404400D01* -X126477134Y-132408736D01* -X126492000Y-132410200D01* -X126873000Y-132410200D01* -X126887866Y-132408736D01* -X126902160Y-132404400D01* -X126915334Y-132397358D01* -X126926882Y-132387882D01* -X126936358Y-132376334D01* -X126943400Y-132363160D01* -X126947736Y-132348866D01* -X126949200Y-132334000D01* -X126949200Y-131953000D01* -X127050800Y-131953000D01* -X127050800Y-132334000D01* -X127052264Y-132348866D01* -X127056600Y-132363160D01* -X127063642Y-132376334D01* -X127073118Y-132387882D01* -X127084666Y-132397358D01* -X127097840Y-132404400D01* -X127112134Y-132408736D01* -X127127000Y-132410200D01* -X127508000Y-132410200D01* -X127522866Y-132408736D01* -X127537160Y-132404400D01* -X127550334Y-132397358D01* -X127561882Y-132387882D01* -X127571358Y-132376334D01* -X127578400Y-132363160D01* -X127582736Y-132348866D01* -X127584200Y-132334000D01* -X127584200Y-131953000D01* -X128955800Y-131953000D01* -X128955800Y-132334000D01* -X128957264Y-132348866D01* -X128961600Y-132363160D01* -X128968642Y-132376334D01* -X128978118Y-132387882D01* -X128989666Y-132397358D01* -X129002840Y-132404400D01* -X129017134Y-132408736D01* -X129032000Y-132410200D01* -X129413000Y-132410200D01* -X129427866Y-132408736D01* -X129442160Y-132404400D01* -X129455334Y-132397358D01* -X129466882Y-132387882D01* -X129476358Y-132376334D01* -X129483400Y-132363160D01* -X129487736Y-132348866D01* -X129489200Y-132334000D01* -X129489200Y-131953000D01* -X129590800Y-131953000D01* -X129590800Y-132334000D01* -X129592264Y-132348866D01* -X129596600Y-132363160D01* -X129603642Y-132376334D01* -X129613118Y-132387882D01* -X129624666Y-132397358D01* -X129637840Y-132404400D01* -X129652134Y-132408736D01* -X129667000Y-132410200D01* -X130048000Y-132410200D01* -X130062866Y-132408736D01* -X130077160Y-132404400D01* -X130090334Y-132397358D01* -X130101882Y-132387882D01* -X130111358Y-132376334D01* -X130118400Y-132363160D01* -X130122736Y-132348866D01* -X130124200Y-132334000D01* -X130124200Y-131953000D01* -X131495800Y-131953000D01* -X131495800Y-132334000D01* -X131497264Y-132348866D01* -X131501600Y-132363160D01* -X131508642Y-132376334D01* -X131518118Y-132387882D01* -X131529666Y-132397358D01* -X131542840Y-132404400D01* -X131557134Y-132408736D01* -X131572000Y-132410200D01* -X131953000Y-132410200D01* -X131967866Y-132408736D01* -X131982160Y-132404400D01* -X131995334Y-132397358D01* -X132006882Y-132387882D01* -X132016358Y-132376334D01* -X132023400Y-132363160D01* -X132027736Y-132348866D01* -X132029200Y-132334000D01* -X132029200Y-131953000D01* -X132130800Y-131953000D01* -X132130800Y-132334000D01* -X132132264Y-132348866D01* -X132136600Y-132363160D01* -X132143642Y-132376334D01* -X132153118Y-132387882D01* -X132164666Y-132397358D01* -X132177840Y-132404400D01* -X132192134Y-132408736D01* -X132207000Y-132410200D01* -X132588000Y-132410200D01* -X132602866Y-132408736D01* -X132617160Y-132404400D01* -X132630334Y-132397358D01* -X132641882Y-132387882D01* -X132651358Y-132376334D01* -X132658400Y-132363160D01* -X132662736Y-132348866D01* -X132664200Y-132334000D01* -X132664200Y-131953000D01* -X134035800Y-131953000D01* -X134035800Y-132334000D01* -X134037264Y-132348866D01* -X134041600Y-132363160D01* -X134048642Y-132376334D01* -X134058118Y-132387882D01* -X134069666Y-132397358D01* -X134082840Y-132404400D01* -X134097134Y-132408736D01* -X134112000Y-132410200D01* -X134493000Y-132410200D01* -X134507866Y-132408736D01* -X134522160Y-132404400D01* -X134535334Y-132397358D01* -X134546882Y-132387882D01* -X134556358Y-132376334D01* -X134563400Y-132363160D01* -X134567736Y-132348866D01* -X134569200Y-132334000D01* -X134569200Y-131953000D01* -X134670800Y-131953000D01* -X134670800Y-132334000D01* -X134672264Y-132348866D01* -X134676600Y-132363160D01* -X134683642Y-132376334D01* -X134693118Y-132387882D01* -X134704666Y-132397358D01* -X134717840Y-132404400D01* -X134732134Y-132408736D01* -X134747000Y-132410200D01* -X135128000Y-132410200D01* -X135142866Y-132408736D01* -X135157160Y-132404400D01* -X135170334Y-132397358D01* -X135181882Y-132387882D01* -X135191358Y-132376334D01* -X135198400Y-132363160D01* -X135202736Y-132348866D01* -X135204200Y-132334000D01* -X135204200Y-131953000D01* -X135202736Y-131938134D01* -X135198400Y-131923840D01* -X135191358Y-131910666D01* -X135181882Y-131899118D01* -X135170334Y-131889642D01* -X135157160Y-131882600D01* -X135142866Y-131878264D01* -X135128000Y-131876800D01* -X134747000Y-131876800D01* -X134732134Y-131878264D01* -X134717840Y-131882600D01* -X134704666Y-131889642D01* -X134693118Y-131899118D01* -X134683642Y-131910666D01* -X134676600Y-131923840D01* -X134672264Y-131938134D01* -X134670800Y-131953000D01* -X134569200Y-131953000D01* -X134567736Y-131938134D01* -X134563400Y-131923840D01* -X134556358Y-131910666D01* -X134546882Y-131899118D01* -X134535334Y-131889642D01* -X134522160Y-131882600D01* -X134507866Y-131878264D01* -X134493000Y-131876800D01* -X134112000Y-131876800D01* -X134097134Y-131878264D01* -X134082840Y-131882600D01* -X134069666Y-131889642D01* -X134058118Y-131899118D01* -X134048642Y-131910666D01* -X134041600Y-131923840D01* -X134037264Y-131938134D01* -X134035800Y-131953000D01* -X132664200Y-131953000D01* -X132662736Y-131938134D01* -X132658400Y-131923840D01* -X132651358Y-131910666D01* -X132641882Y-131899118D01* -X132630334Y-131889642D01* -X132617160Y-131882600D01* -X132602866Y-131878264D01* -X132588000Y-131876800D01* -X132207000Y-131876800D01* -X132192134Y-131878264D01* -X132177840Y-131882600D01* -X132164666Y-131889642D01* -X132153118Y-131899118D01* -X132143642Y-131910666D01* -X132136600Y-131923840D01* -X132132264Y-131938134D01* -X132130800Y-131953000D01* -X132029200Y-131953000D01* -X132027736Y-131938134D01* -X132023400Y-131923840D01* -X132016358Y-131910666D01* -X132006882Y-131899118D01* -X131995334Y-131889642D01* -X131982160Y-131882600D01* -X131967866Y-131878264D01* -X131953000Y-131876800D01* -X131572000Y-131876800D01* -X131557134Y-131878264D01* -X131542840Y-131882600D01* -X131529666Y-131889642D01* -X131518118Y-131899118D01* -X131508642Y-131910666D01* -X131501600Y-131923840D01* -X131497264Y-131938134D01* -X131495800Y-131953000D01* -X130124200Y-131953000D01* -X130122736Y-131938134D01* -X130118400Y-131923840D01* -X130111358Y-131910666D01* -X130101882Y-131899118D01* -X130090334Y-131889642D01* -X130077160Y-131882600D01* -X130062866Y-131878264D01* -X130048000Y-131876800D01* -X129667000Y-131876800D01* -X129652134Y-131878264D01* -X129637840Y-131882600D01* -X129624666Y-131889642D01* -X129613118Y-131899118D01* -X129603642Y-131910666D01* -X129596600Y-131923840D01* -X129592264Y-131938134D01* -X129590800Y-131953000D01* -X129489200Y-131953000D01* -X129487736Y-131938134D01* -X129483400Y-131923840D01* -X129476358Y-131910666D01* -X129466882Y-131899118D01* -X129455334Y-131889642D01* -X129442160Y-131882600D01* -X129427866Y-131878264D01* -X129413000Y-131876800D01* -X129032000Y-131876800D01* -X129017134Y-131878264D01* -X129002840Y-131882600D01* -X128989666Y-131889642D01* -X128978118Y-131899118D01* -X128968642Y-131910666D01* -X128961600Y-131923840D01* -X128957264Y-131938134D01* -X128955800Y-131953000D01* -X127584200Y-131953000D01* -X127582736Y-131938134D01* -X127578400Y-131923840D01* -X127571358Y-131910666D01* -X127561882Y-131899118D01* -X127550334Y-131889642D01* -X127537160Y-131882600D01* -X127522866Y-131878264D01* -X127508000Y-131876800D01* -X127127000Y-131876800D01* -X127112134Y-131878264D01* -X127097840Y-131882600D01* -X127084666Y-131889642D01* -X127073118Y-131899118D01* -X127063642Y-131910666D01* -X127056600Y-131923840D01* -X127052264Y-131938134D01* -X127050800Y-131953000D01* -X126949200Y-131953000D01* -X126947736Y-131938134D01* -X126943400Y-131923840D01* -X126936358Y-131910666D01* -X126926882Y-131899118D01* -X126915334Y-131889642D01* -X126902160Y-131882600D01* -X126887866Y-131878264D01* -X126873000Y-131876800D01* -X126492000Y-131876800D01* -X126477134Y-131878264D01* -X126462840Y-131882600D01* -X126449666Y-131889642D01* -X126438118Y-131899118D01* -X126428642Y-131910666D01* -X126421600Y-131923840D01* -X126417264Y-131938134D01* -X126415800Y-131953000D01* -X125044200Y-131953000D01* -X125042736Y-131938134D01* -X125038400Y-131923840D01* -X125031358Y-131910666D01* -X125021882Y-131899118D01* -X125010334Y-131889642D01* -X124997160Y-131882600D01* -X124982866Y-131878264D01* -X124968000Y-131876800D01* -X124587000Y-131876800D01* -X124572134Y-131878264D01* -X124557840Y-131882600D01* -X124544666Y-131889642D01* -X124533118Y-131899118D01* -X124523642Y-131910666D01* -X124516600Y-131923840D01* -X124512264Y-131938134D01* -X124510800Y-131953000D01* -X124409200Y-131953000D01* -X124407736Y-131938134D01* -X124403400Y-131923840D01* -X124396358Y-131910666D01* -X124386882Y-131899118D01* -X124375334Y-131889642D01* -X124362160Y-131882600D01* -X124347866Y-131878264D01* -X124333000Y-131876800D01* -X123952000Y-131876800D01* -X123937134Y-131878264D01* -X123922840Y-131882600D01* -X123909666Y-131889642D01* -X123898118Y-131899118D01* -X123888642Y-131910666D01* -X123881600Y-131923840D01* -X123877264Y-131938134D01* -X123875800Y-131953000D01* -X122504200Y-131953000D01* -X122502736Y-131938134D01* -X122498400Y-131923840D01* -X122491358Y-131910666D01* -X122481882Y-131899118D01* -X122470334Y-131889642D01* -X122457160Y-131882600D01* -X122442866Y-131878264D01* -X122428000Y-131876800D01* -X122047000Y-131876800D01* -X122032134Y-131878264D01* -X122017840Y-131882600D01* -X122004666Y-131889642D01* -X121993118Y-131899118D01* -X121983642Y-131910666D01* -X121976600Y-131923840D01* -X121972264Y-131938134D01* -X121970800Y-131953000D01* -X121869200Y-131953000D01* -X121867736Y-131938134D01* -X121863400Y-131923840D01* -X121856358Y-131910666D01* -X121846882Y-131899118D01* -X121835334Y-131889642D01* -X121822160Y-131882600D01* -X121807866Y-131878264D01* -X121793000Y-131876800D01* -X121412000Y-131876800D01* -X121397134Y-131878264D01* -X121382840Y-131882600D01* -X121369666Y-131889642D01* -X121358118Y-131899118D01* -X121348642Y-131910666D01* -X121341600Y-131923840D01* -X121337264Y-131938134D01* -X121335800Y-131953000D01* -X114884200Y-131953000D01* -X114882736Y-131938134D01* -X114878400Y-131923840D01* -X114871358Y-131910666D01* -X114861882Y-131899118D01* -X114850334Y-131889642D01* -X114837160Y-131882600D01* -X114822866Y-131878264D01* -X114808000Y-131876800D01* -X114427000Y-131876800D01* -X114412134Y-131878264D01* -X114397840Y-131882600D01* -X114384666Y-131889642D01* -X114373118Y-131899118D01* -X114363642Y-131910666D01* -X114356600Y-131923840D01* -X114352264Y-131938134D01* -X114350800Y-131953000D01* -X114249200Y-131953000D01* -X114247736Y-131938134D01* -X114243400Y-131923840D01* -X114236358Y-131910666D01* -X114226882Y-131899118D01* -X114215334Y-131889642D01* -X114202160Y-131882600D01* -X114187866Y-131878264D01* -X114173000Y-131876800D01* -X113792000Y-131876800D01* -X113777134Y-131878264D01* -X113762840Y-131882600D01* -X113749666Y-131889642D01* -X113738118Y-131899118D01* -X113728642Y-131910666D01* -X113721600Y-131923840D01* -X113717264Y-131938134D01* -X113715800Y-131953000D01* -X112344200Y-131953000D01* -X112342736Y-131938134D01* -X112338400Y-131923840D01* -X112331358Y-131910666D01* -X112321882Y-131899118D01* -X112310334Y-131889642D01* -X112297160Y-131882600D01* -X112282866Y-131878264D01* -X112268000Y-131876800D01* -X111887000Y-131876800D01* -X111872134Y-131878264D01* -X111857840Y-131882600D01* -X111844666Y-131889642D01* -X111833118Y-131899118D01* -X111823642Y-131910666D01* -X111816600Y-131923840D01* -X111812264Y-131938134D01* -X111810800Y-131953000D01* -X111709200Y-131953000D01* -X111707736Y-131938134D01* -X111703400Y-131923840D01* -X111696358Y-131910666D01* -X111686882Y-131899118D01* -X111675334Y-131889642D01* -X111662160Y-131882600D01* -X111647866Y-131878264D01* -X111633000Y-131876800D01* -X111252000Y-131876800D01* -X111237134Y-131878264D01* -X111222840Y-131882600D01* -X111209666Y-131889642D01* -X111198118Y-131899118D01* -X111188642Y-131910666D01* -X111181600Y-131923840D01* -X111177264Y-131938134D01* -X111175800Y-131953000D01* -X109804200Y-131953000D01* -X109802736Y-131938134D01* -X109798400Y-131923840D01* -X109791358Y-131910666D01* -X109781882Y-131899118D01* -X109770334Y-131889642D01* -X109757160Y-131882600D01* -X109742866Y-131878264D01* -X109728000Y-131876800D01* -X109347000Y-131876800D01* -X109332134Y-131878264D01* -X109317840Y-131882600D01* -X109304666Y-131889642D01* -X109293118Y-131899118D01* -X109283642Y-131910666D01* -X109276600Y-131923840D01* -X109272264Y-131938134D01* -X109270800Y-131953000D01* -X109169200Y-131953000D01* -X109167736Y-131938134D01* -X109163400Y-131923840D01* -X109156358Y-131910666D01* -X109146882Y-131899118D01* -X109135334Y-131889642D01* -X109122160Y-131882600D01* -X109107866Y-131878264D01* -X109093000Y-131876800D01* -X108712000Y-131876800D01* -X108697134Y-131878264D01* -X108682840Y-131882600D01* -X108669666Y-131889642D01* -X108658118Y-131899118D01* -X108648642Y-131910666D01* -X108641600Y-131923840D01* -X108637264Y-131938134D01* -X108635800Y-131953000D01* -X107264200Y-131953000D01* -X107262736Y-131938134D01* -X107258400Y-131923840D01* -X107251358Y-131910666D01* -X107241882Y-131899118D01* -X107230334Y-131889642D01* -X107217160Y-131882600D01* -X107202866Y-131878264D01* -X107188000Y-131876800D01* -X106807000Y-131876800D01* -X106792134Y-131878264D01* -X106777840Y-131882600D01* -X106764666Y-131889642D01* -X106753118Y-131899118D01* -X106743642Y-131910666D01* -X106736600Y-131923840D01* -X106732264Y-131938134D01* -X106730800Y-131953000D01* -X106629200Y-131953000D01* -X106627736Y-131938134D01* -X106623400Y-131923840D01* -X106616358Y-131910666D01* -X106606882Y-131899118D01* -X106595334Y-131889642D01* -X106582160Y-131882600D01* -X106567866Y-131878264D01* -X106553000Y-131876800D01* -X106172000Y-131876800D01* -X106157134Y-131878264D01* -X106142840Y-131882600D01* -X106129666Y-131889642D01* -X106118118Y-131899118D01* -X106108642Y-131910666D01* -X106101600Y-131923840D01* -X106097264Y-131938134D01* -X106095800Y-131953000D01* -X104724200Y-131953000D01* -X104722736Y-131938134D01* -X104718400Y-131923840D01* -X104711358Y-131910666D01* -X104701882Y-131899118D01* -X104690334Y-131889642D01* -X104677160Y-131882600D01* -X104662866Y-131878264D01* -X104648000Y-131876800D01* -X104267000Y-131876800D01* -X104252134Y-131878264D01* -X104237840Y-131882600D01* -X104224666Y-131889642D01* -X104213118Y-131899118D01* -X104203642Y-131910666D01* -X104196600Y-131923840D01* -X104192264Y-131938134D01* -X104190800Y-131953000D01* -X104089200Y-131953000D01* -X104087736Y-131938134D01* -X104083400Y-131923840D01* -X104076358Y-131910666D01* -X104066882Y-131899118D01* -X104055334Y-131889642D01* -X104042160Y-131882600D01* -X104027866Y-131878264D01* -X104013000Y-131876800D01* -X103632000Y-131876800D01* -X103617134Y-131878264D01* -X103602840Y-131882600D01* -X103589666Y-131889642D01* -X103578118Y-131899118D01* -X103568642Y-131910666D01* -X103561600Y-131923840D01* -X103557264Y-131938134D01* -X103555800Y-131953000D01* -X102184200Y-131953000D01* -X102182736Y-131938134D01* -X102178400Y-131923840D01* -X102171358Y-131910666D01* -X102161882Y-131899118D01* -X102150334Y-131889642D01* -X102137160Y-131882600D01* -X102122866Y-131878264D01* -X102108000Y-131876800D01* -X101727000Y-131876800D01* -X101712134Y-131878264D01* -X101697840Y-131882600D01* -X101684666Y-131889642D01* -X101673118Y-131899118D01* -X101663642Y-131910666D01* -X101656600Y-131923840D01* -X101652264Y-131938134D01* -X101650800Y-131953000D01* -X101549200Y-131953000D01* -X101547736Y-131938134D01* -X101543400Y-131923840D01* -X101536358Y-131910666D01* -X101526882Y-131899118D01* -X101515334Y-131889642D01* -X101502160Y-131882600D01* -X101487866Y-131878264D01* -X101473000Y-131876800D01* -X101092000Y-131876800D01* -X101077134Y-131878264D01* -X101062840Y-131882600D01* -X101049666Y-131889642D01* -X101038118Y-131899118D01* -X101028642Y-131910666D01* -X101021600Y-131923840D01* -X101017264Y-131938134D01* -X101015800Y-131953000D01* -X99644200Y-131953000D01* -X99642736Y-131938134D01* -X99638400Y-131923840D01* -X99631358Y-131910666D01* -X99621882Y-131899118D01* -X99610334Y-131889642D01* -X99597160Y-131882600D01* -X99582866Y-131878264D01* -X99568000Y-131876800D01* -X99187000Y-131876800D01* -X99172134Y-131878264D01* -X99157840Y-131882600D01* -X99144666Y-131889642D01* -X99133118Y-131899118D01* -X99123642Y-131910666D01* -X99116600Y-131923840D01* -X99112264Y-131938134D01* -X99110800Y-131953000D01* -X99009200Y-131953000D01* -X99007736Y-131938134D01* -X99003400Y-131923840D01* -X98996358Y-131910666D01* -X98986882Y-131899118D01* -X98975334Y-131889642D01* -X98962160Y-131882600D01* -X98947866Y-131878264D01* -X98933000Y-131876800D01* -X98552000Y-131876800D01* -X98537134Y-131878264D01* -X98522840Y-131882600D01* -X98509666Y-131889642D01* -X98498118Y-131899118D01* -X98488642Y-131910666D01* -X98481600Y-131923840D01* -X98477264Y-131938134D01* -X98475800Y-131953000D01* -X97104200Y-131953000D01* -X97102736Y-131938134D01* -X97098400Y-131923840D01* -X97091358Y-131910666D01* -X97081882Y-131899118D01* -X97070334Y-131889642D01* -X97057160Y-131882600D01* -X97042866Y-131878264D01* -X97028000Y-131876800D01* -X96647000Y-131876800D01* -X96632134Y-131878264D01* -X96617840Y-131882600D01* -X96604666Y-131889642D01* -X96593118Y-131899118D01* -X96583642Y-131910666D01* -X96576600Y-131923840D01* -X96572264Y-131938134D01* -X96570800Y-131953000D01* -X96469200Y-131953000D01* -X96467736Y-131938134D01* -X96463400Y-131923840D01* -X96456358Y-131910666D01* -X96446882Y-131899118D01* -X96435334Y-131889642D01* -X96422160Y-131882600D01* -X96407866Y-131878264D01* -X96393000Y-131876800D01* -X96012000Y-131876800D01* -X95997134Y-131878264D01* -X95982840Y-131882600D01* -X95969666Y-131889642D01* -X95958118Y-131899118D01* -X95948642Y-131910666D01* -X95941600Y-131923840D01* -X95937264Y-131938134D01* -X95935800Y-131953000D01* -X94564200Y-131953000D01* -X94562736Y-131938134D01* -X94558400Y-131923840D01* -X94551358Y-131910666D01* -X94541882Y-131899118D01* -X94530334Y-131889642D01* -X94517160Y-131882600D01* -X94502866Y-131878264D01* -X94488000Y-131876800D01* -X94107000Y-131876800D01* -X94092134Y-131878264D01* -X94077840Y-131882600D01* -X94064666Y-131889642D01* -X94053118Y-131899118D01* -X94043642Y-131910666D01* -X94036600Y-131923840D01* -X94032264Y-131938134D01* -X94030800Y-131953000D01* -X93929200Y-131953000D01* -X93927736Y-131938134D01* -X93923400Y-131923840D01* -X93916358Y-131910666D01* -X93906882Y-131899118D01* -X93895334Y-131889642D01* -X93882160Y-131882600D01* -X93867866Y-131878264D01* -X93853000Y-131876800D01* -X93472000Y-131876800D01* -X93457134Y-131878264D01* -X93442840Y-131882600D01* -X93429666Y-131889642D01* -X93418118Y-131899118D01* -X93408642Y-131910666D01* -X93401600Y-131923840D01* -X93397264Y-131938134D01* -X93395800Y-131953000D01* -X92024200Y-131953000D01* -X92022736Y-131938134D01* -X92018400Y-131923840D01* -X92011358Y-131910666D01* -X92001882Y-131899118D01* -X91990334Y-131889642D01* -X91977160Y-131882600D01* -X91962866Y-131878264D01* -X91948000Y-131876800D01* -X91567000Y-131876800D01* -X91552134Y-131878264D01* -X91537840Y-131882600D01* -X91524666Y-131889642D01* -X91513118Y-131899118D01* -X91503642Y-131910666D01* -X91496600Y-131923840D01* -X91492264Y-131938134D01* -X91490800Y-131953000D01* -X91389200Y-131953000D01* -X91387736Y-131938134D01* -X91383400Y-131923840D01* -X91376358Y-131910666D01* -X91366882Y-131899118D01* -X91355334Y-131889642D01* -X91342160Y-131882600D01* -X91327866Y-131878264D01* -X91313000Y-131876800D01* -X90932000Y-131876800D01* -X90917134Y-131878264D01* -X90902840Y-131882600D01* -X90889666Y-131889642D01* -X90878118Y-131899118D01* -X90868642Y-131910666D01* -X90861600Y-131923840D01* -X90857264Y-131938134D01* -X90855800Y-131953000D01* -X89484200Y-131953000D01* -X89482736Y-131938134D01* -X89478400Y-131923840D01* -X89471358Y-131910666D01* -X89461882Y-131899118D01* -X89450334Y-131889642D01* -X89437160Y-131882600D01* -X89422866Y-131878264D01* -X89408000Y-131876800D01* -X89027000Y-131876800D01* -X89012134Y-131878264D01* -X88997840Y-131882600D01* -X88984666Y-131889642D01* -X88973118Y-131899118D01* -X88963642Y-131910666D01* -X88956600Y-131923840D01* -X88952264Y-131938134D01* -X88950800Y-131953000D01* -X88849200Y-131953000D01* -X88847736Y-131938134D01* -X88843400Y-131923840D01* -X88836358Y-131910666D01* -X88826882Y-131899118D01* -X88815334Y-131889642D01* -X88802160Y-131882600D01* -X88787866Y-131878264D01* -X88773000Y-131876800D01* -X88392000Y-131876800D01* -X88377134Y-131878264D01* -X88362840Y-131882600D01* -X88349666Y-131889642D01* -X88338118Y-131899118D01* -X88328642Y-131910666D01* -X88321600Y-131923840D01* -X88317264Y-131938134D01* -X88315800Y-131953000D01* -X86944200Y-131953000D01* -X86942736Y-131938134D01* -X86938400Y-131923840D01* -X86931358Y-131910666D01* -X86921882Y-131899118D01* -X86910334Y-131889642D01* -X86897160Y-131882600D01* -X86882866Y-131878264D01* -X86868000Y-131876800D01* -X86487000Y-131876800D01* -X86472134Y-131878264D01* -X86457840Y-131882600D01* -X86444666Y-131889642D01* -X86433118Y-131899118D01* -X86423642Y-131910666D01* -X86416600Y-131923840D01* -X86412264Y-131938134D01* -X86410800Y-131953000D01* -X86309200Y-131953000D01* -X86307736Y-131938134D01* -X86303400Y-131923840D01* -X86296358Y-131910666D01* -X86286882Y-131899118D01* -X86275334Y-131889642D01* -X86262160Y-131882600D01* -X86247866Y-131878264D01* -X86233000Y-131876800D01* -X85852000Y-131876800D01* -X85837134Y-131878264D01* -X85822840Y-131882600D01* -X85809666Y-131889642D01* -X85798118Y-131899118D01* -X85788642Y-131910666D01* -X85781600Y-131923840D01* -X85777264Y-131938134D01* -X85775800Y-131953000D01* -X84404200Y-131953000D01* -X84402736Y-131938134D01* -X84398400Y-131923840D01* -X84391358Y-131910666D01* -X84381882Y-131899118D01* -X84370334Y-131889642D01* -X84357160Y-131882600D01* -X84342866Y-131878264D01* -X84328000Y-131876800D01* -X83947000Y-131876800D01* -X83932134Y-131878264D01* -X83917840Y-131882600D01* -X83904666Y-131889642D01* -X83893118Y-131899118D01* -X83883642Y-131910666D01* -X83876600Y-131923840D01* -X83872264Y-131938134D01* -X83870800Y-131953000D01* -X83769200Y-131953000D01* -X83767736Y-131938134D01* -X83763400Y-131923840D01* -X83756358Y-131910666D01* -X83746882Y-131899118D01* -X83735334Y-131889642D01* -X83722160Y-131882600D01* -X83707866Y-131878264D01* -X83693000Y-131876800D01* -X83312000Y-131876800D01* -X83297134Y-131878264D01* -X83282840Y-131882600D01* -X83269666Y-131889642D01* -X83258118Y-131899118D01* -X83248642Y-131910666D01* -X83241600Y-131923840D01* -X83237264Y-131938134D01* -X83235800Y-131953000D01* -X81864200Y-131953000D01* -X81862736Y-131938134D01* -X81858400Y-131923840D01* -X81851358Y-131910666D01* -X81841882Y-131899118D01* -X81830334Y-131889642D01* -X81817160Y-131882600D01* -X81802866Y-131878264D01* -X81788000Y-131876800D01* -X81407000Y-131876800D01* -X81392134Y-131878264D01* -X81377840Y-131882600D01* -X81364666Y-131889642D01* -X81353118Y-131899118D01* -X81343642Y-131910666D01* -X81336600Y-131923840D01* -X81332264Y-131938134D01* -X81330800Y-131953000D01* -X81229200Y-131953000D01* -X81227736Y-131938134D01* -X81223400Y-131923840D01* -X81216358Y-131910666D01* -X81206882Y-131899118D01* -X81195334Y-131889642D01* -X81182160Y-131882600D01* -X81167866Y-131878264D01* -X81153000Y-131876800D01* -X80772000Y-131876800D01* -X80757134Y-131878264D01* -X80742840Y-131882600D01* -X80729666Y-131889642D01* -X80718118Y-131899118D01* -X80708642Y-131910666D01* -X80701600Y-131923840D01* -X80697264Y-131938134D01* -X80695800Y-131953000D01* -X79324200Y-131953000D01* -X79322736Y-131938134D01* -X79318400Y-131923840D01* -X79311358Y-131910666D01* -X79301882Y-131899118D01* -X79290334Y-131889642D01* -X79277160Y-131882600D01* -X79262866Y-131878264D01* -X79248000Y-131876800D01* -X78867000Y-131876800D01* -X78852134Y-131878264D01* -X78837840Y-131882600D01* -X78824666Y-131889642D01* -X78813118Y-131899118D01* -X78803642Y-131910666D01* -X78796600Y-131923840D01* -X78792264Y-131938134D01* -X78790800Y-131953000D01* -X78689200Y-131953000D01* -X78687736Y-131938134D01* -X78683400Y-131923840D01* -X78676358Y-131910666D01* -X78666882Y-131899118D01* -X78655334Y-131889642D01* -X78642160Y-131882600D01* -X78627866Y-131878264D01* -X78613000Y-131876800D01* -X78232000Y-131876800D01* -X78217134Y-131878264D01* -X78202840Y-131882600D01* -X78189666Y-131889642D01* -X78178118Y-131899118D01* -X78168642Y-131910666D01* -X78161600Y-131923840D01* -X78157264Y-131938134D01* -X78155800Y-131953000D01* -X74498200Y-131953000D01* -X74498200Y-131572000D01* -X74496736Y-131557134D01* -X74492400Y-131542840D01* -X74485358Y-131529666D01* -X74475882Y-131518118D01* -X74464334Y-131508642D01* -X74451160Y-131501600D01* -X74436866Y-131497264D01* -X74422000Y-131495800D01* -X72199386Y-131495800D01* -X72215208Y-131457603D01* -X72233600Y-131365138D01* -X72233600Y-131270862D01* -X76991400Y-131270862D01* -X76991400Y-131365138D01* -X77009792Y-131457603D01* -X77045870Y-131544702D01* -X77098247Y-131623090D01* -X77164910Y-131689753D01* -X77243298Y-131742130D01* -X77330397Y-131778208D01* -X77422862Y-131796600D01* -X77517138Y-131796600D01* -X77609603Y-131778208D01* -X77696702Y-131742130D01* -X77775090Y-131689753D01* -X77841753Y-131623090D01* -X77894130Y-131544702D01* -X77930208Y-131457603D01* -X77948600Y-131365138D01* -X77948600Y-131270862D01* -X79531400Y-131270862D01* -X79531400Y-131365138D01* -X79549792Y-131457603D01* -X79585870Y-131544702D01* -X79638247Y-131623090D01* -X79704910Y-131689753D01* -X79783298Y-131742130D01* -X79870397Y-131778208D01* -X79962862Y-131796600D01* -X80057138Y-131796600D01* -X80149603Y-131778208D01* -X80236702Y-131742130D01* -X80315090Y-131689753D01* -X80381753Y-131623090D01* -X80434130Y-131544702D01* -X80470208Y-131457603D01* -X80488600Y-131365138D01* -X80488600Y-131270862D01* -X82071400Y-131270862D01* -X82071400Y-131365138D01* -X82089792Y-131457603D01* -X82125870Y-131544702D01* -X82178247Y-131623090D01* -X82244910Y-131689753D01* -X82323298Y-131742130D01* -X82410397Y-131778208D01* -X82502862Y-131796600D01* -X82597138Y-131796600D01* -X82689603Y-131778208D01* -X82776702Y-131742130D01* -X82855090Y-131689753D01* -X82921753Y-131623090D01* -X82974130Y-131544702D01* -X83010208Y-131457603D01* -X83028600Y-131365138D01* -X83028600Y-131270862D01* -X84611400Y-131270862D01* -X84611400Y-131365138D01* -X84629792Y-131457603D01* -X84665870Y-131544702D01* -X84718247Y-131623090D01* -X84784910Y-131689753D01* -X84863298Y-131742130D01* -X84950397Y-131778208D01* -X85042862Y-131796600D01* -X85137138Y-131796600D01* -X85229603Y-131778208D01* -X85316702Y-131742130D01* -X85395090Y-131689753D01* -X85461753Y-131623090D01* -X85514130Y-131544702D01* -X85550208Y-131457603D01* -X85568600Y-131365138D01* -X85568600Y-131270862D01* -X87151400Y-131270862D01* -X87151400Y-131365138D01* -X87169792Y-131457603D01* -X87205870Y-131544702D01* -X87258247Y-131623090D01* -X87324910Y-131689753D01* -X87403298Y-131742130D01* -X87490397Y-131778208D01* -X87582862Y-131796600D01* -X87677138Y-131796600D01* -X87769603Y-131778208D01* -X87856702Y-131742130D01* -X87935090Y-131689753D01* -X88001753Y-131623090D01* -X88054130Y-131544702D01* -X88090208Y-131457603D01* -X88108600Y-131365138D01* -X88108600Y-131270862D01* -X89691400Y-131270862D01* -X89691400Y-131365138D01* -X89709792Y-131457603D01* -X89745870Y-131544702D01* -X89798247Y-131623090D01* -X89864910Y-131689753D01* -X89943298Y-131742130D01* -X90030397Y-131778208D01* -X90122862Y-131796600D01* -X90217138Y-131796600D01* -X90309603Y-131778208D01* -X90396702Y-131742130D01* -X90475090Y-131689753D01* -X90541753Y-131623090D01* -X90594130Y-131544702D01* -X90630208Y-131457603D01* -X90648600Y-131365138D01* -X90648600Y-131270862D01* -X92231400Y-131270862D01* -X92231400Y-131365138D01* -X92249792Y-131457603D01* -X92285870Y-131544702D01* -X92338247Y-131623090D01* -X92404910Y-131689753D01* -X92483298Y-131742130D01* -X92570397Y-131778208D01* -X92662862Y-131796600D01* -X92757138Y-131796600D01* -X92849603Y-131778208D01* -X92936702Y-131742130D01* -X93015090Y-131689753D01* -X93081753Y-131623090D01* -X93134130Y-131544702D01* -X93170208Y-131457603D01* -X93188600Y-131365138D01* -X93188600Y-131270862D01* -X94771400Y-131270862D01* -X94771400Y-131365138D01* -X94789792Y-131457603D01* -X94825870Y-131544702D01* -X94878247Y-131623090D01* -X94944910Y-131689753D01* -X95023298Y-131742130D01* -X95110397Y-131778208D01* -X95202862Y-131796600D01* -X95297138Y-131796600D01* -X95389603Y-131778208D01* -X95476702Y-131742130D01* -X95555090Y-131689753D01* -X95621753Y-131623090D01* -X95674130Y-131544702D01* -X95710208Y-131457603D01* -X95728600Y-131365138D01* -X95728600Y-131270862D01* -X97311400Y-131270862D01* -X97311400Y-131365138D01* -X97329792Y-131457603D01* -X97365870Y-131544702D01* -X97418247Y-131623090D01* -X97484910Y-131689753D01* -X97563298Y-131742130D01* -X97650397Y-131778208D01* -X97742862Y-131796600D01* -X97837138Y-131796600D01* -X97929603Y-131778208D01* -X98016702Y-131742130D01* -X98095090Y-131689753D01* -X98161753Y-131623090D01* -X98214130Y-131544702D01* -X98250208Y-131457603D01* -X98268600Y-131365138D01* -X98268600Y-131270862D01* -X99851400Y-131270862D01* -X99851400Y-131365138D01* -X99869792Y-131457603D01* -X99905870Y-131544702D01* -X99958247Y-131623090D01* -X100024910Y-131689753D01* -X100103298Y-131742130D01* -X100190397Y-131778208D01* -X100282862Y-131796600D01* -X100377138Y-131796600D01* -X100469603Y-131778208D01* -X100556702Y-131742130D01* -X100635090Y-131689753D01* -X100701753Y-131623090D01* -X100754130Y-131544702D01* -X100790208Y-131457603D01* -X100808600Y-131365138D01* -X100808600Y-131270862D01* -X102391400Y-131270862D01* -X102391400Y-131365138D01* -X102409792Y-131457603D01* -X102445870Y-131544702D01* -X102498247Y-131623090D01* -X102564910Y-131689753D01* -X102643298Y-131742130D01* -X102730397Y-131778208D01* -X102822862Y-131796600D01* -X102917138Y-131796600D01* -X103009603Y-131778208D01* -X103096702Y-131742130D01* -X103175090Y-131689753D01* -X103241753Y-131623090D01* -X103294130Y-131544702D01* -X103330208Y-131457603D01* -X103348600Y-131365138D01* -X103348600Y-131270862D01* -X104931400Y-131270862D01* -X104931400Y-131365138D01* -X104949792Y-131457603D01* -X104985870Y-131544702D01* -X105038247Y-131623090D01* -X105104910Y-131689753D01* -X105183298Y-131742130D01* -X105270397Y-131778208D01* -X105362862Y-131796600D01* -X105457138Y-131796600D01* -X105549603Y-131778208D01* -X105636702Y-131742130D01* -X105715090Y-131689753D01* -X105781753Y-131623090D01* -X105834130Y-131544702D01* -X105870208Y-131457603D01* -X105888600Y-131365138D01* -X105888600Y-131270862D01* -X107471400Y-131270862D01* -X107471400Y-131365138D01* -X107489792Y-131457603D01* -X107525870Y-131544702D01* -X107578247Y-131623090D01* -X107644910Y-131689753D01* -X107723298Y-131742130D01* -X107810397Y-131778208D01* -X107902862Y-131796600D01* -X107997138Y-131796600D01* -X108089603Y-131778208D01* -X108176702Y-131742130D01* -X108255090Y-131689753D01* -X108321753Y-131623090D01* -X108374130Y-131544702D01* -X108410208Y-131457603D01* -X108428600Y-131365138D01* -X108428600Y-131270862D01* -X110011400Y-131270862D01* -X110011400Y-131365138D01* -X110029792Y-131457603D01* -X110065870Y-131544702D01* -X110118247Y-131623090D01* -X110184910Y-131689753D01* -X110263298Y-131742130D01* -X110350397Y-131778208D01* -X110442862Y-131796600D01* -X110537138Y-131796600D01* -X110629603Y-131778208D01* -X110716702Y-131742130D01* -X110795090Y-131689753D01* -X110861753Y-131623090D01* -X110914130Y-131544702D01* -X110950208Y-131457603D01* -X110968600Y-131365138D01* -X110968600Y-131270862D01* -X112551400Y-131270862D01* -X112551400Y-131365138D01* -X112569792Y-131457603D01* -X112605870Y-131544702D01* -X112658247Y-131623090D01* -X112724910Y-131689753D01* -X112803298Y-131742130D01* -X112890397Y-131778208D01* -X112982862Y-131796600D01* -X113077138Y-131796600D01* -X113169603Y-131778208D01* -X113256702Y-131742130D01* -X113335090Y-131689753D01* -X113401753Y-131623090D01* -X113454130Y-131544702D01* -X113490208Y-131457603D01* -X113508600Y-131365138D01* -X113508600Y-131270862D01* -X115091400Y-131270862D01* -X115091400Y-131365138D01* -X115109792Y-131457603D01* -X115145870Y-131544702D01* -X115198247Y-131623090D01* -X115264910Y-131689753D01* -X115343298Y-131742130D01* -X115430397Y-131778208D01* -X115522862Y-131796600D01* -X115617138Y-131796600D01* -X115709603Y-131778208D01* -X115796702Y-131742130D01* -X115875090Y-131689753D01* -X115941753Y-131623090D01* -X115994130Y-131544702D01* -X116030208Y-131457603D01* -X116048600Y-131365138D01* -X116048600Y-131270862D01* -X117631400Y-131270862D01* -X117631400Y-131365138D01* -X117649792Y-131457603D01* -X117685870Y-131544702D01* -X117738247Y-131623090D01* -X117804910Y-131689753D01* -X117883298Y-131742130D01* -X117970397Y-131778208D01* -X118062862Y-131796600D01* -X118157138Y-131796600D01* -X118249603Y-131778208D01* -X118336702Y-131742130D01* -X118415090Y-131689753D01* -X118481753Y-131623090D01* -X118534130Y-131544702D01* -X118570208Y-131457603D01* -X118588600Y-131365138D01* -X118588600Y-131270862D01* -X120171400Y-131270862D01* -X120171400Y-131365138D01* -X120189792Y-131457603D01* -X120225870Y-131544702D01* -X120278247Y-131623090D01* -X120344910Y-131689753D01* -X120423298Y-131742130D01* -X120510397Y-131778208D01* -X120602862Y-131796600D01* -X120697138Y-131796600D01* -X120789603Y-131778208D01* -X120876702Y-131742130D01* -X120955090Y-131689753D01* -X121021753Y-131623090D01* -X121074130Y-131544702D01* -X121110208Y-131457603D01* -X121128600Y-131365138D01* -X121128600Y-131270862D01* -X122711400Y-131270862D01* -X122711400Y-131365138D01* -X122729792Y-131457603D01* -X122765870Y-131544702D01* -X122818247Y-131623090D01* -X122884910Y-131689753D01* -X122963298Y-131742130D01* -X123050397Y-131778208D01* -X123142862Y-131796600D01* -X123237138Y-131796600D01* -X123329603Y-131778208D01* -X123416702Y-131742130D01* -X123495090Y-131689753D01* -X123561753Y-131623090D01* -X123614130Y-131544702D01* -X123650208Y-131457603D01* -X123668600Y-131365138D01* -X123668600Y-131270862D01* -X125251400Y-131270862D01* -X125251400Y-131365138D01* -X125269792Y-131457603D01* -X125305870Y-131544702D01* -X125358247Y-131623090D01* -X125424910Y-131689753D01* -X125503298Y-131742130D01* -X125590397Y-131778208D01* -X125682862Y-131796600D01* -X125777138Y-131796600D01* -X125869603Y-131778208D01* -X125956702Y-131742130D01* -X126035090Y-131689753D01* -X126101753Y-131623090D01* -X126154130Y-131544702D01* -X126190208Y-131457603D01* -X126208600Y-131365138D01* -X126208600Y-131270862D01* -X127791400Y-131270862D01* -X127791400Y-131365138D01* -X127809792Y-131457603D01* -X127845870Y-131544702D01* -X127898247Y-131623090D01* -X127964910Y-131689753D01* -X128043298Y-131742130D01* -X128130397Y-131778208D01* -X128222862Y-131796600D01* -X128317138Y-131796600D01* -X128409603Y-131778208D01* -X128496702Y-131742130D01* -X128575090Y-131689753D01* -X128641753Y-131623090D01* -X128694130Y-131544702D01* -X128730208Y-131457603D01* -X128748600Y-131365138D01* -X128748600Y-131270862D01* -X130331400Y-131270862D01* -X130331400Y-131365138D01* -X130349792Y-131457603D01* -X130385870Y-131544702D01* -X130438247Y-131623090D01* -X130504910Y-131689753D01* -X130583298Y-131742130D01* -X130670397Y-131778208D01* -X130762862Y-131796600D01* -X130857138Y-131796600D01* -X130949603Y-131778208D01* -X131036702Y-131742130D01* -X131115090Y-131689753D01* -X131181753Y-131623090D01* -X131234130Y-131544702D01* -X131270208Y-131457603D01* -X131288600Y-131365138D01* -X131288600Y-131270862D01* -X131270208Y-131178397D01* -X131255903Y-131143862D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131655870Y-131417702D01* -X131708247Y-131496090D01* -X131774910Y-131562753D01* -X131853298Y-131615130D01* -X131940397Y-131651208D01* -X132032862Y-131669600D01* -X132127138Y-131669600D01* -X132219603Y-131651208D01* -X132306702Y-131615130D01* -X132385090Y-131562753D01* -X132451753Y-131496090D01* -X132504130Y-131417702D01* -X132540208Y-131330603D01* -X132552090Y-131270862D01* -X132871400Y-131270862D01* -X132871400Y-131365138D01* -X132889792Y-131457603D01* -X132925870Y-131544702D01* -X132978247Y-131623090D01* -X133044910Y-131689753D01* -X133123298Y-131742130D01* -X133210397Y-131778208D01* -X133302862Y-131796600D01* -X133397138Y-131796600D01* -X133489603Y-131778208D01* -X133576702Y-131742130D01* -X133655090Y-131689753D01* -X133721753Y-131623090D01* -X133774130Y-131544702D01* -X133810208Y-131457603D01* -X133828600Y-131365138D01* -X133828600Y-131270862D01* -X133810208Y-131178397D01* -X133795903Y-131143862D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134195870Y-131417702D01* -X134248247Y-131496090D01* -X134314910Y-131562753D01* -X134393298Y-131615130D01* -X134480397Y-131651208D01* -X134572862Y-131669600D01* -X134667138Y-131669600D01* -X134759603Y-131651208D01* -X134846702Y-131615130D01* -X134925090Y-131562753D01* -X134991753Y-131496090D01* -X135044130Y-131417702D01* -X135080208Y-131330603D01* -X135092090Y-131270862D01* -X135411400Y-131270862D01* -X135411400Y-131365138D01* -X135429792Y-131457603D01* -X135465870Y-131544702D01* -X135518247Y-131623090D01* -X135584910Y-131689753D01* -X135663298Y-131742130D01* -X135750397Y-131778208D01* -X135842862Y-131796600D01* -X135937138Y-131796600D01* -X136029603Y-131778208D01* -X136116702Y-131742130D01* -X136195090Y-131689753D01* -X136261753Y-131623090D01* -X136314130Y-131544702D01* -X136350208Y-131457603D01* -X136368600Y-131365138D01* -X136368600Y-131270862D01* -X137951400Y-131270862D01* -X137951400Y-131365138D01* -X137969792Y-131457603D01* -X138005870Y-131544702D01* -X138058247Y-131623090D01* -X138124910Y-131689753D01* -X138203298Y-131742130D01* -X138290397Y-131778208D01* -X138382862Y-131796600D01* -X138477138Y-131796600D01* -X138569603Y-131778208D01* -X138656702Y-131742130D01* -X138735090Y-131689753D01* -X138801753Y-131623090D01* -X138854130Y-131544702D01* -X138890208Y-131457603D01* -X138908600Y-131365138D01* -X138908600Y-131270862D01* -X138890208Y-131178397D01* -X138854130Y-131091298D01* -X138801753Y-131012910D01* -X138735090Y-130946247D01* -X138656702Y-130893870D01* -X138569603Y-130857792D01* -X138477138Y-130839400D01* -X138382862Y-130839400D01* -X138290397Y-130857792D01* -X138203298Y-130893870D01* -X138124910Y-130946247D01* -X138058247Y-131012910D01* -X138005870Y-131091298D01* -X137969792Y-131178397D01* -X137951400Y-131270862D01* -X136368600Y-131270862D01* -X136350208Y-131178397D01* -X136314130Y-131091298D01* -X136261753Y-131012910D01* -X136195090Y-130946247D01* -X136116702Y-130893870D01* -X136029603Y-130857792D01* -X135937138Y-130839400D01* -X135842862Y-130839400D01* -X135750397Y-130857792D01* -X135663298Y-130893870D01* -X135584910Y-130946247D01* -X135518247Y-131012910D01* -X135465870Y-131091298D01* -X135429792Y-131178397D01* -X135411400Y-131270862D01* -X135092090Y-131270862D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X133795903Y-131143862D01* -X133774130Y-131091298D01* -X133721753Y-131012910D01* -X133655090Y-130946247D01* -X133576702Y-130893870D01* -X133489603Y-130857792D01* -X133397138Y-130839400D01* -X133302862Y-130839400D01* -X133210397Y-130857792D01* -X133123298Y-130893870D01* -X133044910Y-130946247D01* -X132978247Y-131012910D01* -X132925870Y-131091298D01* -X132889792Y-131178397D01* -X132871400Y-131270862D01* -X132552090Y-131270862D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X131255903Y-131143862D01* -X131234130Y-131091298D01* -X131181753Y-131012910D01* -X131115090Y-130946247D01* -X131036702Y-130893870D01* -X130949603Y-130857792D01* -X130857138Y-130839400D01* -X130762862Y-130839400D01* -X130670397Y-130857792D01* -X130583298Y-130893870D01* -X130504910Y-130946247D01* -X130438247Y-131012910D01* -X130385870Y-131091298D01* -X130349792Y-131178397D01* -X130331400Y-131270862D01* -X128748600Y-131270862D01* -X128730208Y-131178397D01* -X128694130Y-131091298D01* -X128641753Y-131012910D01* -X128575090Y-130946247D01* -X128496702Y-130893870D01* -X128409603Y-130857792D01* -X128317138Y-130839400D01* -X128222862Y-130839400D01* -X128130397Y-130857792D01* -X128043298Y-130893870D01* -X127964910Y-130946247D01* -X127898247Y-131012910D01* -X127845870Y-131091298D01* -X127809792Y-131178397D01* -X127791400Y-131270862D01* -X126208600Y-131270862D01* -X126190208Y-131178397D01* -X126154130Y-131091298D01* -X126101753Y-131012910D01* -X126035090Y-130946247D01* -X125956702Y-130893870D01* -X125869603Y-130857792D01* -X125777138Y-130839400D01* -X125682862Y-130839400D01* -X125590397Y-130857792D01* -X125503298Y-130893870D01* -X125424910Y-130946247D01* -X125358247Y-131012910D01* -X125305870Y-131091298D01* -X125269792Y-131178397D01* -X125251400Y-131270862D01* -X123668600Y-131270862D01* -X123650208Y-131178397D01* -X123614130Y-131091298D01* -X123561753Y-131012910D01* -X123495090Y-130946247D01* -X123416702Y-130893870D01* -X123329603Y-130857792D01* -X123237138Y-130839400D01* -X123142862Y-130839400D01* -X123050397Y-130857792D01* -X122963298Y-130893870D01* -X122884910Y-130946247D01* -X122818247Y-131012910D01* -X122765870Y-131091298D01* -X122729792Y-131178397D01* -X122711400Y-131270862D01* -X121128600Y-131270862D01* -X121110208Y-131178397D01* -X121074130Y-131091298D01* -X121021753Y-131012910D01* -X120955090Y-130946247D01* -X120876702Y-130893870D01* -X120789603Y-130857792D01* -X120697138Y-130839400D01* -X120602862Y-130839400D01* -X120510397Y-130857792D01* -X120423298Y-130893870D01* -X120344910Y-130946247D01* -X120278247Y-131012910D01* -X120225870Y-131091298D01* -X120189792Y-131178397D01* -X120171400Y-131270862D01* -X118588600Y-131270862D01* -X118570208Y-131178397D01* -X118534130Y-131091298D01* -X118481753Y-131012910D01* -X118415090Y-130946247D01* -X118336702Y-130893870D01* -X118249603Y-130857792D01* -X118157138Y-130839400D01* -X118062862Y-130839400D01* -X117970397Y-130857792D01* -X117883298Y-130893870D01* -X117804910Y-130946247D01* -X117738247Y-131012910D01* -X117685870Y-131091298D01* -X117649792Y-131178397D01* -X117631400Y-131270862D01* -X116048600Y-131270862D01* -X116030208Y-131178397D01* -X115994130Y-131091298D01* -X115941753Y-131012910D01* -X115875090Y-130946247D01* -X115796702Y-130893870D01* -X115709603Y-130857792D01* -X115617138Y-130839400D01* -X115522862Y-130839400D01* -X115430397Y-130857792D01* -X115343298Y-130893870D01* -X115264910Y-130946247D01* -X115198247Y-131012910D01* -X115145870Y-131091298D01* -X115109792Y-131178397D01* -X115091400Y-131270862D01* -X113508600Y-131270862D01* -X113490208Y-131178397D01* -X113454130Y-131091298D01* -X113401753Y-131012910D01* -X113335090Y-130946247D01* -X113256702Y-130893870D01* -X113169603Y-130857792D01* -X113077138Y-130839400D01* -X112982862Y-130839400D01* -X112890397Y-130857792D01* -X112803298Y-130893870D01* -X112724910Y-130946247D01* -X112658247Y-131012910D01* -X112605870Y-131091298D01* -X112569792Y-131178397D01* -X112551400Y-131270862D01* -X110968600Y-131270862D01* -X110950208Y-131178397D01* -X110914130Y-131091298D01* -X110861753Y-131012910D01* -X110795090Y-130946247D01* -X110716702Y-130893870D01* -X110629603Y-130857792D01* -X110537138Y-130839400D01* -X110442862Y-130839400D01* -X110350397Y-130857792D01* -X110263298Y-130893870D01* -X110184910Y-130946247D01* -X110118247Y-131012910D01* -X110065870Y-131091298D01* -X110029792Y-131178397D01* -X110011400Y-131270862D01* -X108428600Y-131270862D01* -X108410208Y-131178397D01* -X108374130Y-131091298D01* -X108321753Y-131012910D01* -X108255090Y-130946247D01* -X108176702Y-130893870D01* -X108089603Y-130857792D01* -X107997138Y-130839400D01* -X107902862Y-130839400D01* -X107810397Y-130857792D01* -X107723298Y-130893870D01* -X107644910Y-130946247D01* -X107578247Y-131012910D01* -X107525870Y-131091298D01* -X107489792Y-131178397D01* -X107471400Y-131270862D01* -X105888600Y-131270862D01* -X105870208Y-131178397D01* -X105834130Y-131091298D01* -X105781753Y-131012910D01* -X105715090Y-130946247D01* -X105636702Y-130893870D01* -X105549603Y-130857792D01* -X105457138Y-130839400D01* -X105362862Y-130839400D01* -X105270397Y-130857792D01* -X105183298Y-130893870D01* -X105104910Y-130946247D01* -X105038247Y-131012910D01* -X104985870Y-131091298D01* -X104949792Y-131178397D01* -X104931400Y-131270862D01* -X103348600Y-131270862D01* -X103330208Y-131178397D01* -X103294130Y-131091298D01* -X103241753Y-131012910D01* -X103175090Y-130946247D01* -X103096702Y-130893870D01* -X103009603Y-130857792D01* -X102917138Y-130839400D01* -X102822862Y-130839400D01* -X102730397Y-130857792D01* -X102643298Y-130893870D01* -X102564910Y-130946247D01* -X102498247Y-131012910D01* -X102445870Y-131091298D01* -X102409792Y-131178397D01* -X102391400Y-131270862D01* -X100808600Y-131270862D01* -X100790208Y-131178397D01* -X100754130Y-131091298D01* -X100701753Y-131012910D01* -X100635090Y-130946247D01* -X100556702Y-130893870D01* -X100469603Y-130857792D01* -X100377138Y-130839400D01* -X100282862Y-130839400D01* -X100190397Y-130857792D01* -X100103298Y-130893870D01* -X100024910Y-130946247D01* -X99958247Y-131012910D01* -X99905870Y-131091298D01* -X99869792Y-131178397D01* -X99851400Y-131270862D01* -X98268600Y-131270862D01* -X98250208Y-131178397D01* -X98214130Y-131091298D01* -X98161753Y-131012910D01* -X98095090Y-130946247D01* -X98016702Y-130893870D01* -X97929603Y-130857792D01* -X97837138Y-130839400D01* -X97742862Y-130839400D01* -X97650397Y-130857792D01* -X97563298Y-130893870D01* -X97484910Y-130946247D01* -X97418247Y-131012910D01* -X97365870Y-131091298D01* -X97329792Y-131178397D01* -X97311400Y-131270862D01* -X95728600Y-131270862D01* -X95710208Y-131178397D01* -X95674130Y-131091298D01* -X95621753Y-131012910D01* -X95555090Y-130946247D01* -X95476702Y-130893870D01* -X95389603Y-130857792D01* -X95297138Y-130839400D01* -X95202862Y-130839400D01* -X95110397Y-130857792D01* -X95023298Y-130893870D01* -X94944910Y-130946247D01* -X94878247Y-131012910D01* -X94825870Y-131091298D01* -X94789792Y-131178397D01* -X94771400Y-131270862D01* -X93188600Y-131270862D01* -X93170208Y-131178397D01* -X93134130Y-131091298D01* -X93081753Y-131012910D01* -X93015090Y-130946247D01* -X92936702Y-130893870D01* -X92849603Y-130857792D01* -X92757138Y-130839400D01* -X92662862Y-130839400D01* -X92570397Y-130857792D01* -X92483298Y-130893870D01* -X92404910Y-130946247D01* -X92338247Y-131012910D01* -X92285870Y-131091298D01* -X92249792Y-131178397D01* -X92231400Y-131270862D01* -X90648600Y-131270862D01* -X90630208Y-131178397D01* -X90594130Y-131091298D01* -X90541753Y-131012910D01* -X90475090Y-130946247D01* -X90396702Y-130893870D01* -X90309603Y-130857792D01* -X90217138Y-130839400D01* -X90122862Y-130839400D01* -X90030397Y-130857792D01* -X89943298Y-130893870D01* -X89864910Y-130946247D01* -X89798247Y-131012910D01* -X89745870Y-131091298D01* -X89709792Y-131178397D01* -X89691400Y-131270862D01* -X88108600Y-131270862D01* -X88090208Y-131178397D01* -X88054130Y-131091298D01* -X88001753Y-131012910D01* -X87935090Y-130946247D01* -X87856702Y-130893870D01* -X87769603Y-130857792D01* -X87677138Y-130839400D01* -X87582862Y-130839400D01* -X87490397Y-130857792D01* -X87403298Y-130893870D01* -X87324910Y-130946247D01* -X87258247Y-131012910D01* -X87205870Y-131091298D01* -X87169792Y-131178397D01* -X87151400Y-131270862D01* -X85568600Y-131270862D01* -X85550208Y-131178397D01* -X85514130Y-131091298D01* -X85461753Y-131012910D01* -X85395090Y-130946247D01* -X85316702Y-130893870D01* -X85229603Y-130857792D01* -X85137138Y-130839400D01* -X85042862Y-130839400D01* -X84950397Y-130857792D01* -X84863298Y-130893870D01* -X84784910Y-130946247D01* -X84718247Y-131012910D01* -X84665870Y-131091298D01* -X84629792Y-131178397D01* -X84611400Y-131270862D01* -X83028600Y-131270862D01* -X83010208Y-131178397D01* -X82974130Y-131091298D01* -X82921753Y-131012910D01* -X82855090Y-130946247D01* -X82776702Y-130893870D01* -X82689603Y-130857792D01* -X82597138Y-130839400D01* -X82502862Y-130839400D01* -X82410397Y-130857792D01* -X82323298Y-130893870D01* -X82244910Y-130946247D01* -X82178247Y-131012910D01* -X82125870Y-131091298D01* -X82089792Y-131178397D01* -X82071400Y-131270862D01* -X80488600Y-131270862D01* -X80470208Y-131178397D01* -X80434130Y-131091298D01* -X80381753Y-131012910D01* -X80315090Y-130946247D01* -X80236702Y-130893870D01* -X80149603Y-130857792D01* -X80057138Y-130839400D01* -X79962862Y-130839400D01* -X79870397Y-130857792D01* -X79783298Y-130893870D01* -X79704910Y-130946247D01* -X79638247Y-131012910D01* -X79585870Y-131091298D01* -X79549792Y-131178397D01* -X79531400Y-131270862D01* -X77948600Y-131270862D01* -X77930208Y-131178397D01* -X77894130Y-131091298D01* -X77841753Y-131012910D01* -X77775090Y-130946247D01* -X77696702Y-130893870D01* -X77609603Y-130857792D01* -X77517138Y-130839400D01* -X77422862Y-130839400D01* -X77330397Y-130857792D01* -X77243298Y-130893870D01* -X77164910Y-130946247D01* -X77098247Y-131012910D01* -X77045870Y-131091298D01* -X77009792Y-131178397D01* -X76991400Y-131270862D01* -X72233600Y-131270862D01* -X72215208Y-131178397D01* -X72179130Y-131091298D01* -X72126753Y-131012910D01* -X72060090Y-130946247D01* -X71981702Y-130893870D01* -X71894603Y-130857792D01* -X71802138Y-130839400D01* -X71707862Y-130839400D01* -X71615397Y-130857792D01* -X71528298Y-130893870D01* -X71449910Y-130946247D01* -X71383247Y-131012910D01* -X71330870Y-131091298D01* -X71294792Y-131178397D01* -X71276400Y-131270862D01* -X71276400Y-131365138D01* -X71294792Y-131457603D01* -X71310614Y-131495800D01* -X67119386Y-131495800D01* -X67135208Y-131457603D01* -X67153600Y-131365138D01* -X67153600Y-131270862D01* -X67135208Y-131178397D01* -X67099130Y-131091298D01* -X67046753Y-131012910D01* -X66980090Y-130946247D01* -X66901702Y-130893870D01* -X66814603Y-130857792D01* -X66722138Y-130839400D01* -X66627862Y-130839400D01* -X66535397Y-130857792D01* -X66448298Y-130893870D01* -X66369910Y-130946247D01* -X66303247Y-131012910D01* -X66250870Y-131091298D01* -X66214792Y-131178397D01* -X66196400Y-131270862D01* -X66196400Y-131365138D01* -X66214792Y-131457603D01* -X66230614Y-131495800D01* -X62039386Y-131495800D01* -X62055208Y-131457603D01* -X62073600Y-131365138D01* -X62073600Y-131270862D01* -X62055208Y-131178397D01* -X62019130Y-131091298D01* -X61966753Y-131012910D01* -X61900090Y-130946247D01* -X61821702Y-130893870D01* -X61734603Y-130857792D01* -X61642138Y-130839400D01* -X61547862Y-130839400D01* -X61455397Y-130857792D01* -X61368298Y-130893870D01* -X61289910Y-130946247D01* -X61223247Y-131012910D01* -X61170870Y-131091298D01* -X61134792Y-131178397D01* -X61116400Y-131270862D01* -X61116400Y-131365138D01* -X61134792Y-131457603D01* -X61150614Y-131495800D01* -X56959386Y-131495800D01* -X56975208Y-131457603D01* -X56993600Y-131365138D01* -X56993600Y-131270862D01* -X56975208Y-131178397D01* -X56939130Y-131091298D01* -X56886753Y-131012910D01* -X56820090Y-130946247D01* -X56741702Y-130893870D01* -X56654603Y-130857792D01* -X56562138Y-130839400D01* -X56467862Y-130839400D01* -X56375397Y-130857792D01* -X56288298Y-130893870D01* -X56209910Y-130946247D01* -X56143247Y-131012910D01* -X56090870Y-131091298D01* -X56054792Y-131178397D01* -X56036400Y-131270862D01* -X56036400Y-131365138D01* -X56054792Y-131457603D01* -X56070614Y-131495800D01* -X51879386Y-131495800D01* -X51895208Y-131457603D01* -X51913600Y-131365138D01* -X51913600Y-131270862D01* -X51895208Y-131178397D01* -X51859130Y-131091298D01* -X51806753Y-131012910D01* -X51740090Y-130946247D01* -X51661702Y-130893870D01* -X51574603Y-130857792D01* -X51482138Y-130839400D01* -X51387862Y-130839400D01* -X51295397Y-130857792D01* -X51208298Y-130893870D01* -X51129910Y-130946247D01* -X51063247Y-131012910D01* -X51010870Y-131091298D01* -X50974792Y-131178397D01* -X50956400Y-131270862D01* -X50956400Y-131365138D01* -X50974792Y-131457603D01* -X50990614Y-131495800D01* -X48577386Y-131495800D01* -X48593208Y-131457603D01* -X48611600Y-131365138D01* -X48611600Y-131270862D01* -X48593208Y-131178397D01* -X48557130Y-131091298D01* -X48504753Y-131012910D01* -X48438090Y-130946247D01* -X48359702Y-130893870D01* -X48272603Y-130857792D01* -X48180138Y-130839400D01* -X48085862Y-130839400D01* -X47993397Y-130857792D01* -X47906298Y-130893870D01* -X47827910Y-130946247D01* -X47761247Y-131012910D01* -X47708870Y-131091298D01* -X47672792Y-131178397D01* -X47654400Y-131270862D01* -X47654400Y-131365138D01* -X47661326Y-131399956D01* -X47522951Y-131372281D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46273044Y-130011674D01* -X46307862Y-130018600D01* -X46402138Y-130018600D01* -X46494603Y-130000208D01* -X46581702Y-129964130D01* -X46660090Y-129911753D01* -X46726753Y-129845090D01* -X46779130Y-129766702D01* -X46815208Y-129679603D01* -X46833600Y-129587138D01* -X46833600Y-129492862D01* -X46830662Y-129478088D01* -X73920400Y-129478088D01* -X73920400Y-129601912D01* -X73944556Y-129723356D01* -X73991941Y-129837754D01* -X74060734Y-129940709D01* -X74148291Y-130028266D01* -X74251246Y-130097059D01* -X74365644Y-130144444D01* -X74487088Y-130168600D01* -X74610912Y-130168600D01* -X74732356Y-130144444D01* -X74846754Y-130097059D01* -X74949709Y-130028266D01* -X75037266Y-129940709D01* -X75106059Y-129837754D01* -X75153444Y-129723356D01* -X75177600Y-129601912D01* -X75177600Y-129478088D01* -X75571400Y-129478088D01* -X75571400Y-129601912D01* -X75595556Y-129723356D01* -X75642941Y-129837754D01* -X75711734Y-129940709D01* -X75799291Y-130028266D01* -X75902246Y-130097059D01* -X76016644Y-130144444D01* -X76138088Y-130168600D01* -X76261912Y-130168600D01* -X76383356Y-130144444D01* -X76497754Y-130097059D01* -X76600709Y-130028266D01* -X76688266Y-129940709D01* -X76746964Y-129852862D01* -X80271400Y-129852862D01* -X80271400Y-129947138D01* -X80289792Y-130039603D01* -X80325870Y-130126702D01* -X80378247Y-130205090D01* -X80444910Y-130271753D01* -X80523298Y-130324130D01* -X80610397Y-130360208D01* -X80702862Y-130378600D01* -X80797138Y-130378600D01* -X80889603Y-130360208D01* -X80976702Y-130324130D01* -X81055090Y-130271753D01* -X81121753Y-130205090D01* -X81174130Y-130126702D01* -X81210208Y-130039603D01* -X81228600Y-129947138D01* -X81228600Y-129902862D01* -X86371400Y-129902862D01* -X86371400Y-129997138D01* -X86389792Y-130089603D01* -X86425870Y-130176702D01* -X86478247Y-130255090D01* -X86544910Y-130321753D01* -X86623298Y-130374130D01* -X86710397Y-130410208D01* -X86802862Y-130428600D01* -X86897138Y-130428600D01* -X86989603Y-130410208D01* -X87076702Y-130374130D01* -X87155090Y-130321753D01* -X87221753Y-130255090D01* -X87274130Y-130176702D01* -X87310208Y-130089603D01* -X87328600Y-129997138D01* -X87328600Y-129902862D01* -X87310208Y-129810397D01* -X87274130Y-129723298D01* -X87221753Y-129644910D01* -X87179705Y-129602862D01* -X87571400Y-129602862D01* -X87571400Y-129697138D01* -X87589792Y-129789603D01* -X87625870Y-129876702D01* -X87678247Y-129955090D01* -X87744910Y-130021753D01* -X87823298Y-130074130D01* -X87910397Y-130110208D01* -X88002862Y-130128600D01* -X88097138Y-130128600D01* -X88189603Y-130110208D01* -X88276702Y-130074130D01* -X88355090Y-130021753D01* -X88421753Y-129955090D01* -X88474130Y-129876702D01* -X88504715Y-129802862D01* -X88921400Y-129802862D01* -X88921400Y-129897138D01* -X88939792Y-129989603D01* -X88975870Y-130076702D01* -X89028247Y-130155090D01* -X89094910Y-130221753D01* -X89173298Y-130274130D01* -X89260397Y-130310208D01* -X89352862Y-130328600D01* -X89447138Y-130328600D01* -X89539603Y-130310208D01* -X89626702Y-130274130D01* -X89705090Y-130221753D01* -X89771753Y-130155090D01* -X89824130Y-130076702D01* -X89860208Y-129989603D01* -X89878600Y-129897138D01* -X89878600Y-129802862D01* -X89860208Y-129710397D01* -X89824130Y-129623298D01* -X89771753Y-129544910D01* -X89705090Y-129478247D01* -X89626702Y-129425870D01* -X89539603Y-129389792D01* -X89447138Y-129371400D01* -X89352862Y-129371400D01* -X89260397Y-129389792D01* -X89173298Y-129425870D01* -X89094910Y-129478247D01* -X89028247Y-129544910D01* -X88975870Y-129623298D01* -X88939792Y-129710397D01* -X88921400Y-129802862D01* -X88504715Y-129802862D01* -X88510208Y-129789603D01* -X88528600Y-129697138D01* -X88528600Y-129602862D01* -X88510208Y-129510397D01* -X88474130Y-129423298D01* -X88421753Y-129344910D01* -X88379705Y-129302862D01* -X89821400Y-129302862D01* -X89821400Y-129397138D01* -X89839792Y-129489603D01* -X89875870Y-129576702D01* -X89928247Y-129655090D01* -X89994910Y-129721753D01* -X90073298Y-129774130D01* -X90160397Y-129810208D01* -X90252862Y-129828600D01* -X90347138Y-129828600D01* -X90439603Y-129810208D01* -X90457337Y-129802862D01* -X91471400Y-129802862D01* -X91471400Y-129897138D01* -X91489792Y-129989603D01* -X91525870Y-130076702D01* -X91578247Y-130155090D01* -X91644910Y-130221753D01* -X91723298Y-130274130D01* -X91810397Y-130310208D01* -X91902862Y-130328600D01* -X91997138Y-130328600D01* -X92089603Y-130310208D01* -X92176702Y-130274130D01* -X92255090Y-130221753D01* -X92321753Y-130155090D01* -X92374130Y-130076702D01* -X92410208Y-129989603D01* -X92428600Y-129897138D01* -X92428600Y-129852862D01* -X94021400Y-129852862D01* -X94021400Y-129947138D01* -X94039792Y-130039603D01* -X94075870Y-130126702D01* -X94128247Y-130205090D01* -X94194910Y-130271753D01* -X94273298Y-130324130D01* -X94360397Y-130360208D01* -X94452862Y-130378600D01* -X94547138Y-130378600D01* -X94639603Y-130360208D01* -X94726702Y-130324130D01* -X94805090Y-130271753D01* -X94871753Y-130205090D01* -X94924130Y-130126702D01* -X94960208Y-130039603D01* -X94978600Y-129947138D01* -X94978600Y-129852862D01* -X94960208Y-129760397D01* -X94924130Y-129673298D01* -X94910476Y-129652862D01* -X101621400Y-129652862D01* -X101621400Y-129747138D01* -X101639792Y-129839603D01* -X101675870Y-129926702D01* -X101728247Y-130005090D01* -X101794910Y-130071753D01* -X101873298Y-130124130D01* -X101960397Y-130160208D01* -X102052862Y-130178600D01* -X102147138Y-130178600D01* -X102239603Y-130160208D01* -X102326702Y-130124130D01* -X102405090Y-130071753D01* -X102471753Y-130005090D01* -X102524130Y-129926702D01* -X102560208Y-129839603D01* -X102578600Y-129747138D01* -X102578600Y-129652862D01* -X102560208Y-129560397D01* -X102524130Y-129473298D01* -X102471753Y-129394910D01* -X102405090Y-129328247D01* -X102326702Y-129275870D01* -X102239603Y-129239792D01* -X102147138Y-129221400D01* -X102052862Y-129221400D01* -X101960397Y-129239792D01* -X101873298Y-129275870D01* -X101794910Y-129328247D01* -X101728247Y-129394910D01* -X101675870Y-129473298D01* -X101639792Y-129560397D01* -X101621400Y-129652862D01* -X94910476Y-129652862D01* -X94871753Y-129594910D01* -X94805090Y-129528247D01* -X94726702Y-129475870D01* -X94639603Y-129439792D01* -X94547138Y-129421400D01* -X94452862Y-129421400D01* -X94360397Y-129439792D01* -X94273298Y-129475870D01* -X94194910Y-129528247D01* -X94128247Y-129594910D01* -X94075870Y-129673298D01* -X94039792Y-129760397D01* -X94021400Y-129852862D01* -X92428600Y-129852862D01* -X92428600Y-129802862D01* -X92410208Y-129710397D01* -X92374130Y-129623298D01* -X92321753Y-129544910D01* -X92255090Y-129478247D01* -X92176702Y-129425870D01* -X92089603Y-129389792D01* -X91997138Y-129371400D01* -X91902862Y-129371400D01* -X91810397Y-129389792D01* -X91723298Y-129425870D01* -X91644910Y-129478247D01* -X91578247Y-129544910D01* -X91525870Y-129623298D01* -X91489792Y-129710397D01* -X91471400Y-129802862D01* -X90457337Y-129802862D01* -X90526702Y-129774130D01* -X90605090Y-129721753D01* -X90671753Y-129655090D01* -X90724130Y-129576702D01* -X90760208Y-129489603D01* -X90778600Y-129397138D01* -X90778600Y-129302862D01* -X90760208Y-129210397D01* -X90724130Y-129123298D01* -X90671753Y-129044910D01* -X90629705Y-129002862D01* -X92071400Y-129002862D01* -X92071400Y-129097138D01* -X92089792Y-129189603D01* -X92125870Y-129276702D01* -X92178247Y-129355090D01* -X92244910Y-129421753D01* -X92323298Y-129474130D01* -X92410397Y-129510208D01* -X92502862Y-129528600D01* -X92597138Y-129528600D01* -X92689603Y-129510208D01* -X92776702Y-129474130D01* -X92855090Y-129421753D01* -X92921753Y-129355090D01* -X92974130Y-129276702D01* -X93010208Y-129189603D01* -X93028600Y-129097138D01* -X93028600Y-129052862D01* -X102671400Y-129052862D01* -X102671400Y-129147138D01* -X102689792Y-129239603D01* -X102725870Y-129326702D01* -X102778247Y-129405090D01* -X102844910Y-129471753D01* -X102923298Y-129524130D01* -X103010397Y-129560208D01* -X103102862Y-129578600D01* -X103197138Y-129578600D01* -X103289603Y-129560208D01* -X103376702Y-129524130D01* -X103445608Y-129478088D01* -X114814400Y-129478088D01* -X114814400Y-129601912D01* -X114838556Y-129723356D01* -X114885941Y-129837754D01* -X114954734Y-129940709D01* -X115042291Y-130028266D01* -X115145246Y-130097059D01* -X115259644Y-130144444D01* -X115381088Y-130168600D01* -X115504912Y-130168600D01* -X115626356Y-130144444D01* -X115740754Y-130097059D01* -X115843709Y-130028266D01* -X115931266Y-129940709D01* -X116000059Y-129837754D01* -X116047444Y-129723356D01* -X116071600Y-129601912D01* -X116071600Y-129478088D01* -X116465400Y-129478088D01* -X116465400Y-129601912D01* -X116489556Y-129723356D01* -X116536941Y-129837754D01* -X116605734Y-129940709D01* -X116693291Y-130028266D01* -X116796246Y-130097059D01* -X116910644Y-130144444D01* -X117032088Y-130168600D01* -X117155912Y-130168600D01* -X117277356Y-130144444D01* -X117391754Y-130097059D01* -X117494709Y-130028266D01* -X117582266Y-129940709D01* -X117651059Y-129837754D01* -X117698444Y-129723356D01* -X117722600Y-129601912D01* -X117722600Y-129478088D01* -X118497400Y-129478088D01* -X118497400Y-129601912D01* -X118521556Y-129723356D01* -X118568941Y-129837754D01* -X118637734Y-129940709D01* -X118725291Y-130028266D01* -X118828246Y-130097059D01* -X118942644Y-130144444D01* -X119064088Y-130168600D01* -X119187912Y-130168600D01* -X119309356Y-130144444D01* -X119423754Y-130097059D01* -X119526709Y-130028266D01* -X119614266Y-129940709D01* -X119683059Y-129837754D01* -X119730444Y-129723356D01* -X119754600Y-129601912D01* -X119754600Y-129478088D01* -X120148400Y-129478088D01* -X120148400Y-129601912D01* -X120172556Y-129723356D01* -X120219941Y-129837754D01* -X120288734Y-129940709D01* -X120376291Y-130028266D01* -X120479246Y-130097059D01* -X120593644Y-130144444D01* -X120715088Y-130168600D01* -X120838912Y-130168600D01* -X120960356Y-130144444D01* -X121074754Y-130097059D01* -X121177709Y-130028266D01* -X121265266Y-129940709D01* -X121334059Y-129837754D01* -X121381444Y-129723356D01* -X121405600Y-129601912D01* -X121405600Y-129478088D01* -X134880400Y-129478088D01* -X134880400Y-129601912D01* -X134904556Y-129723356D01* -X134951941Y-129837754D01* -X135020734Y-129940709D01* -X135108291Y-130028266D01* -X135211246Y-130097059D01* -X135325644Y-130144444D01* -X135447088Y-130168600D01* -X135570912Y-130168600D01* -X135692356Y-130144444D01* -X135806754Y-130097059D01* -X135909709Y-130028266D01* -X135997266Y-129940709D01* -X136066059Y-129837754D01* -X136113444Y-129723356D01* -X136118798Y-129696434D01* -X136169400Y-129696434D01* -X136169400Y-129891566D01* -X136207468Y-130082947D01* -X136282142Y-130263225D01* -X136390551Y-130425471D01* -X136528529Y-130563449D01* -X136690775Y-130671858D01* -X136871053Y-130746532D01* -X137062434Y-130784600D01* -X137257566Y-130784600D01* -X137448947Y-130746532D01* -X137629225Y-130671858D01* -X137791471Y-130563449D01* -X137929449Y-130425471D01* -X138037858Y-130263225D01* -X138112532Y-130082947D01* -X138150600Y-129891566D01* -X138150600Y-129696434D01* -X138112532Y-129505053D01* -X138076885Y-129418993D01* -X139233400Y-129418993D01* -X139233400Y-129661007D01* -X139280614Y-129898369D01* -X139373229Y-130121960D01* -X139507684Y-130323187D01* -X139678813Y-130494316D01* -X139880040Y-130628771D01* -X140103631Y-130721386D01* -X140340993Y-130768600D01* -X140583007Y-130768600D01* -X140820369Y-130721386D01* -X141043960Y-130628771D01* -X141245187Y-130494316D01* -X141416316Y-130323187D01* -X141550771Y-130121960D01* -X141643386Y-129898369D01* -X141690600Y-129661007D01* -X141690600Y-129418993D01* -X141643386Y-129181631D01* -X141550771Y-128958040D01* -X141416316Y-128756813D01* -X141245187Y-128585684D01* -X141043960Y-128451229D01* -X140820369Y-128358614D01* -X140583007Y-128311400D01* -X140340993Y-128311400D01* -X140103631Y-128358614D01* -X139880040Y-128451229D01* -X139678813Y-128585684D01* -X139507684Y-128756813D01* -X139373229Y-128958040D01* -X139280614Y-129181631D01* -X139233400Y-129418993D01* -X138076885Y-129418993D01* -X138037858Y-129324775D01* -X137929449Y-129162529D01* -X137791471Y-129024551D01* -X137629225Y-128916142D01* -X137448947Y-128841468D01* -X137257566Y-128803400D01* -X137062434Y-128803400D01* -X136871053Y-128841468D01* -X136690775Y-128916142D01* -X136528529Y-129024551D01* -X136390551Y-129162529D01* -X136282142Y-129324775D01* -X136207468Y-129505053D01* -X136169400Y-129696434D01* -X136118798Y-129696434D01* -X136137600Y-129601912D01* -X136137600Y-129478088D01* -X136113444Y-129356644D01* -X136066059Y-129242246D01* -X135997266Y-129139291D01* -X135909709Y-129051734D01* -X135806754Y-128982941D01* -X135692356Y-128935556D01* -X135570912Y-128911400D01* -X135447088Y-128911400D01* -X135325644Y-128935556D01* -X135211246Y-128982941D01* -X135108291Y-129051734D01* -X135020734Y-129139291D01* -X134951941Y-129242246D01* -X134904556Y-129356644D01* -X134880400Y-129478088D01* -X121405600Y-129478088D01* -X121381444Y-129356644D01* -X121334059Y-129242246D01* -X121265266Y-129139291D01* -X121177709Y-129051734D01* -X121074754Y-128982941D01* -X120960356Y-128935556D01* -X120838912Y-128911400D01* -X120715088Y-128911400D01* -X120593644Y-128935556D01* -X120479246Y-128982941D01* -X120376291Y-129051734D01* -X120288734Y-129139291D01* -X120219941Y-129242246D01* -X120172556Y-129356644D01* -X120148400Y-129478088D01* -X119754600Y-129478088D01* -X119730444Y-129356644D01* -X119683059Y-129242246D01* -X119614266Y-129139291D01* -X119526709Y-129051734D01* -X119423754Y-128982941D01* -X119309356Y-128935556D01* -X119187912Y-128911400D01* -X119064088Y-128911400D01* -X118942644Y-128935556D01* -X118828246Y-128982941D01* -X118725291Y-129051734D01* -X118637734Y-129139291D01* -X118568941Y-129242246D01* -X118521556Y-129356644D01* -X118497400Y-129478088D01* -X117722600Y-129478088D01* -X117698444Y-129356644D01* -X117651059Y-129242246D01* -X117582266Y-129139291D01* -X117494709Y-129051734D01* -X117391754Y-128982941D01* -X117277356Y-128935556D01* -X117155912Y-128911400D01* -X117032088Y-128911400D01* -X116910644Y-128935556D01* -X116796246Y-128982941D01* -X116693291Y-129051734D01* -X116605734Y-129139291D01* -X116536941Y-129242246D01* -X116489556Y-129356644D01* -X116465400Y-129478088D01* -X116071600Y-129478088D01* -X116047444Y-129356644D01* -X116000059Y-129242246D01* -X115931266Y-129139291D01* -X115843709Y-129051734D01* -X115740754Y-128982941D01* -X115626356Y-128935556D01* -X115504912Y-128911400D01* -X115381088Y-128911400D01* -X115259644Y-128935556D01* -X115145246Y-128982941D01* -X115042291Y-129051734D01* -X114954734Y-129139291D01* -X114885941Y-129242246D01* -X114838556Y-129356644D01* -X114814400Y-129478088D01* -X103445608Y-129478088D01* -X103455090Y-129471753D01* -X103521753Y-129405090D01* -X103574130Y-129326702D01* -X103610208Y-129239603D01* -X103628600Y-129147138D01* -X103628600Y-129052862D01* -X103610208Y-128960397D01* -X103574130Y-128873298D01* -X103521753Y-128794910D01* -X103455090Y-128728247D01* -X103376702Y-128675870D01* -X103321157Y-128652862D01* -X104721400Y-128652862D01* -X104721400Y-128747138D01* -X104739792Y-128839603D01* -X104775870Y-128926702D01* -X104828247Y-129005090D01* -X104894910Y-129071753D01* -X104973298Y-129124130D01* -X105060397Y-129160208D01* -X105152862Y-129178600D01* -X105247138Y-129178600D01* -X105339603Y-129160208D01* -X105426702Y-129124130D01* -X105505090Y-129071753D01* -X105571753Y-129005090D01* -X105624130Y-128926702D01* -X105660208Y-128839603D01* -X105678600Y-128747138D01* -X105678600Y-128652862D01* -X105660208Y-128560397D01* -X105624130Y-128473298D01* -X105571753Y-128394910D01* -X105529705Y-128352862D01* -X106721400Y-128352862D01* -X106721400Y-128447138D01* -X106739792Y-128539603D01* -X106775870Y-128626702D01* -X106828247Y-128705090D01* -X106894910Y-128771753D01* -X106973298Y-128824130D01* -X107060397Y-128860208D01* -X107152862Y-128878600D01* -X107247138Y-128878600D01* -X107339603Y-128860208D01* -X107426702Y-128824130D01* -X107505090Y-128771753D01* -X107571753Y-128705090D01* -X107624130Y-128626702D01* -X107660208Y-128539603D01* -X107678600Y-128447138D01* -X107678600Y-128402862D01* -X109621400Y-128402862D01* -X109621400Y-128497138D01* -X109639792Y-128589603D01* -X109675870Y-128676702D01* -X109728247Y-128755090D01* -X109794910Y-128821753D01* -X109873298Y-128874130D01* -X109960397Y-128910208D01* -X110052862Y-128928600D01* -X110147138Y-128928600D01* -X110239603Y-128910208D01* -X110326702Y-128874130D01* -X110405090Y-128821753D01* -X110471753Y-128755090D01* -X110524130Y-128676702D01* -X110560208Y-128589603D01* -X110578600Y-128497138D01* -X110578600Y-128402862D01* -X110560208Y-128310397D01* -X110524130Y-128223298D01* -X110471753Y-128144910D01* -X110405090Y-128078247D01* -X110326702Y-128025870D01* -X110239603Y-127989792D01* -X110147138Y-127971400D01* -X110052862Y-127971400D01* -X109960397Y-127989792D01* -X109873298Y-128025870D01* -X109794910Y-128078247D01* -X109728247Y-128144910D01* -X109675870Y-128223298D01* -X109639792Y-128310397D01* -X109621400Y-128402862D01* -X107678600Y-128402862D01* -X107678600Y-128352862D01* -X107660208Y-128260397D01* -X107624130Y-128173298D01* -X107571753Y-128094910D01* -X107505090Y-128028247D01* -X107426702Y-127975870D01* -X107339603Y-127939792D01* -X107247138Y-127921400D01* -X107152862Y-127921400D01* -X107060397Y-127939792D01* -X106973298Y-127975870D01* -X106894910Y-128028247D01* -X106828247Y-128094910D01* -X106775870Y-128173298D01* -X106739792Y-128260397D01* -X106721400Y-128352862D01* -X105529705Y-128352862D01* -X105505090Y-128328247D01* -X105426702Y-128275870D01* -X105339603Y-128239792D01* -X105247138Y-128221400D01* -X105152862Y-128221400D01* -X105060397Y-128239792D01* -X104973298Y-128275870D01* -X104894910Y-128328247D01* -X104828247Y-128394910D01* -X104775870Y-128473298D01* -X104739792Y-128560397D01* -X104721400Y-128652862D01* -X103321157Y-128652862D01* -X103289603Y-128639792D01* -X103197138Y-128621400D01* -X103102862Y-128621400D01* -X103010397Y-128639792D01* -X102923298Y-128675870D01* -X102844910Y-128728247D01* -X102778247Y-128794910D01* -X102725870Y-128873298D01* -X102689792Y-128960397D01* -X102671400Y-129052862D01* -X93028600Y-129052862D01* -X93028600Y-129002862D01* -X93010208Y-128910397D01* -X92974130Y-128823298D01* -X92921753Y-128744910D01* -X92855090Y-128678247D01* -X92776702Y-128625870D01* -X92689603Y-128589792D01* -X92597138Y-128571400D01* -X92502862Y-128571400D01* -X92410397Y-128589792D01* -X92323298Y-128625870D01* -X92244910Y-128678247D01* -X92178247Y-128744910D01* -X92125870Y-128823298D01* -X92089792Y-128910397D01* -X92071400Y-129002862D01* -X90629705Y-129002862D01* -X90605090Y-128978247D01* -X90526702Y-128925870D01* -X90439603Y-128889792D01* -X90347138Y-128871400D01* -X90252862Y-128871400D01* -X90160397Y-128889792D01* -X90073298Y-128925870D01* -X89994910Y-128978247D01* -X89928247Y-129044910D01* -X89875870Y-129123298D01* -X89839792Y-129210397D01* -X89821400Y-129302862D01* -X88379705Y-129302862D01* -X88355090Y-129278247D01* -X88276702Y-129225870D01* -X88189603Y-129189792D01* -X88097138Y-129171400D01* -X88002862Y-129171400D01* -X87910397Y-129189792D01* -X87823298Y-129225870D01* -X87744910Y-129278247D01* -X87678247Y-129344910D01* -X87625870Y-129423298D01* -X87589792Y-129510397D01* -X87571400Y-129602862D01* -X87179705Y-129602862D01* -X87155090Y-129578247D01* -X87076702Y-129525870D01* -X86989603Y-129489792D01* -X86897138Y-129471400D01* -X86802862Y-129471400D01* -X86710397Y-129489792D01* -X86623298Y-129525870D01* -X86544910Y-129578247D01* -X86478247Y-129644910D01* -X86425870Y-129723298D01* -X86389792Y-129810397D01* -X86371400Y-129902862D01* -X81228600Y-129902862D01* -X81228600Y-129852862D01* -X81210208Y-129760397D01* -X81174130Y-129673298D01* -X81121753Y-129594910D01* -X81055090Y-129528247D01* -X80976702Y-129475870D01* -X80889603Y-129439792D01* -X80797138Y-129421400D01* -X80702862Y-129421400D01* -X80610397Y-129439792D01* -X80523298Y-129475870D01* -X80444910Y-129528247D01* -X80378247Y-129594910D01* -X80325870Y-129673298D01* -X80289792Y-129760397D01* -X80271400Y-129852862D01* -X76746964Y-129852862D01* -X76757059Y-129837754D01* -X76804444Y-129723356D01* -X76828600Y-129601912D01* -X76828600Y-129478088D01* -X76804444Y-129356644D01* -X76781960Y-129302362D01* -X81309400Y-129302362D01* -X81309400Y-129396638D01* -X81327792Y-129489103D01* -X81363870Y-129576202D01* -X81416247Y-129654590D01* -X81482910Y-129721253D01* -X81561298Y-129773630D01* -X81648397Y-129809708D01* -X81740862Y-129828100D01* -X81835138Y-129828100D01* -X81927603Y-129809708D01* -X82014702Y-129773630D01* -X82093090Y-129721253D01* -X82159753Y-129654590D01* -X82212130Y-129576202D01* -X82248208Y-129489103D01* -X82266600Y-129396638D01* -X82266600Y-129302362D01* -X82248208Y-129209897D01* -X82212130Y-129122798D01* -X82159753Y-129044410D01* -X82093090Y-128977747D01* -X82014702Y-128925370D01* -X81927603Y-128889292D01* -X81835138Y-128870900D01* -X81740862Y-128870900D01* -X81648397Y-128889292D01* -X81561298Y-128925370D01* -X81482910Y-128977747D01* -X81416247Y-129044410D01* -X81363870Y-129122798D01* -X81327792Y-129209897D01* -X81309400Y-129302362D01* -X76781960Y-129302362D01* -X76757059Y-129242246D01* -X76688266Y-129139291D01* -X76600709Y-129051734D01* -X76497754Y-128982941D01* -X76383356Y-128935556D01* -X76261912Y-128911400D01* -X76138088Y-128911400D01* -X76016644Y-128935556D01* -X75902246Y-128982941D01* -X75799291Y-129051734D01* -X75711734Y-129139291D01* -X75642941Y-129242246D01* -X75595556Y-129356644D01* -X75571400Y-129478088D01* -X75177600Y-129478088D01* -X75153444Y-129356644D01* -X75106059Y-129242246D01* -X75037266Y-129139291D01* -X74949709Y-129051734D01* -X74846754Y-128982941D01* -X74732356Y-128935556D01* -X74610912Y-128911400D01* -X74487088Y-128911400D01* -X74365644Y-128935556D01* -X74251246Y-128982941D01* -X74148291Y-129051734D01* -X74060734Y-129139291D01* -X73991941Y-129242246D01* -X73944556Y-129356644D01* -X73920400Y-129478088D01* -X46830662Y-129478088D01* -X46815208Y-129400397D01* -X46779130Y-129313298D01* -X46726753Y-129234910D01* -X46660090Y-129168247D01* -X46581702Y-129115870D01* -X46494603Y-129079792D01* -X46402138Y-129061400D01* -X46307862Y-129061400D01* -X46215397Y-129079792D01* -X46177200Y-129095614D01* -X46177200Y-127968862D01* -X53369400Y-127968862D01* -X53369400Y-128063138D01* -X53387792Y-128155603D01* -X53423870Y-128242702D01* -X53476247Y-128321090D01* -X53542910Y-128387753D01* -X53621298Y-128440130D01* -X53708397Y-128476208D01* -X53800862Y-128494600D01* -X53895138Y-128494600D01* -X53987603Y-128476208D01* -X54074702Y-128440130D01* -X54153090Y-128387753D01* -X54219753Y-128321090D01* -X54272130Y-128242702D01* -X54308208Y-128155603D01* -X54326600Y-128063138D01* -X54326600Y-127968862D01* -X58449400Y-127968862D01* -X58449400Y-128063138D01* -X58467792Y-128155603D01* -X58503870Y-128242702D01* -X58556247Y-128321090D01* -X58622910Y-128387753D01* -X58701298Y-128440130D01* -X58788397Y-128476208D01* -X58880862Y-128494600D01* -X58975138Y-128494600D01* -X59067603Y-128476208D01* -X59154702Y-128440130D01* -X59233090Y-128387753D01* -X59299753Y-128321090D01* -X59352130Y-128242702D01* -X59388208Y-128155603D01* -X59406600Y-128063138D01* -X59406600Y-127968862D01* -X63529400Y-127968862D01* -X63529400Y-128063138D01* -X63547792Y-128155603D01* -X63583870Y-128242702D01* -X63636247Y-128321090D01* -X63702910Y-128387753D01* -X63781298Y-128440130D01* -X63868397Y-128476208D01* -X63960862Y-128494600D01* -X64055138Y-128494600D01* -X64147603Y-128476208D01* -X64234702Y-128440130D01* -X64313090Y-128387753D01* -X64379753Y-128321090D01* -X64432130Y-128242702D01* -X64468208Y-128155603D01* -X64486600Y-128063138D01* -X64486600Y-127968862D01* -X68609400Y-127968862D01* -X68609400Y-128063138D01* -X68627792Y-128155603D01* -X68663870Y-128242702D01* -X68716247Y-128321090D01* -X68782910Y-128387753D01* -X68861298Y-128440130D01* -X68948397Y-128476208D01* -X69040862Y-128494600D01* -X69135138Y-128494600D01* -X69227603Y-128476208D01* -X69261691Y-128462088D01* -X72777400Y-128462088D01* -X72777400Y-128585912D01* -X72801556Y-128707356D01* -X72848941Y-128821754D01* -X72917734Y-128924709D01* -X73005291Y-129012266D01* -X73108246Y-129081059D01* -X73222644Y-129128444D01* -X73344088Y-129152600D01* -X73467912Y-129152600D01* -X73589356Y-129128444D01* -X73703754Y-129081059D01* -X73806709Y-129012266D01* -X73894266Y-128924709D01* -X73963059Y-128821754D01* -X74010444Y-128707356D01* -X74034600Y-128585912D01* -X74034600Y-128462088D01* -X74010444Y-128340644D01* -X73963059Y-128226246D01* -X73950927Y-128208088D01* -X76714400Y-128208088D01* -X76714400Y-128331912D01* -X76738556Y-128453356D01* -X76785941Y-128567754D01* -X76854734Y-128670709D01* -X76942291Y-128758266D01* -X77045246Y-128827059D01* -X77159644Y-128874444D01* -X77281088Y-128898600D01* -X77404912Y-128898600D01* -X77526356Y-128874444D01* -X77640754Y-128827059D01* -X77743709Y-128758266D01* -X77831266Y-128670709D01* -X77900059Y-128567754D01* -X77947444Y-128453356D01* -X77971600Y-128331912D01* -X77971600Y-128302862D01* -X79471400Y-128302862D01* -X79471400Y-128397138D01* -X79489792Y-128489603D01* -X79525870Y-128576702D01* -X79578247Y-128655090D01* -X79644910Y-128721753D01* -X79723298Y-128774130D01* -X79810397Y-128810208D01* -X79902862Y-128828600D01* -X79997138Y-128828600D01* -X80089603Y-128810208D01* -X80176702Y-128774130D01* -X80255090Y-128721753D01* -X80321753Y-128655090D01* -X80374130Y-128576702D01* -X80410208Y-128489603D01* -X80428600Y-128397138D01* -X80428600Y-128302862D01* -X80410208Y-128210397D01* -X80374130Y-128123298D01* -X80321753Y-128044910D01* -X80279705Y-128002862D01* -X87921400Y-128002862D01* -X87921400Y-128097138D01* -X87939792Y-128189603D01* -X87975870Y-128276702D01* -X88028247Y-128355090D01* -X88094910Y-128421753D01* -X88173298Y-128474130D01* -X88260397Y-128510208D01* -X88352862Y-128528600D01* -X88447138Y-128528600D01* -X88539603Y-128510208D01* -X88626702Y-128474130D01* -X88705090Y-128421753D01* -X88771753Y-128355090D01* -X88824130Y-128276702D01* -X88860208Y-128189603D01* -X88878600Y-128097138D01* -X88878600Y-128002862D01* -X88860208Y-127910397D01* -X88857087Y-127902862D01* -X92071400Y-127902862D01* -X92071400Y-127997138D01* -X92089792Y-128089603D01* -X92125870Y-128176702D01* -X92178247Y-128255090D01* -X92244910Y-128321753D01* -X92323298Y-128374130D01* -X92410397Y-128410208D01* -X92502862Y-128428600D01* -X92597138Y-128428600D01* -X92689603Y-128410208D01* -X92776702Y-128374130D01* -X92855090Y-128321753D01* -X92921753Y-128255090D01* -X92974130Y-128176702D01* -X93010208Y-128089603D01* -X93028600Y-127997138D01* -X93028600Y-127902862D01* -X93018655Y-127852862D01* -X94521400Y-127852862D01* -X94521400Y-127947138D01* -X94539792Y-128039603D01* -X94575870Y-128126702D01* -X94628247Y-128205090D01* -X94694910Y-128271753D01* -X94773298Y-128324130D01* -X94860397Y-128360208D01* -X94952862Y-128378600D01* -X95047138Y-128378600D01* -X95139603Y-128360208D01* -X95226702Y-128324130D01* -X95305090Y-128271753D01* -X95371753Y-128205090D01* -X95424130Y-128126702D01* -X95460208Y-128039603D01* -X95467516Y-128002862D01* -X98071400Y-128002862D01* -X98071400Y-128097138D01* -X98089792Y-128189603D01* -X98125870Y-128276702D01* -X98178247Y-128355090D01* -X98244910Y-128421753D01* -X98323298Y-128474130D01* -X98410397Y-128510208D01* -X98502862Y-128528600D01* -X98597138Y-128528600D01* -X98689603Y-128510208D01* -X98707337Y-128502862D01* -X99071400Y-128502862D01* -X99071400Y-128597138D01* -X99089792Y-128689603D01* -X99125870Y-128776702D01* -X99178247Y-128855090D01* -X99244910Y-128921753D01* -X99323298Y-128974130D01* -X99410397Y-129010208D01* -X99502862Y-129028600D01* -X99597138Y-129028600D01* -X99689603Y-129010208D01* -X99776702Y-128974130D01* -X99855090Y-128921753D01* -X99921753Y-128855090D01* -X99974130Y-128776702D01* -X100010208Y-128689603D01* -X100028600Y-128597138D01* -X100028600Y-128502862D01* -X100010208Y-128410397D01* -X99974130Y-128323298D01* -X99921753Y-128244910D01* -X99855090Y-128178247D01* -X99776702Y-128125870D01* -X99689603Y-128089792D01* -X99597138Y-128071400D01* -X99502862Y-128071400D01* -X99410397Y-128089792D01* -X99323298Y-128125870D01* -X99244910Y-128178247D01* -X99178247Y-128244910D01* -X99125870Y-128323298D01* -X99089792Y-128410397D01* -X99071400Y-128502862D01* -X98707337Y-128502862D01* -X98776702Y-128474130D01* -X98855090Y-128421753D01* -X98921753Y-128355090D01* -X98974130Y-128276702D01* -X99010208Y-128189603D01* -X99028600Y-128097138D01* -X99028600Y-128002862D01* -X99010208Y-127910397D01* -X99007087Y-127902862D01* -X112671400Y-127902862D01* -X112671400Y-127997138D01* -X112689792Y-128089603D01* -X112725870Y-128176702D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113553158Y-128208088D01* -X113671400Y-128208088D01* -X113671400Y-128331912D01* -X113695556Y-128453356D01* -X113742941Y-128567754D01* -X113811734Y-128670709D01* -X113899291Y-128758266D01* -X114002246Y-128827059D01* -X114116644Y-128874444D01* -X114238088Y-128898600D01* -X114361912Y-128898600D01* -X114483356Y-128874444D01* -X114597754Y-128827059D01* -X114700709Y-128758266D01* -X114788266Y-128670709D01* -X114857059Y-128567754D01* -X114904444Y-128453356D01* -X114928600Y-128331912D01* -X114928600Y-128208088D01* -X121227900Y-128208088D01* -X121227900Y-128331912D01* -X121252056Y-128453356D01* -X121299441Y-128567754D01* -X121368234Y-128670709D01* -X121455791Y-128758266D01* -X121558746Y-128827059D01* -X121673144Y-128874444D01* -X121794588Y-128898600D01* -X121918412Y-128898600D01* -X122039856Y-128874444D01* -X122079888Y-128857862D01* -X125124400Y-128857862D01* -X125124400Y-128952138D01* -X125142792Y-129044603D01* -X125178870Y-129131702D01* -X125231247Y-129210090D01* -X125297910Y-129276753D01* -X125376298Y-129329130D01* -X125463397Y-129365208D01* -X125555862Y-129383600D01* -X125650138Y-129383600D01* -X125742603Y-129365208D01* -X125829702Y-129329130D01* -X125908090Y-129276753D01* -X125974753Y-129210090D01* -X126027130Y-129131702D01* -X126063208Y-129044603D01* -X126081600Y-128952138D01* -X126081600Y-128857862D01* -X130204400Y-128857862D01* -X130204400Y-128952138D01* -X130222792Y-129044603D01* -X130258870Y-129131702D01* -X130311247Y-129210090D01* -X130377910Y-129276753D01* -X130456298Y-129329130D01* -X130543397Y-129365208D01* -X130635862Y-129383600D01* -X130730138Y-129383600D01* -X130822603Y-129365208D01* -X130909702Y-129329130D01* -X130988090Y-129276753D01* -X131054753Y-129210090D01* -X131107130Y-129131702D01* -X131143208Y-129044603D01* -X131161600Y-128952138D01* -X131161600Y-128857862D01* -X131143208Y-128765397D01* -X131107130Y-128678298D01* -X131054753Y-128599910D01* -X130988090Y-128533247D01* -X130909702Y-128480870D01* -X130822603Y-128444792D01* -X130730138Y-128426400D01* -X130635862Y-128426400D01* -X130543397Y-128444792D01* -X130456298Y-128480870D01* -X130377910Y-128533247D01* -X130311247Y-128599910D01* -X130258870Y-128678298D01* -X130222792Y-128765397D01* -X130204400Y-128857862D01* -X126081600Y-128857862D01* -X126063208Y-128765397D01* -X126027130Y-128678298D01* -X125974753Y-128599910D01* -X125908090Y-128533247D01* -X125829702Y-128480870D01* -X125742603Y-128444792D01* -X125650138Y-128426400D01* -X125555862Y-128426400D01* -X125463397Y-128444792D01* -X125376298Y-128480870D01* -X125297910Y-128533247D01* -X125231247Y-128599910D01* -X125178870Y-128678298D01* -X125142792Y-128765397D01* -X125124400Y-128857862D01* -X122079888Y-128857862D01* -X122154254Y-128827059D01* -X122257209Y-128758266D01* -X122344766Y-128670709D01* -X122413559Y-128567754D01* -X122460944Y-128453356D01* -X122485100Y-128331912D01* -X122485100Y-128208088D01* -X133737400Y-128208088D01* -X133737400Y-128331912D01* -X133761556Y-128453356D01* -X133808941Y-128567754D01* -X133877734Y-128670709D01* -X133965291Y-128758266D01* -X134068246Y-128827059D01* -X134182644Y-128874444D01* -X134304088Y-128898600D01* -X134427912Y-128898600D01* -X134549356Y-128874444D01* -X134663754Y-128827059D01* -X134766709Y-128758266D01* -X134854266Y-128670709D01* -X134923059Y-128567754D01* -X134970444Y-128453356D01* -X134994600Y-128331912D01* -X134994600Y-128208088D01* -X137674400Y-128208088D01* -X137674400Y-128331912D01* -X137698556Y-128453356D01* -X137745941Y-128567754D01* -X137814734Y-128670709D01* -X137902291Y-128758266D01* -X138005246Y-128827059D01* -X138119644Y-128874444D01* -X138241088Y-128898600D01* -X138364912Y-128898600D01* -X138486356Y-128874444D01* -X138600754Y-128827059D01* -X138703709Y-128758266D01* -X138791266Y-128670709D01* -X138860059Y-128567754D01* -X138907444Y-128453356D01* -X138931600Y-128331912D01* -X138931600Y-128208088D01* -X138907444Y-128086644D01* -X138860059Y-127972246D01* -X138791266Y-127869291D01* -X138703709Y-127781734D01* -X138600754Y-127712941D01* -X138486356Y-127665556D01* -X138364912Y-127641400D01* -X138241088Y-127641400D01* -X138119644Y-127665556D01* -X138005246Y-127712941D01* -X137902291Y-127781734D01* -X137814734Y-127869291D01* -X137745941Y-127972246D01* -X137698556Y-128086644D01* -X137674400Y-128208088D01* -X134994600Y-128208088D01* -X134970444Y-128086644D01* -X134923059Y-127972246D01* -X134854266Y-127869291D01* -X134766709Y-127781734D01* -X134663754Y-127712941D01* -X134549356Y-127665556D01* -X134427912Y-127641400D01* -X134304088Y-127641400D01* -X134182644Y-127665556D01* -X134068246Y-127712941D01* -X133965291Y-127781734D01* -X133877734Y-127869291D01* -X133808941Y-127972246D01* -X133761556Y-128086644D01* -X133737400Y-128208088D01* -X122485100Y-128208088D01* -X122460944Y-128086644D01* -X122413559Y-127972246D01* -X122344766Y-127869291D01* -X122257209Y-127781734D01* -X122154254Y-127712941D01* -X122039856Y-127665556D01* -X121918412Y-127641400D01* -X121794588Y-127641400D01* -X121673144Y-127665556D01* -X121558746Y-127712941D01* -X121455791Y-127781734D01* -X121368234Y-127869291D01* -X121299441Y-127972246D01* -X121252056Y-128086644D01* -X121227900Y-128208088D01* -X114928600Y-128208088D01* -X114904444Y-128086644D01* -X114857059Y-127972246D01* -X114788266Y-127869291D01* -X114700709Y-127781734D01* -X114597754Y-127712941D01* -X114483356Y-127665556D01* -X114361912Y-127641400D01* -X114238088Y-127641400D01* -X114116644Y-127665556D01* -X114002246Y-127712941D01* -X113899291Y-127781734D01* -X113811734Y-127869291D01* -X113742941Y-127972246D01* -X113695556Y-128086644D01* -X113671400Y-128208088D01* -X113553158Y-128208088D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113610208Y-127810397D01* -X113574130Y-127723298D01* -X113521753Y-127644910D01* -X113455090Y-127578247D01* -X113376702Y-127525870D01* -X113289603Y-127489792D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112725870Y-127723298D01* -X112689792Y-127810397D01* -X112671400Y-127902862D01* -X99007087Y-127902862D01* -X98974130Y-127823298D01* -X98921753Y-127744910D01* -X98855090Y-127678247D01* -X98776702Y-127625870D01* -X98689603Y-127589792D01* -X98597138Y-127571400D01* -X98502862Y-127571400D01* -X98410397Y-127589792D01* -X98323298Y-127625870D01* -X98244910Y-127678247D01* -X98178247Y-127744910D01* -X98125870Y-127823298D01* -X98089792Y-127910397D01* -X98071400Y-128002862D01* -X95467516Y-128002862D01* -X95478600Y-127947138D01* -X95478600Y-127852862D01* -X95460208Y-127760397D01* -X95424130Y-127673298D01* -X95371753Y-127594910D01* -X95305090Y-127528247D01* -X95226702Y-127475870D01* -X95139603Y-127439792D01* -X95047138Y-127421400D01* -X94952862Y-127421400D01* -X94860397Y-127439792D01* -X94773298Y-127475870D01* -X94694910Y-127528247D01* -X94628247Y-127594910D01* -X94575870Y-127673298D01* -X94539792Y-127760397D01* -X94521400Y-127852862D01* -X93018655Y-127852862D01* -X93010208Y-127810397D01* -X92974130Y-127723298D01* -X92921753Y-127644910D01* -X92855090Y-127578247D01* -X92776702Y-127525870D01* -X92689603Y-127489792D01* -X92597138Y-127471400D01* -X92502862Y-127471400D01* -X92410397Y-127489792D01* -X92323298Y-127525870D01* -X92244910Y-127578247D01* -X92178247Y-127644910D01* -X92125870Y-127723298D01* -X92089792Y-127810397D01* -X92071400Y-127902862D01* -X88857087Y-127902862D01* -X88824130Y-127823298D01* -X88771753Y-127744910D01* -X88705090Y-127678247D01* -X88626702Y-127625870D01* -X88539603Y-127589792D01* -X88447138Y-127571400D01* -X88352862Y-127571400D01* -X88260397Y-127589792D01* -X88173298Y-127625870D01* -X88094910Y-127678247D01* -X88028247Y-127744910D01* -X87975870Y-127823298D01* -X87939792Y-127910397D01* -X87921400Y-128002862D01* -X80279705Y-128002862D01* -X80255090Y-127978247D01* -X80176702Y-127925870D01* -X80089603Y-127889792D01* -X79997138Y-127871400D01* -X79902862Y-127871400D01* -X79810397Y-127889792D01* -X79723298Y-127925870D01* -X79644910Y-127978247D01* -X79578247Y-128044910D01* -X79525870Y-128123298D01* -X79489792Y-128210397D01* -X79471400Y-128302862D01* -X77971600Y-128302862D01* -X77971600Y-128208088D01* -X77947444Y-128086644D01* -X77900059Y-127972246D01* -X77831266Y-127869291D01* -X77743709Y-127781734D01* -X77640754Y-127712941D01* -X77526356Y-127665556D01* -X77404912Y-127641400D01* -X77281088Y-127641400D01* -X77159644Y-127665556D01* -X77045246Y-127712941D01* -X76942291Y-127781734D01* -X76854734Y-127869291D01* -X76785941Y-127972246D01* -X76738556Y-128086644D01* -X76714400Y-128208088D01* -X73950927Y-128208088D01* -X73894266Y-128123291D01* -X73806709Y-128035734D01* -X73703754Y-127966941D01* -X73589356Y-127919556D01* -X73467912Y-127895400D01* -X73344088Y-127895400D01* -X73222644Y-127919556D01* -X73108246Y-127966941D01* -X73005291Y-128035734D01* -X72917734Y-128123291D01* -X72848941Y-128226246D01* -X72801556Y-128340644D01* -X72777400Y-128462088D01* -X69261691Y-128462088D01* -X69314702Y-128440130D01* -X69393090Y-128387753D01* -X69459753Y-128321090D01* -X69512130Y-128242702D01* -X69548208Y-128155603D01* -X69566600Y-128063138D01* -X69566600Y-127968862D01* -X69548208Y-127876397D01* -X69512130Y-127789298D01* -X69459753Y-127710910D01* -X69393090Y-127644247D01* -X69314702Y-127591870D01* -X69227603Y-127555792D01* -X69135138Y-127537400D01* -X69040862Y-127537400D01* -X68948397Y-127555792D01* -X68861298Y-127591870D01* -X68782910Y-127644247D01* -X68716247Y-127710910D01* -X68663870Y-127789298D01* -X68627792Y-127876397D01* -X68609400Y-127968862D01* -X64486600Y-127968862D01* -X64468208Y-127876397D01* -X64432130Y-127789298D01* -X64379753Y-127710910D01* -X64313090Y-127644247D01* -X64234702Y-127591870D01* -X64147603Y-127555792D01* -X64055138Y-127537400D01* -X63960862Y-127537400D01* -X63868397Y-127555792D01* -X63781298Y-127591870D01* -X63702910Y-127644247D01* -X63636247Y-127710910D01* -X63583870Y-127789298D01* -X63547792Y-127876397D01* -X63529400Y-127968862D01* -X59406600Y-127968862D01* -X59388208Y-127876397D01* -X59352130Y-127789298D01* -X59299753Y-127710910D01* -X59233090Y-127644247D01* -X59154702Y-127591870D01* -X59067603Y-127555792D01* -X58975138Y-127537400D01* -X58880862Y-127537400D01* -X58788397Y-127555792D01* -X58701298Y-127591870D01* -X58622910Y-127644247D01* -X58556247Y-127710910D01* -X58503870Y-127789298D01* -X58467792Y-127876397D01* -X58449400Y-127968862D01* -X54326600Y-127968862D01* -X54308208Y-127876397D01* -X54272130Y-127789298D01* -X54219753Y-127710910D01* -X54153090Y-127644247D01* -X54074702Y-127591870D01* -X53987603Y-127555792D01* -X53895138Y-127537400D01* -X53800862Y-127537400D01* -X53708397Y-127555792D01* -X53621298Y-127591870D01* -X53542910Y-127644247D01* -X53476247Y-127710910D01* -X53423870Y-127789298D01* -X53387792Y-127876397D01* -X53369400Y-127968862D01* -X46177200Y-127968862D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48906680Y-127234693D01* -X48922957Y-127152862D01* -X77371400Y-127152862D01* -X77371400Y-127247138D01* -X77389792Y-127339603D01* -X77425870Y-127426702D01* -X77478247Y-127505090D01* -X77544910Y-127571753D01* -X77623298Y-127624130D01* -X77710397Y-127660208D01* -X77802862Y-127678600D01* -X77897138Y-127678600D01* -X77989603Y-127660208D01* -X78076702Y-127624130D01* -X78155090Y-127571753D01* -X78221753Y-127505090D01* -X78274130Y-127426702D01* -X78310208Y-127339603D01* -X78311349Y-127333862D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84739792Y-127520603D01* -X84775870Y-127607702D01* -X84828247Y-127686090D01* -X84894910Y-127752753D01* -X84973298Y-127805130D01* -X85060397Y-127841208D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85624130Y-127607702D01* -X85660208Y-127520603D01* -X85678600Y-127428138D01* -X85678600Y-127333862D01* -X85660208Y-127241397D01* -X85624130Y-127154298D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X78311349Y-127333862D01* -X78328600Y-127247138D01* -X78328600Y-127152862D01* -X78310208Y-127060397D01* -X78274130Y-126973298D01* -X78221753Y-126894910D01* -X78155090Y-126828247D01* -X78117099Y-126802862D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79539603Y-127310208D01* -X79626702Y-127274130D01* -X79705090Y-127221753D01* -X79771753Y-127155090D01* -X79824130Y-127076702D01* -X79860208Y-126989603D01* -X79878600Y-126897138D01* -X79878600Y-126802862D01* -X80221400Y-126802862D01* -X80221400Y-126897138D01* -X80239792Y-126989603D01* -X80275870Y-127076702D01* -X80328247Y-127155090D01* -X80394910Y-127221753D01* -X80473298Y-127274130D01* -X80560397Y-127310208D01* -X80652862Y-127328600D01* -X80747138Y-127328600D01* -X80839603Y-127310208D01* -X80926702Y-127274130D01* -X81005090Y-127221753D01* -X81071753Y-127155090D01* -X81124130Y-127076702D01* -X81160208Y-126989603D01* -X81178600Y-126897138D01* -X81178600Y-126802862D01* -X81521400Y-126802862D01* -X81521400Y-126897138D01* -X81539792Y-126989603D01* -X81575870Y-127076702D01* -X81628247Y-127155090D01* -X81694910Y-127221753D01* -X81773298Y-127274130D01* -X81860397Y-127310208D01* -X81952862Y-127328600D01* -X82047138Y-127328600D01* -X82139603Y-127310208D01* -X82226702Y-127274130D01* -X82305090Y-127221753D01* -X82371753Y-127155090D01* -X82424130Y-127076702D01* -X82460208Y-126989603D01* -X82478600Y-126897138D01* -X82478600Y-126802862D01* -X82821400Y-126802862D01* -X82821400Y-126897138D01* -X82839792Y-126989603D01* -X82875870Y-127076702D01* -X82928247Y-127155090D01* -X82994910Y-127221753D01* -X83073298Y-127274130D01* -X83160397Y-127310208D01* -X83252862Y-127328600D01* -X83347138Y-127328600D01* -X83439603Y-127310208D01* -X83526702Y-127274130D01* -X83605090Y-127221753D01* -X83671753Y-127155090D01* -X83724130Y-127076702D01* -X83760208Y-126989603D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83526702Y-126425870D01* -X83439603Y-126389792D01* -X83347138Y-126371400D01* -X83252862Y-126371400D01* -X83160397Y-126389792D01* -X83073298Y-126425870D01* -X82994910Y-126478247D01* -X82928247Y-126544910D01* -X82875870Y-126623298D01* -X82839792Y-126710397D01* -X82821400Y-126802862D01* -X82478600Y-126802862D01* -X82460208Y-126710397D01* -X82424130Y-126623298D01* -X82371753Y-126544910D01* -X82305090Y-126478247D01* -X82226702Y-126425870D01* -X82139603Y-126389792D01* -X82047138Y-126371400D01* -X81952862Y-126371400D01* -X81860397Y-126389792D01* -X81773298Y-126425870D01* -X81694910Y-126478247D01* -X81628247Y-126544910D01* -X81575870Y-126623298D01* -X81539792Y-126710397D01* -X81521400Y-126802862D01* -X81178600Y-126802862D01* -X81160208Y-126710397D01* -X81124130Y-126623298D01* -X81071753Y-126544910D01* -X81005090Y-126478247D01* -X80926702Y-126425870D01* -X80839603Y-126389792D01* -X80747138Y-126371400D01* -X80652862Y-126371400D01* -X80560397Y-126389792D01* -X80473298Y-126425870D01* -X80394910Y-126478247D01* -X80328247Y-126544910D01* -X80275870Y-126623298D01* -X80239792Y-126710397D01* -X80221400Y-126802862D01* -X79878600Y-126802862D01* -X79860208Y-126710397D01* -X79824130Y-126623298D01* -X79771753Y-126544910D01* -X79705090Y-126478247D01* -X79626702Y-126425870D01* -X79539603Y-126389792D01* -X79447138Y-126371400D01* -X79352862Y-126371400D01* -X79260397Y-126389792D01* -X79173298Y-126425870D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X78117099Y-126802862D01* -X78076702Y-126775870D01* -X77989603Y-126739792D01* -X77897138Y-126721400D01* -X77802862Y-126721400D01* -X77710397Y-126739792D01* -X77623298Y-126775870D01* -X77544910Y-126828247D01* -X77478247Y-126894910D01* -X77425870Y-126973298D01* -X77389792Y-127060397D01* -X77371400Y-127152862D01* -X48922957Y-127152862D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-126052862D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78289792Y-126239603D01* -X78325870Y-126326702D01* -X78378247Y-126405090D01* -X78444910Y-126471753D01* -X78523298Y-126524130D01* -X78610397Y-126560208D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79121753Y-126405090D01* -X79174130Y-126326702D01* -X79210208Y-126239603D01* -X79228600Y-126147138D01* -X79228600Y-126052862D01* -X79571400Y-126052862D01* -X79571400Y-126147138D01* -X79589792Y-126239603D01* -X79625870Y-126326702D01* -X79678247Y-126405090D01* -X79744910Y-126471753D01* -X79823298Y-126524130D01* -X79910397Y-126560208D01* -X80002862Y-126578600D01* -X80097138Y-126578600D01* -X80189603Y-126560208D01* -X80276702Y-126524130D01* -X80355090Y-126471753D01* -X80421753Y-126405090D01* -X80474130Y-126326702D01* -X80510208Y-126239603D01* -X80528600Y-126147138D01* -X80528600Y-126052862D01* -X80871400Y-126052862D01* -X80871400Y-126147138D01* -X80889792Y-126239603D01* -X80925870Y-126326702D01* -X80978247Y-126405090D01* -X81044910Y-126471753D01* -X81123298Y-126524130D01* -X81210397Y-126560208D01* -X81302862Y-126578600D01* -X81397138Y-126578600D01* -X81489603Y-126560208D01* -X81576702Y-126524130D01* -X81655090Y-126471753D01* -X81721753Y-126405090D01* -X81774130Y-126326702D01* -X81810208Y-126239603D01* -X81828600Y-126147138D01* -X81828600Y-126052862D01* -X82171400Y-126052862D01* -X82171400Y-126147138D01* -X82189792Y-126239603D01* -X82225870Y-126326702D01* -X82278247Y-126405090D01* -X82344910Y-126471753D01* -X82423298Y-126524130D01* -X82510397Y-126560208D01* -X82602862Y-126578600D01* -X82697138Y-126578600D01* -X82789603Y-126560208D01* -X82876702Y-126524130D01* -X82955090Y-126471753D01* -X83021753Y-126405090D01* -X83074130Y-126326702D01* -X83110208Y-126239603D01* -X83128600Y-126147138D01* -X83128600Y-126052862D01* -X83471400Y-126052862D01* -X83471400Y-126147138D01* -X83489792Y-126239603D01* -X83525870Y-126326702D01* -X83578247Y-126405090D01* -X83644910Y-126471753D01* -X83723298Y-126524130D01* -X83810397Y-126560208D01* -X83902862Y-126578600D01* -X83997138Y-126578600D01* -X84089603Y-126560208D01* -X84176702Y-126524130D01* -X84255090Y-126471753D01* -X84321753Y-126405090D01* -X84374130Y-126326702D01* -X84410208Y-126239603D01* -X84427461Y-126152862D01* -X86421400Y-126152862D01* -X86421400Y-126247138D01* -X86439792Y-126339603D01* -X86475870Y-126426702D01* -X86528247Y-126505090D01* -X86594910Y-126571753D01* -X86673298Y-126624130D01* -X86760397Y-126660208D01* -X86852862Y-126678600D01* -X86947138Y-126678600D01* -X87039603Y-126660208D01* -X87126702Y-126624130D01* -X87205090Y-126571753D01* -X87271753Y-126505090D01* -X87324130Y-126426702D01* -X87360208Y-126339603D01* -X87377461Y-126252862D01* -X90421400Y-126252862D01* -X90421400Y-126347138D01* -X90439792Y-126439603D01* -X90475870Y-126526702D01* -X90528247Y-126605090D01* -X90594910Y-126671753D01* -X90673298Y-126724130D01* -X90760397Y-126760208D01* -X90852862Y-126778600D01* -X90947138Y-126778600D01* -X91039603Y-126760208D01* -X91126702Y-126724130D01* -X91158531Y-126702862D01* -X91471400Y-126702862D01* -X91471400Y-126797138D01* -X91489792Y-126889603D01* -X91525870Y-126976702D01* -X91578247Y-127055090D01* -X91644910Y-127121753D01* -X91723298Y-127174130D01* -X91810397Y-127210208D01* -X91902862Y-127228600D01* -X91997138Y-127228600D01* -X92089603Y-127210208D01* -X92176702Y-127174130D01* -X92255090Y-127121753D01* -X92321753Y-127055090D01* -X92374130Y-126976702D01* -X92410208Y-126889603D01* -X92417516Y-126852862D01* -X96971400Y-126852862D01* -X96971400Y-126947138D01* -X96989792Y-127039603D01* -X97025870Y-127126702D01* -X97078247Y-127205090D01* -X97144910Y-127271753D01* -X97223298Y-127324130D01* -X97310397Y-127360208D01* -X97402862Y-127378600D01* -X97497138Y-127378600D01* -X97589603Y-127360208D01* -X97676702Y-127324130D01* -X97755090Y-127271753D01* -X97821753Y-127205090D01* -X97874130Y-127126702D01* -X97910208Y-127039603D01* -X97928600Y-126947138D01* -X97928600Y-126852862D01* -X97910208Y-126760397D01* -X97907087Y-126752862D01* -X106421400Y-126752862D01* -X106421400Y-126847138D01* -X106439792Y-126939603D01* -X106475870Y-127026702D01* -X106528247Y-127105090D01* -X106594910Y-127171753D01* -X106673298Y-127224130D01* -X106760397Y-127260208D01* -X106852862Y-127278600D01* -X106947138Y-127278600D01* -X107039603Y-127260208D01* -X107126702Y-127224130D01* -X107205090Y-127171753D01* -X107271753Y-127105090D01* -X107324130Y-127026702D01* -X107360208Y-126939603D01* -X107378600Y-126847138D01* -X107378600Y-126752862D01* -X108421400Y-126752862D01* -X108421400Y-126847138D01* -X108439792Y-126939603D01* -X108475870Y-127026702D01* -X108528247Y-127105090D01* -X108594910Y-127171753D01* -X108673298Y-127224130D01* -X108760397Y-127260208D01* -X108852862Y-127278600D01* -X108947138Y-127278600D01* -X109039603Y-127260208D01* -X109126702Y-127224130D01* -X109205090Y-127171753D01* -X109271753Y-127105090D01* -X109324130Y-127026702D01* -X109360208Y-126939603D01* -X109360509Y-126938088D01* -X134880400Y-126938088D01* -X134880400Y-127061912D01* -X134904556Y-127183356D01* -X134951941Y-127297754D01* -X135020734Y-127400709D01* -X135108291Y-127488266D01* -X135211246Y-127557059D01* -X135325644Y-127604444D01* -X135447088Y-127628600D01* -X135570912Y-127628600D01* -X135692356Y-127604444D01* -X135806754Y-127557059D01* -X135909709Y-127488266D01* -X135997266Y-127400709D01* -X136066059Y-127297754D01* -X136113444Y-127183356D01* -X136137600Y-127061912D01* -X136137600Y-126938088D01* -X136531400Y-126938088D01* -X136531400Y-127061912D01* -X136555556Y-127183356D01* -X136602941Y-127297754D01* -X136671734Y-127400709D01* -X136759291Y-127488266D01* -X136862246Y-127557059D01* -X136976644Y-127604444D01* -X137098088Y-127628600D01* -X137221912Y-127628600D01* -X137343356Y-127604444D01* -X137457754Y-127557059D01* -X137560709Y-127488266D01* -X137648266Y-127400709D01* -X137717059Y-127297754D01* -X137764444Y-127183356D01* -X137788600Y-127061912D01* -X137788600Y-126938088D01* -X137785153Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X137785153Y-126920754D01* -X137764444Y-126816644D01* -X137717059Y-126702246D01* -X137648266Y-126599291D01* -X137560709Y-126511734D01* -X137457754Y-126442941D01* -X137343356Y-126395556D01* -X137221912Y-126371400D01* -X137098088Y-126371400D01* -X136976644Y-126395556D01* -X136862246Y-126442941D01* -X136759291Y-126511734D01* -X136671734Y-126599291D01* -X136602941Y-126702246D01* -X136555556Y-126816644D01* -X136531400Y-126938088D01* -X136137600Y-126938088D01* -X136113444Y-126816644D01* -X136066059Y-126702246D01* -X135997266Y-126599291D01* -X135909709Y-126511734D01* -X135806754Y-126442941D01* -X135692356Y-126395556D01* -X135570912Y-126371400D01* -X135447088Y-126371400D01* -X135325644Y-126395556D01* -X135211246Y-126442941D01* -X135108291Y-126511734D01* -X135020734Y-126599291D01* -X134951941Y-126702246D01* -X134904556Y-126816644D01* -X134880400Y-126938088D01* -X109360509Y-126938088D01* -X109378600Y-126847138D01* -X109378600Y-126752862D01* -X109360208Y-126660397D01* -X109324130Y-126573298D01* -X109271753Y-126494910D01* -X109205090Y-126428247D01* -X109126702Y-126375870D01* -X109039603Y-126339792D01* -X108947138Y-126321400D01* -X108852862Y-126321400D01* -X108760397Y-126339792D01* -X108673298Y-126375870D01* -X108594910Y-126428247D01* -X108528247Y-126494910D01* -X108475870Y-126573298D01* -X108439792Y-126660397D01* -X108421400Y-126752862D01* -X107378600Y-126752862D01* -X107360208Y-126660397D01* -X107324130Y-126573298D01* -X107271753Y-126494910D01* -X107205090Y-126428247D01* -X107126702Y-126375870D01* -X107039603Y-126339792D01* -X106947138Y-126321400D01* -X106852862Y-126321400D01* -X106760397Y-126339792D01* -X106673298Y-126375870D01* -X106594910Y-126428247D01* -X106528247Y-126494910D01* -X106475870Y-126573298D01* -X106439792Y-126660397D01* -X106421400Y-126752862D01* -X97907087Y-126752862D01* -X97874130Y-126673298D01* -X97821753Y-126594910D01* -X97755090Y-126528247D01* -X97676702Y-126475870D01* -X97589603Y-126439792D01* -X97497138Y-126421400D01* -X97402862Y-126421400D01* -X97310397Y-126439792D01* -X97223298Y-126475870D01* -X97144910Y-126528247D01* -X97078247Y-126594910D01* -X97025870Y-126673298D01* -X96989792Y-126760397D01* -X96971400Y-126852862D01* -X92417516Y-126852862D01* -X92428600Y-126797138D01* -X92428600Y-126702862D01* -X92410208Y-126610397D01* -X92374130Y-126523298D01* -X92321753Y-126444910D01* -X92255090Y-126378247D01* -X92176702Y-126325870D01* -X92089603Y-126289792D01* -X91997138Y-126271400D01* -X91902862Y-126271400D01* -X91810397Y-126289792D01* -X91723298Y-126325870D01* -X91644910Y-126378247D01* -X91578247Y-126444910D01* -X91525870Y-126523298D01* -X91489792Y-126610397D01* -X91471400Y-126702862D01* -X91158531Y-126702862D01* -X91205090Y-126671753D01* -X91271753Y-126605090D01* -X91324130Y-126526702D01* -X91360208Y-126439603D01* -X91378600Y-126347138D01* -X91378600Y-126252862D01* -X91360208Y-126160397D01* -X91324130Y-126073298D01* -X91310476Y-126052862D01* -X92671400Y-126052862D01* -X92671400Y-126147138D01* -X92689792Y-126239603D01* -X92725870Y-126326702D01* -X92778247Y-126405090D01* -X92844910Y-126471753D01* -X92923298Y-126524130D01* -X93010397Y-126560208D01* -X93102862Y-126578600D01* -X93197138Y-126578600D01* -X93289603Y-126560208D01* -X93376702Y-126524130D01* -X93455090Y-126471753D01* -X93521753Y-126405090D01* -X93574130Y-126326702D01* -X93610208Y-126239603D01* -X93628600Y-126147138D01* -X93628600Y-126052862D01* -X101871400Y-126052862D01* -X101871400Y-126147138D01* -X101889792Y-126239603D01* -X101925870Y-126326702D01* -X101978247Y-126405090D01* -X102044910Y-126471753D01* -X102123298Y-126524130D01* -X102210397Y-126560208D01* -X102302862Y-126578600D01* -X102397138Y-126578600D01* -X102489603Y-126560208D01* -X102576702Y-126524130D01* -X102655090Y-126471753D01* -X102721753Y-126405090D01* -X102774130Y-126326702D01* -X102810208Y-126239603D01* -X102828600Y-126147138D01* -X102828600Y-126052862D01* -X109771400Y-126052862D01* -X109771400Y-126147138D01* -X109789792Y-126239603D01* -X109825870Y-126326702D01* -X109878247Y-126405090D01* -X109944910Y-126471753D01* -X110023298Y-126524130D01* -X110110397Y-126560208D01* -X110202862Y-126578600D01* -X110297138Y-126578600D01* -X110389603Y-126560208D01* -X110476702Y-126524130D01* -X110555090Y-126471753D01* -X110621753Y-126405090D01* -X110674130Y-126326702D01* -X110710208Y-126239603D01* -X110728600Y-126147138D01* -X110728600Y-126052862D01* -X111071400Y-126052862D01* -X111071400Y-126147138D01* -X111089792Y-126239603D01* -X111125870Y-126326702D01* -X111178247Y-126405090D01* -X111244910Y-126471753D01* -X111323298Y-126524130D01* -X111410397Y-126560208D01* -X111502862Y-126578600D01* -X111597138Y-126578600D01* -X111689603Y-126560208D01* -X111776702Y-126524130D01* -X111855090Y-126471753D01* -X111921753Y-126405090D01* -X111974130Y-126326702D01* -X111977791Y-126317862D01* -X122584400Y-126317862D01* -X122584400Y-126412138D01* -X122602792Y-126504603D01* -X122638870Y-126591702D01* -X122691247Y-126670090D01* -X122757910Y-126736753D01* -X122836298Y-126789130D01* -X122923397Y-126825208D01* -X123015862Y-126843600D01* -X123110138Y-126843600D01* -X123202603Y-126825208D01* -X123289702Y-126789130D01* -X123368090Y-126736753D01* -X123434753Y-126670090D01* -X123487130Y-126591702D01* -X123523208Y-126504603D01* -X123541600Y-126412138D01* -X123541600Y-126317862D01* -X127664400Y-126317862D01* -X127664400Y-126412138D01* -X127682792Y-126504603D01* -X127718870Y-126591702D01* -X127771247Y-126670090D01* -X127837910Y-126736753D01* -X127916298Y-126789130D01* -X128003397Y-126825208D01* -X128095862Y-126843600D01* -X128190138Y-126843600D01* -X128282603Y-126825208D01* -X128369702Y-126789130D01* -X128448090Y-126736753D01* -X128514753Y-126670090D01* -X128567130Y-126591702D01* -X128603208Y-126504603D01* -X128621600Y-126412138D01* -X128621600Y-126317862D01* -X128603208Y-126225397D01* -X128567130Y-126138298D01* -X128514753Y-126059910D01* -X128448090Y-125993247D01* -X128369702Y-125940870D01* -X128282603Y-125904792D01* -X128190138Y-125886400D01* -X128095862Y-125886400D01* -X128003397Y-125904792D01* -X127916298Y-125940870D01* -X127837910Y-125993247D01* -X127771247Y-126059910D01* -X127718870Y-126138298D01* -X127682792Y-126225397D01* -X127664400Y-126317862D01* -X123541600Y-126317862D01* -X123523208Y-126225397D01* -X123487130Y-126138298D01* -X123434753Y-126059910D01* -X123368090Y-125993247D01* -X123289702Y-125940870D01* -X123202603Y-125904792D01* -X123110138Y-125886400D01* -X123015862Y-125886400D01* -X122923397Y-125904792D01* -X122836298Y-125940870D01* -X122757910Y-125993247D01* -X122691247Y-126059910D01* -X122638870Y-126138298D01* -X122602792Y-126225397D01* -X122584400Y-126317862D01* -X111977791Y-126317862D01* -X112010208Y-126239603D01* -X112028600Y-126147138D01* -X112028600Y-126052862D01* -X112010208Y-125960397D01* -X111974130Y-125873298D01* -X111921753Y-125794910D01* -X111855090Y-125728247D01* -X111776702Y-125675870D01* -X111689603Y-125639792D01* -X111597138Y-125621400D01* -X111502862Y-125621400D01* -X111410397Y-125639792D01* -X111323298Y-125675870D01* -X111244910Y-125728247D01* -X111178247Y-125794910D01* -X111125870Y-125873298D01* -X111089792Y-125960397D01* -X111071400Y-126052862D01* -X110728600Y-126052862D01* -X110710208Y-125960397D01* -X110674130Y-125873298D01* -X110621753Y-125794910D01* -X110555090Y-125728247D01* -X110476702Y-125675870D01* -X110389603Y-125639792D01* -X110297138Y-125621400D01* -X110202862Y-125621400D01* -X110110397Y-125639792D01* -X110023298Y-125675870D01* -X109944910Y-125728247D01* -X109878247Y-125794910D01* -X109825870Y-125873298D01* -X109789792Y-125960397D01* -X109771400Y-126052862D01* -X102828600Y-126052862D01* -X102810208Y-125960397D01* -X102774130Y-125873298D01* -X102721753Y-125794910D01* -X102655090Y-125728247D01* -X102576702Y-125675870D01* -X102489603Y-125639792D01* -X102397138Y-125621400D01* -X102302862Y-125621400D01* -X102210397Y-125639792D01* -X102123298Y-125675870D01* -X102044910Y-125728247D01* -X101978247Y-125794910D01* -X101925870Y-125873298D01* -X101889792Y-125960397D01* -X101871400Y-126052862D01* -X93628600Y-126052862D01* -X93610208Y-125960397D01* -X93574130Y-125873298D01* -X93521753Y-125794910D01* -X93455090Y-125728247D01* -X93376702Y-125675870D01* -X93289603Y-125639792D01* -X93197138Y-125621400D01* -X93102862Y-125621400D01* -X93010397Y-125639792D01* -X92923298Y-125675870D01* -X92844910Y-125728247D01* -X92778247Y-125794910D01* -X92725870Y-125873298D01* -X92689792Y-125960397D01* -X92671400Y-126052862D01* -X91310476Y-126052862D01* -X91271753Y-125994910D01* -X91205090Y-125928247D01* -X91126702Y-125875870D01* -X91039603Y-125839792D01* -X90947138Y-125821400D01* -X90852862Y-125821400D01* -X90760397Y-125839792D01* -X90673298Y-125875870D01* -X90594910Y-125928247D01* -X90528247Y-125994910D01* -X90475870Y-126073298D01* -X90439792Y-126160397D01* -X90421400Y-126252862D01* -X87377461Y-126252862D01* -X87378600Y-126247138D01* -X87378600Y-126152862D01* -X87360208Y-126060397D01* -X87324130Y-125973298D01* -X87271753Y-125894910D01* -X87205090Y-125828247D01* -X87126702Y-125775870D01* -X87039603Y-125739792D01* -X86947138Y-125721400D01* -X86852862Y-125721400D01* -X86760397Y-125739792D01* -X86673298Y-125775870D01* -X86594910Y-125828247D01* -X86528247Y-125894910D01* -X86475870Y-125973298D01* -X86439792Y-126060397D01* -X86421400Y-126152862D01* -X84427461Y-126152862D01* -X84428600Y-126147138D01* -X84428600Y-126052862D01* -X84410208Y-125960397D01* -X84374130Y-125873298D01* -X84321753Y-125794910D01* -X84255090Y-125728247D01* -X84176702Y-125675870D01* -X84089603Y-125639792D01* -X83997138Y-125621400D01* -X83902862Y-125621400D01* -X83810397Y-125639792D01* -X83723298Y-125675870D01* -X83644910Y-125728247D01* -X83578247Y-125794910D01* -X83525870Y-125873298D01* -X83489792Y-125960397D01* -X83471400Y-126052862D01* -X83128600Y-126052862D01* -X83110208Y-125960397D01* -X83074130Y-125873298D01* -X83021753Y-125794910D01* -X82955090Y-125728247D01* -X82876702Y-125675870D01* -X82789603Y-125639792D01* -X82697138Y-125621400D01* -X82602862Y-125621400D01* -X82510397Y-125639792D01* -X82423298Y-125675870D01* -X82344910Y-125728247D01* -X82278247Y-125794910D01* -X82225870Y-125873298D01* -X82189792Y-125960397D01* -X82171400Y-126052862D01* -X81828600Y-126052862D01* -X81810208Y-125960397D01* -X81774130Y-125873298D01* -X81721753Y-125794910D01* -X81655090Y-125728247D01* -X81576702Y-125675870D01* -X81489603Y-125639792D01* -X81397138Y-125621400D01* -X81302862Y-125621400D01* -X81210397Y-125639792D01* -X81123298Y-125675870D01* -X81044910Y-125728247D01* -X80978247Y-125794910D01* -X80925870Y-125873298D01* -X80889792Y-125960397D01* -X80871400Y-126052862D01* -X80528600Y-126052862D01* -X80510208Y-125960397D01* -X80474130Y-125873298D01* -X80421753Y-125794910D01* -X80355090Y-125728247D01* -X80276702Y-125675870D01* -X80189603Y-125639792D01* -X80097138Y-125621400D01* -X80002862Y-125621400D01* -X79910397Y-125639792D01* -X79823298Y-125675870D01* -X79744910Y-125728247D01* -X79678247Y-125794910D01* -X79625870Y-125873298D01* -X79589792Y-125960397D01* -X79571400Y-126052862D01* -X79228600Y-126052862D01* -X79210208Y-125960397D01* -X79174130Y-125873298D01* -X79121753Y-125794910D01* -X79055090Y-125728247D01* -X78976702Y-125675870D01* -X78889603Y-125639792D01* -X78797138Y-125621400D01* -X78702862Y-125621400D01* -X78610397Y-125639792D01* -X78523298Y-125675870D01* -X78444910Y-125728247D01* -X78378247Y-125794910D01* -X78325870Y-125873298D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X46177200Y-126052862D01* -X46177200Y-125285386D01* -X46215397Y-125301208D01* -X46307862Y-125319600D01* -X46402138Y-125319600D01* -X46494603Y-125301208D01* -X46581702Y-125265130D01* -X46660090Y-125212753D01* -X46726753Y-125146090D01* -X46779130Y-125067702D01* -X46815208Y-124980603D01* -X46833600Y-124888138D01* -X46833600Y-124793862D01* -X50956400Y-124793862D01* -X50956400Y-124888138D01* -X50974792Y-124980603D01* -X51010870Y-125067702D01* -X51063247Y-125146090D01* -X51129910Y-125212753D01* -X51208298Y-125265130D01* -X51295397Y-125301208D01* -X51387862Y-125319600D01* -X51482138Y-125319600D01* -X51574603Y-125301208D01* -X51661702Y-125265130D01* -X51740090Y-125212753D01* -X51806753Y-125146090D01* -X51859130Y-125067702D01* -X51895208Y-124980603D01* -X51913600Y-124888138D01* -X51913600Y-124793862D01* -X55909400Y-124793862D01* -X55909400Y-124888138D01* -X55927792Y-124980603D01* -X55963870Y-125067702D01* -X56016247Y-125146090D01* -X56082910Y-125212753D01* -X56161298Y-125265130D01* -X56248397Y-125301208D01* -X56340862Y-125319600D01* -X56435138Y-125319600D01* -X56527603Y-125301208D01* -X56614702Y-125265130D01* -X56693090Y-125212753D01* -X56759753Y-125146090D01* -X56812130Y-125067702D01* -X56848208Y-124980603D01* -X56866600Y-124888138D01* -X56866600Y-124793862D01* -X56848208Y-124701397D01* -X56833903Y-124666862D01* -X61052900Y-124666862D01* -X61052900Y-124761138D01* -X61071292Y-124853603D01* -X61107370Y-124940702D01* -X61159747Y-125019090D01* -X61226410Y-125085753D01* -X61304798Y-125138130D01* -X61391897Y-125174208D01* -X61484362Y-125192600D01* -X61578638Y-125192600D01* -X61671103Y-125174208D01* -X61758202Y-125138130D01* -X61836590Y-125085753D01* -X61903253Y-125019090D01* -X61955630Y-124940702D01* -X61991708Y-124853603D01* -X62010100Y-124761138D01* -X62010100Y-124666862D01* -X65624900Y-124666862D01* -X65624900Y-124761138D01* -X65643292Y-124853603D01* -X65679370Y-124940702D01* -X65731747Y-125019090D01* -X65798410Y-125085753D01* -X65876798Y-125138130D01* -X65963897Y-125174208D01* -X66056362Y-125192600D01* -X66150638Y-125192600D01* -X66243103Y-125174208D01* -X66330202Y-125138130D01* -X66408590Y-125085753D01* -X66475253Y-125019090D01* -X66527630Y-124940702D01* -X66563708Y-124853603D01* -X66575590Y-124793862D01* -X71276400Y-124793862D01* -X71276400Y-124888138D01* -X71294792Y-124980603D01* -X71330870Y-125067702D01* -X71383247Y-125146090D01* -X71449910Y-125212753D01* -X71528298Y-125265130D01* -X71615397Y-125301208D01* -X71707862Y-125319600D01* -X71802138Y-125319600D01* -X71894603Y-125301208D01* -X71981702Y-125265130D01* -X72060090Y-125212753D01* -X72126753Y-125146090D01* -X72179130Y-125067702D01* -X72215208Y-124980603D01* -X72230671Y-124902862D01* -X84121400Y-124902862D01* -X84121400Y-124997138D01* -X84139792Y-125089603D01* -X84175870Y-125176702D01* -X84228247Y-125255090D01* -X84294910Y-125321753D01* -X84373298Y-125374130D01* -X84460397Y-125410208D01* -X84552862Y-125428600D01* -X84647138Y-125428600D01* -X84739603Y-125410208D01* -X84826702Y-125374130D01* -X84905090Y-125321753D01* -X84971753Y-125255090D01* -X85024130Y-125176702D01* -X85060208Y-125089603D01* -X85078600Y-124997138D01* -X85078600Y-124902862D01* -X93321400Y-124902862D01* -X93321400Y-124997138D01* -X93339792Y-125089603D01* -X93375870Y-125176702D01* -X93428247Y-125255090D01* -X93494910Y-125321753D01* -X93573298Y-125374130D01* -X93660397Y-125410208D01* -X93752862Y-125428600D01* -X93847138Y-125428600D01* -X93939603Y-125410208D01* -X94026702Y-125374130D01* -X94105090Y-125321753D01* -X94171753Y-125255090D01* -X94224130Y-125176702D01* -X94260208Y-125089603D01* -X94278600Y-124997138D01* -X94278600Y-124902862D01* -X102521400Y-124902862D01* -X102521400Y-124997138D01* -X102539792Y-125089603D01* -X102575870Y-125176702D01* -X102628247Y-125255090D01* -X102694910Y-125321753D01* -X102773298Y-125374130D01* -X102860397Y-125410208D01* -X102952862Y-125428600D01* -X103047138Y-125428600D01* -X103139603Y-125410208D01* -X103226702Y-125374130D01* -X103305090Y-125321753D01* -X103371753Y-125255090D01* -X103424130Y-125176702D01* -X103460208Y-125089603D01* -X103478600Y-124997138D01* -X103478600Y-124902862D01* -X111721400Y-124902862D01* -X111721400Y-124997138D01* -X111739792Y-125089603D01* -X111775870Y-125176702D01* -X111828247Y-125255090D01* -X111894910Y-125321753D01* -X111973298Y-125374130D01* -X112060397Y-125410208D01* -X112152862Y-125428600D01* -X112247138Y-125428600D01* -X112339603Y-125410208D01* -X112426702Y-125374130D01* -X112458531Y-125352862D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X117024130Y-125626702D01* -X117060208Y-125539603D01* -X117078600Y-125447138D01* -X117078600Y-125352862D01* -X117060208Y-125260397D01* -X117024130Y-125173298D01* -X116971753Y-125094910D01* -X116905090Y-125028247D01* -X116826702Y-124975870D01* -X116739603Y-124939792D01* -X116647138Y-124921400D01* -X116552862Y-124921400D01* -X116460397Y-124939792D01* -X116373298Y-124975870D01* -X116294910Y-125028247D01* -X116228247Y-125094910D01* -X116175870Y-125173298D01* -X116139792Y-125260397D01* -X116121400Y-125352862D01* -X112458531Y-125352862D01* -X112505090Y-125321753D01* -X112571753Y-125255090D01* -X112624130Y-125176702D01* -X112660208Y-125089603D01* -X112678600Y-124997138D01* -X112678600Y-124902862D01* -X112660208Y-124810397D01* -X112624130Y-124723298D01* -X112571753Y-124644910D01* -X112505090Y-124578247D01* -X112426702Y-124525870D01* -X112339603Y-124489792D01* -X112247138Y-124471400D01* -X112152862Y-124471400D01* -X112060397Y-124489792D01* -X111973298Y-124525870D01* -X111894910Y-124578247D01* -X111828247Y-124644910D01* -X111775870Y-124723298D01* -X111739792Y-124810397D01* -X111721400Y-124902862D01* -X103478600Y-124902862D01* -X103460208Y-124810397D01* -X103424130Y-124723298D01* -X103371753Y-124644910D01* -X103305090Y-124578247D01* -X103226702Y-124525870D01* -X103139603Y-124489792D01* -X103047138Y-124471400D01* -X102952862Y-124471400D01* -X102860397Y-124489792D01* -X102773298Y-124525870D01* -X102694910Y-124578247D01* -X102628247Y-124644910D01* -X102575870Y-124723298D01* -X102539792Y-124810397D01* -X102521400Y-124902862D01* -X94278600Y-124902862D01* -X94260208Y-124810397D01* -X94224130Y-124723298D01* -X94171753Y-124644910D01* -X94105090Y-124578247D01* -X94026702Y-124525870D01* -X93939603Y-124489792D01* -X93847138Y-124471400D01* -X93752862Y-124471400D01* -X93660397Y-124489792D01* -X93573298Y-124525870D01* -X93494910Y-124578247D01* -X93428247Y-124644910D01* -X93375870Y-124723298D01* -X93339792Y-124810397D01* -X93321400Y-124902862D01* -X85078600Y-124902862D01* -X85060208Y-124810397D01* -X85024130Y-124723298D01* -X84971753Y-124644910D01* -X84905090Y-124578247D01* -X84826702Y-124525870D01* -X84739603Y-124489792D01* -X84647138Y-124471400D01* -X84552862Y-124471400D01* -X84460397Y-124489792D01* -X84373298Y-124525870D01* -X84294910Y-124578247D01* -X84228247Y-124644910D01* -X84175870Y-124723298D01* -X84139792Y-124810397D01* -X84121400Y-124902862D01* -X72230671Y-124902862D01* -X72233600Y-124888138D01* -X72233600Y-124793862D01* -X72215208Y-124701397D01* -X72179130Y-124614298D01* -X72126753Y-124535910D01* -X72060090Y-124469247D01* -X71981702Y-124416870D01* -X71894603Y-124380792D01* -X71802138Y-124362400D01* -X71707862Y-124362400D01* -X71615397Y-124380792D01* -X71528298Y-124416870D01* -X71449910Y-124469247D01* -X71383247Y-124535910D01* -X71330870Y-124614298D01* -X71294792Y-124701397D01* -X71276400Y-124793862D01* -X66575590Y-124793862D01* -X66582100Y-124761138D01* -X66582100Y-124666862D01* -X66563708Y-124574397D01* -X66527630Y-124487298D01* -X66475253Y-124408910D01* -X66408590Y-124342247D01* -X66330202Y-124289870D01* -X66243103Y-124253792D01* -X66150638Y-124235400D01* -X66056362Y-124235400D01* -X65963897Y-124253792D01* -X65876798Y-124289870D01* -X65798410Y-124342247D01* -X65731747Y-124408910D01* -X65679370Y-124487298D01* -X65643292Y-124574397D01* -X65624900Y-124666862D01* -X62010100Y-124666862D01* -X61991708Y-124574397D01* -X61955630Y-124487298D01* -X61903253Y-124408910D01* -X61836590Y-124342247D01* -X61758202Y-124289870D01* -X61671103Y-124253792D01* -X61578638Y-124235400D01* -X61484362Y-124235400D01* -X61391897Y-124253792D01* -X61304798Y-124289870D01* -X61226410Y-124342247D01* -X61159747Y-124408910D01* -X61107370Y-124487298D01* -X61071292Y-124574397D01* -X61052900Y-124666862D01* -X56833903Y-124666862D01* -X56812130Y-124614298D01* -X56759753Y-124535910D01* -X56693090Y-124469247D01* -X56614702Y-124416870D01* -X56527603Y-124380792D01* -X56435138Y-124362400D01* -X56340862Y-124362400D01* -X56248397Y-124380792D01* -X56161298Y-124416870D01* -X56082910Y-124469247D01* -X56016247Y-124535910D01* -X55963870Y-124614298D01* -X55927792Y-124701397D01* -X55909400Y-124793862D01* -X51913600Y-124793862D01* -X51895208Y-124701397D01* -X51859130Y-124614298D01* -X51806753Y-124535910D01* -X51740090Y-124469247D01* -X51661702Y-124416870D01* -X51574603Y-124380792D01* -X51482138Y-124362400D01* -X51387862Y-124362400D01* -X51295397Y-124380792D01* -X51208298Y-124416870D01* -X51129910Y-124469247D01* -X51063247Y-124535910D01* -X51010870Y-124614298D01* -X50974792Y-124701397D01* -X50956400Y-124793862D01* -X46833600Y-124793862D01* -X46815208Y-124701397D01* -X46779130Y-124614298D01* -X46726753Y-124535910D01* -X46660090Y-124469247D01* -X46581702Y-124416870D01* -X46494603Y-124380792D01* -X46402138Y-124362400D01* -X46307862Y-124362400D01* -X46215397Y-124380792D01* -X46177200Y-124396614D01* -X46177200Y-123852862D01* -X56921400Y-123852862D01* -X56921400Y-123947138D01* -X56939792Y-124039603D01* -X56975870Y-124126702D01* -X57028247Y-124205090D01* -X57094910Y-124271753D01* -X57173298Y-124324130D01* -X57260397Y-124360208D01* -X57352862Y-124378600D01* -X57447138Y-124378600D01* -X57539603Y-124360208D01* -X57626702Y-124324130D01* -X57705090Y-124271753D01* -X57771753Y-124205090D01* -X57824130Y-124126702D01* -X57860208Y-124039603D01* -X57878600Y-123947138D01* -X57878600Y-123852862D01* -X57868655Y-123802862D01* -X58271400Y-123802862D01* -X58271400Y-123897138D01* -X58289792Y-123989603D01* -X58325870Y-124076702D01* -X58378247Y-124155090D01* -X58444910Y-124221753D01* -X58523298Y-124274130D01* -X58610397Y-124310208D01* -X58702862Y-124328600D01* -X58797138Y-124328600D01* -X58889603Y-124310208D01* -X58976702Y-124274130D01* -X59055090Y-124221753D01* -X59121753Y-124155090D01* -X59174130Y-124076702D01* -X59210208Y-123989603D01* -X59228600Y-123897138D01* -X59228600Y-123802862D01* -X59218655Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77794910Y-124171753D01* -X77873298Y-124224130D01* -X77960397Y-124260208D01* -X78052862Y-124278600D01* -X78147138Y-124278600D01* -X78239603Y-124260208D01* -X78326702Y-124224130D01* -X78405090Y-124171753D01* -X78471753Y-124105090D01* -X78524130Y-124026702D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X83471400Y-123752862D01* -X83471400Y-123847138D01* -X83489792Y-123939603D01* -X83525870Y-124026702D01* -X83578247Y-124105090D01* -X83644910Y-124171753D01* -X83723298Y-124224130D01* -X83810397Y-124260208D01* -X83902862Y-124278600D01* -X83997138Y-124278600D01* -X84089603Y-124260208D01* -X84176702Y-124224130D01* -X84255090Y-124171753D01* -X84321753Y-124105090D01* -X84374130Y-124026702D01* -X84410208Y-123939603D01* -X84428600Y-123847138D01* -X84428600Y-123752862D01* -X92671400Y-123752862D01* -X92671400Y-123847138D01* -X92689792Y-123939603D01* -X92725870Y-124026702D01* -X92778247Y-124105090D01* -X92844910Y-124171753D01* -X92923298Y-124224130D01* -X93010397Y-124260208D01* -X93102862Y-124278600D01* -X93197138Y-124278600D01* -X93289603Y-124260208D01* -X93376702Y-124224130D01* -X93455090Y-124171753D01* -X93521753Y-124105090D01* -X93574130Y-124026702D01* -X93610208Y-123939603D01* -X93628600Y-123847138D01* -X93628600Y-123752862D01* -X101871400Y-123752862D01* -X101871400Y-123847138D01* -X101889792Y-123939603D01* -X101925870Y-124026702D01* -X101978247Y-124105090D01* -X102044910Y-124171753D01* -X102123298Y-124224130D01* -X102210397Y-124260208D01* -X102302862Y-124278600D01* -X102397138Y-124278600D01* -X102489603Y-124260208D01* -X102576702Y-124224130D01* -X102655090Y-124171753D01* -X102721753Y-124105090D01* -X102774130Y-124026702D01* -X102810208Y-123939603D01* -X102828600Y-123847138D01* -X102828600Y-123752862D01* -X106521400Y-123752862D01* -X106521400Y-123847138D01* -X106539792Y-123939603D01* -X106575870Y-124026702D01* -X106628247Y-124105090D01* -X106694910Y-124171753D01* -X106773298Y-124224130D01* -X106860397Y-124260208D01* -X106952862Y-124278600D01* -X107047138Y-124278600D01* -X107139603Y-124260208D01* -X107226702Y-124224130D01* -X107305090Y-124171753D01* -X107371753Y-124105090D01* -X107424130Y-124026702D01* -X107460208Y-123939603D01* -X107478600Y-123847138D01* -X107478600Y-123752862D01* -X107821400Y-123752862D01* -X107821400Y-123847138D01* -X107839792Y-123939603D01* -X107875870Y-124026702D01* -X107928247Y-124105090D01* -X107994910Y-124171753D01* -X108073298Y-124224130D01* -X108160397Y-124260208D01* -X108252862Y-124278600D01* -X108347138Y-124278600D01* -X108439603Y-124260208D01* -X108526702Y-124224130D01* -X108605090Y-124171753D01* -X108671753Y-124105090D01* -X108724130Y-124026702D01* -X108760208Y-123939603D01* -X108778600Y-123847138D01* -X108778600Y-123752862D01* -X111071400Y-123752862D01* -X111071400Y-123847138D01* -X111089792Y-123939603D01* -X111125870Y-124026702D01* -X111178247Y-124105090D01* -X111244910Y-124171753D01* -X111323298Y-124224130D01* -X111410397Y-124260208D01* -X111502862Y-124278600D01* -X111597138Y-124278600D01* -X111689603Y-124260208D01* -X111776702Y-124224130D01* -X111855090Y-124171753D01* -X111921753Y-124105090D01* -X111974130Y-124026702D01* -X112010208Y-123939603D01* -X112028600Y-123847138D01* -X112028600Y-123777862D01* -X112678400Y-123777862D01* -X112678400Y-123872138D01* -X112696792Y-123964603D01* -X112732870Y-124051702D01* -X112785247Y-124130090D01* -X112851910Y-124196753D01* -X112930298Y-124249130D01* -X113017397Y-124285208D01* -X113109862Y-124303600D01* -X113204138Y-124303600D01* -X113296603Y-124285208D01* -X113383702Y-124249130D01* -X113462090Y-124196753D01* -X113528753Y-124130090D01* -X113581130Y-124051702D01* -X113617208Y-123964603D01* -X113635600Y-123872138D01* -X113635600Y-123777862D01* -X120044400Y-123777862D01* -X120044400Y-123872138D01* -X120062792Y-123964603D01* -X120098870Y-124051702D01* -X120151247Y-124130090D01* -X120217910Y-124196753D01* -X120296298Y-124249130D01* -X120383397Y-124285208D01* -X120475862Y-124303600D01* -X120570138Y-124303600D01* -X120662603Y-124285208D01* -X120749702Y-124249130D01* -X120828090Y-124196753D01* -X120894753Y-124130090D01* -X120947130Y-124051702D01* -X120983208Y-123964603D01* -X121001600Y-123872138D01* -X121001600Y-123777862D01* -X125124400Y-123777862D01* -X125124400Y-123872138D01* -X125142792Y-123964603D01* -X125178870Y-124051702D01* -X125231247Y-124130090D01* -X125297910Y-124196753D01* -X125376298Y-124249130D01* -X125463397Y-124285208D01* -X125555862Y-124303600D01* -X125650138Y-124303600D01* -X125742603Y-124285208D01* -X125829702Y-124249130D01* -X125908090Y-124196753D01* -X125974753Y-124130090D01* -X126027130Y-124051702D01* -X126063208Y-123964603D01* -X126081600Y-123872138D01* -X126081600Y-123777862D01* -X126063208Y-123685397D01* -X126027130Y-123598298D01* -X125974753Y-123519910D01* -X125908090Y-123453247D01* -X125829702Y-123400870D01* -X125742603Y-123364792D01* -X125650138Y-123346400D01* -X125555862Y-123346400D01* -X125463397Y-123364792D01* -X125376298Y-123400870D01* -X125297910Y-123453247D01* -X125231247Y-123519910D01* -X125178870Y-123598298D01* -X125142792Y-123685397D01* -X125124400Y-123777862D01* -X121001600Y-123777862D01* -X120983208Y-123685397D01* -X120947130Y-123598298D01* -X120894753Y-123519910D01* -X120828090Y-123453247D01* -X120749702Y-123400870D01* -X120662603Y-123364792D01* -X120570138Y-123346400D01* -X120475862Y-123346400D01* -X120383397Y-123364792D01* -X120296298Y-123400870D01* -X120217910Y-123453247D01* -X120151247Y-123519910D01* -X120098870Y-123598298D01* -X120062792Y-123685397D01* -X120044400Y-123777862D01* -X113635600Y-123777862D01* -X113617208Y-123685397D01* -X113581130Y-123598298D01* -X113528753Y-123519910D01* -X113462090Y-123453247D01* -X113383702Y-123400870D01* -X113296603Y-123364792D01* -X113204138Y-123346400D01* -X113109862Y-123346400D01* -X113017397Y-123364792D01* -X112930298Y-123400870D01* -X112851910Y-123453247D01* -X112785247Y-123519910D01* -X112732870Y-123598298D01* -X112696792Y-123685397D01* -X112678400Y-123777862D01* -X112028600Y-123777862D01* -X112028600Y-123752862D01* -X112010208Y-123660397D01* -X111974130Y-123573298D01* -X111921753Y-123494910D01* -X111855090Y-123428247D01* -X111776702Y-123375870D01* -X111689603Y-123339792D01* -X111597138Y-123321400D01* -X111502862Y-123321400D01* -X111410397Y-123339792D01* -X111323298Y-123375870D01* -X111244910Y-123428247D01* -X111178247Y-123494910D01* -X111125870Y-123573298D01* -X111089792Y-123660397D01* -X111071400Y-123752862D01* -X108778600Y-123752862D01* -X108760208Y-123660397D01* -X108724130Y-123573298D01* -X108671753Y-123494910D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108073298Y-123375870D01* -X107994910Y-123428247D01* -X107928247Y-123494910D01* -X107875870Y-123573298D01* -X107839792Y-123660397D01* -X107821400Y-123752862D01* -X107478600Y-123752862D01* -X107460208Y-123660397D01* -X107424130Y-123573298D01* -X107371753Y-123494910D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106773298Y-123375870D01* -X106694910Y-123428247D01* -X106628247Y-123494910D01* -X106575870Y-123573298D01* -X106539792Y-123660397D01* -X106521400Y-123752862D01* -X102828600Y-123752862D01* -X102810208Y-123660397D01* -X102774130Y-123573298D01* -X102721753Y-123494910D01* -X102655090Y-123428247D01* -X102576702Y-123375870D01* -X102489603Y-123339792D01* -X102397138Y-123321400D01* -X102302862Y-123321400D01* -X102210397Y-123339792D01* -X102123298Y-123375870D01* -X102044910Y-123428247D01* -X101978247Y-123494910D01* -X101925870Y-123573298D01* -X101889792Y-123660397D01* -X101871400Y-123752862D01* -X93628600Y-123752862D01* -X93610208Y-123660397D01* -X93574130Y-123573298D01* -X93521753Y-123494910D01* -X93455090Y-123428247D01* -X93376702Y-123375870D01* -X93289603Y-123339792D01* -X93197138Y-123321400D01* -X93102862Y-123321400D01* -X93010397Y-123339792D01* -X92923298Y-123375870D01* -X92844910Y-123428247D01* -X92778247Y-123494910D01* -X92725870Y-123573298D01* -X92689792Y-123660397D01* -X92671400Y-123752862D01* -X84428600Y-123752862D01* -X84410208Y-123660397D01* -X84374130Y-123573298D01* -X84321753Y-123494910D01* -X84255090Y-123428247D01* -X84176702Y-123375870D01* -X84089603Y-123339792D01* -X83997138Y-123321400D01* -X83902862Y-123321400D01* -X83810397Y-123339792D01* -X83723298Y-123375870D01* -X83644910Y-123428247D01* -X83578247Y-123494910D01* -X83525870Y-123573298D01* -X83489792Y-123660397D01* -X83471400Y-123752862D01* -X78578600Y-123752862D01* -X78560208Y-123660397D01* -X78524130Y-123573298D01* -X78471753Y-123494910D01* -X78405090Y-123428247D01* -X78326702Y-123375870D01* -X78239603Y-123339792D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X59218655Y-123752862D01* -X59210208Y-123710397D01* -X59174130Y-123623298D01* -X59121753Y-123544910D01* -X59055090Y-123478247D01* -X58976702Y-123425870D01* -X58889603Y-123389792D01* -X58797138Y-123371400D01* -X58702862Y-123371400D01* -X58610397Y-123389792D01* -X58523298Y-123425870D01* -X58444910Y-123478247D01* -X58378247Y-123544910D01* -X58325870Y-123623298D01* -X58289792Y-123710397D01* -X58271400Y-123802862D01* -X57868655Y-123802862D01* -X57860208Y-123760397D01* -X57824130Y-123673298D01* -X57771753Y-123594910D01* -X57705090Y-123528247D01* -X57626702Y-123475870D01* -X57539603Y-123439792D01* -X57447138Y-123421400D01* -X57352862Y-123421400D01* -X57260397Y-123439792D01* -X57173298Y-123475870D01* -X57094910Y-123528247D01* -X57028247Y-123594910D01* -X56975870Y-123673298D01* -X56939792Y-123760397D01* -X56921400Y-123852862D01* -X46177200Y-123852862D01* -X46177200Y-122253862D01* -X48416400Y-122253862D01* -X48416400Y-122348138D01* -X48434792Y-122440603D01* -X48470870Y-122527702D01* -X48523247Y-122606090D01* -X48589910Y-122672753D01* -X48668298Y-122725130D01* -X48755397Y-122761208D01* -X48847862Y-122779600D01* -X48942138Y-122779600D01* -X49034603Y-122761208D01* -X49121702Y-122725130D01* -X49200090Y-122672753D01* -X49266753Y-122606090D01* -X49319130Y-122527702D01* -X49355208Y-122440603D01* -X49373600Y-122348138D01* -X49373600Y-122253862D01* -X53496400Y-122253862D01* -X53496400Y-122348138D01* -X53514792Y-122440603D01* -X53550870Y-122527702D01* -X53603247Y-122606090D01* -X53669910Y-122672753D01* -X53748298Y-122725130D01* -X53835397Y-122761208D01* -X53927862Y-122779600D01* -X54022138Y-122779600D01* -X54114603Y-122761208D01* -X54201702Y-122725130D01* -X54280090Y-122672753D01* -X54346753Y-122606090D01* -X54399130Y-122527702D01* -X54435208Y-122440603D01* -X54453600Y-122348138D01* -X54453600Y-122253862D01* -X54435208Y-122161397D01* -X54399130Y-122074298D01* -X54346753Y-121995910D01* -X54280090Y-121929247D01* -X54201702Y-121876870D01* -X54114603Y-121840792D01* -X54022138Y-121822400D01* -X53927862Y-121822400D01* -X53835397Y-121840792D01* -X53748298Y-121876870D01* -X53669910Y-121929247D01* -X53603247Y-121995910D01* -X53550870Y-122074298D01* -X53514792Y-122161397D01* -X53496400Y-122253862D01* -X49373600Y-122253862D01* -X49355208Y-122161397D01* -X49319130Y-122074298D01* -X49266753Y-121995910D01* -X49200090Y-121929247D01* -X49121702Y-121876870D01* -X49034603Y-121840792D01* -X48942138Y-121822400D01* -X48847862Y-121822400D01* -X48755397Y-121840792D01* -X48668298Y-121876870D01* -X48589910Y-121929247D01* -X48523247Y-121995910D01* -X48470870Y-122074298D01* -X48434792Y-122161397D01* -X48416400Y-122253862D01* -X46177200Y-122253862D01* -X46177200Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61360221Y-122380862D01* -X63656400Y-122380862D01* -X63656400Y-122475138D01* -X63674792Y-122567603D01* -X63710870Y-122654702D01* -X63763247Y-122733090D01* -X63829910Y-122799753D01* -X63908298Y-122852130D01* -X63995397Y-122888208D01* -X64087862Y-122906600D01* -X64182138Y-122906600D01* -X64274603Y-122888208D01* -X64361702Y-122852130D01* -X64440090Y-122799753D01* -X64506753Y-122733090D01* -X64559130Y-122654702D01* -X64595208Y-122567603D01* -X64596423Y-122561495D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66524136Y-123002862D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106123298Y-123474130D01* -X106210397Y-123510208D01* -X106302862Y-123528600D01* -X106397138Y-123528600D01* -X106489603Y-123510208D01* -X106576702Y-123474130D01* -X106655090Y-123421753D01* -X106721753Y-123355090D01* -X106774130Y-123276702D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107423298Y-123474130D01* -X107510397Y-123510208D01* -X107602862Y-123528600D01* -X107697138Y-123528600D01* -X107789603Y-123510208D01* -X107876702Y-123474130D01* -X107955090Y-123421753D01* -X108021753Y-123355090D01* -X108074130Y-123276702D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108723298Y-123474130D01* -X108810397Y-123510208D01* -X108902862Y-123528600D01* -X108997138Y-123528600D01* -X109089603Y-123510208D01* -X109176702Y-123474130D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109366522Y-123288088D01* -X128521400Y-123288088D01* -X128521400Y-123411912D01* -X128545556Y-123533356D01* -X128592941Y-123647754D01* -X128661734Y-123750709D01* -X128749291Y-123838266D01* -X128852246Y-123907059D01* -X128966644Y-123954444D01* -X129088088Y-123978600D01* -X129211912Y-123978600D01* -X129333356Y-123954444D01* -X129447754Y-123907059D01* -X129550709Y-123838266D01* -X129638266Y-123750709D01* -X129707059Y-123647754D01* -X129754444Y-123533356D01* -X129763448Y-123488088D01* -X130921400Y-123488088D01* -X130921400Y-123611912D01* -X130945556Y-123733356D01* -X130992941Y-123847754D01* -X131061734Y-123950709D01* -X131149291Y-124038266D01* -X131252246Y-124107059D01* -X131366644Y-124154444D01* -X131488088Y-124178600D01* -X131611912Y-124178600D01* -X131733356Y-124154444D01* -X131847754Y-124107059D01* -X131950709Y-124038266D01* -X132038266Y-123950709D01* -X132107059Y-123847754D01* -X132154444Y-123733356D01* -X132178600Y-123611912D01* -X132178600Y-123488088D01* -X132168655Y-123438088D01* -X133371400Y-123438088D01* -X133371400Y-123561912D01* -X133395556Y-123683356D01* -X133442941Y-123797754D01* -X133511734Y-123900709D01* -X133599291Y-123988266D01* -X133702246Y-124057059D01* -X133816644Y-124104444D01* -X133938088Y-124128600D01* -X134061912Y-124128600D01* -X134183356Y-124104444D01* -X134297754Y-124057059D01* -X134400709Y-123988266D01* -X134488266Y-123900709D01* -X134557059Y-123797754D01* -X134604444Y-123683356D01* -X134628600Y-123561912D01* -X134628600Y-123438088D01* -X134618655Y-123388088D01* -X138271400Y-123388088D01* -X138271400Y-123511912D01* -X138295556Y-123633356D01* -X138342941Y-123747754D01* -X138411734Y-123850709D01* -X138499291Y-123938266D01* -X138602246Y-124007059D01* -X138716644Y-124054444D01* -X138838088Y-124078600D01* -X138961912Y-124078600D01* -X139083356Y-124054444D01* -X139197754Y-124007059D01* -X139300709Y-123938266D01* -X139388266Y-123850709D01* -X139457059Y-123747754D01* -X139504444Y-123633356D01* -X139528600Y-123511912D01* -X139528600Y-123488088D01* -X140671400Y-123488088D01* -X140671400Y-123611912D01* -X140695556Y-123733356D01* -X140742941Y-123847754D01* -X140811734Y-123950709D01* -X140899291Y-124038266D01* -X141002246Y-124107059D01* -X141116644Y-124154444D01* -X141238088Y-124178600D01* -X141361912Y-124178600D01* -X141483356Y-124154444D01* -X141597754Y-124107059D01* -X141700709Y-124038266D01* -X141788266Y-123950709D01* -X141857059Y-123847754D01* -X141904444Y-123733356D01* -X141928600Y-123611912D01* -X141928600Y-123488088D01* -X141904444Y-123366644D01* -X141857059Y-123252246D01* -X141788266Y-123149291D01* -X141700709Y-123061734D01* -X141597754Y-122992941D01* -X141483356Y-122945556D01* -X141361912Y-122921400D01* -X141238088Y-122921400D01* -X141116644Y-122945556D01* -X141002246Y-122992941D01* -X140899291Y-123061734D01* -X140811734Y-123149291D01* -X140742941Y-123252246D01* -X140695556Y-123366644D01* -X140671400Y-123488088D01* -X139528600Y-123488088D01* -X139528600Y-123388088D01* -X139504444Y-123266644D01* -X139457059Y-123152246D01* -X139388266Y-123049291D01* -X139300709Y-122961734D01* -X139197754Y-122892941D01* -X139083356Y-122845556D01* -X138961912Y-122821400D01* -X138838088Y-122821400D01* -X138716644Y-122845556D01* -X138602246Y-122892941D01* -X138499291Y-122961734D01* -X138411734Y-123049291D01* -X138342941Y-123152246D01* -X138295556Y-123266644D01* -X138271400Y-123388088D01* -X134618655Y-123388088D01* -X134604444Y-123316644D01* -X134557059Y-123202246D01* -X134488266Y-123099291D01* -X134400709Y-123011734D01* -X134297754Y-122942941D01* -X134183356Y-122895556D01* -X134061912Y-122871400D01* -X133938088Y-122871400D01* -X133816644Y-122895556D01* -X133702246Y-122942941D01* -X133599291Y-123011734D01* -X133511734Y-123099291D01* -X133442941Y-123202246D01* -X133395556Y-123316644D01* -X133371400Y-123438088D01* -X132168655Y-123438088D01* -X132154444Y-123366644D01* -X132107059Y-123252246D01* -X132038266Y-123149291D01* -X131950709Y-123061734D01* -X131847754Y-122992941D01* -X131733356Y-122945556D01* -X131611912Y-122921400D01* -X131488088Y-122921400D01* -X131366644Y-122945556D01* -X131252246Y-122992941D01* -X131149291Y-123061734D01* -X131061734Y-123149291D01* -X130992941Y-123252246D01* -X130945556Y-123366644D01* -X130921400Y-123488088D01* -X129763448Y-123488088D01* -X129778600Y-123411912D01* -X129778600Y-123288088D01* -X129754444Y-123166644D01* -X129707059Y-123052246D01* -X129638266Y-122949291D01* -X129550709Y-122861734D01* -X129447754Y-122792941D01* -X129333356Y-122745556D01* -X129211912Y-122721400D01* -X129088088Y-122721400D01* -X128966644Y-122745556D01* -X128852246Y-122792941D01* -X128749291Y-122861734D01* -X128661734Y-122949291D01* -X128592941Y-123052246D01* -X128545556Y-123166644D01* -X128521400Y-123288088D01* -X109366522Y-123288088D01* -X109374130Y-123276702D01* -X109410208Y-123189603D01* -X109428600Y-123097138D01* -X109428600Y-123002862D01* -X109410208Y-122910397D01* -X109374130Y-122823298D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X66524136Y-123002862D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67127826Y-122253862D01* -X68736400Y-122253862D01* -X68736400Y-122348138D01* -X68754792Y-122440603D01* -X68790870Y-122527702D01* -X68843247Y-122606090D01* -X68909910Y-122672753D01* -X68988298Y-122725130D01* -X69075397Y-122761208D01* -X69167862Y-122779600D01* -X69262138Y-122779600D01* -X69354603Y-122761208D01* -X69441702Y-122725130D01* -X69520090Y-122672753D01* -X69586753Y-122606090D01* -X69639130Y-122527702D01* -X69675208Y-122440603D01* -X69693600Y-122348138D01* -X69693600Y-122253862D01* -X73816400Y-122253862D01* -X73816400Y-122348138D01* -X73834792Y-122440603D01* -X73870870Y-122527702D01* -X73923247Y-122606090D01* -X73989910Y-122672753D01* -X74068298Y-122725130D01* -X74155397Y-122761208D01* -X74247862Y-122779600D01* -X74342138Y-122779600D01* -X74434603Y-122761208D01* -X74521702Y-122725130D01* -X74600090Y-122672753D01* -X74666753Y-122606090D01* -X74719130Y-122527702D01* -X74755208Y-122440603D01* -X74773600Y-122348138D01* -X74773600Y-122253862D01* -X74770463Y-122238088D01* -X129721400Y-122238088D01* -X129721400Y-122361912D01* -X129745556Y-122483356D01* -X129792941Y-122597754D01* -X129861734Y-122700709D01* -X129949291Y-122788266D01* -X130052246Y-122857059D01* -X130166644Y-122904444D01* -X130288088Y-122928600D01* -X130411912Y-122928600D01* -X130533356Y-122904444D01* -X130647754Y-122857059D01* -X130750709Y-122788266D01* -X130838266Y-122700709D01* -X130907059Y-122597754D01* -X130954444Y-122483356D01* -X130978600Y-122361912D01* -X130978600Y-122238088D01* -X132121400Y-122238088D01* -X132121400Y-122361912D01* -X132145556Y-122483356D01* -X132192941Y-122597754D01* -X132261734Y-122700709D01* -X132349291Y-122788266D01* -X132452246Y-122857059D01* -X132566644Y-122904444D01* -X132688088Y-122928600D01* -X132811912Y-122928600D01* -X132933356Y-122904444D01* -X133047754Y-122857059D01* -X133150709Y-122788266D01* -X133238266Y-122700709D01* -X133307059Y-122597754D01* -X133354444Y-122483356D01* -X133378600Y-122361912D01* -X133378600Y-122238088D01* -X134571400Y-122238088D01* -X134571400Y-122361912D01* -X134595556Y-122483356D01* -X134642941Y-122597754D01* -X134711734Y-122700709D01* -X134799291Y-122788266D01* -X134902246Y-122857059D01* -X135016644Y-122904444D01* -X135138088Y-122928600D01* -X135261912Y-122928600D01* -X135383356Y-122904444D01* -X135497754Y-122857059D01* -X135600709Y-122788266D01* -X135688266Y-122700709D01* -X135757059Y-122597754D01* -X135804444Y-122483356D01* -X135828600Y-122361912D01* -X135828600Y-122238088D01* -X139471400Y-122238088D01* -X139471400Y-122361912D01* -X139495556Y-122483356D01* -X139542941Y-122597754D01* -X139611734Y-122700709D01* -X139699291Y-122788266D01* -X139802246Y-122857059D01* -X139916644Y-122904444D01* -X140038088Y-122928600D01* -X140161912Y-122928600D01* -X140283356Y-122904444D01* -X140397754Y-122857059D01* -X140500709Y-122788266D01* -X140588266Y-122700709D01* -X140657059Y-122597754D01* -X140704444Y-122483356D01* -X140728600Y-122361912D01* -X140728600Y-122238088D01* -X140704444Y-122116644D01* -X140657059Y-122002246D01* -X140588266Y-121899291D01* -X140500709Y-121811734D01* -X140397754Y-121742941D01* -X140283356Y-121695556D01* -X140161912Y-121671400D01* -X140038088Y-121671400D01* -X139916644Y-121695556D01* -X139802246Y-121742941D01* -X139699291Y-121811734D01* -X139611734Y-121899291D01* -X139542941Y-122002246D01* -X139495556Y-122116644D01* -X139471400Y-122238088D01* -X135828600Y-122238088D01* -X135804444Y-122116644D01* -X135757059Y-122002246D01* -X135688266Y-121899291D01* -X135600709Y-121811734D01* -X135497754Y-121742941D01* -X135383356Y-121695556D01* -X135261912Y-121671400D01* -X135138088Y-121671400D01* -X135016644Y-121695556D01* -X134902246Y-121742941D01* -X134799291Y-121811734D01* -X134711734Y-121899291D01* -X134642941Y-122002246D01* -X134595556Y-122116644D01* -X134571400Y-122238088D01* -X133378600Y-122238088D01* -X133354444Y-122116644D01* -X133307059Y-122002246D01* -X133238266Y-121899291D01* -X133150709Y-121811734D01* -X133047754Y-121742941D01* -X132933356Y-121695556D01* -X132811912Y-121671400D01* -X132688088Y-121671400D01* -X132566644Y-121695556D01* -X132452246Y-121742941D01* -X132349291Y-121811734D01* -X132261734Y-121899291D01* -X132192941Y-122002246D01* -X132145556Y-122116644D01* -X132121400Y-122238088D01* -X130978600Y-122238088D01* -X130954444Y-122116644D01* -X130907059Y-122002246D01* -X130838266Y-121899291D01* -X130750709Y-121811734D01* -X130647754Y-121742941D01* -X130533356Y-121695556D01* -X130411912Y-121671400D01* -X130288088Y-121671400D01* -X130166644Y-121695556D01* -X130052246Y-121742941D01* -X129949291Y-121811734D01* -X129861734Y-121899291D01* -X129792941Y-122002246D01* -X129745556Y-122116644D01* -X129721400Y-122238088D01* -X74770463Y-122238088D01* -X74755208Y-122161397D01* -X74719130Y-122074298D01* -X74666753Y-121995910D01* -X74600090Y-121929247D01* -X74521702Y-121876870D01* -X74434603Y-121840792D01* -X74342138Y-121822400D01* -X74247862Y-121822400D01* -X74155397Y-121840792D01* -X74068298Y-121876870D01* -X73989910Y-121929247D01* -X73923247Y-121995910D01* -X73870870Y-122074298D01* -X73834792Y-122161397D01* -X73816400Y-122253862D01* -X69693600Y-122253862D01* -X69675208Y-122161397D01* -X69639130Y-122074298D01* -X69586753Y-121995910D01* -X69520090Y-121929247D01* -X69441702Y-121876870D01* -X69354603Y-121840792D01* -X69262138Y-121822400D01* -X69167862Y-121822400D01* -X69075397Y-121840792D01* -X68988298Y-121876870D01* -X68909910Y-121929247D01* -X68843247Y-121995910D01* -X68790870Y-122074298D01* -X68754792Y-122161397D01* -X68736400Y-122253862D01* -X67127826Y-122253862D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67134371Y-121347937D01* -X76071400Y-121347937D01* -X76071400Y-121452063D01* -X76091713Y-121554187D01* -X76131560Y-121650386D01* -X76189409Y-121736963D01* -X76263037Y-121810591D01* -X76349614Y-121868440D01* -X76445813Y-121908287D01* -X76547937Y-121928600D01* -X76652063Y-121928600D01* -X76754187Y-121908287D01* -X76850386Y-121868440D01* -X76936963Y-121810591D01* -X77010591Y-121736963D01* -X77068440Y-121650386D01* -X77108287Y-121554187D01* -X77128600Y-121452063D01* -X77128600Y-121347937D01* -X85271400Y-121347937D01* -X85271400Y-121452063D01* -X85291713Y-121554187D01* -X85331560Y-121650386D01* -X85389409Y-121736963D01* -X85463037Y-121810591D01* -X85549614Y-121868440D01* -X85645813Y-121908287D01* -X85747937Y-121928600D01* -X85852063Y-121928600D01* -X85954187Y-121908287D01* -X86050386Y-121868440D01* -X86136963Y-121810591D01* -X86210591Y-121736963D01* -X86268440Y-121650386D01* -X86308287Y-121554187D01* -X86328600Y-121452063D01* -X86328600Y-121347937D01* -X94471400Y-121347937D01* -X94471400Y-121452063D01* -X94491713Y-121554187D01* -X94531560Y-121650386D01* -X94589409Y-121736963D01* -X94663037Y-121810591D01* -X94749614Y-121868440D01* -X94845813Y-121908287D01* -X94947937Y-121928600D01* -X95052063Y-121928600D01* -X95154187Y-121908287D01* -X95250386Y-121868440D01* -X95336963Y-121810591D01* -X95410591Y-121736963D01* -X95468440Y-121650386D01* -X95508287Y-121554187D01* -X95528600Y-121452063D01* -X95528600Y-121347937D01* -X103671400Y-121347937D01* -X103671400Y-121452063D01* -X103691713Y-121554187D01* -X103731560Y-121650386D01* -X103789409Y-121736963D01* -X103863037Y-121810591D01* -X103949614Y-121868440D01* -X104045813Y-121908287D01* -X104147937Y-121928600D01* -X104252063Y-121928600D01* -X104354187Y-121908287D01* -X104450386Y-121868440D01* -X104536963Y-121810591D01* -X104610591Y-121736963D01* -X104668440Y-121650386D01* -X104708287Y-121554187D01* -X104728600Y-121452063D01* -X104728600Y-121347937D01* -X104708287Y-121245813D01* -X104668440Y-121149614D01* -X104610591Y-121063037D01* -X104536963Y-120989409D01* -X104450386Y-120931560D01* -X104354187Y-120891713D01* -X104252063Y-120871400D01* -X104147937Y-120871400D01* -X104045813Y-120891713D01* -X103949614Y-120931560D01* -X103863037Y-120989409D01* -X103789409Y-121063037D01* -X103731560Y-121149614D01* -X103691713Y-121245813D01* -X103671400Y-121347937D01* -X95528600Y-121347937D01* -X95508287Y-121245813D01* -X95468440Y-121149614D01* -X95410591Y-121063037D01* -X95336963Y-120989409D01* -X95250386Y-120931560D01* -X95154187Y-120891713D01* -X95052063Y-120871400D01* -X94947937Y-120871400D01* -X94845813Y-120891713D01* -X94749614Y-120931560D01* -X94663037Y-120989409D01* -X94589409Y-121063037D01* -X94531560Y-121149614D01* -X94491713Y-121245813D01* -X94471400Y-121347937D01* -X86328600Y-121347937D01* -X86308287Y-121245813D01* -X86268440Y-121149614D01* -X86210591Y-121063037D01* -X86136963Y-120989409D01* -X86050386Y-120931560D01* -X85954187Y-120891713D01* -X85852063Y-120871400D01* -X85747937Y-120871400D01* -X85645813Y-120891713D01* -X85549614Y-120931560D01* -X85463037Y-120989409D01* -X85389409Y-121063037D01* -X85331560Y-121149614D01* -X85291713Y-121245813D01* -X85271400Y-121347937D01* -X77128600Y-121347937D01* -X77108287Y-121245813D01* -X77068440Y-121149614D01* -X77010591Y-121063037D01* -X76936963Y-120989409D01* -X76850386Y-120931560D01* -X76754187Y-120891713D01* -X76652063Y-120871400D01* -X76547937Y-120871400D01* -X76445813Y-120891713D01* -X76349614Y-120931560D01* -X76263037Y-120989409D01* -X76189409Y-121063037D01* -X76131560Y-121149614D01* -X76091713Y-121245813D01* -X76071400Y-121347937D01* -X67134371Y-121347937D01* -X67040888Y-121122249D01* -X66885918Y-120890321D01* -X66688679Y-120693082D01* -X66456751Y-120538112D01* -X66199047Y-120431368D01* -X65925469Y-120376950D01* -X65646531Y-120376950D01* -X65372953Y-120431368D01* -X65115249Y-120538112D01* -X64883321Y-120693082D01* -X64686082Y-120890321D01* -X64531112Y-121122249D01* -X64424368Y-121379953D01* -X64369950Y-121653531D01* -X64369950Y-121932469D01* -X64387594Y-122021170D01* -X64361702Y-122003870D01* -X64274603Y-121967792D01* -X64182138Y-121949400D01* -X64087862Y-121949400D01* -X63995397Y-121967792D01* -X63908298Y-122003870D01* -X63829910Y-122056247D01* -X63763247Y-122122910D01* -X63710870Y-122201298D01* -X63674792Y-122288397D01* -X63656400Y-122380862D01* -X61360221Y-122380862D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60933690Y-120666362D01* -X62132400Y-120666362D01* -X62132400Y-120760638D01* -X62150792Y-120853103D01* -X62186870Y-120940202D01* -X62239247Y-121018590D01* -X62305910Y-121085253D01* -X62384298Y-121137630D01* -X62471397Y-121173708D01* -X62563862Y-121192100D01* -X62658138Y-121192100D01* -X62750603Y-121173708D01* -X62837702Y-121137630D01* -X62916090Y-121085253D01* -X62982753Y-121018590D01* -X63035130Y-120940202D01* -X63071208Y-120853103D01* -X63089600Y-120760638D01* -X63089600Y-120666362D01* -X63071208Y-120573897D01* -X63035130Y-120486798D01* -X62982753Y-120408410D01* -X62916090Y-120341747D01* -X62837702Y-120289370D01* -X62750603Y-120253292D01* -X62658138Y-120234900D01* -X62563862Y-120234900D01* -X62471397Y-120253292D01* -X62384298Y-120289370D01* -X62305910Y-120341747D01* -X62239247Y-120408410D01* -X62186870Y-120486798D01* -X62150792Y-120573897D01* -X62132400Y-120666362D01* -X60933690Y-120666362D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X46177200Y-121653531D01* -X46177200Y-120205386D01* -X46215397Y-120221208D01* -X46307862Y-120239600D01* -X46402138Y-120239600D01* -X46494603Y-120221208D01* -X46581702Y-120185130D01* -X46660090Y-120132753D01* -X46726753Y-120066090D01* -X46779130Y-119987702D01* -X46815208Y-119900603D01* -X46833600Y-119808138D01* -X46833600Y-119713862D01* -X50956400Y-119713862D01* -X50956400Y-119808138D01* -X50974792Y-119900603D01* -X51010870Y-119987702D01* -X51063247Y-120066090D01* -X51129910Y-120132753D01* -X51208298Y-120185130D01* -X51295397Y-120221208D01* -X51387862Y-120239600D01* -X51482138Y-120239600D01* -X51574603Y-120221208D01* -X51661702Y-120185130D01* -X51740090Y-120132753D01* -X51806753Y-120066090D01* -X51859130Y-119987702D01* -X51895208Y-119900603D01* -X51913600Y-119808138D01* -X51913600Y-119713862D01* -X55909400Y-119713862D01* -X55909400Y-119808138D01* -X55927792Y-119900603D01* -X55963870Y-119987702D01* -X56016247Y-120066090D01* -X56082910Y-120132753D01* -X56161298Y-120185130D01* -X56248397Y-120221208D01* -X56340862Y-120239600D01* -X56435138Y-120239600D01* -X56527603Y-120221208D01* -X56584350Y-120197702D01* -X66967100Y-120197702D01* -X66967100Y-120340298D01* -X66994919Y-120480154D01* -X67049488Y-120611895D01* -X67128710Y-120730460D01* -X67229540Y-120831290D01* -X67348105Y-120910512D01* -X67479846Y-120965081D01* -X67619702Y-120992900D01* -X67762298Y-120992900D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68413380Y-120347937D01* -X75171400Y-120347937D01* -X75171400Y-120452063D01* -X75191713Y-120554187D01* -X75231560Y-120650386D01* -X75289409Y-120736963D01* -X75363037Y-120810591D01* -X75449614Y-120868440D01* -X75545813Y-120908287D01* -X75647937Y-120928600D01* -X75752063Y-120928600D01* -X75854187Y-120908287D01* -X75950386Y-120868440D01* -X76036963Y-120810591D01* -X76110591Y-120736963D01* -X76168440Y-120650386D01* -X76208287Y-120554187D01* -X76218495Y-120502862D01* -X76996400Y-120502862D01* -X76996400Y-120597138D01* -X77014792Y-120689603D01* -X77050870Y-120776702D01* -X77103247Y-120855090D01* -X77169910Y-120921753D01* -X77248298Y-120974130D01* -X77335397Y-121010208D01* -X77427862Y-121028600D01* -X77522138Y-121028600D01* -X77614603Y-121010208D01* -X77701702Y-120974130D01* -X77780090Y-120921753D01* -X77846753Y-120855090D01* -X77899130Y-120776702D01* -X77935208Y-120689603D01* -X77953600Y-120597138D01* -X77953600Y-120502862D01* -X77935208Y-120410397D01* -X77909336Y-120347937D01* -X84371400Y-120347937D01* -X84371400Y-120452063D01* -X84391713Y-120554187D01* -X84431560Y-120650386D01* -X84489409Y-120736963D01* -X84563037Y-120810591D01* -X84649614Y-120868440D01* -X84745813Y-120908287D01* -X84847937Y-120928600D01* -X84952063Y-120928600D01* -X85054187Y-120908287D01* -X85150386Y-120868440D01* -X85236963Y-120810591D01* -X85310591Y-120736963D01* -X85368440Y-120650386D01* -X85408287Y-120554187D01* -X85418495Y-120502862D01* -X86171400Y-120502862D01* -X86171400Y-120597138D01* -X86189792Y-120689603D01* -X86225870Y-120776702D01* -X86278247Y-120855090D01* -X86344910Y-120921753D01* -X86423298Y-120974130D01* -X86510397Y-121010208D01* -X86602862Y-121028600D01* -X86697138Y-121028600D01* -X86789603Y-121010208D01* -X86876702Y-120974130D01* -X86955090Y-120921753D01* -X87021753Y-120855090D01* -X87074130Y-120776702D01* -X87110208Y-120689603D01* -X87128600Y-120597138D01* -X87128600Y-120502862D01* -X87110208Y-120410397D01* -X87084336Y-120347937D01* -X93571400Y-120347937D01* -X93571400Y-120452063D01* -X93591713Y-120554187D01* -X93631560Y-120650386D01* -X93689409Y-120736963D01* -X93763037Y-120810591D01* -X93849614Y-120868440D01* -X93945813Y-120908287D01* -X94047937Y-120928600D01* -X94152063Y-120928600D01* -X94254187Y-120908287D01* -X94350386Y-120868440D01* -X94436963Y-120810591D01* -X94510591Y-120736963D01* -X94568440Y-120650386D01* -X94608287Y-120554187D01* -X94618495Y-120502862D01* -X95396400Y-120502862D01* -X95396400Y-120597138D01* -X95414792Y-120689603D01* -X95450870Y-120776702D01* -X95503247Y-120855090D01* -X95569910Y-120921753D01* -X95648298Y-120974130D01* -X95735397Y-121010208D01* -X95827862Y-121028600D01* -X95922138Y-121028600D01* -X96014603Y-121010208D01* -X96101702Y-120974130D01* -X96180090Y-120921753D01* -X96246753Y-120855090D01* -X96299130Y-120776702D01* -X96335208Y-120689603D01* -X96353600Y-120597138D01* -X96353600Y-120502862D01* -X96335208Y-120410397D01* -X96309336Y-120347937D01* -X102771400Y-120347937D01* -X102771400Y-120452063D01* -X102791713Y-120554187D01* -X102831560Y-120650386D01* -X102889409Y-120736963D01* -X102963037Y-120810591D01* -X103049614Y-120868440D01* -X103145813Y-120908287D01* -X103247937Y-120928600D01* -X103352063Y-120928600D01* -X103454187Y-120908287D01* -X103550386Y-120868440D01* -X103636963Y-120810591D01* -X103710591Y-120736963D01* -X103768440Y-120650386D01* -X103808287Y-120554187D01* -X103818495Y-120502862D01* -X104571400Y-120502862D01* -X104571400Y-120597138D01* -X104589792Y-120689603D01* -X104625870Y-120776702D01* -X104678247Y-120855090D01* -X104744910Y-120921753D01* -X104823298Y-120974130D01* -X104910397Y-121010208D01* -X105002862Y-121028600D01* -X105097138Y-121028600D01* -X105189603Y-121010208D01* -X105276702Y-120974130D01* -X105308531Y-120952862D01* -X113921400Y-120952862D01* -X113921400Y-121047138D01* -X113939792Y-121139603D01* -X113975870Y-121226702D01* -X114028247Y-121305090D01* -X114094910Y-121371753D01* -X114173298Y-121424130D01* -X114260397Y-121460208D01* -X114352862Y-121478600D01* -X114447138Y-121478600D01* -X114539603Y-121460208D01* -X114557337Y-121452862D01* -X116471400Y-121452862D01* -X116471400Y-121547138D01* -X116489792Y-121639603D01* -X116525870Y-121726702D01* -X116578247Y-121805090D01* -X116644910Y-121871753D01* -X116723298Y-121924130D01* -X116810397Y-121960208D01* -X116902862Y-121978600D01* -X116997138Y-121978600D01* -X117089603Y-121960208D01* -X117176702Y-121924130D01* -X117255090Y-121871753D01* -X117321753Y-121805090D01* -X117374130Y-121726702D01* -X117410208Y-121639603D01* -X117428600Y-121547138D01* -X117428600Y-121452862D01* -X118171400Y-121452862D01* -X118171400Y-121547138D01* -X118189792Y-121639603D01* -X118225870Y-121726702D01* -X118278247Y-121805090D01* -X118344910Y-121871753D01* -X118423298Y-121924130D01* -X118510397Y-121960208D01* -X118602862Y-121978600D01* -X118697138Y-121978600D01* -X118789603Y-121960208D01* -X118876702Y-121924130D01* -X118955090Y-121871753D01* -X119021753Y-121805090D01* -X119074130Y-121726702D01* -X119110208Y-121639603D01* -X119128600Y-121547138D01* -X119128600Y-121452862D01* -X119110208Y-121360397D01* -X119074130Y-121273298D01* -X119050453Y-121237862D01* -X122584400Y-121237862D01* -X122584400Y-121332138D01* -X122602792Y-121424603D01* -X122638870Y-121511702D01* -X122691247Y-121590090D01* -X122757910Y-121656753D01* -X122836298Y-121709130D01* -X122923397Y-121745208D01* -X123015862Y-121763600D01* -X123110138Y-121763600D01* -X123202603Y-121745208D01* -X123289702Y-121709130D01* -X123368090Y-121656753D01* -X123434753Y-121590090D01* -X123487130Y-121511702D01* -X123523208Y-121424603D01* -X123541600Y-121332138D01* -X123541600Y-121237862D01* -X127664400Y-121237862D01* -X127664400Y-121332138D01* -X127682792Y-121424603D01* -X127718870Y-121511702D01* -X127771247Y-121590090D01* -X127837910Y-121656753D01* -X127916298Y-121709130D01* -X128003397Y-121745208D01* -X128095862Y-121763600D01* -X128190138Y-121763600D01* -X128282603Y-121745208D01* -X128369702Y-121709130D01* -X128448090Y-121656753D01* -X128514753Y-121590090D01* -X128567130Y-121511702D01* -X128603208Y-121424603D01* -X128621600Y-121332138D01* -X128621600Y-121237862D01* -X137824400Y-121237862D01* -X137824400Y-121332138D01* -X137842792Y-121424603D01* -X137878870Y-121511702D01* -X137931247Y-121590090D01* -X137997910Y-121656753D01* -X138076298Y-121709130D01* -X138163397Y-121745208D01* -X138255862Y-121763600D01* -X138350138Y-121763600D01* -X138442603Y-121745208D01* -X138529702Y-121709130D01* -X138608090Y-121656753D01* -X138674753Y-121590090D01* -X138727130Y-121511702D01* -X138763208Y-121424603D01* -X138781600Y-121332138D01* -X138781600Y-121237862D01* -X142904400Y-121237862D01* -X142904400Y-121332138D01* -X142922792Y-121424603D01* -X142958870Y-121511702D01* -X143011247Y-121590090D01* -X143077910Y-121656753D01* -X143156298Y-121709130D01* -X143243397Y-121745208D01* -X143335862Y-121763600D01* -X143430138Y-121763600D01* -X143522603Y-121745208D01* -X143609702Y-121709130D01* -X143688090Y-121656753D01* -X143754753Y-121590090D01* -X143807130Y-121511702D01* -X143843208Y-121424603D01* -X143861600Y-121332138D01* -X143861600Y-121237862D01* -X143843208Y-121145397D01* -X143807130Y-121058298D01* -X143754753Y-120979910D01* -X143688090Y-120913247D01* -X143609702Y-120860870D01* -X143522603Y-120824792D01* -X143430138Y-120806400D01* -X143335862Y-120806400D01* -X143243397Y-120824792D01* -X143156298Y-120860870D01* -X143077910Y-120913247D01* -X143011247Y-120979910D01* -X142958870Y-121058298D01* -X142922792Y-121145397D01* -X142904400Y-121237862D01* -X138781600Y-121237862D01* -X138763208Y-121145397D01* -X138727130Y-121058298D01* -X138674753Y-120979910D01* -X138608090Y-120913247D01* -X138529702Y-120860870D01* -X138442603Y-120824792D01* -X138350138Y-120806400D01* -X138255862Y-120806400D01* -X138163397Y-120824792D01* -X138076298Y-120860870D01* -X137997910Y-120913247D01* -X137931247Y-120979910D01* -X137878870Y-121058298D01* -X137842792Y-121145397D01* -X137824400Y-121237862D01* -X128621600Y-121237862D01* -X128603208Y-121145397D01* -X128567130Y-121058298D01* -X128514753Y-120979910D01* -X128448090Y-120913247D01* -X128369702Y-120860870D01* -X128282603Y-120824792D01* -X128190138Y-120806400D01* -X128095862Y-120806400D01* -X128003397Y-120824792D01* -X127916298Y-120860870D01* -X127837910Y-120913247D01* -X127771247Y-120979910D01* -X127718870Y-121058298D01* -X127682792Y-121145397D01* -X127664400Y-121237862D01* -X123541600Y-121237862D01* -X123523208Y-121145397D01* -X123487130Y-121058298D01* -X123434753Y-120979910D01* -X123368090Y-120913247D01* -X123289702Y-120860870D01* -X123202603Y-120824792D01* -X123110138Y-120806400D01* -X123015862Y-120806400D01* -X122923397Y-120824792D01* -X122836298Y-120860870D01* -X122757910Y-120913247D01* -X122691247Y-120979910D01* -X122638870Y-121058298D01* -X122602792Y-121145397D01* -X122584400Y-121237862D01* -X119050453Y-121237862D01* -X119021753Y-121194910D01* -X118955090Y-121128247D01* -X118876702Y-121075870D01* -X118789603Y-121039792D01* -X118697138Y-121021400D01* -X118602862Y-121021400D01* -X118510397Y-121039792D01* -X118423298Y-121075870D01* -X118344910Y-121128247D01* -X118278247Y-121194910D01* -X118225870Y-121273298D01* -X118189792Y-121360397D01* -X118171400Y-121452862D01* -X117428600Y-121452862D01* -X117410208Y-121360397D01* -X117374130Y-121273298D01* -X117321753Y-121194910D01* -X117255090Y-121128247D01* -X117176702Y-121075870D01* -X117089603Y-121039792D01* -X116997138Y-121021400D01* -X116902862Y-121021400D01* -X116810397Y-121039792D01* -X116723298Y-121075870D01* -X116644910Y-121128247D01* -X116578247Y-121194910D01* -X116525870Y-121273298D01* -X116489792Y-121360397D01* -X116471400Y-121452862D01* -X114557337Y-121452862D01* -X114626702Y-121424130D01* -X114705090Y-121371753D01* -X114771753Y-121305090D01* -X114824130Y-121226702D01* -X114860208Y-121139603D01* -X114878600Y-121047138D01* -X114878600Y-120952862D01* -X114860208Y-120860397D01* -X114824130Y-120773298D01* -X114771753Y-120694910D01* -X114705090Y-120628247D01* -X114626702Y-120575870D01* -X114539603Y-120539792D01* -X114447138Y-120521400D01* -X114352862Y-120521400D01* -X114260397Y-120539792D01* -X114173298Y-120575870D01* -X114094910Y-120628247D01* -X114028247Y-120694910D01* -X113975870Y-120773298D01* -X113939792Y-120860397D01* -X113921400Y-120952862D01* -X105308531Y-120952862D01* -X105355090Y-120921753D01* -X105421753Y-120855090D01* -X105474130Y-120776702D01* -X105510208Y-120689603D01* -X105528600Y-120597138D01* -X105528600Y-120502862D01* -X105510208Y-120410397D01* -X105474130Y-120323298D01* -X105421753Y-120244910D01* -X105355090Y-120178247D01* -X105276702Y-120125870D01* -X105221157Y-120102862D01* -X105871400Y-120102862D01* -X105871400Y-120197138D01* -X105889792Y-120289603D01* -X105925870Y-120376702D01* -X105978247Y-120455090D01* -X106044910Y-120521753D01* -X106123298Y-120574130D01* -X106210397Y-120610208D01* -X106302862Y-120628600D01* -X106397138Y-120628600D01* -X106489603Y-120610208D01* -X106576702Y-120574130D01* -X106655090Y-120521753D01* -X106721753Y-120455090D01* -X106774130Y-120376702D01* -X106810208Y-120289603D01* -X106828600Y-120197138D01* -X106828600Y-120102862D01* -X106818655Y-120052862D01* -X114521400Y-120052862D01* -X114521400Y-120147138D01* -X114539792Y-120239603D01* -X114575870Y-120326702D01* -X114628247Y-120405090D01* -X114694910Y-120471753D01* -X114773298Y-120524130D01* -X114860397Y-120560208D01* -X114952862Y-120578600D01* -X115047138Y-120578600D01* -X115139603Y-120560208D01* -X115226702Y-120524130D01* -X115305090Y-120471753D01* -X115371753Y-120405090D01* -X115424130Y-120326702D01* -X115460208Y-120239603D01* -X115478600Y-120147138D01* -X115478600Y-120052862D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115875870Y-120326702D01* -X115928247Y-120405090D01* -X115994910Y-120471753D01* -X116073298Y-120524130D01* -X116160397Y-120560208D01* -X116252862Y-120578600D01* -X116347138Y-120578600D01* -X116439603Y-120560208D01* -X116526702Y-120524130D01* -X116558531Y-120502862D01* -X117321400Y-120502862D01* -X117321400Y-120597138D01* -X117339792Y-120689603D01* -X117375870Y-120776702D01* -X117428247Y-120855090D01* -X117494910Y-120921753D01* -X117573298Y-120974130D01* -X117660397Y-121010208D01* -X117752862Y-121028600D01* -X117847138Y-121028600D01* -X117939603Y-121010208D01* -X118026702Y-120974130D01* -X118105090Y-120921753D01* -X118171753Y-120855090D01* -X118224130Y-120776702D01* -X118260208Y-120689603D01* -X118278600Y-120597138D01* -X118278600Y-120502862D01* -X118260208Y-120410397D01* -X118224130Y-120323298D01* -X118171753Y-120244910D01* -X118105090Y-120178247D01* -X118026702Y-120125870D01* -X117939603Y-120089792D01* -X117847138Y-120071400D01* -X117752862Y-120071400D01* -X117660397Y-120089792D01* -X117573298Y-120125870D01* -X117494910Y-120178247D01* -X117428247Y-120244910D01* -X117375870Y-120323298D01* -X117339792Y-120410397D01* -X117321400Y-120502862D01* -X116558531Y-120502862D01* -X116605090Y-120471753D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116160397Y-119639792D01* -X116073298Y-119675870D01* -X115994910Y-119728247D01* -X115928247Y-119794910D01* -X115875870Y-119873298D01* -X115839792Y-119960397D01* -X115821400Y-120052862D01* -X115478600Y-120052862D01* -X115460208Y-119960397D01* -X115424130Y-119873298D01* -X115371753Y-119794910D01* -X115305090Y-119728247D01* -X115226702Y-119675870D01* -X115139603Y-119639792D01* -X115047138Y-119621400D01* -X114952862Y-119621400D01* -X114860397Y-119639792D01* -X114773298Y-119675870D01* -X114694910Y-119728247D01* -X114628247Y-119794910D01* -X114575870Y-119873298D01* -X114539792Y-119960397D01* -X114521400Y-120052862D01* -X106818655Y-120052862D01* -X106810208Y-120010397D01* -X106774130Y-119923298D01* -X106721753Y-119844910D01* -X106655090Y-119778247D01* -X106576702Y-119725870D01* -X106489603Y-119689792D01* -X106397138Y-119671400D01* -X106302862Y-119671400D01* -X106210397Y-119689792D01* -X106123298Y-119725870D01* -X106044910Y-119778247D01* -X105978247Y-119844910D01* -X105925870Y-119923298D01* -X105889792Y-120010397D01* -X105871400Y-120102862D01* -X105221157Y-120102862D01* -X105189603Y-120089792D01* -X105097138Y-120071400D01* -X105002862Y-120071400D01* -X104910397Y-120089792D01* -X104823298Y-120125870D01* -X104744910Y-120178247D01* -X104678247Y-120244910D01* -X104625870Y-120323298D01* -X104589792Y-120410397D01* -X104571400Y-120502862D01* -X103818495Y-120502862D01* -X103828600Y-120452063D01* -X103828600Y-120347937D01* -X103808287Y-120245813D01* -X103768440Y-120149614D01* -X103710591Y-120063037D01* -X103636963Y-119989409D01* -X103550386Y-119931560D01* -X103454187Y-119891713D01* -X103352063Y-119871400D01* -X103247937Y-119871400D01* -X103145813Y-119891713D01* -X103049614Y-119931560D01* -X102963037Y-119989409D01* -X102889409Y-120063037D01* -X102831560Y-120149614D01* -X102791713Y-120245813D01* -X102771400Y-120347937D01* -X96309336Y-120347937D01* -X96299130Y-120323298D01* -X96246753Y-120244910D01* -X96180090Y-120178247D01* -X96101702Y-120125870D01* -X96014603Y-120089792D01* -X95922138Y-120071400D01* -X95827862Y-120071400D01* -X95735397Y-120089792D01* -X95648298Y-120125870D01* -X95569910Y-120178247D01* -X95503247Y-120244910D01* -X95450870Y-120323298D01* -X95414792Y-120410397D01* -X95396400Y-120502862D01* -X94618495Y-120502862D01* -X94628600Y-120452063D01* -X94628600Y-120347937D01* -X94608287Y-120245813D01* -X94568440Y-120149614D01* -X94510591Y-120063037D01* -X94436963Y-119989409D01* -X94350386Y-119931560D01* -X94254187Y-119891713D01* -X94152063Y-119871400D01* -X94047937Y-119871400D01* -X93945813Y-119891713D01* -X93849614Y-119931560D01* -X93763037Y-119989409D01* -X93689409Y-120063037D01* -X93631560Y-120149614D01* -X93591713Y-120245813D01* -X93571400Y-120347937D01* -X87084336Y-120347937D01* -X87074130Y-120323298D01* -X87021753Y-120244910D01* -X86955090Y-120178247D01* -X86876702Y-120125870D01* -X86789603Y-120089792D01* -X86697138Y-120071400D01* -X86602862Y-120071400D01* -X86510397Y-120089792D01* -X86423298Y-120125870D01* -X86344910Y-120178247D01* -X86278247Y-120244910D01* -X86225870Y-120323298D01* -X86189792Y-120410397D01* -X86171400Y-120502862D01* -X85418495Y-120502862D01* -X85428600Y-120452063D01* -X85428600Y-120347937D01* -X85408287Y-120245813D01* -X85368440Y-120149614D01* -X85310591Y-120063037D01* -X85236963Y-119989409D01* -X85150386Y-119931560D01* -X85054187Y-119891713D01* -X84952063Y-119871400D01* -X84847937Y-119871400D01* -X84745813Y-119891713D01* -X84649614Y-119931560D01* -X84563037Y-119989409D01* -X84489409Y-120063037D01* -X84431560Y-120149614D01* -X84391713Y-120245813D01* -X84371400Y-120347937D01* -X77909336Y-120347937D01* -X77899130Y-120323298D01* -X77846753Y-120244910D01* -X77780090Y-120178247D01* -X77701702Y-120125870D01* -X77614603Y-120089792D01* -X77522138Y-120071400D01* -X77427862Y-120071400D01* -X77335397Y-120089792D01* -X77248298Y-120125870D01* -X77169910Y-120178247D01* -X77103247Y-120244910D01* -X77050870Y-120323298D01* -X77014792Y-120410397D01* -X76996400Y-120502862D01* -X76218495Y-120502862D01* -X76228600Y-120452063D01* -X76228600Y-120347937D01* -X76208287Y-120245813D01* -X76168440Y-120149614D01* -X76110591Y-120063037D01* -X76036963Y-119989409D01* -X75950386Y-119931560D01* -X75854187Y-119891713D01* -X75752063Y-119871400D01* -X75647937Y-119871400D01* -X75545813Y-119891713D01* -X75449614Y-119931560D01* -X75363037Y-119989409D01* -X75289409Y-120063037D01* -X75231560Y-120149614D01* -X75191713Y-120245813D01* -X75171400Y-120347937D01* -X68413380Y-120347937D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68159612Y-119713862D01* -X71276400Y-119713862D01* -X71276400Y-119808138D01* -X71294792Y-119900603D01* -X71330870Y-119987702D01* -X71383247Y-120066090D01* -X71449910Y-120132753D01* -X71528298Y-120185130D01* -X71615397Y-120221208D01* -X71707862Y-120239600D01* -X71802138Y-120239600D01* -X71894603Y-120221208D01* -X71981702Y-120185130D01* -X72060090Y-120132753D01* -X72126753Y-120066090D01* -X72179130Y-119987702D01* -X72215208Y-119900603D01* -X72233600Y-119808138D01* -X72233600Y-119713862D01* -X72215208Y-119621397D01* -X72179130Y-119534298D01* -X72126753Y-119455910D01* -X72060090Y-119389247D01* -X71981702Y-119336870D01* -X71894603Y-119300792D01* -X71802138Y-119282400D01* -X71707862Y-119282400D01* -X71615397Y-119300792D01* -X71528298Y-119336870D01* -X71449910Y-119389247D01* -X71383247Y-119455910D01* -X71330870Y-119534298D01* -X71294792Y-119621397D01* -X71276400Y-119713862D01* -X68159612Y-119713862D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X66994919Y-120057846D01* -X66967100Y-120197702D01* -X56584350Y-120197702D01* -X56614702Y-120185130D01* -X56693090Y-120132753D01* -X56759753Y-120066090D01* -X56812130Y-119987702D01* -X56848208Y-119900603D01* -X56866600Y-119808138D01* -X56866600Y-119713862D01* -X56848208Y-119621397D01* -X56812130Y-119534298D01* -X56759753Y-119455910D01* -X56693090Y-119389247D01* -X56614702Y-119336870D01* -X56527603Y-119300792D01* -X56435138Y-119282400D01* -X56340862Y-119282400D01* -X56248397Y-119300792D01* -X56161298Y-119336870D01* -X56082910Y-119389247D01* -X56016247Y-119455910D01* -X55963870Y-119534298D01* -X55927792Y-119621397D01* -X55909400Y-119713862D01* -X51913600Y-119713862D01* -X51895208Y-119621397D01* -X51859130Y-119534298D01* -X51806753Y-119455910D01* -X51740090Y-119389247D01* -X51661702Y-119336870D01* -X51574603Y-119300792D01* -X51482138Y-119282400D01* -X51387862Y-119282400D01* -X51295397Y-119300792D01* -X51208298Y-119336870D01* -X51129910Y-119389247D01* -X51063247Y-119455910D01* -X51010870Y-119534298D01* -X50974792Y-119621397D01* -X50956400Y-119713862D01* -X46833600Y-119713862D01* -X46815208Y-119621397D01* -X46779130Y-119534298D01* -X46726753Y-119455910D01* -X46660090Y-119389247D01* -X46581702Y-119336870D01* -X46494603Y-119300792D01* -X46402138Y-119282400D01* -X46307862Y-119282400D01* -X46215397Y-119300792D01* -X46177200Y-119316614D01* -X46177200Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X46177200Y-119181702D01* -X46177200Y-117173862D01* -X48416400Y-117173862D01* -X48416400Y-117268138D01* -X48434792Y-117360603D01* -X48470870Y-117447702D01* -X48523247Y-117526090D01* -X48589910Y-117592753D01* -X48668298Y-117645130D01* -X48755397Y-117681208D01* -X48847862Y-117699600D01* -X48942138Y-117699600D01* -X49034603Y-117681208D01* -X49121702Y-117645130D01* -X49200090Y-117592753D01* -X49266753Y-117526090D01* -X49319130Y-117447702D01* -X49355208Y-117360603D01* -X49373600Y-117268138D01* -X49373600Y-117173862D01* -X53496400Y-117173862D01* -X53496400Y-117268138D01* -X53514792Y-117360603D01* -X53550870Y-117447702D01* -X53603247Y-117526090D01* -X53669910Y-117592753D01* -X53748298Y-117645130D01* -X53835397Y-117681208D01* -X53927862Y-117699600D01* -X54022138Y-117699600D01* -X54114603Y-117681208D01* -X54201702Y-117645130D01* -X54280090Y-117592753D01* -X54346753Y-117526090D01* -X54399130Y-117447702D01* -X54435208Y-117360603D01* -X54453600Y-117268138D01* -X54453600Y-117173862D01* -X54435208Y-117081397D01* -X54399130Y-116994298D01* -X54346753Y-116915910D01* -X54280090Y-116849247D01* -X54201702Y-116796870D01* -X54114603Y-116760792D01* -X54022138Y-116742400D01* -X53927862Y-116742400D01* -X53835397Y-116760792D01* -X53748298Y-116796870D01* -X53669910Y-116849247D01* -X53603247Y-116915910D01* -X53550870Y-116994298D01* -X53514792Y-117081397D01* -X53496400Y-117173862D01* -X49373600Y-117173862D01* -X49355208Y-117081397D01* -X49319130Y-116994298D01* -X49266753Y-116915910D01* -X49200090Y-116849247D01* -X49121702Y-116796870D01* -X49034603Y-116760792D01* -X48942138Y-116742400D01* -X48847862Y-116742400D01* -X48755397Y-116760792D01* -X48668298Y-116796870D01* -X48589910Y-116849247D01* -X48523247Y-116915910D01* -X48470870Y-116994298D01* -X48434792Y-117081397D01* -X48416400Y-117173862D01* -X46177200Y-117173862D01* -X46177200Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60870763Y-117881684D01* -X60880792Y-117932103D01* -X60916870Y-118019202D01* -X60969247Y-118097590D01* -X61035910Y-118164253D01* -X61114298Y-118216630D01* -X61201397Y-118252708D01* -X61293862Y-118271100D01* -X61388138Y-118271100D01* -X61480603Y-118252708D01* -X61567702Y-118216630D01* -X61643921Y-118165702D01* -X66967100Y-118165702D01* -X66967100Y-118308298D01* -X66994919Y-118448154D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68253689Y-118697862D01* -X115218400Y-118697862D01* -X115218400Y-118792138D01* -X115236792Y-118884603D01* -X115272870Y-118971702D01* -X115325247Y-119050090D01* -X115391910Y-119116753D01* -X115470298Y-119169130D01* -X115557397Y-119205208D01* -X115649862Y-119223600D01* -X115744138Y-119223600D01* -X115836603Y-119205208D01* -X115923702Y-119169130D01* -X116002090Y-119116753D01* -X116068753Y-119050090D01* -X116121130Y-118971702D01* -X116157208Y-118884603D01* -X116175600Y-118792138D01* -X116175600Y-118697862D01* -X120044400Y-118697862D01* -X120044400Y-118792138D01* -X120062792Y-118884603D01* -X120098870Y-118971702D01* -X120151247Y-119050090D01* -X120217910Y-119116753D01* -X120296298Y-119169130D01* -X120383397Y-119205208D01* -X120475862Y-119223600D01* -X120570138Y-119223600D01* -X120662603Y-119205208D01* -X120749702Y-119169130D01* -X120828090Y-119116753D01* -X120894753Y-119050090D01* -X120947130Y-118971702D01* -X120983208Y-118884603D01* -X121001600Y-118792138D01* -X121001600Y-118697862D01* -X125124400Y-118697862D01* -X125124400Y-118792138D01* -X125142792Y-118884603D01* -X125178870Y-118971702D01* -X125231247Y-119050090D01* -X125297910Y-119116753D01* -X125376298Y-119169130D01* -X125463397Y-119205208D01* -X125555862Y-119223600D01* -X125650138Y-119223600D01* -X125742603Y-119205208D01* -X125829702Y-119169130D01* -X125908090Y-119116753D01* -X125974753Y-119050090D01* -X126027130Y-118971702D01* -X126063208Y-118884603D01* -X126081600Y-118792138D01* -X126081600Y-118697862D01* -X130204400Y-118697862D01* -X130204400Y-118792138D01* -X130222792Y-118884603D01* -X130258870Y-118971702D01* -X130311247Y-119050090D01* -X130377910Y-119116753D01* -X130456298Y-119169130D01* -X130543397Y-119205208D01* -X130635862Y-119223600D01* -X130730138Y-119223600D01* -X130822603Y-119205208D01* -X130909702Y-119169130D01* -X130988090Y-119116753D01* -X131054753Y-119050090D01* -X131107130Y-118971702D01* -X131143208Y-118884603D01* -X131161600Y-118792138D01* -X131161600Y-118697862D01* -X135284400Y-118697862D01* -X135284400Y-118792138D01* -X135302792Y-118884603D01* -X135338870Y-118971702D01* -X135391247Y-119050090D01* -X135457910Y-119116753D01* -X135536298Y-119169130D01* -X135623397Y-119205208D01* -X135715862Y-119223600D01* -X135810138Y-119223600D01* -X135902603Y-119205208D01* -X135989702Y-119169130D01* -X136068090Y-119116753D01* -X136134753Y-119050090D01* -X136187130Y-118971702D01* -X136223208Y-118884603D01* -X136241600Y-118792138D01* -X136241600Y-118697862D01* -X140364400Y-118697862D01* -X140364400Y-118792138D01* -X140382792Y-118884603D01* -X140418870Y-118971702D01* -X140471247Y-119050090D01* -X140537910Y-119116753D01* -X140616298Y-119169130D01* -X140703397Y-119205208D01* -X140795862Y-119223600D01* -X140890138Y-119223600D01* -X140982603Y-119205208D01* -X141069702Y-119169130D01* -X141148090Y-119116753D01* -X141214753Y-119050090D01* -X141267130Y-118971702D01* -X141303208Y-118884603D01* -X141321600Y-118792138D01* -X141321600Y-118697862D01* -X141303208Y-118605397D01* -X141267130Y-118518298D01* -X141214753Y-118439910D01* -X141148090Y-118373247D01* -X141069702Y-118320870D01* -X140982603Y-118284792D01* -X140890138Y-118266400D01* -X140795862Y-118266400D01* -X140703397Y-118284792D01* -X140616298Y-118320870D01* -X140537910Y-118373247D01* -X140471247Y-118439910D01* -X140418870Y-118518298D01* -X140382792Y-118605397D01* -X140364400Y-118697862D01* -X136241600Y-118697862D01* -X136223208Y-118605397D01* -X136187130Y-118518298D01* -X136134753Y-118439910D01* -X136068090Y-118373247D01* -X135989702Y-118320870D01* -X135902603Y-118284792D01* -X135810138Y-118266400D01* -X135715862Y-118266400D01* -X135623397Y-118284792D01* -X135536298Y-118320870D01* -X135457910Y-118373247D01* -X135391247Y-118439910D01* -X135338870Y-118518298D01* -X135302792Y-118605397D01* -X135284400Y-118697862D01* -X131161600Y-118697862D01* -X131143208Y-118605397D01* -X131107130Y-118518298D01* -X131054753Y-118439910D01* -X130988090Y-118373247D01* -X130909702Y-118320870D01* -X130822603Y-118284792D01* -X130730138Y-118266400D01* -X130635862Y-118266400D01* -X130543397Y-118284792D01* -X130456298Y-118320870D01* -X130377910Y-118373247D01* -X130311247Y-118439910D01* -X130258870Y-118518298D01* -X130222792Y-118605397D01* -X130204400Y-118697862D01* -X126081600Y-118697862D01* -X126063208Y-118605397D01* -X126027130Y-118518298D01* -X125974753Y-118439910D01* -X125908090Y-118373247D01* -X125829702Y-118320870D01* -X125742603Y-118284792D01* -X125650138Y-118266400D01* -X125555862Y-118266400D01* -X125463397Y-118284792D01* -X125376298Y-118320870D01* -X125297910Y-118373247D01* -X125231247Y-118439910D01* -X125178870Y-118518298D01* -X125142792Y-118605397D01* -X125124400Y-118697862D01* -X121001600Y-118697862D01* -X120983208Y-118605397D01* -X120947130Y-118518298D01* -X120894753Y-118439910D01* -X120828090Y-118373247D01* -X120749702Y-118320870D01* -X120662603Y-118284792D01* -X120570138Y-118266400D01* -X120475862Y-118266400D01* -X120383397Y-118284792D01* -X120296298Y-118320870D01* -X120217910Y-118373247D01* -X120151247Y-118439910D01* -X120098870Y-118518298D01* -X120062792Y-118605397D01* -X120044400Y-118697862D01* -X116175600Y-118697862D01* -X116157208Y-118605397D01* -X116121130Y-118518298D01* -X116068753Y-118439910D01* -X116002090Y-118373247D01* -X115923702Y-118320870D01* -X115836603Y-118284792D01* -X115744138Y-118266400D01* -X115649862Y-118266400D01* -X115557397Y-118284792D01* -X115470298Y-118320870D01* -X115391910Y-118373247D01* -X115325247Y-118439910D01* -X115272870Y-118518298D01* -X115236792Y-118605397D01* -X115218400Y-118697862D01* -X68253689Y-118697862D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68387081Y-118025846D01* -X68336140Y-117902862D01* -X78321400Y-117902862D01* -X78321400Y-117997138D01* -X78339792Y-118089603D01* -X78375870Y-118176702D01* -X78428247Y-118255090D01* -X78494910Y-118321753D01* -X78573298Y-118374130D01* -X78660397Y-118410208D01* -X78752862Y-118428600D01* -X78847138Y-118428600D01* -X78939603Y-118410208D01* -X79026702Y-118374130D01* -X79105090Y-118321753D01* -X79171753Y-118255090D01* -X79224130Y-118176702D01* -X79260208Y-118089603D01* -X79278600Y-117997138D01* -X79278600Y-117902862D01* -X87521400Y-117902862D01* -X87521400Y-117997138D01* -X87539792Y-118089603D01* -X87575870Y-118176702D01* -X87628247Y-118255090D01* -X87694910Y-118321753D01* -X87773298Y-118374130D01* -X87860397Y-118410208D01* -X87952862Y-118428600D01* -X88047138Y-118428600D01* -X88139603Y-118410208D01* -X88226702Y-118374130D01* -X88305090Y-118321753D01* -X88371753Y-118255090D01* -X88424130Y-118176702D01* -X88460208Y-118089603D01* -X88478600Y-117997138D01* -X88478600Y-117902862D01* -X96721400Y-117902862D01* -X96721400Y-117997138D01* -X96739792Y-118089603D01* -X96775870Y-118176702D01* -X96828247Y-118255090D01* -X96894910Y-118321753D01* -X96973298Y-118374130D01* -X97060397Y-118410208D01* -X97152862Y-118428600D01* -X97247138Y-118428600D01* -X97339603Y-118410208D01* -X97426702Y-118374130D01* -X97505090Y-118321753D01* -X97571753Y-118255090D01* -X97624130Y-118176702D01* -X97660208Y-118089603D01* -X97678600Y-117997138D01* -X97678600Y-117902862D01* -X97660208Y-117810397D01* -X97624130Y-117723298D01* -X97571753Y-117644910D01* -X97505090Y-117578247D01* -X97467099Y-117552862D01* -X102021400Y-117552862D01* -X102021400Y-117647138D01* -X102039792Y-117739603D01* -X102075870Y-117826702D01* -X102128247Y-117905090D01* -X102194910Y-117971753D01* -X102273298Y-118024130D01* -X102360397Y-118060208D01* -X102452862Y-118078600D01* -X102547138Y-118078600D01* -X102639603Y-118060208D01* -X102726702Y-118024130D01* -X102805090Y-117971753D01* -X102871753Y-117905090D01* -X102873241Y-117902862D01* -X105921400Y-117902862D01* -X105921400Y-117997138D01* -X105939792Y-118089603D01* -X105975870Y-118176702D01* -X106028247Y-118255090D01* -X106094910Y-118321753D01* -X106173298Y-118374130D01* -X106260397Y-118410208D01* -X106352862Y-118428600D01* -X106447138Y-118428600D01* -X106539603Y-118410208D01* -X106626702Y-118374130D01* -X106705090Y-118321753D01* -X106771753Y-118255090D01* -X106824130Y-118176702D01* -X106860208Y-118089603D01* -X106878600Y-117997138D01* -X106878600Y-117902862D01* -X106860208Y-117810397D01* -X106824130Y-117723298D01* -X106771753Y-117644910D01* -X106705090Y-117578247D01* -X106626702Y-117525870D01* -X106539603Y-117489792D01* -X106447138Y-117471400D01* -X106352862Y-117471400D01* -X106260397Y-117489792D01* -X106173298Y-117525870D01* -X106094910Y-117578247D01* -X106028247Y-117644910D01* -X105975870Y-117723298D01* -X105939792Y-117810397D01* -X105921400Y-117902862D01* -X102873241Y-117902862D01* -X102924130Y-117826702D01* -X102960208Y-117739603D01* -X102978600Y-117647138D01* -X102978600Y-117552862D01* -X102960208Y-117460397D01* -X102924130Y-117373298D01* -X102871753Y-117294910D01* -X102805090Y-117228247D01* -X102726702Y-117175870D01* -X102639603Y-117139792D01* -X102547138Y-117121400D01* -X102452862Y-117121400D01* -X102360397Y-117139792D01* -X102273298Y-117175870D01* -X102194910Y-117228247D01* -X102128247Y-117294910D01* -X102075870Y-117373298D01* -X102039792Y-117460397D01* -X102021400Y-117552862D01* -X97467099Y-117552862D01* -X97426702Y-117525870D01* -X97339603Y-117489792D01* -X97247138Y-117471400D01* -X97152862Y-117471400D01* -X97060397Y-117489792D01* -X96973298Y-117525870D01* -X96894910Y-117578247D01* -X96828247Y-117644910D01* -X96775870Y-117723298D01* -X96739792Y-117810397D01* -X96721400Y-117902862D01* -X88478600Y-117902862D01* -X88460208Y-117810397D01* -X88424130Y-117723298D01* -X88371753Y-117644910D01* -X88305090Y-117578247D01* -X88226702Y-117525870D01* -X88139603Y-117489792D01* -X88047138Y-117471400D01* -X87952862Y-117471400D01* -X87860397Y-117489792D01* -X87773298Y-117525870D01* -X87694910Y-117578247D01* -X87628247Y-117644910D01* -X87575870Y-117723298D01* -X87539792Y-117810397D01* -X87521400Y-117902862D01* -X79278600Y-117902862D01* -X79260208Y-117810397D01* -X79224130Y-117723298D01* -X79171753Y-117644910D01* -X79105090Y-117578247D01* -X79026702Y-117525870D01* -X78939603Y-117489792D01* -X78847138Y-117471400D01* -X78752862Y-117471400D01* -X78660397Y-117489792D01* -X78573298Y-117525870D01* -X78494910Y-117578247D01* -X78428247Y-117644910D01* -X78375870Y-117723298D01* -X78339792Y-117810397D01* -X78321400Y-117902862D01* -X68336140Y-117902862D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67479846Y-117540919D01* -X67348105Y-117595488D01* -X67229540Y-117674710D01* -X67128710Y-117775540D01* -X67049488Y-117894105D01* -X66994919Y-118025846D01* -X66967100Y-118165702D01* -X61643921Y-118165702D01* -X61646090Y-118164253D01* -X61712753Y-118097590D01* -X61765130Y-118019202D01* -X61801208Y-117932103D01* -X61819600Y-117839638D01* -X61819600Y-117745362D01* -X61801208Y-117652897D01* -X61786903Y-117618362D01* -X62894400Y-117618362D01* -X62894400Y-117712638D01* -X62912792Y-117805103D01* -X62948870Y-117892202D01* -X63001247Y-117970590D01* -X63067910Y-118037253D01* -X63146298Y-118089630D01* -X63233397Y-118125708D01* -X63325862Y-118144100D01* -X63420138Y-118144100D01* -X63512603Y-118125708D01* -X63599702Y-118089630D01* -X63678090Y-118037253D01* -X63744753Y-117970590D01* -X63797130Y-117892202D01* -X63833208Y-117805103D01* -X63851600Y-117712638D01* -X63851600Y-117618362D01* -X63833208Y-117525897D01* -X63797130Y-117438798D01* -X63744753Y-117360410D01* -X63678090Y-117293747D01* -X63599702Y-117241370D01* -X63512603Y-117205292D01* -X63420138Y-117186900D01* -X63325862Y-117186900D01* -X63233397Y-117205292D01* -X63146298Y-117241370D01* -X63067910Y-117293747D01* -X63001247Y-117360410D01* -X62948870Y-117438798D01* -X62912792Y-117525897D01* -X62894400Y-117618362D01* -X61786903Y-117618362D01* -X61765130Y-117565798D01* -X61712753Y-117487410D01* -X61646090Y-117420747D01* -X61567702Y-117368370D01* -X61480603Y-117332292D01* -X61388138Y-117313900D01* -X61354821Y-117313900D01* -X61432632Y-117126047D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61350995Y-116102862D01* -X62621400Y-116102862D01* -X62621400Y-116197138D01* -X62639792Y-116289603D01* -X62675870Y-116376702D01* -X62728247Y-116455090D01* -X62794910Y-116521753D01* -X62873298Y-116574130D01* -X62960397Y-116610208D01* -X63052862Y-116628600D01* -X63147138Y-116628600D01* -X63239603Y-116610208D01* -X63326702Y-116574130D01* -X63327598Y-116573531D01* -X64369950Y-116573531D01* -X64369950Y-116852469D01* -X64424368Y-117126047D01* -X64531112Y-117383751D01* -X64686082Y-117615679D01* -X64883321Y-117812918D01* -X65115249Y-117967888D01* -X65372953Y-118074632D01* -X65646531Y-118129050D01* -X65925469Y-118129050D01* -X66199047Y-118074632D01* -X66456751Y-117967888D01* -X66688679Y-117812918D01* -X66885918Y-117615679D01* -X67040888Y-117383751D01* -X67127826Y-117173862D01* -X68736400Y-117173862D01* -X68736400Y-117268138D01* -X68754792Y-117360603D01* -X68790870Y-117447702D01* -X68843247Y-117526090D01* -X68909910Y-117592753D01* -X68988298Y-117645130D01* -X69075397Y-117681208D01* -X69167862Y-117699600D01* -X69262138Y-117699600D01* -X69354603Y-117681208D01* -X69441702Y-117645130D01* -X69520090Y-117592753D01* -X69586753Y-117526090D01* -X69639130Y-117447702D01* -X69675208Y-117360603D01* -X69693600Y-117268138D01* -X69693600Y-117173862D01* -X73816400Y-117173862D01* -X73816400Y-117268138D01* -X73834792Y-117360603D01* -X73870870Y-117447702D01* -X73923247Y-117526090D01* -X73989910Y-117592753D01* -X74068298Y-117645130D01* -X74155397Y-117681208D01* -X74247862Y-117699600D01* -X74342138Y-117699600D01* -X74434603Y-117681208D01* -X74521702Y-117645130D01* -X74600090Y-117592753D01* -X74666753Y-117526090D01* -X74719130Y-117447702D01* -X74755208Y-117360603D01* -X74773600Y-117268138D01* -X74773600Y-117173862D01* -X74755208Y-117081397D01* -X74719130Y-116994298D01* -X74666753Y-116915910D01* -X74600090Y-116849247D01* -X74521702Y-116796870D01* -X74434603Y-116760792D01* -X74342138Y-116742400D01* -X74247862Y-116742400D01* -X74155397Y-116760792D01* -X74068298Y-116796870D01* -X73989910Y-116849247D01* -X73923247Y-116915910D01* -X73870870Y-116994298D01* -X73834792Y-117081397D01* -X73816400Y-117173862D01* -X69693600Y-117173862D01* -X69675208Y-117081397D01* -X69639130Y-116994298D01* -X69586753Y-116915910D01* -X69520090Y-116849247D01* -X69441702Y-116796870D01* -X69354603Y-116760792D01* -X69262138Y-116742400D01* -X69167862Y-116742400D01* -X69075397Y-116760792D01* -X68988298Y-116796870D01* -X68909910Y-116849247D01* -X68843247Y-116915910D01* -X68790870Y-116994298D01* -X68754792Y-117081397D01* -X68736400Y-117173862D01* -X67127826Y-117173862D01* -X67147632Y-117126047D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67105376Y-116197937D01* -X112521400Y-116197937D01* -X112521400Y-116302063D01* -X112541713Y-116404187D01* -X112581560Y-116500386D01* -X112639409Y-116586963D01* -X112713037Y-116660591D01* -X112799614Y-116718440D01* -X112895813Y-116758287D01* -X112997937Y-116778600D01* -X113102063Y-116778600D01* -X113204187Y-116758287D01* -X113300386Y-116718440D01* -X113386963Y-116660591D01* -X113460591Y-116586963D01* -X113518440Y-116500386D01* -X113558287Y-116404187D01* -X113578600Y-116302063D01* -X113578600Y-116197937D01* -X113570629Y-116157862D01* -X117504400Y-116157862D01* -X117504400Y-116252138D01* -X117522792Y-116344603D01* -X117558870Y-116431702D01* -X117611247Y-116510090D01* -X117677910Y-116576753D01* -X117756298Y-116629130D01* -X117843397Y-116665208D01* -X117935862Y-116683600D01* -X118030138Y-116683600D01* -X118122603Y-116665208D01* -X118209702Y-116629130D01* -X118288090Y-116576753D01* -X118354753Y-116510090D01* -X118407130Y-116431702D01* -X118443208Y-116344603D01* -X118461600Y-116252138D01* -X118461600Y-116197937D01* -X122521400Y-116197937D01* -X122521400Y-116302063D01* -X122541713Y-116404187D01* -X122581560Y-116500386D01* -X122639409Y-116586963D01* -X122713037Y-116660591D01* -X122799614Y-116718440D01* -X122895813Y-116758287D01* -X122997937Y-116778600D01* -X123102063Y-116778600D01* -X123204187Y-116758287D01* -X123300386Y-116718440D01* -X123386963Y-116660591D01* -X123460591Y-116586963D01* -X123518440Y-116500386D01* -X123558287Y-116404187D01* -X123578600Y-116302063D01* -X123578600Y-116197937D01* -X123570629Y-116157862D01* -X127664400Y-116157862D01* -X127664400Y-116252138D01* -X127682792Y-116344603D01* -X127718870Y-116431702D01* -X127771247Y-116510090D01* -X127837910Y-116576753D01* -X127916298Y-116629130D01* -X128003397Y-116665208D01* -X128095862Y-116683600D01* -X128190138Y-116683600D01* -X128282603Y-116665208D01* -X128369702Y-116629130D01* -X128448090Y-116576753D01* -X128514753Y-116510090D01* -X128567130Y-116431702D01* -X128603208Y-116344603D01* -X128621600Y-116252138D01* -X128621600Y-116157862D01* -X132744400Y-116157862D01* -X132744400Y-116252138D01* -X132762792Y-116344603D01* -X132798870Y-116431702D01* -X132851247Y-116510090D01* -X132917910Y-116576753D01* -X132996298Y-116629130D01* -X133083397Y-116665208D01* -X133175862Y-116683600D01* -X133270138Y-116683600D01* -X133362603Y-116665208D01* -X133449702Y-116629130D01* -X133528090Y-116576753D01* -X133594753Y-116510090D01* -X133647130Y-116431702D01* -X133683208Y-116344603D01* -X133701600Y-116252138D01* -X133701600Y-116157862D01* -X137824400Y-116157862D01* -X137824400Y-116252138D01* -X137842792Y-116344603D01* -X137878870Y-116431702D01* -X137931247Y-116510090D01* -X137997910Y-116576753D01* -X138076298Y-116629130D01* -X138163397Y-116665208D01* -X138255862Y-116683600D01* -X138350138Y-116683600D01* -X138442603Y-116665208D01* -X138529702Y-116629130D01* -X138608090Y-116576753D01* -X138674753Y-116510090D01* -X138727130Y-116431702D01* -X138763208Y-116344603D01* -X138781600Y-116252138D01* -X138781600Y-116157862D01* -X142904400Y-116157862D01* -X142904400Y-116252138D01* -X142922792Y-116344603D01* -X142958870Y-116431702D01* -X143011247Y-116510090D01* -X143077910Y-116576753D01* -X143156298Y-116629130D01* -X143243397Y-116665208D01* -X143335862Y-116683600D01* -X143430138Y-116683600D01* -X143522603Y-116665208D01* -X143609702Y-116629130D01* -X143688090Y-116576753D01* -X143754753Y-116510090D01* -X143807130Y-116431702D01* -X143843208Y-116344603D01* -X143861600Y-116252138D01* -X143861600Y-116157862D01* -X143843208Y-116065397D01* -X143807130Y-115978298D01* -X143754753Y-115899910D01* -X143688090Y-115833247D01* -X143609702Y-115780870D01* -X143522603Y-115744792D01* -X143430138Y-115726400D01* -X143335862Y-115726400D01* -X143243397Y-115744792D01* -X143156298Y-115780870D01* -X143077910Y-115833247D01* -X143011247Y-115899910D01* -X142958870Y-115978298D01* -X142922792Y-116065397D01* -X142904400Y-116157862D01* -X138781600Y-116157862D01* -X138763208Y-116065397D01* -X138727130Y-115978298D01* -X138674753Y-115899910D01* -X138608090Y-115833247D01* -X138529702Y-115780870D01* -X138442603Y-115744792D01* -X138350138Y-115726400D01* -X138255862Y-115726400D01* -X138163397Y-115744792D01* -X138076298Y-115780870D01* -X137997910Y-115833247D01* -X137931247Y-115899910D01* -X137878870Y-115978298D01* -X137842792Y-116065397D01* -X137824400Y-116157862D01* -X133701600Y-116157862D01* -X133683208Y-116065397D01* -X133647130Y-115978298D01* -X133594753Y-115899910D01* -X133528090Y-115833247D01* -X133449702Y-115780870D01* -X133362603Y-115744792D01* -X133270138Y-115726400D01* -X133175862Y-115726400D01* -X133083397Y-115744792D01* -X132996298Y-115780870D01* -X132917910Y-115833247D01* -X132851247Y-115899910D01* -X132798870Y-115978298D01* -X132762792Y-116065397D01* -X132744400Y-116157862D01* -X128621600Y-116157862D01* -X128603208Y-116065397D01* -X128567130Y-115978298D01* -X128514753Y-115899910D01* -X128448090Y-115833247D01* -X128369702Y-115780870D01* -X128282603Y-115744792D01* -X128190138Y-115726400D01* -X128095862Y-115726400D01* -X128003397Y-115744792D01* -X127916298Y-115780870D01* -X127837910Y-115833247D01* -X127771247Y-115899910D01* -X127718870Y-115978298D01* -X127682792Y-116065397D01* -X127664400Y-116157862D01* -X123570629Y-116157862D01* -X123558287Y-116095813D01* -X123518440Y-115999614D01* -X123460591Y-115913037D01* -X123386963Y-115839409D01* -X123300386Y-115781560D01* -X123204187Y-115741713D01* -X123102063Y-115721400D01* -X122997937Y-115721400D01* -X122895813Y-115741713D01* -X122799614Y-115781560D01* -X122713037Y-115839409D01* -X122639409Y-115913037D01* -X122581560Y-115999614D01* -X122541713Y-116095813D01* -X122521400Y-116197937D01* -X118461600Y-116197937D01* -X118461600Y-116157862D01* -X118443208Y-116065397D01* -X118407130Y-115978298D01* -X118354753Y-115899910D01* -X118288090Y-115833247D01* -X118209702Y-115780870D01* -X118122603Y-115744792D01* -X118030138Y-115726400D01* -X117935862Y-115726400D01* -X117843397Y-115744792D01* -X117756298Y-115780870D01* -X117677910Y-115833247D01* -X117611247Y-115899910D01* -X117558870Y-115978298D01* -X117522792Y-116065397D01* -X117504400Y-116157862D01* -X113570629Y-116157862D01* -X113558287Y-116095813D01* -X113518440Y-115999614D01* -X113460591Y-115913037D01* -X113386963Y-115839409D01* -X113300386Y-115781560D01* -X113204187Y-115741713D01* -X113102063Y-115721400D01* -X112997937Y-115721400D01* -X112895813Y-115741713D01* -X112799614Y-115781560D01* -X112713037Y-115839409D01* -X112639409Y-115913037D01* -X112581560Y-115999614D01* -X112541713Y-116095813D01* -X112521400Y-116197937D01* -X67105376Y-116197937D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64424368Y-116299953D01* -X64369950Y-116573531D01* -X63327598Y-116573531D01* -X63405090Y-116521753D01* -X63471753Y-116455090D01* -X63524130Y-116376702D01* -X63560208Y-116289603D01* -X63578600Y-116197138D01* -X63578600Y-116102862D01* -X63560208Y-116010397D01* -X63524130Y-115923298D01* -X63471753Y-115844910D01* -X63405090Y-115778247D01* -X63326702Y-115725870D01* -X63239603Y-115689792D01* -X63147138Y-115671400D01* -X63052862Y-115671400D01* -X62960397Y-115689792D01* -X62873298Y-115725870D01* -X62794910Y-115778247D01* -X62728247Y-115844910D01* -X62675870Y-115923298D01* -X62639792Y-116010397D01* -X62621400Y-116102862D01* -X61350995Y-116102862D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X46177200Y-116573531D01* -X46177200Y-115125386D01* -X46215397Y-115141208D01* -X46307862Y-115159600D01* -X46402138Y-115159600D01* -X46494603Y-115141208D01* -X46581702Y-115105130D01* -X46660090Y-115052753D01* -X46726753Y-114986090D01* -X46779130Y-114907702D01* -X46815208Y-114820603D01* -X46833600Y-114728138D01* -X46833600Y-114633862D01* -X50956400Y-114633862D01* -X50956400Y-114728138D01* -X50974792Y-114820603D01* -X51010870Y-114907702D01* -X51063247Y-114986090D01* -X51129910Y-115052753D01* -X51208298Y-115105130D01* -X51295397Y-115141208D01* -X51387862Y-115159600D01* -X51482138Y-115159600D01* -X51574603Y-115141208D01* -X51661702Y-115105130D01* -X51740090Y-115052753D01* -X51806753Y-114986090D01* -X51859130Y-114907702D01* -X51895208Y-114820603D01* -X51913600Y-114728138D01* -X51913600Y-114633862D01* -X55909400Y-114633862D01* -X55909400Y-114728138D01* -X55927792Y-114820603D01* -X55963870Y-114907702D01* -X56016247Y-114986090D01* -X56082910Y-115052753D01* -X56161298Y-115105130D01* -X56248397Y-115141208D01* -X56340862Y-115159600D01* -X56435138Y-115159600D01* -X56469013Y-115152862D01* -X57571400Y-115152862D01* -X57571400Y-115247138D01* -X57589792Y-115339603D01* -X57625870Y-115426702D01* -X57678247Y-115505090D01* -X57744910Y-115571753D01* -X57823298Y-115624130D01* -X57910397Y-115660208D01* -X58002862Y-115678600D01* -X58097138Y-115678600D01* -X58189603Y-115660208D01* -X58276702Y-115624130D01* -X58355090Y-115571753D01* -X58421753Y-115505090D01* -X58474130Y-115426702D01* -X58510208Y-115339603D01* -X58528600Y-115247138D01* -X58528600Y-115152862D01* -X58510208Y-115060397D01* -X58491347Y-115014862D01* -X63211900Y-115014862D01* -X63211900Y-115109138D01* -X63230292Y-115201603D01* -X63266370Y-115288702D01* -X63318747Y-115367090D01* -X63385410Y-115433753D01* -X63463798Y-115486130D01* -X63550897Y-115522208D01* -X63643362Y-115540600D01* -X63737638Y-115540600D01* -X63830103Y-115522208D01* -X63917202Y-115486130D01* -X63995590Y-115433753D01* -X64062253Y-115367090D01* -X64114630Y-115288702D01* -X64150708Y-115201603D01* -X64169100Y-115109138D01* -X64169100Y-115014862D01* -X64150708Y-114922397D01* -X64114630Y-114835298D01* -X64062253Y-114756910D01* -X63995590Y-114690247D01* -X63917202Y-114637870D01* -X63830103Y-114601792D01* -X63737638Y-114583400D01* -X63643362Y-114583400D01* -X63550897Y-114601792D01* -X63463798Y-114637870D01* -X63385410Y-114690247D01* -X63318747Y-114756910D01* -X63266370Y-114835298D01* -X63230292Y-114922397D01* -X63211900Y-115014862D01* -X58491347Y-115014862D01* -X58474130Y-114973298D01* -X58421753Y-114894910D01* -X58355090Y-114828247D01* -X58276702Y-114775870D01* -X58189603Y-114739792D01* -X58097138Y-114721400D01* -X58002862Y-114721400D01* -X57910397Y-114739792D01* -X57823298Y-114775870D01* -X57744910Y-114828247D01* -X57678247Y-114894910D01* -X57625870Y-114973298D01* -X57589792Y-115060397D01* -X57571400Y-115152862D01* -X56469013Y-115152862D01* -X56527603Y-115141208D01* -X56614702Y-115105130D01* -X56693090Y-115052753D01* -X56759753Y-114986090D01* -X56812130Y-114907702D01* -X56848208Y-114820603D01* -X56866600Y-114728138D01* -X56866600Y-114633862D01* -X56848208Y-114541397D01* -X56812130Y-114454298D01* -X56759753Y-114375910D01* -X56693090Y-114309247D01* -X56614702Y-114256870D01* -X56527603Y-114220792D01* -X56437461Y-114202862D01* -X64121400Y-114202862D01* -X64121400Y-114297138D01* -X64139792Y-114389603D01* -X64175870Y-114476702D01* -X64228247Y-114555090D01* -X64294910Y-114621753D01* -X64373298Y-114674130D01* -X64460397Y-114710208D01* -X64552862Y-114728600D01* -X64647138Y-114728600D01* -X64739603Y-114710208D01* -X64826702Y-114674130D01* -X64886967Y-114633862D01* -X71276400Y-114633862D01* -X71276400Y-114728138D01* -X71294792Y-114820603D01* -X71330870Y-114907702D01* -X71383247Y-114986090D01* -X71449910Y-115052753D01* -X71528298Y-115105130D01* -X71615397Y-115141208D01* -X71707862Y-115159600D01* -X71802138Y-115159600D01* -X71894603Y-115141208D01* -X71981702Y-115105130D01* -X72060090Y-115052753D01* -X72126753Y-114986090D01* -X72179130Y-114907702D01* -X72215208Y-114820603D01* -X72233600Y-114728138D01* -X72233600Y-114633862D01* -X76356400Y-114633862D01* -X76356400Y-114728138D01* -X76374792Y-114820603D01* -X76410870Y-114907702D01* -X76463247Y-114986090D01* -X76529910Y-115052753D01* -X76608298Y-115105130D01* -X76695397Y-115141208D01* -X76787862Y-115159600D01* -X76882138Y-115159600D01* -X76974603Y-115141208D01* -X77061702Y-115105130D01* -X77140090Y-115052753D01* -X77206753Y-114986090D01* -X77259130Y-114907702D01* -X77295208Y-114820603D01* -X77313600Y-114728138D01* -X77313600Y-114633862D01* -X77295208Y-114541397D01* -X77259130Y-114454298D01* -X77224762Y-114402862D01* -X87321400Y-114402862D01* -X87321400Y-114497138D01* -X87339792Y-114589603D01* -X87375870Y-114676702D01* -X87428247Y-114755090D01* -X87494910Y-114821753D01* -X87573298Y-114874130D01* -X87660397Y-114910208D01* -X87752862Y-114928600D01* -X87847138Y-114928600D01* -X87939603Y-114910208D01* -X88026702Y-114874130D01* -X88105090Y-114821753D01* -X88123981Y-114802862D01* -X99271400Y-114802862D01* -X99271400Y-114897138D01* -X99289792Y-114989603D01* -X99325870Y-115076702D01* -X99378247Y-115155090D01* -X99444910Y-115221753D01* -X99523298Y-115274130D01* -X99610397Y-115310208D01* -X99702862Y-115328600D01* -X99797138Y-115328600D01* -X99889603Y-115310208D01* -X99919227Y-115297937D01* -X111521400Y-115297937D01* -X111521400Y-115402063D01* -X111541713Y-115504187D01* -X111581560Y-115600386D01* -X111639409Y-115686963D01* -X111713037Y-115760591D01* -X111799614Y-115818440D01* -X111895813Y-115858287D01* -X111997937Y-115878600D01* -X112102063Y-115878600D01* -X112204187Y-115858287D01* -X112300386Y-115818440D01* -X112386963Y-115760591D01* -X112460591Y-115686963D01* -X112518440Y-115600386D01* -X112558287Y-115504187D01* -X112578600Y-115402063D01* -X112578600Y-115297937D01* -X121521400Y-115297937D01* -X121521400Y-115402063D01* -X121541713Y-115504187D01* -X121581560Y-115600386D01* -X121639409Y-115686963D01* -X121713037Y-115760591D01* -X121799614Y-115818440D01* -X121895813Y-115858287D01* -X121997937Y-115878600D01* -X122102063Y-115878600D01* -X122204187Y-115858287D01* -X122300386Y-115818440D01* -X122386963Y-115760591D01* -X122460591Y-115686963D01* -X122518440Y-115600386D01* -X122558287Y-115504187D01* -X122578600Y-115402063D01* -X122578600Y-115297937D01* -X122558287Y-115195813D01* -X122518440Y-115099614D01* -X122460591Y-115013037D01* -X122386963Y-114939409D01* -X122300386Y-114881560D01* -X122204187Y-114841713D01* -X122102063Y-114821400D01* -X121997937Y-114821400D01* -X121895813Y-114841713D01* -X121799614Y-114881560D01* -X121713037Y-114939409D01* -X121639409Y-115013037D01* -X121581560Y-115099614D01* -X121541713Y-115195813D01* -X121521400Y-115297937D01* -X112578600Y-115297937D01* -X112558287Y-115195813D01* -X112518440Y-115099614D01* -X112460591Y-115013037D01* -X112386963Y-114939409D01* -X112300386Y-114881560D01* -X112204187Y-114841713D01* -X112102063Y-114821400D01* -X111997937Y-114821400D01* -X111895813Y-114841713D01* -X111799614Y-114881560D01* -X111713037Y-114939409D01* -X111639409Y-115013037D01* -X111581560Y-115099614D01* -X111541713Y-115195813D01* -X111521400Y-115297937D01* -X99919227Y-115297937D01* -X99976702Y-115274130D01* -X100055090Y-115221753D01* -X100121753Y-115155090D01* -X100174130Y-115076702D01* -X100210208Y-114989603D01* -X100228600Y-114897138D01* -X100228600Y-114802862D01* -X100210208Y-114710397D01* -X100174130Y-114623298D01* -X100121753Y-114544910D01* -X100055090Y-114478247D01* -X100017099Y-114452862D01* -X103471400Y-114452862D01* -X103471400Y-114547138D01* -X103489792Y-114639603D01* -X103525870Y-114726702D01* -X103578247Y-114805090D01* -X103644910Y-114871753D01* -X103723298Y-114924130D01* -X103810397Y-114960208D01* -X103902862Y-114978600D01* -X103997138Y-114978600D01* -X104089603Y-114960208D01* -X104176702Y-114924130D01* -X104255090Y-114871753D01* -X104321753Y-114805090D01* -X104374130Y-114726702D01* -X104410208Y-114639603D01* -X104428600Y-114547138D01* -X104428600Y-114452862D01* -X104410208Y-114360397D01* -X104374130Y-114273298D01* -X104321753Y-114194910D01* -X104255090Y-114128247D01* -X104176702Y-114075870D01* -X104089603Y-114039792D01* -X103997138Y-114021400D01* -X103902862Y-114021400D01* -X103810397Y-114039792D01* -X103723298Y-114075870D01* -X103644910Y-114128247D01* -X103578247Y-114194910D01* -X103525870Y-114273298D01* -X103489792Y-114360397D01* -X103471400Y-114452862D01* -X100017099Y-114452862D01* -X99976702Y-114425870D01* -X99889603Y-114389792D01* -X99797138Y-114371400D01* -X99702862Y-114371400D01* -X99610397Y-114389792D01* -X99523298Y-114425870D01* -X99444910Y-114478247D01* -X99378247Y-114544910D01* -X99325870Y-114623298D01* -X99289792Y-114710397D01* -X99271400Y-114802862D01* -X88123981Y-114802862D01* -X88171753Y-114755090D01* -X88224130Y-114676702D01* -X88260208Y-114589603D01* -X88278600Y-114497138D01* -X88278600Y-114402862D01* -X88260208Y-114310397D01* -X88224130Y-114223298D01* -X88171753Y-114144910D01* -X88105090Y-114078247D01* -X88026702Y-114025870D01* -X87971157Y-114002862D01* -X112421400Y-114002862D01* -X112421400Y-114097138D01* -X112439792Y-114189603D01* -X112475870Y-114276702D01* -X112528247Y-114355090D01* -X112594910Y-114421753D01* -X112673298Y-114474130D01* -X112760397Y-114510208D01* -X112852862Y-114528600D01* -X112947138Y-114528600D01* -X113039603Y-114510208D01* -X113126702Y-114474130D01* -X113205090Y-114421753D01* -X113228906Y-114397937D01* -X122521400Y-114397937D01* -X122521400Y-114502063D01* -X122541713Y-114604187D01* -X122581560Y-114700386D01* -X122639409Y-114786963D01* -X122713037Y-114860591D01* -X122799614Y-114918440D01* -X122895813Y-114958287D01* -X122997937Y-114978600D01* -X123102063Y-114978600D01* -X123204187Y-114958287D01* -X123300386Y-114918440D01* -X123386963Y-114860591D01* -X123460591Y-114786963D01* -X123518440Y-114700386D01* -X123558287Y-114604187D01* -X123578600Y-114502063D01* -X123578600Y-114397937D01* -X123558287Y-114295813D01* -X123534377Y-114238088D01* -X125821400Y-114238088D01* -X125821400Y-114361912D01* -X125845556Y-114483356D01* -X125892941Y-114597754D01* -X125961734Y-114700709D01* -X126049291Y-114788266D01* -X126152246Y-114857059D01* -X126266644Y-114904444D01* -X126388088Y-114928600D01* -X126511912Y-114928600D01* -X126633356Y-114904444D01* -X126747754Y-114857059D01* -X126850709Y-114788266D01* -X126938266Y-114700709D01* -X127007059Y-114597754D01* -X127054444Y-114483356D01* -X127078600Y-114361912D01* -X127078600Y-114238088D01* -X127054444Y-114116644D01* -X127007059Y-114002246D01* -X126938266Y-113899291D01* -X126850709Y-113811734D01* -X126747754Y-113742941D01* -X126633356Y-113695556D01* -X126511912Y-113671400D01* -X126388088Y-113671400D01* -X126266644Y-113695556D01* -X126152246Y-113742941D01* -X126049291Y-113811734D01* -X125961734Y-113899291D01* -X125892941Y-114002246D01* -X125845556Y-114116644D01* -X125821400Y-114238088D01* -X123534377Y-114238088D01* -X123518440Y-114199614D01* -X123460591Y-114113037D01* -X123386963Y-114039409D01* -X123300386Y-113981560D01* -X123204187Y-113941713D01* -X123102063Y-113921400D01* -X122997937Y-113921400D01* -X122895813Y-113941713D01* -X122799614Y-113981560D01* -X122713037Y-114039409D01* -X122639409Y-114113037D01* -X122581560Y-114199614D01* -X122541713Y-114295813D01* -X122521400Y-114397937D01* -X113228906Y-114397937D01* -X113271753Y-114355090D01* -X113324130Y-114276702D01* -X113360208Y-114189603D01* -X113378600Y-114097138D01* -X113378600Y-114002862D01* -X113360208Y-113910397D01* -X113324130Y-113823298D01* -X113271753Y-113744910D01* -X113205090Y-113678247D01* -X113126702Y-113625870D01* -X113039603Y-113589792D01* -X112947138Y-113571400D01* -X112852862Y-113571400D01* -X112760397Y-113589792D01* -X112673298Y-113625870D01* -X112594910Y-113678247D01* -X112528247Y-113744910D01* -X112475870Y-113823298D01* -X112439792Y-113910397D01* -X112421400Y-114002862D01* -X87971157Y-114002862D01* -X87939603Y-113989792D01* -X87847138Y-113971400D01* -X87752862Y-113971400D01* -X87660397Y-113989792D01* -X87573298Y-114025870D01* -X87494910Y-114078247D01* -X87428247Y-114144910D01* -X87375870Y-114223298D01* -X87339792Y-114310397D01* -X87321400Y-114402862D01* -X77224762Y-114402862D01* -X77206753Y-114375910D01* -X77140090Y-114309247D01* -X77061702Y-114256870D01* -X76974603Y-114220792D01* -X76882138Y-114202400D01* -X76787862Y-114202400D01* -X76695397Y-114220792D01* -X76608298Y-114256870D01* -X76529910Y-114309247D01* -X76463247Y-114375910D01* -X76410870Y-114454298D01* -X76374792Y-114541397D01* -X76356400Y-114633862D01* -X72233600Y-114633862D01* -X72215208Y-114541397D01* -X72179130Y-114454298D01* -X72126753Y-114375910D01* -X72060090Y-114309247D01* -X71981702Y-114256870D01* -X71894603Y-114220792D01* -X71802138Y-114202400D01* -X71707862Y-114202400D01* -X71615397Y-114220792D01* -X71528298Y-114256870D01* -X71449910Y-114309247D01* -X71383247Y-114375910D01* -X71330870Y-114454298D01* -X71294792Y-114541397D01* -X71276400Y-114633862D01* -X64886967Y-114633862D01* -X64905090Y-114621753D01* -X64971753Y-114555090D01* -X65024130Y-114476702D01* -X65060208Y-114389603D01* -X65078600Y-114297138D01* -X65078600Y-114202862D01* -X65060208Y-114110397D01* -X65024130Y-114023298D01* -X64971753Y-113944910D01* -X64905090Y-113878247D01* -X64826702Y-113825870D01* -X64739603Y-113789792D01* -X64647138Y-113771400D01* -X64552862Y-113771400D01* -X64460397Y-113789792D01* -X64373298Y-113825870D01* -X64294910Y-113878247D01* -X64228247Y-113944910D01* -X64175870Y-114023298D01* -X64139792Y-114110397D01* -X64121400Y-114202862D01* -X56437461Y-114202862D01* -X56435138Y-114202400D01* -X56340862Y-114202400D01* -X56248397Y-114220792D01* -X56161298Y-114256870D01* -X56082910Y-114309247D01* -X56016247Y-114375910D01* -X55963870Y-114454298D01* -X55927792Y-114541397D01* -X55909400Y-114633862D01* -X51913600Y-114633862D01* -X51895208Y-114541397D01* -X51859130Y-114454298D01* -X51806753Y-114375910D01* -X51740090Y-114309247D01* -X51661702Y-114256870D01* -X51574603Y-114220792D01* -X51482138Y-114202400D01* -X51387862Y-114202400D01* -X51295397Y-114220792D01* -X51208298Y-114256870D01* -X51129910Y-114309247D01* -X51063247Y-114375910D01* -X51010870Y-114454298D01* -X50974792Y-114541397D01* -X50956400Y-114633862D01* -X46833600Y-114633862D01* -X46815208Y-114541397D01* -X46779130Y-114454298D01* -X46726753Y-114375910D01* -X46660090Y-114309247D01* -X46581702Y-114256870D01* -X46494603Y-114220792D01* -X46402138Y-114202400D01* -X46307862Y-114202400D01* -X46215397Y-114220792D01* -X46177200Y-114236614D01* -X46177200Y-113702862D01* -X84021400Y-113702862D01* -X84021400Y-113797138D01* -X84039792Y-113889603D01* -X84075870Y-113976702D01* -X84128247Y-114055090D01* -X84194910Y-114121753D01* -X84273298Y-114174130D01* -X84360397Y-114210208D01* -X84452862Y-114228600D01* -X84547138Y-114228600D01* -X84639603Y-114210208D01* -X84726702Y-114174130D01* -X84805090Y-114121753D01* -X84871753Y-114055090D01* -X84924130Y-113976702D01* -X84960208Y-113889603D01* -X84978600Y-113797138D01* -X84978600Y-113702862D01* -X84960208Y-113610397D01* -X84924130Y-113523298D01* -X84871753Y-113444910D01* -X84805090Y-113378247D01* -X84726702Y-113325870D01* -X84639603Y-113289792D01* -X84547138Y-113271400D01* -X84452862Y-113271400D01* -X84360397Y-113289792D01* -X84273298Y-113325870D01* -X84194910Y-113378247D01* -X84128247Y-113444910D01* -X84075870Y-113523298D01* -X84039792Y-113610397D01* -X84021400Y-113702862D01* -X46177200Y-113702862D01* -X46177200Y-112902862D01* -X69271400Y-112902862D01* -X69271400Y-112997138D01* -X69289792Y-113089603D01* -X69325870Y-113176702D01* -X69378247Y-113255090D01* -X69444910Y-113321753D01* -X69523298Y-113374130D01* -X69610397Y-113410208D01* -X69702862Y-113428600D01* -X69797138Y-113428600D01* -X69889603Y-113410208D01* -X69976702Y-113374130D01* -X70055090Y-113321753D01* -X70121753Y-113255090D01* -X70174130Y-113176702D01* -X70210208Y-113089603D01* -X70228600Y-112997138D01* -X70228600Y-112902862D01* -X70218655Y-112852862D01* -X91971400Y-112852862D01* -X91971400Y-112947138D01* -X91989792Y-113039603D01* -X92025870Y-113126702D01* -X92078247Y-113205090D01* -X92144910Y-113271753D01* -X92223298Y-113324130D01* -X92310397Y-113360208D01* -X92402862Y-113378600D01* -X92497138Y-113378600D01* -X92589603Y-113360208D01* -X92676702Y-113324130D01* -X92755090Y-113271753D01* -X92821753Y-113205090D01* -X92874130Y-113126702D01* -X92910208Y-113039603D01* -X92928600Y-112947138D01* -X92928600Y-112852862D01* -X99571400Y-112852862D01* -X99571400Y-112947138D01* -X99589792Y-113039603D01* -X99625870Y-113126702D01* -X99678247Y-113205090D01* -X99744910Y-113271753D01* -X99823298Y-113324130D01* -X99910397Y-113360208D01* -X100002862Y-113378600D01* -X100097138Y-113378600D01* -X100189603Y-113360208D01* -X100276702Y-113324130D01* -X100355090Y-113271753D01* -X100421753Y-113205090D01* -X100474130Y-113126702D01* -X100504715Y-113052862D01* -X104921400Y-113052862D01* -X104921400Y-113147138D01* -X104939792Y-113239603D01* -X104975870Y-113326702D01* -X105028247Y-113405090D01* -X105094910Y-113471753D01* -X105173298Y-113524130D01* -X105260397Y-113560208D01* -X105352862Y-113578600D01* -X105447138Y-113578600D01* -X105539603Y-113560208D01* -X105626702Y-113524130D01* -X105705090Y-113471753D01* -X105771753Y-113405090D01* -X105806650Y-113352862D01* -X108421400Y-113352862D01* -X108421400Y-113447138D01* -X108439792Y-113539603D01* -X108475870Y-113626702D01* -X108528247Y-113705090D01* -X108594910Y-113771753D01* -X108673298Y-113824130D01* -X108760397Y-113860208D01* -X108852862Y-113878600D01* -X108947138Y-113878600D01* -X109039603Y-113860208D01* -X109126702Y-113824130D01* -X109205090Y-113771753D01* -X109271753Y-113705090D01* -X109324130Y-113626702D01* -X109360208Y-113539603D01* -X109378600Y-113447138D01* -X109378600Y-113402862D01* -X111271400Y-113402862D01* -X111271400Y-113497138D01* -X111289792Y-113589603D01* -X111325870Y-113676702D01* -X111378247Y-113755090D01* -X111444910Y-113821753D01* -X111523298Y-113874130D01* -X111610397Y-113910208D01* -X111702862Y-113928600D01* -X111797138Y-113928600D01* -X111889603Y-113910208D01* -X111976702Y-113874130D01* -X112055090Y-113821753D01* -X112121753Y-113755090D01* -X112174130Y-113676702D01* -X112210208Y-113589603D01* -X112228600Y-113497138D01* -X112228600Y-113402862D01* -X113571400Y-113402862D01* -X113571400Y-113497138D01* -X113589792Y-113589603D01* -X113625870Y-113676702D01* -X113678247Y-113755090D01* -X113744910Y-113821753D01* -X113823298Y-113874130D01* -X113910397Y-113910208D01* -X114002862Y-113928600D01* -X114097138Y-113928600D01* -X114189603Y-113910208D01* -X114276702Y-113874130D01* -X114355090Y-113821753D01* -X114421753Y-113755090D01* -X114474130Y-113676702D01* -X114510208Y-113589603D01* -X114528600Y-113497138D01* -X114528600Y-113402862D01* -X118171400Y-113402862D01* -X118171400Y-113497138D01* -X118189792Y-113589603D01* -X118225870Y-113676702D01* -X118278247Y-113755090D01* -X118344910Y-113821753D01* -X118423298Y-113874130D01* -X118510397Y-113910208D01* -X118602862Y-113928600D01* -X118697138Y-113928600D01* -X118789603Y-113910208D01* -X118876702Y-113874130D01* -X118955090Y-113821753D01* -X119021753Y-113755090D01* -X119074130Y-113676702D01* -X119110208Y-113589603D01* -X119128600Y-113497138D01* -X119128600Y-113402862D01* -X119110208Y-113310397D01* -X119074130Y-113223298D01* -X119021753Y-113144910D01* -X118955090Y-113078247D01* -X118876702Y-113025870D01* -X118789603Y-112989792D01* -X118697138Y-112971400D01* -X118602862Y-112971400D01* -X118510397Y-112989792D01* -X118423298Y-113025870D01* -X118344910Y-113078247D01* -X118278247Y-113144910D01* -X118225870Y-113223298D01* -X118189792Y-113310397D01* -X118171400Y-113402862D01* -X114528600Y-113402862D01* -X114510208Y-113310397D01* -X114474130Y-113223298D01* -X114421753Y-113144910D01* -X114355090Y-113078247D01* -X114276702Y-113025870D01* -X114189603Y-112989792D01* -X114097138Y-112971400D01* -X114002862Y-112971400D01* -X113910397Y-112989792D01* -X113823298Y-113025870D01* -X113744910Y-113078247D01* -X113678247Y-113144910D01* -X113625870Y-113223298D01* -X113589792Y-113310397D01* -X113571400Y-113402862D01* -X112228600Y-113402862D01* -X112210208Y-113310397D01* -X112174130Y-113223298D01* -X112121753Y-113144910D01* -X112055090Y-113078247D01* -X111976702Y-113025870D01* -X111889603Y-112989792D01* -X111797138Y-112971400D01* -X111702862Y-112971400D01* -X111610397Y-112989792D01* -X111523298Y-113025870D01* -X111444910Y-113078247D01* -X111378247Y-113144910D01* -X111325870Y-113223298D01* -X111289792Y-113310397D01* -X111271400Y-113402862D01* -X109378600Y-113402862D01* -X109378600Y-113352862D01* -X109360208Y-113260397D01* -X109324130Y-113173298D01* -X109271753Y-113094910D01* -X109205090Y-113028247D01* -X109126702Y-112975870D01* -X109039603Y-112939792D01* -X108947138Y-112921400D01* -X108852862Y-112921400D01* -X108760397Y-112939792D01* -X108673298Y-112975870D01* -X108594910Y-113028247D01* -X108528247Y-113094910D01* -X108475870Y-113173298D01* -X108439792Y-113260397D01* -X108421400Y-113352862D01* -X105806650Y-113352862D01* -X105824130Y-113326702D01* -X105860208Y-113239603D01* -X105878600Y-113147138D01* -X105878600Y-113052862D01* -X105860208Y-112960397D01* -X105824130Y-112873298D01* -X105771753Y-112794910D01* -X105705090Y-112728247D01* -X105626702Y-112675870D01* -X105539603Y-112639792D01* -X105447138Y-112621400D01* -X105352862Y-112621400D01* -X105260397Y-112639792D01* -X105173298Y-112675870D01* -X105094910Y-112728247D01* -X105028247Y-112794910D01* -X104975870Y-112873298D01* -X104939792Y-112960397D01* -X104921400Y-113052862D01* -X100504715Y-113052862D01* -X100510208Y-113039603D01* -X100528600Y-112947138D01* -X100528600Y-112852862D01* -X100510208Y-112760397D01* -X100474130Y-112673298D01* -X100421753Y-112594910D01* -X100355090Y-112528247D01* -X100276702Y-112475870D01* -X100189603Y-112439792D01* -X100097138Y-112421400D01* -X100002862Y-112421400D01* -X99910397Y-112439792D01* -X99823298Y-112475870D01* -X99744910Y-112528247D01* -X99678247Y-112594910D01* -X99625870Y-112673298D01* -X99589792Y-112760397D01* -X99571400Y-112852862D01* -X92928600Y-112852862D01* -X92910208Y-112760397D01* -X92874130Y-112673298D01* -X92821753Y-112594910D01* -X92755090Y-112528247D01* -X92676702Y-112475870D01* -X92589603Y-112439792D01* -X92497138Y-112421400D01* -X92402862Y-112421400D01* -X92310397Y-112439792D01* -X92223298Y-112475870D01* -X92144910Y-112528247D01* -X92078247Y-112594910D01* -X92025870Y-112673298D01* -X91989792Y-112760397D01* -X91971400Y-112852862D01* -X70218655Y-112852862D01* -X70210208Y-112810397D01* -X70174130Y-112723298D01* -X70121753Y-112644910D01* -X70055090Y-112578247D01* -X69976702Y-112525870D01* -X69889603Y-112489792D01* -X69797138Y-112471400D01* -X69702862Y-112471400D01* -X69610397Y-112489792D01* -X69523298Y-112525870D01* -X69444910Y-112578247D01* -X69378247Y-112644910D01* -X69325870Y-112723298D01* -X69289792Y-112810397D01* -X69271400Y-112902862D01* -X46177200Y-112902862D01* -X46177200Y-112093862D01* -X48416400Y-112093862D01* -X48416400Y-112188138D01* -X48434792Y-112280603D01* -X48470870Y-112367702D01* -X48523247Y-112446090D01* -X48589910Y-112512753D01* -X48668298Y-112565130D01* -X48755397Y-112601208D01* -X48847862Y-112619600D01* -X48942138Y-112619600D01* -X49034603Y-112601208D01* -X49121702Y-112565130D01* -X49200090Y-112512753D01* -X49266753Y-112446090D01* -X49319130Y-112367702D01* -X49355208Y-112280603D01* -X49373600Y-112188138D01* -X49373600Y-112093862D01* -X53496400Y-112093862D01* -X53496400Y-112188138D01* -X53514792Y-112280603D01* -X53550870Y-112367702D01* -X53603247Y-112446090D01* -X53669910Y-112512753D01* -X53748298Y-112565130D01* -X53835397Y-112601208D01* -X53927862Y-112619600D01* -X54022138Y-112619600D01* -X54114603Y-112601208D01* -X54201702Y-112565130D01* -X54280090Y-112512753D01* -X54346753Y-112446090D01* -X54399130Y-112367702D01* -X54435208Y-112280603D01* -X54453600Y-112188138D01* -X54453600Y-112093862D01* -X54435208Y-112001397D01* -X54399130Y-111914298D01* -X54346753Y-111835910D01* -X54280090Y-111769247D01* -X54201702Y-111716870D01* -X54114603Y-111680792D01* -X54022138Y-111662400D01* -X53927862Y-111662400D01* -X53835397Y-111680792D01* -X53748298Y-111716870D01* -X53669910Y-111769247D01* -X53603247Y-111835910D01* -X53550870Y-111914298D01* -X53514792Y-112001397D01* -X53496400Y-112093862D01* -X49373600Y-112093862D01* -X49355208Y-112001397D01* -X49319130Y-111914298D01* -X49266753Y-111835910D01* -X49200090Y-111769247D01* -X49121702Y-111716870D01* -X49034603Y-111680792D01* -X48942138Y-111662400D01* -X48847862Y-111662400D01* -X48755397Y-111680792D01* -X48668298Y-111716870D01* -X48589910Y-111769247D01* -X48523247Y-111835910D01* -X48470870Y-111914298D01* -X48434792Y-112001397D01* -X48416400Y-112093862D01* -X46177200Y-112093862D01* -X46177200Y-111552862D01* -X67871400Y-111552862D01* -X67871400Y-111647138D01* -X67889792Y-111739603D01* -X67925870Y-111826702D01* -X67978247Y-111905090D01* -X68044910Y-111971753D01* -X68123298Y-112024130D01* -X68210397Y-112060208D01* -X68302862Y-112078600D01* -X68397138Y-112078600D01* -X68489603Y-112060208D01* -X68507337Y-112052862D01* -X71621400Y-112052862D01* -X71621400Y-112147138D01* -X71639792Y-112239603D01* -X71675870Y-112326702D01* -X71728247Y-112405090D01* -X71794910Y-112471753D01* -X71873298Y-112524130D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72524130Y-112326702D01* -X72560208Y-112239603D01* -X72578600Y-112147138D01* -X72578600Y-112052862D01* -X72560208Y-111960397D01* -X72524130Y-111873298D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72367099Y-111702862D01* -X86921400Y-111702862D01* -X86921400Y-111797138D01* -X86939792Y-111889603D01* -X86975870Y-111976702D01* -X87028247Y-112055090D01* -X87094910Y-112121753D01* -X87173298Y-112174130D01* -X87260397Y-112210208D01* -X87352862Y-112228600D01* -X87447138Y-112228600D01* -X87539603Y-112210208D01* -X87626702Y-112174130D01* -X87705090Y-112121753D01* -X87771753Y-112055090D01* -X87824130Y-111976702D01* -X87836044Y-111947937D01* -X90871400Y-111947937D01* -X90871400Y-112052063D01* -X90891713Y-112154187D01* -X90931560Y-112250386D01* -X90989409Y-112336963D01* -X91063037Y-112410591D01* -X91149614Y-112468440D01* -X91245813Y-112508287D01* -X91347937Y-112528600D01* -X91452063Y-112528600D01* -X91554187Y-112508287D01* -X91650386Y-112468440D01* -X91736963Y-112410591D01* -X91810591Y-112336963D01* -X91868440Y-112250386D01* -X91908287Y-112154187D01* -X91928600Y-112052063D01* -X91928600Y-111947937D01* -X91908287Y-111845813D01* -X91890497Y-111802862D01* -X95671400Y-111802862D01* -X95671400Y-111897138D01* -X95689792Y-111989603D01* -X95725870Y-112076702D01* -X95778247Y-112155090D01* -X95844910Y-112221753D01* -X95923298Y-112274130D01* -X96010397Y-112310208D01* -X96102862Y-112328600D01* -X96197138Y-112328600D01* -X96289603Y-112310208D01* -X96376702Y-112274130D01* -X96455090Y-112221753D01* -X96521753Y-112155090D01* -X96574130Y-112076702D01* -X96610208Y-111989603D01* -X96618495Y-111947937D01* -X97871400Y-111947937D01* -X97871400Y-112052063D01* -X97891713Y-112154187D01* -X97931560Y-112250386D01* -X97989409Y-112336963D01* -X98063037Y-112410591D01* -X98149614Y-112468440D01* -X98245813Y-112508287D01* -X98347937Y-112528600D01* -X98452063Y-112528600D01* -X98554187Y-112508287D01* -X98650386Y-112468440D01* -X98736963Y-112410591D01* -X98810591Y-112336963D01* -X98868440Y-112250386D01* -X98888125Y-112202862D01* -X105871400Y-112202862D01* -X105871400Y-112297138D01* -X105889792Y-112389603D01* -X105925870Y-112476702D01* -X105978247Y-112555090D01* -X106044910Y-112621753D01* -X106123298Y-112674130D01* -X106210397Y-112710208D01* -X106302862Y-112728600D01* -X106397138Y-112728600D01* -X106489603Y-112710208D01* -X106576702Y-112674130D01* -X106655090Y-112621753D01* -X106674375Y-112602468D01* -X114267400Y-112602468D01* -X114267400Y-112697532D01* -X114285946Y-112790769D01* -X114322326Y-112878597D01* -X114375140Y-112957640D01* -X114442360Y-113024860D01* -X114521403Y-113077674D01* -X114609231Y-113114054D01* -X114702468Y-113132600D01* -X114797532Y-113132600D01* -X114890769Y-113114054D01* -X114978597Y-113077674D01* -X115057640Y-113024860D01* -X115124860Y-112957640D01* -X115177674Y-112878597D01* -X115214054Y-112790769D01* -X115232600Y-112697532D01* -X115232600Y-112602468D01* -X122067400Y-112602468D01* -X122067400Y-112697532D01* -X122085946Y-112790769D01* -X122122326Y-112878597D01* -X122175140Y-112957640D01* -X122242360Y-113024860D01* -X122321403Y-113077674D01* -X122409231Y-113114054D01* -X122502468Y-113132600D01* -X122597532Y-113132600D01* -X122690769Y-113114054D01* -X122778597Y-113077674D01* -X122857640Y-113024860D01* -X122879638Y-113002862D01* -X125071400Y-113002862D01* -X125071400Y-113097138D01* -X125089792Y-113189603D01* -X125125870Y-113276702D01* -X125178247Y-113355090D01* -X125244910Y-113421753D01* -X125323298Y-113474130D01* -X125410397Y-113510208D01* -X125502862Y-113528600D01* -X125597138Y-113528600D01* -X125689603Y-113510208D01* -X125776702Y-113474130D01* -X125855090Y-113421753D01* -X125921753Y-113355090D01* -X125974130Y-113276702D01* -X126010208Y-113189603D01* -X126018495Y-113147937D01* -X126821400Y-113147937D01* -X126821400Y-113252063D01* -X126841713Y-113354187D01* -X126881560Y-113450386D01* -X126939409Y-113536963D01* -X127013037Y-113610591D01* -X127099614Y-113668440D01* -X127195813Y-113708287D01* -X127297937Y-113728600D01* -X127402063Y-113728600D01* -X127504187Y-113708287D01* -X127600386Y-113668440D01* -X127676081Y-113617862D01* -X130204400Y-113617862D01* -X130204400Y-113712138D01* -X130222792Y-113804603D01* -X130258870Y-113891702D01* -X130311247Y-113970090D01* -X130377910Y-114036753D01* -X130456298Y-114089130D01* -X130543397Y-114125208D01* -X130635862Y-114143600D01* -X130730138Y-114143600D01* -X130822603Y-114125208D01* -X130909702Y-114089130D01* -X130988090Y-114036753D01* -X131054753Y-113970090D01* -X131107130Y-113891702D01* -X131143208Y-113804603D01* -X131161600Y-113712138D01* -X131161600Y-113617862D01* -X135284400Y-113617862D01* -X135284400Y-113712138D01* -X135302792Y-113804603D01* -X135338870Y-113891702D01* -X135391247Y-113970090D01* -X135457910Y-114036753D01* -X135536298Y-114089130D01* -X135623397Y-114125208D01* -X135715862Y-114143600D01* -X135810138Y-114143600D01* -X135902603Y-114125208D01* -X135989702Y-114089130D01* -X136068090Y-114036753D01* -X136134753Y-113970090D01* -X136187130Y-113891702D01* -X136223208Y-113804603D01* -X136241600Y-113712138D01* -X136241600Y-113617862D01* -X140364400Y-113617862D01* -X140364400Y-113712138D01* -X140382792Y-113804603D01* -X140418870Y-113891702D01* -X140471247Y-113970090D01* -X140537910Y-114036753D01* -X140616298Y-114089130D01* -X140703397Y-114125208D01* -X140795862Y-114143600D01* -X140890138Y-114143600D01* -X140982603Y-114125208D01* -X141069702Y-114089130D01* -X141148090Y-114036753D01* -X141214753Y-113970090D01* -X141267130Y-113891702D01* -X141303208Y-113804603D01* -X141321600Y-113712138D01* -X141321600Y-113617862D01* -X141303208Y-113525397D01* -X141267130Y-113438298D01* -X141214753Y-113359910D01* -X141148090Y-113293247D01* -X141069702Y-113240870D01* -X140982603Y-113204792D01* -X140890138Y-113186400D01* -X140795862Y-113186400D01* -X140703397Y-113204792D01* -X140616298Y-113240870D01* -X140537910Y-113293247D01* -X140471247Y-113359910D01* -X140418870Y-113438298D01* -X140382792Y-113525397D01* -X140364400Y-113617862D01* -X136241600Y-113617862D01* -X136223208Y-113525397D01* -X136187130Y-113438298D01* -X136134753Y-113359910D01* -X136068090Y-113293247D01* -X135989702Y-113240870D01* -X135902603Y-113204792D01* -X135810138Y-113186400D01* -X135715862Y-113186400D01* -X135623397Y-113204792D01* -X135536298Y-113240870D01* -X135457910Y-113293247D01* -X135391247Y-113359910D01* -X135338870Y-113438298D01* -X135302792Y-113525397D01* -X135284400Y-113617862D01* -X131161600Y-113617862D01* -X131143208Y-113525397D01* -X131107130Y-113438298D01* -X131054753Y-113359910D01* -X130988090Y-113293247D01* -X130909702Y-113240870D01* -X130822603Y-113204792D01* -X130730138Y-113186400D01* -X130635862Y-113186400D01* -X130543397Y-113204792D01* -X130456298Y-113240870D01* -X130377910Y-113293247D01* -X130311247Y-113359910D01* -X130258870Y-113438298D01* -X130222792Y-113525397D01* -X130204400Y-113617862D01* -X127676081Y-113617862D01* -X127686963Y-113610591D01* -X127760591Y-113536963D01* -X127818440Y-113450386D01* -X127858287Y-113354187D01* -X127878600Y-113252063D01* -X127878600Y-113147937D01* -X127858287Y-113045813D01* -X127818440Y-112949614D01* -X127760591Y-112863037D01* -X127686963Y-112789409D01* -X127600386Y-112731560D01* -X127504187Y-112691713D01* -X127402063Y-112671400D01* -X127297937Y-112671400D01* -X127195813Y-112691713D01* -X127099614Y-112731560D01* -X127013037Y-112789409D01* -X126939409Y-112863037D01* -X126881560Y-112949614D01* -X126841713Y-113045813D01* -X126821400Y-113147937D01* -X126018495Y-113147937D01* -X126028600Y-113097138D01* -X126028600Y-113002862D01* -X126010208Y-112910397D01* -X125974130Y-112823298D01* -X125921753Y-112744910D01* -X125855090Y-112678247D01* -X125776702Y-112625870D01* -X125689603Y-112589792D01* -X125597138Y-112571400D01* -X125502862Y-112571400D01* -X125410397Y-112589792D01* -X125323298Y-112625870D01* -X125244910Y-112678247D01* -X125178247Y-112744910D01* -X125125870Y-112823298D01* -X125089792Y-112910397D01* -X125071400Y-113002862D01* -X122879638Y-113002862D01* -X122924860Y-112957640D01* -X122977674Y-112878597D01* -X123014054Y-112790769D01* -X123032600Y-112697532D01* -X123032600Y-112602468D01* -X123014054Y-112509231D01* -X122977674Y-112421403D01* -X122924860Y-112342360D01* -X122857640Y-112275140D01* -X122778597Y-112222326D01* -X122690769Y-112185946D01* -X122597532Y-112167400D01* -X122502468Y-112167400D01* -X122409231Y-112185946D01* -X122321403Y-112222326D01* -X122242360Y-112275140D01* -X122175140Y-112342360D01* -X122122326Y-112421403D01* -X122085946Y-112509231D01* -X122067400Y-112602468D01* -X115232600Y-112602468D01* -X115214054Y-112509231D01* -X115177674Y-112421403D01* -X115124860Y-112342360D01* -X115057640Y-112275140D01* -X114978597Y-112222326D01* -X114890769Y-112185946D01* -X114797532Y-112167400D01* -X114702468Y-112167400D01* -X114609231Y-112185946D01* -X114521403Y-112222326D01* -X114442360Y-112275140D01* -X114375140Y-112342360D01* -X114322326Y-112421403D01* -X114285946Y-112509231D01* -X114267400Y-112602468D01* -X106674375Y-112602468D01* -X106721753Y-112555090D01* -X106774130Y-112476702D01* -X106810208Y-112389603D01* -X106828600Y-112297138D01* -X106828600Y-112202862D01* -X106810208Y-112110397D01* -X106774130Y-112023298D01* -X106760476Y-112002862D01* -X108471400Y-112002862D01* -X108471400Y-112097138D01* -X108489792Y-112189603D01* -X108525870Y-112276702D01* -X108578247Y-112355090D01* -X108644910Y-112421753D01* -X108723298Y-112474130D01* -X108810397Y-112510208D01* -X108902862Y-112528600D01* -X108997138Y-112528600D01* -X109089603Y-112510208D01* -X109176702Y-112474130D01* -X109255090Y-112421753D01* -X109321753Y-112355090D01* -X109374130Y-112276702D01* -X109410208Y-112189603D01* -X109428600Y-112097138D01* -X109428600Y-112002862D01* -X109410208Y-111910397D01* -X109374130Y-111823298D01* -X109360476Y-111802862D01* -X111271400Y-111802862D01* -X111271400Y-111897138D01* -X111289792Y-111989603D01* -X111325870Y-112076702D01* -X111378247Y-112155090D01* -X111444910Y-112221753D01* -X111523298Y-112274130D01* -X111610397Y-112310208D01* -X111702862Y-112328600D01* -X111797138Y-112328600D01* -X111889603Y-112310208D01* -X111976702Y-112274130D01* -X112055090Y-112221753D01* -X112121753Y-112155090D01* -X112174130Y-112076702D01* -X112210208Y-111989603D01* -X112228600Y-111897138D01* -X112228600Y-111802862D01* -X113571400Y-111802862D01* -X113571400Y-111897138D01* -X113589792Y-111989603D01* -X113625870Y-112076702D01* -X113678247Y-112155090D01* -X113744910Y-112221753D01* -X113823298Y-112274130D01* -X113910397Y-112310208D01* -X114002862Y-112328600D01* -X114097138Y-112328600D01* -X114189603Y-112310208D01* -X114276702Y-112274130D01* -X114355090Y-112221753D01* -X114421753Y-112155090D01* -X114474130Y-112076702D01* -X114510208Y-111989603D01* -X114528600Y-111897138D01* -X114528600Y-111802862D01* -X118171400Y-111802862D01* -X118171400Y-111897138D01* -X118189792Y-111989603D01* -X118225870Y-112076702D01* -X118278247Y-112155090D01* -X118344910Y-112221753D01* -X118423298Y-112274130D01* -X118510397Y-112310208D01* -X118602862Y-112328600D01* -X118697138Y-112328600D01* -X118789603Y-112310208D01* -X118876702Y-112274130D01* -X118955090Y-112221753D01* -X119021753Y-112155090D01* -X119074130Y-112076702D01* -X119110208Y-111989603D01* -X119128600Y-111897138D01* -X119128600Y-111802862D01* -X119110208Y-111710397D01* -X119074130Y-111623298D01* -X119021753Y-111544910D01* -X118955090Y-111478247D01* -X118876702Y-111425870D01* -X118789603Y-111389792D01* -X118697138Y-111371400D01* -X118602862Y-111371400D01* -X118510397Y-111389792D01* -X118423298Y-111425870D01* -X118344910Y-111478247D01* -X118278247Y-111544910D01* -X118225870Y-111623298D01* -X118189792Y-111710397D01* -X118171400Y-111802862D01* -X114528600Y-111802862D01* -X114510208Y-111710397D01* -X114474130Y-111623298D01* -X114421753Y-111544910D01* -X114355090Y-111478247D01* -X114276702Y-111425870D01* -X114189603Y-111389792D01* -X114097138Y-111371400D01* -X114002862Y-111371400D01* -X113910397Y-111389792D01* -X113823298Y-111425870D01* -X113744910Y-111478247D01* -X113678247Y-111544910D01* -X113625870Y-111623298D01* -X113589792Y-111710397D01* -X113571400Y-111802862D01* -X112228600Y-111802862D01* -X112210208Y-111710397D01* -X112174130Y-111623298D01* -X112121753Y-111544910D01* -X112055090Y-111478247D01* -X111976702Y-111425870D01* -X111889603Y-111389792D01* -X111797138Y-111371400D01* -X111702862Y-111371400D01* -X111610397Y-111389792D01* -X111523298Y-111425870D01* -X111444910Y-111478247D01* -X111378247Y-111544910D01* -X111325870Y-111623298D01* -X111289792Y-111710397D01* -X111271400Y-111802862D01* -X109360476Y-111802862D01* -X109321753Y-111744910D01* -X109255090Y-111678247D01* -X109176702Y-111625870D01* -X109089603Y-111589792D01* -X108997138Y-111571400D01* -X108902862Y-111571400D01* -X108810397Y-111589792D01* -X108723298Y-111625870D01* -X108644910Y-111678247D01* -X108578247Y-111744910D01* -X108525870Y-111823298D01* -X108489792Y-111910397D01* -X108471400Y-112002862D01* -X106760476Y-112002862D01* -X106721753Y-111944910D01* -X106655090Y-111878247D01* -X106576702Y-111825870D01* -X106489603Y-111789792D01* -X106397138Y-111771400D01* -X106302862Y-111771400D01* -X106210397Y-111789792D01* -X106123298Y-111825870D01* -X106044910Y-111878247D01* -X105978247Y-111944910D01* -X105925870Y-112023298D01* -X105889792Y-112110397D01* -X105871400Y-112202862D01* -X98888125Y-112202862D01* -X98908287Y-112154187D01* -X98928600Y-112052063D01* -X98928600Y-111947937D01* -X98908287Y-111845813D01* -X98868440Y-111749614D01* -X98810591Y-111663037D01* -X98736963Y-111589409D01* -X98650386Y-111531560D01* -X98554187Y-111491713D01* -X98452063Y-111471400D01* -X98347937Y-111471400D01* -X98245813Y-111491713D01* -X98149614Y-111531560D01* -X98063037Y-111589409D01* -X97989409Y-111663037D01* -X97931560Y-111749614D01* -X97891713Y-111845813D01* -X97871400Y-111947937D01* -X96618495Y-111947937D01* -X96628600Y-111897138D01* -X96628600Y-111802862D01* -X96610208Y-111710397D01* -X96574130Y-111623298D01* -X96521753Y-111544910D01* -X96455090Y-111478247D01* -X96376702Y-111425870D01* -X96289603Y-111389792D01* -X96197138Y-111371400D01* -X96102862Y-111371400D01* -X96010397Y-111389792D01* -X95923298Y-111425870D01* -X95844910Y-111478247D01* -X95778247Y-111544910D01* -X95725870Y-111623298D01* -X95689792Y-111710397D01* -X95671400Y-111802862D01* -X91890497Y-111802862D01* -X91868440Y-111749614D01* -X91810591Y-111663037D01* -X91736963Y-111589409D01* -X91650386Y-111531560D01* -X91554187Y-111491713D01* -X91452063Y-111471400D01* -X91347937Y-111471400D01* -X91245813Y-111491713D01* -X91149614Y-111531560D01* -X91063037Y-111589409D01* -X90989409Y-111663037D01* -X90931560Y-111749614D01* -X90891713Y-111845813D01* -X90871400Y-111947937D01* -X87836044Y-111947937D01* -X87860208Y-111889603D01* -X87878600Y-111797138D01* -X87878600Y-111702862D01* -X87860208Y-111610397D01* -X87824130Y-111523298D01* -X87771753Y-111444910D01* -X87705090Y-111378247D01* -X87626702Y-111325870D01* -X87539603Y-111289792D01* -X87447138Y-111271400D01* -X87352862Y-111271400D01* -X87260397Y-111289792D01* -X87173298Y-111325870D01* -X87094910Y-111378247D01* -X87028247Y-111444910D01* -X86975870Y-111523298D01* -X86939792Y-111610397D01* -X86921400Y-111702862D01* -X72367099Y-111702862D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71873298Y-111675870D01* -X71794910Y-111728247D01* -X71728247Y-111794910D01* -X71675870Y-111873298D01* -X71639792Y-111960397D01* -X71621400Y-112052862D01* -X68507337Y-112052862D01* -X68576702Y-112024130D01* -X68655090Y-111971753D01* -X68721753Y-111905090D01* -X68774130Y-111826702D01* -X68810208Y-111739603D01* -X68828600Y-111647138D01* -X68828600Y-111552862D01* -X68810208Y-111460397D01* -X68774130Y-111373298D01* -X68721753Y-111294910D01* -X68655090Y-111228247D01* -X68576702Y-111175870D01* -X68489603Y-111139792D01* -X68397138Y-111121400D01* -X68302862Y-111121400D01* -X68210397Y-111139792D01* -X68123298Y-111175870D01* -X68044910Y-111228247D01* -X67978247Y-111294910D01* -X67925870Y-111373298D01* -X67889792Y-111460397D01* -X67871400Y-111552862D01* -X46177200Y-111552862D01* -X46177200Y-110045386D01* -X46215397Y-110061208D01* -X46307862Y-110079600D01* -X46402138Y-110079600D01* -X46494603Y-110061208D01* -X46581702Y-110025130D01* -X46660090Y-109972753D01* -X46726753Y-109906090D01* -X46779130Y-109827702D01* -X46815208Y-109740603D01* -X46833600Y-109648138D01* -X46833600Y-109553862D01* -X50956400Y-109553862D01* -X50956400Y-109648138D01* -X50974792Y-109740603D01* -X51010870Y-109827702D01* -X51063247Y-109906090D01* -X51129910Y-109972753D01* -X51208298Y-110025130D01* -X51295397Y-110061208D01* -X51387862Y-110079600D01* -X51482138Y-110079600D01* -X51574603Y-110061208D01* -X51661702Y-110025130D01* -X51740090Y-109972753D01* -X51806753Y-109906090D01* -X51859130Y-109827702D01* -X51895208Y-109740603D01* -X51913600Y-109648138D01* -X51913600Y-109553862D01* -X51913402Y-109552862D01* -X55921400Y-109552862D01* -X55921400Y-109647138D01* -X55939792Y-109739603D01* -X55975870Y-109826702D01* -X56028247Y-109905090D01* -X56094910Y-109971753D01* -X56173298Y-110024130D01* -X56260397Y-110060208D01* -X56352862Y-110078600D01* -X56447138Y-110078600D01* -X56539603Y-110060208D01* -X56626702Y-110024130D01* -X56658531Y-110002862D01* -X56921400Y-110002862D01* -X56921400Y-110097138D01* -X56939792Y-110189603D01* -X56975870Y-110276702D01* -X57028247Y-110355090D01* -X57094910Y-110421753D01* -X57173298Y-110474130D01* -X57260397Y-110510208D01* -X57352862Y-110528600D01* -X57447138Y-110528600D01* -X57539603Y-110510208D01* -X57626702Y-110474130D01* -X57705090Y-110421753D01* -X57771753Y-110355090D01* -X57824130Y-110276702D01* -X57860208Y-110189603D01* -X57878600Y-110097138D01* -X57878600Y-110002862D01* -X66321400Y-110002862D01* -X66321400Y-110097138D01* -X66339792Y-110189603D01* -X66375870Y-110276702D01* -X66428247Y-110355090D01* -X66494910Y-110421753D01* -X66573298Y-110474130D01* -X66660397Y-110510208D01* -X66752862Y-110528600D01* -X66847138Y-110528600D01* -X66939603Y-110510208D01* -X67026702Y-110474130D01* -X67058531Y-110452862D01* -X68171400Y-110452862D01* -X68171400Y-110547138D01* -X68189792Y-110639603D01* -X68225870Y-110726702D01* -X68278247Y-110805090D01* -X68344910Y-110871753D01* -X68423298Y-110924130D01* -X68510397Y-110960208D01* -X68602862Y-110978600D01* -X68697138Y-110978600D01* -X68789603Y-110960208D01* -X68876702Y-110924130D01* -X68955090Y-110871753D01* -X69021753Y-110805090D01* -X69074130Y-110726702D01* -X69110208Y-110639603D01* -X69117516Y-110602862D01* -X71571400Y-110602862D01* -X71571400Y-110697138D01* -X71589792Y-110789603D01* -X71625870Y-110876702D01* -X71678247Y-110955090D01* -X71744910Y-111021753D01* -X71823298Y-111074130D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72315902Y-111047937D01* -X91871400Y-111047937D01* -X91871400Y-111152063D01* -X91891713Y-111254187D01* -X91931560Y-111350386D01* -X91989409Y-111436963D01* -X92063037Y-111510591D01* -X92149614Y-111568440D01* -X92245813Y-111608287D01* -X92347937Y-111628600D01* -X92452063Y-111628600D01* -X92554187Y-111608287D01* -X92650386Y-111568440D01* -X92736963Y-111510591D01* -X92810591Y-111436963D01* -X92868440Y-111350386D01* -X92908287Y-111254187D01* -X92928600Y-111152063D01* -X92928600Y-111047937D01* -X98871400Y-111047937D01* -X98871400Y-111152063D01* -X98891713Y-111254187D01* -X98931560Y-111350386D01* -X98989409Y-111436963D01* -X99063037Y-111510591D01* -X99149614Y-111568440D01* -X99245813Y-111608287D01* -X99347937Y-111628600D01* -X99452063Y-111628600D01* -X99554187Y-111608287D01* -X99650386Y-111568440D01* -X99736963Y-111510591D01* -X99744692Y-111502862D01* -X100521400Y-111502862D01* -X100521400Y-111597138D01* -X100539792Y-111689603D01* -X100575870Y-111776702D01* -X100628247Y-111855090D01* -X100694910Y-111921753D01* -X100773298Y-111974130D01* -X100860397Y-112010208D01* -X100952862Y-112028600D01* -X101047138Y-112028600D01* -X101139603Y-112010208D01* -X101226702Y-111974130D01* -X101305090Y-111921753D01* -X101371753Y-111855090D01* -X101424130Y-111776702D01* -X101460208Y-111689603D01* -X101478600Y-111597138D01* -X101478600Y-111502862D01* -X101460208Y-111410397D01* -X101436376Y-111352862D01* -X104921400Y-111352862D01* -X104921400Y-111447138D01* -X104939792Y-111539603D01* -X104975870Y-111626702D01* -X105028247Y-111705090D01* -X105094910Y-111771753D01* -X105173298Y-111824130D01* -X105260397Y-111860208D01* -X105352862Y-111878600D01* -X105447138Y-111878600D01* -X105539603Y-111860208D01* -X105626702Y-111824130D01* -X105705090Y-111771753D01* -X105771753Y-111705090D01* -X105824130Y-111626702D01* -X105860208Y-111539603D01* -X105878600Y-111447138D01* -X105878600Y-111352862D01* -X105860208Y-111260397D01* -X105824130Y-111173298D01* -X105777067Y-111102862D01* -X109221400Y-111102862D01* -X109221400Y-111197138D01* -X109239792Y-111289603D01* -X109275870Y-111376702D01* -X109328247Y-111455090D01* -X109394910Y-111521753D01* -X109473298Y-111574130D01* -X109560397Y-111610208D01* -X109652862Y-111628600D01* -X109747138Y-111628600D01* -X109839603Y-111610208D01* -X109926702Y-111574130D01* -X110005090Y-111521753D01* -X110071753Y-111455090D01* -X110124130Y-111376702D01* -X110160208Y-111289603D01* -X110178600Y-111197138D01* -X110178600Y-111102862D01* -X110178522Y-111102468D01* -X114267400Y-111102468D01* -X114267400Y-111197532D01* -X114285946Y-111290769D01* -X114322326Y-111378597D01* -X114375140Y-111457640D01* -X114442360Y-111524860D01* -X114521403Y-111577674D01* -X114609231Y-111614054D01* -X114702468Y-111632600D01* -X114797532Y-111632600D01* -X114890769Y-111614054D01* -X114978597Y-111577674D01* -X115057640Y-111524860D01* -X115124860Y-111457640D01* -X115177674Y-111378597D01* -X115214054Y-111290769D01* -X115232600Y-111197532D01* -X115232600Y-111102468D01* -X115214054Y-111009231D01* -X115177674Y-110921403D01* -X115124860Y-110842360D01* -X115057640Y-110775140D01* -X114978597Y-110722326D01* -X114890769Y-110685946D01* -X114797532Y-110667400D01* -X114702468Y-110667400D01* -X114609231Y-110685946D01* -X114521403Y-110722326D01* -X114442360Y-110775140D01* -X114375140Y-110842360D01* -X114322326Y-110921403D01* -X114285946Y-111009231D01* -X114267400Y-111102468D01* -X110178522Y-111102468D01* -X110160208Y-111010397D01* -X110124130Y-110923298D01* -X110071753Y-110844910D01* -X110005090Y-110778247D01* -X109926702Y-110725870D01* -X109839603Y-110689792D01* -X109747138Y-110671400D01* -X109652862Y-110671400D01* -X109560397Y-110689792D01* -X109473298Y-110725870D01* -X109394910Y-110778247D01* -X109328247Y-110844910D01* -X109275870Y-110923298D01* -X109239792Y-111010397D01* -X109221400Y-111102862D01* -X105777067Y-111102862D01* -X105771753Y-111094910D01* -X105705090Y-111028247D01* -X105626702Y-110975870D01* -X105539603Y-110939792D01* -X105447138Y-110921400D01* -X105352862Y-110921400D01* -X105260397Y-110939792D01* -X105173298Y-110975870D01* -X105094910Y-111028247D01* -X105028247Y-111094910D01* -X104975870Y-111173298D01* -X104939792Y-111260397D01* -X104921400Y-111352862D01* -X101436376Y-111352862D01* -X101424130Y-111323298D01* -X101371753Y-111244910D01* -X101305090Y-111178247D01* -X101226702Y-111125870D01* -X101139603Y-111089792D01* -X101047138Y-111071400D01* -X100952862Y-111071400D01* -X100860397Y-111089792D01* -X100773298Y-111125870D01* -X100694910Y-111178247D01* -X100628247Y-111244910D01* -X100575870Y-111323298D01* -X100539792Y-111410397D01* -X100521400Y-111502862D01* -X99744692Y-111502862D01* -X99810591Y-111436963D01* -X99868440Y-111350386D01* -X99908287Y-111254187D01* -X99928600Y-111152063D01* -X99928600Y-111047937D01* -X99908287Y-110945813D01* -X99868440Y-110849614D01* -X99810591Y-110763037D01* -X99736963Y-110689409D01* -X99650386Y-110631560D01* -X99554187Y-110591713D01* -X99452063Y-110571400D01* -X99347937Y-110571400D01* -X99245813Y-110591713D01* -X99149614Y-110631560D01* -X99063037Y-110689409D01* -X98989409Y-110763037D01* -X98931560Y-110849614D01* -X98891713Y-110945813D01* -X98871400Y-111047937D01* -X92928600Y-111047937D01* -X92908287Y-110945813D01* -X92868440Y-110849614D01* -X92810591Y-110763037D01* -X92736963Y-110689409D01* -X92650386Y-110631560D01* -X92554187Y-110591713D01* -X92452063Y-110571400D01* -X92347937Y-110571400D01* -X92245813Y-110591713D01* -X92149614Y-110631560D01* -X92063037Y-110689409D01* -X91989409Y-110763037D01* -X91931560Y-110849614D01* -X91891713Y-110945813D01* -X91871400Y-111047937D01* -X72315902Y-111047937D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72474130Y-110876702D01* -X72510208Y-110789603D01* -X72528600Y-110697138D01* -X72528600Y-110602862D01* -X72510208Y-110510397D01* -X72474130Y-110423298D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X71910397Y-110189792D01* -X71823298Y-110225870D01* -X71744910Y-110278247D01* -X71678247Y-110344910D01* -X71625870Y-110423298D01* -X71589792Y-110510397D01* -X71571400Y-110602862D01* -X69117516Y-110602862D01* -X69128600Y-110547138D01* -X69128600Y-110452862D01* -X69110208Y-110360397D01* -X69074130Y-110273298D01* -X69021753Y-110194910D01* -X68955090Y-110128247D01* -X68876702Y-110075870D01* -X68789603Y-110039792D01* -X68697138Y-110021400D01* -X68602862Y-110021400D01* -X68510397Y-110039792D01* -X68423298Y-110075870D01* -X68344910Y-110128247D01* -X68278247Y-110194910D01* -X68225870Y-110273298D01* -X68189792Y-110360397D01* -X68171400Y-110452862D01* -X67058531Y-110452862D01* -X67105090Y-110421753D01* -X67171753Y-110355090D01* -X67224130Y-110276702D01* -X67260208Y-110189603D01* -X67278600Y-110097138D01* -X67278600Y-110002862D01* -X67260208Y-109910397D01* -X67224130Y-109823298D01* -X67177067Y-109752862D01* -X85921400Y-109752862D01* -X85921400Y-109847138D01* -X85939792Y-109939603D01* -X85975870Y-110026702D01* -X86028247Y-110105090D01* -X86094910Y-110171753D01* -X86173298Y-110224130D01* -X86260397Y-110260208D01* -X86352862Y-110278600D01* -X86447138Y-110278600D01* -X86539603Y-110260208D01* -X86626702Y-110224130D01* -X86705090Y-110171753D01* -X86723981Y-110152862D01* -X90721400Y-110152862D01* -X90721400Y-110247138D01* -X90739792Y-110339603D01* -X90775870Y-110426702D01* -X90828247Y-110505090D01* -X90894910Y-110571753D01* -X90973298Y-110624130D01* -X91060397Y-110660208D01* -X91152862Y-110678600D01* -X91247138Y-110678600D01* -X91339603Y-110660208D01* -X91426702Y-110624130D01* -X91505090Y-110571753D01* -X91571753Y-110505090D01* -X91624130Y-110426702D01* -X91660208Y-110339603D01* -X91678600Y-110247138D01* -X91678600Y-110152862D01* -X97721400Y-110152862D01* -X97721400Y-110247138D01* -X97739792Y-110339603D01* -X97775870Y-110426702D01* -X97828247Y-110505090D01* -X97894910Y-110571753D01* -X97973298Y-110624130D01* -X98060397Y-110660208D01* -X98152862Y-110678600D01* -X98247138Y-110678600D01* -X98339603Y-110660208D01* -X98426702Y-110624130D01* -X98505090Y-110571753D01* -X98571753Y-110505090D01* -X98624130Y-110426702D01* -X98660208Y-110339603D01* -X98678600Y-110247138D01* -X98678600Y-110152862D01* -X98660208Y-110060397D01* -X98657087Y-110052862D01* -X104771400Y-110052862D01* -X104771400Y-110147138D01* -X104789792Y-110239603D01* -X104825870Y-110326702D01* -X104878247Y-110405090D01* -X104944910Y-110471753D01* -X105023298Y-110524130D01* -X105110397Y-110560208D01* -X105202862Y-110578600D01* -X105297138Y-110578600D01* -X105389603Y-110560208D01* -X105476702Y-110524130D01* -X105555090Y-110471753D01* -X105621753Y-110405090D01* -X105674130Y-110326702D01* -X105710208Y-110239603D01* -X105728600Y-110147138D01* -X105728600Y-110102862D01* -X109221400Y-110102862D01* -X109221400Y-110197138D01* -X109239792Y-110289603D01* -X109275870Y-110376702D01* -X109328247Y-110455090D01* -X109394910Y-110521753D01* -X109473298Y-110574130D01* -X109560397Y-110610208D01* -X109652862Y-110628600D01* -X109747138Y-110628600D01* -X109839603Y-110610208D01* -X109926702Y-110574130D01* -X110005090Y-110521753D01* -X110071753Y-110455090D01* -X110124130Y-110376702D01* -X110160208Y-110289603D01* -X110178600Y-110197138D01* -X110178600Y-110102862D01* -X114271400Y-110102862D01* -X114271400Y-110197138D01* -X114289792Y-110289603D01* -X114325870Y-110376702D01* -X114378247Y-110455090D01* -X114444910Y-110521753D01* -X114523298Y-110574130D01* -X114610397Y-110610208D01* -X114702862Y-110628600D01* -X114797138Y-110628600D01* -X114889603Y-110610208D01* -X114907337Y-110602862D01* -X118171400Y-110602862D01* -X118171400Y-110697138D01* -X118189792Y-110789603D01* -X118225870Y-110876702D01* -X118278247Y-110955090D01* -X118344910Y-111021753D01* -X118423298Y-111074130D01* -X118510397Y-111110208D01* -X118602862Y-111128600D01* -X118697138Y-111128600D01* -X118789603Y-111110208D01* -X118808288Y-111102468D01* -X122067400Y-111102468D01* -X122067400Y-111197532D01* -X122085946Y-111290769D01* -X122122326Y-111378597D01* -X122175140Y-111457640D01* -X122242360Y-111524860D01* -X122321403Y-111577674D01* -X122409231Y-111614054D01* -X122502468Y-111632600D01* -X122597532Y-111632600D01* -X122690769Y-111614054D01* -X122778597Y-111577674D01* -X122857640Y-111524860D01* -X122924860Y-111457640D01* -X122977674Y-111378597D01* -X123014054Y-111290769D01* -X123032600Y-111197532D01* -X123032600Y-111102468D01* -X123014054Y-111009231D01* -X122977674Y-110921403D01* -X122924860Y-110842360D01* -X122857640Y-110775140D01* -X122778597Y-110722326D01* -X122690769Y-110685946D01* -X122597532Y-110667400D01* -X122502468Y-110667400D01* -X122409231Y-110685946D01* -X122321403Y-110722326D01* -X122242360Y-110775140D01* -X122175140Y-110842360D01* -X122122326Y-110921403D01* -X122085946Y-111009231D01* -X122067400Y-111102468D01* -X118808288Y-111102468D01* -X118876702Y-111074130D01* -X118955090Y-111021753D01* -X119021753Y-110955090D01* -X119074130Y-110876702D01* -X119110208Y-110789603D01* -X119128600Y-110697138D01* -X119128600Y-110602862D01* -X119110208Y-110510397D01* -X119074130Y-110423298D01* -X119021753Y-110344910D01* -X118955090Y-110278247D01* -X118876702Y-110225870D01* -X118789603Y-110189792D01* -X118697138Y-110171400D01* -X118602862Y-110171400D01* -X118510397Y-110189792D01* -X118423298Y-110225870D01* -X118344910Y-110278247D01* -X118278247Y-110344910D01* -X118225870Y-110423298D01* -X118189792Y-110510397D01* -X118171400Y-110602862D01* -X114907337Y-110602862D01* -X114976702Y-110574130D01* -X115055090Y-110521753D01* -X115121753Y-110455090D01* -X115174130Y-110376702D01* -X115210208Y-110289603D01* -X115228600Y-110197138D01* -X115228600Y-110102862D01* -X122071400Y-110102862D01* -X122071400Y-110197138D01* -X122089792Y-110289603D01* -X122125870Y-110376702D01* -X122178247Y-110455090D01* -X122244910Y-110521753D01* -X122323298Y-110574130D01* -X122410397Y-110610208D01* -X122502862Y-110628600D01* -X122597138Y-110628600D01* -X122689603Y-110610208D01* -X122776702Y-110574130D01* -X122855090Y-110521753D01* -X122921753Y-110455090D01* -X122974130Y-110376702D01* -X123010208Y-110289603D01* -X123028600Y-110197138D01* -X123028600Y-110102862D01* -X123010208Y-110010397D01* -X122974130Y-109923298D01* -X122921753Y-109844910D01* -X122855090Y-109778247D01* -X122776702Y-109725870D01* -X122689603Y-109689792D01* -X122597138Y-109671400D01* -X122502862Y-109671400D01* -X122410397Y-109689792D01* -X122323298Y-109725870D01* -X122244910Y-109778247D01* -X122178247Y-109844910D01* -X122125870Y-109923298D01* -X122089792Y-110010397D01* -X122071400Y-110102862D01* -X115228600Y-110102862D01* -X115210208Y-110010397D01* -X115174130Y-109923298D01* -X115121753Y-109844910D01* -X115055090Y-109778247D01* -X114976702Y-109725870D01* -X114889603Y-109689792D01* -X114797138Y-109671400D01* -X114702862Y-109671400D01* -X114610397Y-109689792D01* -X114523298Y-109725870D01* -X114444910Y-109778247D01* -X114378247Y-109844910D01* -X114325870Y-109923298D01* -X114289792Y-110010397D01* -X114271400Y-110102862D01* -X110178600Y-110102862D01* -X110160208Y-110010397D01* -X110124130Y-109923298D01* -X110071753Y-109844910D01* -X110005090Y-109778247D01* -X109926702Y-109725870D01* -X109839603Y-109689792D01* -X109747138Y-109671400D01* -X109652862Y-109671400D01* -X109560397Y-109689792D01* -X109473298Y-109725870D01* -X109394910Y-109778247D01* -X109328247Y-109844910D01* -X109275870Y-109923298D01* -X109239792Y-110010397D01* -X109221400Y-110102862D01* -X105728600Y-110102862D01* -X105728600Y-110052862D01* -X105710208Y-109960397D01* -X105674130Y-109873298D01* -X105621753Y-109794910D01* -X105555090Y-109728247D01* -X105476702Y-109675870D01* -X105389603Y-109639792D01* -X105297138Y-109621400D01* -X105202862Y-109621400D01* -X105110397Y-109639792D01* -X105023298Y-109675870D01* -X104944910Y-109728247D01* -X104878247Y-109794910D01* -X104825870Y-109873298D01* -X104789792Y-109960397D01* -X104771400Y-110052862D01* -X98657087Y-110052862D01* -X98624130Y-109973298D01* -X98571753Y-109894910D01* -X98505090Y-109828247D01* -X98426702Y-109775870D01* -X98339603Y-109739792D01* -X98247138Y-109721400D01* -X98152862Y-109721400D01* -X98060397Y-109739792D01* -X97973298Y-109775870D01* -X97894910Y-109828247D01* -X97828247Y-109894910D01* -X97775870Y-109973298D01* -X97739792Y-110060397D01* -X97721400Y-110152862D01* -X91678600Y-110152862D01* -X91660208Y-110060397D01* -X91624130Y-109973298D01* -X91571753Y-109894910D01* -X91505090Y-109828247D01* -X91426702Y-109775870D01* -X91339603Y-109739792D01* -X91247138Y-109721400D01* -X91152862Y-109721400D01* -X91060397Y-109739792D01* -X90973298Y-109775870D01* -X90894910Y-109828247D01* -X90828247Y-109894910D01* -X90775870Y-109973298D01* -X90739792Y-110060397D01* -X90721400Y-110152862D01* -X86723981Y-110152862D01* -X86771753Y-110105090D01* -X86824130Y-110026702D01* -X86860208Y-109939603D01* -X86878600Y-109847138D01* -X86878600Y-109752862D01* -X86860208Y-109660397D01* -X86824130Y-109573298D01* -X86771753Y-109494910D01* -X86705090Y-109428247D01* -X86626702Y-109375870D01* -X86571157Y-109352862D01* -X101771400Y-109352862D01* -X101771400Y-109447138D01* -X101789792Y-109539603D01* -X101825870Y-109626702D01* -X101878247Y-109705090D01* -X101944910Y-109771753D01* -X102023298Y-109824130D01* -X102110397Y-109860208D01* -X102202862Y-109878600D01* -X102297138Y-109878600D01* -X102389603Y-109860208D01* -X102476702Y-109824130D01* -X102555090Y-109771753D01* -X102621753Y-109705090D01* -X102674130Y-109626702D01* -X102710208Y-109539603D01* -X102728600Y-109447138D01* -X102728600Y-109352862D01* -X102710208Y-109260397D01* -X102686376Y-109202862D01* -X108471400Y-109202862D01* -X108471400Y-109297138D01* -X108489792Y-109389603D01* -X108525870Y-109476702D01* -X108578247Y-109555090D01* -X108644910Y-109621753D01* -X108723298Y-109674130D01* -X108810397Y-109710208D01* -X108902862Y-109728600D01* -X108997138Y-109728600D01* -X109089603Y-109710208D01* -X109176702Y-109674130D01* -X109255090Y-109621753D01* -X109321753Y-109555090D01* -X109374130Y-109476702D01* -X109404715Y-109402862D01* -X118171400Y-109402862D01* -X118171400Y-109497138D01* -X118189792Y-109589603D01* -X118225870Y-109676702D01* -X118278247Y-109755090D01* -X118344910Y-109821753D01* -X118423298Y-109874130D01* -X118510397Y-109910208D01* -X118602862Y-109928600D01* -X118697138Y-109928600D01* -X118789603Y-109910208D01* -X118876702Y-109874130D01* -X118955090Y-109821753D01* -X119021753Y-109755090D01* -X119074130Y-109676702D01* -X119110208Y-109589603D01* -X119128600Y-109497138D01* -X119128600Y-109402862D01* -X122771400Y-109402862D01* -X122771400Y-109497138D01* -X122789792Y-109589603D01* -X122825870Y-109676702D01* -X122878247Y-109755090D01* -X122944910Y-109821753D01* -X123023298Y-109874130D01* -X123110397Y-109910208D01* -X123202862Y-109928600D01* -X123297138Y-109928600D01* -X123389603Y-109910208D01* -X123476702Y-109874130D01* -X123555090Y-109821753D01* -X123621753Y-109755090D01* -X123674130Y-109676702D01* -X123710208Y-109589603D01* -X123728600Y-109497138D01* -X123728600Y-109439960D01* -X125840400Y-109439960D01* -X125840400Y-109560040D01* -X125863826Y-109677814D01* -X125909779Y-109788754D01* -X125976492Y-109888598D01* -X126061402Y-109973508D01* -X126161246Y-110040221D01* -X126272186Y-110086174D01* -X126389960Y-110109600D01* -X126510040Y-110109600D01* -X126627814Y-110086174D01* -X126738754Y-110040221D01* -X126838598Y-109973508D01* -X126923508Y-109888598D01* -X126990221Y-109788754D01* -X127036174Y-109677814D01* -X127059600Y-109560040D01* -X127059600Y-109439960D01* -X127036174Y-109322186D01* -X126990221Y-109211246D01* -X126923508Y-109111402D01* -X126838598Y-109026492D01* -X126738754Y-108959779D01* -X126627814Y-108913826D01* -X126510040Y-108890400D01* -X126389960Y-108890400D01* -X126272186Y-108913826D01* -X126161246Y-108959779D01* -X126061402Y-109026492D01* -X125976492Y-109111402D01* -X125909779Y-109211246D01* -X125863826Y-109322186D01* -X125840400Y-109439960D01* -X123728600Y-109439960D01* -X123728600Y-109402862D01* -X123710208Y-109310397D01* -X123674130Y-109223298D01* -X123621753Y-109144910D01* -X123555090Y-109078247D01* -X123476702Y-109025870D01* -X123389603Y-108989792D01* -X123297138Y-108971400D01* -X123202862Y-108971400D01* -X123110397Y-108989792D01* -X123023298Y-109025870D01* -X122944910Y-109078247D01* -X122878247Y-109144910D01* -X122825870Y-109223298D01* -X122789792Y-109310397D01* -X122771400Y-109402862D01* -X119128600Y-109402862D01* -X119110208Y-109310397D01* -X119074130Y-109223298D01* -X119021753Y-109144910D01* -X118955090Y-109078247D01* -X118876702Y-109025870D01* -X118789603Y-108989792D01* -X118697138Y-108971400D01* -X118602862Y-108971400D01* -X118510397Y-108989792D01* -X118423298Y-109025870D01* -X118344910Y-109078247D01* -X118278247Y-109144910D01* -X118225870Y-109223298D01* -X118189792Y-109310397D01* -X118171400Y-109402862D01* -X109404715Y-109402862D01* -X109410208Y-109389603D01* -X109428600Y-109297138D01* -X109428600Y-109202862D01* -X109410208Y-109110397D01* -X109374130Y-109023298D01* -X109321753Y-108944910D01* -X109255090Y-108878247D01* -X109176702Y-108825870D01* -X109089603Y-108789792D01* -X108997138Y-108771400D01* -X108902862Y-108771400D01* -X108810397Y-108789792D01* -X108723298Y-108825870D01* -X108644910Y-108878247D01* -X108578247Y-108944910D01* -X108525870Y-109023298D01* -X108489792Y-109110397D01* -X108471400Y-109202862D01* -X102686376Y-109202862D01* -X102674130Y-109173298D01* -X102621753Y-109094910D01* -X102555090Y-109028247D01* -X102476702Y-108975870D01* -X102389603Y-108939792D01* -X102297138Y-108921400D01* -X102202862Y-108921400D01* -X102110397Y-108939792D01* -X102023298Y-108975870D01* -X101944910Y-109028247D01* -X101878247Y-109094910D01* -X101825870Y-109173298D01* -X101789792Y-109260397D01* -X101771400Y-109352862D01* -X86571157Y-109352862D01* -X86539603Y-109339792D01* -X86447138Y-109321400D01* -X86352862Y-109321400D01* -X86260397Y-109339792D01* -X86173298Y-109375870D01* -X86094910Y-109428247D01* -X86028247Y-109494910D01* -X85975870Y-109573298D01* -X85939792Y-109660397D01* -X85921400Y-109752862D01* -X67177067Y-109752862D01* -X67171753Y-109744910D01* -X67105090Y-109678247D01* -X67026702Y-109625870D01* -X66939603Y-109589792D01* -X66847138Y-109571400D01* -X66752862Y-109571400D01* -X66660397Y-109589792D01* -X66573298Y-109625870D01* -X66494910Y-109678247D01* -X66428247Y-109744910D01* -X66375870Y-109823298D01* -X66339792Y-109910397D01* -X66321400Y-110002862D01* -X57878600Y-110002862D01* -X57860208Y-109910397D01* -X57824130Y-109823298D01* -X57771753Y-109744910D01* -X57705090Y-109678247D01* -X57626702Y-109625870D01* -X57539603Y-109589792D01* -X57447138Y-109571400D01* -X57352862Y-109571400D01* -X57260397Y-109589792D01* -X57173298Y-109625870D01* -X57094910Y-109678247D01* -X57028247Y-109744910D01* -X56975870Y-109823298D01* -X56939792Y-109910397D01* -X56921400Y-110002862D01* -X56658531Y-110002862D01* -X56705090Y-109971753D01* -X56771753Y-109905090D01* -X56824130Y-109826702D01* -X56860208Y-109739603D01* -X56878600Y-109647138D01* -X56878600Y-109552862D01* -X56860208Y-109460397D01* -X56824130Y-109373298D01* -X56771753Y-109294910D01* -X56705090Y-109228247D01* -X56626702Y-109175870D01* -X56539603Y-109139792D01* -X56447138Y-109121400D01* -X56352862Y-109121400D01* -X56260397Y-109139792D01* -X56173298Y-109175870D01* -X56094910Y-109228247D01* -X56028247Y-109294910D01* -X55975870Y-109373298D01* -X55939792Y-109460397D01* -X55921400Y-109552862D01* -X51913402Y-109552862D01* -X51895208Y-109461397D01* -X51859130Y-109374298D01* -X51806753Y-109295910D01* -X51740090Y-109229247D01* -X51661702Y-109176870D01* -X51574603Y-109140792D01* -X51482138Y-109122400D01* -X51387862Y-109122400D01* -X51295397Y-109140792D01* -X51208298Y-109176870D01* -X51129910Y-109229247D01* -X51063247Y-109295910D01* -X51010870Y-109374298D01* -X50974792Y-109461397D01* -X50956400Y-109553862D01* -X46833600Y-109553862D01* -X46815208Y-109461397D01* -X46779130Y-109374298D01* -X46726753Y-109295910D01* -X46660090Y-109229247D01* -X46581702Y-109176870D01* -X46494603Y-109140792D01* -X46402138Y-109122400D01* -X46307862Y-109122400D01* -X46215397Y-109140792D01* -X46177200Y-109156614D01* -X46177200Y-108096428D01* -X60502800Y-108096428D01* -X60502800Y-108311572D01* -X60544772Y-108522583D01* -X60627105Y-108721351D01* -X60746633Y-108900237D01* -X60898763Y-109052367D01* -X61077649Y-109171895D01* -X61276417Y-109254228D01* -X61487428Y-109296200D01* -X61702572Y-109296200D01* -X61913583Y-109254228D01* -X62112351Y-109171895D01* -X62291237Y-109052367D01* -X62443367Y-108900237D01* -X62562895Y-108721351D01* -X62645228Y-108522583D01* -X62687200Y-108311572D01* -X62687200Y-108096428D01* -X62645228Y-107885417D01* -X62562895Y-107686649D01* -X62443367Y-107507763D01* -X62291237Y-107355633D01* -X62268440Y-107340400D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-109052862D01* -X68171400Y-109052862D01* -X68171400Y-109147138D01* -X68189792Y-109239603D01* -X68225870Y-109326702D01* -X68278247Y-109405090D01* -X68344910Y-109471753D01* -X68423298Y-109524130D01* -X68510397Y-109560208D01* -X68602862Y-109578600D01* -X68697138Y-109578600D01* -X68789603Y-109560208D01* -X68876702Y-109524130D01* -X68955090Y-109471753D01* -X69021753Y-109405090D01* -X69074130Y-109326702D01* -X69110208Y-109239603D01* -X69127461Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82152862Y-109678600D01* -X82247138Y-109678600D01* -X82339603Y-109660208D01* -X82426702Y-109624130D01* -X82505090Y-109571753D01* -X82571753Y-109505090D01* -X82624130Y-109426702D01* -X82660208Y-109339603D01* -X82678600Y-109247138D01* -X82678600Y-109152862D01* -X82721400Y-109152862D01* -X82721400Y-109247138D01* -X82739792Y-109339603D01* -X82775870Y-109426702D01* -X82828247Y-109505090D01* -X82894910Y-109571753D01* -X82973298Y-109624130D01* -X83060397Y-109660208D01* -X83152862Y-109678600D01* -X83247138Y-109678600D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83426702Y-108775870D01* -X83339603Y-108739792D01* -X83247138Y-108721400D01* -X83152862Y-108721400D01* -X83060397Y-108739792D01* -X82973298Y-108775870D01* -X82894910Y-108828247D01* -X82828247Y-108894910D01* -X82775870Y-108973298D01* -X82739792Y-109060397D01* -X82721400Y-109152862D01* -X82678600Y-109152862D01* -X82660208Y-109060397D01* -X82624130Y-108973298D01* -X82571753Y-108894910D01* -X82505090Y-108828247D01* -X82426702Y-108775870D01* -X82339603Y-108739792D01* -X82247138Y-108721400D01* -X82152862Y-108721400D01* -X82060397Y-108739792D01* -X81973298Y-108775870D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X69127461Y-109152862D01* -X69128600Y-109147138D01* -X69128600Y-109052862D01* -X69110208Y-108960397D01* -X69074130Y-108873298D01* -X69021753Y-108794910D01* -X68955090Y-108728247D01* -X68876702Y-108675870D01* -X68789603Y-108639792D01* -X68697138Y-108621400D01* -X68602862Y-108621400D01* -X68510397Y-108639792D01* -X68423298Y-108675870D01* -X68344910Y-108728247D01* -X68278247Y-108794910D01* -X68225870Y-108873298D01* -X68189792Y-108960397D01* -X68171400Y-109052862D01* -X65228306Y-109052862D01* -X65228306Y-108602862D01* -X79321400Y-108602862D01* -X79321400Y-108697138D01* -X79339792Y-108789603D01* -X79375870Y-108876702D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79573298Y-109074130D01* -X79660397Y-109110208D01* -X79752862Y-109128600D01* -X79847138Y-109128600D01* -X79939603Y-109110208D01* -X80026702Y-109074130D01* -X80105090Y-109021753D01* -X80171753Y-108955090D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80067099Y-108252862D01* -X82221400Y-108252862D01* -X82221400Y-108347138D01* -X82239792Y-108439603D01* -X82275870Y-108526702D01* -X82328247Y-108605090D01* -X82394910Y-108671753D01* -X82473298Y-108724130D01* -X82560397Y-108760208D01* -X82652862Y-108778600D01* -X82747138Y-108778600D01* -X82839603Y-108760208D01* -X82926702Y-108724130D01* -X83005090Y-108671753D01* -X83071753Y-108605090D01* -X83124130Y-108526702D01* -X83160208Y-108439603D01* -X83177461Y-108352862D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84102862Y-108878600D01* -X84197138Y-108878600D01* -X84289603Y-108860208D01* -X84376702Y-108824130D01* -X84455090Y-108771753D01* -X84521753Y-108705090D01* -X84574130Y-108626702D01* -X84610208Y-108539603D01* -X84617516Y-108502862D01* -X84971400Y-108502862D01* -X84971400Y-108597138D01* -X84989792Y-108689603D01* -X85025870Y-108776702D01* -X85078247Y-108855090D01* -X85144910Y-108921753D01* -X85223298Y-108974130D01* -X85310397Y-109010208D01* -X85402862Y-109028600D01* -X85497138Y-109028600D01* -X85589603Y-109010208D01* -X85676702Y-108974130D01* -X85755090Y-108921753D01* -X85821753Y-108855090D01* -X85874130Y-108776702D01* -X85910208Y-108689603D01* -X85928600Y-108597138D01* -X85928600Y-108502862D01* -X85910208Y-108410397D01* -X85874130Y-108323298D01* -X85821753Y-108244910D01* -X85755090Y-108178247D01* -X85676702Y-108125870D01* -X85589603Y-108089792D01* -X85497138Y-108071400D01* -X85402862Y-108071400D01* -X85310397Y-108089792D01* -X85223298Y-108125870D01* -X85144910Y-108178247D01* -X85078247Y-108244910D01* -X85025870Y-108323298D01* -X84989792Y-108410397D01* -X84971400Y-108502862D01* -X84617516Y-108502862D01* -X84628600Y-108447138D01* -X84628600Y-108352862D01* -X84610208Y-108260397D01* -X84574130Y-108173298D01* -X84521753Y-108094910D01* -X84455090Y-108028247D01* -X84376702Y-107975870D01* -X84289603Y-107939792D01* -X84197138Y-107921400D01* -X84102862Y-107921400D01* -X84010397Y-107939792D01* -X83923298Y-107975870D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83177461Y-108352862D01* -X83178600Y-108347138D01* -X83178600Y-108252862D01* -X83160208Y-108160397D01* -X83124130Y-108073298D01* -X83071753Y-107994910D01* -X83005090Y-107928247D01* -X82926702Y-107875870D01* -X82839603Y-107839792D01* -X82747138Y-107821400D01* -X82652862Y-107821400D01* -X82560397Y-107839792D01* -X82473298Y-107875870D01* -X82394910Y-107928247D01* -X82328247Y-107994910D01* -X82275870Y-108073298D01* -X82239792Y-108160397D01* -X82221400Y-108252862D01* -X80067099Y-108252862D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79375870Y-108423298D01* -X79339792Y-108510397D01* -X79321400Y-108602862D01* -X65228306Y-108602862D01* -X65228306Y-107648862D01* -X65307400Y-107648862D01* -X65307400Y-107743138D01* -X65325792Y-107835603D01* -X65361870Y-107922702D01* -X65414247Y-108001090D01* -X65480910Y-108067753D01* -X65559298Y-108120130D01* -X65646397Y-108156208D01* -X65738862Y-108174600D01* -X65833138Y-108174600D01* -X65925603Y-108156208D01* -X66012702Y-108120130D01* -X66091090Y-108067753D01* -X66157753Y-108001090D01* -X66210130Y-107922702D01* -X66246208Y-107835603D01* -X66264600Y-107743138D01* -X66264600Y-107648862D01* -X66246208Y-107556397D01* -X66210130Y-107469298D01* -X66157753Y-107390910D01* -X66091090Y-107324247D01* -X66012702Y-107271870D01* -X65925603Y-107235792D01* -X65833138Y-107217400D01* -X65738862Y-107217400D01* -X65646397Y-107235792D01* -X65559298Y-107271870D01* -X65480910Y-107324247D01* -X65414247Y-107390910D01* -X65361870Y-107469298D01* -X65325792Y-107556397D01* -X65307400Y-107648862D01* -X65228306Y-107648862D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65189594Y-107212782D01* -X65181453Y-107202862D01* -X77521400Y-107202862D01* -X77521400Y-107297138D01* -X77539792Y-107389603D01* -X77575870Y-107476702D01* -X77628247Y-107555090D01* -X77694910Y-107621753D01* -X77773298Y-107674130D01* -X77860397Y-107710208D01* -X77952862Y-107728600D01* -X78047138Y-107728600D01* -X78139603Y-107710208D01* -X78226702Y-107674130D01* -X78305090Y-107621753D01* -X78323981Y-107602862D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80355090Y-108021753D01* -X80421753Y-107955090D01* -X80474130Y-107876702D01* -X80510208Y-107789603D01* -X80528600Y-107697138D01* -X80528600Y-107602862D01* -X80510208Y-107510397D01* -X80474130Y-107423298D01* -X80427067Y-107352862D01* -X83871400Y-107352862D01* -X83871400Y-107447138D01* -X83889792Y-107539603D01* -X83925870Y-107626702D01* -X83978247Y-107705090D01* -X84044910Y-107771753D01* -X84123298Y-107824130D01* -X84210397Y-107860208D01* -X84302862Y-107878600D01* -X84397138Y-107878600D01* -X84489603Y-107860208D01* -X84507337Y-107852862D01* -X90671400Y-107852862D01* -X90671400Y-107947138D01* -X90689792Y-108039603D01* -X90725870Y-108126702D01* -X90778247Y-108205090D01* -X90844910Y-108271753D01* -X90923298Y-108324130D01* -X91010397Y-108360208D01* -X91102862Y-108378600D01* -X91197138Y-108378600D01* -X91289603Y-108360208D01* -X91376702Y-108324130D01* -X91455090Y-108271753D01* -X91521753Y-108205090D01* -X91574130Y-108126702D01* -X91610208Y-108039603D01* -X91628600Y-107947138D01* -X91628600Y-107852862D01* -X97671400Y-107852862D01* -X97671400Y-107947138D01* -X97689792Y-108039603D01* -X97725870Y-108126702D01* -X97778247Y-108205090D01* -X97844910Y-108271753D01* -X97923298Y-108324130D01* -X98010397Y-108360208D01* -X98102862Y-108378600D01* -X98197138Y-108378600D01* -X98289603Y-108360208D01* -X98376702Y-108324130D01* -X98455090Y-108271753D01* -X98521753Y-108205090D01* -X98574130Y-108126702D01* -X98610208Y-108039603D01* -X98612543Y-108027862D01* -X103521400Y-108027862D01* -X103521400Y-108122138D01* -X103539792Y-108214603D01* -X103575870Y-108301702D01* -X103628247Y-108380090D01* -X103694910Y-108446753D01* -X103773298Y-108499130D01* -X103860397Y-108535208D01* -X103952862Y-108553600D01* -X104047138Y-108553600D01* -X104139603Y-108535208D01* -X104226702Y-108499130D01* -X104305090Y-108446753D01* -X104348981Y-108402862D01* -X107621400Y-108402862D01* -X107621400Y-108497138D01* -X107639792Y-108589603D01* -X107675870Y-108676702D01* -X107728247Y-108755090D01* -X107794910Y-108821753D01* -X107873298Y-108874130D01* -X107960397Y-108910208D01* -X108052862Y-108928600D01* -X108147138Y-108928600D01* -X108239603Y-108910208D01* -X108326702Y-108874130D01* -X108405090Y-108821753D01* -X108471753Y-108755090D01* -X108506650Y-108702862D01* -X114271400Y-108702862D01* -X114271400Y-108797138D01* -X114289792Y-108889603D01* -X114325870Y-108976702D01* -X114378247Y-109055090D01* -X114444910Y-109121753D01* -X114523298Y-109174130D01* -X114610397Y-109210208D01* -X114702862Y-109228600D01* -X114797138Y-109228600D01* -X114889603Y-109210208D01* -X114976702Y-109174130D01* -X115055090Y-109121753D01* -X115121753Y-109055090D01* -X115174130Y-108976702D01* -X115210208Y-108889603D01* -X115228600Y-108797138D01* -X115228600Y-108702862D01* -X115210208Y-108610397D01* -X115174130Y-108523298D01* -X115121753Y-108444910D01* -X115055090Y-108378247D01* -X114976702Y-108325870D01* -X114889603Y-108289792D01* -X114797138Y-108271400D01* -X114702862Y-108271400D01* -X114610397Y-108289792D01* -X114523298Y-108325870D01* -X114444910Y-108378247D01* -X114378247Y-108444910D01* -X114325870Y-108523298D01* -X114289792Y-108610397D01* -X114271400Y-108702862D01* -X108506650Y-108702862D01* -X108524130Y-108676702D01* -X108560208Y-108589603D01* -X108578600Y-108497138D01* -X108578600Y-108402862D01* -X108560208Y-108310397D01* -X108524130Y-108223298D01* -X108471753Y-108144910D01* -X108405090Y-108078247D01* -X108326702Y-108025870D01* -X108239603Y-107989792D01* -X108147138Y-107971400D01* -X108052862Y-107971400D01* -X107960397Y-107989792D01* -X107873298Y-108025870D01* -X107794910Y-108078247D01* -X107728247Y-108144910D01* -X107675870Y-108223298D01* -X107639792Y-108310397D01* -X107621400Y-108402862D01* -X104348981Y-108402862D01* -X104371753Y-108380090D01* -X104424130Y-108301702D01* -X104460208Y-108214603D01* -X104478600Y-108122138D01* -X104478600Y-108027862D01* -X104460208Y-107935397D01* -X104424130Y-107848298D01* -X104371753Y-107769910D01* -X104305090Y-107703247D01* -X104226702Y-107650870D01* -X104139603Y-107614792D01* -X104079626Y-107602862D01* -X108471400Y-107602862D01* -X108471400Y-107697138D01* -X108489792Y-107789603D01* -X108525870Y-107876702D01* -X108578247Y-107955090D01* -X108644910Y-108021753D01* -X108723298Y-108074130D01* -X108810397Y-108110208D01* -X108902862Y-108128600D01* -X108997138Y-108128600D01* -X109089603Y-108110208D01* -X109176702Y-108074130D01* -X109255090Y-108021753D01* -X109321753Y-107955090D01* -X109356650Y-107902862D01* -X109471400Y-107902862D01* -X109471400Y-107997138D01* -X109489792Y-108089603D01* -X109525870Y-108176702D01* -X109578247Y-108255090D01* -X109644910Y-108321753D01* -X109723298Y-108374130D01* -X109810397Y-108410208D01* -X109902862Y-108428600D01* -X109997138Y-108428600D01* -X110089603Y-108410208D01* -X110176702Y-108374130D01* -X110255090Y-108321753D01* -X110321753Y-108255090D01* -X110374130Y-108176702D01* -X110410208Y-108089603D01* -X110428600Y-107997138D01* -X110428600Y-107902862D01* -X110410208Y-107810397D01* -X110374130Y-107723298D01* -X110360476Y-107702862D01* -X114271400Y-107702862D01* -X114271400Y-107797138D01* -X114289792Y-107889603D01* -X114325870Y-107976702D01* -X114378247Y-108055090D01* -X114444910Y-108121753D01* -X114523298Y-108174130D01* -X114610397Y-108210208D01* -X114702862Y-108228600D01* -X114797138Y-108228600D01* -X114889603Y-108210208D01* -X114907337Y-108202862D01* -X118171400Y-108202862D01* -X118171400Y-108297138D01* -X118189792Y-108389603D01* -X118225870Y-108476702D01* -X118278247Y-108555090D01* -X118344910Y-108621753D01* -X118423298Y-108674130D01* -X118510397Y-108710208D01* -X118602862Y-108728600D01* -X118697138Y-108728600D01* -X118789603Y-108710208D01* -X118808288Y-108702468D01* -X122067400Y-108702468D01* -X122067400Y-108797532D01* -X122085946Y-108890769D01* -X122122326Y-108978597D01* -X122175140Y-109057640D01* -X122242360Y-109124860D01* -X122321403Y-109177674D01* -X122409231Y-109214054D01* -X122502468Y-109232600D01* -X122597532Y-109232600D01* -X122690769Y-109214054D01* -X122778597Y-109177674D01* -X122857640Y-109124860D01* -X122924860Y-109057640D01* -X122977674Y-108978597D01* -X123014054Y-108890769D01* -X123032600Y-108797532D01* -X123032600Y-108702468D01* -X123014054Y-108609231D01* -X122977674Y-108521403D01* -X122924860Y-108442360D01* -X122857640Y-108375140D01* -X122778597Y-108322326D01* -X122690769Y-108285946D01* -X122597532Y-108267400D01* -X122502468Y-108267400D01* -X122409231Y-108285946D01* -X122321403Y-108322326D01* -X122242360Y-108375140D01* -X122175140Y-108442360D01* -X122122326Y-108521403D01* -X122085946Y-108609231D01* -X122067400Y-108702468D01* -X118808288Y-108702468D01* -X118876702Y-108674130D01* -X118955090Y-108621753D01* -X119021753Y-108555090D01* -X119074130Y-108476702D01* -X119110208Y-108389603D01* -X119128600Y-108297138D01* -X119128600Y-108238088D01* -X126821400Y-108238088D01* -X126821400Y-108361912D01* -X126845556Y-108483356D01* -X126892941Y-108597754D01* -X126961734Y-108700709D01* -X127049291Y-108788266D01* -X127152246Y-108857059D01* -X127266644Y-108904444D01* -X127388088Y-108928600D01* -X127511912Y-108928600D01* -X127633356Y-108904444D01* -X127747754Y-108857059D01* -X127850709Y-108788266D01* -X127938266Y-108700709D01* -X128007059Y-108597754D01* -X128054444Y-108483356D01* -X128078600Y-108361912D01* -X128078600Y-108238088D01* -X128054444Y-108116644D01* -X128007059Y-108002246D01* -X127938266Y-107899291D01* -X127850709Y-107811734D01* -X127747754Y-107742941D01* -X127633356Y-107695556D01* -X127511912Y-107671400D01* -X127388088Y-107671400D01* -X127266644Y-107695556D01* -X127152246Y-107742941D01* -X127049291Y-107811734D01* -X126961734Y-107899291D01* -X126892941Y-108002246D01* -X126845556Y-108116644D01* -X126821400Y-108238088D01* -X119128600Y-108238088D01* -X119128600Y-108202862D01* -X119110208Y-108110397D01* -X119074130Y-108023298D01* -X119021753Y-107944910D01* -X118955090Y-107878247D01* -X118876702Y-107825870D01* -X118789603Y-107789792D01* -X118697138Y-107771400D01* -X118602862Y-107771400D01* -X118510397Y-107789792D01* -X118423298Y-107825870D01* -X118344910Y-107878247D01* -X118278247Y-107944910D01* -X118225870Y-108023298D01* -X118189792Y-108110397D01* -X118171400Y-108202862D01* -X114907337Y-108202862D01* -X114976702Y-108174130D01* -X115055090Y-108121753D01* -X115121753Y-108055090D01* -X115174130Y-107976702D01* -X115210208Y-107889603D01* -X115228600Y-107797138D01* -X115228600Y-107702862D01* -X122071400Y-107702862D01* -X122071400Y-107797138D01* -X122089792Y-107889603D01* -X122125870Y-107976702D01* -X122178247Y-108055090D01* -X122244910Y-108121753D01* -X122323298Y-108174130D01* -X122410397Y-108210208D01* -X122502862Y-108228600D01* -X122597138Y-108228600D01* -X122689603Y-108210208D01* -X122776702Y-108174130D01* -X122855090Y-108121753D01* -X122921753Y-108055090D01* -X122974130Y-107976702D01* -X123010208Y-107889603D01* -X123028600Y-107797138D01* -X123028600Y-107702862D01* -X123010208Y-107610397D01* -X122974130Y-107523298D01* -X122921753Y-107444910D01* -X122855090Y-107378247D01* -X122776702Y-107325870D01* -X122689603Y-107289792D01* -X122597138Y-107271400D01* -X122502862Y-107271400D01* -X122410397Y-107289792D01* -X122323298Y-107325870D01* -X122244910Y-107378247D01* -X122178247Y-107444910D01* -X122125870Y-107523298D01* -X122089792Y-107610397D01* -X122071400Y-107702862D01* -X115228600Y-107702862D01* -X115210208Y-107610397D01* -X115174130Y-107523298D01* -X115121753Y-107444910D01* -X115055090Y-107378247D01* -X114976702Y-107325870D01* -X114889603Y-107289792D01* -X114797138Y-107271400D01* -X114702862Y-107271400D01* -X114610397Y-107289792D01* -X114523298Y-107325870D01* -X114444910Y-107378247D01* -X114378247Y-107444910D01* -X114325870Y-107523298D01* -X114289792Y-107610397D01* -X114271400Y-107702862D01* -X110360476Y-107702862D01* -X110321753Y-107644910D01* -X110255090Y-107578247D01* -X110176702Y-107525870D01* -X110089603Y-107489792D01* -X109997138Y-107471400D01* -X109902862Y-107471400D01* -X109810397Y-107489792D01* -X109723298Y-107525870D01* -X109644910Y-107578247D01* -X109578247Y-107644910D01* -X109525870Y-107723298D01* -X109489792Y-107810397D01* -X109471400Y-107902862D01* -X109356650Y-107902862D01* -X109374130Y-107876702D01* -X109410208Y-107789603D01* -X109428600Y-107697138D01* -X109428600Y-107602862D01* -X109410208Y-107510397D01* -X109374130Y-107423298D01* -X109321753Y-107344910D01* -X109255090Y-107278247D01* -X109176702Y-107225870D01* -X109089603Y-107189792D01* -X108997138Y-107171400D01* -X108902862Y-107171400D01* -X108810397Y-107189792D01* -X108723298Y-107225870D01* -X108644910Y-107278247D01* -X108578247Y-107344910D01* -X108525870Y-107423298D01* -X108489792Y-107510397D01* -X108471400Y-107602862D01* -X104079626Y-107602862D01* -X104047138Y-107596400D01* -X103952862Y-107596400D01* -X103860397Y-107614792D01* -X103773298Y-107650870D01* -X103694910Y-107703247D01* -X103628247Y-107769910D01* -X103575870Y-107848298D01* -X103539792Y-107935397D01* -X103521400Y-108027862D01* -X98612543Y-108027862D01* -X98628600Y-107947138D01* -X98628600Y-107852862D01* -X98610208Y-107760397D01* -X98574130Y-107673298D01* -X98521753Y-107594910D01* -X98455090Y-107528247D01* -X98376702Y-107475870D01* -X98289603Y-107439792D01* -X98197138Y-107421400D01* -X98102862Y-107421400D01* -X98010397Y-107439792D01* -X97923298Y-107475870D01* -X97844910Y-107528247D01* -X97778247Y-107594910D01* -X97725870Y-107673298D01* -X97689792Y-107760397D01* -X97671400Y-107852862D01* -X91628600Y-107852862D01* -X91610208Y-107760397D01* -X91574130Y-107673298D01* -X91521753Y-107594910D01* -X91455090Y-107528247D01* -X91376702Y-107475870D01* -X91289603Y-107439792D01* -X91197138Y-107421400D01* -X91102862Y-107421400D01* -X91010397Y-107439792D01* -X90923298Y-107475870D01* -X90844910Y-107528247D01* -X90778247Y-107594910D01* -X90725870Y-107673298D01* -X90689792Y-107760397D01* -X90671400Y-107852862D01* -X84507337Y-107852862D01* -X84576702Y-107824130D01* -X84655090Y-107771753D01* -X84721753Y-107705090D01* -X84774130Y-107626702D01* -X84810208Y-107539603D01* -X84828600Y-107447138D01* -X84828600Y-107352862D01* -X84810208Y-107260397D01* -X84774130Y-107173298D01* -X84721753Y-107094910D01* -X84655090Y-107028247D01* -X84576702Y-106975870D01* -X84489603Y-106939792D01* -X84397138Y-106921400D01* -X84302862Y-106921400D01* -X84210397Y-106939792D01* -X84123298Y-106975870D01* -X84044910Y-107028247D01* -X83978247Y-107094910D01* -X83925870Y-107173298D01* -X83889792Y-107260397D01* -X83871400Y-107352862D01* -X80427067Y-107352862D01* -X80421753Y-107344910D01* -X80355090Y-107278247D01* -X80276702Y-107225870D01* -X80189603Y-107189792D01* -X80097138Y-107171400D01* -X80002862Y-107171400D01* -X79910397Y-107189792D01* -X79823298Y-107225870D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X78323981Y-107602862D01* -X78371753Y-107555090D01* -X78424130Y-107476702D01* -X78460208Y-107389603D01* -X78478600Y-107297138D01* -X78478600Y-107202862D01* -X78460208Y-107110397D01* -X78424130Y-107023298D01* -X78371753Y-106944910D01* -X78305090Y-106878247D01* -X78267099Y-106852862D01* -X84771400Y-106852862D01* -X84771400Y-106947138D01* -X84789792Y-107039603D01* -X84825870Y-107126702D01* -X84878247Y-107205090D01* -X84944910Y-107271753D01* -X85023298Y-107324130D01* -X85110397Y-107360208D01* -X85202862Y-107378600D01* -X85297138Y-107378600D01* -X85389603Y-107360208D01* -X85476702Y-107324130D01* -X85555090Y-107271753D01* -X85621753Y-107205090D01* -X85674130Y-107126702D01* -X85710208Y-107039603D01* -X85728600Y-106947138D01* -X85728600Y-106852862D01* -X85710208Y-106760397D01* -X85674130Y-106673298D01* -X85621753Y-106594910D01* -X85555090Y-106528247D01* -X85476702Y-106475870D01* -X85389603Y-106439792D01* -X85297138Y-106421400D01* -X85202862Y-106421400D01* -X85110397Y-106439792D01* -X85023298Y-106475870D01* -X84944910Y-106528247D01* -X84878247Y-106594910D01* -X84825870Y-106673298D01* -X84789792Y-106760397D01* -X84771400Y-106852862D01* -X78267099Y-106852862D01* -X78226702Y-106825870D01* -X78139603Y-106789792D01* -X78047138Y-106771400D01* -X77952862Y-106771400D01* -X77860397Y-106789792D01* -X77773298Y-106825870D01* -X77694910Y-106878247D01* -X77628247Y-106944910D01* -X77575870Y-107023298D01* -X77539792Y-107110397D01* -X77521400Y-107202862D01* -X65181453Y-107202862D01* -X65161027Y-107177973D01* -X65126218Y-107149406D01* -X65086505Y-107128179D01* -X65043413Y-107115108D01* -X64998600Y-107110694D01* -X63271400Y-107110694D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X62268440Y-107340400D01* -X62112351Y-107236105D01* -X61913583Y-107153772D01* -X61702572Y-107111800D01* -X61487428Y-107111800D01* -X61276417Y-107153772D01* -X61077649Y-107236105D01* -X60898763Y-107355633D01* -X60746633Y-107507763D01* -X60627105Y-107686649D01* -X60544772Y-107885417D01* -X60502800Y-108096428D01* -X46177200Y-108096428D01* -X46177200Y-107013862D01* -X48416400Y-107013862D01* -X48416400Y-107108138D01* -X48434792Y-107200603D01* -X48470870Y-107287702D01* -X48523247Y-107366090D01* -X48589910Y-107432753D01* -X48668298Y-107485130D01* -X48755397Y-107521208D01* -X48847862Y-107539600D01* -X48942138Y-107539600D01* -X49034603Y-107521208D01* -X49121702Y-107485130D01* -X49200090Y-107432753D01* -X49266753Y-107366090D01* -X49319130Y-107287702D01* -X49355208Y-107200603D01* -X49373600Y-107108138D01* -X49373600Y-107013862D01* -X53496400Y-107013862D01* -X53496400Y-107108138D01* -X53514792Y-107200603D01* -X53550870Y-107287702D01* -X53603247Y-107366090D01* -X53669910Y-107432753D01* -X53748298Y-107485130D01* -X53835397Y-107521208D01* -X53927862Y-107539600D01* -X54022138Y-107539600D01* -X54114603Y-107521208D01* -X54201702Y-107485130D01* -X54280090Y-107432753D01* -X54346753Y-107366090D01* -X54399130Y-107287702D01* -X54435208Y-107200603D01* -X54453600Y-107108138D01* -X54453600Y-107013862D01* -X54435208Y-106921397D01* -X54399130Y-106834298D01* -X54378126Y-106802862D01* -X59721400Y-106802862D01* -X59721400Y-106897138D01* -X59739792Y-106989603D01* -X59775870Y-107076702D01* -X59828247Y-107155090D01* -X59894910Y-107221753D01* -X59973298Y-107274130D01* -X60060397Y-107310208D01* -X60152862Y-107328600D01* -X60247138Y-107328600D01* -X60339603Y-107310208D01* -X60426702Y-107274130D01* -X60505090Y-107221753D01* -X60571753Y-107155090D01* -X60624130Y-107076702D01* -X60660208Y-106989603D01* -X60678600Y-106897138D01* -X60678600Y-106802862D01* -X60660208Y-106710397D01* -X60624130Y-106623298D01* -X60571753Y-106544910D01* -X60505090Y-106478247D01* -X60426702Y-106425870D01* -X60339603Y-106389792D01* -X60247138Y-106371400D01* -X60152862Y-106371400D01* -X60060397Y-106389792D01* -X59973298Y-106425870D01* -X59894910Y-106478247D01* -X59828247Y-106544910D01* -X59775870Y-106623298D01* -X59739792Y-106710397D01* -X59721400Y-106802862D01* -X54378126Y-106802862D01* -X54346753Y-106755910D01* -X54280090Y-106689247D01* -X54201702Y-106636870D01* -X54114603Y-106600792D01* -X54022138Y-106582400D01* -X53927862Y-106582400D01* -X53835397Y-106600792D01* -X53748298Y-106636870D01* -X53669910Y-106689247D01* -X53603247Y-106755910D01* -X53550870Y-106834298D01* -X53514792Y-106921397D01* -X53496400Y-107013862D01* -X49373600Y-107013862D01* -X49355208Y-106921397D01* -X49319130Y-106834298D01* -X49266753Y-106755910D01* -X49200090Y-106689247D01* -X49121702Y-106636870D01* -X49034603Y-106600792D01* -X48942138Y-106582400D01* -X48847862Y-106582400D01* -X48755397Y-106600792D01* -X48668298Y-106636870D01* -X48589910Y-106689247D01* -X48523247Y-106755910D01* -X48470870Y-106834298D01* -X48434792Y-106921397D01* -X48416400Y-107013862D01* -X46177200Y-107013862D01* -X46177200Y-105907359D01* -X60530257Y-105907359D01* -X60574492Y-106053195D01* -X60670029Y-106244808D01* -X60801112Y-106414101D01* -X60962704Y-106554568D01* -X61148595Y-106660811D01* -X61351641Y-106728747D01* -X61521200Y-106696558D01* -X61521200Y-105737800D01* -X61668800Y-105737800D01* -X61668800Y-106696558D01* -X61838359Y-106728747D01* -X62041405Y-106660811D01* -X62227296Y-106554568D01* -X62388888Y-106414101D01* -X62519971Y-106244808D01* -X62615508Y-106053195D01* -X62659743Y-105907359D01* -X62627416Y-105737800D01* -X61668800Y-105737800D01* -X61521200Y-105737800D01* -X60562584Y-105737800D01* -X60530257Y-105907359D01* -X46177200Y-105907359D01* -X46177200Y-105420641D01* -X60530257Y-105420641D01* -X60562584Y-105590200D01* -X61521200Y-105590200D01* -X61521200Y-104631442D01* -X61668800Y-104631442D01* -X61668800Y-105590200D01* -X62627416Y-105590200D01* -X62633854Y-105556428D01* -X63042800Y-105556428D01* -X63042800Y-105771572D01* -X63084772Y-105982583D01* -X63167105Y-106181351D01* -X63286633Y-106360237D01* -X63438763Y-106512367D01* -X63617649Y-106631895D01* -X63816417Y-106714228D01* -X64027428Y-106756200D01* -X64242572Y-106756200D01* -X64453583Y-106714228D01* -X64652351Y-106631895D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65055780Y-106251862D01* -X65307400Y-106251862D01* -X65307400Y-106346138D01* -X65325792Y-106438603D01* -X65361870Y-106525702D01* -X65414247Y-106604090D01* -X65480910Y-106670753D01* -X65559298Y-106723130D01* -X65646397Y-106759208D01* -X65738862Y-106777600D01* -X65833138Y-106777600D01* -X65925603Y-106759208D01* -X66012702Y-106723130D01* -X66091090Y-106670753D01* -X66157753Y-106604090D01* -X66210130Y-106525702D01* -X66246208Y-106438603D01* -X66264600Y-106346138D01* -X66264600Y-106251862D01* -X66246208Y-106159397D01* -X66210130Y-106072298D01* -X66157753Y-105993910D01* -X66091090Y-105927247D01* -X66054596Y-105902862D01* -X77721400Y-105902862D01* -X77721400Y-105997138D01* -X77739792Y-106089603D01* -X77775870Y-106176702D01* -X77828247Y-106255090D01* -X77894910Y-106321753D01* -X77973298Y-106374130D01* -X78060397Y-106410208D01* -X78152862Y-106428600D01* -X78247138Y-106428600D01* -X78339603Y-106410208D01* -X78426702Y-106374130D01* -X78505090Y-106321753D01* -X78571753Y-106255090D01* -X78624130Y-106176702D01* -X78660208Y-106089603D01* -X78678600Y-105997138D01* -X78678600Y-105902862D01* -X78668655Y-105852862D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84878247Y-106205090D01* -X84944910Y-106271753D01* -X85023298Y-106324130D01* -X85110397Y-106360208D01* -X85202862Y-106378600D01* -X85297138Y-106378600D01* -X85389603Y-106360208D01* -X85407337Y-106352862D01* -X87321400Y-106352862D01* -X87321400Y-106447138D01* -X87339792Y-106539603D01* -X87375870Y-106626702D01* -X87428247Y-106705090D01* -X87494910Y-106771753D01* -X87573298Y-106824130D01* -X87660397Y-106860208D01* -X87752862Y-106878600D01* -X87847138Y-106878600D01* -X87939603Y-106860208D01* -X87957337Y-106852862D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89823298Y-107324130D01* -X89910397Y-107360208D01* -X90002862Y-107378600D01* -X90097138Y-107378600D01* -X90189603Y-107360208D01* -X90276702Y-107324130D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90474130Y-107126702D01* -X90510208Y-107039603D01* -X90528600Y-106947138D01* -X90528600Y-106902862D01* -X97321400Y-106902862D01* -X97321400Y-106997138D01* -X97339792Y-107089603D01* -X97375870Y-107176702D01* -X97428247Y-107255090D01* -X97494910Y-107321753D01* -X97573298Y-107374130D01* -X97660397Y-107410208D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97939603Y-107410208D01* -X98026702Y-107374130D01* -X98105090Y-107321753D01* -X98123981Y-107302862D01* -X99271400Y-107302862D01* -X99271400Y-107397138D01* -X99289792Y-107489603D01* -X99325870Y-107576702D01* -X99378247Y-107655090D01* -X99444910Y-107721753D01* -X99523298Y-107774130D01* -X99610397Y-107810208D01* -X99702862Y-107828600D01* -X99797138Y-107828600D01* -X99889603Y-107810208D01* -X99976702Y-107774130D01* -X100055090Y-107721753D01* -X100121753Y-107655090D01* -X100174130Y-107576702D01* -X100210208Y-107489603D01* -X100228600Y-107397138D01* -X100228600Y-107302862D01* -X100210208Y-107210397D01* -X100174130Y-107123298D01* -X100121753Y-107044910D01* -X100055090Y-106978247D01* -X99976702Y-106925870D01* -X99889603Y-106889792D01* -X99797138Y-106871400D01* -X99702862Y-106871400D01* -X99610397Y-106889792D01* -X99523298Y-106925870D01* -X99444910Y-106978247D01* -X99378247Y-107044910D01* -X99325870Y-107123298D01* -X99289792Y-107210397D01* -X99271400Y-107302862D01* -X98123981Y-107302862D01* -X98171753Y-107255090D01* -X98224130Y-107176702D01* -X98260208Y-107089603D01* -X98278600Y-106997138D01* -X98278600Y-106902862D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98210476Y-106702862D01* -X109221400Y-106702862D01* -X109221400Y-106797138D01* -X109239792Y-106889603D01* -X109275870Y-106976702D01* -X109328247Y-107055090D01* -X109394910Y-107121753D01* -X109473298Y-107174130D01* -X109560397Y-107210208D01* -X109652862Y-107228600D01* -X109747138Y-107228600D01* -X109839603Y-107210208D01* -X109926702Y-107174130D01* -X110005090Y-107121753D01* -X110071753Y-107055090D01* -X110124130Y-106976702D01* -X110140124Y-106938088D01* -X110221400Y-106938088D01* -X110221400Y-107061912D01* -X110245556Y-107183356D01* -X110292941Y-107297754D01* -X110361734Y-107400709D01* -X110449291Y-107488266D01* -X110552246Y-107557059D01* -X110666644Y-107604444D01* -X110788088Y-107628600D01* -X110911912Y-107628600D01* -X111033356Y-107604444D01* -X111147754Y-107557059D01* -X111250709Y-107488266D01* -X111338266Y-107400709D01* -X111407059Y-107297754D01* -X111454444Y-107183356D01* -X111478600Y-107061912D01* -X111478600Y-107002862D01* -X113571400Y-107002862D01* -X113571400Y-107097138D01* -X113589792Y-107189603D01* -X113625870Y-107276702D01* -X113678247Y-107355090D01* -X113744910Y-107421753D01* -X113823298Y-107474130D01* -X113910397Y-107510208D01* -X114002862Y-107528600D01* -X114097138Y-107528600D01* -X114189603Y-107510208D01* -X114276702Y-107474130D01* -X114355090Y-107421753D01* -X114421753Y-107355090D01* -X114474130Y-107276702D01* -X114510208Y-107189603D01* -X114528600Y-107097138D01* -X114528600Y-107002862D01* -X118171400Y-107002862D01* -X118171400Y-107097138D01* -X118189792Y-107189603D01* -X118225870Y-107276702D01* -X118278247Y-107355090D01* -X118344910Y-107421753D01* -X118423298Y-107474130D01* -X118510397Y-107510208D01* -X118602862Y-107528600D01* -X118697138Y-107528600D01* -X118789603Y-107510208D01* -X118876702Y-107474130D01* -X118955090Y-107421753D01* -X119021753Y-107355090D01* -X119074130Y-107276702D01* -X119110208Y-107189603D01* -X119128600Y-107097138D01* -X119128600Y-107002862D01* -X119110208Y-106910397D01* -X119074130Y-106823298D01* -X119021753Y-106744910D01* -X118955090Y-106678247D01* -X118876702Y-106625870D01* -X118789603Y-106589792D01* -X118697138Y-106571400D01* -X118602862Y-106571400D01* -X118510397Y-106589792D01* -X118423298Y-106625870D01* -X118344910Y-106678247D01* -X118278247Y-106744910D01* -X118225870Y-106823298D01* -X118189792Y-106910397D01* -X118171400Y-107002862D01* -X114528600Y-107002862D01* -X114510208Y-106910397D01* -X114474130Y-106823298D01* -X114421753Y-106744910D01* -X114355090Y-106678247D01* -X114276702Y-106625870D01* -X114189603Y-106589792D01* -X114097138Y-106571400D01* -X114002862Y-106571400D01* -X113910397Y-106589792D01* -X113823298Y-106625870D01* -X113744910Y-106678247D01* -X113678247Y-106744910D01* -X113625870Y-106823298D01* -X113589792Y-106910397D01* -X113571400Y-107002862D01* -X111478600Y-107002862D01* -X111478600Y-106938088D01* -X111454444Y-106816644D01* -X111407059Y-106702246D01* -X111338266Y-106599291D01* -X111250709Y-106511734D01* -X111147754Y-106442941D01* -X111033356Y-106395556D01* -X110911912Y-106371400D01* -X110788088Y-106371400D01* -X110666644Y-106395556D01* -X110552246Y-106442941D01* -X110449291Y-106511734D01* -X110361734Y-106599291D01* -X110292941Y-106702246D01* -X110245556Y-106816644D01* -X110221400Y-106938088D01* -X110140124Y-106938088D01* -X110160208Y-106889603D01* -X110178600Y-106797138D01* -X110178600Y-106702862D01* -X110160208Y-106610397D01* -X110124130Y-106523298D01* -X110071753Y-106444910D01* -X110005090Y-106378247D01* -X109926702Y-106325870D01* -X109871157Y-106302862D01* -X114271400Y-106302862D01* -X114271400Y-106397138D01* -X114289792Y-106489603D01* -X114325870Y-106576702D01* -X114378247Y-106655090D01* -X114444910Y-106721753D01* -X114523298Y-106774130D01* -X114610397Y-106810208D01* -X114702862Y-106828600D01* -X114797138Y-106828600D01* -X114889603Y-106810208D01* -X114976702Y-106774130D01* -X115055090Y-106721753D01* -X115121753Y-106655090D01* -X115174130Y-106576702D01* -X115210208Y-106489603D01* -X115228600Y-106397138D01* -X115228600Y-106302862D01* -X115210208Y-106210397D01* -X115174130Y-106123298D01* -X115121753Y-106044910D01* -X115055090Y-105978247D01* -X114976702Y-105925870D01* -X114889603Y-105889792D01* -X114797138Y-105871400D01* -X114702862Y-105871400D01* -X114610397Y-105889792D01* -X114523298Y-105925870D01* -X114444910Y-105978247D01* -X114378247Y-106044910D01* -X114325870Y-106123298D01* -X114289792Y-106210397D01* -X114271400Y-106302862D01* -X109871157Y-106302862D01* -X109839603Y-106289792D01* -X109747138Y-106271400D01* -X109652862Y-106271400D01* -X109560397Y-106289792D01* -X109473298Y-106325870D01* -X109394910Y-106378247D01* -X109328247Y-106444910D01* -X109275870Y-106523298D01* -X109239792Y-106610397D01* -X109221400Y-106702862D01* -X98210476Y-106702862D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97321400Y-106902862D01* -X90528600Y-106902862D01* -X90528600Y-106852862D01* -X90510208Y-106760397D01* -X90474130Y-106673298D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X87957337Y-106852862D01* -X88026702Y-106824130D01* -X88105090Y-106771753D01* -X88171753Y-106705090D01* -X88224130Y-106626702D01* -X88260208Y-106539603D01* -X88278600Y-106447138D01* -X88278600Y-106352862D01* -X88260208Y-106260397D01* -X88224130Y-106173298D01* -X88171753Y-106094910D01* -X88105090Y-106028247D01* -X88026702Y-105975870D01* -X87939603Y-105939792D01* -X87847138Y-105921400D01* -X87752862Y-105921400D01* -X87660397Y-105939792D01* -X87573298Y-105975870D01* -X87494910Y-106028247D01* -X87428247Y-106094910D01* -X87375870Y-106173298D01* -X87339792Y-106260397D01* -X87321400Y-106352862D01* -X85407337Y-106352862D01* -X85476702Y-106324130D01* -X85555090Y-106271753D01* -X85621753Y-106205090D01* -X85674130Y-106126702D01* -X85710208Y-106039603D01* -X85728600Y-105947138D01* -X85728600Y-105852862D01* -X85718655Y-105802862D01* -X103271400Y-105802862D01* -X103271400Y-105897138D01* -X103289792Y-105989603D01* -X103325870Y-106076702D01* -X103378247Y-106155090D01* -X103444910Y-106221753D01* -X103523298Y-106274130D01* -X103610397Y-106310208D01* -X103702862Y-106328600D01* -X103797138Y-106328600D01* -X103889603Y-106310208D01* -X103976702Y-106274130D01* -X104055090Y-106221753D01* -X104121753Y-106155090D01* -X104174130Y-106076702D01* -X104210208Y-105989603D01* -X104228600Y-105897138D01* -X104228600Y-105802862D01* -X104210208Y-105710397D01* -X104174130Y-105623298D01* -X104121753Y-105544910D01* -X104055090Y-105478247D01* -X103976702Y-105425870D01* -X103889603Y-105389792D01* -X103797138Y-105371400D01* -X103702862Y-105371400D01* -X103610397Y-105389792D01* -X103523298Y-105425870D01* -X103444910Y-105478247D01* -X103378247Y-105544910D01* -X103325870Y-105623298D01* -X103289792Y-105710397D01* -X103271400Y-105802862D01* -X85718655Y-105802862D01* -X85710208Y-105760397D01* -X85674130Y-105673298D01* -X85621753Y-105594910D01* -X85555090Y-105528247D01* -X85476702Y-105475870D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X78668655Y-105852862D01* -X78660208Y-105810397D01* -X78624130Y-105723298D01* -X78571753Y-105644910D01* -X78505090Y-105578247D01* -X78426702Y-105525870D01* -X78339603Y-105489792D01* -X78247138Y-105471400D01* -X78152862Y-105471400D01* -X78060397Y-105489792D01* -X77973298Y-105525870D01* -X77894910Y-105578247D01* -X77828247Y-105644910D01* -X77775870Y-105723298D01* -X77739792Y-105810397D01* -X77721400Y-105902862D01* -X66054596Y-105902862D01* -X66012702Y-105874870D01* -X65925603Y-105838792D01* -X65833138Y-105820400D01* -X65738862Y-105820400D01* -X65646397Y-105838792D01* -X65559298Y-105874870D01* -X65480910Y-105927247D01* -X65414247Y-105993910D01* -X65361870Y-106072298D01* -X65325792Y-106159397D01* -X65307400Y-106251862D01* -X65055780Y-106251862D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65227200Y-105771572D01* -X65227200Y-105556428D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64547954Y-104652862D01* -X75871400Y-104652862D01* -X75871400Y-104747138D01* -X75889792Y-104839603D01* -X75925870Y-104926702D01* -X75978247Y-105005090D01* -X76044910Y-105071753D01* -X76123298Y-105124130D01* -X76210397Y-105160208D01* -X76302862Y-105178600D01* -X76397138Y-105178600D01* -X76489603Y-105160208D01* -X76576702Y-105124130D01* -X76655090Y-105071753D01* -X76721753Y-105005090D01* -X76774130Y-104926702D01* -X76810208Y-104839603D01* -X76828600Y-104747138D01* -X76828600Y-104652862D01* -X76818655Y-104602862D01* -X84671400Y-104602862D01* -X84671400Y-104697138D01* -X84689792Y-104789603D01* -X84725870Y-104876702D01* -X84778247Y-104955090D01* -X84844910Y-105021753D01* -X84923298Y-105074130D01* -X85010397Y-105110208D01* -X85102862Y-105128600D01* -X85197138Y-105128600D01* -X85289603Y-105110208D01* -X85307337Y-105102862D01* -X102396400Y-105102862D01* -X102396400Y-105197138D01* -X102414792Y-105289603D01* -X102450870Y-105376702D01* -X102503247Y-105455090D01* -X102569910Y-105521753D01* -X102648298Y-105574130D01* -X102735397Y-105610208D01* -X102827862Y-105628600D01* -X102922138Y-105628600D01* -X103014603Y-105610208D01* -X103101702Y-105574130D01* -X103180090Y-105521753D01* -X103246753Y-105455090D01* -X103299130Y-105376702D01* -X103335208Y-105289603D01* -X103353600Y-105197138D01* -X103353600Y-105102862D01* -X103335208Y-105010397D01* -X103330047Y-104997937D01* -X104121400Y-104997937D01* -X104121400Y-105102063D01* -X104141713Y-105204187D01* -X104181560Y-105300386D01* -X104239409Y-105386963D01* -X104313037Y-105460591D01* -X104399614Y-105518440D01* -X104495813Y-105558287D01* -X104597937Y-105578600D01* -X104702063Y-105578600D01* -X104804187Y-105558287D01* -X104900386Y-105518440D01* -X104923700Y-105502862D01* -X106021400Y-105502862D01* -X106021400Y-105597138D01* -X106039792Y-105689603D01* -X106075870Y-105776702D01* -X106128247Y-105855090D01* -X106194910Y-105921753D01* -X106273298Y-105974130D01* -X106360397Y-106010208D01* -X106452862Y-106028600D01* -X106547138Y-106028600D01* -X106639603Y-106010208D01* -X106726702Y-105974130D01* -X106805090Y-105921753D01* -X106871753Y-105855090D01* -X106924130Y-105776702D01* -X106954715Y-105702862D01* -X109221400Y-105702862D01* -X109221400Y-105797138D01* -X109239792Y-105889603D01* -X109275870Y-105976702D01* -X109328247Y-106055090D01* -X109394910Y-106121753D01* -X109473298Y-106174130D01* -X109560397Y-106210208D01* -X109652862Y-106228600D01* -X109747138Y-106228600D01* -X109839603Y-106210208D01* -X109926702Y-106174130D01* -X110005090Y-106121753D01* -X110071753Y-106055090D01* -X110124130Y-105976702D01* -X110160208Y-105889603D01* -X110178600Y-105797138D01* -X110178600Y-105702862D01* -X110160208Y-105610397D01* -X110124130Y-105523298D01* -X110071753Y-105444910D01* -X110005090Y-105378247D01* -X109926702Y-105325870D01* -X109871157Y-105302862D01* -X114271400Y-105302862D01* -X114271400Y-105397138D01* -X114289792Y-105489603D01* -X114325870Y-105576702D01* -X114378247Y-105655090D01* -X114444910Y-105721753D01* -X114523298Y-105774130D01* -X114610397Y-105810208D01* -X114702862Y-105828600D01* -X114797138Y-105828600D01* -X114889603Y-105810208D01* -X114907337Y-105802862D01* -X118171400Y-105802862D01* -X118171400Y-105897138D01* -X118189792Y-105989603D01* -X118225870Y-106076702D01* -X118278247Y-106155090D01* -X118344910Y-106221753D01* -X118423298Y-106274130D01* -X118510397Y-106310208D01* -X118602862Y-106328600D01* -X118697138Y-106328600D01* -X118789603Y-106310208D01* -X118808288Y-106302468D01* -X122067400Y-106302468D01* -X122067400Y-106397532D01* -X122085946Y-106490769D01* -X122122326Y-106578597D01* -X122175140Y-106657640D01* -X122242360Y-106724860D01* -X122321403Y-106777674D01* -X122409231Y-106814054D01* -X122502468Y-106832600D01* -X122597532Y-106832600D01* -X122690769Y-106814054D01* -X122778597Y-106777674D01* -X122857640Y-106724860D01* -X122924860Y-106657640D01* -X122977674Y-106578597D01* -X123014054Y-106490769D01* -X123032600Y-106397532D01* -X123032600Y-106302468D01* -X123014054Y-106209231D01* -X122977674Y-106121403D01* -X122924860Y-106042360D01* -X122880362Y-105997862D01* -X142904400Y-105997862D01* -X142904400Y-106092138D01* -X142922792Y-106184603D01* -X142958870Y-106271702D01* -X143011247Y-106350090D01* -X143077910Y-106416753D01* -X143156298Y-106469130D01* -X143243397Y-106505208D01* -X143335862Y-106523600D01* -X143430138Y-106523600D01* -X143522603Y-106505208D01* -X143609702Y-106469130D01* -X143688090Y-106416753D01* -X143754753Y-106350090D01* -X143807130Y-106271702D01* -X143843208Y-106184603D01* -X143861600Y-106092138D01* -X143861600Y-105997862D01* -X143843208Y-105905397D01* -X143807130Y-105818298D01* -X143754753Y-105739910D01* -X143688090Y-105673247D01* -X143609702Y-105620870D01* -X143522603Y-105584792D01* -X143430138Y-105566400D01* -X143335862Y-105566400D01* -X143243397Y-105584792D01* -X143156298Y-105620870D01* -X143077910Y-105673247D01* -X143011247Y-105739910D01* -X142958870Y-105818298D01* -X142922792Y-105905397D01* -X142904400Y-105997862D01* -X122880362Y-105997862D01* -X122857640Y-105975140D01* -X122778597Y-105922326D01* -X122690769Y-105885946D01* -X122597532Y-105867400D01* -X122502468Y-105867400D01* -X122409231Y-105885946D01* -X122321403Y-105922326D01* -X122242360Y-105975140D01* -X122175140Y-106042360D01* -X122122326Y-106121403D01* -X122085946Y-106209231D01* -X122067400Y-106302468D01* -X118808288Y-106302468D01* -X118876702Y-106274130D01* -X118955090Y-106221753D01* -X119021753Y-106155090D01* -X119074130Y-106076702D01* -X119110208Y-105989603D01* -X119128600Y-105897138D01* -X119128600Y-105802862D01* -X119110208Y-105710397D01* -X119074130Y-105623298D01* -X119021753Y-105544910D01* -X118955090Y-105478247D01* -X118876702Y-105425870D01* -X118789603Y-105389792D01* -X118697138Y-105371400D01* -X118602862Y-105371400D01* -X118510397Y-105389792D01* -X118423298Y-105425870D01* -X118344910Y-105478247D01* -X118278247Y-105544910D01* -X118225870Y-105623298D01* -X118189792Y-105710397D01* -X118171400Y-105802862D01* -X114907337Y-105802862D01* -X114976702Y-105774130D01* -X115055090Y-105721753D01* -X115121753Y-105655090D01* -X115174130Y-105576702D01* -X115210208Y-105489603D01* -X115228600Y-105397138D01* -X115228600Y-105302862D01* -X122071400Y-105302862D01* -X122071400Y-105397138D01* -X122089792Y-105489603D01* -X122125870Y-105576702D01* -X122178247Y-105655090D01* -X122244910Y-105721753D01* -X122323298Y-105774130D01* -X122410397Y-105810208D01* -X122502862Y-105828600D01* -X122597138Y-105828600D01* -X122689603Y-105810208D01* -X122776702Y-105774130D01* -X122855090Y-105721753D01* -X122921753Y-105655090D01* -X122974130Y-105576702D01* -X123010208Y-105489603D01* -X123028600Y-105397138D01* -X123028600Y-105347937D01* -X125921400Y-105347937D01* -X125921400Y-105452063D01* -X125941713Y-105554187D01* -X125981560Y-105650386D01* -X126039409Y-105736963D01* -X126113037Y-105810591D01* -X126199614Y-105868440D01* -X126295813Y-105908287D01* -X126397937Y-105928600D01* -X126502063Y-105928600D01* -X126604187Y-105908287D01* -X126700386Y-105868440D01* -X126786963Y-105810591D01* -X126860591Y-105736963D01* -X126918440Y-105650386D01* -X126958287Y-105554187D01* -X126978600Y-105452063D01* -X126978600Y-105347937D01* -X126958287Y-105245813D01* -X126918440Y-105149614D01* -X126860591Y-105063037D01* -X126786963Y-104989409D01* -X126700386Y-104931560D01* -X126604187Y-104891713D01* -X126502063Y-104871400D01* -X126397937Y-104871400D01* -X126295813Y-104891713D01* -X126199614Y-104931560D01* -X126113037Y-104989409D01* -X126039409Y-105063037D01* -X125981560Y-105149614D01* -X125941713Y-105245813D01* -X125921400Y-105347937D01* -X123028600Y-105347937D01* -X123028600Y-105302862D01* -X123010208Y-105210397D01* -X122974130Y-105123298D01* -X122921753Y-105044910D01* -X122855090Y-104978247D01* -X122776702Y-104925870D01* -X122689603Y-104889792D01* -X122597138Y-104871400D01* -X122502862Y-104871400D01* -X122410397Y-104889792D01* -X122323298Y-104925870D01* -X122244910Y-104978247D01* -X122178247Y-105044910D01* -X122125870Y-105123298D01* -X122089792Y-105210397D01* -X122071400Y-105302862D01* -X115228600Y-105302862D01* -X115210208Y-105210397D01* -X115174130Y-105123298D01* -X115121753Y-105044910D01* -X115055090Y-104978247D01* -X114976702Y-104925870D01* -X114889603Y-104889792D01* -X114797138Y-104871400D01* -X114702862Y-104871400D01* -X114610397Y-104889792D01* -X114523298Y-104925870D01* -X114444910Y-104978247D01* -X114378247Y-105044910D01* -X114325870Y-105123298D01* -X114289792Y-105210397D01* -X114271400Y-105302862D01* -X109871157Y-105302862D01* -X109839603Y-105289792D01* -X109747138Y-105271400D01* -X109652862Y-105271400D01* -X109560397Y-105289792D01* -X109473298Y-105325870D01* -X109394910Y-105378247D01* -X109328247Y-105444910D01* -X109275870Y-105523298D01* -X109239792Y-105610397D01* -X109221400Y-105702862D01* -X106954715Y-105702862D01* -X106960208Y-105689603D01* -X106978600Y-105597138D01* -X106978600Y-105502862D01* -X106960208Y-105410397D01* -X106924130Y-105323298D01* -X106871753Y-105244910D01* -X106805090Y-105178247D01* -X106726702Y-105125870D01* -X106639603Y-105089792D01* -X106547138Y-105071400D01* -X106452862Y-105071400D01* -X106360397Y-105089792D01* -X106273298Y-105125870D01* -X106194910Y-105178247D01* -X106128247Y-105244910D01* -X106075870Y-105323298D01* -X106039792Y-105410397D01* -X106021400Y-105502862D01* -X104923700Y-105502862D01* -X104986963Y-105460591D01* -X105060591Y-105386963D01* -X105118440Y-105300386D01* -X105158287Y-105204187D01* -X105178600Y-105102063D01* -X105178600Y-104997937D01* -X105158287Y-104895813D01* -X105118440Y-104799614D01* -X105060591Y-104713037D01* -X104986963Y-104639409D01* -X104900386Y-104581560D01* -X104804187Y-104541713D01* -X104702063Y-104521400D01* -X104597937Y-104521400D01* -X104495813Y-104541713D01* -X104399614Y-104581560D01* -X104313037Y-104639409D01* -X104239409Y-104713037D01* -X104181560Y-104799614D01* -X104141713Y-104895813D01* -X104121400Y-104997937D01* -X103330047Y-104997937D01* -X103299130Y-104923298D01* -X103246753Y-104844910D01* -X103180090Y-104778247D01* -X103101702Y-104725870D01* -X103014603Y-104689792D01* -X102922138Y-104671400D01* -X102827862Y-104671400D01* -X102735397Y-104689792D01* -X102648298Y-104725870D01* -X102569910Y-104778247D01* -X102503247Y-104844910D01* -X102450870Y-104923298D01* -X102414792Y-105010397D01* -X102396400Y-105102862D01* -X85307337Y-105102862D01* -X85376702Y-105074130D01* -X85455090Y-105021753D01* -X85521753Y-104955090D01* -X85574130Y-104876702D01* -X85610208Y-104789603D01* -X85628600Y-104697138D01* -X85628600Y-104602862D01* -X85610208Y-104510397D01* -X85574130Y-104423298D01* -X85521753Y-104344910D01* -X85455090Y-104278247D01* -X85376702Y-104225870D01* -X85289603Y-104189792D01* -X85197138Y-104171400D01* -X85102862Y-104171400D01* -X85010397Y-104189792D01* -X84923298Y-104225870D01* -X84844910Y-104278247D01* -X84778247Y-104344910D01* -X84725870Y-104423298D01* -X84689792Y-104510397D01* -X84671400Y-104602862D01* -X76818655Y-104602862D01* -X76810208Y-104560397D01* -X76774130Y-104473298D01* -X76721753Y-104394910D01* -X76655090Y-104328247D01* -X76576702Y-104275870D01* -X76489603Y-104239792D01* -X76397138Y-104221400D01* -X76302862Y-104221400D01* -X76210397Y-104239792D01* -X76123298Y-104275870D01* -X76044910Y-104328247D01* -X75978247Y-104394910D01* -X75925870Y-104473298D01* -X75889792Y-104560397D01* -X75871400Y-104652862D01* -X64547954Y-104652862D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63167105Y-105146649D01* -X63084772Y-105345417D01* -X63042800Y-105556428D01* -X62633854Y-105556428D01* -X62659743Y-105420641D01* -X62615508Y-105274805D01* -X62519971Y-105083192D01* -X62388888Y-104913899D01* -X62227296Y-104773432D01* -X62041405Y-104667189D01* -X61838359Y-104599253D01* -X61668800Y-104631442D01* -X61521200Y-104631442D01* -X61351641Y-104599253D01* -X61148595Y-104667189D01* -X60962704Y-104773432D01* -X60801112Y-104913899D01* -X60670029Y-105083192D01* -X60574492Y-105274805D01* -X60530257Y-105420641D01* -X46177200Y-105420641D01* -X46177200Y-104965386D01* -X46215397Y-104981208D01* -X46307862Y-104999600D01* -X46402138Y-104999600D01* -X46494603Y-104981208D01* -X46581702Y-104945130D01* -X46660090Y-104892753D01* -X46726753Y-104826090D01* -X46779130Y-104747702D01* -X46815208Y-104660603D01* -X46833600Y-104568138D01* -X46833600Y-104473862D01* -X50956400Y-104473862D01* -X50956400Y-104568138D01* -X50974792Y-104660603D01* -X51010870Y-104747702D01* -X51063247Y-104826090D01* -X51129910Y-104892753D01* -X51208298Y-104945130D01* -X51295397Y-104981208D01* -X51387862Y-104999600D01* -X51482138Y-104999600D01* -X51574603Y-104981208D01* -X51661702Y-104945130D01* -X51740090Y-104892753D01* -X51806753Y-104826090D01* -X51859130Y-104747702D01* -X51895208Y-104660603D01* -X51913600Y-104568138D01* -X51913600Y-104473862D01* -X55921400Y-104473862D01* -X55921400Y-104568138D01* -X55939792Y-104660603D01* -X55975870Y-104747702D01* -X56028247Y-104826090D01* -X56094910Y-104892753D01* -X56173298Y-104945130D01* -X56260397Y-104981208D01* -X56352862Y-104999600D01* -X56447138Y-104999600D01* -X56539603Y-104981208D01* -X56626702Y-104945130D01* -X56705090Y-104892753D01* -X56771753Y-104826090D01* -X56824130Y-104747702D01* -X56860208Y-104660603D01* -X56878600Y-104568138D01* -X56878600Y-104473862D01* -X56860208Y-104381397D01* -X56824130Y-104294298D01* -X56771753Y-104215910D01* -X56705090Y-104149247D01* -X56626702Y-104096870D01* -X56539603Y-104060792D01* -X56447138Y-104042400D01* -X56352862Y-104042400D01* -X56260397Y-104060792D01* -X56173298Y-104096870D01* -X56094910Y-104149247D01* -X56028247Y-104215910D01* -X55975870Y-104294298D01* -X55939792Y-104381397D01* -X55921400Y-104473862D01* -X51913600Y-104473862D01* -X51895208Y-104381397D01* -X51859130Y-104294298D01* -X51806753Y-104215910D01* -X51740090Y-104149247D01* -X51661702Y-104096870D01* -X51574603Y-104060792D01* -X51482138Y-104042400D01* -X51387862Y-104042400D01* -X51295397Y-104060792D01* -X51208298Y-104096870D01* -X51129910Y-104149247D01* -X51063247Y-104215910D01* -X51010870Y-104294298D01* -X50974792Y-104381397D01* -X50956400Y-104473862D01* -X46833600Y-104473862D01* -X46815208Y-104381397D01* -X46779130Y-104294298D01* -X46726753Y-104215910D01* -X46660090Y-104149247D01* -X46581702Y-104096870D01* -X46494603Y-104060792D01* -X46402138Y-104042400D01* -X46307862Y-104042400D01* -X46215397Y-104060792D01* -X46177200Y-104076614D01* -X46177200Y-103016428D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61276417Y-104174228D01* -X61487428Y-104216200D01* -X61702572Y-104216200D01* -X61913583Y-104174228D01* -X62112351Y-104091895D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63286633Y-103820237D01* -X63438763Y-103972367D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64710767Y-104052862D01* -X88971400Y-104052862D01* -X88971400Y-104147138D01* -X88989792Y-104239603D01* -X89025870Y-104326702D01* -X89078247Y-104405090D01* -X89144910Y-104471753D01* -X89223298Y-104524130D01* -X89310397Y-104560208D01* -X89402862Y-104578600D01* -X89497138Y-104578600D01* -X89589603Y-104560208D01* -X89676702Y-104524130D01* -X89755090Y-104471753D01* -X89821753Y-104405090D01* -X89874130Y-104326702D01* -X89910208Y-104239603D01* -X89928600Y-104147138D01* -X89928600Y-104052862D01* -X89910208Y-103960397D01* -X89907087Y-103952862D01* -X99121400Y-103952862D01* -X99121400Y-104047138D01* -X99139792Y-104139603D01* -X99175870Y-104226702D01* -X99228247Y-104305090D01* -X99294910Y-104371753D01* -X99373298Y-104424130D01* -X99460397Y-104460208D01* -X99552862Y-104478600D01* -X99647138Y-104478600D01* -X99739603Y-104460208D01* -X99757337Y-104452862D01* -X100021400Y-104452862D01* -X100021400Y-104547138D01* -X100039792Y-104639603D01* -X100075870Y-104726702D01* -X100128247Y-104805090D01* -X100194910Y-104871753D01* -X100273298Y-104924130D01* -X100360397Y-104960208D01* -X100452862Y-104978600D01* -X100547138Y-104978600D01* -X100639603Y-104960208D01* -X100726702Y-104924130D01* -X100805090Y-104871753D01* -X100871753Y-104805090D01* -X100924130Y-104726702D01* -X100960208Y-104639603D01* -X100978600Y-104547138D01* -X100978600Y-104452862D01* -X100960208Y-104360397D01* -X100936376Y-104302862D01* -X107571400Y-104302862D01* -X107571400Y-104397138D01* -X107589792Y-104489603D01* -X107625870Y-104576702D01* -X107678247Y-104655090D01* -X107744910Y-104721753D01* -X107823298Y-104774130D01* -X107910397Y-104810208D01* -X108002862Y-104828600D01* -X108097138Y-104828600D01* -X108189603Y-104810208D01* -X108207337Y-104802862D01* -X108471400Y-104802862D01* -X108471400Y-104897138D01* -X108489792Y-104989603D01* -X108525870Y-105076702D01* -X108578247Y-105155090D01* -X108644910Y-105221753D01* -X108723298Y-105274130D01* -X108810397Y-105310208D01* -X108902862Y-105328600D01* -X108997138Y-105328600D01* -X109089603Y-105310208D01* -X109176702Y-105274130D01* -X109255090Y-105221753D01* -X109321753Y-105155090D01* -X109374130Y-105076702D01* -X109410208Y-104989603D01* -X109428600Y-104897138D01* -X109428600Y-104802862D01* -X109410208Y-104710397D01* -X109374130Y-104623298D01* -X109360476Y-104602862D01* -X118171400Y-104602862D01* -X118171400Y-104697138D01* -X118189792Y-104789603D01* -X118225870Y-104876702D01* -X118278247Y-104955090D01* -X118344910Y-105021753D01* -X118423298Y-105074130D01* -X118510397Y-105110208D01* -X118602862Y-105128600D01* -X118697138Y-105128600D01* -X118789603Y-105110208D01* -X118876702Y-105074130D01* -X118955090Y-105021753D01* -X119021753Y-104955090D01* -X119074130Y-104876702D01* -X119110208Y-104789603D01* -X119128600Y-104697138D01* -X119128600Y-104602862D01* -X122771400Y-104602862D01* -X122771400Y-104697138D01* -X122789792Y-104789603D01* -X122825870Y-104876702D01* -X122878247Y-104955090D01* -X122944910Y-105021753D01* -X123023298Y-105074130D01* -X123110397Y-105110208D01* -X123202862Y-105128600D01* -X123297138Y-105128600D01* -X123389603Y-105110208D01* -X123476702Y-105074130D01* -X123555090Y-105021753D01* -X123621753Y-104955090D01* -X123674130Y-104876702D01* -X123710208Y-104789603D01* -X123728600Y-104697138D01* -X123728600Y-104602862D01* -X125071400Y-104602862D01* -X125071400Y-104697138D01* -X125089792Y-104789603D01* -X125125870Y-104876702D01* -X125178247Y-104955090D01* -X125244910Y-105021753D01* -X125323298Y-105074130D01* -X125410397Y-105110208D01* -X125502862Y-105128600D01* -X125597138Y-105128600D01* -X125689603Y-105110208D01* -X125776702Y-105074130D01* -X125855090Y-105021753D01* -X125921753Y-104955090D01* -X125974130Y-104876702D01* -X126010208Y-104789603D01* -X126028600Y-104697138D01* -X126028600Y-104602862D01* -X126010208Y-104510397D01* -X125974130Y-104423298D01* -X125921753Y-104344910D01* -X125855090Y-104278247D01* -X125797790Y-104239960D01* -X126840400Y-104239960D01* -X126840400Y-104360040D01* -X126863826Y-104477814D01* -X126909779Y-104588754D01* -X126976492Y-104688598D01* -X127061402Y-104773508D01* -X127161246Y-104840221D01* -X127272186Y-104886174D01* -X127389960Y-104909600D01* -X127510040Y-104909600D01* -X127627814Y-104886174D01* -X127738754Y-104840221D01* -X127838598Y-104773508D01* -X127923508Y-104688598D01* -X127990221Y-104588754D01* -X128036174Y-104477814D01* -X128059600Y-104360040D01* -X128059600Y-104239960D01* -X128036174Y-104122186D01* -X127990221Y-104011246D01* -X127923508Y-103911402D01* -X127838598Y-103826492D01* -X127738754Y-103759779D01* -X127627814Y-103713826D01* -X127510040Y-103690400D01* -X127389960Y-103690400D01* -X127272186Y-103713826D01* -X127161246Y-103759779D01* -X127061402Y-103826492D01* -X126976492Y-103911402D01* -X126909779Y-104011246D01* -X126863826Y-104122186D01* -X126840400Y-104239960D01* -X125797790Y-104239960D01* -X125776702Y-104225870D01* -X125689603Y-104189792D01* -X125597138Y-104171400D01* -X125502862Y-104171400D01* -X125410397Y-104189792D01* -X125323298Y-104225870D01* -X125244910Y-104278247D01* -X125178247Y-104344910D01* -X125125870Y-104423298D01* -X125089792Y-104510397D01* -X125071400Y-104602862D01* -X123728600Y-104602862D01* -X123710208Y-104510397D01* -X123674130Y-104423298D01* -X123621753Y-104344910D01* -X123555090Y-104278247D01* -X123476702Y-104225870D01* -X123389603Y-104189792D01* -X123297138Y-104171400D01* -X123202862Y-104171400D01* -X123110397Y-104189792D01* -X123023298Y-104225870D01* -X122944910Y-104278247D01* -X122878247Y-104344910D01* -X122825870Y-104423298D01* -X122789792Y-104510397D01* -X122771400Y-104602862D01* -X119128600Y-104602862D01* -X119110208Y-104510397D01* -X119074130Y-104423298D01* -X119021753Y-104344910D01* -X118955090Y-104278247D01* -X118876702Y-104225870D01* -X118789603Y-104189792D01* -X118697138Y-104171400D01* -X118602862Y-104171400D01* -X118510397Y-104189792D01* -X118423298Y-104225870D01* -X118344910Y-104278247D01* -X118278247Y-104344910D01* -X118225870Y-104423298D01* -X118189792Y-104510397D01* -X118171400Y-104602862D01* -X109360476Y-104602862D01* -X109321753Y-104544910D01* -X109255090Y-104478247D01* -X109176702Y-104425870D01* -X109089603Y-104389792D01* -X108997138Y-104371400D01* -X108902862Y-104371400D01* -X108810397Y-104389792D01* -X108723298Y-104425870D01* -X108644910Y-104478247D01* -X108578247Y-104544910D01* -X108525870Y-104623298D01* -X108489792Y-104710397D01* -X108471400Y-104802862D01* -X108207337Y-104802862D01* -X108276702Y-104774130D01* -X108355090Y-104721753D01* -X108421753Y-104655090D01* -X108474130Y-104576702D01* -X108510208Y-104489603D01* -X108528600Y-104397138D01* -X108528600Y-104302862D01* -X108510208Y-104210397D01* -X108474130Y-104123298D01* -X108421753Y-104044910D01* -X108355090Y-103978247D01* -X108276702Y-103925870D01* -X108189603Y-103889792D01* -X108097138Y-103871400D01* -X108002862Y-103871400D01* -X107910397Y-103889792D01* -X107823298Y-103925870D01* -X107744910Y-103978247D01* -X107678247Y-104044910D01* -X107625870Y-104123298D01* -X107589792Y-104210397D01* -X107571400Y-104302862D01* -X100936376Y-104302862D01* -X100924130Y-104273298D01* -X100871753Y-104194910D01* -X100805090Y-104128247D01* -X100726702Y-104075870D01* -X100639603Y-104039792D01* -X100547138Y-104021400D01* -X100452862Y-104021400D01* -X100360397Y-104039792D01* -X100273298Y-104075870D01* -X100194910Y-104128247D01* -X100128247Y-104194910D01* -X100075870Y-104273298D01* -X100039792Y-104360397D01* -X100021400Y-104452862D01* -X99757337Y-104452862D01* -X99826702Y-104424130D01* -X99905090Y-104371753D01* -X99971753Y-104305090D01* -X100024130Y-104226702D01* -X100060208Y-104139603D01* -X100078600Y-104047138D01* -X100078600Y-103952862D01* -X100060208Y-103860397D01* -X100024130Y-103773298D01* -X99971753Y-103694910D01* -X99905090Y-103628247D01* -X99826702Y-103575870D01* -X99739603Y-103539792D01* -X99647138Y-103521400D01* -X99552862Y-103521400D01* -X99460397Y-103539792D01* -X99373298Y-103575870D01* -X99294910Y-103628247D01* -X99228247Y-103694910D01* -X99175870Y-103773298D01* -X99139792Y-103860397D01* -X99121400Y-103952862D01* -X89907087Y-103952862D01* -X89874130Y-103873298D01* -X89821753Y-103794910D01* -X89755090Y-103728247D01* -X89676702Y-103675870D01* -X89589603Y-103639792D01* -X89497138Y-103621400D01* -X89402862Y-103621400D01* -X89310397Y-103639792D01* -X89223298Y-103675870D01* -X89144910Y-103728247D01* -X89078247Y-103794910D01* -X89025870Y-103873298D01* -X88989792Y-103960397D01* -X88971400Y-104052862D01* -X64710767Y-104052862D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103076862D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76844247Y-103429090D01* -X76910910Y-103495753D01* -X76989298Y-103548130D01* -X77076397Y-103584208D01* -X77168862Y-103602600D01* -X77263138Y-103602600D01* -X77355603Y-103584208D01* -X77442702Y-103548130D01* -X77521090Y-103495753D01* -X77587753Y-103429090D01* -X77640130Y-103350702D01* -X77676208Y-103263603D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78114247Y-103429090D01* -X78180910Y-103495753D01* -X78259298Y-103548130D01* -X78346397Y-103584208D01* -X78438862Y-103602600D01* -X78533138Y-103602600D01* -X78625603Y-103584208D01* -X78712702Y-103548130D01* -X78791090Y-103495753D01* -X78857753Y-103429090D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79384247Y-103429090D01* -X79450910Y-103495753D01* -X79529298Y-103548130D01* -X79616397Y-103584208D01* -X79708862Y-103602600D01* -X79803138Y-103602600D01* -X79895603Y-103584208D01* -X79982702Y-103548130D01* -X80061090Y-103495753D01* -X80127753Y-103429090D01* -X80178686Y-103352862D01* -X87071400Y-103352862D01* -X87071400Y-103447138D01* -X87089792Y-103539603D01* -X87125870Y-103626702D01* -X87178247Y-103705090D01* -X87244910Y-103771753D01* -X87323298Y-103824130D01* -X87410397Y-103860208D01* -X87502862Y-103878600D01* -X87597138Y-103878600D01* -X87689603Y-103860208D01* -X87776702Y-103824130D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88015716Y-103288088D01* -X108821400Y-103288088D01* -X108821400Y-103411912D01* -X108845556Y-103533356D01* -X108892941Y-103647754D01* -X108961734Y-103750709D01* -X109049291Y-103838266D01* -X109152246Y-103907059D01* -X109266644Y-103954444D01* -X109388088Y-103978600D01* -X109511912Y-103978600D01* -X109633356Y-103954444D01* -X109747754Y-103907059D01* -X109850709Y-103838266D01* -X109886113Y-103802862D01* -X114271400Y-103802862D01* -X114271400Y-103897138D01* -X114289792Y-103989603D01* -X114325870Y-104076702D01* -X114378247Y-104155090D01* -X114444910Y-104221753D01* -X114523298Y-104274130D01* -X114610397Y-104310208D01* -X114702862Y-104328600D01* -X114797138Y-104328600D01* -X114889603Y-104310208D01* -X114976702Y-104274130D01* -X115055090Y-104221753D01* -X115121753Y-104155090D01* -X115174130Y-104076702D01* -X115210208Y-103989603D01* -X115228600Y-103897138D01* -X115228600Y-103802862D01* -X115228522Y-103802468D01* -X122067400Y-103802468D01* -X122067400Y-103897532D01* -X122085946Y-103990769D01* -X122122326Y-104078597D01* -X122175140Y-104157640D01* -X122242360Y-104224860D01* -X122321403Y-104277674D01* -X122409231Y-104314054D01* -X122502468Y-104332600D01* -X122597532Y-104332600D01* -X122690769Y-104314054D01* -X122778597Y-104277674D01* -X122857640Y-104224860D01* -X122924860Y-104157640D01* -X122977674Y-104078597D01* -X123014054Y-103990769D01* -X123032600Y-103897532D01* -X123032600Y-103802468D01* -X123014054Y-103709231D01* -X122977674Y-103621403D01* -X122924860Y-103542360D01* -X122857640Y-103475140D01* -X122778597Y-103422326D01* -X122690769Y-103385946D01* -X122597532Y-103367400D01* -X122502468Y-103367400D01* -X122409231Y-103385946D01* -X122321403Y-103422326D01* -X122242360Y-103475140D01* -X122175140Y-103542360D01* -X122122326Y-103621403D01* -X122085946Y-103709231D01* -X122067400Y-103802468D01* -X115228522Y-103802468D01* -X115210208Y-103710397D01* -X115174130Y-103623298D01* -X115121753Y-103544910D01* -X115055090Y-103478247D01* -X114976702Y-103425870D01* -X114889603Y-103389792D01* -X114797138Y-103371400D01* -X114702862Y-103371400D01* -X114610397Y-103389792D01* -X114523298Y-103425870D01* -X114444910Y-103478247D01* -X114378247Y-103544910D01* -X114325870Y-103623298D01* -X114289792Y-103710397D01* -X114271400Y-103802862D01* -X109886113Y-103802862D01* -X109938266Y-103750709D01* -X110007059Y-103647754D01* -X110054444Y-103533356D01* -X110078600Y-103411912D01* -X110078600Y-103288088D01* -X110054444Y-103166644D01* -X110007059Y-103052246D01* -X109974062Y-103002862D01* -X111271400Y-103002862D01* -X111271400Y-103097138D01* -X111289792Y-103189603D01* -X111325870Y-103276702D01* -X111378247Y-103355090D01* -X111444910Y-103421753D01* -X111523298Y-103474130D01* -X111610397Y-103510208D01* -X111702862Y-103528600D01* -X111797138Y-103528600D01* -X111889603Y-103510208D01* -X111976702Y-103474130D01* -X112055090Y-103421753D01* -X112121753Y-103355090D01* -X112174130Y-103276702D01* -X112210208Y-103189603D01* -X112228600Y-103097138D01* -X112228600Y-103002862D01* -X113571400Y-103002862D01* -X113571400Y-103097138D01* -X113589792Y-103189603D01* -X113625870Y-103276702D01* -X113678247Y-103355090D01* -X113744910Y-103421753D01* -X113823298Y-103474130D01* -X113910397Y-103510208D01* -X114002862Y-103528600D01* -X114097138Y-103528600D01* -X114189603Y-103510208D01* -X114276702Y-103474130D01* -X114355090Y-103421753D01* -X114421753Y-103355090D01* -X114474130Y-103276702D01* -X114510208Y-103189603D01* -X114528600Y-103097138D01* -X114528600Y-103002862D01* -X116971400Y-103002862D01* -X116971400Y-103097138D01* -X116989792Y-103189603D01* -X117025870Y-103276702D01* -X117078247Y-103355090D01* -X117144910Y-103421753D01* -X117223298Y-103474130D01* -X117310397Y-103510208D01* -X117402862Y-103528600D01* -X117497138Y-103528600D01* -X117589603Y-103510208D01* -X117676702Y-103474130D01* -X117755090Y-103421753D01* -X117821753Y-103355090D01* -X117874130Y-103276702D01* -X117910208Y-103189603D01* -X117928600Y-103097138D01* -X117928600Y-103002862D01* -X119371400Y-103002862D01* -X119371400Y-103097138D01* -X119389792Y-103189603D01* -X119425870Y-103276702D01* -X119478247Y-103355090D01* -X119544910Y-103421753D01* -X119623298Y-103474130D01* -X119710397Y-103510208D01* -X119802862Y-103528600D01* -X119897138Y-103528600D01* -X119989603Y-103510208D01* -X120076702Y-103474130D01* -X120155090Y-103421753D01* -X120221753Y-103355090D01* -X120274130Y-103276702D01* -X120310208Y-103189603D01* -X120328600Y-103097138D01* -X120328600Y-103002862D01* -X120310208Y-102910397D01* -X120274130Y-102823298D01* -X120221753Y-102744910D01* -X120155090Y-102678247D01* -X120076702Y-102625870D01* -X119989603Y-102589792D01* -X119897138Y-102571400D01* -X119802862Y-102571400D01* -X119710397Y-102589792D01* -X119623298Y-102625870D01* -X119544910Y-102678247D01* -X119478247Y-102744910D01* -X119425870Y-102823298D01* -X119389792Y-102910397D01* -X119371400Y-103002862D01* -X117928600Y-103002862D01* -X117910208Y-102910397D01* -X117874130Y-102823298D01* -X117821753Y-102744910D01* -X117755090Y-102678247D01* -X117676702Y-102625870D01* -X117589603Y-102589792D01* -X117497138Y-102571400D01* -X117402862Y-102571400D01* -X117310397Y-102589792D01* -X117223298Y-102625870D01* -X117144910Y-102678247D01* -X117078247Y-102744910D01* -X117025870Y-102823298D01* -X116989792Y-102910397D01* -X116971400Y-103002862D01* -X114528600Y-103002862D01* -X114510208Y-102910397D01* -X114474130Y-102823298D01* -X114421753Y-102744910D01* -X114355090Y-102678247D01* -X114276702Y-102625870D01* -X114189603Y-102589792D01* -X114097138Y-102571400D01* -X114002862Y-102571400D01* -X113910397Y-102589792D01* -X113823298Y-102625870D01* -X113744910Y-102678247D01* -X113678247Y-102744910D01* -X113625870Y-102823298D01* -X113589792Y-102910397D01* -X113571400Y-103002862D01* -X112228600Y-103002862D01* -X112210208Y-102910397D01* -X112174130Y-102823298D01* -X112121753Y-102744910D01* -X112055090Y-102678247D01* -X111976702Y-102625870D01* -X111889603Y-102589792D01* -X111797138Y-102571400D01* -X111702862Y-102571400D01* -X111610397Y-102589792D01* -X111523298Y-102625870D01* -X111444910Y-102678247D01* -X111378247Y-102744910D01* -X111325870Y-102823298D01* -X111289792Y-102910397D01* -X111271400Y-103002862D01* -X109974062Y-103002862D01* -X109938266Y-102949291D01* -X109850709Y-102861734D01* -X109747754Y-102792941D01* -X109633356Y-102745556D01* -X109511912Y-102721400D01* -X109388088Y-102721400D01* -X109266644Y-102745556D01* -X109152246Y-102792941D01* -X109049291Y-102861734D01* -X108961734Y-102949291D01* -X108892941Y-103052246D01* -X108845556Y-103166644D01* -X108821400Y-103288088D01* -X88015716Y-103288088D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87323298Y-102975870D01* -X87244910Y-103028247D01* -X87178247Y-103094910D01* -X87125870Y-103173298D01* -X87089792Y-103260397D01* -X87071400Y-103352862D01* -X80178686Y-103352862D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061705Y-102752862D01* -X81471400Y-102752862D01* -X81471400Y-102847138D01* -X81489792Y-102939603D01* -X81525870Y-103026702D01* -X81578247Y-103105090D01* -X81644910Y-103171753D01* -X81723298Y-103224130D01* -X81810397Y-103260208D01* -X81902862Y-103278600D01* -X81997138Y-103278600D01* -X82089603Y-103260208D01* -X82176702Y-103224130D01* -X82255090Y-103171753D01* -X82321753Y-103105090D01* -X82374130Y-103026702D01* -X82410208Y-102939603D01* -X82428600Y-102847138D01* -X82428600Y-102752862D01* -X83171400Y-102752862D01* -X83171400Y-102847138D01* -X83189792Y-102939603D01* -X83225870Y-103026702D01* -X83278247Y-103105090D01* -X83344910Y-103171753D01* -X83423298Y-103224130D01* -X83510397Y-103260208D01* -X83602862Y-103278600D01* -X83697138Y-103278600D01* -X83789603Y-103260208D01* -X83876702Y-103224130D01* -X83955090Y-103171753D01* -X84021753Y-103105090D01* -X84074130Y-103026702D01* -X84110208Y-102939603D01* -X84128600Y-102847138D01* -X84128600Y-102752862D01* -X84110208Y-102660397D01* -X84074130Y-102573298D01* -X84021753Y-102494910D01* -X83955090Y-102428247D01* -X83917099Y-102402862D01* -X99121400Y-102402862D01* -X99121400Y-102497138D01* -X99139792Y-102589603D01* -X99175870Y-102676702D01* -X99228247Y-102755090D01* -X99294910Y-102821753D01* -X99373298Y-102874130D01* -X99460397Y-102910208D01* -X99552862Y-102928600D01* -X99647138Y-102928600D01* -X99739603Y-102910208D01* -X99826702Y-102874130D01* -X99905090Y-102821753D01* -X99971753Y-102755090D01* -X99973241Y-102752862D01* -X100071400Y-102752862D01* -X100071400Y-102847138D01* -X100089792Y-102939603D01* -X100125870Y-103026702D01* -X100178247Y-103105090D01* -X100244910Y-103171753D01* -X100323298Y-103224130D01* -X100410397Y-103260208D01* -X100502862Y-103278600D01* -X100597138Y-103278600D01* -X100689603Y-103260208D01* -X100776702Y-103224130D01* -X100855090Y-103171753D01* -X100921753Y-103105090D01* -X100974130Y-103026702D01* -X101010208Y-102939603D01* -X101028600Y-102847138D01* -X101028600Y-102752862D01* -X101018655Y-102702862D01* -X102371400Y-102702862D01* -X102371400Y-102797138D01* -X102389792Y-102889603D01* -X102425870Y-102976702D01* -X102478247Y-103055090D01* -X102544910Y-103121753D01* -X102623298Y-103174130D01* -X102710397Y-103210208D01* -X102802862Y-103228600D01* -X102897138Y-103228600D01* -X102989603Y-103210208D01* -X103076702Y-103174130D01* -X103155090Y-103121753D01* -X103221753Y-103055090D01* -X103274130Y-102976702D01* -X103310208Y-102889603D01* -X103328600Y-102797138D01* -X103328600Y-102702862D01* -X103310208Y-102610397D01* -X103286376Y-102552862D01* -X104271400Y-102552862D01* -X104271400Y-102647138D01* -X104289792Y-102739603D01* -X104325870Y-102826702D01* -X104378247Y-102905090D01* -X104444910Y-102971753D01* -X104523298Y-103024130D01* -X104610397Y-103060208D01* -X104702862Y-103078600D01* -X104797138Y-103078600D01* -X104889603Y-103060208D01* -X104976702Y-103024130D01* -X105055090Y-102971753D01* -X105121753Y-102905090D01* -X105174130Y-102826702D01* -X105210208Y-102739603D01* -X105228600Y-102647138D01* -X105228600Y-102552862D01* -X105210208Y-102460397D01* -X105184336Y-102397937D01* -X110271400Y-102397937D01* -X110271400Y-102502063D01* -X110291713Y-102604187D01* -X110331560Y-102700386D01* -X110389409Y-102786963D01* -X110463037Y-102860591D01* -X110549614Y-102918440D01* -X110645813Y-102958287D01* -X110747937Y-102978600D01* -X110852063Y-102978600D01* -X110954187Y-102958287D01* -X111050386Y-102918440D01* -X111136963Y-102860591D01* -X111210591Y-102786963D01* -X111268440Y-102700386D01* -X111308287Y-102604187D01* -X111328600Y-102502063D01* -X111328600Y-102397937D01* -X111308287Y-102295813D01* -X111269786Y-102202862D01* -X122121400Y-102202862D01* -X122121400Y-102297138D01* -X122139792Y-102389603D01* -X122175870Y-102476702D01* -X122228247Y-102555090D01* -X122294910Y-102621753D01* -X122373298Y-102674130D01* -X122460397Y-102710208D01* -X122552862Y-102728600D01* -X122647138Y-102728600D01* -X122739603Y-102710208D01* -X122826702Y-102674130D01* -X122905090Y-102621753D01* -X122971753Y-102555090D01* -X123024130Y-102476702D01* -X123060208Y-102389603D01* -X123078600Y-102297138D01* -X123078600Y-102202862D01* -X123060208Y-102110397D01* -X123024130Y-102023298D01* -X122971753Y-101944910D01* -X122905090Y-101878247D01* -X122826702Y-101825870D01* -X122739603Y-101789792D01* -X122647138Y-101771400D01* -X122552862Y-101771400D01* -X122460397Y-101789792D01* -X122373298Y-101825870D01* -X122294910Y-101878247D01* -X122228247Y-101944910D01* -X122175870Y-102023298D01* -X122139792Y-102110397D01* -X122121400Y-102202862D01* -X111269786Y-102202862D01* -X111268440Y-102199614D01* -X111210591Y-102113037D01* -X111136963Y-102039409D01* -X111050386Y-101981560D01* -X110954187Y-101941713D01* -X110852063Y-101921400D01* -X110747937Y-101921400D01* -X110645813Y-101941713D01* -X110549614Y-101981560D01* -X110463037Y-102039409D01* -X110389409Y-102113037D01* -X110331560Y-102199614D01* -X110291713Y-102295813D01* -X110271400Y-102397937D01* -X105184336Y-102397937D01* -X105174130Y-102373298D01* -X105121753Y-102294910D01* -X105055090Y-102228247D01* -X104976702Y-102175870D01* -X104889603Y-102139792D01* -X104797138Y-102121400D01* -X104702862Y-102121400D01* -X104610397Y-102139792D01* -X104523298Y-102175870D01* -X104444910Y-102228247D01* -X104378247Y-102294910D01* -X104325870Y-102373298D01* -X104289792Y-102460397D01* -X104271400Y-102552862D01* -X103286376Y-102552862D01* -X103274130Y-102523298D01* -X103221753Y-102444910D01* -X103155090Y-102378247D01* -X103076702Y-102325870D01* -X102989603Y-102289792D01* -X102897138Y-102271400D01* -X102802862Y-102271400D01* -X102710397Y-102289792D01* -X102623298Y-102325870D01* -X102544910Y-102378247D01* -X102478247Y-102444910D01* -X102425870Y-102523298D01* -X102389792Y-102610397D01* -X102371400Y-102702862D01* -X101018655Y-102702862D01* -X101010208Y-102660397D01* -X100974130Y-102573298D01* -X100921753Y-102494910D01* -X100855090Y-102428247D01* -X100776702Y-102375870D01* -X100689603Y-102339792D01* -X100597138Y-102321400D01* -X100502862Y-102321400D01* -X100410397Y-102339792D01* -X100323298Y-102375870D01* -X100244910Y-102428247D01* -X100178247Y-102494910D01* -X100125870Y-102573298D01* -X100089792Y-102660397D01* -X100071400Y-102752862D01* -X99973241Y-102752862D01* -X100024130Y-102676702D01* -X100060208Y-102589603D01* -X100078600Y-102497138D01* -X100078600Y-102402862D01* -X100060208Y-102310397D01* -X100024130Y-102223298D01* -X99971753Y-102144910D01* -X99905090Y-102078247D01* -X99826702Y-102025870D01* -X99739603Y-101989792D01* -X99647138Y-101971400D01* -X99552862Y-101971400D01* -X99460397Y-101989792D01* -X99373298Y-102025870D01* -X99294910Y-102078247D01* -X99228247Y-102144910D01* -X99175870Y-102223298D01* -X99139792Y-102310397D01* -X99121400Y-102402862D01* -X83917099Y-102402862D01* -X83876702Y-102375870D01* -X83789603Y-102339792D01* -X83697138Y-102321400D01* -X83602862Y-102321400D01* -X83510397Y-102339792D01* -X83423298Y-102375870D01* -X83344910Y-102428247D01* -X83278247Y-102494910D01* -X83225870Y-102573298D01* -X83189792Y-102660397D01* -X83171400Y-102752862D01* -X82428600Y-102752862D01* -X82410208Y-102660397D01* -X82374130Y-102573298D01* -X82321753Y-102494910D01* -X82255090Y-102428247D01* -X82176702Y-102375870D01* -X82089603Y-102339792D01* -X81997138Y-102321400D01* -X81902862Y-102321400D01* -X81810397Y-102339792D01* -X81723298Y-102375870D01* -X81644910Y-102428247D01* -X81578247Y-102494910D01* -X81525870Y-102573298D01* -X81489792Y-102660397D01* -X81471400Y-102752862D01* -X80061705Y-102752862D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79616397Y-102663792D01* -X79529298Y-102699870D01* -X79450910Y-102752247D01* -X79384247Y-102818910D01* -X79331870Y-102897298D01* -X79295792Y-102984397D01* -X79277400Y-103076862D01* -X78964600Y-103076862D01* -X78946208Y-102984397D01* -X78910130Y-102897298D01* -X78857753Y-102818910D01* -X78791090Y-102752247D01* -X78712702Y-102699870D01* -X78625603Y-102663792D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78346397Y-102663792D01* -X78259298Y-102699870D01* -X78180910Y-102752247D01* -X78114247Y-102818910D01* -X78061870Y-102897298D01* -X78025792Y-102984397D01* -X78007400Y-103076862D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X65227200Y-103076862D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64644522Y-102152862D01* -X68521400Y-102152862D01* -X68521400Y-102247138D01* -X68539792Y-102339603D01* -X68575870Y-102426702D01* -X68628247Y-102505090D01* -X68694910Y-102571753D01* -X68773298Y-102624130D01* -X68860397Y-102660208D01* -X68952862Y-102678600D01* -X69047138Y-102678600D01* -X69139603Y-102660208D01* -X69226702Y-102624130D01* -X69305090Y-102571753D01* -X69371753Y-102505090D01* -X69424130Y-102426702D01* -X69460208Y-102339603D01* -X69478600Y-102247138D01* -X69478600Y-102152862D01* -X69460208Y-102060397D01* -X69424130Y-101973298D01* -X69371753Y-101894910D01* -X69305090Y-101828247D01* -X69226702Y-101775870D01* -X69139603Y-101739792D01* -X69047138Y-101721400D01* -X68952862Y-101721400D01* -X68860397Y-101739792D01* -X68773298Y-101775870D01* -X68694910Y-101828247D01* -X68628247Y-101894910D01* -X68575870Y-101973298D01* -X68539792Y-102060397D01* -X68521400Y-102152862D01* -X64644522Y-102152862D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X46177200Y-103016428D01* -X46177200Y-101933862D01* -X48416400Y-101933862D01* -X48416400Y-102028138D01* -X48434792Y-102120603D01* -X48470870Y-102207702D01* -X48523247Y-102286090D01* -X48589910Y-102352753D01* -X48668298Y-102405130D01* -X48755397Y-102441208D01* -X48847862Y-102459600D01* -X48942138Y-102459600D01* -X49034603Y-102441208D01* -X49121702Y-102405130D01* -X49200090Y-102352753D01* -X49266753Y-102286090D01* -X49319130Y-102207702D01* -X49355208Y-102120603D01* -X49373600Y-102028138D01* -X49373600Y-101933862D01* -X53496400Y-101933862D01* -X53496400Y-102028138D01* -X53514792Y-102120603D01* -X53550870Y-102207702D01* -X53603247Y-102286090D01* -X53669910Y-102352753D01* -X53748298Y-102405130D01* -X53835397Y-102441208D01* -X53927862Y-102459600D01* -X54022138Y-102459600D01* -X54114603Y-102441208D01* -X54201702Y-102405130D01* -X54280090Y-102352753D01* -X54346753Y-102286090D01* -X54399130Y-102207702D01* -X54435208Y-102120603D01* -X54453600Y-102028138D01* -X54453600Y-101933862D01* -X54435208Y-101841397D01* -X54399130Y-101754298D01* -X54346753Y-101675910D01* -X54280090Y-101609247D01* -X54201702Y-101556870D01* -X54114603Y-101520792D01* -X54022138Y-101502400D01* -X53927862Y-101502400D01* -X53835397Y-101520792D01* -X53748298Y-101556870D01* -X53669910Y-101609247D01* -X53603247Y-101675910D01* -X53550870Y-101754298D01* -X53514792Y-101841397D01* -X53496400Y-101933862D01* -X49373600Y-101933862D01* -X49355208Y-101841397D01* -X49319130Y-101754298D01* -X49266753Y-101675910D01* -X49200090Y-101609247D01* -X49121702Y-101556870D01* -X49034603Y-101520792D01* -X48942138Y-101502400D01* -X48847862Y-101502400D01* -X48755397Y-101520792D01* -X48668298Y-101556870D01* -X48589910Y-101609247D01* -X48523247Y-101675910D01* -X48470870Y-101754298D01* -X48434792Y-101841397D01* -X48416400Y-101933862D01* -X46177200Y-101933862D01* -X46177200Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64831237Y-101432367D01* -X64910742Y-101352862D01* -X66321400Y-101352862D01* -X66321400Y-101447138D01* -X66339792Y-101539603D01* -X66375870Y-101626702D01* -X66428247Y-101705090D01* -X66494910Y-101771753D01* -X66573298Y-101824130D01* -X66660397Y-101860208D01* -X66752862Y-101878600D01* -X66847138Y-101878600D01* -X66939603Y-101860208D01* -X67026702Y-101824130D01* -X67105090Y-101771753D01* -X67171753Y-101705090D01* -X67224130Y-101626702D01* -X67260208Y-101539603D01* -X67278600Y-101447138D01* -X67278600Y-101352862D01* -X67260208Y-101260397D01* -X67236376Y-101202862D01* -X67671400Y-101202862D01* -X67671400Y-101297138D01* -X67689792Y-101389603D01* -X67725870Y-101476702D01* -X67778247Y-101555090D01* -X67844910Y-101621753D01* -X67923298Y-101674130D01* -X68010397Y-101710208D01* -X68102862Y-101728600D01* -X68197138Y-101728600D01* -X68289603Y-101710208D01* -X68376702Y-101674130D01* -X68455090Y-101621753D01* -X68521753Y-101555090D01* -X68574130Y-101476702D01* -X68610208Y-101389603D01* -X68628600Y-101297138D01* -X68628600Y-101202862D01* -X69371400Y-101202862D01* -X69371400Y-101297138D01* -X69389792Y-101389603D01* -X69425870Y-101476702D01* -X69478247Y-101555090D01* -X69544910Y-101621753D01* -X69623298Y-101674130D01* -X69710397Y-101710208D01* -X69802862Y-101728600D01* -X69897138Y-101728600D01* -X69989603Y-101710208D01* -X70076702Y-101674130D01* -X70155090Y-101621753D01* -X70173981Y-101602862D01* -X75221400Y-101602862D01* -X75221400Y-101697138D01* -X75239792Y-101789603D01* -X75275870Y-101876702D01* -X75328247Y-101955090D01* -X75394910Y-102021753D01* -X75473298Y-102074130D01* -X75560397Y-102110208D01* -X75652862Y-102128600D01* -X75747138Y-102128600D01* -X75839603Y-102110208D01* -X75926702Y-102074130D01* -X76005090Y-102021753D01* -X76071753Y-101955090D01* -X76073241Y-101952862D01* -X82321400Y-101952862D01* -X82321400Y-102047138D01* -X82339792Y-102139603D01* -X82375870Y-102226702D01* -X82428247Y-102305090D01* -X82494910Y-102371753D01* -X82573298Y-102424130D01* -X82660397Y-102460208D01* -X82752862Y-102478600D01* -X82847138Y-102478600D01* -X82939603Y-102460208D01* -X83026702Y-102424130D01* -X83105090Y-102371753D01* -X83171753Y-102305090D01* -X83224130Y-102226702D01* -X83260208Y-102139603D01* -X83278600Y-102047138D01* -X83278600Y-101952862D01* -X83260208Y-101860397D01* -X83257087Y-101852862D01* -X87971400Y-101852862D01* -X87971400Y-101947138D01* -X87989792Y-102039603D01* -X88025870Y-102126702D01* -X88078247Y-102205090D01* -X88144910Y-102271753D01* -X88223298Y-102324130D01* -X88310397Y-102360208D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88025870Y-101673298D01* -X87989792Y-101760397D01* -X87971400Y-101852862D01* -X83257087Y-101852862D01* -X83224130Y-101773298D01* -X83171753Y-101694910D01* -X83105090Y-101628247D01* -X83026702Y-101575870D01* -X82939603Y-101539792D01* -X82847138Y-101521400D01* -X82752862Y-101521400D01* -X82660397Y-101539792D01* -X82573298Y-101575870D01* -X82494910Y-101628247D01* -X82428247Y-101694910D01* -X82375870Y-101773298D01* -X82339792Y-101860397D01* -X82321400Y-101952862D01* -X76073241Y-101952862D01* -X76124130Y-101876702D01* -X76160208Y-101789603D01* -X76178600Y-101697138D01* -X76178600Y-101602862D01* -X76160208Y-101510397D01* -X76124130Y-101423298D01* -X76110476Y-101402862D01* -X113571400Y-101402862D01* -X113571400Y-101497138D01* -X113589792Y-101589603D01* -X113625870Y-101676702D01* -X113678247Y-101755090D01* -X113744910Y-101821753D01* -X113823298Y-101874130D01* -X113910397Y-101910208D01* -X114002862Y-101928600D01* -X114097138Y-101928600D01* -X114189603Y-101910208D01* -X114276702Y-101874130D01* -X114355090Y-101821753D01* -X114421753Y-101755090D01* -X114474130Y-101676702D01* -X114510208Y-101589603D01* -X114528600Y-101497138D01* -X114528600Y-101402862D01* -X122771400Y-101402862D01* -X122771400Y-101497138D01* -X122789792Y-101589603D01* -X122825870Y-101676702D01* -X122878247Y-101755090D01* -X122944910Y-101821753D01* -X123023298Y-101874130D01* -X123110397Y-101910208D01* -X123202862Y-101928600D01* -X123297138Y-101928600D01* -X123389603Y-101910208D01* -X123476702Y-101874130D01* -X123555090Y-101821753D01* -X123621753Y-101755090D01* -X123674130Y-101676702D01* -X123710208Y-101589603D01* -X123728600Y-101497138D01* -X123728600Y-101402862D01* -X123710208Y-101310397D01* -X123674130Y-101223298D01* -X123621753Y-101144910D01* -X123555090Y-101078247D01* -X123476702Y-101025870D01* -X123389603Y-100989792D01* -X123297138Y-100971400D01* -X123202862Y-100971400D01* -X123110397Y-100989792D01* -X123023298Y-101025870D01* -X122944910Y-101078247D01* -X122878247Y-101144910D01* -X122825870Y-101223298D01* -X122789792Y-101310397D01* -X122771400Y-101402862D01* -X114528600Y-101402862D01* -X114510208Y-101310397D01* -X114474130Y-101223298D01* -X114421753Y-101144910D01* -X114355090Y-101078247D01* -X114276702Y-101025870D01* -X114189603Y-100989792D01* -X114097138Y-100971400D01* -X114002862Y-100971400D01* -X113910397Y-100989792D01* -X113823298Y-101025870D01* -X113744910Y-101078247D01* -X113678247Y-101144910D01* -X113625870Y-101223298D01* -X113589792Y-101310397D01* -X113571400Y-101402862D01* -X76110476Y-101402862D01* -X76071753Y-101344910D01* -X76005090Y-101278247D01* -X75926702Y-101225870D01* -X75839603Y-101189792D01* -X75747138Y-101171400D01* -X75652862Y-101171400D01* -X75560397Y-101189792D01* -X75473298Y-101225870D01* -X75394910Y-101278247D01* -X75328247Y-101344910D01* -X75275870Y-101423298D01* -X75239792Y-101510397D01* -X75221400Y-101602862D01* -X70173981Y-101602862D01* -X70221753Y-101555090D01* -X70274130Y-101476702D01* -X70310208Y-101389603D01* -X70328600Y-101297138D01* -X70328600Y-101202862D01* -X70310208Y-101110397D01* -X70274130Y-101023298D01* -X70221753Y-100944910D01* -X70155090Y-100878247D01* -X70117099Y-100852862D01* -X99171400Y-100852862D01* -X99171400Y-100947138D01* -X99189792Y-101039603D01* -X99225870Y-101126702D01* -X99278247Y-101205090D01* -X99344910Y-101271753D01* -X99423298Y-101324130D01* -X99510397Y-101360208D01* -X99602862Y-101378600D01* -X99697138Y-101378600D01* -X99789603Y-101360208D01* -X99876702Y-101324130D01* -X99955090Y-101271753D01* -X100021753Y-101205090D01* -X100074130Y-101126702D01* -X100110208Y-101039603D01* -X100128600Y-100947138D01* -X100128600Y-100852862D01* -X100110208Y-100760397D01* -X100074130Y-100673298D01* -X100021753Y-100594910D01* -X99955090Y-100528247D01* -X99876702Y-100475870D01* -X99789603Y-100439792D01* -X99697138Y-100421400D01* -X99602862Y-100421400D01* -X99510397Y-100439792D01* -X99423298Y-100475870D01* -X99344910Y-100528247D01* -X99278247Y-100594910D01* -X99225870Y-100673298D01* -X99189792Y-100760397D01* -X99171400Y-100852862D01* -X70117099Y-100852862D01* -X70076702Y-100825870D01* -X69989603Y-100789792D01* -X69897138Y-100771400D01* -X69802862Y-100771400D01* -X69710397Y-100789792D01* -X69623298Y-100825870D01* -X69544910Y-100878247D01* -X69478247Y-100944910D01* -X69425870Y-101023298D01* -X69389792Y-101110397D01* -X69371400Y-101202862D01* -X68628600Y-101202862D01* -X68610208Y-101110397D01* -X68574130Y-101023298D01* -X68521753Y-100944910D01* -X68455090Y-100878247D01* -X68376702Y-100825870D01* -X68289603Y-100789792D01* -X68197138Y-100771400D01* -X68102862Y-100771400D01* -X68010397Y-100789792D01* -X67923298Y-100825870D01* -X67844910Y-100878247D01* -X67778247Y-100944910D01* -X67725870Y-101023298D01* -X67689792Y-101110397D01* -X67671400Y-101202862D01* -X67236376Y-101202862D01* -X67224130Y-101173298D01* -X67171753Y-101094910D01* -X67105090Y-101028247D01* -X67026702Y-100975870D01* -X66939603Y-100939792D01* -X66847138Y-100921400D01* -X66752862Y-100921400D01* -X66660397Y-100939792D01* -X66573298Y-100975870D01* -X66494910Y-101028247D01* -X66428247Y-101094910D01* -X66375870Y-101173298D01* -X66339792Y-101260397D01* -X66321400Y-101352862D01* -X64910742Y-101352862D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65180028Y-100252862D01* -X83021400Y-100252862D01* -X83021400Y-100347138D01* -X83039792Y-100439603D01* -X83075870Y-100526702D01* -X83128247Y-100605090D01* -X83194910Y-100671753D01* -X83273298Y-100724130D01* -X83360397Y-100760208D01* -X83452862Y-100778600D01* -X83547138Y-100778600D01* -X83639603Y-100760208D01* -X83726702Y-100724130D01* -X83805090Y-100671753D01* -X83871753Y-100605090D01* -X83924130Y-100526702D01* -X83960208Y-100439603D01* -X83978600Y-100347138D01* -X83978600Y-100252862D01* -X83960208Y-100160397D01* -X83936376Y-100102862D01* -X84771400Y-100102862D01* -X84771400Y-100197138D01* -X84789792Y-100289603D01* -X84825870Y-100376702D01* -X84878247Y-100455090D01* -X84944910Y-100521753D01* -X85023298Y-100574130D01* -X85110397Y-100610208D01* -X85202862Y-100628600D01* -X85297138Y-100628600D01* -X85389603Y-100610208D01* -X85476702Y-100574130D01* -X85555090Y-100521753D01* -X85621753Y-100455090D01* -X85674130Y-100376702D01* -X85710208Y-100289603D01* -X85728600Y-100197138D01* -X85728600Y-100102862D01* -X87021400Y-100102862D01* -X87021400Y-100197138D01* -X87039792Y-100289603D01* -X87075870Y-100376702D01* -X87128247Y-100455090D01* -X87194910Y-100521753D01* -X87273298Y-100574130D01* -X87360397Y-100610208D01* -X87452862Y-100628600D01* -X87547138Y-100628600D01* -X87639603Y-100610208D01* -X87726702Y-100574130D01* -X87805090Y-100521753D01* -X87871753Y-100455090D01* -X87924130Y-100376702D01* -X87960208Y-100289603D01* -X87978600Y-100197138D01* -X87978600Y-100102862D01* -X87960208Y-100010397D01* -X87924130Y-99923298D01* -X87871753Y-99844910D01* -X87805090Y-99778247D01* -X87726702Y-99725870D01* -X87639603Y-99689792D01* -X87547138Y-99671400D01* -X87452862Y-99671400D01* -X87360397Y-99689792D01* -X87273298Y-99725870D01* -X87194910Y-99778247D01* -X87128247Y-99844910D01* -X87075870Y-99923298D01* -X87039792Y-100010397D01* -X87021400Y-100102862D01* -X85728600Y-100102862D01* -X85710208Y-100010397D01* -X85674130Y-99923298D01* -X85621753Y-99844910D01* -X85555090Y-99778247D01* -X85476702Y-99725870D01* -X85389603Y-99689792D01* -X85297138Y-99671400D01* -X85202862Y-99671400D01* -X85110397Y-99689792D01* -X85023298Y-99725870D01* -X84944910Y-99778247D01* -X84878247Y-99844910D01* -X84825870Y-99923298D01* -X84789792Y-100010397D01* -X84771400Y-100102862D01* -X83936376Y-100102862D01* -X83924130Y-100073298D01* -X83871753Y-99994910D01* -X83805090Y-99928247D01* -X83726702Y-99875870D01* -X83639603Y-99839792D01* -X83547138Y-99821400D01* -X83452862Y-99821400D01* -X83360397Y-99839792D01* -X83273298Y-99875870D01* -X83194910Y-99928247D01* -X83128247Y-99994910D01* -X83075870Y-100073298D01* -X83039792Y-100160397D01* -X83021400Y-100252862D01* -X65180028Y-100252862D01* -X65102895Y-100066649D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X46177200Y-100476428D01* -X46177200Y-99885386D01* -X46215397Y-99901208D01* -X46307862Y-99919600D01* -X46402138Y-99919600D01* -X46494603Y-99901208D01* -X46581702Y-99865130D01* -X46660090Y-99812753D01* -X46726753Y-99746090D01* -X46779130Y-99667702D01* -X46815208Y-99580603D01* -X46833600Y-99488138D01* -X46833600Y-99393862D01* -X50956400Y-99393862D01* -X50956400Y-99488138D01* -X50974792Y-99580603D01* -X51010870Y-99667702D01* -X51063247Y-99746090D01* -X51129910Y-99812753D01* -X51208298Y-99865130D01* -X51295397Y-99901208D01* -X51387862Y-99919600D01* -X51482138Y-99919600D01* -X51574603Y-99901208D01* -X51661702Y-99865130D01* -X51740090Y-99812753D01* -X51806753Y-99746090D01* -X51859130Y-99667702D01* -X51895208Y-99580603D01* -X51913600Y-99488138D01* -X51913600Y-99393862D01* -X55921400Y-99393862D01* -X55921400Y-99488138D01* -X55939792Y-99580603D01* -X55975870Y-99667702D01* -X56028247Y-99746090D01* -X56094910Y-99812753D01* -X56173298Y-99865130D01* -X56260397Y-99901208D01* -X56352862Y-99919600D01* -X56447138Y-99919600D01* -X56539603Y-99901208D01* -X56626702Y-99865130D01* -X56705090Y-99812753D01* -X56771753Y-99746090D01* -X56824130Y-99667702D01* -X56860208Y-99580603D01* -X56878600Y-99488138D01* -X56878600Y-99402862D01* -X82471400Y-99402862D01* -X82471400Y-99497138D01* -X82489792Y-99589603D01* -X82525870Y-99676702D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83374130Y-99676702D01* -X83404715Y-99602862D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88934004Y-99852862D01* -X99171400Y-99852862D01* -X99171400Y-99947138D01* -X99189792Y-100039603D01* -X99225870Y-100126702D01* -X99278247Y-100205090D01* -X99344910Y-100271753D01* -X99423298Y-100324130D01* -X99510397Y-100360208D01* -X99602862Y-100378600D01* -X99697138Y-100378600D01* -X99789603Y-100360208D01* -X99807337Y-100352862D01* -X100071400Y-100352862D01* -X100071400Y-100447138D01* -X100089792Y-100539603D01* -X100125870Y-100626702D01* -X100178247Y-100705090D01* -X100244910Y-100771753D01* -X100323298Y-100824130D01* -X100410397Y-100860208D01* -X100502862Y-100878600D01* -X100597138Y-100878600D01* -X100689603Y-100860208D01* -X100776702Y-100824130D01* -X100855090Y-100771753D01* -X100921753Y-100705090D01* -X100974130Y-100626702D01* -X101010208Y-100539603D01* -X101028600Y-100447138D01* -X101028600Y-100352862D01* -X102371400Y-100352862D01* -X102371400Y-100447138D01* -X102389792Y-100539603D01* -X102425870Y-100626702D01* -X102478247Y-100705090D01* -X102544910Y-100771753D01* -X102623298Y-100824130D01* -X102710397Y-100860208D01* -X102802862Y-100878600D01* -X102897138Y-100878600D01* -X102989603Y-100860208D01* -X103076702Y-100824130D01* -X103155090Y-100771753D01* -X103221753Y-100705090D01* -X103274130Y-100626702D01* -X103310208Y-100539603D01* -X103328600Y-100447138D01* -X103328600Y-100352862D01* -X103310208Y-100260397D01* -X103274130Y-100173298D01* -X103260476Y-100152862D01* -X104221400Y-100152862D01* -X104221400Y-100247138D01* -X104239792Y-100339603D01* -X104275870Y-100426702D01* -X104328247Y-100505090D01* -X104394910Y-100571753D01* -X104473298Y-100624130D01* -X104560397Y-100660208D01* -X104652862Y-100678600D01* -X104747138Y-100678600D01* -X104839603Y-100660208D01* -X104926702Y-100624130D01* -X105005090Y-100571753D01* -X105071753Y-100505090D01* -X105124130Y-100426702D01* -X105160208Y-100339603D01* -X105177461Y-100252862D01* -X105521400Y-100252862D01* -X105521400Y-100347138D01* -X105539792Y-100439603D01* -X105575870Y-100526702D01* -X105628247Y-100605090D01* -X105694910Y-100671753D01* -X105773298Y-100724130D01* -X105860397Y-100760208D01* -X105952862Y-100778600D01* -X106047138Y-100778600D01* -X106139603Y-100760208D01* -X106226702Y-100724130D01* -X106305090Y-100671753D01* -X106371753Y-100605090D01* -X106424130Y-100526702D01* -X106434004Y-100502862D01* -X107071400Y-100502862D01* -X107071400Y-100597138D01* -X107089792Y-100689603D01* -X107125870Y-100776702D01* -X107178247Y-100855090D01* -X107244910Y-100921753D01* -X107323298Y-100974130D01* -X107410397Y-101010208D01* -X107502862Y-101028600D01* -X107597138Y-101028600D01* -X107689603Y-101010208D01* -X107776702Y-100974130D01* -X107855090Y-100921753D01* -X107921753Y-100855090D01* -X107974130Y-100776702D01* -X108010208Y-100689603D01* -X108028600Y-100597138D01* -X108028600Y-100502862D01* -X108371400Y-100502862D01* -X108371400Y-100597138D01* -X108389792Y-100689603D01* -X108425870Y-100776702D01* -X108478247Y-100855090D01* -X108544910Y-100921753D01* -X108623298Y-100974130D01* -X108710397Y-101010208D01* -X108802862Y-101028600D01* -X108897138Y-101028600D01* -X108989603Y-101010208D01* -X109076702Y-100974130D01* -X109155090Y-100921753D01* -X109221753Y-100855090D01* -X109274130Y-100776702D01* -X109310208Y-100689603D01* -X109327461Y-100602862D01* -X122121400Y-100602862D01* -X122121400Y-100697138D01* -X122139792Y-100789603D01* -X122175870Y-100876702D01* -X122228247Y-100955090D01* -X122294910Y-101021753D01* -X122373298Y-101074130D01* -X122460397Y-101110208D01* -X122552862Y-101128600D01* -X122647138Y-101128600D01* -X122739603Y-101110208D01* -X122826702Y-101074130D01* -X122905090Y-101021753D01* -X122971753Y-100955090D01* -X122996627Y-100917862D01* -X127664400Y-100917862D01* -X127664400Y-101012138D01* -X127682792Y-101104603D01* -X127718870Y-101191702D01* -X127771247Y-101270090D01* -X127837910Y-101336753D01* -X127916298Y-101389130D01* -X128003397Y-101425208D01* -X128095862Y-101443600D01* -X128190138Y-101443600D01* -X128282603Y-101425208D01* -X128369702Y-101389130D01* -X128448090Y-101336753D01* -X128514753Y-101270090D01* -X128567130Y-101191702D01* -X128603208Y-101104603D01* -X128621600Y-101012138D01* -X128621600Y-100917862D01* -X132744400Y-100917862D01* -X132744400Y-101012138D01* -X132762792Y-101104603D01* -X132798870Y-101191702D01* -X132851247Y-101270090D01* -X132917910Y-101336753D01* -X132996298Y-101389130D01* -X133083397Y-101425208D01* -X133175862Y-101443600D01* -X133270138Y-101443600D01* -X133362603Y-101425208D01* -X133449702Y-101389130D01* -X133528090Y-101336753D01* -X133594753Y-101270090D01* -X133647130Y-101191702D01* -X133683208Y-101104603D01* -X133701600Y-101012138D01* -X133701600Y-100917862D01* -X133683208Y-100825397D01* -X133668903Y-100790862D01* -X134649400Y-100790862D01* -X134649400Y-100885138D01* -X134667792Y-100977603D01* -X134703870Y-101064702D01* -X134756247Y-101143090D01* -X134822910Y-101209753D01* -X134901298Y-101262130D01* -X134988397Y-101298208D01* -X135080862Y-101316600D01* -X135175138Y-101316600D01* -X135267603Y-101298208D01* -X135354702Y-101262130D01* -X135433090Y-101209753D01* -X135499753Y-101143090D01* -X135552130Y-101064702D01* -X135588208Y-100977603D01* -X135606600Y-100885138D01* -X135606600Y-100790862D01* -X135919400Y-100790862D01* -X135919400Y-100885138D01* -X135937792Y-100977603D01* -X135973870Y-101064702D01* -X136026247Y-101143090D01* -X136092910Y-101209753D01* -X136171298Y-101262130D01* -X136258397Y-101298208D01* -X136350862Y-101316600D01* -X136445138Y-101316600D01* -X136537603Y-101298208D01* -X136624702Y-101262130D01* -X136703090Y-101209753D01* -X136769753Y-101143090D01* -X136822130Y-101064702D01* -X136858208Y-100977603D01* -X136870090Y-100917862D01* -X137824400Y-100917862D01* -X137824400Y-101012138D01* -X137842792Y-101104603D01* -X137878870Y-101191702D01* -X137931247Y-101270090D01* -X137997910Y-101336753D01* -X138076298Y-101389130D01* -X138163397Y-101425208D01* -X138255862Y-101443600D01* -X138350138Y-101443600D01* -X138442603Y-101425208D01* -X138529702Y-101389130D01* -X138608090Y-101336753D01* -X138674753Y-101270090D01* -X138727130Y-101191702D01* -X138763208Y-101104603D01* -X138781600Y-101012138D01* -X138781600Y-100917862D01* -X142904400Y-100917862D01* -X142904400Y-101012138D01* -X142922792Y-101104603D01* -X142958870Y-101191702D01* -X143011247Y-101270090D01* -X143077910Y-101336753D01* -X143156298Y-101389130D01* -X143243397Y-101425208D01* -X143335862Y-101443600D01* -X143430138Y-101443600D01* -X143522603Y-101425208D01* -X143609702Y-101389130D01* -X143688090Y-101336753D01* -X143754753Y-101270090D01* -X143807130Y-101191702D01* -X143843208Y-101104603D01* -X143861600Y-101012138D01* -X143861600Y-100917862D01* -X143843208Y-100825397D01* -X143807130Y-100738298D01* -X143754753Y-100659910D01* -X143688090Y-100593247D01* -X143609702Y-100540870D01* -X143522603Y-100504792D01* -X143430138Y-100486400D01* -X143335862Y-100486400D01* -X143243397Y-100504792D01* -X143156298Y-100540870D01* -X143077910Y-100593247D01* -X143011247Y-100659910D01* -X142958870Y-100738298D01* -X142922792Y-100825397D01* -X142904400Y-100917862D01* -X138781600Y-100917862D01* -X138763208Y-100825397D01* -X138727130Y-100738298D01* -X138674753Y-100659910D01* -X138608090Y-100593247D01* -X138529702Y-100540870D01* -X138442603Y-100504792D01* -X138350138Y-100486400D01* -X138255862Y-100486400D01* -X138163397Y-100504792D01* -X138076298Y-100540870D01* -X137997910Y-100593247D01* -X137931247Y-100659910D01* -X137878870Y-100738298D01* -X137842792Y-100825397D01* -X137824400Y-100917862D01* -X136870090Y-100917862D01* -X136876600Y-100885138D01* -X136876600Y-100790862D01* -X136858208Y-100698397D01* -X136822130Y-100611298D01* -X136769753Y-100532910D01* -X136703090Y-100466247D01* -X136624702Y-100413870D01* -X136537603Y-100377792D01* -X136445138Y-100359400D01* -X136350862Y-100359400D01* -X136258397Y-100377792D01* -X136171298Y-100413870D01* -X136092910Y-100466247D01* -X136026247Y-100532910D01* -X135973870Y-100611298D01* -X135937792Y-100698397D01* -X135919400Y-100790862D01* -X135606600Y-100790862D01* -X135588208Y-100698397D01* -X135552130Y-100611298D01* -X135499753Y-100532910D01* -X135433090Y-100466247D01* -X135354702Y-100413870D01* -X135267603Y-100377792D01* -X135175138Y-100359400D01* -X135080862Y-100359400D01* -X134988397Y-100377792D01* -X134901298Y-100413870D01* -X134822910Y-100466247D01* -X134756247Y-100532910D01* -X134703870Y-100611298D01* -X134667792Y-100698397D01* -X134649400Y-100790862D01* -X133668903Y-100790862D01* -X133647130Y-100738298D01* -X133594753Y-100659910D01* -X133528090Y-100593247D01* -X133449702Y-100540870D01* -X133362603Y-100504792D01* -X133270138Y-100486400D01* -X133175862Y-100486400D01* -X133083397Y-100504792D01* -X132996298Y-100540870D01* -X132917910Y-100593247D01* -X132851247Y-100659910D01* -X132798870Y-100738298D01* -X132762792Y-100825397D01* -X132744400Y-100917862D01* -X128621600Y-100917862D01* -X128603208Y-100825397D01* -X128567130Y-100738298D01* -X128514753Y-100659910D01* -X128448090Y-100593247D01* -X128369702Y-100540870D01* -X128282603Y-100504792D01* -X128190138Y-100486400D01* -X128095862Y-100486400D01* -X128003397Y-100504792D01* -X127916298Y-100540870D01* -X127837910Y-100593247D01* -X127771247Y-100659910D01* -X127718870Y-100738298D01* -X127682792Y-100825397D01* -X127664400Y-100917862D01* -X122996627Y-100917862D01* -X123024130Y-100876702D01* -X123060208Y-100789603D01* -X123078600Y-100697138D01* -X123078600Y-100602862D01* -X123060208Y-100510397D01* -X123024130Y-100423298D01* -X122971753Y-100344910D01* -X122905090Y-100278247D01* -X122826702Y-100225870D01* -X122739603Y-100189792D01* -X122647138Y-100171400D01* -X122552862Y-100171400D01* -X122460397Y-100189792D01* -X122373298Y-100225870D01* -X122294910Y-100278247D01* -X122228247Y-100344910D01* -X122175870Y-100423298D01* -X122139792Y-100510397D01* -X122121400Y-100602862D01* -X109327461Y-100602862D01* -X109328600Y-100597138D01* -X109328600Y-100502862D01* -X109310208Y-100410397D01* -X109274130Y-100323298D01* -X109221753Y-100244910D01* -X109155090Y-100178247D01* -X109076702Y-100125870D01* -X108989603Y-100089792D01* -X108897138Y-100071400D01* -X108802862Y-100071400D01* -X108710397Y-100089792D01* -X108623298Y-100125870D01* -X108544910Y-100178247D01* -X108478247Y-100244910D01* -X108425870Y-100323298D01* -X108389792Y-100410397D01* -X108371400Y-100502862D01* -X108028600Y-100502862D01* -X108010208Y-100410397D01* -X107974130Y-100323298D01* -X107921753Y-100244910D01* -X107855090Y-100178247D01* -X107776702Y-100125870D01* -X107689603Y-100089792D01* -X107597138Y-100071400D01* -X107502862Y-100071400D01* -X107410397Y-100089792D01* -X107323298Y-100125870D01* -X107244910Y-100178247D01* -X107178247Y-100244910D01* -X107125870Y-100323298D01* -X107089792Y-100410397D01* -X107071400Y-100502862D01* -X106434004Y-100502862D01* -X106460208Y-100439603D01* -X106478600Y-100347138D01* -X106478600Y-100252862D01* -X106460208Y-100160397D01* -X106424130Y-100073298D01* -X106371753Y-99994910D01* -X106305090Y-99928247D01* -X106226702Y-99875870D01* -X106139603Y-99839792D01* -X106047138Y-99821400D01* -X105952862Y-99821400D01* -X105860397Y-99839792D01* -X105773298Y-99875870D01* -X105694910Y-99928247D01* -X105628247Y-99994910D01* -X105575870Y-100073298D01* -X105539792Y-100160397D01* -X105521400Y-100252862D01* -X105177461Y-100252862D01* -X105178600Y-100247138D01* -X105178600Y-100152862D01* -X105160208Y-100060397D01* -X105124130Y-99973298D01* -X105071753Y-99894910D01* -X105005090Y-99828247D01* -X104926702Y-99775870D01* -X104839603Y-99739792D01* -X104747138Y-99721400D01* -X104652862Y-99721400D01* -X104560397Y-99739792D01* -X104473298Y-99775870D01* -X104394910Y-99828247D01* -X104328247Y-99894910D01* -X104275870Y-99973298D01* -X104239792Y-100060397D01* -X104221400Y-100152862D01* -X103260476Y-100152862D01* -X103221753Y-100094910D01* -X103155090Y-100028247D01* -X103076702Y-99975870D01* -X102989603Y-99939792D01* -X102897138Y-99921400D01* -X102802862Y-99921400D01* -X102710397Y-99939792D01* -X102623298Y-99975870D01* -X102544910Y-100028247D01* -X102478247Y-100094910D01* -X102425870Y-100173298D01* -X102389792Y-100260397D01* -X102371400Y-100352862D01* -X101028600Y-100352862D01* -X101010208Y-100260397D01* -X100974130Y-100173298D01* -X100921753Y-100094910D01* -X100855090Y-100028247D01* -X100776702Y-99975870D01* -X100689603Y-99939792D01* -X100597138Y-99921400D01* -X100502862Y-99921400D01* -X100410397Y-99939792D01* -X100323298Y-99975870D01* -X100244910Y-100028247D01* -X100178247Y-100094910D01* -X100125870Y-100173298D01* -X100089792Y-100260397D01* -X100071400Y-100352862D01* -X99807337Y-100352862D01* -X99876702Y-100324130D01* -X99955090Y-100271753D01* -X100021753Y-100205090D01* -X100074130Y-100126702D01* -X100110208Y-100039603D01* -X100128600Y-99947138D01* -X100128600Y-99852862D01* -X100110208Y-99760397D01* -X100074130Y-99673298D01* -X100021753Y-99594910D01* -X99955090Y-99528247D01* -X99876702Y-99475870D01* -X99789603Y-99439792D01* -X99697138Y-99421400D01* -X99602862Y-99421400D01* -X99510397Y-99439792D01* -X99423298Y-99475870D01* -X99344910Y-99528247D01* -X99278247Y-99594910D01* -X99225870Y-99673298D01* -X99189792Y-99760397D01* -X99171400Y-99852862D01* -X88934004Y-99852862D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88726702Y-99225870D01* -X88639603Y-99189792D01* -X88547138Y-99171400D01* -X88452862Y-99171400D01* -X88360397Y-99189792D01* -X88273298Y-99225870D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X83404715Y-99602862D01* -X83410208Y-99589603D01* -X83428600Y-99497138D01* -X83428600Y-99402862D01* -X83410208Y-99310397D01* -X83374130Y-99223298D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82525870Y-99223298D01* -X82489792Y-99310397D01* -X82471400Y-99402862D01* -X56878600Y-99402862D01* -X56878600Y-99393862D01* -X56860208Y-99301397D01* -X56824130Y-99214298D01* -X56771753Y-99135910D01* -X56705090Y-99069247D01* -X56626702Y-99016870D01* -X56539603Y-98980792D01* -X56447138Y-98962400D01* -X56352862Y-98962400D01* -X56260397Y-98980792D01* -X56173298Y-99016870D01* -X56094910Y-99069247D01* -X56028247Y-99135910D01* -X55975870Y-99214298D01* -X55939792Y-99301397D01* -X55921400Y-99393862D01* -X51913600Y-99393862D01* -X51895208Y-99301397D01* -X51859130Y-99214298D01* -X51806753Y-99135910D01* -X51740090Y-99069247D01* -X51661702Y-99016870D01* -X51574603Y-98980792D01* -X51482138Y-98962400D01* -X51387862Y-98962400D01* -X51295397Y-98980792D01* -X51208298Y-99016870D01* -X51129910Y-99069247D01* -X51063247Y-99135910D01* -X51010870Y-99214298D01* -X50974792Y-99301397D01* -X50956400Y-99393862D01* -X46833600Y-99393862D01* -X46815208Y-99301397D01* -X46779130Y-99214298D01* -X46726753Y-99135910D01* -X46660090Y-99069247D01* -X46581702Y-99016870D01* -X46494603Y-98980792D01* -X46402138Y-98962400D01* -X46307862Y-98962400D01* -X46215397Y-98980792D01* -X46177200Y-98996614D01* -X46177200Y-97936428D01* -X60502800Y-97936428D01* -X60502800Y-98151572D01* -X60544772Y-98362583D01* -X60627105Y-98561351D01* -X60746633Y-98740237D01* -X60898763Y-98892367D01* -X61077649Y-99011895D01* -X61276417Y-99094228D01* -X61487428Y-99136200D01* -X61702572Y-99136200D01* -X61913583Y-99094228D01* -X62112351Y-99011895D01* -X62291237Y-98892367D01* -X62443367Y-98740237D01* -X62562895Y-98561351D01* -X62645228Y-98362583D01* -X62687200Y-98151572D01* -X62687200Y-97936428D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64652351Y-99011895D01* -X64831237Y-98892367D01* -X64983367Y-98740237D01* -X65102895Y-98561351D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65200633Y-97802862D01* -X69121400Y-97802862D01* -X69121400Y-97897138D01* -X69139792Y-97989603D01* -X69175870Y-98076702D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69861525Y-98250862D01* -X76737400Y-98250862D01* -X76737400Y-98345138D01* -X76755792Y-98437603D01* -X76791870Y-98524702D01* -X76844247Y-98603090D01* -X76910910Y-98669753D01* -X76989298Y-98722130D01* -X77076397Y-98758208D01* -X77168862Y-98776600D01* -X77263138Y-98776600D01* -X77355603Y-98758208D01* -X77442702Y-98722130D01* -X77521090Y-98669753D01* -X77587753Y-98603090D01* -X77640130Y-98524702D01* -X77676208Y-98437603D01* -X77693063Y-98352862D01* -X87971400Y-98352862D01* -X87971400Y-98447138D01* -X87989792Y-98539603D01* -X88025870Y-98626702D01* -X88078247Y-98705090D01* -X88144910Y-98771753D01* -X88223298Y-98824130D01* -X88310397Y-98860208D01* -X88402862Y-98878600D01* -X88497138Y-98878600D01* -X88589603Y-98860208D01* -X88607337Y-98852862D01* -X100071400Y-98852862D01* -X100071400Y-98947138D01* -X100089792Y-99039603D01* -X100125870Y-99126702D01* -X100178247Y-99205090D01* -X100244910Y-99271753D01* -X100323298Y-99324130D01* -X100410397Y-99360208D01* -X100502862Y-99378600D01* -X100597138Y-99378600D01* -X100689603Y-99360208D01* -X100707337Y-99352862D01* -X102371400Y-99352862D01* -X102371400Y-99447138D01* -X102389792Y-99539603D01* -X102425870Y-99626702D01* -X102478247Y-99705090D01* -X102544910Y-99771753D01* -X102623298Y-99824130D01* -X102710397Y-99860208D01* -X102802862Y-99878600D01* -X102897138Y-99878600D01* -X102989603Y-99860208D01* -X103076702Y-99824130D01* -X103155090Y-99771753D01* -X103221753Y-99705090D01* -X103274130Y-99626702D01* -X103310208Y-99539603D01* -X103327461Y-99452862D01* -X106371400Y-99452862D01* -X106371400Y-99547138D01* -X106389792Y-99639603D01* -X106425870Y-99726702D01* -X106478247Y-99805090D01* -X106544910Y-99871753D01* -X106623298Y-99924130D01* -X106710397Y-99960208D01* -X106802862Y-99978600D01* -X106897138Y-99978600D01* -X106989603Y-99960208D01* -X107076702Y-99924130D01* -X107155090Y-99871753D01* -X107221753Y-99805090D01* -X107274130Y-99726702D01* -X107304715Y-99652862D01* -X108921400Y-99652862D01* -X108921400Y-99747138D01* -X108939792Y-99839603D01* -X108975870Y-99926702D01* -X109028247Y-100005090D01* -X109094910Y-100071753D01* -X109173298Y-100124130D01* -X109260397Y-100160208D01* -X109352862Y-100178600D01* -X109447138Y-100178600D01* -X109539603Y-100160208D01* -X109626702Y-100124130D01* -X109705090Y-100071753D01* -X109771753Y-100005090D01* -X109824130Y-99926702D01* -X109860208Y-99839603D01* -X109877461Y-99752862D01* -X110421400Y-99752862D01* -X110421400Y-99847138D01* -X110439792Y-99939603D01* -X110475870Y-100026702D01* -X110528247Y-100105090D01* -X110594910Y-100171753D01* -X110673298Y-100224130D01* -X110760397Y-100260208D01* -X110852862Y-100278600D01* -X110947138Y-100278600D01* -X111039603Y-100260208D01* -X111126702Y-100224130D01* -X111205090Y-100171753D01* -X111271753Y-100105090D01* -X111324130Y-100026702D01* -X111360208Y-99939603D01* -X111378600Y-99847138D01* -X111378600Y-99802862D01* -X114221400Y-99802862D01* -X114221400Y-99897138D01* -X114239792Y-99989603D01* -X114275870Y-100076702D01* -X114328247Y-100155090D01* -X114394910Y-100221753D01* -X114473298Y-100274130D01* -X114560397Y-100310208D01* -X114652862Y-100328600D01* -X114747138Y-100328600D01* -X114839603Y-100310208D01* -X114926702Y-100274130D01* -X115005090Y-100221753D01* -X115071753Y-100155090D01* -X115124130Y-100076702D01* -X115160208Y-99989603D01* -X115178600Y-99897138D01* -X115178600Y-99802862D01* -X122771400Y-99802862D01* -X122771400Y-99897138D01* -X122789792Y-99989603D01* -X122825870Y-100076702D01* -X122878247Y-100155090D01* -X122944910Y-100221753D01* -X123023298Y-100274130D01* -X123110397Y-100310208D01* -X123202862Y-100328600D01* -X123297138Y-100328600D01* -X123389603Y-100310208D01* -X123476702Y-100274130D01* -X123555090Y-100221753D01* -X123621753Y-100155090D01* -X123674130Y-100076702D01* -X123710208Y-99989603D01* -X123728600Y-99897138D01* -X123728600Y-99802862D01* -X123710208Y-99710397D01* -X123674130Y-99623298D01* -X123621753Y-99544910D01* -X123555090Y-99478247D01* -X123476702Y-99425870D01* -X123389603Y-99389792D01* -X123297138Y-99371400D01* -X123202862Y-99371400D01* -X123110397Y-99389792D01* -X123023298Y-99425870D01* -X122944910Y-99478247D01* -X122878247Y-99544910D01* -X122825870Y-99623298D01* -X122789792Y-99710397D01* -X122771400Y-99802862D01* -X115178600Y-99802862D01* -X115160208Y-99710397D01* -X115124130Y-99623298D01* -X115071753Y-99544910D01* -X115005090Y-99478247D01* -X114926702Y-99425870D01* -X114839603Y-99389792D01* -X114747138Y-99371400D01* -X114652862Y-99371400D01* -X114560397Y-99389792D01* -X114473298Y-99425870D01* -X114394910Y-99478247D01* -X114328247Y-99544910D01* -X114275870Y-99623298D01* -X114239792Y-99710397D01* -X114221400Y-99802862D01* -X111378600Y-99802862D01* -X111378600Y-99752862D01* -X111360208Y-99660397D01* -X111324130Y-99573298D01* -X111271753Y-99494910D01* -X111205090Y-99428247D01* -X111126702Y-99375870D01* -X111039603Y-99339792D01* -X110947138Y-99321400D01* -X110852862Y-99321400D01* -X110760397Y-99339792D01* -X110673298Y-99375870D01* -X110594910Y-99428247D01* -X110528247Y-99494910D01* -X110475870Y-99573298D01* -X110439792Y-99660397D01* -X110421400Y-99752862D01* -X109877461Y-99752862D01* -X109878600Y-99747138D01* -X109878600Y-99652862D01* -X109860208Y-99560397D01* -X109824130Y-99473298D01* -X109771753Y-99394910D01* -X109705090Y-99328247D01* -X109626702Y-99275870D01* -X109539603Y-99239792D01* -X109447138Y-99221400D01* -X109352862Y-99221400D01* -X109260397Y-99239792D01* -X109173298Y-99275870D01* -X109094910Y-99328247D01* -X109028247Y-99394910D01* -X108975870Y-99473298D01* -X108939792Y-99560397D01* -X108921400Y-99652862D01* -X107304715Y-99652862D01* -X107310208Y-99639603D01* -X107328600Y-99547138D01* -X107328600Y-99452862D01* -X107310208Y-99360397D01* -X107274130Y-99273298D01* -X107221753Y-99194910D01* -X107155090Y-99128247D01* -X107076702Y-99075870D01* -X106989603Y-99039792D01* -X106897138Y-99021400D01* -X106802862Y-99021400D01* -X106710397Y-99039792D01* -X106623298Y-99075870D01* -X106544910Y-99128247D01* -X106478247Y-99194910D01* -X106425870Y-99273298D01* -X106389792Y-99360397D01* -X106371400Y-99452862D01* -X103327461Y-99452862D01* -X103328600Y-99447138D01* -X103328600Y-99352862D01* -X103310208Y-99260397D01* -X103274130Y-99173298D01* -X103221753Y-99094910D01* -X103155090Y-99028247D01* -X103076702Y-98975870D01* -X102989603Y-98939792D01* -X102897138Y-98921400D01* -X102802862Y-98921400D01* -X102710397Y-98939792D01* -X102623298Y-98975870D01* -X102544910Y-99028247D01* -X102478247Y-99094910D01* -X102425870Y-99173298D01* -X102389792Y-99260397D01* -X102371400Y-99352862D01* -X100707337Y-99352862D01* -X100776702Y-99324130D01* -X100855090Y-99271753D01* -X100921753Y-99205090D01* -X100974130Y-99126702D01* -X101010208Y-99039603D01* -X101028600Y-98947138D01* -X101028600Y-98902862D01* -X104571400Y-98902862D01* -X104571400Y-98997138D01* -X104589792Y-99089603D01* -X104625870Y-99176702D01* -X104678247Y-99255090D01* -X104744910Y-99321753D01* -X104823298Y-99374130D01* -X104910397Y-99410208D01* -X105002862Y-99428600D01* -X105097138Y-99428600D01* -X105189603Y-99410208D01* -X105276702Y-99374130D01* -X105355090Y-99321753D01* -X105421753Y-99255090D01* -X105474130Y-99176702D01* -X105510208Y-99089603D01* -X105527461Y-99002862D01* -X113571400Y-99002862D01* -X113571400Y-99097138D01* -X113589792Y-99189603D01* -X113625870Y-99276702D01* -X113678247Y-99355090D01* -X113744910Y-99421753D01* -X113823298Y-99474130D01* -X113910397Y-99510208D01* -X114002862Y-99528600D01* -X114097138Y-99528600D01* -X114189603Y-99510208D01* -X114276702Y-99474130D01* -X114355090Y-99421753D01* -X114421753Y-99355090D01* -X114474130Y-99276702D01* -X114510208Y-99189603D01* -X114528600Y-99097138D01* -X114528600Y-99002862D01* -X122121400Y-99002862D01* -X122121400Y-99097138D01* -X122139792Y-99189603D01* -X122175870Y-99276702D01* -X122228247Y-99355090D01* -X122294910Y-99421753D01* -X122373298Y-99474130D01* -X122460397Y-99510208D01* -X122552862Y-99528600D01* -X122647138Y-99528600D01* -X122739603Y-99510208D01* -X122826702Y-99474130D01* -X122905090Y-99421753D01* -X122971753Y-99355090D01* -X123024130Y-99276702D01* -X123060208Y-99189603D01* -X123078600Y-99097138D01* -X123078600Y-99002862D01* -X123060208Y-98910397D01* -X123024130Y-98823298D01* -X122971753Y-98744910D01* -X122905090Y-98678247D01* -X122826702Y-98625870D01* -X122739603Y-98589792D01* -X122647138Y-98571400D01* -X122552862Y-98571400D01* -X122460397Y-98589792D01* -X122373298Y-98625870D01* -X122294910Y-98678247D01* -X122228247Y-98744910D01* -X122175870Y-98823298D01* -X122139792Y-98910397D01* -X122121400Y-99002862D01* -X114528600Y-99002862D01* -X114510208Y-98910397D01* -X114474130Y-98823298D01* -X114421753Y-98744910D01* -X114355090Y-98678247D01* -X114276702Y-98625870D01* -X114189603Y-98589792D01* -X114097138Y-98571400D01* -X114002862Y-98571400D01* -X113910397Y-98589792D01* -X113823298Y-98625870D01* -X113744910Y-98678247D01* -X113678247Y-98744910D01* -X113625870Y-98823298D01* -X113589792Y-98910397D01* -X113571400Y-99002862D01* -X105527461Y-99002862D01* -X105528600Y-98997138D01* -X105528600Y-98902862D01* -X105510208Y-98810397D01* -X105474130Y-98723298D01* -X105421753Y-98644910D01* -X105355090Y-98578247D01* -X105276702Y-98525870D01* -X105189603Y-98489792D01* -X105097138Y-98471400D01* -X105002862Y-98471400D01* -X104910397Y-98489792D01* -X104823298Y-98525870D01* -X104744910Y-98578247D01* -X104678247Y-98644910D01* -X104625870Y-98723298D01* -X104589792Y-98810397D01* -X104571400Y-98902862D01* -X101028600Y-98902862D01* -X101028600Y-98852862D01* -X101010208Y-98760397D01* -X100974130Y-98673298D01* -X100921753Y-98594910D01* -X100855090Y-98528247D01* -X100776702Y-98475870D01* -X100689603Y-98439792D01* -X100597138Y-98421400D01* -X100502862Y-98421400D01* -X100410397Y-98439792D01* -X100323298Y-98475870D01* -X100244910Y-98528247D01* -X100178247Y-98594910D01* -X100125870Y-98673298D01* -X100089792Y-98760397D01* -X100071400Y-98852862D01* -X88607337Y-98852862D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88223298Y-97975870D01* -X88144910Y-98028247D01* -X88078247Y-98094910D01* -X88025870Y-98173298D01* -X87989792Y-98260397D01* -X87971400Y-98352862D01* -X77693063Y-98352862D01* -X77694600Y-98345138D01* -X77694600Y-98250862D01* -X77676208Y-98158397D01* -X77640130Y-98071298D01* -X77587753Y-97992910D01* -X77521090Y-97926247D01* -X77442702Y-97873870D01* -X77355603Y-97837792D01* -X77263138Y-97819400D01* -X77168862Y-97819400D01* -X77076397Y-97837792D01* -X76989298Y-97873870D01* -X76910910Y-97926247D01* -X76844247Y-97992910D01* -X76791870Y-98071298D01* -X76755792Y-98158397D01* -X76737400Y-98250862D01* -X69861525Y-98250862D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70068655Y-97752862D01* -X82021400Y-97752862D01* -X82021400Y-97847138D01* -X82039792Y-97939603D01* -X82075870Y-98026702D01* -X82128247Y-98105090D01* -X82194910Y-98171753D01* -X82273298Y-98224130D01* -X82360397Y-98260208D01* -X82452862Y-98278600D01* -X82547138Y-98278600D01* -X82639603Y-98260208D01* -X82726702Y-98224130D01* -X82805090Y-98171753D01* -X82871753Y-98105090D01* -X82924130Y-98026702D01* -X82960208Y-97939603D01* -X82978600Y-97847138D01* -X82978600Y-97752862D01* -X82960208Y-97660397D01* -X82924130Y-97573298D01* -X82871753Y-97494910D01* -X82805090Y-97428247D01* -X82726702Y-97375870D01* -X82671157Y-97352862D01* -X83021400Y-97352862D01* -X83021400Y-97447138D01* -X83039792Y-97539603D01* -X83075870Y-97626702D01* -X83128247Y-97705090D01* -X83194910Y-97771753D01* -X83273298Y-97824130D01* -X83360397Y-97860208D01* -X83452862Y-97878600D01* -X83547138Y-97878600D01* -X83639603Y-97860208D01* -X83726702Y-97824130D01* -X83805090Y-97771753D01* -X83871753Y-97705090D01* -X83924130Y-97626702D01* -X83960208Y-97539603D01* -X83978600Y-97447138D01* -X83978600Y-97352862D01* -X83960208Y-97260397D01* -X83924130Y-97173298D01* -X83877067Y-97102862D01* -X84721400Y-97102862D01* -X84721400Y-97197138D01* -X84739792Y-97289603D01* -X84775870Y-97376702D01* -X84828247Y-97455090D01* -X84894910Y-97521753D01* -X84973298Y-97574130D01* -X85060397Y-97610208D01* -X85152862Y-97628600D01* -X85247138Y-97628600D01* -X85339603Y-97610208D01* -X85426702Y-97574130D01* -X85505090Y-97521753D01* -X85571753Y-97455090D01* -X85624130Y-97376702D01* -X85634004Y-97352862D01* -X87971400Y-97352862D01* -X87971400Y-97447138D01* -X87989792Y-97539603D01* -X88025870Y-97626702D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88607337Y-97852862D01* -X100071400Y-97852862D01* -X100071400Y-97947138D01* -X100089792Y-98039603D01* -X100125870Y-98126702D01* -X100178247Y-98205090D01* -X100244910Y-98271753D01* -X100323298Y-98324130D01* -X100410397Y-98360208D01* -X100502862Y-98378600D01* -X100597138Y-98378600D01* -X100689603Y-98360208D01* -X100707337Y-98352862D01* -X102371400Y-98352862D01* -X102371400Y-98447138D01* -X102389792Y-98539603D01* -X102425870Y-98626702D01* -X102478247Y-98705090D01* -X102544910Y-98771753D01* -X102623298Y-98824130D01* -X102710397Y-98860208D01* -X102802862Y-98878600D01* -X102897138Y-98878600D01* -X102989603Y-98860208D01* -X103076702Y-98824130D01* -X103155090Y-98771753D01* -X103221753Y-98705090D01* -X103274130Y-98626702D01* -X103310208Y-98539603D01* -X103328600Y-98447138D01* -X103328600Y-98352862D01* -X103310208Y-98260397D01* -X103274130Y-98173298D01* -X103221753Y-98094910D01* -X103155090Y-98028247D01* -X103076702Y-97975870D01* -X102989603Y-97939792D01* -X102897138Y-97921400D01* -X102802862Y-97921400D01* -X102710397Y-97939792D01* -X102623298Y-97975870D01* -X102544910Y-98028247D01* -X102478247Y-98094910D01* -X102425870Y-98173298D01* -X102389792Y-98260397D01* -X102371400Y-98352862D01* -X100707337Y-98352862D01* -X100776702Y-98324130D01* -X100855090Y-98271753D01* -X100921753Y-98205090D01* -X100974130Y-98126702D01* -X101010208Y-98039603D01* -X101028600Y-97947138D01* -X101028600Y-97852862D01* -X101010208Y-97760397D01* -X100974130Y-97673298D01* -X100921753Y-97594910D01* -X100855090Y-97528247D01* -X100776702Y-97475870D01* -X100689603Y-97439792D01* -X100597138Y-97421400D01* -X100502862Y-97421400D01* -X100410397Y-97439792D01* -X100323298Y-97475870D01* -X100244910Y-97528247D01* -X100178247Y-97594910D01* -X100125870Y-97673298D01* -X100089792Y-97760397D01* -X100071400Y-97852862D01* -X88607337Y-97852862D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88025870Y-97173298D01* -X87989792Y-97260397D01* -X87971400Y-97352862D01* -X85634004Y-97352862D01* -X85660208Y-97289603D01* -X85678600Y-97197138D01* -X85678600Y-97102862D01* -X85660208Y-97010397D01* -X85624130Y-96923298D01* -X85577067Y-96852862D01* -X96721400Y-96852862D01* -X96721400Y-96947138D01* -X96739792Y-97039603D01* -X96775870Y-97126702D01* -X96828247Y-97205090D01* -X96894910Y-97271753D01* -X96973298Y-97324130D01* -X97060397Y-97360208D01* -X97152862Y-97378600D01* -X97247138Y-97378600D01* -X97339603Y-97360208D01* -X97426702Y-97324130D01* -X97505090Y-97271753D01* -X97571753Y-97205090D01* -X97624130Y-97126702D01* -X97660208Y-97039603D01* -X97678600Y-96947138D01* -X97678600Y-96852862D01* -X97660208Y-96760397D01* -X97624130Y-96673298D01* -X97571753Y-96594910D01* -X97505090Y-96528247D01* -X97426702Y-96475870D01* -X97339603Y-96439792D01* -X97247138Y-96421400D01* -X97152862Y-96421400D01* -X97060397Y-96439792D01* -X96973298Y-96475870D01* -X96894910Y-96528247D01* -X96828247Y-96594910D01* -X96775870Y-96673298D01* -X96739792Y-96760397D01* -X96721400Y-96852862D01* -X85577067Y-96852862D01* -X85571753Y-96844910D01* -X85505090Y-96778247D01* -X85426702Y-96725870D01* -X85339603Y-96689792D01* -X85247138Y-96671400D01* -X85152862Y-96671400D01* -X85060397Y-96689792D01* -X84973298Y-96725870D01* -X84894910Y-96778247D01* -X84828247Y-96844910D01* -X84775870Y-96923298D01* -X84739792Y-97010397D01* -X84721400Y-97102862D01* -X83877067Y-97102862D01* -X83871753Y-97094910D01* -X83805090Y-97028247D01* -X83726702Y-96975870D01* -X83639603Y-96939792D01* -X83547138Y-96921400D01* -X83452862Y-96921400D01* -X83360397Y-96939792D01* -X83273298Y-96975870D01* -X83194910Y-97028247D01* -X83128247Y-97094910D01* -X83075870Y-97173298D01* -X83039792Y-97260397D01* -X83021400Y-97352862D01* -X82671157Y-97352862D01* -X82639603Y-97339792D01* -X82547138Y-97321400D01* -X82452862Y-97321400D01* -X82360397Y-97339792D01* -X82273298Y-97375870D01* -X82194910Y-97428247D01* -X82128247Y-97494910D01* -X82075870Y-97573298D01* -X82039792Y-97660397D01* -X82021400Y-97752862D01* -X70068655Y-97752862D01* -X70060208Y-97710397D01* -X70024130Y-97623298D01* -X69971753Y-97544910D01* -X69905090Y-97478247D01* -X69826702Y-97425870D01* -X69739603Y-97389792D01* -X69647138Y-97371400D01* -X69552862Y-97371400D01* -X69460397Y-97389792D01* -X69373298Y-97425870D01* -X69294910Y-97478247D01* -X69228247Y-97544910D01* -X69175870Y-97623298D01* -X69139792Y-97710397D01* -X69121400Y-97802862D01* -X65200633Y-97802862D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64575718Y-97044362D01* -X75975400Y-97044362D01* -X75975400Y-97138638D01* -X75993792Y-97231103D01* -X76029870Y-97318202D01* -X76082247Y-97396590D01* -X76148910Y-97463253D01* -X76227298Y-97515630D01* -X76314397Y-97551708D01* -X76406862Y-97570100D01* -X76501138Y-97570100D01* -X76593603Y-97551708D01* -X76680702Y-97515630D01* -X76759090Y-97463253D01* -X76825753Y-97396590D01* -X76878130Y-97318202D01* -X76914208Y-97231103D01* -X76932600Y-97138638D01* -X76932600Y-97044362D01* -X76914208Y-96951897D01* -X76878130Y-96864798D01* -X76825753Y-96786410D01* -X76759090Y-96719747D01* -X76680702Y-96667370D01* -X76593603Y-96631292D01* -X76501138Y-96612900D01* -X76406862Y-96612900D01* -X76314397Y-96631292D01* -X76227298Y-96667370D01* -X76148910Y-96719747D01* -X76082247Y-96786410D01* -X76029870Y-96864798D01* -X75993792Y-96951897D01* -X75975400Y-97044362D01* -X64575718Y-97044362D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X62687200Y-97936428D01* -X62645228Y-97725417D01* -X62562895Y-97526649D01* -X62443367Y-97347763D01* -X62291237Y-97195633D01* -X62112351Y-97076105D01* -X61913583Y-96993772D01* -X61702572Y-96951800D01* -X61487428Y-96951800D01* -X61276417Y-96993772D01* -X61077649Y-97076105D01* -X60898763Y-97195633D01* -X60746633Y-97347763D01* -X60627105Y-97526649D01* -X60544772Y-97725417D01* -X60502800Y-97936428D01* -X46177200Y-97936428D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48509690Y-96853862D01* -X53496400Y-96853862D01* -X53496400Y-96948138D01* -X53514792Y-97040603D01* -X53550870Y-97127702D01* -X53603247Y-97206090D01* -X53669910Y-97272753D01* -X53748298Y-97325130D01* -X53835397Y-97361208D01* -X53927862Y-97379600D01* -X54022138Y-97379600D01* -X54114603Y-97361208D01* -X54201702Y-97325130D01* -X54280090Y-97272753D01* -X54346753Y-97206090D01* -X54399130Y-97127702D01* -X54435208Y-97040603D01* -X54453600Y-96948138D01* -X54453600Y-96853862D01* -X54435208Y-96761397D01* -X54399130Y-96674298D01* -X54346753Y-96595910D01* -X54280090Y-96529247D01* -X54201702Y-96476870D01* -X54114603Y-96440792D01* -X54022138Y-96422400D01* -X53927862Y-96422400D01* -X53835397Y-96440792D01* -X53748298Y-96476870D01* -X53669910Y-96529247D01* -X53603247Y-96595910D01* -X53550870Y-96674298D01* -X53514792Y-96761397D01* -X53496400Y-96853862D01* -X48509690Y-96853862D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48852855Y-95774362D01* -X76737400Y-95774362D01* -X76737400Y-95868638D01* -X76755792Y-95961103D01* -X76791870Y-96048202D01* -X76844247Y-96126590D01* -X76910910Y-96193253D01* -X76989298Y-96245630D01* -X77076397Y-96281708D01* -X77168862Y-96300100D01* -X77263138Y-96300100D01* -X77355603Y-96281708D01* -X77442702Y-96245630D01* -X77521090Y-96193253D01* -X77587753Y-96126590D01* -X77640130Y-96048202D01* -X77676208Y-95961103D01* -X77694600Y-95868638D01* -X77694600Y-95774362D01* -X77681970Y-95710862D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77835292Y-95897603D01* -X77871370Y-95984702D01* -X77923747Y-96063090D01* -X77990410Y-96129753D01* -X78068798Y-96182130D01* -X78155897Y-96218208D01* -X78248362Y-96236600D01* -X78342638Y-96236600D01* -X78435103Y-96218208D01* -X78522202Y-96182130D01* -X78600590Y-96129753D01* -X78667253Y-96063090D01* -X78719630Y-95984702D01* -X78755708Y-95897603D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79486292Y-95897603D01* -X79522370Y-95984702D01* -X79574747Y-96063090D01* -X79641410Y-96129753D01* -X79719798Y-96182130D01* -X79806897Y-96218208D01* -X79899362Y-96236600D01* -X79993638Y-96236600D01* -X80086103Y-96218208D01* -X80173202Y-96182130D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80654247Y-96063090D01* -X80720910Y-96129753D01* -X80799298Y-96182130D01* -X80886397Y-96218208D01* -X80978862Y-96236600D01* -X81073138Y-96236600D01* -X81165603Y-96218208D01* -X81252702Y-96182130D01* -X81331090Y-96129753D01* -X81397753Y-96063090D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81459063Y-95552862D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89373241Y-95902862D01* -X96221400Y-95902862D01* -X96221400Y-95997138D01* -X96239792Y-96089603D01* -X96275870Y-96176702D01* -X96328247Y-96255090D01* -X96394910Y-96321753D01* -X96473298Y-96374130D01* -X96560397Y-96410208D01* -X96652862Y-96428600D01* -X96747138Y-96428600D01* -X96839603Y-96410208D01* -X96926702Y-96374130D01* -X96958531Y-96352862D01* -X97621400Y-96352862D01* -X97621400Y-96447138D01* -X97639792Y-96539603D01* -X97675870Y-96626702D01* -X97728247Y-96705090D01* -X97794910Y-96771753D01* -X97873298Y-96824130D01* -X97960397Y-96860208D01* -X98052862Y-96878600D01* -X98147138Y-96878600D01* -X98239603Y-96860208D01* -X98257337Y-96852862D01* -X100071400Y-96852862D01* -X100071400Y-96947138D01* -X100089792Y-97039603D01* -X100125870Y-97126702D01* -X100178247Y-97205090D01* -X100244910Y-97271753D01* -X100323298Y-97324130D01* -X100410397Y-97360208D01* -X100502862Y-97378600D01* -X100597138Y-97378600D01* -X100689603Y-97360208D01* -X100707337Y-97352862D01* -X102371400Y-97352862D01* -X102371400Y-97447138D01* -X102389792Y-97539603D01* -X102425870Y-97626702D01* -X102478247Y-97705090D01* -X102544910Y-97771753D01* -X102623298Y-97824130D01* -X102710397Y-97860208D01* -X102802862Y-97878600D01* -X102897138Y-97878600D01* -X102989603Y-97860208D01* -X103076702Y-97824130D01* -X103155090Y-97771753D01* -X103221753Y-97705090D01* -X103223241Y-97702862D01* -X107721400Y-97702862D01* -X107721400Y-97797138D01* -X107739792Y-97889603D01* -X107775870Y-97976702D01* -X107828247Y-98055090D01* -X107894910Y-98121753D01* -X107973298Y-98174130D01* -X108060397Y-98210208D01* -X108152862Y-98228600D01* -X108247138Y-98228600D01* -X108339603Y-98210208D01* -X108357337Y-98202862D01* -X114221400Y-98202862D01* -X114221400Y-98297138D01* -X114239792Y-98389603D01* -X114275870Y-98476702D01* -X114328247Y-98555090D01* -X114394910Y-98621753D01* -X114473298Y-98674130D01* -X114560397Y-98710208D01* -X114652862Y-98728600D01* -X114747138Y-98728600D01* -X114839603Y-98710208D01* -X114926702Y-98674130D01* -X115005090Y-98621753D01* -X115071753Y-98555090D01* -X115124130Y-98476702D01* -X115160208Y-98389603D01* -X115178600Y-98297138D01* -X115178600Y-98202862D01* -X122771400Y-98202862D01* -X122771400Y-98297138D01* -X122789792Y-98389603D01* -X122825870Y-98476702D01* -X122878247Y-98555090D01* -X122944910Y-98621753D01* -X123023298Y-98674130D01* -X123110397Y-98710208D01* -X123202862Y-98728600D01* -X123297138Y-98728600D01* -X123389603Y-98710208D01* -X123476702Y-98674130D01* -X123555090Y-98621753D01* -X123621753Y-98555090D01* -X123674130Y-98476702D01* -X123710208Y-98389603D01* -X123712543Y-98377862D01* -X130204400Y-98377862D01* -X130204400Y-98472138D01* -X130222792Y-98564603D01* -X130258870Y-98651702D01* -X130311247Y-98730090D01* -X130377910Y-98796753D01* -X130456298Y-98849130D01* -X130543397Y-98885208D01* -X130635862Y-98903600D01* -X130730138Y-98903600D01* -X130822603Y-98885208D01* -X130909702Y-98849130D01* -X130988090Y-98796753D01* -X131054753Y-98730090D01* -X131107130Y-98651702D01* -X131143208Y-98564603D01* -X131161600Y-98472138D01* -X131161600Y-98377862D01* -X135284400Y-98377862D01* -X135284400Y-98472138D01* -X135302792Y-98564603D01* -X135338870Y-98651702D01* -X135391247Y-98730090D01* -X135457910Y-98796753D01* -X135536298Y-98849130D01* -X135623397Y-98885208D01* -X135715862Y-98903600D01* -X135810138Y-98903600D01* -X135902603Y-98885208D01* -X135989702Y-98849130D01* -X136068090Y-98796753D01* -X136134753Y-98730090D01* -X136187130Y-98651702D01* -X136223208Y-98564603D01* -X136241600Y-98472138D01* -X136241600Y-98377862D01* -X140364400Y-98377862D01* -X140364400Y-98472138D01* -X140382792Y-98564603D01* -X140418870Y-98651702D01* -X140471247Y-98730090D01* -X140537910Y-98796753D01* -X140616298Y-98849130D01* -X140703397Y-98885208D01* -X140795862Y-98903600D01* -X140890138Y-98903600D01* -X140982603Y-98885208D01* -X141069702Y-98849130D01* -X141148090Y-98796753D01* -X141214753Y-98730090D01* -X141267130Y-98651702D01* -X141303208Y-98564603D01* -X141321600Y-98472138D01* -X141321600Y-98377862D01* -X141303208Y-98285397D01* -X141267130Y-98198298D01* -X141214753Y-98119910D01* -X141148090Y-98053247D01* -X141069702Y-98000870D01* -X140982603Y-97964792D01* -X140890138Y-97946400D01* -X140795862Y-97946400D01* -X140703397Y-97964792D01* -X140616298Y-98000870D01* -X140537910Y-98053247D01* -X140471247Y-98119910D01* -X140418870Y-98198298D01* -X140382792Y-98285397D01* -X140364400Y-98377862D01* -X136241600Y-98377862D01* -X136223208Y-98285397D01* -X136187130Y-98198298D01* -X136134753Y-98119910D01* -X136068090Y-98053247D01* -X135989702Y-98000870D01* -X135902603Y-97964792D01* -X135810138Y-97946400D01* -X135715862Y-97946400D01* -X135623397Y-97964792D01* -X135536298Y-98000870D01* -X135457910Y-98053247D01* -X135391247Y-98119910D01* -X135338870Y-98198298D01* -X135302792Y-98285397D01* -X135284400Y-98377862D01* -X131161600Y-98377862D01* -X131143208Y-98285397D01* -X131107130Y-98198298D01* -X131054753Y-98119910D01* -X130988090Y-98053247D01* -X130909702Y-98000870D01* -X130822603Y-97964792D01* -X130730138Y-97946400D01* -X130635862Y-97946400D01* -X130543397Y-97964792D01* -X130456298Y-98000870D01* -X130377910Y-98053247D01* -X130311247Y-98119910D01* -X130258870Y-98198298D01* -X130222792Y-98285397D01* -X130204400Y-98377862D01* -X123712543Y-98377862D01* -X123728600Y-98297138D01* -X123728600Y-98202862D01* -X123710208Y-98110397D01* -X123674130Y-98023298D01* -X123621753Y-97944910D01* -X123555090Y-97878247D01* -X123476702Y-97825870D01* -X123389603Y-97789792D01* -X123297138Y-97771400D01* -X123202862Y-97771400D01* -X123110397Y-97789792D01* -X123023298Y-97825870D01* -X122944910Y-97878247D01* -X122878247Y-97944910D01* -X122825870Y-98023298D01* -X122789792Y-98110397D01* -X122771400Y-98202862D01* -X115178600Y-98202862D01* -X115160208Y-98110397D01* -X115124130Y-98023298D01* -X115071753Y-97944910D01* -X115005090Y-97878247D01* -X114926702Y-97825870D01* -X114839603Y-97789792D01* -X114747138Y-97771400D01* -X114652862Y-97771400D01* -X114560397Y-97789792D01* -X114473298Y-97825870D01* -X114394910Y-97878247D01* -X114328247Y-97944910D01* -X114275870Y-98023298D01* -X114239792Y-98110397D01* -X114221400Y-98202862D01* -X108357337Y-98202862D01* -X108426702Y-98174130D01* -X108505090Y-98121753D01* -X108571753Y-98055090D01* -X108624130Y-97976702D01* -X108660208Y-97889603D01* -X108678600Y-97797138D01* -X108678600Y-97702862D01* -X108660208Y-97610397D01* -X108624130Y-97523298D01* -X108571753Y-97444910D01* -X108529705Y-97402862D01* -X113571400Y-97402862D01* -X113571400Y-97497138D01* -X113589792Y-97589603D01* -X113625870Y-97676702D01* -X113678247Y-97755090D01* -X113744910Y-97821753D01* -X113823298Y-97874130D01* -X113910397Y-97910208D01* -X114002862Y-97928600D01* -X114097138Y-97928600D01* -X114189603Y-97910208D01* -X114276702Y-97874130D01* -X114355090Y-97821753D01* -X114421753Y-97755090D01* -X114474130Y-97676702D01* -X114510208Y-97589603D01* -X114528600Y-97497138D01* -X114528600Y-97402862D01* -X122121400Y-97402862D01* -X122121400Y-97497138D01* -X122139792Y-97589603D01* -X122175870Y-97676702D01* -X122228247Y-97755090D01* -X122294910Y-97821753D01* -X122373298Y-97874130D01* -X122460397Y-97910208D01* -X122552862Y-97928600D01* -X122647138Y-97928600D01* -X122739603Y-97910208D01* -X122826702Y-97874130D01* -X122905090Y-97821753D01* -X122971753Y-97755090D01* -X123024130Y-97676702D01* -X123060208Y-97589603D01* -X123078600Y-97497138D01* -X123078600Y-97402862D01* -X123060208Y-97310397D01* -X123024130Y-97223298D01* -X122971753Y-97144910D01* -X122905090Y-97078247D01* -X122826702Y-97025870D01* -X122739603Y-96989792D01* -X122647138Y-96971400D01* -X122552862Y-96971400D01* -X122460397Y-96989792D01* -X122373298Y-97025870D01* -X122294910Y-97078247D01* -X122228247Y-97144910D01* -X122175870Y-97223298D01* -X122139792Y-97310397D01* -X122121400Y-97402862D01* -X114528600Y-97402862D01* -X114510208Y-97310397D01* -X114474130Y-97223298D01* -X114421753Y-97144910D01* -X114355090Y-97078247D01* -X114276702Y-97025870D01* -X114189603Y-96989792D01* -X114097138Y-96971400D01* -X114002862Y-96971400D01* -X113910397Y-96989792D01* -X113823298Y-97025870D01* -X113744910Y-97078247D01* -X113678247Y-97144910D01* -X113625870Y-97223298D01* -X113589792Y-97310397D01* -X113571400Y-97402862D01* -X108529705Y-97402862D01* -X108505090Y-97378247D01* -X108426702Y-97325870D01* -X108339603Y-97289792D01* -X108247138Y-97271400D01* -X108152862Y-97271400D01* -X108060397Y-97289792D01* -X107973298Y-97325870D01* -X107894910Y-97378247D01* -X107828247Y-97444910D01* -X107775870Y-97523298D01* -X107739792Y-97610397D01* -X107721400Y-97702862D01* -X103223241Y-97702862D01* -X103274130Y-97626702D01* -X103310208Y-97539603D01* -X103328600Y-97447138D01* -X103328600Y-97352862D01* -X103310208Y-97260397D01* -X103274130Y-97173298D01* -X103221753Y-97094910D01* -X103155090Y-97028247D01* -X103076702Y-96975870D01* -X103021157Y-96952862D01* -X106271400Y-96952862D01* -X106271400Y-97047138D01* -X106289792Y-97139603D01* -X106325870Y-97226702D01* -X106378247Y-97305090D01* -X106444910Y-97371753D01* -X106523298Y-97424130D01* -X106610397Y-97460208D01* -X106702862Y-97478600D01* -X106797138Y-97478600D01* -X106889603Y-97460208D01* -X106976702Y-97424130D01* -X107055090Y-97371753D01* -X107121753Y-97305090D01* -X107174130Y-97226702D01* -X107210208Y-97139603D01* -X107228600Y-97047138D01* -X107228600Y-96952862D01* -X107210208Y-96860397D01* -X107174130Y-96773298D01* -X107121753Y-96694910D01* -X107055090Y-96628247D01* -X106976702Y-96575870D01* -X106889603Y-96539792D01* -X106797138Y-96521400D01* -X106702862Y-96521400D01* -X106610397Y-96539792D01* -X106523298Y-96575870D01* -X106444910Y-96628247D01* -X106378247Y-96694910D01* -X106325870Y-96773298D01* -X106289792Y-96860397D01* -X106271400Y-96952862D01* -X103021157Y-96952862D01* -X102989603Y-96939792D01* -X102897138Y-96921400D01* -X102802862Y-96921400D01* -X102710397Y-96939792D01* -X102623298Y-96975870D01* -X102544910Y-97028247D01* -X102478247Y-97094910D01* -X102425870Y-97173298D01* -X102389792Y-97260397D01* -X102371400Y-97352862D01* -X100707337Y-97352862D01* -X100776702Y-97324130D01* -X100855090Y-97271753D01* -X100921753Y-97205090D01* -X100974130Y-97126702D01* -X101010208Y-97039603D01* -X101028600Y-96947138D01* -X101028600Y-96852862D01* -X101010208Y-96760397D01* -X100974130Y-96673298D01* -X100921753Y-96594910D01* -X100855090Y-96528247D01* -X100776702Y-96475870D01* -X100689603Y-96439792D01* -X100597138Y-96421400D01* -X100502862Y-96421400D01* -X100410397Y-96439792D01* -X100323298Y-96475870D01* -X100244910Y-96528247D01* -X100178247Y-96594910D01* -X100125870Y-96673298D01* -X100089792Y-96760397D01* -X100071400Y-96852862D01* -X98257337Y-96852862D01* -X98326702Y-96824130D01* -X98405090Y-96771753D01* -X98471753Y-96705090D01* -X98524130Y-96626702D01* -X98560208Y-96539603D01* -X98578600Y-96447138D01* -X98578600Y-96352862D01* -X98560208Y-96260397D01* -X98557087Y-96252862D01* -X98671400Y-96252862D01* -X98671400Y-96347138D01* -X98689792Y-96439603D01* -X98725870Y-96526702D01* -X98778247Y-96605090D01* -X98844910Y-96671753D01* -X98923298Y-96724130D01* -X99010397Y-96760208D01* -X99102862Y-96778600D01* -X99197138Y-96778600D01* -X99289603Y-96760208D01* -X99376702Y-96724130D01* -X99455090Y-96671753D01* -X99521753Y-96605090D01* -X99574130Y-96526702D01* -X99610208Y-96439603D01* -X99628600Y-96347138D01* -X99628600Y-96252862D01* -X99610208Y-96160397D01* -X99574130Y-96073298D01* -X99521753Y-95994910D01* -X99455090Y-95928247D01* -X99376702Y-95875870D01* -X99321157Y-95852862D01* -X100071400Y-95852862D01* -X100071400Y-95947138D01* -X100089792Y-96039603D01* -X100125870Y-96126702D01* -X100178247Y-96205090D01* -X100244910Y-96271753D01* -X100323298Y-96324130D01* -X100410397Y-96360208D01* -X100502862Y-96378600D01* -X100597138Y-96378600D01* -X100689603Y-96360208D01* -X100707337Y-96352862D01* -X102371400Y-96352862D01* -X102371400Y-96447138D01* -X102389792Y-96539603D01* -X102425870Y-96626702D01* -X102478247Y-96705090D01* -X102544910Y-96771753D01* -X102623298Y-96824130D01* -X102710397Y-96860208D01* -X102802862Y-96878600D01* -X102897138Y-96878600D01* -X102989603Y-96860208D01* -X103076702Y-96824130D01* -X103155090Y-96771753D01* -X103221753Y-96705090D01* -X103274130Y-96626702D01* -X103310208Y-96539603D01* -X103328600Y-96447138D01* -X103328600Y-96402862D01* -X110271400Y-96402862D01* -X110271400Y-96497138D01* -X110289792Y-96589603D01* -X110325870Y-96676702D01* -X110378247Y-96755090D01* -X110444910Y-96821753D01* -X110523298Y-96874130D01* -X110610397Y-96910208D01* -X110702862Y-96928600D01* -X110797138Y-96928600D01* -X110889603Y-96910208D01* -X110976702Y-96874130D01* -X111055090Y-96821753D01* -X111121753Y-96755090D01* -X111174130Y-96676702D01* -X111204715Y-96602862D01* -X114221400Y-96602862D01* -X114221400Y-96697138D01* -X114239792Y-96789603D01* -X114275870Y-96876702D01* -X114328247Y-96955090D01* -X114394910Y-97021753D01* -X114473298Y-97074130D01* -X114560397Y-97110208D01* -X114652862Y-97128600D01* -X114747138Y-97128600D01* -X114839603Y-97110208D01* -X114926702Y-97074130D01* -X115005090Y-97021753D01* -X115071753Y-96955090D01* -X115124130Y-96876702D01* -X115160208Y-96789603D01* -X115178600Y-96697138D01* -X115178600Y-96602862D01* -X122771400Y-96602862D01* -X122771400Y-96697138D01* -X122789792Y-96789603D01* -X122825870Y-96876702D01* -X122878247Y-96955090D01* -X122944910Y-97021753D01* -X123023298Y-97074130D01* -X123110397Y-97110208D01* -X123202862Y-97128600D01* -X123297138Y-97128600D01* -X123389603Y-97110208D01* -X123476702Y-97074130D01* -X123555090Y-97021753D01* -X123621753Y-96955090D01* -X123674130Y-96876702D01* -X123710208Y-96789603D01* -X123728600Y-96697138D01* -X123728600Y-96602862D01* -X123710208Y-96510397D01* -X123674130Y-96423298D01* -X123621753Y-96344910D01* -X123555090Y-96278247D01* -X123476702Y-96225870D01* -X123389603Y-96189792D01* -X123297138Y-96171400D01* -X123202862Y-96171400D01* -X123110397Y-96189792D01* -X123023298Y-96225870D01* -X122944910Y-96278247D01* -X122878247Y-96344910D01* -X122825870Y-96423298D01* -X122789792Y-96510397D01* -X122771400Y-96602862D01* -X115178600Y-96602862D01* -X115160208Y-96510397D01* -X115124130Y-96423298D01* -X115071753Y-96344910D01* -X115005090Y-96278247D01* -X114926702Y-96225870D01* -X114839603Y-96189792D01* -X114747138Y-96171400D01* -X114652862Y-96171400D01* -X114560397Y-96189792D01* -X114473298Y-96225870D01* -X114394910Y-96278247D01* -X114328247Y-96344910D01* -X114275870Y-96423298D01* -X114239792Y-96510397D01* -X114221400Y-96602862D01* -X111204715Y-96602862D01* -X111210208Y-96589603D01* -X111228600Y-96497138D01* -X111228600Y-96402862D01* -X111210208Y-96310397D01* -X111174130Y-96223298D01* -X111121753Y-96144910D01* -X111055090Y-96078247D01* -X110976702Y-96025870D01* -X110889603Y-95989792D01* -X110797138Y-95971400D01* -X110702862Y-95971400D01* -X110610397Y-95989792D01* -X110523298Y-96025870D01* -X110444910Y-96078247D01* -X110378247Y-96144910D01* -X110325870Y-96223298D01* -X110289792Y-96310397D01* -X110271400Y-96402862D01* -X103328600Y-96402862D01* -X103328600Y-96352862D01* -X103310208Y-96260397D01* -X103274130Y-96173298D01* -X103221753Y-96094910D01* -X103155090Y-96028247D01* -X103076702Y-95975870D01* -X102989603Y-95939792D01* -X102897138Y-95921400D01* -X102802862Y-95921400D01* -X102710397Y-95939792D01* -X102623298Y-95975870D01* -X102544910Y-96028247D01* -X102478247Y-96094910D01* -X102425870Y-96173298D01* -X102389792Y-96260397D01* -X102371400Y-96352862D01* -X100707337Y-96352862D01* -X100776702Y-96324130D01* -X100855090Y-96271753D01* -X100921753Y-96205090D01* -X100974130Y-96126702D01* -X101010208Y-96039603D01* -X101028600Y-95947138D01* -X101028600Y-95852862D01* -X101010208Y-95760397D01* -X100974130Y-95673298D01* -X100921753Y-95594910D01* -X100855090Y-95528247D01* -X100776702Y-95475870D01* -X100689603Y-95439792D01* -X100597138Y-95421400D01* -X100502862Y-95421400D01* -X100410397Y-95439792D01* -X100323298Y-95475870D01* -X100244910Y-95528247D01* -X100178247Y-95594910D01* -X100125870Y-95673298D01* -X100089792Y-95760397D01* -X100071400Y-95852862D01* -X99321157Y-95852862D01* -X99289603Y-95839792D01* -X99197138Y-95821400D01* -X99102862Y-95821400D01* -X99010397Y-95839792D01* -X98923298Y-95875870D01* -X98844910Y-95928247D01* -X98778247Y-95994910D01* -X98725870Y-96073298D01* -X98689792Y-96160397D01* -X98671400Y-96252862D01* -X98557087Y-96252862D01* -X98524130Y-96173298D01* -X98471753Y-96094910D01* -X98405090Y-96028247D01* -X98326702Y-95975870D01* -X98239603Y-95939792D01* -X98147138Y-95921400D01* -X98052862Y-95921400D01* -X97960397Y-95939792D01* -X97873298Y-95975870D01* -X97794910Y-96028247D01* -X97728247Y-96094910D01* -X97675870Y-96173298D01* -X97639792Y-96260397D01* -X97621400Y-96352862D01* -X96958531Y-96352862D01* -X97005090Y-96321753D01* -X97071753Y-96255090D01* -X97124130Y-96176702D01* -X97160208Y-96089603D01* -X97178600Y-95997138D01* -X97178600Y-95902862D01* -X97160208Y-95810397D01* -X97124130Y-95723298D01* -X97071753Y-95644910D01* -X97005090Y-95578247D01* -X96926702Y-95525870D01* -X96839603Y-95489792D01* -X96747138Y-95471400D01* -X96652862Y-95471400D01* -X96560397Y-95489792D01* -X96473298Y-95525870D01* -X96394910Y-95578247D01* -X96328247Y-95644910D01* -X96275870Y-95723298D01* -X96239792Y-95810397D01* -X96221400Y-95902862D01* -X89373241Y-95902862D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89478600Y-95647138D01* -X89478600Y-95552862D01* -X89460208Y-95460397D01* -X89424130Y-95373298D01* -X89377067Y-95302862D01* -X89571400Y-95302862D01* -X89571400Y-95397138D01* -X89589792Y-95489603D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90474130Y-95123298D01* -X90421753Y-95044910D01* -X90355090Y-94978247D01* -X90276702Y-94925870D01* -X90189603Y-94889792D01* -X90097138Y-94871400D01* -X90002862Y-94871400D01* -X89910397Y-94889792D01* -X89823298Y-94925870D01* -X89744910Y-94978247D01* -X89678247Y-95044910D01* -X89625870Y-95123298D01* -X89589792Y-95210397D01* -X89571400Y-95302862D01* -X89377067Y-95302862D01* -X89371753Y-95294910D01* -X89305090Y-95228247D01* -X89226702Y-95175870D01* -X89139603Y-95139792D01* -X89047138Y-95121400D01* -X88952862Y-95121400D01* -X88860397Y-95139792D01* -X88773298Y-95175870D01* -X88694910Y-95228247D01* -X88628247Y-95294910D01* -X88575870Y-95373298D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X81459063Y-95552862D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81252702Y-95333870D01* -X81165603Y-95297792D01* -X81073138Y-95279400D01* -X80978862Y-95279400D01* -X80886397Y-95297792D01* -X80799298Y-95333870D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80173202Y-95333870D01* -X80086103Y-95297792D01* -X79993638Y-95279400D01* -X79899362Y-95279400D01* -X79806897Y-95297792D01* -X79719798Y-95333870D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X77681970Y-95710862D01* -X77676208Y-95681897D01* -X77640130Y-95594798D01* -X77587753Y-95516410D01* -X77521090Y-95449747D01* -X77442702Y-95397370D01* -X77355603Y-95361292D01* -X77263138Y-95342900D01* -X77168862Y-95342900D01* -X77076397Y-95361292D01* -X76989298Y-95397370D01* -X76910910Y-95449747D01* -X76844247Y-95516410D01* -X76791870Y-95594798D01* -X76755792Y-95681897D01* -X76737400Y-95774362D01* -X48852855Y-95774362D01* -X48846027Y-95757879D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-95002862D01* -X69121400Y-95002862D01* -X69121400Y-95097138D01* -X69139792Y-95189603D01* -X69175870Y-95276702D01* -X69228247Y-95355090D01* -X69294910Y-95421753D01* -X69373298Y-95474130D01* -X69460397Y-95510208D01* -X69552862Y-95528600D01* -X69647138Y-95528600D01* -X69739603Y-95510208D01* -X69826702Y-95474130D01* -X69905090Y-95421753D01* -X69971753Y-95355090D01* -X70024130Y-95276702D01* -X70060208Y-95189603D01* -X70078600Y-95097138D01* -X70078600Y-95002862D01* -X70060208Y-94910397D01* -X70024130Y-94823298D01* -X69971753Y-94744910D01* -X69905090Y-94678247D01* -X69826702Y-94625870D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69175870Y-94823298D01* -X69139792Y-94910397D01* -X69121400Y-95002862D01* -X46177200Y-95002862D01* -X46177200Y-94313862D01* -X50956400Y-94313862D01* -X50956400Y-94408138D01* -X50974792Y-94500603D01* -X51010870Y-94587702D01* -X51063247Y-94666090D01* -X51129910Y-94732753D01* -X51208298Y-94785130D01* -X51295397Y-94821208D01* -X51387862Y-94839600D01* -X51482138Y-94839600D01* -X51574603Y-94821208D01* -X51661702Y-94785130D01* -X51740090Y-94732753D01* -X51806753Y-94666090D01* -X51859130Y-94587702D01* -X51895208Y-94500603D01* -X51913600Y-94408138D01* -X51913600Y-94313862D01* -X56036400Y-94313862D01* -X56036400Y-94408138D01* -X56054792Y-94500603D01* -X56090870Y-94587702D01* -X56143247Y-94666090D01* -X56209910Y-94732753D01* -X56288298Y-94785130D01* -X56375397Y-94821208D01* -X56467862Y-94839600D01* -X56562138Y-94839600D01* -X56654603Y-94821208D01* -X56741702Y-94785130D01* -X56820090Y-94732753D01* -X56886753Y-94666090D01* -X56939130Y-94587702D01* -X56975208Y-94500603D01* -X56993600Y-94408138D01* -X56993600Y-94402862D01* -X85921400Y-94402862D01* -X85921400Y-94497138D01* -X85939792Y-94589603D01* -X85975870Y-94676702D01* -X86028247Y-94755090D01* -X86094910Y-94821753D01* -X86173298Y-94874130D01* -X86260397Y-94910208D01* -X86352862Y-94928600D01* -X86447138Y-94928600D01* -X86539603Y-94910208D01* -X86626702Y-94874130D01* -X86705090Y-94821753D01* -X86723981Y-94802862D01* -X91221400Y-94802862D01* -X91221400Y-94897138D01* -X91239792Y-94989603D01* -X91275870Y-95076702D01* -X91328247Y-95155090D01* -X91394910Y-95221753D01* -X91473298Y-95274130D01* -X91560397Y-95310208D01* -X91652862Y-95328600D01* -X91747138Y-95328600D01* -X91839603Y-95310208D01* -X91926702Y-95274130D01* -X92005090Y-95221753D01* -X92023981Y-95202862D01* -X95471400Y-95202862D01* -X95471400Y-95297138D01* -X95489792Y-95389603D01* -X95525870Y-95476702D01* -X95578247Y-95555090D01* -X95644910Y-95621753D01* -X95723298Y-95674130D01* -X95810397Y-95710208D01* -X95902862Y-95728600D01* -X95997138Y-95728600D01* -X96089603Y-95710208D01* -X96176702Y-95674130D01* -X96255090Y-95621753D01* -X96321753Y-95555090D01* -X96374130Y-95476702D01* -X96410208Y-95389603D01* -X96428600Y-95297138D01* -X96428600Y-95202862D01* -X96410208Y-95110397D01* -X96374130Y-95023298D01* -X96327067Y-94952862D01* -X96471400Y-94952862D01* -X96471400Y-95047138D01* -X96489792Y-95139603D01* -X96525870Y-95226702D01* -X96578247Y-95305090D01* -X96644910Y-95371753D01* -X96723298Y-95424130D01* -X96810397Y-95460208D01* -X96902862Y-95478600D01* -X96997138Y-95478600D01* -X97089603Y-95460208D01* -X97176702Y-95424130D01* -X97255090Y-95371753D01* -X97321753Y-95305090D01* -X97374130Y-95226702D01* -X97410208Y-95139603D01* -X97428600Y-95047138D01* -X97428600Y-94952862D01* -X97410208Y-94860397D01* -X97407087Y-94852862D01* -X98171400Y-94852862D01* -X98171400Y-94947138D01* -X98189792Y-95039603D01* -X98225870Y-95126702D01* -X98278247Y-95205090D01* -X98344910Y-95271753D01* -X98423298Y-95324130D01* -X98510397Y-95360208D01* -X98602862Y-95378600D01* -X98697138Y-95378600D01* -X98789603Y-95360208D01* -X98876702Y-95324130D01* -X98955090Y-95271753D01* -X99021753Y-95205090D01* -X99074130Y-95126702D01* -X99110208Y-95039603D01* -X99128600Y-94947138D01* -X99128600Y-94852862D01* -X99171400Y-94852862D01* -X99171400Y-94947138D01* -X99189792Y-95039603D01* -X99225870Y-95126702D01* -X99278247Y-95205090D01* -X99344910Y-95271753D01* -X99423298Y-95324130D01* -X99510397Y-95360208D01* -X99602862Y-95378600D01* -X99697138Y-95378600D01* -X99789603Y-95360208D01* -X99807337Y-95352862D01* -X102371400Y-95352862D01* -X102371400Y-95447138D01* -X102389792Y-95539603D01* -X102425870Y-95626702D01* -X102478247Y-95705090D01* -X102544910Y-95771753D01* -X102623298Y-95824130D01* -X102710397Y-95860208D01* -X102802862Y-95878600D01* -X102897138Y-95878600D01* -X102989603Y-95860208D01* -X103076702Y-95824130D01* -X103155090Y-95771753D01* -X103221753Y-95705090D01* -X103274130Y-95626702D01* -X103310208Y-95539603D01* -X103327461Y-95452862D01* -X109321400Y-95452862D01* -X109321400Y-95547138D01* -X109339792Y-95639603D01* -X109375870Y-95726702D01* -X109428247Y-95805090D01* -X109494910Y-95871753D01* -X109573298Y-95924130D01* -X109660397Y-95960208D01* -X109752862Y-95978600D01* -X109847138Y-95978600D01* -X109939603Y-95960208D01* -X110026702Y-95924130D01* -X110105090Y-95871753D01* -X110171753Y-95805090D01* -X110173241Y-95802862D01* -X113571400Y-95802862D01* -X113571400Y-95897138D01* -X113589792Y-95989603D01* -X113625870Y-96076702D01* -X113678247Y-96155090D01* -X113744910Y-96221753D01* -X113823298Y-96274130D01* -X113910397Y-96310208D01* -X114002862Y-96328600D01* -X114097138Y-96328600D01* -X114189603Y-96310208D01* -X114276702Y-96274130D01* -X114355090Y-96221753D01* -X114421753Y-96155090D01* -X114474130Y-96076702D01* -X114510208Y-95989603D01* -X114528600Y-95897138D01* -X114528600Y-95802862D01* -X122121400Y-95802862D01* -X122121400Y-95897138D01* -X122139792Y-95989603D01* -X122175870Y-96076702D01* -X122228247Y-96155090D01* -X122294910Y-96221753D01* -X122373298Y-96274130D01* -X122460397Y-96310208D01* -X122552862Y-96328600D01* -X122647138Y-96328600D01* -X122739603Y-96310208D01* -X122826702Y-96274130D01* -X122905090Y-96221753D01* -X122971753Y-96155090D01* -X123024130Y-96076702D01* -X123060208Y-95989603D01* -X123078600Y-95897138D01* -X123078600Y-95837862D01* -X127664400Y-95837862D01* -X127664400Y-95932138D01* -X127682792Y-96024603D01* -X127718870Y-96111702D01* -X127771247Y-96190090D01* -X127837910Y-96256753D01* -X127916298Y-96309130D01* -X128003397Y-96345208D01* -X128095862Y-96363600D01* -X128190138Y-96363600D01* -X128282603Y-96345208D01* -X128369702Y-96309130D01* -X128448090Y-96256753D01* -X128514753Y-96190090D01* -X128567130Y-96111702D01* -X128603208Y-96024603D01* -X128621600Y-95932138D01* -X128621600Y-95837862D01* -X132744400Y-95837862D01* -X132744400Y-95932138D01* -X132762792Y-96024603D01* -X132798870Y-96111702D01* -X132851247Y-96190090D01* -X132917910Y-96256753D01* -X132996298Y-96309130D01* -X133083397Y-96345208D01* -X133175862Y-96363600D01* -X133270138Y-96363600D01* -X133362603Y-96345208D01* -X133449702Y-96309130D01* -X133528090Y-96256753D01* -X133594753Y-96190090D01* -X133647130Y-96111702D01* -X133683208Y-96024603D01* -X133701600Y-95932138D01* -X133701600Y-95837862D01* -X137824400Y-95837862D01* -X137824400Y-95932138D01* -X137842792Y-96024603D01* -X137878870Y-96111702D01* -X137931247Y-96190090D01* -X137997910Y-96256753D01* -X138076298Y-96309130D01* -X138163397Y-96345208D01* -X138255862Y-96363600D01* -X138350138Y-96363600D01* -X138442603Y-96345208D01* -X138529702Y-96309130D01* -X138608090Y-96256753D01* -X138674753Y-96190090D01* -X138727130Y-96111702D01* -X138763208Y-96024603D01* -X138781600Y-95932138D01* -X138781600Y-95837862D01* -X142904400Y-95837862D01* -X142904400Y-95932138D01* -X142922792Y-96024603D01* -X142958870Y-96111702D01* -X143011247Y-96190090D01* -X143077910Y-96256753D01* -X143156298Y-96309130D01* -X143243397Y-96345208D01* -X143335862Y-96363600D01* -X143430138Y-96363600D01* -X143522603Y-96345208D01* -X143609702Y-96309130D01* -X143688090Y-96256753D01* -X143754753Y-96190090D01* -X143807130Y-96111702D01* -X143843208Y-96024603D01* -X143861600Y-95932138D01* -X143861600Y-95837862D01* -X143843208Y-95745397D01* -X143807130Y-95658298D01* -X143754753Y-95579910D01* -X143688090Y-95513247D01* -X143609702Y-95460870D01* -X143522603Y-95424792D01* -X143430138Y-95406400D01* -X143335862Y-95406400D01* -X143243397Y-95424792D01* -X143156298Y-95460870D01* -X143077910Y-95513247D01* -X143011247Y-95579910D01* -X142958870Y-95658298D01* -X142922792Y-95745397D01* -X142904400Y-95837862D01* -X138781600Y-95837862D01* -X138763208Y-95745397D01* -X138727130Y-95658298D01* -X138674753Y-95579910D01* -X138608090Y-95513247D01* -X138529702Y-95460870D01* -X138442603Y-95424792D01* -X138350138Y-95406400D01* -X138255862Y-95406400D01* -X138163397Y-95424792D01* -X138076298Y-95460870D01* -X137997910Y-95513247D01* -X137931247Y-95579910D01* -X137878870Y-95658298D01* -X137842792Y-95745397D01* -X137824400Y-95837862D01* -X133701600Y-95837862D01* -X133683208Y-95745397D01* -X133647130Y-95658298D01* -X133594753Y-95579910D01* -X133528090Y-95513247D01* -X133449702Y-95460870D01* -X133362603Y-95424792D01* -X133270138Y-95406400D01* -X133175862Y-95406400D01* -X133083397Y-95424792D01* -X132996298Y-95460870D01* -X132917910Y-95513247D01* -X132851247Y-95579910D01* -X132798870Y-95658298D01* -X132762792Y-95745397D01* -X132744400Y-95837862D01* -X128621600Y-95837862D01* -X128603208Y-95745397D01* -X128567130Y-95658298D01* -X128514753Y-95579910D01* -X128448090Y-95513247D01* -X128369702Y-95460870D01* -X128282603Y-95424792D01* -X128190138Y-95406400D01* -X128095862Y-95406400D01* -X128003397Y-95424792D01* -X127916298Y-95460870D01* -X127837910Y-95513247D01* -X127771247Y-95579910D01* -X127718870Y-95658298D01* -X127682792Y-95745397D01* -X127664400Y-95837862D01* -X123078600Y-95837862D01* -X123078600Y-95802862D01* -X123060208Y-95710397D01* -X123024130Y-95623298D01* -X122971753Y-95544910D01* -X122905090Y-95478247D01* -X122826702Y-95425870D01* -X122739603Y-95389792D01* -X122647138Y-95371400D01* -X122552862Y-95371400D01* -X122460397Y-95389792D01* -X122373298Y-95425870D01* -X122294910Y-95478247D01* -X122228247Y-95544910D01* -X122175870Y-95623298D01* -X122139792Y-95710397D01* -X122121400Y-95802862D01* -X114528600Y-95802862D01* -X114510208Y-95710397D01* -X114474130Y-95623298D01* -X114421753Y-95544910D01* -X114355090Y-95478247D01* -X114276702Y-95425870D01* -X114189603Y-95389792D01* -X114097138Y-95371400D01* -X114002862Y-95371400D01* -X113910397Y-95389792D01* -X113823298Y-95425870D01* -X113744910Y-95478247D01* -X113678247Y-95544910D01* -X113625870Y-95623298D01* -X113589792Y-95710397D01* -X113571400Y-95802862D01* -X110173241Y-95802862D01* -X110224130Y-95726702D01* -X110260208Y-95639603D01* -X110278600Y-95547138D01* -X110278600Y-95452862D01* -X110260208Y-95360397D01* -X110224130Y-95273298D01* -X110171753Y-95194910D01* -X110105090Y-95128247D01* -X110026702Y-95075870D01* -X109939603Y-95039792D01* -X109847138Y-95021400D01* -X109752862Y-95021400D01* -X109660397Y-95039792D01* -X109573298Y-95075870D01* -X109494910Y-95128247D01* -X109428247Y-95194910D01* -X109375870Y-95273298D01* -X109339792Y-95360397D01* -X109321400Y-95452862D01* -X103327461Y-95452862D01* -X103328600Y-95447138D01* -X103328600Y-95352862D01* -X103310208Y-95260397D01* -X103274130Y-95173298D01* -X103221753Y-95094910D01* -X103155090Y-95028247D01* -X103117099Y-95002862D01* -X114221400Y-95002862D01* -X114221400Y-95097138D01* -X114239792Y-95189603D01* -X114275870Y-95276702D01* -X114328247Y-95355090D01* -X114394910Y-95421753D01* -X114473298Y-95474130D01* -X114560397Y-95510208D01* -X114652862Y-95528600D01* -X114747138Y-95528600D01* -X114839603Y-95510208D01* -X114926702Y-95474130D01* -X115005090Y-95421753D01* -X115071753Y-95355090D01* -X115124130Y-95276702D01* -X115160208Y-95189603D01* -X115178600Y-95097138D01* -X115178600Y-95002862D01* -X122771400Y-95002862D01* -X122771400Y-95097138D01* -X122789792Y-95189603D01* -X122825870Y-95276702D01* -X122878247Y-95355090D01* -X122944910Y-95421753D01* -X123023298Y-95474130D01* -X123110397Y-95510208D01* -X123202862Y-95528600D01* -X123297138Y-95528600D01* -X123389603Y-95510208D01* -X123476702Y-95474130D01* -X123555090Y-95421753D01* -X123621753Y-95355090D01* -X123674130Y-95276702D01* -X123710208Y-95189603D01* -X123728600Y-95097138D01* -X123728600Y-95038088D01* -X125821400Y-95038088D01* -X125821400Y-95161912D01* -X125845556Y-95283356D01* -X125892941Y-95397754D01* -X125961734Y-95500709D01* -X126049291Y-95588266D01* -X126152246Y-95657059D01* -X126266644Y-95704444D01* -X126388088Y-95728600D01* -X126511912Y-95728600D01* -X126633356Y-95704444D01* -X126747754Y-95657059D01* -X126850709Y-95588266D01* -X126938266Y-95500709D01* -X127007059Y-95397754D01* -X127054444Y-95283356D01* -X127078600Y-95161912D01* -X127078600Y-95038088D01* -X127054444Y-94916644D01* -X127007059Y-94802246D01* -X126938266Y-94699291D01* -X126850709Y-94611734D01* -X126747754Y-94542941D01* -X126633356Y-94495556D01* -X126511912Y-94471400D01* -X126388088Y-94471400D01* -X126266644Y-94495556D01* -X126152246Y-94542941D01* -X126049291Y-94611734D01* -X125961734Y-94699291D01* -X125892941Y-94802246D01* -X125845556Y-94916644D01* -X125821400Y-95038088D01* -X123728600Y-95038088D01* -X123728600Y-95002862D01* -X123710208Y-94910397D01* -X123674130Y-94823298D01* -X123621753Y-94744910D01* -X123555090Y-94678247D01* -X123476702Y-94625870D01* -X123389603Y-94589792D01* -X123297138Y-94571400D01* -X123202862Y-94571400D01* -X123110397Y-94589792D01* -X123023298Y-94625870D01* -X122944910Y-94678247D01* -X122878247Y-94744910D01* -X122825870Y-94823298D01* -X122789792Y-94910397D01* -X122771400Y-95002862D01* -X115178600Y-95002862D01* -X115160208Y-94910397D01* -X115124130Y-94823298D01* -X115071753Y-94744910D01* -X115005090Y-94678247D01* -X114926702Y-94625870D01* -X114839603Y-94589792D01* -X114747138Y-94571400D01* -X114652862Y-94571400D01* -X114560397Y-94589792D01* -X114473298Y-94625870D01* -X114394910Y-94678247D01* -X114328247Y-94744910D01* -X114275870Y-94823298D01* -X114239792Y-94910397D01* -X114221400Y-95002862D01* -X103117099Y-95002862D01* -X103076702Y-94975870D01* -X102989603Y-94939792D01* -X102897138Y-94921400D01* -X102802862Y-94921400D01* -X102710397Y-94939792D01* -X102623298Y-94975870D01* -X102544910Y-95028247D01* -X102478247Y-95094910D01* -X102425870Y-95173298D01* -X102389792Y-95260397D01* -X102371400Y-95352862D01* -X99807337Y-95352862D01* -X99876702Y-95324130D01* -X99955090Y-95271753D01* -X100021753Y-95205090D01* -X100074130Y-95126702D01* -X100110208Y-95039603D01* -X100128600Y-94947138D01* -X100128600Y-94852862D01* -X100110208Y-94760397D01* -X100074130Y-94673298D01* -X100021753Y-94594910D01* -X99955090Y-94528247D01* -X99876702Y-94475870D01* -X99789603Y-94439792D01* -X99697138Y-94421400D01* -X99602862Y-94421400D01* -X99510397Y-94439792D01* -X99423298Y-94475870D01* -X99344910Y-94528247D01* -X99278247Y-94594910D01* -X99225870Y-94673298D01* -X99189792Y-94760397D01* -X99171400Y-94852862D01* -X99128600Y-94852862D01* -X99110208Y-94760397D01* -X99074130Y-94673298D01* -X99021753Y-94594910D01* -X98955090Y-94528247D01* -X98876702Y-94475870D01* -X98789603Y-94439792D01* -X98697138Y-94421400D01* -X98602862Y-94421400D01* -X98510397Y-94439792D01* -X98423298Y-94475870D01* -X98344910Y-94528247D01* -X98278247Y-94594910D01* -X98225870Y-94673298D01* -X98189792Y-94760397D01* -X98171400Y-94852862D01* -X97407087Y-94852862D01* -X97374130Y-94773298D01* -X97321753Y-94694910D01* -X97255090Y-94628247D01* -X97176702Y-94575870D01* -X97089603Y-94539792D01* -X96997138Y-94521400D01* -X96902862Y-94521400D01* -X96810397Y-94539792D01* -X96723298Y-94575870D01* -X96644910Y-94628247D01* -X96578247Y-94694910D01* -X96525870Y-94773298D01* -X96489792Y-94860397D01* -X96471400Y-94952862D01* -X96327067Y-94952862D01* -X96321753Y-94944910D01* -X96255090Y-94878247D01* -X96176702Y-94825870D01* -X96089603Y-94789792D01* -X95997138Y-94771400D01* -X95902862Y-94771400D01* -X95810397Y-94789792D01* -X95723298Y-94825870D01* -X95644910Y-94878247D01* -X95578247Y-94944910D01* -X95525870Y-95023298D01* -X95489792Y-95110397D01* -X95471400Y-95202862D01* -X92023981Y-95202862D01* -X92071753Y-95155090D01* -X92124130Y-95076702D01* -X92160208Y-94989603D01* -X92178600Y-94897138D01* -X92178600Y-94802862D01* -X92160208Y-94710397D01* -X92124130Y-94623298D01* -X92071753Y-94544910D01* -X92005090Y-94478247D01* -X91926702Y-94425870D01* -X91839603Y-94389792D01* -X91747138Y-94371400D01* -X91652862Y-94371400D01* -X91560397Y-94389792D01* -X91473298Y-94425870D01* -X91394910Y-94478247D01* -X91328247Y-94544910D01* -X91275870Y-94623298D01* -X91239792Y-94710397D01* -X91221400Y-94802862D01* -X86723981Y-94802862D01* -X86771753Y-94755090D01* -X86824130Y-94676702D01* -X86860208Y-94589603D01* -X86878600Y-94497138D01* -X86878600Y-94402862D01* -X86860208Y-94310397D01* -X86824130Y-94223298D01* -X86810476Y-94202862D01* -X113571400Y-94202862D01* -X113571400Y-94297138D01* -X113589792Y-94389603D01* -X113625870Y-94476702D01* -X113678247Y-94555090D01* -X113744910Y-94621753D01* -X113823298Y-94674130D01* -X113910397Y-94710208D01* -X114002862Y-94728600D01* -X114097138Y-94728600D01* -X114189603Y-94710208D01* -X114276702Y-94674130D01* -X114355090Y-94621753D01* -X114421753Y-94555090D01* -X114474130Y-94476702D01* -X114510208Y-94389603D01* -X114528600Y-94297138D01* -X114528600Y-94202862D01* -X122121400Y-94202862D01* -X122121400Y-94297138D01* -X122139792Y-94389603D01* -X122175870Y-94476702D01* -X122228247Y-94555090D01* -X122294910Y-94621753D01* -X122373298Y-94674130D01* -X122460397Y-94710208D01* -X122552862Y-94728600D01* -X122647138Y-94728600D01* -X122739603Y-94710208D01* -X122826702Y-94674130D01* -X122905090Y-94621753D01* -X122971753Y-94555090D01* -X123024130Y-94476702D01* -X123060208Y-94389603D01* -X123078600Y-94297138D01* -X123078600Y-94202862D01* -X123060208Y-94110397D01* -X123057087Y-94102862D01* -X125121400Y-94102862D01* -X125121400Y-94197138D01* -X125139792Y-94289603D01* -X125175870Y-94376702D01* -X125228247Y-94455090D01* -X125294910Y-94521753D01* -X125373298Y-94574130D01* -X125460397Y-94610208D01* -X125552862Y-94628600D01* -X125647138Y-94628600D01* -X125739603Y-94610208D01* -X125826702Y-94574130D01* -X125905090Y-94521753D01* -X125971753Y-94455090D01* -X126024130Y-94376702D01* -X126060208Y-94289603D01* -X126078600Y-94197138D01* -X126078600Y-94102862D01* -X126060208Y-94010397D01* -X126024130Y-93923298D01* -X125971753Y-93844910D01* -X125964931Y-93838088D01* -X126821400Y-93838088D01* -X126821400Y-93961912D01* -X126845556Y-94083356D01* -X126892941Y-94197754D01* -X126961734Y-94300709D01* -X127049291Y-94388266D01* -X127152246Y-94457059D01* -X127266644Y-94504444D01* -X127388088Y-94528600D01* -X127511912Y-94528600D01* -X127633356Y-94504444D01* -X127747754Y-94457059D01* -X127850709Y-94388266D01* -X127938266Y-94300709D01* -X128007059Y-94197754D01* -X128054444Y-94083356D01* -X128078600Y-93961912D01* -X128078600Y-93838088D01* -X128054444Y-93716644D01* -X128007059Y-93602246D01* -X127938266Y-93499291D01* -X127850709Y-93411734D01* -X127747754Y-93342941D01* -X127638924Y-93297862D01* -X130204400Y-93297862D01* -X130204400Y-93392138D01* -X130222792Y-93484603D01* -X130258870Y-93571702D01* -X130311247Y-93650090D01* -X130377910Y-93716753D01* -X130456298Y-93769130D01* -X130543397Y-93805208D01* -X130635862Y-93823600D01* -X130730138Y-93823600D01* -X130822603Y-93805208D01* -X130909702Y-93769130D01* -X130988090Y-93716753D01* -X131054753Y-93650090D01* -X131107130Y-93571702D01* -X131143208Y-93484603D01* -X131161600Y-93392138D01* -X131161600Y-93297862D01* -X135284400Y-93297862D01* -X135284400Y-93392138D01* -X135302792Y-93484603D01* -X135338870Y-93571702D01* -X135391247Y-93650090D01* -X135457910Y-93716753D01* -X135536298Y-93769130D01* -X135623397Y-93805208D01* -X135715862Y-93823600D01* -X135810138Y-93823600D01* -X135902603Y-93805208D01* -X135989702Y-93769130D01* -X136068090Y-93716753D01* -X136134753Y-93650090D01* -X136187130Y-93571702D01* -X136223208Y-93484603D01* -X136241600Y-93392138D01* -X136241600Y-93297862D01* -X140364400Y-93297862D01* -X140364400Y-93392138D01* -X140382792Y-93484603D01* -X140418870Y-93571702D01* -X140471247Y-93650090D01* -X140537910Y-93716753D01* -X140616298Y-93769130D01* -X140703397Y-93805208D01* -X140795862Y-93823600D01* -X140890138Y-93823600D01* -X140982603Y-93805208D01* -X141069702Y-93769130D01* -X141148090Y-93716753D01* -X141214753Y-93650090D01* -X141267130Y-93571702D01* -X141303208Y-93484603D01* -X141321600Y-93392138D01* -X141321600Y-93297862D01* -X141303208Y-93205397D01* -X141267130Y-93118298D01* -X141214753Y-93039910D01* -X141148090Y-92973247D01* -X141069702Y-92920870D01* -X140982603Y-92884792D01* -X140890138Y-92866400D01* -X140795862Y-92866400D01* -X140703397Y-92884792D01* -X140616298Y-92920870D01* -X140537910Y-92973247D01* -X140471247Y-93039910D01* -X140418870Y-93118298D01* -X140382792Y-93205397D01* -X140364400Y-93297862D01* -X136241600Y-93297862D01* -X136223208Y-93205397D01* -X136187130Y-93118298D01* -X136134753Y-93039910D01* -X136068090Y-92973247D01* -X135989702Y-92920870D01* -X135902603Y-92884792D01* -X135810138Y-92866400D01* -X135715862Y-92866400D01* -X135623397Y-92884792D01* -X135536298Y-92920870D01* -X135457910Y-92973247D01* -X135391247Y-93039910D01* -X135338870Y-93118298D01* -X135302792Y-93205397D01* -X135284400Y-93297862D01* -X131161600Y-93297862D01* -X131143208Y-93205397D01* -X131107130Y-93118298D01* -X131054753Y-93039910D01* -X130988090Y-92973247D01* -X130909702Y-92920870D01* -X130822603Y-92884792D01* -X130730138Y-92866400D01* -X130635862Y-92866400D01* -X130543397Y-92884792D01* -X130456298Y-92920870D01* -X130377910Y-92973247D01* -X130311247Y-93039910D01* -X130258870Y-93118298D01* -X130222792Y-93205397D01* -X130204400Y-93297862D01* -X127638924Y-93297862D01* -X127633356Y-93295556D01* -X127511912Y-93271400D01* -X127388088Y-93271400D01* -X127266644Y-93295556D01* -X127152246Y-93342941D01* -X127049291Y-93411734D01* -X126961734Y-93499291D01* -X126892941Y-93602246D01* -X126845556Y-93716644D01* -X126821400Y-93838088D01* -X125964931Y-93838088D01* -X125905090Y-93778247D01* -X125826702Y-93725870D01* -X125739603Y-93689792D01* -X125647138Y-93671400D01* -X125552862Y-93671400D01* -X125460397Y-93689792D01* -X125373298Y-93725870D01* -X125294910Y-93778247D01* -X125228247Y-93844910D01* -X125175870Y-93923298D01* -X125139792Y-94010397D01* -X125121400Y-94102862D01* -X123057087Y-94102862D01* -X123024130Y-94023298D01* -X122971753Y-93944910D01* -X122905090Y-93878247D01* -X122826702Y-93825870D01* -X122739603Y-93789792D01* -X122647138Y-93771400D01* -X122552862Y-93771400D01* -X122460397Y-93789792D01* -X122373298Y-93825870D01* -X122294910Y-93878247D01* -X122228247Y-93944910D01* -X122175870Y-94023298D01* -X122139792Y-94110397D01* -X122121400Y-94202862D01* -X114528600Y-94202862D01* -X114510208Y-94110397D01* -X114474130Y-94023298D01* -X114421753Y-93944910D01* -X114355090Y-93878247D01* -X114276702Y-93825870D01* -X114189603Y-93789792D01* -X114097138Y-93771400D01* -X114002862Y-93771400D01* -X113910397Y-93789792D01* -X113823298Y-93825870D01* -X113744910Y-93878247D01* -X113678247Y-93944910D01* -X113625870Y-94023298D01* -X113589792Y-94110397D01* -X113571400Y-94202862D01* -X86810476Y-94202862D01* -X86771753Y-94144910D01* -X86705090Y-94078247D01* -X86626702Y-94025870D01* -X86539603Y-93989792D01* -X86447138Y-93971400D01* -X86352862Y-93971400D01* -X86260397Y-93989792D01* -X86173298Y-94025870D01* -X86094910Y-94078247D01* -X86028247Y-94144910D01* -X85975870Y-94223298D01* -X85939792Y-94310397D01* -X85921400Y-94402862D01* -X56993600Y-94402862D01* -X56993600Y-94313862D01* -X56975208Y-94221397D01* -X56939130Y-94134298D01* -X56886753Y-94055910D01* -X56820090Y-93989247D01* -X56741702Y-93936870D01* -X56654603Y-93900792D01* -X56562138Y-93882400D01* -X56467862Y-93882400D01* -X56375397Y-93900792D01* -X56288298Y-93936870D01* -X56209910Y-93989247D01* -X56143247Y-94055910D01* -X56090870Y-94134298D01* -X56054792Y-94221397D01* -X56036400Y-94313862D01* -X51913600Y-94313862D01* -X51895208Y-94221397D01* -X51859130Y-94134298D01* -X51806753Y-94055910D01* -X51740090Y-93989247D01* -X51661702Y-93936870D01* -X51574603Y-93900792D01* -X51482138Y-93882400D01* -X51387862Y-93882400D01* -X51295397Y-93900792D01* -X51208298Y-93936870D01* -X51129910Y-93989247D01* -X51063247Y-94055910D01* -X51010870Y-94134298D01* -X50974792Y-94221397D01* -X50956400Y-94313862D01* -X46177200Y-94313862D01* -X46177200Y-93916386D01* -X46215397Y-93932208D01* -X46307862Y-93950600D01* -X46402138Y-93950600D01* -X46494603Y-93932208D01* -X46581702Y-93896130D01* -X46660090Y-93843753D01* -X46726753Y-93777090D01* -X46779130Y-93698702D01* -X46815208Y-93611603D01* -X46833600Y-93519138D01* -X46833600Y-93424862D01* -X46815208Y-93332397D01* -X46800903Y-93297862D01* -X59084400Y-93297862D01* -X59084400Y-93392138D01* -X59102792Y-93484603D01* -X59138870Y-93571702D01* -X59191247Y-93650090D01* -X59257910Y-93716753D01* -X59336298Y-93769130D01* -X59423397Y-93805208D01* -X59515862Y-93823600D01* -X59610138Y-93823600D01* -X59702603Y-93805208D01* -X59789702Y-93769130D01* -X59868090Y-93716753D01* -X59934753Y-93650090D01* -X59987130Y-93571702D01* -X60023208Y-93484603D01* -X60041600Y-93392138D01* -X60041600Y-93297862D01* -X64164400Y-93297862D01* -X64164400Y-93392138D01* -X64182792Y-93484603D01* -X64218870Y-93571702D01* -X64271247Y-93650090D01* -X64337910Y-93716753D01* -X64416298Y-93769130D01* -X64503397Y-93805208D01* -X64595862Y-93823600D01* -X64690138Y-93823600D01* -X64782603Y-93805208D01* -X64869702Y-93769130D01* -X64948090Y-93716753D01* -X65014753Y-93650090D01* -X65067130Y-93571702D01* -X65103208Y-93484603D01* -X65121600Y-93392138D01* -X65121600Y-93297862D01* -X69244400Y-93297862D01* -X69244400Y-93392138D01* -X69262792Y-93484603D01* -X69298870Y-93571702D01* -X69351247Y-93650090D01* -X69417910Y-93716753D01* -X69496298Y-93769130D01* -X69583397Y-93805208D01* -X69675862Y-93823600D01* -X69770138Y-93823600D01* -X69862603Y-93805208D01* -X69949702Y-93769130D01* -X69974048Y-93752862D01* -X82671400Y-93752862D01* -X82671400Y-93847138D01* -X82689792Y-93939603D01* -X82725870Y-94026702D01* -X82778247Y-94105090D01* -X82844910Y-94171753D01* -X82923298Y-94224130D01* -X83010397Y-94260208D01* -X83102862Y-94278600D01* -X83197138Y-94278600D01* -X83289603Y-94260208D01* -X83376702Y-94224130D01* -X83455090Y-94171753D01* -X83521753Y-94105090D01* -X83574130Y-94026702D01* -X83610208Y-93939603D01* -X83628600Y-93847138D01* -X83628600Y-93752862D01* -X83610208Y-93660397D01* -X83574130Y-93573298D01* -X83521753Y-93494910D01* -X83455090Y-93428247D01* -X83376702Y-93375870D01* -X83289603Y-93339792D01* -X83197138Y-93321400D01* -X83102862Y-93321400D01* -X83010397Y-93339792D01* -X82923298Y-93375870D01* -X82844910Y-93428247D01* -X82778247Y-93494910D01* -X82725870Y-93573298D01* -X82689792Y-93660397D01* -X82671400Y-93752862D01* -X69974048Y-93752862D01* -X70028090Y-93716753D01* -X70094753Y-93650090D01* -X70147130Y-93571702D01* -X70183208Y-93484603D01* -X70201600Y-93392138D01* -X70201600Y-93297862D01* -X70183208Y-93205397D01* -X70147130Y-93118298D01* -X70094753Y-93039910D01* -X70028090Y-92973247D01* -X69949702Y-92920870D01* -X69862603Y-92884792D01* -X69770138Y-92866400D01* -X69675862Y-92866400D01* -X69583397Y-92884792D01* -X69496298Y-92920870D01* -X69417910Y-92973247D01* -X69351247Y-93039910D01* -X69298870Y-93118298D01* -X69262792Y-93205397D01* -X69244400Y-93297862D01* -X65121600Y-93297862D01* -X65103208Y-93205397D01* -X65067130Y-93118298D01* -X65014753Y-93039910D01* -X64948090Y-92973247D01* -X64869702Y-92920870D01* -X64782603Y-92884792D01* -X64690138Y-92866400D01* -X64595862Y-92866400D01* -X64503397Y-92884792D01* -X64416298Y-92920870D01* -X64337910Y-92973247D01* -X64271247Y-93039910D01* -X64218870Y-93118298D01* -X64182792Y-93205397D01* -X64164400Y-93297862D01* -X60041600Y-93297862D01* -X60023208Y-93205397D01* -X59987130Y-93118298D01* -X59934753Y-93039910D01* -X59868090Y-92973247D01* -X59789702Y-92920870D01* -X59702603Y-92884792D01* -X59610138Y-92866400D01* -X59515862Y-92866400D01* -X59423397Y-92884792D01* -X59336298Y-92920870D01* -X59257910Y-92973247D01* -X59191247Y-93039910D01* -X59138870Y-93118298D01* -X59102792Y-93205397D01* -X59084400Y-93297862D01* -X46800903Y-93297862D01* -X46779130Y-93245298D01* -X46726753Y-93166910D01* -X46660090Y-93100247D01* -X46581702Y-93047870D01* -X46494603Y-93011792D01* -X46402138Y-92993400D01* -X46307862Y-92993400D01* -X46299496Y-92995064D01* -X46300719Y-92988951D01* -X46368763Y-92852862D01* -X86671400Y-92852862D01* -X86671400Y-92947138D01* -X86689792Y-93039603D01* -X86725870Y-93126702D01* -X86778247Y-93205090D01* -X86844910Y-93271753D01* -X86923298Y-93324130D01* -X87010397Y-93360208D01* -X87102862Y-93378600D01* -X87197138Y-93378600D01* -X87289603Y-93360208D01* -X87376702Y-93324130D01* -X87455090Y-93271753D01* -X87521753Y-93205090D01* -X87574130Y-93126702D01* -X87610208Y-93039603D01* -X87628600Y-92947138D01* -X87628600Y-92852862D01* -X87610208Y-92760397D01* -X87574130Y-92673298D01* -X87521753Y-92594910D01* -X87455090Y-92528247D01* -X87417099Y-92502862D01* -X88821400Y-92502862D01* -X88821400Y-92597138D01* -X88839792Y-92689603D01* -X88875870Y-92776702D01* -X88928247Y-92855090D01* -X88994910Y-92921753D01* -X89073298Y-92974130D01* -X89160397Y-93010208D01* -X89252862Y-93028600D01* -X89347138Y-93028600D01* -X89439603Y-93010208D01* -X89526702Y-92974130D01* -X89605090Y-92921753D01* -X89671753Y-92855090D01* -X89724130Y-92776702D01* -X89760208Y-92689603D01* -X89778600Y-92597138D01* -X89778600Y-92552862D01* -X91121400Y-92552862D01* -X91121400Y-92647138D01* -X91139792Y-92739603D01* -X91175870Y-92826702D01* -X91228247Y-92905090D01* -X91294910Y-92971753D01* -X91373298Y-93024130D01* -X91460397Y-93060208D01* -X91552862Y-93078600D01* -X91647138Y-93078600D01* -X91739603Y-93060208D01* -X91826702Y-93024130D01* -X91905090Y-92971753D01* -X91971753Y-92905090D01* -X92024130Y-92826702D01* -X92060208Y-92739603D01* -X92078600Y-92647138D01* -X92078600Y-92552862D01* -X92078599Y-92552852D01* -X95821400Y-92552852D01* -X95821400Y-92647128D01* -X95839792Y-92739593D01* -X95875870Y-92826692D01* -X95928247Y-92905080D01* -X95994910Y-92971743D01* -X96073298Y-93024120D01* -X96160397Y-93060198D01* -X96252862Y-93078590D01* -X96347138Y-93078590D01* -X96439603Y-93060198D01* -X96526702Y-93024120D01* -X96605090Y-92971743D01* -X96671753Y-92905080D01* -X96724130Y-92826692D01* -X96760208Y-92739593D01* -X96778600Y-92647128D01* -X96778600Y-92552862D01* -X98221400Y-92552862D01* -X98221400Y-92647138D01* -X98239792Y-92739603D01* -X98275870Y-92826702D01* -X98328247Y-92905090D01* -X98394910Y-92971753D01* -X98473298Y-93024130D01* -X98560397Y-93060208D01* -X98652862Y-93078600D01* -X98747138Y-93078600D01* -X98839603Y-93060208D01* -X98926702Y-93024130D01* -X99005090Y-92971753D01* -X99071753Y-92905090D01* -X99124130Y-92826702D01* -X99160208Y-92739603D01* -X99178600Y-92647138D01* -X99178600Y-92552862D01* -X99571400Y-92552862D01* -X99571400Y-92647138D01* -X99589792Y-92739603D01* -X99625870Y-92826702D01* -X99678247Y-92905090D01* -X99744910Y-92971753D01* -X99823298Y-93024130D01* -X99910397Y-93060208D01* -X100002862Y-93078600D01* -X100097138Y-93078600D01* -X100189603Y-93060208D01* -X100276702Y-93024130D01* -X100355090Y-92971753D01* -X100373981Y-92952862D01* -X100671400Y-92952862D01* -X100671400Y-93047138D01* -X100689792Y-93139603D01* -X100725870Y-93226702D01* -X100778247Y-93305090D01* -X100844910Y-93371753D01* -X100923298Y-93424130D01* -X101010397Y-93460208D01* -X101102862Y-93478600D01* -X101197138Y-93478600D01* -X101289603Y-93460208D01* -X101376702Y-93424130D01* -X101408531Y-93402862D01* -X114221400Y-93402862D01* -X114221400Y-93497138D01* -X114239792Y-93589603D01* -X114275870Y-93676702D01* -X114328247Y-93755090D01* -X114394910Y-93821753D01* -X114473298Y-93874130D01* -X114560397Y-93910208D01* -X114652862Y-93928600D01* -X114747138Y-93928600D01* -X114839603Y-93910208D01* -X114926702Y-93874130D01* -X115005090Y-93821753D01* -X115071753Y-93755090D01* -X115124130Y-93676702D01* -X115160208Y-93589603D01* -X115178600Y-93497138D01* -X115178600Y-93402862D01* -X121471400Y-93402862D01* -X121471400Y-93497138D01* -X121489792Y-93589603D01* -X121525870Y-93676702D01* -X121578247Y-93755090D01* -X121644910Y-93821753D01* -X121723298Y-93874130D01* -X121810397Y-93910208D01* -X121902862Y-93928600D01* -X121997138Y-93928600D01* -X122089603Y-93910208D01* -X122176702Y-93874130D01* -X122255090Y-93821753D01* -X122321753Y-93755090D01* -X122374130Y-93676702D01* -X122410208Y-93589603D01* -X122428600Y-93497138D01* -X122428600Y-93402862D01* -X122410208Y-93310397D01* -X122374130Y-93223298D01* -X122321753Y-93144910D01* -X122255090Y-93078247D01* -X122176702Y-93025870D01* -X122089603Y-92989792D01* -X121997138Y-92971400D01* -X121902862Y-92971400D01* -X121810397Y-92989792D01* -X121723298Y-93025870D01* -X121644910Y-93078247D01* -X121578247Y-93144910D01* -X121525870Y-93223298D01* -X121489792Y-93310397D01* -X121471400Y-93402862D01* -X115178600Y-93402862D01* -X115160208Y-93310397D01* -X115124130Y-93223298D01* -X115071753Y-93144910D01* -X115005090Y-93078247D01* -X114926702Y-93025870D01* -X114839603Y-92989792D01* -X114747138Y-92971400D01* -X114652862Y-92971400D01* -X114560397Y-92989792D01* -X114473298Y-93025870D01* -X114394910Y-93078247D01* -X114328247Y-93144910D01* -X114275870Y-93223298D01* -X114239792Y-93310397D01* -X114221400Y-93402862D01* -X101408531Y-93402862D01* -X101455090Y-93371753D01* -X101521753Y-93305090D01* -X101574130Y-93226702D01* -X101610208Y-93139603D01* -X101628600Y-93047138D01* -X101628600Y-92952862D01* -X101610208Y-92860397D01* -X101574130Y-92773298D01* -X101521753Y-92694910D01* -X101455090Y-92628247D01* -X101376702Y-92575870D01* -X101289603Y-92539792D01* -X101197138Y-92521400D01* -X101102862Y-92521400D01* -X101010397Y-92539792D01* -X100923298Y-92575870D01* -X100844910Y-92628247D01* -X100778247Y-92694910D01* -X100725870Y-92773298D01* -X100689792Y-92860397D01* -X100671400Y-92952862D01* -X100373981Y-92952862D01* -X100421753Y-92905090D01* -X100474130Y-92826702D01* -X100510208Y-92739603D01* -X100528600Y-92647138D01* -X100528600Y-92552862D01* -X100510208Y-92460397D01* -X100507087Y-92452862D01* -X111271400Y-92452862D01* -X111271400Y-92547138D01* -X111289792Y-92639603D01* -X111325870Y-92726702D01* -X111378247Y-92805090D01* -X111444910Y-92871753D01* -X111523298Y-92924130D01* -X111610397Y-92960208D01* -X111702862Y-92978600D01* -X111797138Y-92978600D01* -X111889603Y-92960208D01* -X111976702Y-92924130D01* -X112055090Y-92871753D01* -X112121753Y-92805090D01* -X112174130Y-92726702D01* -X112210208Y-92639603D01* -X112217516Y-92602862D01* -X113571400Y-92602862D01* -X113571400Y-92697138D01* -X113589792Y-92789603D01* -X113625870Y-92876702D01* -X113678247Y-92955090D01* -X113744910Y-93021753D01* -X113823298Y-93074130D01* -X113910397Y-93110208D01* -X114002862Y-93128600D01* -X114097138Y-93128600D01* -X114189603Y-93110208D01* -X114276702Y-93074130D01* -X114355090Y-93021753D01* -X114421753Y-92955090D01* -X114474130Y-92876702D01* -X114510208Y-92789603D01* -X114528600Y-92697138D01* -X114528600Y-92602862D01* -X114510208Y-92510397D01* -X114474130Y-92423298D01* -X114421753Y-92344910D01* -X114355090Y-92278247D01* -X114276702Y-92225870D01* -X114189603Y-92189792D01* -X114097138Y-92171400D01* -X114002862Y-92171400D01* -X113910397Y-92189792D01* -X113823298Y-92225870D01* -X113744910Y-92278247D01* -X113678247Y-92344910D01* -X113625870Y-92423298D01* -X113589792Y-92510397D01* -X113571400Y-92602862D01* -X112217516Y-92602862D01* -X112228600Y-92547138D01* -X112228600Y-92452862D01* -X112210208Y-92360397D01* -X112174130Y-92273298D01* -X112121753Y-92194910D01* -X112055090Y-92128247D01* -X111976702Y-92075870D01* -X111889603Y-92039792D01* -X111797138Y-92021400D01* -X111702862Y-92021400D01* -X111610397Y-92039792D01* -X111523298Y-92075870D01* -X111444910Y-92128247D01* -X111378247Y-92194910D01* -X111325870Y-92273298D01* -X111289792Y-92360397D01* -X111271400Y-92452862D01* -X100507087Y-92452862D01* -X100474130Y-92373298D01* -X100421753Y-92294910D01* -X100355090Y-92228247D01* -X100276702Y-92175870D01* -X100189603Y-92139792D01* -X100097138Y-92121400D01* -X100002862Y-92121400D01* -X99910397Y-92139792D01* -X99823298Y-92175870D01* -X99744910Y-92228247D01* -X99678247Y-92294910D01* -X99625870Y-92373298D01* -X99589792Y-92460397D01* -X99571400Y-92552862D01* -X99178600Y-92552862D01* -X99160208Y-92460397D01* -X99124130Y-92373298D01* -X99071753Y-92294910D01* -X99005090Y-92228247D01* -X98926702Y-92175870D01* -X98839603Y-92139792D01* -X98747138Y-92121400D01* -X98652862Y-92121400D01* -X98560397Y-92139792D01* -X98473298Y-92175870D01* -X98394910Y-92228247D01* -X98328247Y-92294910D01* -X98275870Y-92373298D01* -X98239792Y-92460397D01* -X98221400Y-92552862D01* -X96778600Y-92552862D01* -X96778600Y-92552852D01* -X96760208Y-92460387D01* -X96724130Y-92373288D01* -X96671753Y-92294900D01* -X96605090Y-92228237D01* -X96526702Y-92175860D01* -X96439603Y-92139782D01* -X96347138Y-92121390D01* -X96252862Y-92121390D01* -X96160397Y-92139782D01* -X96073298Y-92175860D01* -X95994910Y-92228237D01* -X95928247Y-92294900D01* -X95875870Y-92373288D01* -X95839792Y-92460387D01* -X95821400Y-92552852D01* -X92078599Y-92552852D01* -X92060208Y-92460397D01* -X92024130Y-92373298D01* -X91971753Y-92294910D01* -X91905090Y-92228247D01* -X91826702Y-92175870D01* -X91739603Y-92139792D01* -X91647138Y-92121400D01* -X91552862Y-92121400D01* -X91460397Y-92139792D01* -X91373298Y-92175870D01* -X91294910Y-92228247D01* -X91228247Y-92294910D01* -X91175870Y-92373298D01* -X91139792Y-92460397D01* -X91121400Y-92552862D01* -X89778600Y-92552862D01* -X89778600Y-92502862D01* -X89760208Y-92410397D01* -X89724130Y-92323298D01* -X89671753Y-92244910D01* -X89605090Y-92178247D01* -X89526702Y-92125870D01* -X89439603Y-92089792D01* -X89347138Y-92071400D01* -X89252862Y-92071400D01* -X89160397Y-92089792D01* -X89073298Y-92125870D01* -X88994910Y-92178247D01* -X88928247Y-92244910D01* -X88875870Y-92323298D01* -X88839792Y-92410397D01* -X88821400Y-92502862D01* -X87417099Y-92502862D01* -X87376702Y-92475870D01* -X87289603Y-92439792D01* -X87197138Y-92421400D01* -X87102862Y-92421400D01* -X87010397Y-92439792D01* -X86923298Y-92475870D01* -X86844910Y-92528247D01* -X86778247Y-92594910D01* -X86725870Y-92673298D01* -X86689792Y-92760397D01* -X86671400Y-92852862D01* -X46368763Y-92852862D01* -X46544624Y-92501140D01* -X47271902Y-91773862D01* -X53496400Y-91773862D01* -X53496400Y-91868138D01* -X53514792Y-91960603D01* -X53550870Y-92047702D01* -X53603247Y-92126090D01* -X53669910Y-92192753D01* -X53748298Y-92245130D01* -X53835397Y-92281208D01* -X53927862Y-92299600D01* -X54022138Y-92299600D01* -X54114603Y-92281208D01* -X54201702Y-92245130D01* -X54280090Y-92192753D01* -X54346753Y-92126090D01* -X54399130Y-92047702D01* -X54435208Y-91960603D01* -X54453600Y-91868138D01* -X54453600Y-91773862D01* -X54435208Y-91681397D01* -X54421349Y-91647937D01* -X88021400Y-91647937D01* -X88021400Y-91752063D01* -X88041713Y-91854187D01* -X88081560Y-91950386D01* -X88139409Y-92036963D01* -X88213037Y-92110591D01* -X88299614Y-92168440D01* -X88395813Y-92208287D01* -X88497937Y-92228600D01* -X88602063Y-92228600D01* -X88704187Y-92208287D01* -X88800386Y-92168440D01* -X88886963Y-92110591D01* -X88960591Y-92036963D01* -X89018440Y-91950386D01* -X89058287Y-91854187D01* -X89078600Y-91752063D01* -X89078600Y-91647937D01* -X95021400Y-91647937D01* -X95021400Y-91752063D01* -X95041713Y-91854187D01* -X95081560Y-91950386D01* -X95139409Y-92036963D01* -X95213037Y-92110591D01* -X95299614Y-92168440D01* -X95395813Y-92208287D01* -X95497937Y-92228600D01* -X95602063Y-92228600D01* -X95704187Y-92208287D01* -X95800386Y-92168440D01* -X95886963Y-92110591D01* -X95960591Y-92036963D01* -X96018440Y-91950386D01* -X96040165Y-91897937D01* -X112371400Y-91897937D01* -X112371400Y-92002063D01* -X112391713Y-92104187D01* -X112431560Y-92200386D01* -X112489409Y-92286963D01* -X112563037Y-92360591D01* -X112649614Y-92418440D01* -X112745813Y-92458287D01* -X112847937Y-92478600D01* -X112952063Y-92478600D01* -X113054187Y-92458287D01* -X113150386Y-92418440D01* -X113236963Y-92360591D01* -X113310591Y-92286963D01* -X113368440Y-92200386D01* -X113408287Y-92104187D01* -X113428600Y-92002063D01* -X113428600Y-91897937D01* -X113408287Y-91795813D01* -X113368440Y-91699614D01* -X113310591Y-91613037D01* -X113295491Y-91597937D01* -X122521400Y-91597937D01* -X122521400Y-91702063D01* -X122541713Y-91804187D01* -X122581560Y-91900386D01* -X122639409Y-91986963D01* -X122713037Y-92060591D01* -X122799614Y-92118440D01* -X122895813Y-92158287D01* -X122997937Y-92178600D01* -X123102063Y-92178600D01* -X123204187Y-92158287D01* -X123300386Y-92118440D01* -X123386963Y-92060591D01* -X123460591Y-91986963D01* -X123518440Y-91900386D01* -X123558287Y-91804187D01* -X123578600Y-91702063D01* -X123578600Y-91597937D01* -X123558287Y-91495813D01* -X123518440Y-91399614D01* -X123460591Y-91313037D01* -X123386963Y-91239409D01* -X123300386Y-91181560D01* -X123204187Y-91141713D01* -X123102063Y-91121400D01* -X122997937Y-91121400D01* -X122895813Y-91141713D01* -X122799614Y-91181560D01* -X122713037Y-91239409D01* -X122639409Y-91313037D01* -X122581560Y-91399614D01* -X122541713Y-91495813D01* -X122521400Y-91597937D01* -X113295491Y-91597937D01* -X113236963Y-91539409D01* -X113150386Y-91481560D01* -X113054187Y-91441713D01* -X112952063Y-91421400D01* -X112847937Y-91421400D01* -X112745813Y-91441713D01* -X112649614Y-91481560D01* -X112563037Y-91539409D01* -X112489409Y-91613037D01* -X112431560Y-91699614D01* -X112391713Y-91795813D01* -X112371400Y-91897937D01* -X96040165Y-91897937D01* -X96058287Y-91854187D01* -X96078600Y-91752063D01* -X96078600Y-91647937D01* -X96058287Y-91545813D01* -X96018440Y-91449614D01* -X95960591Y-91363037D01* -X95886963Y-91289409D01* -X95800386Y-91231560D01* -X95704187Y-91191713D01* -X95602063Y-91171400D01* -X95497937Y-91171400D01* -X95395813Y-91191713D01* -X95299614Y-91231560D01* -X95213037Y-91289409D01* -X95139409Y-91363037D01* -X95081560Y-91449614D01* -X95041713Y-91545813D01* -X95021400Y-91647937D01* -X89078600Y-91647937D01* -X89058287Y-91545813D01* -X89018440Y-91449614D01* -X88960591Y-91363037D01* -X88886963Y-91289409D01* -X88800386Y-91231560D01* -X88704187Y-91191713D01* -X88602063Y-91171400D01* -X88497937Y-91171400D01* -X88395813Y-91191713D01* -X88299614Y-91231560D01* -X88213037Y-91289409D01* -X88139409Y-91363037D01* -X88081560Y-91449614D01* -X88041713Y-91545813D01* -X88021400Y-91647937D01* -X54421349Y-91647937D01* -X54399130Y-91594298D01* -X54346753Y-91515910D01* -X54280090Y-91449247D01* -X54201702Y-91396870D01* -X54114603Y-91360792D01* -X54022138Y-91342400D01* -X53927862Y-91342400D01* -X53835397Y-91360792D01* -X53748298Y-91396870D01* -X53669910Y-91449247D01* -X53603247Y-91515910D01* -X53550870Y-91594298D01* -X53514792Y-91681397D01* -X53496400Y-91773862D01* -X47271902Y-91773862D01* -X48477724Y-90568040D01* -X48470870Y-90578298D01* -X48434792Y-90665397D01* -X48416400Y-90757862D01* -X48416400Y-90852138D01* -X48434792Y-90944603D01* -X48470870Y-91031702D01* -X48523247Y-91110090D01* -X48589910Y-91176753D01* -X48668298Y-91229130D01* -X48755397Y-91265208D01* -X48847862Y-91283600D01* -X48942138Y-91283600D01* -X49034603Y-91265208D01* -X49121702Y-91229130D01* -X49200090Y-91176753D01* -X49266753Y-91110090D01* -X49319130Y-91031702D01* -X49327348Y-91011862D01* -X57687400Y-91011862D01* -X57687400Y-91106138D01* -X57705792Y-91198603D01* -X57741870Y-91285702D01* -X57794247Y-91364090D01* -X57860910Y-91430753D01* -X57939298Y-91483130D01* -X58026397Y-91519208D01* -X58118862Y-91537600D01* -X58213138Y-91537600D01* -X58305603Y-91519208D01* -X58392702Y-91483130D01* -X58471090Y-91430753D01* -X58537753Y-91364090D01* -X58590130Y-91285702D01* -X58626208Y-91198603D01* -X58644600Y-91106138D01* -X58644600Y-91011862D01* -X58626208Y-90919397D01* -X58590130Y-90832298D01* -X58540394Y-90757862D01* -X61624400Y-90757862D01* -X61624400Y-90852138D01* -X61642792Y-90944603D01* -X61678870Y-91031702D01* -X61731247Y-91110090D01* -X61797910Y-91176753D01* -X61876298Y-91229130D01* -X61963397Y-91265208D01* -X62055862Y-91283600D01* -X62150138Y-91283600D01* -X62242603Y-91265208D01* -X62329702Y-91229130D01* -X62408090Y-91176753D01* -X62474753Y-91110090D01* -X62527130Y-91031702D01* -X62563208Y-90944603D01* -X62581600Y-90852138D01* -X62581600Y-90757862D01* -X66704400Y-90757862D01* -X66704400Y-90852138D01* -X66722792Y-90944603D01* -X66758870Y-91031702D01* -X66811247Y-91110090D01* -X66877910Y-91176753D01* -X66956298Y-91229130D01* -X67043397Y-91265208D01* -X67135862Y-91283600D01* -X67230138Y-91283600D01* -X67322603Y-91265208D01* -X67409702Y-91229130D01* -X67488090Y-91176753D01* -X67554753Y-91110090D01* -X67607130Y-91031702D01* -X67643208Y-90944603D01* -X67661600Y-90852138D01* -X67661600Y-90757862D01* -X71784400Y-90757862D01* -X71784400Y-90852138D01* -X71802792Y-90944603D01* -X71838870Y-91031702D01* -X71891247Y-91110090D01* -X71957910Y-91176753D01* -X72036298Y-91229130D01* -X72123397Y-91265208D01* -X72215862Y-91283600D01* -X72310138Y-91283600D01* -X72402603Y-91265208D01* -X72489702Y-91229130D01* -X72568090Y-91176753D01* -X72634753Y-91110090D01* -X72687130Y-91031702D01* -X72723208Y-90944603D01* -X72741600Y-90852138D01* -X72741600Y-90757862D01* -X72739626Y-90747937D01* -X89021400Y-90747937D01* -X89021400Y-90852063D01* -X89041713Y-90954187D01* -X89081560Y-91050386D01* -X89139409Y-91136963D01* -X89213037Y-91210591D01* -X89299614Y-91268440D01* -X89395813Y-91308287D01* -X89497937Y-91328600D01* -X89602063Y-91328600D01* -X89704187Y-91308287D01* -X89800386Y-91268440D01* -X89886963Y-91210591D01* -X89960591Y-91136963D01* -X90018440Y-91050386D01* -X90058287Y-90954187D01* -X90078600Y-90852063D01* -X90078600Y-90747937D01* -X96021400Y-90747937D01* -X96021400Y-90852063D01* -X96041713Y-90954187D01* -X96081560Y-91050386D01* -X96139409Y-91136963D01* -X96213037Y-91210591D01* -X96299614Y-91268440D01* -X96395813Y-91308287D01* -X96497937Y-91328600D01* -X96602063Y-91328600D01* -X96704187Y-91308287D01* -X96800386Y-91268440D01* -X96886963Y-91210591D01* -X96960591Y-91136963D01* -X97018440Y-91050386D01* -X97058287Y-90954187D01* -X97078600Y-90852063D01* -X97078600Y-90757862D01* -X102264400Y-90757862D01* -X102264400Y-90852138D01* -X102282792Y-90944603D01* -X102318870Y-91031702D01* -X102371247Y-91110090D01* -X102437910Y-91176753D01* -X102516298Y-91229130D01* -X102603397Y-91265208D01* -X102695862Y-91283600D01* -X102790138Y-91283600D01* -X102882603Y-91265208D01* -X102969702Y-91229130D01* -X103048090Y-91176753D01* -X103114753Y-91110090D01* -X103167130Y-91031702D01* -X103203208Y-90944603D01* -X103221600Y-90852138D01* -X103221600Y-90757862D01* -X107344400Y-90757862D01* -X107344400Y-90852138D01* -X107362792Y-90944603D01* -X107398870Y-91031702D01* -X107451247Y-91110090D01* -X107517910Y-91176753D01* -X107596298Y-91229130D01* -X107683397Y-91265208D01* -X107775862Y-91283600D01* -X107870138Y-91283600D01* -X107962603Y-91265208D01* -X108049702Y-91229130D01* -X108128090Y-91176753D01* -X108194753Y-91110090D01* -X108247130Y-91031702D01* -X108283208Y-90944603D01* -X108301600Y-90852138D01* -X108301600Y-90757862D01* -X108289681Y-90697937D01* -X111521400Y-90697937D01* -X111521400Y-90802063D01* -X111541713Y-90904187D01* -X111581560Y-91000386D01* -X111639409Y-91086963D01* -X111713037Y-91160591D01* -X111799614Y-91218440D01* -X111895813Y-91258287D01* -X111997937Y-91278600D01* -X112102063Y-91278600D01* -X112204187Y-91258287D01* -X112300386Y-91218440D01* -X112386963Y-91160591D01* -X112460591Y-91086963D01* -X112518440Y-91000386D01* -X112558287Y-90904187D01* -X112578600Y-90802063D01* -X112578600Y-90757862D01* -X117504400Y-90757862D01* -X117504400Y-90852138D01* -X117522792Y-90944603D01* -X117558870Y-91031702D01* -X117611247Y-91110090D01* -X117677910Y-91176753D01* -X117756298Y-91229130D01* -X117843397Y-91265208D01* -X117935862Y-91283600D01* -X118030138Y-91283600D01* -X118122603Y-91265208D01* -X118209702Y-91229130D01* -X118288090Y-91176753D01* -X118354753Y-91110090D01* -X118407130Y-91031702D01* -X118443208Y-90944603D01* -X118461600Y-90852138D01* -X118461600Y-90757862D01* -X118449681Y-90697937D01* -X121521400Y-90697937D01* -X121521400Y-90802063D01* -X121541713Y-90904187D01* -X121581560Y-91000386D01* -X121639409Y-91086963D01* -X121713037Y-91160591D01* -X121799614Y-91218440D01* -X121895813Y-91258287D01* -X121997937Y-91278600D01* -X122102063Y-91278600D01* -X122204187Y-91258287D01* -X122300386Y-91218440D01* -X122386963Y-91160591D01* -X122460591Y-91086963D01* -X122518440Y-91000386D01* -X122558287Y-90904187D01* -X122578600Y-90802063D01* -X122578600Y-90757862D01* -X127664400Y-90757862D01* -X127664400Y-90852138D01* -X127682792Y-90944603D01* -X127718870Y-91031702D01* -X127771247Y-91110090D01* -X127837910Y-91176753D01* -X127916298Y-91229130D01* -X128003397Y-91265208D01* -X128095862Y-91283600D01* -X128190138Y-91283600D01* -X128282603Y-91265208D01* -X128369702Y-91229130D01* -X128448090Y-91176753D01* -X128514753Y-91110090D01* -X128567130Y-91031702D01* -X128603208Y-90944603D01* -X128621600Y-90852138D01* -X128621600Y-90757862D01* -X132744400Y-90757862D01* -X132744400Y-90852138D01* -X132762792Y-90944603D01* -X132798870Y-91031702D01* -X132851247Y-91110090D01* -X132917910Y-91176753D01* -X132996298Y-91229130D01* -X133083397Y-91265208D01* -X133175862Y-91283600D01* -X133270138Y-91283600D01* -X133362603Y-91265208D01* -X133449702Y-91229130D01* -X133528090Y-91176753D01* -X133594753Y-91110090D01* -X133647130Y-91031702D01* -X133683208Y-90944603D01* -X133695090Y-90884862D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134822910Y-91303753D01* -X134901298Y-91356130D01* -X134988397Y-91392208D01* -X135080862Y-91410600D01* -X135175138Y-91410600D01* -X135267603Y-91392208D01* -X135354702Y-91356130D01* -X135433090Y-91303753D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135588208Y-91071603D01* -X135606600Y-90979138D01* -X135606600Y-90884862D01* -X135919400Y-90884862D01* -X135919400Y-90979138D01* -X135937792Y-91071603D01* -X135973870Y-91158702D01* -X136026247Y-91237090D01* -X136092910Y-91303753D01* -X136171298Y-91356130D01* -X136258397Y-91392208D01* -X136350862Y-91410600D01* -X136445138Y-91410600D01* -X136537603Y-91392208D01* -X136624702Y-91356130D01* -X136703090Y-91303753D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136843903Y-90757862D01* -X137824400Y-90757862D01* -X137824400Y-90852138D01* -X137842792Y-90944603D01* -X137878870Y-91031702D01* -X137931247Y-91110090D01* -X137997910Y-91176753D01* -X138076298Y-91229130D01* -X138163397Y-91265208D01* -X138255862Y-91283600D01* -X138350138Y-91283600D01* -X138442603Y-91265208D01* -X138529702Y-91229130D01* -X138608090Y-91176753D01* -X138674753Y-91110090D01* -X138727130Y-91031702D01* -X138763208Y-90944603D01* -X138781600Y-90852138D01* -X138781600Y-90757862D01* -X142904400Y-90757862D01* -X142904400Y-90852138D01* -X142922792Y-90944603D01* -X142958870Y-91031702D01* -X143011247Y-91110090D01* -X143077910Y-91176753D01* -X143156298Y-91229130D01* -X143243397Y-91265208D01* -X143335862Y-91283600D01* -X143430138Y-91283600D01* -X143522603Y-91265208D01* -X143609702Y-91229130D01* -X143688090Y-91176753D01* -X143754753Y-91110090D01* -X143807130Y-91031702D01* -X143843208Y-90944603D01* -X143861600Y-90852138D01* -X143861600Y-90757862D01* -X143843208Y-90665397D01* -X143807130Y-90578298D01* -X143754753Y-90499910D01* -X143688090Y-90433247D01* -X143609702Y-90380870D01* -X143522603Y-90344792D01* -X143430138Y-90326400D01* -X143335862Y-90326400D01* -X143243397Y-90344792D01* -X143156298Y-90380870D01* -X143077910Y-90433247D01* -X143011247Y-90499910D01* -X142958870Y-90578298D01* -X142922792Y-90665397D01* -X142904400Y-90757862D01* -X138781600Y-90757862D01* -X138763208Y-90665397D01* -X138727130Y-90578298D01* -X138674753Y-90499910D01* -X138608090Y-90433247D01* -X138529702Y-90380870D01* -X138442603Y-90344792D01* -X138350138Y-90326400D01* -X138255862Y-90326400D01* -X138163397Y-90344792D01* -X138076298Y-90380870D01* -X137997910Y-90433247D01* -X137931247Y-90499910D01* -X137878870Y-90578298D01* -X137842792Y-90665397D01* -X137824400Y-90757862D01* -X136843903Y-90757862D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X135973870Y-90705298D01* -X135937792Y-90792397D01* -X135919400Y-90884862D01* -X135606600Y-90884862D01* -X135588208Y-90792397D01* -X135552130Y-90705298D01* -X135499753Y-90626910D01* -X135433090Y-90560247D01* -X135354702Y-90507870D01* -X135267603Y-90471792D01* -X135175138Y-90453400D01* -X135080862Y-90453400D01* -X134988397Y-90471792D01* -X134901298Y-90507870D01* -X134822910Y-90560247D01* -X134756247Y-90626910D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X133695090Y-90884862D01* -X133701600Y-90852138D01* -X133701600Y-90757862D01* -X133683208Y-90665397D01* -X133647130Y-90578298D01* -X133594753Y-90499910D01* -X133528090Y-90433247D01* -X133449702Y-90380870D01* -X133362603Y-90344792D01* -X133270138Y-90326400D01* -X133175862Y-90326400D01* -X133083397Y-90344792D01* -X132996298Y-90380870D01* -X132917910Y-90433247D01* -X132851247Y-90499910D01* -X132798870Y-90578298D01* -X132762792Y-90665397D01* -X132744400Y-90757862D01* -X128621600Y-90757862D01* -X128603208Y-90665397D01* -X128567130Y-90578298D01* -X128514753Y-90499910D01* -X128448090Y-90433247D01* -X128369702Y-90380870D01* -X128282603Y-90344792D01* -X128190138Y-90326400D01* -X128095862Y-90326400D01* -X128003397Y-90344792D01* -X127916298Y-90380870D01* -X127837910Y-90433247D01* -X127771247Y-90499910D01* -X127718870Y-90578298D01* -X127682792Y-90665397D01* -X127664400Y-90757862D01* -X122578600Y-90757862D01* -X122578600Y-90697937D01* -X122558287Y-90595813D01* -X122518440Y-90499614D01* -X122460591Y-90413037D01* -X122386963Y-90339409D01* -X122300386Y-90281560D01* -X122204187Y-90241713D01* -X122102063Y-90221400D01* -X121997937Y-90221400D01* -X121895813Y-90241713D01* -X121799614Y-90281560D01* -X121713037Y-90339409D01* -X121639409Y-90413037D01* -X121581560Y-90499614D01* -X121541713Y-90595813D01* -X121521400Y-90697937D01* -X118449681Y-90697937D01* -X118443208Y-90665397D01* -X118407130Y-90578298D01* -X118354753Y-90499910D01* -X118288090Y-90433247D01* -X118209702Y-90380870D01* -X118122603Y-90344792D01* -X118030138Y-90326400D01* -X117935862Y-90326400D01* -X117843397Y-90344792D01* -X117756298Y-90380870D01* -X117677910Y-90433247D01* -X117611247Y-90499910D01* -X117558870Y-90578298D01* -X117522792Y-90665397D01* -X117504400Y-90757862D01* -X112578600Y-90757862D01* -X112578600Y-90697937D01* -X112558287Y-90595813D01* -X112518440Y-90499614D01* -X112460591Y-90413037D01* -X112386963Y-90339409D01* -X112300386Y-90281560D01* -X112204187Y-90241713D01* -X112102063Y-90221400D01* -X111997937Y-90221400D01* -X111895813Y-90241713D01* -X111799614Y-90281560D01* -X111713037Y-90339409D01* -X111639409Y-90413037D01* -X111581560Y-90499614D01* -X111541713Y-90595813D01* -X111521400Y-90697937D01* -X108289681Y-90697937D01* -X108283208Y-90665397D01* -X108247130Y-90578298D01* -X108194753Y-90499910D01* -X108128090Y-90433247D01* -X108049702Y-90380870D01* -X107962603Y-90344792D01* -X107870138Y-90326400D01* -X107775862Y-90326400D01* -X107683397Y-90344792D01* -X107596298Y-90380870D01* -X107517910Y-90433247D01* -X107451247Y-90499910D01* -X107398870Y-90578298D01* -X107362792Y-90665397D01* -X107344400Y-90757862D01* -X103221600Y-90757862D01* -X103203208Y-90665397D01* -X103167130Y-90578298D01* -X103114753Y-90499910D01* -X103048090Y-90433247D01* -X102969702Y-90380870D01* -X102882603Y-90344792D01* -X102790138Y-90326400D01* -X102695862Y-90326400D01* -X102603397Y-90344792D01* -X102516298Y-90380870D01* -X102437910Y-90433247D01* -X102371247Y-90499910D01* -X102318870Y-90578298D01* -X102282792Y-90665397D01* -X102264400Y-90757862D01* -X97078600Y-90757862D01* -X97078600Y-90747937D01* -X97058287Y-90645813D01* -X97018440Y-90549614D01* -X96960591Y-90463037D01* -X96886963Y-90389409D01* -X96800386Y-90331560D01* -X96704187Y-90291713D01* -X96602063Y-90271400D01* -X96497937Y-90271400D01* -X96395813Y-90291713D01* -X96299614Y-90331560D01* -X96213037Y-90389409D01* -X96139409Y-90463037D01* -X96081560Y-90549614D01* -X96041713Y-90645813D01* -X96021400Y-90747937D01* -X90078600Y-90747937D01* -X90058287Y-90645813D01* -X90018440Y-90549614D01* -X89960591Y-90463037D01* -X89886963Y-90389409D01* -X89800386Y-90331560D01* -X89704187Y-90291713D01* -X89602063Y-90271400D01* -X89497937Y-90271400D01* -X89395813Y-90291713D01* -X89299614Y-90331560D01* -X89213037Y-90389409D01* -X89139409Y-90463037D01* -X89081560Y-90549614D01* -X89041713Y-90645813D01* -X89021400Y-90747937D01* -X72739626Y-90747937D01* -X72723208Y-90665397D01* -X72687130Y-90578298D01* -X72634753Y-90499910D01* -X72568090Y-90433247D01* -X72489702Y-90380870D01* -X72402603Y-90344792D01* -X72310138Y-90326400D01* -X72215862Y-90326400D01* -X72123397Y-90344792D01* -X72036298Y-90380870D01* -X71957910Y-90433247D01* -X71891247Y-90499910D01* -X71838870Y-90578298D01* -X71802792Y-90665397D01* -X71784400Y-90757862D01* -X67661600Y-90757862D01* -X67643208Y-90665397D01* -X67607130Y-90578298D01* -X67554753Y-90499910D01* -X67488090Y-90433247D01* -X67409702Y-90380870D01* -X67322603Y-90344792D01* -X67230138Y-90326400D01* -X67135862Y-90326400D01* -X67043397Y-90344792D01* -X66956298Y-90380870D01* -X66877910Y-90433247D01* -X66811247Y-90499910D01* -X66758870Y-90578298D01* -X66722792Y-90665397D01* -X66704400Y-90757862D01* -X62581600Y-90757862D01* -X62563208Y-90665397D01* -X62527130Y-90578298D01* -X62474753Y-90499910D01* -X62408090Y-90433247D01* -X62329702Y-90380870D01* -X62242603Y-90344792D01* -X62150138Y-90326400D01* -X62055862Y-90326400D01* -X61963397Y-90344792D01* -X61876298Y-90380870D01* -X61797910Y-90433247D01* -X61731247Y-90499910D01* -X61678870Y-90578298D01* -X61642792Y-90665397D01* -X61624400Y-90757862D01* -X58540394Y-90757862D01* -X58537753Y-90753910D01* -X58471090Y-90687247D01* -X58392702Y-90634870D01* -X58305603Y-90598792D01* -X58213138Y-90580400D01* -X58118862Y-90580400D01* -X58026397Y-90598792D01* -X57939298Y-90634870D01* -X57860910Y-90687247D01* -X57794247Y-90753910D01* -X57741870Y-90832298D01* -X57705792Y-90919397D01* -X57687400Y-91011862D01* -X49327348Y-91011862D01* -X49355208Y-90944603D01* -X49373600Y-90852138D01* -X49373600Y-90757862D01* -X49355208Y-90665397D01* -X49319130Y-90578298D01* -X49266753Y-90499910D01* -X49200090Y-90433247D01* -X49121702Y-90380870D01* -X49034603Y-90344792D01* -X48942138Y-90326400D01* -X48847862Y-90326400D01* -X48755397Y-90344792D01* -X48668298Y-90380870D01* -X48658040Y-90387724D01* -X49247827Y-89797937D01* -X112521400Y-89797937D01* -X112521400Y-89902063D01* -X112541713Y-90004187D01* -X112581560Y-90100386D01* -X112639409Y-90186963D01* -X112713037Y-90260591D01* -X112799614Y-90318440D01* -X112895813Y-90358287D01* -X112997937Y-90378600D01* -X113102063Y-90378600D01* -X113204187Y-90358287D01* -X113300386Y-90318440D01* -X113386963Y-90260591D01* -X113460591Y-90186963D01* -X113518440Y-90100386D01* -X113558287Y-90004187D01* -X113578600Y-89902063D01* -X113578600Y-89797937D01* -X122521400Y-89797937D01* -X122521400Y-89902063D01* -X122541713Y-90004187D01* -X122581560Y-90100386D01* -X122639409Y-90186963D01* -X122713037Y-90260591D01* -X122799614Y-90318440D01* -X122895813Y-90358287D01* -X122997937Y-90378600D01* -X123102063Y-90378600D01* -X123204187Y-90358287D01* -X123300386Y-90318440D01* -X123386963Y-90260591D01* -X123460591Y-90186963D01* -X123518440Y-90100386D01* -X123558287Y-90004187D01* -X123578600Y-89902063D01* -X123578600Y-89797937D01* -X123558287Y-89695813D01* -X123518440Y-89599614D01* -X123460591Y-89513037D01* -X123386963Y-89439409D01* -X123300386Y-89381560D01* -X123204187Y-89341713D01* -X123102063Y-89321400D01* -X122997937Y-89321400D01* -X122895813Y-89341713D01* -X122799614Y-89381560D01* -X122713037Y-89439409D01* -X122639409Y-89513037D01* -X122581560Y-89599614D01* -X122541713Y-89695813D01* -X122521400Y-89797937D01* -X113578600Y-89797937D01* -X113558287Y-89695813D01* -X113518440Y-89599614D01* -X113460591Y-89513037D01* -X113386963Y-89439409D01* -X113300386Y-89381560D01* -X113204187Y-89341713D01* -X113102063Y-89321400D01* -X112997937Y-89321400D01* -X112895813Y-89341713D01* -X112799614Y-89381560D01* -X112713037Y-89439409D01* -X112639409Y-89513037D01* -X112581560Y-89599614D01* -X112541713Y-89695813D01* -X112521400Y-89797937D01* -X49247827Y-89797937D01* -X51017724Y-88028040D01* -X51010870Y-88038298D01* -X50974792Y-88125397D01* -X50956400Y-88217862D01* -X50956400Y-88312138D01* -X50974792Y-88404603D01* -X51010870Y-88491702D01* -X51063247Y-88570090D01* -X51129910Y-88636753D01* -X51208298Y-88689130D01* -X51295397Y-88725208D01* -X51387862Y-88743600D01* -X51482138Y-88743600D01* -X51574603Y-88725208D01* -X51661702Y-88689130D01* -X51740090Y-88636753D01* -X51806753Y-88570090D01* -X51859130Y-88491702D01* -X51867348Y-88471862D01* -X55401400Y-88471862D01* -X55401400Y-88566138D01* -X55419792Y-88658603D01* -X55455870Y-88745702D01* -X55508247Y-88824090D01* -X55574910Y-88890753D01* -X55653298Y-88943130D01* -X55740397Y-88979208D01* -X55832862Y-88997600D01* -X55927138Y-88997600D01* -X56019603Y-88979208D01* -X56106702Y-88943130D01* -X56185090Y-88890753D01* -X56251753Y-88824090D01* -X56304130Y-88745702D01* -X56340208Y-88658603D01* -X56358600Y-88566138D01* -X56358600Y-88471862D01* -X56340208Y-88379397D01* -X56304130Y-88292298D01* -X56254394Y-88217862D01* -X59084400Y-88217862D01* -X59084400Y-88312138D01* -X59102792Y-88404603D01* -X59138870Y-88491702D01* -X59191247Y-88570090D01* -X59257910Y-88636753D01* -X59336298Y-88689130D01* -X59423397Y-88725208D01* -X59515862Y-88743600D01* -X59610138Y-88743600D01* -X59702603Y-88725208D01* -X59789702Y-88689130D01* -X59868090Y-88636753D01* -X59934753Y-88570090D01* -X59987130Y-88491702D01* -X60023208Y-88404603D01* -X60041600Y-88312138D01* -X60041600Y-88217862D01* -X64164400Y-88217862D01* -X64164400Y-88312138D01* -X64182792Y-88404603D01* -X64218870Y-88491702D01* -X64271247Y-88570090D01* -X64337910Y-88636753D01* -X64416298Y-88689130D01* -X64503397Y-88725208D01* -X64595862Y-88743600D01* -X64690138Y-88743600D01* -X64782603Y-88725208D01* -X64869702Y-88689130D01* -X64948090Y-88636753D01* -X65014753Y-88570090D01* -X65067130Y-88491702D01* -X65103208Y-88404603D01* -X65121600Y-88312138D01* -X65121600Y-88217862D01* -X69244400Y-88217862D01* -X69244400Y-88312138D01* -X69262792Y-88404603D01* -X69298870Y-88491702D01* -X69351247Y-88570090D01* -X69417910Y-88636753D01* -X69496298Y-88689130D01* -X69583397Y-88725208D01* -X69675862Y-88743600D01* -X69770138Y-88743600D01* -X69862603Y-88725208D01* -X69949702Y-88689130D01* -X70028090Y-88636753D01* -X70094753Y-88570090D01* -X70147130Y-88491702D01* -X70183208Y-88404603D01* -X70201600Y-88312138D01* -X70201600Y-88217862D01* -X74324400Y-88217862D01* -X74324400Y-88312138D01* -X74342792Y-88404603D01* -X74378870Y-88491702D01* -X74431247Y-88570090D01* -X74497910Y-88636753D01* -X74576298Y-88689130D01* -X74663397Y-88725208D01* -X74755862Y-88743600D01* -X74850138Y-88743600D01* -X74942603Y-88725208D01* -X75029702Y-88689130D01* -X75108090Y-88636753D01* -X75174753Y-88570090D01* -X75227130Y-88491702D01* -X75263208Y-88404603D01* -X75281600Y-88312138D01* -X75281600Y-88217862D01* -X79404400Y-88217862D01* -X79404400Y-88312138D01* -X79422792Y-88404603D01* -X79458870Y-88491702D01* -X79511247Y-88570090D01* -X79577910Y-88636753D01* -X79656298Y-88689130D01* -X79743397Y-88725208D01* -X79835862Y-88743600D01* -X79930138Y-88743600D01* -X80022603Y-88725208D01* -X80109702Y-88689130D01* -X80188090Y-88636753D01* -X80254753Y-88570090D01* -X80307130Y-88491702D01* -X80343208Y-88404603D01* -X80355090Y-88344862D01* -X84484400Y-88344862D01* -X84484400Y-88439138D01* -X84502792Y-88531603D01* -X84538870Y-88618702D01* -X84591247Y-88697090D01* -X84657910Y-88763753D01* -X84736298Y-88816130D01* -X84823397Y-88852208D01* -X84915862Y-88870600D01* -X85010138Y-88870600D01* -X85102603Y-88852208D01* -X85189702Y-88816130D01* -X85268090Y-88763753D01* -X85334753Y-88697090D01* -X85387130Y-88618702D01* -X85423208Y-88531603D01* -X85441600Y-88439138D01* -X85441600Y-88344862D01* -X85423208Y-88252397D01* -X85408903Y-88217862D01* -X94644400Y-88217862D01* -X94644400Y-88312138D01* -X94662792Y-88404603D01* -X94698870Y-88491702D01* -X94751247Y-88570090D01* -X94817910Y-88636753D01* -X94896298Y-88689130D01* -X94983397Y-88725208D01* -X95075862Y-88743600D01* -X95170138Y-88743600D01* -X95262603Y-88725208D01* -X95349702Y-88689130D01* -X95428090Y-88636753D01* -X95494753Y-88570090D01* -X95547130Y-88491702D01* -X95583208Y-88404603D01* -X95601600Y-88312138D01* -X95601600Y-88217862D01* -X99724400Y-88217862D01* -X99724400Y-88312138D01* -X99742792Y-88404603D01* -X99778870Y-88491702D01* -X99831247Y-88570090D01* -X99897910Y-88636753D01* -X99976298Y-88689130D01* -X100063397Y-88725208D01* -X100155862Y-88743600D01* -X100250138Y-88743600D01* -X100342603Y-88725208D01* -X100429702Y-88689130D01* -X100508090Y-88636753D01* -X100574753Y-88570090D01* -X100627130Y-88491702D01* -X100663208Y-88404603D01* -X100681600Y-88312138D01* -X100681600Y-88217862D01* -X104804400Y-88217862D01* -X104804400Y-88312138D01* -X104822792Y-88404603D01* -X104858870Y-88491702D01* -X104911247Y-88570090D01* -X104977910Y-88636753D01* -X105056298Y-88689130D01* -X105143397Y-88725208D01* -X105235862Y-88743600D01* -X105330138Y-88743600D01* -X105422603Y-88725208D01* -X105509702Y-88689130D01* -X105588090Y-88636753D01* -X105654753Y-88570090D01* -X105707130Y-88491702D01* -X105743208Y-88404603D01* -X105761600Y-88312138D01* -X105761600Y-88217862D01* -X109884400Y-88217862D01* -X109884400Y-88312138D01* -X109902792Y-88404603D01* -X109938870Y-88491702D01* -X109991247Y-88570090D01* -X110057910Y-88636753D01* -X110136298Y-88689130D01* -X110223397Y-88725208D01* -X110315862Y-88743600D01* -X110410138Y-88743600D01* -X110502603Y-88725208D01* -X110589702Y-88689130D01* -X110668090Y-88636753D01* -X110734753Y-88570090D01* -X110787130Y-88491702D01* -X110823208Y-88404603D01* -X110841600Y-88312138D01* -X110841600Y-88217862D01* -X114964400Y-88217862D01* -X114964400Y-88312138D01* -X114982792Y-88404603D01* -X115018870Y-88491702D01* -X115071247Y-88570090D01* -X115137910Y-88636753D01* -X115216298Y-88689130D01* -X115303397Y-88725208D01* -X115395862Y-88743600D01* -X115490138Y-88743600D01* -X115582603Y-88725208D01* -X115669702Y-88689130D01* -X115748090Y-88636753D01* -X115814753Y-88570090D01* -X115867130Y-88491702D01* -X115903208Y-88404603D01* -X115921600Y-88312138D01* -X115921600Y-88217862D01* -X120044400Y-88217862D01* -X120044400Y-88312138D01* -X120062792Y-88404603D01* -X120098870Y-88491702D01* -X120151247Y-88570090D01* -X120217910Y-88636753D01* -X120296298Y-88689130D01* -X120383397Y-88725208D01* -X120475862Y-88743600D01* -X120570138Y-88743600D01* -X120662603Y-88725208D01* -X120749702Y-88689130D01* -X120828090Y-88636753D01* -X120894753Y-88570090D01* -X120947130Y-88491702D01* -X120983208Y-88404603D01* -X121001600Y-88312138D01* -X121001600Y-88217862D01* -X125124400Y-88217862D01* -X125124400Y-88312138D01* -X125142792Y-88404603D01* -X125178870Y-88491702D01* -X125231247Y-88570090D01* -X125297910Y-88636753D01* -X125376298Y-88689130D01* -X125463397Y-88725208D01* -X125555862Y-88743600D01* -X125650138Y-88743600D01* -X125742603Y-88725208D01* -X125829702Y-88689130D01* -X125908090Y-88636753D01* -X125974753Y-88570090D01* -X126027130Y-88491702D01* -X126063208Y-88404603D01* -X126081600Y-88312138D01* -X126081600Y-88217862D01* -X130204400Y-88217862D01* -X130204400Y-88312138D01* -X130222792Y-88404603D01* -X130258870Y-88491702D01* -X130311247Y-88570090D01* -X130377910Y-88636753D01* -X130456298Y-88689130D01* -X130543397Y-88725208D01* -X130635862Y-88743600D01* -X130730138Y-88743600D01* -X130822603Y-88725208D01* -X130909702Y-88689130D01* -X130988090Y-88636753D01* -X131054753Y-88570090D01* -X131107130Y-88491702D01* -X131143208Y-88404603D01* -X131161600Y-88312138D01* -X131161600Y-88217862D01* -X135284400Y-88217862D01* -X135284400Y-88312138D01* -X135302792Y-88404603D01* -X135338870Y-88491702D01* -X135391247Y-88570090D01* -X135457910Y-88636753D01* -X135536298Y-88689130D01* -X135623397Y-88725208D01* -X135715862Y-88743600D01* -X135810138Y-88743600D01* -X135902603Y-88725208D01* -X135989702Y-88689130D01* -X136068090Y-88636753D01* -X136134753Y-88570090D01* -X136187130Y-88491702D01* -X136223208Y-88404603D01* -X136241600Y-88312138D01* -X136241600Y-88217862D01* -X140364400Y-88217862D01* -X140364400Y-88312138D01* -X140382792Y-88404603D01* -X140418870Y-88491702D01* -X140471247Y-88570090D01* -X140537910Y-88636753D01* -X140616298Y-88689130D01* -X140703397Y-88725208D01* -X140795862Y-88743600D01* -X140890138Y-88743600D01* -X140982603Y-88725208D01* -X141069702Y-88689130D01* -X141148090Y-88636753D01* -X141214753Y-88570090D01* -X141267130Y-88491702D01* -X141303208Y-88404603D01* -X141321600Y-88312138D01* -X141321600Y-88217862D01* -X141303208Y-88125397D01* -X141267130Y-88038298D01* -X141214753Y-87959910D01* -X141148090Y-87893247D01* -X141069702Y-87840870D01* -X140982603Y-87804792D01* -X140890138Y-87786400D01* -X140795862Y-87786400D01* -X140703397Y-87804792D01* -X140616298Y-87840870D01* -X140537910Y-87893247D01* -X140471247Y-87959910D01* -X140418870Y-88038298D01* -X140382792Y-88125397D01* -X140364400Y-88217862D01* -X136241600Y-88217862D01* -X136223208Y-88125397D01* -X136187130Y-88038298D01* -X136134753Y-87959910D01* -X136068090Y-87893247D01* -X135989702Y-87840870D01* -X135902603Y-87804792D01* -X135810138Y-87786400D01* -X135715862Y-87786400D01* -X135623397Y-87804792D01* -X135536298Y-87840870D01* -X135457910Y-87893247D01* -X135391247Y-87959910D01* -X135338870Y-88038298D01* -X135302792Y-88125397D01* -X135284400Y-88217862D01* -X131161600Y-88217862D01* -X131143208Y-88125397D01* -X131107130Y-88038298D01* -X131054753Y-87959910D01* -X130988090Y-87893247D01* -X130909702Y-87840870D01* -X130822603Y-87804792D01* -X130730138Y-87786400D01* -X130635862Y-87786400D01* -X130543397Y-87804792D01* -X130456298Y-87840870D01* -X130377910Y-87893247D01* -X130311247Y-87959910D01* -X130258870Y-88038298D01* -X130222792Y-88125397D01* -X130204400Y-88217862D01* -X126081600Y-88217862D01* -X126063208Y-88125397D01* -X126027130Y-88038298D01* -X125974753Y-87959910D01* -X125908090Y-87893247D01* -X125829702Y-87840870D01* -X125742603Y-87804792D01* -X125650138Y-87786400D01* -X125555862Y-87786400D01* -X125463397Y-87804792D01* -X125376298Y-87840870D01* -X125297910Y-87893247D01* -X125231247Y-87959910D01* -X125178870Y-88038298D01* -X125142792Y-88125397D01* -X125124400Y-88217862D01* -X121001600Y-88217862D01* -X120983208Y-88125397D01* -X120947130Y-88038298D01* -X120894753Y-87959910D01* -X120828090Y-87893247D01* -X120749702Y-87840870D01* -X120662603Y-87804792D01* -X120570138Y-87786400D01* -X120475862Y-87786400D01* -X120383397Y-87804792D01* -X120296298Y-87840870D01* -X120217910Y-87893247D01* -X120151247Y-87959910D01* -X120098870Y-88038298D01* -X120062792Y-88125397D01* -X120044400Y-88217862D01* -X115921600Y-88217862D01* -X115903208Y-88125397D01* -X115867130Y-88038298D01* -X115814753Y-87959910D01* -X115748090Y-87893247D01* -X115669702Y-87840870D01* -X115582603Y-87804792D01* -X115490138Y-87786400D01* -X115395862Y-87786400D01* -X115303397Y-87804792D01* -X115216298Y-87840870D01* -X115137910Y-87893247D01* -X115071247Y-87959910D01* -X115018870Y-88038298D01* -X114982792Y-88125397D01* -X114964400Y-88217862D01* -X110841600Y-88217862D01* -X110823208Y-88125397D01* -X110787130Y-88038298D01* -X110734753Y-87959910D01* -X110668090Y-87893247D01* -X110589702Y-87840870D01* -X110502603Y-87804792D01* -X110410138Y-87786400D01* -X110315862Y-87786400D01* -X110223397Y-87804792D01* -X110136298Y-87840870D01* -X110057910Y-87893247D01* -X109991247Y-87959910D01* -X109938870Y-88038298D01* -X109902792Y-88125397D01* -X109884400Y-88217862D01* -X105761600Y-88217862D01* -X105743208Y-88125397D01* -X105707130Y-88038298D01* -X105654753Y-87959910D01* -X105588090Y-87893247D01* -X105509702Y-87840870D01* -X105422603Y-87804792D01* -X105330138Y-87786400D01* -X105235862Y-87786400D01* -X105143397Y-87804792D01* -X105056298Y-87840870D01* -X104977910Y-87893247D01* -X104911247Y-87959910D01* -X104858870Y-88038298D01* -X104822792Y-88125397D01* -X104804400Y-88217862D01* -X100681600Y-88217862D01* -X100663208Y-88125397D01* -X100627130Y-88038298D01* -X100574753Y-87959910D01* -X100508090Y-87893247D01* -X100429702Y-87840870D01* -X100342603Y-87804792D01* -X100250138Y-87786400D01* -X100155862Y-87786400D01* -X100063397Y-87804792D01* -X99976298Y-87840870D01* -X99897910Y-87893247D01* -X99831247Y-87959910D01* -X99778870Y-88038298D01* -X99742792Y-88125397D01* -X99724400Y-88217862D01* -X95601600Y-88217862D01* -X95583208Y-88125397D01* -X95547130Y-88038298D01* -X95494753Y-87959910D01* -X95428090Y-87893247D01* -X95349702Y-87840870D01* -X95262603Y-87804792D01* -X95170138Y-87786400D01* -X95075862Y-87786400D01* -X94983397Y-87804792D01* -X94896298Y-87840870D01* -X94817910Y-87893247D01* -X94751247Y-87959910D01* -X94698870Y-88038298D01* -X94662792Y-88125397D01* -X94644400Y-88217862D01* -X85408903Y-88217862D01* -X85387130Y-88165298D01* -X85334753Y-88086910D01* -X85268090Y-88020247D01* -X85189702Y-87967870D01* -X85102603Y-87931792D01* -X85010138Y-87913400D01* -X84915862Y-87913400D01* -X84823397Y-87931792D01* -X84736298Y-87967870D01* -X84657910Y-88020247D01* -X84591247Y-88086910D01* -X84538870Y-88165298D01* -X84502792Y-88252397D01* -X84484400Y-88344862D01* -X80355090Y-88344862D01* -X80361600Y-88312138D01* -X80361600Y-88217862D01* -X80343208Y-88125397D01* -X80307130Y-88038298D01* -X80254753Y-87959910D01* -X80188090Y-87893247D01* -X80109702Y-87840870D01* -X80022603Y-87804792D01* -X79930138Y-87786400D01* -X79835862Y-87786400D01* -X79743397Y-87804792D01* -X79656298Y-87840870D01* -X79577910Y-87893247D01* -X79511247Y-87959910D01* -X79458870Y-88038298D01* -X79422792Y-88125397D01* -X79404400Y-88217862D01* -X75281600Y-88217862D01* -X75263208Y-88125397D01* -X75227130Y-88038298D01* -X75174753Y-87959910D01* -X75108090Y-87893247D01* -X75029702Y-87840870D01* -X74942603Y-87804792D01* -X74850138Y-87786400D01* -X74755862Y-87786400D01* -X74663397Y-87804792D01* -X74576298Y-87840870D01* -X74497910Y-87893247D01* -X74431247Y-87959910D01* -X74378870Y-88038298D01* -X74342792Y-88125397D01* -X74324400Y-88217862D01* -X70201600Y-88217862D01* -X70183208Y-88125397D01* -X70147130Y-88038298D01* -X70094753Y-87959910D01* -X70028090Y-87893247D01* -X69949702Y-87840870D01* -X69862603Y-87804792D01* -X69770138Y-87786400D01* -X69675862Y-87786400D01* -X69583397Y-87804792D01* -X69496298Y-87840870D01* -X69417910Y-87893247D01* -X69351247Y-87959910D01* -X69298870Y-88038298D01* -X69262792Y-88125397D01* -X69244400Y-88217862D01* -X65121600Y-88217862D01* -X65103208Y-88125397D01* -X65067130Y-88038298D01* -X65014753Y-87959910D01* -X64948090Y-87893247D01* -X64869702Y-87840870D01* -X64782603Y-87804792D01* -X64690138Y-87786400D01* -X64595862Y-87786400D01* -X64503397Y-87804792D01* -X64416298Y-87840870D01* -X64337910Y-87893247D01* -X64271247Y-87959910D01* -X64218870Y-88038298D01* -X64182792Y-88125397D01* -X64164400Y-88217862D01* -X60041600Y-88217862D01* -X60023208Y-88125397D01* -X59987130Y-88038298D01* -X59934753Y-87959910D01* -X59868090Y-87893247D01* -X59789702Y-87840870D01* -X59702603Y-87804792D01* -X59610138Y-87786400D01* -X59515862Y-87786400D01* -X59423397Y-87804792D01* -X59336298Y-87840870D01* -X59257910Y-87893247D01* -X59191247Y-87959910D01* -X59138870Y-88038298D01* -X59102792Y-88125397D01* -X59084400Y-88217862D01* -X56254394Y-88217862D01* -X56251753Y-88213910D01* -X56185090Y-88147247D01* -X56106702Y-88094870D01* -X56019603Y-88058792D01* -X55927138Y-88040400D01* -X55832862Y-88040400D01* -X55740397Y-88058792D01* -X55653298Y-88094870D01* -X55574910Y-88147247D01* -X55508247Y-88213910D01* -X55455870Y-88292298D01* -X55419792Y-88379397D01* -X55401400Y-88471862D01* -X51867348Y-88471862D01* -X51895208Y-88404603D01* -X51913600Y-88312138D01* -X51913600Y-88217862D01* -X51895208Y-88125397D01* -X51859130Y-88038298D01* -X51806753Y-87959910D01* -X51740090Y-87893247D01* -X51661702Y-87840870D01* -X51574603Y-87804792D01* -X51482138Y-87786400D01* -X51387862Y-87786400D01* -X51295397Y-87804792D01* -X51208298Y-87840870D01* -X51198040Y-87847724D01* -X52732902Y-86312862D01* -X57433400Y-86312862D01* -X57433400Y-86407138D01* -X57451792Y-86499603D01* -X57487870Y-86586702D01* -X57540247Y-86665090D01* -X57606910Y-86731753D01* -X57685298Y-86784130D01* -X57772397Y-86820208D01* -X57864862Y-86838600D01* -X57959138Y-86838600D01* -X58051603Y-86820208D01* -X58138702Y-86784130D01* -X58217090Y-86731753D01* -X58283753Y-86665090D01* -X58336130Y-86586702D01* -X58372208Y-86499603D01* -X58384090Y-86439862D01* -X87024400Y-86439862D01* -X87024400Y-86534138D01* -X87042792Y-86626603D01* -X87078870Y-86713702D01* -X87131247Y-86792090D01* -X87197910Y-86858753D01* -X87276298Y-86911130D01* -X87363397Y-86947208D01* -X87455862Y-86965600D01* -X87550138Y-86965600D01* -X87642603Y-86947208D01* -X87729702Y-86911130D01* -X87808090Y-86858753D01* -X87874753Y-86792090D01* -X87927130Y-86713702D01* -X87963208Y-86626603D01* -X87981600Y-86534138D01* -X87981600Y-86439862D01* -X92104400Y-86439862D01* -X92104400Y-86534138D01* -X92122792Y-86626603D01* -X92158870Y-86713702D01* -X92211247Y-86792090D01* -X92277910Y-86858753D01* -X92356298Y-86911130D01* -X92443397Y-86947208D01* -X92535862Y-86965600D01* -X92630138Y-86965600D01* -X92722603Y-86947208D01* -X92809702Y-86911130D01* -X92888090Y-86858753D01* -X92954753Y-86792090D01* -X93007130Y-86713702D01* -X93043208Y-86626603D01* -X93061600Y-86534138D01* -X93061600Y-86439862D01* -X97184400Y-86439862D01* -X97184400Y-86534138D01* -X97202792Y-86626603D01* -X97238870Y-86713702D01* -X97291247Y-86792090D01* -X97357910Y-86858753D01* -X97436298Y-86911130D01* -X97523397Y-86947208D01* -X97615862Y-86965600D01* -X97710138Y-86965600D01* -X97802603Y-86947208D01* -X97889702Y-86911130D01* -X97968090Y-86858753D01* -X98034753Y-86792090D01* -X98087130Y-86713702D01* -X98123208Y-86626603D01* -X98141600Y-86534138D01* -X98141600Y-86439862D01* -X102264400Y-86439862D01* -X102264400Y-86534138D01* -X102282792Y-86626603D01* -X102318870Y-86713702D01* -X102371247Y-86792090D01* -X102437910Y-86858753D01* -X102516298Y-86911130D01* -X102603397Y-86947208D01* -X102695862Y-86965600D01* -X102790138Y-86965600D01* -X102882603Y-86947208D01* -X102969702Y-86911130D01* -X103048090Y-86858753D01* -X103114753Y-86792090D01* -X103167130Y-86713702D01* -X103203208Y-86626603D01* -X103221600Y-86534138D01* -X103221600Y-86439862D01* -X107344400Y-86439862D01* -X107344400Y-86534138D01* -X107362792Y-86626603D01* -X107398870Y-86713702D01* -X107451247Y-86792090D01* -X107517910Y-86858753D01* -X107596298Y-86911130D01* -X107683397Y-86947208D01* -X107775862Y-86965600D01* -X107870138Y-86965600D01* -X107962603Y-86947208D01* -X108049702Y-86911130D01* -X108128090Y-86858753D01* -X108194753Y-86792090D01* -X108247130Y-86713702D01* -X108283208Y-86626603D01* -X108301600Y-86534138D01* -X108301600Y-86439862D01* -X112424400Y-86439862D01* -X112424400Y-86534138D01* -X112442792Y-86626603D01* -X112478870Y-86713702D01* -X112531247Y-86792090D01* -X112597910Y-86858753D01* -X112676298Y-86911130D01* -X112763397Y-86947208D01* -X112855862Y-86965600D01* -X112950138Y-86965600D01* -X113042603Y-86947208D01* -X113129702Y-86911130D01* -X113208090Y-86858753D01* -X113274753Y-86792090D01* -X113327130Y-86713702D01* -X113363208Y-86626603D01* -X113381600Y-86534138D01* -X113381600Y-86439862D01* -X117504400Y-86439862D01* -X117504400Y-86534138D01* -X117522792Y-86626603D01* -X117558870Y-86713702D01* -X117611247Y-86792090D01* -X117677910Y-86858753D01* -X117756298Y-86911130D01* -X117843397Y-86947208D01* -X117935862Y-86965600D01* -X118030138Y-86965600D01* -X118122603Y-86947208D01* -X118209702Y-86911130D01* -X118288090Y-86858753D01* -X118354753Y-86792090D01* -X118407130Y-86713702D01* -X118443208Y-86626603D01* -X118461600Y-86534138D01* -X118461600Y-86439862D01* -X118443208Y-86347397D01* -X118407130Y-86260298D01* -X118354753Y-86181910D01* -X118288090Y-86115247D01* -X118209702Y-86062870D01* -X118122603Y-86026792D01* -X118030138Y-86008400D01* -X117935862Y-86008400D01* -X117843397Y-86026792D01* -X117756298Y-86062870D01* -X117677910Y-86115247D01* -X117611247Y-86181910D01* -X117558870Y-86260298D01* -X117522792Y-86347397D01* -X117504400Y-86439862D01* -X113381600Y-86439862D01* -X113363208Y-86347397D01* -X113327130Y-86260298D01* -X113274753Y-86181910D01* -X113208090Y-86115247D01* -X113129702Y-86062870D01* -X113042603Y-86026792D01* -X112950138Y-86008400D01* -X112855862Y-86008400D01* -X112763397Y-86026792D01* -X112676298Y-86062870D01* -X112597910Y-86115247D01* -X112531247Y-86181910D01* -X112478870Y-86260298D01* -X112442792Y-86347397D01* -X112424400Y-86439862D01* -X108301600Y-86439862D01* -X108283208Y-86347397D01* -X108247130Y-86260298D01* -X108194753Y-86181910D01* -X108128090Y-86115247D01* -X108049702Y-86062870D01* -X107962603Y-86026792D01* -X107870138Y-86008400D01* -X107775862Y-86008400D01* -X107683397Y-86026792D01* -X107596298Y-86062870D01* -X107517910Y-86115247D01* -X107451247Y-86181910D01* -X107398870Y-86260298D01* -X107362792Y-86347397D01* -X107344400Y-86439862D01* -X103221600Y-86439862D01* -X103203208Y-86347397D01* -X103167130Y-86260298D01* -X103114753Y-86181910D01* -X103048090Y-86115247D01* -X102969702Y-86062870D01* -X102882603Y-86026792D01* -X102790138Y-86008400D01* -X102695862Y-86008400D01* -X102603397Y-86026792D01* -X102516298Y-86062870D01* -X102437910Y-86115247D01* -X102371247Y-86181910D01* -X102318870Y-86260298D01* -X102282792Y-86347397D01* -X102264400Y-86439862D01* -X98141600Y-86439862D01* -X98123208Y-86347397D01* -X98087130Y-86260298D01* -X98034753Y-86181910D01* -X97968090Y-86115247D01* -X97889702Y-86062870D01* -X97802603Y-86026792D01* -X97710138Y-86008400D01* -X97615862Y-86008400D01* -X97523397Y-86026792D01* -X97436298Y-86062870D01* -X97357910Y-86115247D01* -X97291247Y-86181910D01* -X97238870Y-86260298D01* -X97202792Y-86347397D01* -X97184400Y-86439862D01* -X93061600Y-86439862D01* -X93043208Y-86347397D01* -X93007130Y-86260298D01* -X92954753Y-86181910D01* -X92888090Y-86115247D01* -X92809702Y-86062870D01* -X92722603Y-86026792D01* -X92630138Y-86008400D01* -X92535862Y-86008400D01* -X92443397Y-86026792D01* -X92356298Y-86062870D01* -X92277910Y-86115247D01* -X92211247Y-86181910D01* -X92158870Y-86260298D01* -X92122792Y-86347397D01* -X92104400Y-86439862D01* -X87981600Y-86439862D01* -X87963208Y-86347397D01* -X87927130Y-86260298D01* -X87874753Y-86181910D01* -X87808090Y-86115247D01* -X87729702Y-86062870D01* -X87642603Y-86026792D01* -X87550138Y-86008400D01* -X87455862Y-86008400D01* -X87363397Y-86026792D01* -X87276298Y-86062870D01* -X87197910Y-86115247D01* -X87131247Y-86181910D01* -X87078870Y-86260298D01* -X87042792Y-86347397D01* -X87024400Y-86439862D01* -X58384090Y-86439862D01* -X58390600Y-86407138D01* -X58390600Y-86312862D01* -X58372208Y-86220397D01* -X58336130Y-86133298D01* -X58283753Y-86054910D01* -X58217090Y-85988247D01* -X58138702Y-85935870D01* -X58051603Y-85899792D01* -X57959138Y-85881400D01* -X57864862Y-85881400D01* -X57772397Y-85899792D01* -X57685298Y-85935870D01* -X57606910Y-85988247D01* -X57540247Y-86054910D01* -X57487870Y-86133298D01* -X57451792Y-86220397D01* -X57433400Y-86312862D01* -X52732902Y-86312862D01* -X53557724Y-85488040D01* -X53550870Y-85498298D01* -X53514792Y-85585397D01* -X53496400Y-85677862D01* -X53496400Y-85772138D01* -X53514792Y-85864603D01* -X53550870Y-85951702D01* -X53603247Y-86030090D01* -X53669910Y-86096753D01* -X53748298Y-86149130D01* -X53835397Y-86185208D01* -X53927862Y-86203600D01* -X54022138Y-86203600D01* -X54114603Y-86185208D01* -X54201702Y-86149130D01* -X54280090Y-86096753D01* -X54346753Y-86030090D01* -X54399130Y-85951702D01* -X54435208Y-85864603D01* -X54453600Y-85772138D01* -X54453600Y-85677862D01* -X61624400Y-85677862D01* -X61624400Y-85772138D01* -X61642792Y-85864603D01* -X61678870Y-85951702D01* -X61731247Y-86030090D01* -X61797910Y-86096753D01* -X61876298Y-86149130D01* -X61963397Y-86185208D01* -X62055862Y-86203600D01* -X62150138Y-86203600D01* -X62242603Y-86185208D01* -X62329702Y-86149130D01* -X62408090Y-86096753D01* -X62474753Y-86030090D01* -X62527130Y-85951702D01* -X62563208Y-85864603D01* -X62581600Y-85772138D01* -X62581600Y-85677862D01* -X66704400Y-85677862D01* -X66704400Y-85772138D01* -X66722792Y-85864603D01* -X66758870Y-85951702D01* -X66811247Y-86030090D01* -X66877910Y-86096753D01* -X66956298Y-86149130D01* -X67043397Y-86185208D01* -X67135862Y-86203600D01* -X67230138Y-86203600D01* -X67322603Y-86185208D01* -X67409702Y-86149130D01* -X67488090Y-86096753D01* -X67554753Y-86030090D01* -X67607130Y-85951702D01* -X67643208Y-85864603D01* -X67661600Y-85772138D01* -X67661600Y-85677862D01* -X71784400Y-85677862D01* -X71784400Y-85772138D01* -X71802792Y-85864603D01* -X71838870Y-85951702D01* -X71891247Y-86030090D01* -X71957910Y-86096753D01* -X72036298Y-86149130D01* -X72123397Y-86185208D01* -X72215862Y-86203600D01* -X72310138Y-86203600D01* -X72402603Y-86185208D01* -X72489702Y-86149130D01* -X72568090Y-86096753D01* -X72634753Y-86030090D01* -X72687130Y-85951702D01* -X72723208Y-85864603D01* -X72741600Y-85772138D01* -X72741600Y-85677862D01* -X76864400Y-85677862D01* -X76864400Y-85772138D01* -X76882792Y-85864603D01* -X76918870Y-85951702D01* -X76971247Y-86030090D01* -X77037910Y-86096753D01* -X77116298Y-86149130D01* -X77203397Y-86185208D01* -X77295862Y-86203600D01* -X77390138Y-86203600D01* -X77482603Y-86185208D01* -X77569702Y-86149130D01* -X77648090Y-86096753D01* -X77714753Y-86030090D01* -X77767130Y-85951702D01* -X77803208Y-85864603D01* -X77821600Y-85772138D01* -X77821600Y-85677862D01* -X81944400Y-85677862D01* -X81944400Y-85772138D01* -X81962792Y-85864603D01* -X81998870Y-85951702D01* -X82051247Y-86030090D01* -X82117910Y-86096753D01* -X82196298Y-86149130D01* -X82283397Y-86185208D01* -X82375862Y-86203600D01* -X82470138Y-86203600D01* -X82562603Y-86185208D01* -X82649702Y-86149130D01* -X82728090Y-86096753D01* -X82794753Y-86030090D01* -X82847130Y-85951702D01* -X82883208Y-85864603D01* -X82901600Y-85772138D01* -X82901600Y-85677862D01* -X122584400Y-85677862D01* -X122584400Y-85772138D01* -X122602792Y-85864603D01* -X122638870Y-85951702D01* -X122691247Y-86030090D01* -X122757910Y-86096753D01* -X122836298Y-86149130D01* -X122923397Y-86185208D01* -X123015862Y-86203600D01* -X123110138Y-86203600D01* -X123202603Y-86185208D01* -X123289702Y-86149130D01* -X123368090Y-86096753D01* -X123434753Y-86030090D01* -X123487130Y-85951702D01* -X123523208Y-85864603D01* -X123541600Y-85772138D01* -X123541600Y-85677862D01* -X127664400Y-85677862D01* -X127664400Y-85772138D01* -X127682792Y-85864603D01* -X127718870Y-85951702D01* -X127771247Y-86030090D01* -X127837910Y-86096753D01* -X127916298Y-86149130D01* -X128003397Y-86185208D01* -X128095862Y-86203600D01* -X128190138Y-86203600D01* -X128282603Y-86185208D01* -X128369702Y-86149130D01* -X128448090Y-86096753D01* -X128514753Y-86030090D01* -X128567130Y-85951702D01* -X128603208Y-85864603D01* -X128621600Y-85772138D01* -X128621600Y-85677862D01* -X132744400Y-85677862D01* -X132744400Y-85772138D01* -X132762792Y-85864603D01* -X132798870Y-85951702D01* -X132851247Y-86030090D01* -X132917910Y-86096753D01* -X132996298Y-86149130D01* -X133083397Y-86185208D01* -X133175862Y-86203600D01* -X133270138Y-86203600D01* -X133362603Y-86185208D01* -X133449702Y-86149130D01* -X133528090Y-86096753D01* -X133594753Y-86030090D01* -X133647130Y-85951702D01* -X133683208Y-85864603D01* -X133701600Y-85772138D01* -X133701600Y-85677862D01* -X137824400Y-85677862D01* -X137824400Y-85772138D01* -X137842792Y-85864603D01* -X137878870Y-85951702D01* -X137931247Y-86030090D01* -X137997910Y-86096753D01* -X138076298Y-86149130D01* -X138163397Y-86185208D01* -X138255862Y-86203600D01* -X138350138Y-86203600D01* -X138442603Y-86185208D01* -X138529702Y-86149130D01* -X138608090Y-86096753D01* -X138674753Y-86030090D01* -X138727130Y-85951702D01* -X138763208Y-85864603D01* -X138781600Y-85772138D01* -X138781600Y-85677862D01* -X142904400Y-85677862D01* -X142904400Y-85772138D01* -X142922792Y-85864603D01* -X142958870Y-85951702D01* -X143011247Y-86030090D01* -X143077910Y-86096753D01* -X143156298Y-86149130D01* -X143243397Y-86185208D01* -X143335862Y-86203600D01* -X143430138Y-86203600D01* -X143522603Y-86185208D01* -X143609702Y-86149130D01* -X143688090Y-86096753D01* -X143754753Y-86030090D01* -X143807130Y-85951702D01* -X143843208Y-85864603D01* -X143861600Y-85772138D01* -X143861600Y-85677862D01* -X143843208Y-85585397D01* -X143807130Y-85498298D01* -X143754753Y-85419910D01* -X143688090Y-85353247D01* -X143609702Y-85300870D01* -X143522603Y-85264792D01* -X143430138Y-85246400D01* -X143335862Y-85246400D01* -X143243397Y-85264792D01* -X143156298Y-85300870D01* -X143077910Y-85353247D01* -X143011247Y-85419910D01* -X142958870Y-85498298D01* -X142922792Y-85585397D01* -X142904400Y-85677862D01* -X138781600Y-85677862D01* -X138763208Y-85585397D01* -X138727130Y-85498298D01* -X138674753Y-85419910D01* -X138608090Y-85353247D01* -X138529702Y-85300870D01* -X138442603Y-85264792D01* -X138350138Y-85246400D01* -X138255862Y-85246400D01* -X138163397Y-85264792D01* -X138076298Y-85300870D01* -X137997910Y-85353247D01* -X137931247Y-85419910D01* -X137878870Y-85498298D01* -X137842792Y-85585397D01* -X137824400Y-85677862D01* -X133701600Y-85677862D01* -X133683208Y-85585397D01* -X133647130Y-85498298D01* -X133594753Y-85419910D01* -X133528090Y-85353247D01* -X133449702Y-85300870D01* -X133362603Y-85264792D01* -X133270138Y-85246400D01* -X133175862Y-85246400D01* -X133083397Y-85264792D01* -X132996298Y-85300870D01* -X132917910Y-85353247D01* -X132851247Y-85419910D01* -X132798870Y-85498298D01* -X132762792Y-85585397D01* -X132744400Y-85677862D01* -X128621600Y-85677862D01* -X128603208Y-85585397D01* -X128567130Y-85498298D01* -X128514753Y-85419910D01* -X128448090Y-85353247D01* -X128369702Y-85300870D01* -X128282603Y-85264792D01* -X128190138Y-85246400D01* -X128095862Y-85246400D01* -X128003397Y-85264792D01* -X127916298Y-85300870D01* -X127837910Y-85353247D01* -X127771247Y-85419910D01* -X127718870Y-85498298D01* -X127682792Y-85585397D01* -X127664400Y-85677862D01* -X123541600Y-85677862D01* -X123523208Y-85585397D01* -X123487130Y-85498298D01* -X123434753Y-85419910D01* -X123368090Y-85353247D01* -X123289702Y-85300870D01* -X123202603Y-85264792D01* -X123110138Y-85246400D01* -X123015862Y-85246400D01* -X122923397Y-85264792D01* -X122836298Y-85300870D01* -X122757910Y-85353247D01* -X122691247Y-85419910D01* -X122638870Y-85498298D01* -X122602792Y-85585397D01* -X122584400Y-85677862D01* -X82901600Y-85677862D01* -X82883208Y-85585397D01* -X82847130Y-85498298D01* -X82794753Y-85419910D01* -X82728090Y-85353247D01* -X82649702Y-85300870D01* -X82562603Y-85264792D01* -X82470138Y-85246400D01* -X82375862Y-85246400D01* -X82283397Y-85264792D01* -X82196298Y-85300870D01* -X82117910Y-85353247D01* -X82051247Y-85419910D01* -X81998870Y-85498298D01* -X81962792Y-85585397D01* -X81944400Y-85677862D01* -X77821600Y-85677862D01* -X77803208Y-85585397D01* -X77767130Y-85498298D01* -X77714753Y-85419910D01* -X77648090Y-85353247D01* -X77569702Y-85300870D01* -X77482603Y-85264792D01* -X77390138Y-85246400D01* -X77295862Y-85246400D01* -X77203397Y-85264792D01* -X77116298Y-85300870D01* -X77037910Y-85353247D01* -X76971247Y-85419910D01* -X76918870Y-85498298D01* -X76882792Y-85585397D01* -X76864400Y-85677862D01* -X72741600Y-85677862D01* -X72723208Y-85585397D01* -X72687130Y-85498298D01* -X72634753Y-85419910D01* -X72568090Y-85353247D01* -X72489702Y-85300870D01* -X72402603Y-85264792D01* -X72310138Y-85246400D01* -X72215862Y-85246400D01* -X72123397Y-85264792D01* -X72036298Y-85300870D01* -X71957910Y-85353247D01* -X71891247Y-85419910D01* -X71838870Y-85498298D01* -X71802792Y-85585397D01* -X71784400Y-85677862D01* -X67661600Y-85677862D01* -X67643208Y-85585397D01* -X67607130Y-85498298D01* -X67554753Y-85419910D01* -X67488090Y-85353247D01* -X67409702Y-85300870D01* -X67322603Y-85264792D01* -X67230138Y-85246400D01* -X67135862Y-85246400D01* -X67043397Y-85264792D01* -X66956298Y-85300870D01* -X66877910Y-85353247D01* -X66811247Y-85419910D01* -X66758870Y-85498298D01* -X66722792Y-85585397D01* -X66704400Y-85677862D01* -X62581600Y-85677862D01* -X62563208Y-85585397D01* -X62527130Y-85498298D01* -X62474753Y-85419910D01* -X62408090Y-85353247D01* -X62329702Y-85300870D01* -X62242603Y-85264792D01* -X62150138Y-85246400D01* -X62055862Y-85246400D01* -X61963397Y-85264792D01* -X61876298Y-85300870D01* -X61797910Y-85353247D01* -X61731247Y-85419910D01* -X61678870Y-85498298D01* -X61642792Y-85585397D01* -X61624400Y-85677862D01* -X54453600Y-85677862D01* -X54435208Y-85585397D01* -X54399130Y-85498298D01* -X54346753Y-85419910D01* -X54280090Y-85353247D01* -X54201702Y-85300870D01* -X54114603Y-85264792D01* -X54022138Y-85246400D01* -X53927862Y-85246400D01* -X53835397Y-85264792D01* -X53748298Y-85300870D01* -X53738040Y-85307724D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57704612Y-84280862D01* -X59465400Y-84280862D01* -X59465400Y-84375138D01* -X59483792Y-84467603D01* -X59519870Y-84554702D01* -X59572247Y-84633090D01* -X59638910Y-84699753D01* -X59717298Y-84752130D01* -X59804397Y-84788208D01* -X59896862Y-84806600D01* -X59991138Y-84806600D01* -X60083603Y-84788208D01* -X60170702Y-84752130D01* -X60249090Y-84699753D01* -X60315753Y-84633090D01* -X60368130Y-84554702D01* -X60404208Y-84467603D01* -X60422600Y-84375138D01* -X60422600Y-84280862D01* -X60404208Y-84188397D01* -X60368130Y-84101298D01* -X60318394Y-84026862D01* -X84484400Y-84026862D01* -X84484400Y-84121138D01* -X84502792Y-84213603D01* -X84538870Y-84300702D01* -X84591247Y-84379090D01* -X84657910Y-84445753D01* -X84736298Y-84498130D01* -X84823397Y-84534208D01* -X84915862Y-84552600D01* -X85010138Y-84552600D01* -X85102603Y-84534208D01* -X85189702Y-84498130D01* -X85268090Y-84445753D01* -X85334753Y-84379090D01* -X85387130Y-84300702D01* -X85423208Y-84213603D01* -X85441600Y-84121138D01* -X85441600Y-84026862D01* -X85423208Y-83934397D01* -X85387130Y-83847298D01* -X85337394Y-83772862D01* -X89564400Y-83772862D01* -X89564400Y-83867138D01* -X89582792Y-83959603D01* -X89618870Y-84046702D01* -X89671247Y-84125090D01* -X89737910Y-84191753D01* -X89816298Y-84244130D01* -X89903397Y-84280208D01* -X89995862Y-84298600D01* -X90090138Y-84298600D01* -X90182603Y-84280208D01* -X90269702Y-84244130D01* -X90348090Y-84191753D01* -X90414753Y-84125090D01* -X90467130Y-84046702D01* -X90503208Y-83959603D01* -X90521600Y-83867138D01* -X90521600Y-83772862D01* -X94644400Y-83772862D01* -X94644400Y-83867138D01* -X94662792Y-83959603D01* -X94698870Y-84046702D01* -X94751247Y-84125090D01* -X94817910Y-84191753D01* -X94896298Y-84244130D01* -X94983397Y-84280208D01* -X95075862Y-84298600D01* -X95170138Y-84298600D01* -X95262603Y-84280208D01* -X95349702Y-84244130D01* -X95428090Y-84191753D01* -X95494753Y-84125090D01* -X95547130Y-84046702D01* -X95583208Y-83959603D01* -X95595090Y-83899862D01* -X99724400Y-83899862D01* -X99724400Y-83994138D01* -X99742792Y-84086603D01* -X99778870Y-84173702D01* -X99831247Y-84252090D01* -X99897910Y-84318753D01* -X99976298Y-84371130D01* -X100063397Y-84407208D01* -X100155862Y-84425600D01* -X100250138Y-84425600D01* -X100342603Y-84407208D01* -X100429702Y-84371130D01* -X100508090Y-84318753D01* -X100574753Y-84252090D01* -X100627130Y-84173702D01* -X100663208Y-84086603D01* -X100681600Y-83994138D01* -X100681600Y-83899862D01* -X104804400Y-83899862D01* -X104804400Y-83994138D01* -X104822792Y-84086603D01* -X104858870Y-84173702D01* -X104911247Y-84252090D01* -X104977910Y-84318753D01* -X105056298Y-84371130D01* -X105143397Y-84407208D01* -X105235862Y-84425600D01* -X105330138Y-84425600D01* -X105422603Y-84407208D01* -X105509702Y-84371130D01* -X105588090Y-84318753D01* -X105654753Y-84252090D01* -X105707130Y-84173702D01* -X105743208Y-84086603D01* -X105761600Y-83994138D01* -X105761600Y-83899862D01* -X109884400Y-83899862D01* -X109884400Y-83994138D01* -X109902792Y-84086603D01* -X109938870Y-84173702D01* -X109991247Y-84252090D01* -X110057910Y-84318753D01* -X110136298Y-84371130D01* -X110223397Y-84407208D01* -X110315862Y-84425600D01* -X110410138Y-84425600D01* -X110502603Y-84407208D01* -X110589702Y-84371130D01* -X110668090Y-84318753D01* -X110734753Y-84252090D01* -X110787130Y-84173702D01* -X110823208Y-84086603D01* -X110841600Y-83994138D01* -X110841600Y-83899862D01* -X114964400Y-83899862D01* -X114964400Y-83994138D01* -X114982792Y-84086603D01* -X115018870Y-84173702D01* -X115071247Y-84252090D01* -X115137910Y-84318753D01* -X115216298Y-84371130D01* -X115303397Y-84407208D01* -X115395862Y-84425600D01* -X115490138Y-84425600D01* -X115582603Y-84407208D01* -X115669702Y-84371130D01* -X115748090Y-84318753D01* -X115814753Y-84252090D01* -X115867130Y-84173702D01* -X115903208Y-84086603D01* -X115921600Y-83994138D01* -X115921600Y-83899862D01* -X115903208Y-83807397D01* -X115867130Y-83720298D01* -X115814753Y-83641910D01* -X115748090Y-83575247D01* -X115669702Y-83522870D01* -X115582603Y-83486792D01* -X115490138Y-83468400D01* -X115395862Y-83468400D01* -X115303397Y-83486792D01* -X115216298Y-83522870D01* -X115137910Y-83575247D01* -X115071247Y-83641910D01* -X115018870Y-83720298D01* -X114982792Y-83807397D01* -X114964400Y-83899862D01* -X110841600Y-83899862D01* -X110823208Y-83807397D01* -X110787130Y-83720298D01* -X110734753Y-83641910D01* -X110668090Y-83575247D01* -X110589702Y-83522870D01* -X110502603Y-83486792D01* -X110410138Y-83468400D01* -X110315862Y-83468400D01* -X110223397Y-83486792D01* -X110136298Y-83522870D01* -X110057910Y-83575247D01* -X109991247Y-83641910D01* -X109938870Y-83720298D01* -X109902792Y-83807397D01* -X109884400Y-83899862D01* -X105761600Y-83899862D01* -X105743208Y-83807397D01* -X105707130Y-83720298D01* -X105654753Y-83641910D01* -X105588090Y-83575247D01* -X105509702Y-83522870D01* -X105422603Y-83486792D01* -X105330138Y-83468400D01* -X105235862Y-83468400D01* -X105143397Y-83486792D01* -X105056298Y-83522870D01* -X104977910Y-83575247D01* -X104911247Y-83641910D01* -X104858870Y-83720298D01* -X104822792Y-83807397D01* -X104804400Y-83899862D01* -X100681600Y-83899862D01* -X100663208Y-83807397D01* -X100627130Y-83720298D01* -X100574753Y-83641910D01* -X100508090Y-83575247D01* -X100429702Y-83522870D01* -X100342603Y-83486792D01* -X100250138Y-83468400D01* -X100155862Y-83468400D01* -X100063397Y-83486792D01* -X99976298Y-83522870D01* -X99897910Y-83575247D01* -X99831247Y-83641910D01* -X99778870Y-83720298D01* -X99742792Y-83807397D01* -X99724400Y-83899862D01* -X95595090Y-83899862D01* -X95601600Y-83867138D01* -X95601600Y-83772862D01* -X95583208Y-83680397D01* -X95547130Y-83593298D01* -X95494753Y-83514910D01* -X95428090Y-83448247D01* -X95349702Y-83395870D01* -X95262603Y-83359792D01* -X95170138Y-83341400D01* -X95075862Y-83341400D01* -X94983397Y-83359792D01* -X94896298Y-83395870D01* -X94817910Y-83448247D01* -X94751247Y-83514910D01* -X94698870Y-83593298D01* -X94662792Y-83680397D01* -X94644400Y-83772862D01* -X90521600Y-83772862D01* -X90503208Y-83680397D01* -X90467130Y-83593298D01* -X90414753Y-83514910D01* -X90348090Y-83448247D01* -X90269702Y-83395870D01* -X90182603Y-83359792D01* -X90090138Y-83341400D01* -X89995862Y-83341400D01* -X89903397Y-83359792D01* -X89816298Y-83395870D01* -X89737910Y-83448247D01* -X89671247Y-83514910D01* -X89618870Y-83593298D01* -X89582792Y-83680397D01* -X89564400Y-83772862D01* -X85337394Y-83772862D01* -X85334753Y-83768910D01* -X85268090Y-83702247D01* -X85189702Y-83649870D01* -X85102603Y-83613792D01* -X85010138Y-83595400D01* -X84915862Y-83595400D01* -X84823397Y-83613792D01* -X84736298Y-83649870D01* -X84657910Y-83702247D01* -X84591247Y-83768910D01* -X84538870Y-83847298D01* -X84502792Y-83934397D01* -X84484400Y-84026862D01* -X60318394Y-84026862D01* -X60315753Y-84022910D01* -X60249090Y-83956247D01* -X60170702Y-83903870D01* -X60083603Y-83867792D01* -X59991138Y-83849400D01* -X59896862Y-83849400D01* -X59804397Y-83867792D01* -X59717298Y-83903870D01* -X59638910Y-83956247D01* -X59572247Y-84022910D01* -X59519870Y-84101298D01* -X59483792Y-84188397D01* -X59465400Y-84280862D01* -X57704612Y-84280862D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56097724Y-82948040D01* -X56090870Y-82958298D01* -X56054792Y-83045397D01* -X56036400Y-83137862D01* -X56036400Y-83232138D01* -X56054792Y-83324603D01* -X56090870Y-83411702D01* -X56143247Y-83490090D01* -X56209910Y-83556753D01* -X56288298Y-83609130D01* -X56375397Y-83645208D01* -X56467862Y-83663600D01* -X56562138Y-83663600D01* -X56654603Y-83645208D01* -X56741702Y-83609130D01* -X56820090Y-83556753D01* -X56886753Y-83490090D01* -X56939130Y-83411702D01* -X56975208Y-83324603D01* -X56993600Y-83232138D01* -X56993600Y-83137862D01* -X64164400Y-83137862D01* -X64164400Y-83232138D01* -X64182792Y-83324603D01* -X64218870Y-83411702D01* -X64271247Y-83490090D01* -X64337910Y-83556753D01* -X64416298Y-83609130D01* -X64503397Y-83645208D01* -X64595862Y-83663600D01* -X64690138Y-83663600D01* -X64782603Y-83645208D01* -X64869702Y-83609130D01* -X64948090Y-83556753D01* -X65014753Y-83490090D01* -X65067130Y-83411702D01* -X65103208Y-83324603D01* -X65121600Y-83232138D01* -X65121600Y-83137862D01* -X69244400Y-83137862D01* -X69244400Y-83232138D01* -X69262792Y-83324603D01* -X69298870Y-83411702D01* -X69351247Y-83490090D01* -X69417910Y-83556753D01* -X69496298Y-83609130D01* -X69583397Y-83645208D01* -X69675862Y-83663600D01* -X69770138Y-83663600D01* -X69862603Y-83645208D01* -X69949702Y-83609130D01* -X70028090Y-83556753D01* -X70094753Y-83490090D01* -X70147130Y-83411702D01* -X70183208Y-83324603D01* -X70201600Y-83232138D01* -X70201600Y-83137862D01* -X74324400Y-83137862D01* -X74324400Y-83232138D01* -X74342792Y-83324603D01* -X74378870Y-83411702D01* -X74431247Y-83490090D01* -X74497910Y-83556753D01* -X74576298Y-83609130D01* -X74663397Y-83645208D01* -X74755862Y-83663600D01* -X74850138Y-83663600D01* -X74942603Y-83645208D01* -X75029702Y-83609130D01* -X75108090Y-83556753D01* -X75174753Y-83490090D01* -X75227130Y-83411702D01* -X75263208Y-83324603D01* -X75281600Y-83232138D01* -X75281600Y-83137862D01* -X79404400Y-83137862D01* -X79404400Y-83232138D01* -X79422792Y-83324603D01* -X79458870Y-83411702D01* -X79511247Y-83490090D01* -X79577910Y-83556753D01* -X79656298Y-83609130D01* -X79743397Y-83645208D01* -X79835862Y-83663600D01* -X79930138Y-83663600D01* -X80022603Y-83645208D01* -X80109702Y-83609130D01* -X80188090Y-83556753D01* -X80254753Y-83490090D01* -X80307130Y-83411702D01* -X80343208Y-83324603D01* -X80361600Y-83232138D01* -X80361600Y-83137862D01* -X120044400Y-83137862D01* -X120044400Y-83232138D01* -X120062792Y-83324603D01* -X120098870Y-83411702D01* -X120151247Y-83490090D01* -X120217910Y-83556753D01* -X120296298Y-83609130D01* -X120383397Y-83645208D01* -X120475862Y-83663600D01* -X120570138Y-83663600D01* -X120662603Y-83645208D01* -X120749702Y-83609130D01* -X120828090Y-83556753D01* -X120894753Y-83490090D01* -X120947130Y-83411702D01* -X120983208Y-83324603D01* -X121001600Y-83232138D01* -X121001600Y-83137862D01* -X125124400Y-83137862D01* -X125124400Y-83232138D01* -X125142792Y-83324603D01* -X125178870Y-83411702D01* -X125231247Y-83490090D01* -X125297910Y-83556753D01* -X125376298Y-83609130D01* -X125463397Y-83645208D01* -X125555862Y-83663600D01* -X125650138Y-83663600D01* -X125742603Y-83645208D01* -X125829702Y-83609130D01* -X125908090Y-83556753D01* -X125974753Y-83490090D01* -X126027130Y-83411702D01* -X126063208Y-83324603D01* -X126081600Y-83232138D01* -X126081600Y-83137862D01* -X130204400Y-83137862D01* -X130204400Y-83232138D01* -X130222792Y-83324603D01* -X130258870Y-83411702D01* -X130311247Y-83490090D01* -X130377910Y-83556753D01* -X130456298Y-83609130D01* -X130543397Y-83645208D01* -X130635862Y-83663600D01* -X130730138Y-83663600D01* -X130822603Y-83645208D01* -X130909702Y-83609130D01* -X130988090Y-83556753D01* -X131054753Y-83490090D01* -X131107130Y-83411702D01* -X131143208Y-83324603D01* -X131161600Y-83232138D01* -X131161600Y-83137862D01* -X135284400Y-83137862D01* -X135284400Y-83232138D01* -X135302792Y-83324603D01* -X135338870Y-83411702D01* -X135391247Y-83490090D01* -X135457910Y-83556753D01* -X135536298Y-83609130D01* -X135623397Y-83645208D01* -X135715862Y-83663600D01* -X135810138Y-83663600D01* -X135902603Y-83645208D01* -X135989702Y-83609130D01* -X136068090Y-83556753D01* -X136134753Y-83490090D01* -X136187130Y-83411702D01* -X136223208Y-83324603D01* -X136241600Y-83232138D01* -X136241600Y-83137862D01* -X136223208Y-83045397D01* -X136187130Y-82958298D01* -X136134753Y-82879910D01* -X136068090Y-82813247D01* -X135989702Y-82760870D01* -X135902603Y-82724792D01* -X135810138Y-82706400D01* -X135715862Y-82706400D01* -X135623397Y-82724792D01* -X135536298Y-82760870D01* -X135457910Y-82813247D01* -X135391247Y-82879910D01* -X135338870Y-82958298D01* -X135302792Y-83045397D01* -X135284400Y-83137862D01* -X131161600Y-83137862D01* -X131143208Y-83045397D01* -X131107130Y-82958298D01* -X131054753Y-82879910D01* -X130988090Y-82813247D01* -X130909702Y-82760870D01* -X130822603Y-82724792D01* -X130730138Y-82706400D01* -X130635862Y-82706400D01* -X130543397Y-82724792D01* -X130456298Y-82760870D01* -X130377910Y-82813247D01* -X130311247Y-82879910D01* -X130258870Y-82958298D01* -X130222792Y-83045397D01* -X130204400Y-83137862D01* -X126081600Y-83137862D01* -X126063208Y-83045397D01* -X126027130Y-82958298D01* -X125974753Y-82879910D01* -X125908090Y-82813247D01* -X125829702Y-82760870D01* -X125742603Y-82724792D01* -X125650138Y-82706400D01* -X125555862Y-82706400D01* -X125463397Y-82724792D01* -X125376298Y-82760870D01* -X125297910Y-82813247D01* -X125231247Y-82879910D01* -X125178870Y-82958298D01* -X125142792Y-83045397D01* -X125124400Y-83137862D01* -X121001600Y-83137862D01* -X120983208Y-83045397D01* -X120947130Y-82958298D01* -X120894753Y-82879910D01* -X120828090Y-82813247D01* -X120749702Y-82760870D01* -X120662603Y-82724792D01* -X120570138Y-82706400D01* -X120475862Y-82706400D01* -X120383397Y-82724792D01* -X120296298Y-82760870D01* -X120217910Y-82813247D01* -X120151247Y-82879910D01* -X120098870Y-82958298D01* -X120062792Y-83045397D01* -X120044400Y-83137862D01* -X80361600Y-83137862D01* -X80343208Y-83045397D01* -X80307130Y-82958298D01* -X80254753Y-82879910D01* -X80188090Y-82813247D01* -X80109702Y-82760870D01* -X80022603Y-82724792D01* -X79930138Y-82706400D01* -X79835862Y-82706400D01* -X79743397Y-82724792D01* -X79656298Y-82760870D01* -X79577910Y-82813247D01* -X79511247Y-82879910D01* -X79458870Y-82958298D01* -X79422792Y-83045397D01* -X79404400Y-83137862D01* -X75281600Y-83137862D01* -X75263208Y-83045397D01* -X75227130Y-82958298D01* -X75174753Y-82879910D01* -X75108090Y-82813247D01* -X75029702Y-82760870D01* -X74942603Y-82724792D01* -X74850138Y-82706400D01* -X74755862Y-82706400D01* -X74663397Y-82724792D01* -X74576298Y-82760870D01* -X74497910Y-82813247D01* -X74431247Y-82879910D01* -X74378870Y-82958298D01* -X74342792Y-83045397D01* -X74324400Y-83137862D01* -X70201600Y-83137862D01* -X70183208Y-83045397D01* -X70147130Y-82958298D01* -X70094753Y-82879910D01* -X70028090Y-82813247D01* -X69949702Y-82760870D01* -X69862603Y-82724792D01* -X69770138Y-82706400D01* -X69675862Y-82706400D01* -X69583397Y-82724792D01* -X69496298Y-82760870D01* -X69417910Y-82813247D01* -X69351247Y-82879910D01* -X69298870Y-82958298D01* -X69262792Y-83045397D01* -X69244400Y-83137862D01* -X65121600Y-83137862D01* -X65103208Y-83045397D01* -X65067130Y-82958298D01* -X65014753Y-82879910D01* -X64948090Y-82813247D01* -X64869702Y-82760870D01* -X64782603Y-82724792D01* -X64690138Y-82706400D01* -X64595862Y-82706400D01* -X64503397Y-82724792D01* -X64416298Y-82760870D01* -X64337910Y-82813247D01* -X64271247Y-82879910D01* -X64218870Y-82958298D01* -X64182792Y-83045397D01* -X64164400Y-83137862D01* -X56993600Y-83137862D01* -X56975208Y-83045397D01* -X56939130Y-82958298D01* -X56886753Y-82879910D01* -X56820090Y-82813247D01* -X56741702Y-82760870D01* -X56654603Y-82724792D01* -X56562138Y-82706400D01* -X56467862Y-82706400D01* -X56375397Y-82724792D01* -X56288298Y-82760870D01* -X56278040Y-82767724D01* -X56702010Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X56702010Y-82343754D01* -X58211140Y-80834624D01* -X58698951Y-80590719D01* -X58705064Y-80589496D01* -X58703400Y-80597862D01* -X58703400Y-80692138D01* -X58721792Y-80784603D01* -X58757870Y-80871702D01* -X58810247Y-80950090D01* -X58876910Y-81016753D01* -X58955298Y-81069130D01* -X59042397Y-81105208D01* -X59134862Y-81123600D01* -X59229138Y-81123600D01* -X59321603Y-81105208D01* -X59408702Y-81069130D01* -X59487090Y-81016753D01* -X59553753Y-80950090D01* -X59606130Y-80871702D01* -X59642208Y-80784603D01* -X59660600Y-80692138D01* -X59660600Y-80597862D01* -X59642208Y-80505397D01* -X59626386Y-80467200D01* -X61658614Y-80467200D01* -X61642792Y-80505397D01* -G37* -X61642792Y-80505397D02* -X61624400Y-80597862D01* -X61624400Y-80692138D01* -X61642792Y-80784603D01* -X61678870Y-80871702D01* -X61731247Y-80950090D01* -X61797910Y-81016753D01* -X61876298Y-81069130D01* -X61963397Y-81105208D01* -X62055862Y-81123600D01* -X62150138Y-81123600D01* -X62242603Y-81105208D01* -X62329702Y-81069130D01* -X62408090Y-81016753D01* -X62474753Y-80950090D01* -X62527130Y-80871702D01* -X62563208Y-80784603D01* -X62581600Y-80692138D01* -X62581600Y-80597862D01* -X62563208Y-80505397D01* -X62547386Y-80467200D01* -X66738614Y-80467200D01* -X66722792Y-80505397D01* -X66704400Y-80597862D01* -X66704400Y-80692138D01* -X66722792Y-80784603D01* -X66758870Y-80871702D01* -X66811247Y-80950090D01* -X66877910Y-81016753D01* -X66956298Y-81069130D01* -X67043397Y-81105208D01* -X67135862Y-81123600D01* -X67230138Y-81123600D01* -X67322603Y-81105208D01* -X67409702Y-81069130D01* -X67488090Y-81016753D01* -X67554753Y-80950090D01* -X67607130Y-80871702D01* -X67643208Y-80784603D01* -X67661600Y-80692138D01* -X67661600Y-80597862D01* -X67643208Y-80505397D01* -X67627386Y-80467200D01* -X71818614Y-80467200D01* -X71802792Y-80505397D01* -X71784400Y-80597862D01* -X71784400Y-80692138D01* -X71802792Y-80784603D01* -X71838870Y-80871702D01* -X71891247Y-80950090D01* -X71957910Y-81016753D01* -X72036298Y-81069130D01* -X72123397Y-81105208D01* -X72215862Y-81123600D01* -X72310138Y-81123600D01* -X72402603Y-81105208D01* -X72489702Y-81069130D01* -X72568090Y-81016753D01* -X72634753Y-80950090D01* -X72687130Y-80871702D01* -X72723208Y-80784603D01* -X72741600Y-80692138D01* -X72741600Y-80597862D01* -X72723208Y-80505397D01* -X72707386Y-80467200D01* -X76898614Y-80467200D01* -X76882792Y-80505397D01* -X76864400Y-80597862D01* -X76864400Y-80692138D01* -X76882792Y-80784603D01* -X76918870Y-80871702D01* -X76971247Y-80950090D01* -X77037910Y-81016753D01* -X77116298Y-81069130D01* -X77203397Y-81105208D01* -X77295862Y-81123600D01* -X77390138Y-81123600D01* -X77482603Y-81105208D01* -X77569702Y-81069130D01* -X77648090Y-81016753D01* -X77714753Y-80950090D01* -X77767130Y-80871702D01* -X77803208Y-80784603D01* -X77821600Y-80692138D01* -X77821600Y-80597862D01* -X77803208Y-80505397D01* -X77787386Y-80467200D01* -X81978614Y-80467200D01* -X81962792Y-80505397D01* -X81944400Y-80597862D01* -X81944400Y-80692138D01* -X81962792Y-80784603D01* -X81998870Y-80871702D01* -X82051247Y-80950090D01* -X82117910Y-81016753D01* -X82196298Y-81069130D01* -X82283397Y-81105208D01* -X82375862Y-81123600D01* -X82470138Y-81123600D01* -X82562603Y-81105208D01* -X82649702Y-81069130D01* -X82728090Y-81016753D01* -X82794753Y-80950090D01* -X82847130Y-80871702D01* -X82883208Y-80784603D01* -X82901600Y-80692138D01* -X82901600Y-80597862D01* -X82883208Y-80505397D01* -X82867386Y-80467200D01* -X87058614Y-80467200D01* -X87042792Y-80505397D01* -X87024400Y-80597862D01* -X87024400Y-80692138D01* -X87042792Y-80784603D01* -X87078870Y-80871702D01* -X87131247Y-80950090D01* -X87197910Y-81016753D01* -X87276298Y-81069130D01* -X87363397Y-81105208D01* -X87455862Y-81123600D01* -X87550138Y-81123600D01* -X87642603Y-81105208D01* -X87729702Y-81069130D01* -X87808090Y-81016753D01* -X87874753Y-80950090D01* -X87927130Y-80871702D01* -X87963208Y-80784603D01* -X87981600Y-80692138D01* -X87981600Y-80597862D01* -X87963208Y-80505397D01* -X87947386Y-80467200D01* -X92138614Y-80467200D01* -X92122792Y-80505397D01* -X92104400Y-80597862D01* -X92104400Y-80692138D01* -X92122792Y-80784603D01* -X92158870Y-80871702D01* -X92211247Y-80950090D01* -X92277910Y-81016753D01* -X92356298Y-81069130D01* -X92443397Y-81105208D01* -X92535862Y-81123600D01* -X92630138Y-81123600D01* -X92722603Y-81105208D01* -X92809702Y-81069130D01* -X92888090Y-81016753D01* -X92954753Y-80950090D01* -X93007130Y-80871702D01* -X93043208Y-80784603D01* -X93061600Y-80692138D01* -X93061600Y-80597862D01* -X93043208Y-80505397D01* -X93027386Y-80467200D01* -X97218614Y-80467200D01* -X97202792Y-80505397D01* -X97184400Y-80597862D01* -X97184400Y-80692138D01* -X97202792Y-80784603D01* -X97238870Y-80871702D01* -X97291247Y-80950090D01* -X97357910Y-81016753D01* -X97436298Y-81069130D01* -X97523397Y-81105208D01* -X97615862Y-81123600D01* -X97710138Y-81123600D01* -X97802603Y-81105208D01* -X97889702Y-81069130D01* -X97968090Y-81016753D01* -X98034753Y-80950090D01* -X98087130Y-80871702D01* -X98123208Y-80784603D01* -X98141600Y-80692138D01* -X98141600Y-80597862D01* -X98123208Y-80505397D01* -X98107386Y-80467200D01* -X102298614Y-80467200D01* -X102282792Y-80505397D01* -X102264400Y-80597862D01* -X102264400Y-80692138D01* -X102282792Y-80784603D01* -X102318870Y-80871702D01* -X102371247Y-80950090D01* -X102437910Y-81016753D01* -X102516298Y-81069130D01* -X102603397Y-81105208D01* -X102695862Y-81123600D01* -X102790138Y-81123600D01* -X102882603Y-81105208D01* -X102969702Y-81069130D01* -X103048090Y-81016753D01* -X103114753Y-80950090D01* -X103167130Y-80871702D01* -X103203208Y-80784603D01* -X103221600Y-80692138D01* -X103221600Y-80597862D01* -X103203208Y-80505397D01* -X103187386Y-80467200D01* -X107378614Y-80467200D01* -X107362792Y-80505397D01* -X107344400Y-80597862D01* -X107344400Y-80692138D01* -X107362792Y-80784603D01* -X107398870Y-80871702D01* -X107451247Y-80950090D01* -X107517910Y-81016753D01* -X107596298Y-81069130D01* -X107683397Y-81105208D01* -X107775862Y-81123600D01* -X107870138Y-81123600D01* -X107962603Y-81105208D01* -X108049702Y-81069130D01* -X108128090Y-81016753D01* -X108194753Y-80950090D01* -X108247130Y-80871702D01* -X108283208Y-80784603D01* -X108301600Y-80692138D01* -X108301600Y-80597862D01* -X108283208Y-80505397D01* -X108267386Y-80467200D01* -X112458614Y-80467200D01* -X112442792Y-80505397D01* -X112424400Y-80597862D01* -X112424400Y-80692138D01* -X112442792Y-80784603D01* -X112478870Y-80871702D01* -X112531247Y-80950090D01* -X112597910Y-81016753D01* -X112676298Y-81069130D01* -X112763397Y-81105208D01* -X112855862Y-81123600D01* -X112950138Y-81123600D01* -X113042603Y-81105208D01* -X113129702Y-81069130D01* -X113208090Y-81016753D01* -X113274753Y-80950090D01* -X113327130Y-80871702D01* -X113363208Y-80784603D01* -X113381600Y-80692138D01* -X113381600Y-80597862D01* -X113363208Y-80505397D01* -X113347386Y-80467200D01* -X117538614Y-80467200D01* -X117522792Y-80505397D01* -X117504400Y-80597862D01* -X117504400Y-80692138D01* -X117522792Y-80784603D01* -X117558870Y-80871702D01* -X117611247Y-80950090D01* -X117677910Y-81016753D01* -X117756298Y-81069130D01* -X117843397Y-81105208D01* -X117935862Y-81123600D01* -X118030138Y-81123600D01* -X118122603Y-81105208D01* -X118209702Y-81069130D01* -X118288090Y-81016753D01* -X118354753Y-80950090D01* -X118407130Y-80871702D01* -X118443208Y-80784603D01* -X118461600Y-80692138D01* -X118461600Y-80597862D01* -X118443208Y-80505397D01* -X118427386Y-80467200D01* -X122618614Y-80467200D01* -X122602792Y-80505397D01* -X122584400Y-80597862D01* -X122584400Y-80692138D01* -X122602792Y-80784603D01* -X122638870Y-80871702D01* -X122691247Y-80950090D01* -X122757910Y-81016753D01* -X122836298Y-81069130D01* -X122923397Y-81105208D01* -X123015862Y-81123600D01* -X123110138Y-81123600D01* -X123202603Y-81105208D01* -X123289702Y-81069130D01* -X123368090Y-81016753D01* -X123434753Y-80950090D01* -X123487130Y-80871702D01* -X123523208Y-80784603D01* -X123541600Y-80692138D01* -X123541600Y-80597862D01* -X123523208Y-80505397D01* -X123507386Y-80467200D01* -X127698614Y-80467200D01* -X127682792Y-80505397D01* -X127664400Y-80597862D01* -X127664400Y-80692138D01* -X127682792Y-80784603D01* -X127718870Y-80871702D01* -X127771247Y-80950090D01* -X127837910Y-81016753D01* -X127916298Y-81069130D01* -X128003397Y-81105208D01* -X128095862Y-81123600D01* -X128190138Y-81123600D01* -X128282603Y-81105208D01* -X128369702Y-81069130D01* -X128448090Y-81016753D01* -X128514753Y-80950090D01* -X128567130Y-80871702D01* -X128603208Y-80784603D01* -X128621600Y-80692138D01* -X128621600Y-80597862D01* -X128603208Y-80505397D01* -X128587386Y-80467200D01* -X132778614Y-80467200D01* -X132762792Y-80505397D01* -X132744400Y-80597862D01* -X132744400Y-80692138D01* -X132762792Y-80784603D01* -X132798870Y-80871702D01* -X132851247Y-80950090D01* -X132917910Y-81016753D01* -X132996298Y-81069130D01* -X133083397Y-81105208D01* -X133175862Y-81123600D01* -X133270138Y-81123600D01* -X133362603Y-81105208D01* -X133449702Y-81069130D01* -X133528090Y-81016753D01* -X133594753Y-80950090D01* -X133647130Y-80871702D01* -X133683208Y-80784603D01* -X133701600Y-80692138D01* -X133701600Y-80597862D01* -X133683208Y-80505397D01* -X133667386Y-80467200D01* -X137858614Y-80467200D01* -X137842792Y-80505397D01* -X137824400Y-80597862D01* -X137824400Y-80692138D01* -X137842792Y-80784603D01* -X137878870Y-80871702D01* -X137931247Y-80950090D01* -X137997910Y-81016753D01* -X138076298Y-81069130D01* -X138163397Y-81105208D01* -X138255862Y-81123600D01* -X138350138Y-81123600D01* -X138442603Y-81105208D01* -X138529702Y-81069130D01* -X138608090Y-81016753D01* -X138674753Y-80950090D01* -X138727130Y-80871702D01* -X138763208Y-80784603D01* -X138781600Y-80692138D01* -X138781600Y-80597862D01* -X138763208Y-80505397D01* -X138747386Y-80467200D01* -X142557614Y-80467200D01* -X142541792Y-80505397D01* -X142523400Y-80597862D01* -X142523400Y-80692138D01* -X142541792Y-80784603D01* -X142577870Y-80871702D01* -X142630247Y-80950090D01* -X142696910Y-81016753D01* -X142775298Y-81069130D01* -X142862397Y-81105208D01* -X142954862Y-81123600D01* -X143049138Y-81123600D01* -X143141603Y-81105208D01* -X143228702Y-81069130D01* -X143307090Y-81016753D01* -X143373753Y-80950090D01* -X143426130Y-80871702D01* -X143462208Y-80784603D01* -X143480600Y-80692138D01* -X143480600Y-80597862D01* -X143473674Y-80563044D01* -X143609112Y-80590131D01* -X144224750Y-80959514D01* -X144590376Y-81325140D01* -X144834281Y-81812951D01* -X144861956Y-81951326D01* -X144827138Y-81944400D01* -X144732862Y-81944400D01* -X144640397Y-81962792D01* -X144553298Y-81998870D01* -X144474910Y-82051247D01* -X144408247Y-82117910D01* -X144355870Y-82196298D01* -X144319792Y-82283397D01* -X144301400Y-82375862D01* -X144301400Y-82470138D01* -X144319792Y-82562603D01* -X144355870Y-82649702D01* -X144408247Y-82728090D01* -X144474910Y-82794753D01* -X144553298Y-82847130D01* -X144640397Y-82883208D01* -X144732862Y-82901600D01* -X144827138Y-82901600D01* -X144919603Y-82883208D01* -X144957800Y-82867386D01* -X144957800Y-87820614D01* -X144919603Y-87804792D01* -X144827138Y-87786400D01* -X144732862Y-87786400D01* -X144640397Y-87804792D01* -X144553298Y-87840870D01* -X144474910Y-87893247D01* -X144408247Y-87959910D01* -X144355870Y-88038298D01* -X144319792Y-88125397D01* -X144301400Y-88217862D01* -X144301400Y-88312138D01* -X144319792Y-88404603D01* -X144355870Y-88491702D01* -X144408247Y-88570090D01* -X144474910Y-88636753D01* -X144553298Y-88689130D01* -X144640397Y-88725208D01* -X144732862Y-88743600D01* -X144827138Y-88743600D01* -X144919603Y-88725208D01* -X144957800Y-88709386D01* -X144957800Y-92900614D01* -X144919603Y-92884792D01* -X144827138Y-92866400D01* -X144732862Y-92866400D01* -X144640397Y-92884792D01* -X144553298Y-92920870D01* -X144474910Y-92973247D01* -X144408247Y-93039910D01* -X144355870Y-93118298D01* -X144319792Y-93205397D01* -X144301400Y-93297862D01* -X144301400Y-93392138D01* -X144319792Y-93484603D01* -X144355870Y-93571702D01* -X144408247Y-93650090D01* -X144474910Y-93716753D01* -X144553298Y-93769130D01* -X144640397Y-93805208D01* -X144732862Y-93823600D01* -X144827138Y-93823600D01* -X144919603Y-93805208D01* -X144957800Y-93789386D01* -X144957800Y-97980614D01* -X144919603Y-97964792D01* -X144827138Y-97946400D01* -X144732862Y-97946400D01* -X144640397Y-97964792D01* -X144553298Y-98000870D01* -X144474910Y-98053247D01* -X144408247Y-98119910D01* -X144355870Y-98198298D01* -X144319792Y-98285397D01* -X144301400Y-98377862D01* -X144301400Y-98472138D01* -X144319792Y-98564603D01* -X144355870Y-98651702D01* -X144408247Y-98730090D01* -X144474910Y-98796753D01* -X144553298Y-98849130D01* -X144640397Y-98885208D01* -X144732862Y-98903600D01* -X144827138Y-98903600D01* -X144919603Y-98885208D01* -X144957800Y-98869386D01* -X144957800Y-103060614D01* -X144919603Y-103044792D01* -X144827138Y-103026400D01* -X144732862Y-103026400D01* -X144640397Y-103044792D01* -X144553298Y-103080870D01* -X144474910Y-103133247D01* -X144408247Y-103199910D01* -X144355870Y-103278298D01* -X144319792Y-103365397D01* -X144301400Y-103457862D01* -X144301400Y-103552138D01* -X144319792Y-103644603D01* -X144355870Y-103731702D01* -X144408247Y-103810090D01* -X144474910Y-103876753D01* -X144553298Y-103929130D01* -X144640397Y-103965208D01* -X144732862Y-103983600D01* -X144827138Y-103983600D01* -X144919603Y-103965208D01* -X144957800Y-103949386D01* -X144957800Y-108140614D01* -X144919603Y-108124792D01* -X144827138Y-108106400D01* -X144732862Y-108106400D01* -X144640397Y-108124792D01* -X144553298Y-108160870D01* -X144474910Y-108213247D01* -X144408247Y-108279910D01* -X144355870Y-108358298D01* -X144319792Y-108445397D01* -X144301400Y-108537862D01* -X144301400Y-108632138D01* -X144319792Y-108724603D01* -X144355870Y-108811702D01* -X144408247Y-108890090D01* -X144474910Y-108956753D01* -X144553298Y-109009130D01* -X144640397Y-109045208D01* -X144732862Y-109063600D01* -X144827138Y-109063600D01* -X144919603Y-109045208D01* -X144957800Y-109029386D01* -X144957800Y-113220614D01* -X144919603Y-113204792D01* -X144827138Y-113186400D01* -X144732862Y-113186400D01* -X144640397Y-113204792D01* -X144553298Y-113240870D01* -X144474910Y-113293247D01* -X144408247Y-113359910D01* -X144355870Y-113438298D01* -X144319792Y-113525397D01* -X144301400Y-113617862D01* -X144301400Y-113712138D01* -X144319792Y-113804603D01* -X144355870Y-113891702D01* -X144408247Y-113970090D01* -X144474910Y-114036753D01* -X144553298Y-114089130D01* -X144640397Y-114125208D01* -X144732862Y-114143600D01* -X144827138Y-114143600D01* -X144919603Y-114125208D01* -X144957800Y-114109386D01* -X144957800Y-118300614D01* -X144919603Y-118284792D01* -X144827138Y-118266400D01* -X144732862Y-118266400D01* -X144640397Y-118284792D01* -X144553298Y-118320870D01* -X144474910Y-118373247D01* -X144408247Y-118439910D01* -X144355870Y-118518298D01* -X144319792Y-118605397D01* -X144301400Y-118697862D01* -X144301400Y-118792138D01* -X144319792Y-118884603D01* -X144355870Y-118971702D01* -X144408247Y-119050090D01* -X144474910Y-119116753D01* -X144553298Y-119169130D01* -X144640397Y-119205208D01* -X144732862Y-119223600D01* -X144827138Y-119223600D01* -X144919603Y-119205208D01* -X144957800Y-119189386D01* -X144957800Y-123380614D01* -X144919603Y-123364792D01* -X144827138Y-123346400D01* -X144732862Y-123346400D01* -X144640397Y-123364792D01* -X144553298Y-123400870D01* -X144474910Y-123453247D01* -X144408247Y-123519910D01* -X144355870Y-123598298D01* -X144319792Y-123685397D01* -X144301400Y-123777862D01* -X144301400Y-123872138D01* -X144319792Y-123964603D01* -X144355870Y-124051702D01* -X144408247Y-124130090D01* -X144474910Y-124196753D01* -X144553298Y-124249130D01* -X144640397Y-124285208D01* -X144732862Y-124303600D01* -X144827138Y-124303600D01* -X144919603Y-124285208D01* -X144957800Y-124269386D01* -X144957800Y-129095614D01* -X144919603Y-129079792D01* -X144827138Y-129061400D01* -X144732862Y-129061400D01* -X144640397Y-129079792D01* -X144553298Y-129115870D01* -X144474910Y-129168247D01* -X144408247Y-129234910D01* -X144355870Y-129313298D01* -X144319792Y-129400397D01* -X144301400Y-129492862D01* -X144301400Y-129587138D01* -X144319792Y-129679603D01* -X144355870Y-129766702D01* -X144408247Y-129845090D01* -X144474910Y-129911753D01* -X144553298Y-129964130D01* -X144640397Y-130000208D01* -X144732862Y-130018600D01* -X144827138Y-130018600D01* -X144861956Y-130011674D01* -X144834281Y-130150049D01* -X144590376Y-130637860D01* -X144099860Y-131128376D01* -X143612049Y-131372281D01* -X143473674Y-131399956D01* -X143480600Y-131365138D01* -X143480600Y-131270862D01* -X143462208Y-131178397D01* -X143426130Y-131091298D01* -X143373753Y-131012910D01* -X143307090Y-130946247D01* -X143228702Y-130893870D01* -X143141603Y-130857792D01* -X143049138Y-130839400D01* -X142954862Y-130839400D01* -X142862397Y-130857792D01* -X142775298Y-130893870D01* -X142696910Y-130946247D01* -X142630247Y-131012910D01* -X142577870Y-131091298D01* -X142541792Y-131178397D01* -X142523400Y-131270862D01* -X142523400Y-131365138D01* -X142541792Y-131457603D01* -X142557614Y-131495800D01* -X138938000Y-131495800D01* -X138923134Y-131497264D01* -X138908840Y-131501600D01* -X138895666Y-131508642D01* -X138884118Y-131518118D01* -X138874642Y-131529666D01* -X138867600Y-131542840D01* -X138863264Y-131557134D01* -X138861800Y-131572000D01* -X138861800Y-139115800D01* -X74498200Y-139115800D01* -X74498200Y-138303000D01* -X78155800Y-138303000D01* -X78155800Y-138684000D01* -X78157264Y-138698866D01* -X78161600Y-138713160D01* -X78168642Y-138726334D01* -X78178118Y-138737882D01* -X78189666Y-138747358D01* -X78202840Y-138754400D01* -X78217134Y-138758736D01* -X78232000Y-138760200D01* -X78613000Y-138760200D01* -X78627866Y-138758736D01* -X78642160Y-138754400D01* -X78655334Y-138747358D01* -X78666882Y-138737882D01* -X78676358Y-138726334D01* -X78683400Y-138713160D01* -X78687736Y-138698866D01* -X78689200Y-138684000D01* -X78689200Y-138303000D01* -X78790800Y-138303000D01* -X78790800Y-138684000D01* -X78792264Y-138698866D01* -X78796600Y-138713160D01* -X78803642Y-138726334D01* -X78813118Y-138737882D01* -X78824666Y-138747358D01* -X78837840Y-138754400D01* -X78852134Y-138758736D01* -X78867000Y-138760200D01* -X79248000Y-138760200D01* -X79262866Y-138758736D01* -X79277160Y-138754400D01* -X79290334Y-138747358D01* -X79301882Y-138737882D01* -X79311358Y-138726334D01* -X79318400Y-138713160D01* -X79322736Y-138698866D01* -X79324200Y-138684000D01* -X79324200Y-138303000D01* -X80695800Y-138303000D01* -X80695800Y-138684000D01* -X80697264Y-138698866D01* -X80701600Y-138713160D01* -X80708642Y-138726334D01* -X80718118Y-138737882D01* -X80729666Y-138747358D01* -X80742840Y-138754400D01* -X80757134Y-138758736D01* -X80772000Y-138760200D01* -X81153000Y-138760200D01* -X81167866Y-138758736D01* -X81182160Y-138754400D01* -X81195334Y-138747358D01* -X81206882Y-138737882D01* -X81216358Y-138726334D01* -X81223400Y-138713160D01* -X81227736Y-138698866D01* -X81229200Y-138684000D01* -X81229200Y-138303000D01* -X81330800Y-138303000D01* -X81330800Y-138684000D01* -X81332264Y-138698866D01* -X81336600Y-138713160D01* -X81343642Y-138726334D01* -X81353118Y-138737882D01* -X81364666Y-138747358D01* -X81377840Y-138754400D01* -X81392134Y-138758736D01* -X81407000Y-138760200D01* -X81788000Y-138760200D01* -X81802866Y-138758736D01* -X81817160Y-138754400D01* -X81830334Y-138747358D01* -X81841882Y-138737882D01* -X81851358Y-138726334D01* -X81858400Y-138713160D01* -X81862736Y-138698866D01* -X81864200Y-138684000D01* -X81864200Y-138303000D01* -X83235800Y-138303000D01* -X83235800Y-138684000D01* -X83237264Y-138698866D01* -X83241600Y-138713160D01* -X83248642Y-138726334D01* -X83258118Y-138737882D01* -X83269666Y-138747358D01* -X83282840Y-138754400D01* -X83297134Y-138758736D01* -X83312000Y-138760200D01* -X83693000Y-138760200D01* -X83707866Y-138758736D01* -X83722160Y-138754400D01* -X83735334Y-138747358D01* -X83746882Y-138737882D01* -X83756358Y-138726334D01* -X83763400Y-138713160D01* -X83767736Y-138698866D01* -X83769200Y-138684000D01* -X83769200Y-138303000D01* -X83870800Y-138303000D01* -X83870800Y-138684000D01* -X83872264Y-138698866D01* -X83876600Y-138713160D01* -X83883642Y-138726334D01* -X83893118Y-138737882D01* -X83904666Y-138747358D01* -X83917840Y-138754400D01* -X83932134Y-138758736D01* -X83947000Y-138760200D01* -X84328000Y-138760200D01* -X84342866Y-138758736D01* -X84357160Y-138754400D01* -X84370334Y-138747358D01* -X84381882Y-138737882D01* -X84391358Y-138726334D01* -X84398400Y-138713160D01* -X84402736Y-138698866D01* -X84404200Y-138684000D01* -X84404200Y-138303000D01* -X85775800Y-138303000D01* -X85775800Y-138684000D01* -X85777264Y-138698866D01* -X85781600Y-138713160D01* -X85788642Y-138726334D01* -X85798118Y-138737882D01* -X85809666Y-138747358D01* -X85822840Y-138754400D01* -X85837134Y-138758736D01* -X85852000Y-138760200D01* -X86233000Y-138760200D01* -X86247866Y-138758736D01* -X86262160Y-138754400D01* -X86275334Y-138747358D01* -X86286882Y-138737882D01* -X86296358Y-138726334D01* -X86303400Y-138713160D01* -X86307736Y-138698866D01* -X86309200Y-138684000D01* -X86309200Y-138303000D01* -X86410800Y-138303000D01* -X86410800Y-138684000D01* -X86412264Y-138698866D01* -X86416600Y-138713160D01* -X86423642Y-138726334D01* -X86433118Y-138737882D01* -X86444666Y-138747358D01* -X86457840Y-138754400D01* -X86472134Y-138758736D01* -X86487000Y-138760200D01* -X86868000Y-138760200D01* -X86882866Y-138758736D01* -X86897160Y-138754400D01* -X86910334Y-138747358D01* -X86921882Y-138737882D01* -X86931358Y-138726334D01* -X86938400Y-138713160D01* -X86942736Y-138698866D01* -X86944200Y-138684000D01* -X86944200Y-138303000D01* -X88315800Y-138303000D01* -X88315800Y-138684000D01* -X88317264Y-138698866D01* -X88321600Y-138713160D01* -X88328642Y-138726334D01* -X88338118Y-138737882D01* -X88349666Y-138747358D01* -X88362840Y-138754400D01* -X88377134Y-138758736D01* -X88392000Y-138760200D01* -X88773000Y-138760200D01* -X88787866Y-138758736D01* -X88802160Y-138754400D01* -X88815334Y-138747358D01* -X88826882Y-138737882D01* -X88836358Y-138726334D01* -X88843400Y-138713160D01* -X88847736Y-138698866D01* -X88849200Y-138684000D01* -X88849200Y-138303000D01* -X88950800Y-138303000D01* -X88950800Y-138684000D01* -X88952264Y-138698866D01* -X88956600Y-138713160D01* -X88963642Y-138726334D01* -X88973118Y-138737882D01* -X88984666Y-138747358D01* -X88997840Y-138754400D01* -X89012134Y-138758736D01* -X89027000Y-138760200D01* -X89408000Y-138760200D01* -X89422866Y-138758736D01* -X89437160Y-138754400D01* -X89450334Y-138747358D01* -X89461882Y-138737882D01* -X89471358Y-138726334D01* -X89478400Y-138713160D01* -X89482736Y-138698866D01* -X89484200Y-138684000D01* -X89484200Y-138303000D01* -X90855800Y-138303000D01* -X90855800Y-138684000D01* -X90857264Y-138698866D01* -X90861600Y-138713160D01* -X90868642Y-138726334D01* -X90878118Y-138737882D01* -X90889666Y-138747358D01* -X90902840Y-138754400D01* -X90917134Y-138758736D01* -X90932000Y-138760200D01* -X91313000Y-138760200D01* -X91327866Y-138758736D01* -X91342160Y-138754400D01* -X91355334Y-138747358D01* -X91366882Y-138737882D01* -X91376358Y-138726334D01* -X91383400Y-138713160D01* -X91387736Y-138698866D01* -X91389200Y-138684000D01* -X91389200Y-138303000D01* -X91490800Y-138303000D01* -X91490800Y-138684000D01* -X91492264Y-138698866D01* -X91496600Y-138713160D01* -X91503642Y-138726334D01* -X91513118Y-138737882D01* -X91524666Y-138747358D01* -X91537840Y-138754400D01* -X91552134Y-138758736D01* -X91567000Y-138760200D01* -X91948000Y-138760200D01* -X91962866Y-138758736D01* -X91977160Y-138754400D01* -X91990334Y-138747358D01* -X92001882Y-138737882D01* -X92011358Y-138726334D01* -X92018400Y-138713160D01* -X92022736Y-138698866D01* -X92024200Y-138684000D01* -X92024200Y-138303000D01* -X93395800Y-138303000D01* -X93395800Y-138684000D01* -X93397264Y-138698866D01* -X93401600Y-138713160D01* -X93408642Y-138726334D01* -X93418118Y-138737882D01* -X93429666Y-138747358D01* -X93442840Y-138754400D01* -X93457134Y-138758736D01* -X93472000Y-138760200D01* -X93853000Y-138760200D01* -X93867866Y-138758736D01* -X93882160Y-138754400D01* -X93895334Y-138747358D01* -X93906882Y-138737882D01* -X93916358Y-138726334D01* -X93923400Y-138713160D01* -X93927736Y-138698866D01* -X93929200Y-138684000D01* -X93929200Y-138303000D01* -X94030800Y-138303000D01* -X94030800Y-138684000D01* -X94032264Y-138698866D01* -X94036600Y-138713160D01* -X94043642Y-138726334D01* -X94053118Y-138737882D01* -X94064666Y-138747358D01* -X94077840Y-138754400D01* -X94092134Y-138758736D01* -X94107000Y-138760200D01* -X94488000Y-138760200D01* -X94502866Y-138758736D01* -X94517160Y-138754400D01* -X94530334Y-138747358D01* -X94541882Y-138737882D01* -X94551358Y-138726334D01* -X94558400Y-138713160D01* -X94562736Y-138698866D01* -X94564200Y-138684000D01* -X94564200Y-138303000D01* -X95935800Y-138303000D01* -X95935800Y-138684000D01* -X95937264Y-138698866D01* -X95941600Y-138713160D01* -X95948642Y-138726334D01* -X95958118Y-138737882D01* -X95969666Y-138747358D01* -X95982840Y-138754400D01* -X95997134Y-138758736D01* -X96012000Y-138760200D01* -X96393000Y-138760200D01* -X96407866Y-138758736D01* -X96422160Y-138754400D01* -X96435334Y-138747358D01* -X96446882Y-138737882D01* -X96456358Y-138726334D01* -X96463400Y-138713160D01* -X96467736Y-138698866D01* -X96469200Y-138684000D01* -X96469200Y-138303000D01* -X96570800Y-138303000D01* -X96570800Y-138684000D01* -X96572264Y-138698866D01* -X96576600Y-138713160D01* -X96583642Y-138726334D01* -X96593118Y-138737882D01* -X96604666Y-138747358D01* -X96617840Y-138754400D01* -X96632134Y-138758736D01* -X96647000Y-138760200D01* -X97028000Y-138760200D01* -X97042866Y-138758736D01* -X97057160Y-138754400D01* -X97070334Y-138747358D01* -X97081882Y-138737882D01* -X97091358Y-138726334D01* -X97098400Y-138713160D01* -X97102736Y-138698866D01* -X97104200Y-138684000D01* -X97104200Y-138303000D01* -X98475800Y-138303000D01* -X98475800Y-138684000D01* -X98477264Y-138698866D01* -X98481600Y-138713160D01* -X98488642Y-138726334D01* -X98498118Y-138737882D01* -X98509666Y-138747358D01* -X98522840Y-138754400D01* -X98537134Y-138758736D01* -X98552000Y-138760200D01* -X98933000Y-138760200D01* -X98947866Y-138758736D01* -X98962160Y-138754400D01* -X98975334Y-138747358D01* -X98986882Y-138737882D01* -X98996358Y-138726334D01* -X99003400Y-138713160D01* -X99007736Y-138698866D01* -X99009200Y-138684000D01* -X99009200Y-138303000D01* -X99110800Y-138303000D01* -X99110800Y-138684000D01* -X99112264Y-138698866D01* -X99116600Y-138713160D01* -X99123642Y-138726334D01* -X99133118Y-138737882D01* -X99144666Y-138747358D01* -X99157840Y-138754400D01* -X99172134Y-138758736D01* -X99187000Y-138760200D01* -X99568000Y-138760200D01* -X99582866Y-138758736D01* -X99597160Y-138754400D01* -X99610334Y-138747358D01* -X99621882Y-138737882D01* -X99631358Y-138726334D01* -X99638400Y-138713160D01* -X99642736Y-138698866D01* -X99644200Y-138684000D01* -X99644200Y-138303000D01* -X101015800Y-138303000D01* -X101015800Y-138684000D01* -X101017264Y-138698866D01* -X101021600Y-138713160D01* -X101028642Y-138726334D01* -X101038118Y-138737882D01* -X101049666Y-138747358D01* -X101062840Y-138754400D01* -X101077134Y-138758736D01* -X101092000Y-138760200D01* -X101473000Y-138760200D01* -X101487866Y-138758736D01* -X101502160Y-138754400D01* -X101515334Y-138747358D01* -X101526882Y-138737882D01* -X101536358Y-138726334D01* -X101543400Y-138713160D01* -X101547736Y-138698866D01* -X101549200Y-138684000D01* -X101549200Y-138303000D01* -X101650800Y-138303000D01* -X101650800Y-138684000D01* -X101652264Y-138698866D01* -X101656600Y-138713160D01* -X101663642Y-138726334D01* -X101673118Y-138737882D01* -X101684666Y-138747358D01* -X101697840Y-138754400D01* -X101712134Y-138758736D01* -X101727000Y-138760200D01* -X102108000Y-138760200D01* -X102122866Y-138758736D01* -X102137160Y-138754400D01* -X102150334Y-138747358D01* -X102161882Y-138737882D01* -X102171358Y-138726334D01* -X102178400Y-138713160D01* -X102182736Y-138698866D01* -X102184200Y-138684000D01* -X102184200Y-138303000D01* -X103555800Y-138303000D01* -X103555800Y-138684000D01* -X103557264Y-138698866D01* -X103561600Y-138713160D01* -X103568642Y-138726334D01* -X103578118Y-138737882D01* -X103589666Y-138747358D01* -X103602840Y-138754400D01* -X103617134Y-138758736D01* -X103632000Y-138760200D01* -X104013000Y-138760200D01* -X104027866Y-138758736D01* -X104042160Y-138754400D01* -X104055334Y-138747358D01* -X104066882Y-138737882D01* -X104076358Y-138726334D01* -X104083400Y-138713160D01* -X104087736Y-138698866D01* -X104089200Y-138684000D01* -X104089200Y-138303000D01* -X104190800Y-138303000D01* -X104190800Y-138684000D01* -X104192264Y-138698866D01* -X104196600Y-138713160D01* -X104203642Y-138726334D01* -X104213118Y-138737882D01* -X104224666Y-138747358D01* -X104237840Y-138754400D01* -X104252134Y-138758736D01* -X104267000Y-138760200D01* -X104648000Y-138760200D01* -X104662866Y-138758736D01* -X104677160Y-138754400D01* -X104690334Y-138747358D01* -X104701882Y-138737882D01* -X104711358Y-138726334D01* -X104718400Y-138713160D01* -X104722736Y-138698866D01* -X104724200Y-138684000D01* -X104724200Y-138303000D01* -X106095800Y-138303000D01* -X106095800Y-138684000D01* -X106097264Y-138698866D01* -X106101600Y-138713160D01* -X106108642Y-138726334D01* -X106118118Y-138737882D01* -X106129666Y-138747358D01* -X106142840Y-138754400D01* -X106157134Y-138758736D01* -X106172000Y-138760200D01* -X106553000Y-138760200D01* -X106567866Y-138758736D01* -X106582160Y-138754400D01* -X106595334Y-138747358D01* -X106606882Y-138737882D01* -X106616358Y-138726334D01* -X106623400Y-138713160D01* -X106627736Y-138698866D01* -X106629200Y-138684000D01* -X106629200Y-138303000D01* -X106730800Y-138303000D01* -X106730800Y-138684000D01* -X106732264Y-138698866D01* -X106736600Y-138713160D01* -X106743642Y-138726334D01* -X106753118Y-138737882D01* -X106764666Y-138747358D01* -X106777840Y-138754400D01* -X106792134Y-138758736D01* -X106807000Y-138760200D01* -X107188000Y-138760200D01* -X107202866Y-138758736D01* -X107217160Y-138754400D01* -X107230334Y-138747358D01* -X107241882Y-138737882D01* -X107251358Y-138726334D01* -X107258400Y-138713160D01* -X107262736Y-138698866D01* -X107264200Y-138684000D01* -X107264200Y-138303000D01* -X108635800Y-138303000D01* -X108635800Y-138684000D01* -X108637264Y-138698866D01* -X108641600Y-138713160D01* -X108648642Y-138726334D01* -X108658118Y-138737882D01* -X108669666Y-138747358D01* -X108682840Y-138754400D01* -X108697134Y-138758736D01* -X108712000Y-138760200D01* -X109093000Y-138760200D01* -X109107866Y-138758736D01* -X109122160Y-138754400D01* -X109135334Y-138747358D01* -X109146882Y-138737882D01* -X109156358Y-138726334D01* -X109163400Y-138713160D01* -X109167736Y-138698866D01* -X109169200Y-138684000D01* -X109169200Y-138303000D01* -X109270800Y-138303000D01* -X109270800Y-138684000D01* -X109272264Y-138698866D01* -X109276600Y-138713160D01* -X109283642Y-138726334D01* -X109293118Y-138737882D01* -X109304666Y-138747358D01* -X109317840Y-138754400D01* -X109332134Y-138758736D01* -X109347000Y-138760200D01* -X109728000Y-138760200D01* -X109742866Y-138758736D01* -X109757160Y-138754400D01* -X109770334Y-138747358D01* -X109781882Y-138737882D01* -X109791358Y-138726334D01* -X109798400Y-138713160D01* -X109802736Y-138698866D01* -X109804200Y-138684000D01* -X109804200Y-138303000D01* -X111175800Y-138303000D01* -X111175800Y-138684000D01* -X111177264Y-138698866D01* -X111181600Y-138713160D01* -X111188642Y-138726334D01* -X111198118Y-138737882D01* -X111209666Y-138747358D01* -X111222840Y-138754400D01* -X111237134Y-138758736D01* -X111252000Y-138760200D01* -X111633000Y-138760200D01* -X111647866Y-138758736D01* -X111662160Y-138754400D01* -X111675334Y-138747358D01* -X111686882Y-138737882D01* -X111696358Y-138726334D01* -X111703400Y-138713160D01* -X111707736Y-138698866D01* -X111709200Y-138684000D01* -X111709200Y-138303000D01* -X111810800Y-138303000D01* -X111810800Y-138684000D01* -X111812264Y-138698866D01* -X111816600Y-138713160D01* -X111823642Y-138726334D01* -X111833118Y-138737882D01* -X111844666Y-138747358D01* -X111857840Y-138754400D01* -X111872134Y-138758736D01* -X111887000Y-138760200D01* -X112268000Y-138760200D01* -X112282866Y-138758736D01* -X112297160Y-138754400D01* -X112310334Y-138747358D01* -X112321882Y-138737882D01* -X112331358Y-138726334D01* -X112338400Y-138713160D01* -X112342736Y-138698866D01* -X112344200Y-138684000D01* -X112344200Y-138303000D01* -X113715800Y-138303000D01* -X113715800Y-138684000D01* -X113717264Y-138698866D01* -X113721600Y-138713160D01* -X113728642Y-138726334D01* -X113738118Y-138737882D01* -X113749666Y-138747358D01* -X113762840Y-138754400D01* -X113777134Y-138758736D01* -X113792000Y-138760200D01* -X114173000Y-138760200D01* -X114187866Y-138758736D01* -X114202160Y-138754400D01* -X114215334Y-138747358D01* -X114226882Y-138737882D01* -X114236358Y-138726334D01* -X114243400Y-138713160D01* -X114247736Y-138698866D01* -X114249200Y-138684000D01* -X114249200Y-138303000D01* -X114350800Y-138303000D01* -X114350800Y-138684000D01* -X114352264Y-138698866D01* -X114356600Y-138713160D01* -X114363642Y-138726334D01* -X114373118Y-138737882D01* -X114384666Y-138747358D01* -X114397840Y-138754400D01* -X114412134Y-138758736D01* -X114427000Y-138760200D01* -X114808000Y-138760200D01* -X114822866Y-138758736D01* -X114837160Y-138754400D01* -X114850334Y-138747358D01* -X114861882Y-138737882D01* -X114871358Y-138726334D01* -X114878400Y-138713160D01* -X114882736Y-138698866D01* -X114884200Y-138684000D01* -X114884200Y-138303000D01* -X121335800Y-138303000D01* -X121335800Y-138684000D01* -X121337264Y-138698866D01* -X121341600Y-138713160D01* -X121348642Y-138726334D01* -X121358118Y-138737882D01* -X121369666Y-138747358D01* -X121382840Y-138754400D01* -X121397134Y-138758736D01* -X121412000Y-138760200D01* -X121793000Y-138760200D01* -X121807866Y-138758736D01* -X121822160Y-138754400D01* -X121835334Y-138747358D01* -X121846882Y-138737882D01* -X121856358Y-138726334D01* -X121863400Y-138713160D01* -X121867736Y-138698866D01* -X121869200Y-138684000D01* -X121869200Y-138303000D01* -X121970800Y-138303000D01* -X121970800Y-138684000D01* -X121972264Y-138698866D01* -X121976600Y-138713160D01* -X121983642Y-138726334D01* -X121993118Y-138737882D01* -X122004666Y-138747358D01* -X122017840Y-138754400D01* -X122032134Y-138758736D01* -X122047000Y-138760200D01* -X122428000Y-138760200D01* -X122442866Y-138758736D01* -X122457160Y-138754400D01* -X122470334Y-138747358D01* -X122481882Y-138737882D01* -X122491358Y-138726334D01* -X122498400Y-138713160D01* -X122502736Y-138698866D01* -X122504200Y-138684000D01* -X122504200Y-138303000D01* -X123875800Y-138303000D01* -X123875800Y-138684000D01* -X123877264Y-138698866D01* -X123881600Y-138713160D01* -X123888642Y-138726334D01* -X123898118Y-138737882D01* -X123909666Y-138747358D01* -X123922840Y-138754400D01* -X123937134Y-138758736D01* -X123952000Y-138760200D01* -X124333000Y-138760200D01* -X124347866Y-138758736D01* -X124362160Y-138754400D01* -X124375334Y-138747358D01* -X124386882Y-138737882D01* -X124396358Y-138726334D01* -X124403400Y-138713160D01* -X124407736Y-138698866D01* -X124409200Y-138684000D01* -X124409200Y-138303000D01* -X124510800Y-138303000D01* -X124510800Y-138684000D01* -X124512264Y-138698866D01* -X124516600Y-138713160D01* -X124523642Y-138726334D01* -X124533118Y-138737882D01* -X124544666Y-138747358D01* -X124557840Y-138754400D01* -X124572134Y-138758736D01* -X124587000Y-138760200D01* -X124968000Y-138760200D01* -X124982866Y-138758736D01* -X124997160Y-138754400D01* -X125010334Y-138747358D01* -X125021882Y-138737882D01* -X125031358Y-138726334D01* -X125038400Y-138713160D01* -X125042736Y-138698866D01* -X125044200Y-138684000D01* -X125044200Y-138303000D01* -X126415800Y-138303000D01* -X126415800Y-138684000D01* -X126417264Y-138698866D01* -X126421600Y-138713160D01* -X126428642Y-138726334D01* -X126438118Y-138737882D01* -X126449666Y-138747358D01* -X126462840Y-138754400D01* -X126477134Y-138758736D01* -X126492000Y-138760200D01* -X126873000Y-138760200D01* -X126887866Y-138758736D01* -X126902160Y-138754400D01* -X126915334Y-138747358D01* -X126926882Y-138737882D01* -X126936358Y-138726334D01* -X126943400Y-138713160D01* -X126947736Y-138698866D01* -X126949200Y-138684000D01* -X126949200Y-138303000D01* -X127050800Y-138303000D01* -X127050800Y-138684000D01* -X127052264Y-138698866D01* -X127056600Y-138713160D01* -X127063642Y-138726334D01* -X127073118Y-138737882D01* -X127084666Y-138747358D01* -X127097840Y-138754400D01* -X127112134Y-138758736D01* -X127127000Y-138760200D01* -X127508000Y-138760200D01* -X127522866Y-138758736D01* -X127537160Y-138754400D01* -X127550334Y-138747358D01* -X127561882Y-138737882D01* -X127571358Y-138726334D01* -X127578400Y-138713160D01* -X127582736Y-138698866D01* -X127584200Y-138684000D01* -X127584200Y-138303000D01* -X128955800Y-138303000D01* -X128955800Y-138684000D01* -X128957264Y-138698866D01* -X128961600Y-138713160D01* -X128968642Y-138726334D01* -X128978118Y-138737882D01* -X128989666Y-138747358D01* -X129002840Y-138754400D01* -X129017134Y-138758736D01* -X129032000Y-138760200D01* -X129413000Y-138760200D01* -X129427866Y-138758736D01* -X129442160Y-138754400D01* -X129455334Y-138747358D01* -X129466882Y-138737882D01* -X129476358Y-138726334D01* -X129483400Y-138713160D01* -X129487736Y-138698866D01* -X129489200Y-138684000D01* -X129489200Y-138303000D01* -X129590800Y-138303000D01* -X129590800Y-138684000D01* -X129592264Y-138698866D01* -X129596600Y-138713160D01* -X129603642Y-138726334D01* -X129613118Y-138737882D01* -X129624666Y-138747358D01* -X129637840Y-138754400D01* -X129652134Y-138758736D01* -X129667000Y-138760200D01* -X130048000Y-138760200D01* -X130062866Y-138758736D01* -X130077160Y-138754400D01* -X130090334Y-138747358D01* -X130101882Y-138737882D01* -X130111358Y-138726334D01* -X130118400Y-138713160D01* -X130122736Y-138698866D01* -X130124200Y-138684000D01* -X130124200Y-138303000D01* -X131495800Y-138303000D01* -X131495800Y-138684000D01* -X131497264Y-138698866D01* -X131501600Y-138713160D01* -X131508642Y-138726334D01* -X131518118Y-138737882D01* -X131529666Y-138747358D01* -X131542840Y-138754400D01* -X131557134Y-138758736D01* -X131572000Y-138760200D01* -X131953000Y-138760200D01* -X131967866Y-138758736D01* -X131982160Y-138754400D01* -X131995334Y-138747358D01* -X132006882Y-138737882D01* -X132016358Y-138726334D01* -X132023400Y-138713160D01* -X132027736Y-138698866D01* -X132029200Y-138684000D01* -X132029200Y-138303000D01* -X132130800Y-138303000D01* -X132130800Y-138684000D01* -X132132264Y-138698866D01* -X132136600Y-138713160D01* -X132143642Y-138726334D01* -X132153118Y-138737882D01* -X132164666Y-138747358D01* -X132177840Y-138754400D01* -X132192134Y-138758736D01* -X132207000Y-138760200D01* -X132588000Y-138760200D01* -X132602866Y-138758736D01* -X132617160Y-138754400D01* -X132630334Y-138747358D01* -X132641882Y-138737882D01* -X132651358Y-138726334D01* -X132658400Y-138713160D01* -X132662736Y-138698866D01* -X132664200Y-138684000D01* -X132664200Y-138303000D01* -X134035800Y-138303000D01* -X134035800Y-138684000D01* -X134037264Y-138698866D01* -X134041600Y-138713160D01* -X134048642Y-138726334D01* -X134058118Y-138737882D01* -X134069666Y-138747358D01* -X134082840Y-138754400D01* -X134097134Y-138758736D01* -X134112000Y-138760200D01* -X134493000Y-138760200D01* -X134507866Y-138758736D01* -X134522160Y-138754400D01* -X134535334Y-138747358D01* -X134546882Y-138737882D01* -X134556358Y-138726334D01* -X134563400Y-138713160D01* -X134567736Y-138698866D01* -X134569200Y-138684000D01* -X134569200Y-138303000D01* -X134670800Y-138303000D01* -X134670800Y-138684000D01* -X134672264Y-138698866D01* -X134676600Y-138713160D01* -X134683642Y-138726334D01* -X134693118Y-138737882D01* -X134704666Y-138747358D01* -X134717840Y-138754400D01* -X134732134Y-138758736D01* -X134747000Y-138760200D01* -X135128000Y-138760200D01* -X135142866Y-138758736D01* -X135157160Y-138754400D01* -X135170334Y-138747358D01* -X135181882Y-138737882D01* -X135191358Y-138726334D01* -X135198400Y-138713160D01* -X135202736Y-138698866D01* -X135204200Y-138684000D01* -X135204200Y-138303000D01* -X135202736Y-138288134D01* -X135198400Y-138273840D01* -X135191358Y-138260666D01* -X135181882Y-138249118D01* -X135170334Y-138239642D01* -X135157160Y-138232600D01* -X135142866Y-138228264D01* -X135128000Y-138226800D01* -X134747000Y-138226800D01* -X134732134Y-138228264D01* -X134717840Y-138232600D01* -X134704666Y-138239642D01* -X134693118Y-138249118D01* -X134683642Y-138260666D01* -X134676600Y-138273840D01* -X134672264Y-138288134D01* -X134670800Y-138303000D01* -X134569200Y-138303000D01* -X134567736Y-138288134D01* -X134563400Y-138273840D01* -X134556358Y-138260666D01* -X134546882Y-138249118D01* -X134535334Y-138239642D01* -X134522160Y-138232600D01* -X134507866Y-138228264D01* -X134493000Y-138226800D01* -X134112000Y-138226800D01* -X134097134Y-138228264D01* -X134082840Y-138232600D01* -X134069666Y-138239642D01* -X134058118Y-138249118D01* -X134048642Y-138260666D01* -X134041600Y-138273840D01* -X134037264Y-138288134D01* -X134035800Y-138303000D01* -X132664200Y-138303000D01* -X132662736Y-138288134D01* -X132658400Y-138273840D01* -X132651358Y-138260666D01* -X132641882Y-138249118D01* -X132630334Y-138239642D01* -X132617160Y-138232600D01* -X132602866Y-138228264D01* -X132588000Y-138226800D01* -X132207000Y-138226800D01* -X132192134Y-138228264D01* -X132177840Y-138232600D01* -X132164666Y-138239642D01* -X132153118Y-138249118D01* -X132143642Y-138260666D01* -X132136600Y-138273840D01* -X132132264Y-138288134D01* -X132130800Y-138303000D01* -X132029200Y-138303000D01* -X132027736Y-138288134D01* -X132023400Y-138273840D01* -X132016358Y-138260666D01* -X132006882Y-138249118D01* -X131995334Y-138239642D01* -X131982160Y-138232600D01* -X131967866Y-138228264D01* -X131953000Y-138226800D01* -X131572000Y-138226800D01* -X131557134Y-138228264D01* -X131542840Y-138232600D01* -X131529666Y-138239642D01* -X131518118Y-138249118D01* -X131508642Y-138260666D01* -X131501600Y-138273840D01* -X131497264Y-138288134D01* -X131495800Y-138303000D01* -X130124200Y-138303000D01* -X130122736Y-138288134D01* -X130118400Y-138273840D01* -X130111358Y-138260666D01* -X130101882Y-138249118D01* -X130090334Y-138239642D01* -X130077160Y-138232600D01* -X130062866Y-138228264D01* -X130048000Y-138226800D01* -X129667000Y-138226800D01* -X129652134Y-138228264D01* -X129637840Y-138232600D01* -X129624666Y-138239642D01* -X129613118Y-138249118D01* -X129603642Y-138260666D01* -X129596600Y-138273840D01* -X129592264Y-138288134D01* -X129590800Y-138303000D01* -X129489200Y-138303000D01* -X129487736Y-138288134D01* -X129483400Y-138273840D01* -X129476358Y-138260666D01* -X129466882Y-138249118D01* -X129455334Y-138239642D01* -X129442160Y-138232600D01* -X129427866Y-138228264D01* -X129413000Y-138226800D01* -X129032000Y-138226800D01* -X129017134Y-138228264D01* -X129002840Y-138232600D01* -X128989666Y-138239642D01* -X128978118Y-138249118D01* -X128968642Y-138260666D01* -X128961600Y-138273840D01* -X128957264Y-138288134D01* -X128955800Y-138303000D01* -X127584200Y-138303000D01* -X127582736Y-138288134D01* -X127578400Y-138273840D01* -X127571358Y-138260666D01* -X127561882Y-138249118D01* -X127550334Y-138239642D01* -X127537160Y-138232600D01* -X127522866Y-138228264D01* -X127508000Y-138226800D01* -X127127000Y-138226800D01* -X127112134Y-138228264D01* -X127097840Y-138232600D01* -X127084666Y-138239642D01* -X127073118Y-138249118D01* -X127063642Y-138260666D01* -X127056600Y-138273840D01* -X127052264Y-138288134D01* -X127050800Y-138303000D01* -X126949200Y-138303000D01* -X126947736Y-138288134D01* -X126943400Y-138273840D01* -X126936358Y-138260666D01* -X126926882Y-138249118D01* -X126915334Y-138239642D01* -X126902160Y-138232600D01* -X126887866Y-138228264D01* -X126873000Y-138226800D01* -X126492000Y-138226800D01* -X126477134Y-138228264D01* -X126462840Y-138232600D01* -X126449666Y-138239642D01* -X126438118Y-138249118D01* -X126428642Y-138260666D01* -X126421600Y-138273840D01* -X126417264Y-138288134D01* -X126415800Y-138303000D01* -X125044200Y-138303000D01* -X125042736Y-138288134D01* -X125038400Y-138273840D01* -X125031358Y-138260666D01* -X125021882Y-138249118D01* -X125010334Y-138239642D01* -X124997160Y-138232600D01* -X124982866Y-138228264D01* -X124968000Y-138226800D01* -X124587000Y-138226800D01* -X124572134Y-138228264D01* -X124557840Y-138232600D01* -X124544666Y-138239642D01* -X124533118Y-138249118D01* -X124523642Y-138260666D01* -X124516600Y-138273840D01* -X124512264Y-138288134D01* -X124510800Y-138303000D01* -X124409200Y-138303000D01* -X124407736Y-138288134D01* -X124403400Y-138273840D01* -X124396358Y-138260666D01* -X124386882Y-138249118D01* -X124375334Y-138239642D01* -X124362160Y-138232600D01* -X124347866Y-138228264D01* -X124333000Y-138226800D01* -X123952000Y-138226800D01* -X123937134Y-138228264D01* -X123922840Y-138232600D01* -X123909666Y-138239642D01* -X123898118Y-138249118D01* -X123888642Y-138260666D01* -X123881600Y-138273840D01* -X123877264Y-138288134D01* -X123875800Y-138303000D01* -X122504200Y-138303000D01* -X122502736Y-138288134D01* -X122498400Y-138273840D01* -X122491358Y-138260666D01* -X122481882Y-138249118D01* -X122470334Y-138239642D01* -X122457160Y-138232600D01* -X122442866Y-138228264D01* -X122428000Y-138226800D01* -X122047000Y-138226800D01* -X122032134Y-138228264D01* -X122017840Y-138232600D01* -X122004666Y-138239642D01* -X121993118Y-138249118D01* -X121983642Y-138260666D01* -X121976600Y-138273840D01* -X121972264Y-138288134D01* -X121970800Y-138303000D01* -X121869200Y-138303000D01* -X121867736Y-138288134D01* -X121863400Y-138273840D01* -X121856358Y-138260666D01* -X121846882Y-138249118D01* -X121835334Y-138239642D01* -X121822160Y-138232600D01* -X121807866Y-138228264D01* -X121793000Y-138226800D01* -X121412000Y-138226800D01* -X121397134Y-138228264D01* -X121382840Y-138232600D01* -X121369666Y-138239642D01* -X121358118Y-138249118D01* -X121348642Y-138260666D01* -X121341600Y-138273840D01* -X121337264Y-138288134D01* -X121335800Y-138303000D01* -X114884200Y-138303000D01* -X114882736Y-138288134D01* -X114878400Y-138273840D01* -X114871358Y-138260666D01* -X114861882Y-138249118D01* -X114850334Y-138239642D01* -X114837160Y-138232600D01* -X114822866Y-138228264D01* -X114808000Y-138226800D01* -X114427000Y-138226800D01* -X114412134Y-138228264D01* -X114397840Y-138232600D01* -X114384666Y-138239642D01* -X114373118Y-138249118D01* -X114363642Y-138260666D01* -X114356600Y-138273840D01* -X114352264Y-138288134D01* -X114350800Y-138303000D01* -X114249200Y-138303000D01* -X114247736Y-138288134D01* -X114243400Y-138273840D01* -X114236358Y-138260666D01* -X114226882Y-138249118D01* -X114215334Y-138239642D01* -X114202160Y-138232600D01* -X114187866Y-138228264D01* -X114173000Y-138226800D01* -X113792000Y-138226800D01* -X113777134Y-138228264D01* -X113762840Y-138232600D01* -X113749666Y-138239642D01* -X113738118Y-138249118D01* -X113728642Y-138260666D01* -X113721600Y-138273840D01* -X113717264Y-138288134D01* -X113715800Y-138303000D01* -X112344200Y-138303000D01* -X112342736Y-138288134D01* -X112338400Y-138273840D01* -X112331358Y-138260666D01* -X112321882Y-138249118D01* -X112310334Y-138239642D01* -X112297160Y-138232600D01* -X112282866Y-138228264D01* -X112268000Y-138226800D01* -X111887000Y-138226800D01* -X111872134Y-138228264D01* -X111857840Y-138232600D01* -X111844666Y-138239642D01* -X111833118Y-138249118D01* -X111823642Y-138260666D01* -X111816600Y-138273840D01* -X111812264Y-138288134D01* -X111810800Y-138303000D01* -X111709200Y-138303000D01* -X111707736Y-138288134D01* -X111703400Y-138273840D01* -X111696358Y-138260666D01* -X111686882Y-138249118D01* -X111675334Y-138239642D01* -X111662160Y-138232600D01* -X111647866Y-138228264D01* -X111633000Y-138226800D01* -X111252000Y-138226800D01* -X111237134Y-138228264D01* -X111222840Y-138232600D01* -X111209666Y-138239642D01* -X111198118Y-138249118D01* -X111188642Y-138260666D01* -X111181600Y-138273840D01* -X111177264Y-138288134D01* -X111175800Y-138303000D01* -X109804200Y-138303000D01* -X109802736Y-138288134D01* -X109798400Y-138273840D01* -X109791358Y-138260666D01* -X109781882Y-138249118D01* -X109770334Y-138239642D01* -X109757160Y-138232600D01* -X109742866Y-138228264D01* -X109728000Y-138226800D01* -X109347000Y-138226800D01* -X109332134Y-138228264D01* -X109317840Y-138232600D01* -X109304666Y-138239642D01* -X109293118Y-138249118D01* -X109283642Y-138260666D01* -X109276600Y-138273840D01* -X109272264Y-138288134D01* -X109270800Y-138303000D01* -X109169200Y-138303000D01* -X109167736Y-138288134D01* -X109163400Y-138273840D01* -X109156358Y-138260666D01* -X109146882Y-138249118D01* -X109135334Y-138239642D01* -X109122160Y-138232600D01* -X109107866Y-138228264D01* -X109093000Y-138226800D01* -X108712000Y-138226800D01* -X108697134Y-138228264D01* -X108682840Y-138232600D01* -X108669666Y-138239642D01* -X108658118Y-138249118D01* -X108648642Y-138260666D01* -X108641600Y-138273840D01* -X108637264Y-138288134D01* -X108635800Y-138303000D01* -X107264200Y-138303000D01* -X107262736Y-138288134D01* -X107258400Y-138273840D01* -X107251358Y-138260666D01* -X107241882Y-138249118D01* -X107230334Y-138239642D01* -X107217160Y-138232600D01* -X107202866Y-138228264D01* -X107188000Y-138226800D01* -X106807000Y-138226800D01* -X106792134Y-138228264D01* -X106777840Y-138232600D01* -X106764666Y-138239642D01* -X106753118Y-138249118D01* -X106743642Y-138260666D01* -X106736600Y-138273840D01* -X106732264Y-138288134D01* -X106730800Y-138303000D01* -X106629200Y-138303000D01* -X106627736Y-138288134D01* -X106623400Y-138273840D01* -X106616358Y-138260666D01* -X106606882Y-138249118D01* -X106595334Y-138239642D01* -X106582160Y-138232600D01* -X106567866Y-138228264D01* -X106553000Y-138226800D01* -X106172000Y-138226800D01* -X106157134Y-138228264D01* -X106142840Y-138232600D01* -X106129666Y-138239642D01* -X106118118Y-138249118D01* -X106108642Y-138260666D01* -X106101600Y-138273840D01* -X106097264Y-138288134D01* -X106095800Y-138303000D01* -X104724200Y-138303000D01* -X104722736Y-138288134D01* -X104718400Y-138273840D01* -X104711358Y-138260666D01* -X104701882Y-138249118D01* -X104690334Y-138239642D01* -X104677160Y-138232600D01* -X104662866Y-138228264D01* -X104648000Y-138226800D01* -X104267000Y-138226800D01* -X104252134Y-138228264D01* -X104237840Y-138232600D01* -X104224666Y-138239642D01* -X104213118Y-138249118D01* -X104203642Y-138260666D01* -X104196600Y-138273840D01* -X104192264Y-138288134D01* -X104190800Y-138303000D01* -X104089200Y-138303000D01* -X104087736Y-138288134D01* -X104083400Y-138273840D01* -X104076358Y-138260666D01* -X104066882Y-138249118D01* -X104055334Y-138239642D01* -X104042160Y-138232600D01* -X104027866Y-138228264D01* -X104013000Y-138226800D01* -X103632000Y-138226800D01* -X103617134Y-138228264D01* -X103602840Y-138232600D01* -X103589666Y-138239642D01* -X103578118Y-138249118D01* -X103568642Y-138260666D01* -X103561600Y-138273840D01* -X103557264Y-138288134D01* -X103555800Y-138303000D01* -X102184200Y-138303000D01* -X102182736Y-138288134D01* -X102178400Y-138273840D01* -X102171358Y-138260666D01* -X102161882Y-138249118D01* -X102150334Y-138239642D01* -X102137160Y-138232600D01* -X102122866Y-138228264D01* -X102108000Y-138226800D01* -X101727000Y-138226800D01* -X101712134Y-138228264D01* -X101697840Y-138232600D01* -X101684666Y-138239642D01* -X101673118Y-138249118D01* -X101663642Y-138260666D01* -X101656600Y-138273840D01* -X101652264Y-138288134D01* -X101650800Y-138303000D01* -X101549200Y-138303000D01* -X101547736Y-138288134D01* -X101543400Y-138273840D01* -X101536358Y-138260666D01* -X101526882Y-138249118D01* -X101515334Y-138239642D01* -X101502160Y-138232600D01* -X101487866Y-138228264D01* -X101473000Y-138226800D01* -X101092000Y-138226800D01* -X101077134Y-138228264D01* -X101062840Y-138232600D01* -X101049666Y-138239642D01* -X101038118Y-138249118D01* -X101028642Y-138260666D01* -X101021600Y-138273840D01* -X101017264Y-138288134D01* -X101015800Y-138303000D01* -X99644200Y-138303000D01* -X99642736Y-138288134D01* -X99638400Y-138273840D01* -X99631358Y-138260666D01* -X99621882Y-138249118D01* -X99610334Y-138239642D01* -X99597160Y-138232600D01* -X99582866Y-138228264D01* -X99568000Y-138226800D01* -X99187000Y-138226800D01* -X99172134Y-138228264D01* -X99157840Y-138232600D01* -X99144666Y-138239642D01* -X99133118Y-138249118D01* -X99123642Y-138260666D01* -X99116600Y-138273840D01* -X99112264Y-138288134D01* -X99110800Y-138303000D01* -X99009200Y-138303000D01* -X99007736Y-138288134D01* -X99003400Y-138273840D01* -X98996358Y-138260666D01* -X98986882Y-138249118D01* -X98975334Y-138239642D01* -X98962160Y-138232600D01* -X98947866Y-138228264D01* -X98933000Y-138226800D01* -X98552000Y-138226800D01* -X98537134Y-138228264D01* -X98522840Y-138232600D01* -X98509666Y-138239642D01* -X98498118Y-138249118D01* -X98488642Y-138260666D01* -X98481600Y-138273840D01* -X98477264Y-138288134D01* -X98475800Y-138303000D01* -X97104200Y-138303000D01* -X97102736Y-138288134D01* -X97098400Y-138273840D01* -X97091358Y-138260666D01* -X97081882Y-138249118D01* -X97070334Y-138239642D01* -X97057160Y-138232600D01* -X97042866Y-138228264D01* -X97028000Y-138226800D01* -X96647000Y-138226800D01* -X96632134Y-138228264D01* -X96617840Y-138232600D01* -X96604666Y-138239642D01* -X96593118Y-138249118D01* -X96583642Y-138260666D01* -X96576600Y-138273840D01* -X96572264Y-138288134D01* -X96570800Y-138303000D01* -X96469200Y-138303000D01* -X96467736Y-138288134D01* -X96463400Y-138273840D01* -X96456358Y-138260666D01* -X96446882Y-138249118D01* -X96435334Y-138239642D01* -X96422160Y-138232600D01* -X96407866Y-138228264D01* -X96393000Y-138226800D01* -X96012000Y-138226800D01* -X95997134Y-138228264D01* -X95982840Y-138232600D01* -X95969666Y-138239642D01* -X95958118Y-138249118D01* -X95948642Y-138260666D01* -X95941600Y-138273840D01* -X95937264Y-138288134D01* -X95935800Y-138303000D01* -X94564200Y-138303000D01* -X94562736Y-138288134D01* -X94558400Y-138273840D01* -X94551358Y-138260666D01* -X94541882Y-138249118D01* -X94530334Y-138239642D01* -X94517160Y-138232600D01* -X94502866Y-138228264D01* -X94488000Y-138226800D01* -X94107000Y-138226800D01* -X94092134Y-138228264D01* -X94077840Y-138232600D01* -X94064666Y-138239642D01* -X94053118Y-138249118D01* -X94043642Y-138260666D01* -X94036600Y-138273840D01* -X94032264Y-138288134D01* -X94030800Y-138303000D01* -X93929200Y-138303000D01* -X93927736Y-138288134D01* -X93923400Y-138273840D01* -X93916358Y-138260666D01* -X93906882Y-138249118D01* -X93895334Y-138239642D01* -X93882160Y-138232600D01* -X93867866Y-138228264D01* -X93853000Y-138226800D01* -X93472000Y-138226800D01* -X93457134Y-138228264D01* -X93442840Y-138232600D01* -X93429666Y-138239642D01* -X93418118Y-138249118D01* -X93408642Y-138260666D01* -X93401600Y-138273840D01* -X93397264Y-138288134D01* -X93395800Y-138303000D01* -X92024200Y-138303000D01* -X92022736Y-138288134D01* -X92018400Y-138273840D01* -X92011358Y-138260666D01* -X92001882Y-138249118D01* -X91990334Y-138239642D01* -X91977160Y-138232600D01* -X91962866Y-138228264D01* -X91948000Y-138226800D01* -X91567000Y-138226800D01* -X91552134Y-138228264D01* -X91537840Y-138232600D01* -X91524666Y-138239642D01* -X91513118Y-138249118D01* -X91503642Y-138260666D01* -X91496600Y-138273840D01* -X91492264Y-138288134D01* -X91490800Y-138303000D01* -X91389200Y-138303000D01* -X91387736Y-138288134D01* -X91383400Y-138273840D01* -X91376358Y-138260666D01* -X91366882Y-138249118D01* -X91355334Y-138239642D01* -X91342160Y-138232600D01* -X91327866Y-138228264D01* -X91313000Y-138226800D01* -X90932000Y-138226800D01* -X90917134Y-138228264D01* -X90902840Y-138232600D01* -X90889666Y-138239642D01* -X90878118Y-138249118D01* -X90868642Y-138260666D01* -X90861600Y-138273840D01* -X90857264Y-138288134D01* -X90855800Y-138303000D01* -X89484200Y-138303000D01* -X89482736Y-138288134D01* -X89478400Y-138273840D01* -X89471358Y-138260666D01* -X89461882Y-138249118D01* -X89450334Y-138239642D01* -X89437160Y-138232600D01* -X89422866Y-138228264D01* -X89408000Y-138226800D01* -X89027000Y-138226800D01* -X89012134Y-138228264D01* -X88997840Y-138232600D01* -X88984666Y-138239642D01* -X88973118Y-138249118D01* -X88963642Y-138260666D01* -X88956600Y-138273840D01* -X88952264Y-138288134D01* -X88950800Y-138303000D01* -X88849200Y-138303000D01* -X88847736Y-138288134D01* -X88843400Y-138273840D01* -X88836358Y-138260666D01* -X88826882Y-138249118D01* -X88815334Y-138239642D01* -X88802160Y-138232600D01* -X88787866Y-138228264D01* -X88773000Y-138226800D01* -X88392000Y-138226800D01* -X88377134Y-138228264D01* -X88362840Y-138232600D01* -X88349666Y-138239642D01* -X88338118Y-138249118D01* -X88328642Y-138260666D01* -X88321600Y-138273840D01* -X88317264Y-138288134D01* -X88315800Y-138303000D01* -X86944200Y-138303000D01* -X86942736Y-138288134D01* -X86938400Y-138273840D01* -X86931358Y-138260666D01* -X86921882Y-138249118D01* -X86910334Y-138239642D01* -X86897160Y-138232600D01* -X86882866Y-138228264D01* -X86868000Y-138226800D01* -X86487000Y-138226800D01* -X86472134Y-138228264D01* -X86457840Y-138232600D01* -X86444666Y-138239642D01* -X86433118Y-138249118D01* -X86423642Y-138260666D01* -X86416600Y-138273840D01* -X86412264Y-138288134D01* -X86410800Y-138303000D01* -X86309200Y-138303000D01* -X86307736Y-138288134D01* -X86303400Y-138273840D01* -X86296358Y-138260666D01* -X86286882Y-138249118D01* -X86275334Y-138239642D01* -X86262160Y-138232600D01* -X86247866Y-138228264D01* -X86233000Y-138226800D01* -X85852000Y-138226800D01* -X85837134Y-138228264D01* -X85822840Y-138232600D01* -X85809666Y-138239642D01* -X85798118Y-138249118D01* -X85788642Y-138260666D01* -X85781600Y-138273840D01* -X85777264Y-138288134D01* -X85775800Y-138303000D01* -X84404200Y-138303000D01* -X84402736Y-138288134D01* -X84398400Y-138273840D01* -X84391358Y-138260666D01* -X84381882Y-138249118D01* -X84370334Y-138239642D01* -X84357160Y-138232600D01* -X84342866Y-138228264D01* -X84328000Y-138226800D01* -X83947000Y-138226800D01* -X83932134Y-138228264D01* -X83917840Y-138232600D01* -X83904666Y-138239642D01* -X83893118Y-138249118D01* -X83883642Y-138260666D01* -X83876600Y-138273840D01* -X83872264Y-138288134D01* -X83870800Y-138303000D01* -X83769200Y-138303000D01* -X83767736Y-138288134D01* -X83763400Y-138273840D01* -X83756358Y-138260666D01* -X83746882Y-138249118D01* -X83735334Y-138239642D01* -X83722160Y-138232600D01* -X83707866Y-138228264D01* -X83693000Y-138226800D01* -X83312000Y-138226800D01* -X83297134Y-138228264D01* -X83282840Y-138232600D01* -X83269666Y-138239642D01* -X83258118Y-138249118D01* -X83248642Y-138260666D01* -X83241600Y-138273840D01* -X83237264Y-138288134D01* -X83235800Y-138303000D01* -X81864200Y-138303000D01* -X81862736Y-138288134D01* -X81858400Y-138273840D01* -X81851358Y-138260666D01* -X81841882Y-138249118D01* -X81830334Y-138239642D01* -X81817160Y-138232600D01* -X81802866Y-138228264D01* -X81788000Y-138226800D01* -X81407000Y-138226800D01* -X81392134Y-138228264D01* -X81377840Y-138232600D01* -X81364666Y-138239642D01* -X81353118Y-138249118D01* -X81343642Y-138260666D01* -X81336600Y-138273840D01* -X81332264Y-138288134D01* -X81330800Y-138303000D01* -X81229200Y-138303000D01* -X81227736Y-138288134D01* -X81223400Y-138273840D01* -X81216358Y-138260666D01* -X81206882Y-138249118D01* -X81195334Y-138239642D01* -X81182160Y-138232600D01* -X81167866Y-138228264D01* -X81153000Y-138226800D01* -X80772000Y-138226800D01* -X80757134Y-138228264D01* -X80742840Y-138232600D01* -X80729666Y-138239642D01* -X80718118Y-138249118D01* -X80708642Y-138260666D01* -X80701600Y-138273840D01* -X80697264Y-138288134D01* -X80695800Y-138303000D01* -X79324200Y-138303000D01* -X79322736Y-138288134D01* -X79318400Y-138273840D01* -X79311358Y-138260666D01* -X79301882Y-138249118D01* -X79290334Y-138239642D01* -X79277160Y-138232600D01* -X79262866Y-138228264D01* -X79248000Y-138226800D01* -X78867000Y-138226800D01* -X78852134Y-138228264D01* -X78837840Y-138232600D01* -X78824666Y-138239642D01* -X78813118Y-138249118D01* -X78803642Y-138260666D01* -X78796600Y-138273840D01* -X78792264Y-138288134D01* -X78790800Y-138303000D01* -X78689200Y-138303000D01* -X78687736Y-138288134D01* -X78683400Y-138273840D01* -X78676358Y-138260666D01* -X78666882Y-138249118D01* -X78655334Y-138239642D01* -X78642160Y-138232600D01* -X78627866Y-138228264D01* -X78613000Y-138226800D01* -X78232000Y-138226800D01* -X78217134Y-138228264D01* -X78202840Y-138232600D01* -X78189666Y-138239642D01* -X78178118Y-138249118D01* -X78168642Y-138260666D01* -X78161600Y-138273840D01* -X78157264Y-138288134D01* -X78155800Y-138303000D01* -X74498200Y-138303000D01* -X74498200Y-137668000D01* -X78155800Y-137668000D01* -X78155800Y-138049000D01* -X78157264Y-138063866D01* -X78161600Y-138078160D01* -X78168642Y-138091334D01* -X78178118Y-138102882D01* -X78189666Y-138112358D01* -X78202840Y-138119400D01* -X78217134Y-138123736D01* -X78232000Y-138125200D01* -X78613000Y-138125200D01* -X78627866Y-138123736D01* -X78642160Y-138119400D01* -X78655334Y-138112358D01* -X78666882Y-138102882D01* -X78676358Y-138091334D01* -X78683400Y-138078160D01* -X78687736Y-138063866D01* -X78689200Y-138049000D01* -X78689200Y-137668000D01* -X78790800Y-137668000D01* -X78790800Y-138049000D01* -X78792264Y-138063866D01* -X78796600Y-138078160D01* -X78803642Y-138091334D01* -X78813118Y-138102882D01* -X78824666Y-138112358D01* -X78837840Y-138119400D01* -X78852134Y-138123736D01* -X78867000Y-138125200D01* -X79248000Y-138125200D01* -X79262866Y-138123736D01* -X79277160Y-138119400D01* -X79290334Y-138112358D01* -X79301882Y-138102882D01* -X79311358Y-138091334D01* -X79318400Y-138078160D01* -X79322736Y-138063866D01* -X79324200Y-138049000D01* -X79324200Y-137668000D01* -X80695800Y-137668000D01* -X80695800Y-138049000D01* -X80697264Y-138063866D01* -X80701600Y-138078160D01* -X80708642Y-138091334D01* -X80718118Y-138102882D01* -X80729666Y-138112358D01* -X80742840Y-138119400D01* -X80757134Y-138123736D01* -X80772000Y-138125200D01* -X81153000Y-138125200D01* -X81167866Y-138123736D01* -X81182160Y-138119400D01* -X81195334Y-138112358D01* -X81206882Y-138102882D01* -X81216358Y-138091334D01* -X81223400Y-138078160D01* -X81227736Y-138063866D01* -X81229200Y-138049000D01* -X81229200Y-137668000D01* -X81330800Y-137668000D01* -X81330800Y-138049000D01* -X81332264Y-138063866D01* -X81336600Y-138078160D01* -X81343642Y-138091334D01* -X81353118Y-138102882D01* -X81364666Y-138112358D01* -X81377840Y-138119400D01* -X81392134Y-138123736D01* -X81407000Y-138125200D01* -X81788000Y-138125200D01* -X81802866Y-138123736D01* -X81817160Y-138119400D01* -X81830334Y-138112358D01* -X81841882Y-138102882D01* -X81851358Y-138091334D01* -X81858400Y-138078160D01* -X81862736Y-138063866D01* -X81864200Y-138049000D01* -X81864200Y-137668000D01* -X83235800Y-137668000D01* -X83235800Y-138049000D01* -X83237264Y-138063866D01* -X83241600Y-138078160D01* -X83248642Y-138091334D01* -X83258118Y-138102882D01* -X83269666Y-138112358D01* -X83282840Y-138119400D01* -X83297134Y-138123736D01* -X83312000Y-138125200D01* -X83693000Y-138125200D01* -X83707866Y-138123736D01* -X83722160Y-138119400D01* -X83735334Y-138112358D01* -X83746882Y-138102882D01* -X83756358Y-138091334D01* -X83763400Y-138078160D01* -X83767736Y-138063866D01* -X83769200Y-138049000D01* -X83769200Y-137668000D01* -X83870800Y-137668000D01* -X83870800Y-138049000D01* -X83872264Y-138063866D01* -X83876600Y-138078160D01* -X83883642Y-138091334D01* -X83893118Y-138102882D01* -X83904666Y-138112358D01* -X83917840Y-138119400D01* -X83932134Y-138123736D01* -X83947000Y-138125200D01* -X84328000Y-138125200D01* -X84342866Y-138123736D01* -X84357160Y-138119400D01* -X84370334Y-138112358D01* -X84381882Y-138102882D01* -X84391358Y-138091334D01* -X84398400Y-138078160D01* -X84402736Y-138063866D01* -X84404200Y-138049000D01* -X84404200Y-137668000D01* -X85775800Y-137668000D01* -X85775800Y-138049000D01* -X85777264Y-138063866D01* -X85781600Y-138078160D01* -X85788642Y-138091334D01* -X85798118Y-138102882D01* -X85809666Y-138112358D01* -X85822840Y-138119400D01* -X85837134Y-138123736D01* -X85852000Y-138125200D01* -X86233000Y-138125200D01* -X86247866Y-138123736D01* -X86262160Y-138119400D01* -X86275334Y-138112358D01* -X86286882Y-138102882D01* -X86296358Y-138091334D01* -X86303400Y-138078160D01* -X86307736Y-138063866D01* -X86309200Y-138049000D01* -X86309200Y-137668000D01* -X86410800Y-137668000D01* -X86410800Y-138049000D01* -X86412264Y-138063866D01* -X86416600Y-138078160D01* -X86423642Y-138091334D01* -X86433118Y-138102882D01* -X86444666Y-138112358D01* -X86457840Y-138119400D01* -X86472134Y-138123736D01* -X86487000Y-138125200D01* -X86868000Y-138125200D01* -X86882866Y-138123736D01* -X86897160Y-138119400D01* -X86910334Y-138112358D01* -X86921882Y-138102882D01* -X86931358Y-138091334D01* -X86938400Y-138078160D01* -X86942736Y-138063866D01* -X86944200Y-138049000D01* -X86944200Y-137668000D01* -X88315800Y-137668000D01* -X88315800Y-138049000D01* -X88317264Y-138063866D01* -X88321600Y-138078160D01* -X88328642Y-138091334D01* -X88338118Y-138102882D01* -X88349666Y-138112358D01* -X88362840Y-138119400D01* -X88377134Y-138123736D01* -X88392000Y-138125200D01* -X88773000Y-138125200D01* -X88787866Y-138123736D01* -X88802160Y-138119400D01* -X88815334Y-138112358D01* -X88826882Y-138102882D01* -X88836358Y-138091334D01* -X88843400Y-138078160D01* -X88847736Y-138063866D01* -X88849200Y-138049000D01* -X88849200Y-137668000D01* -X88950800Y-137668000D01* -X88950800Y-138049000D01* -X88952264Y-138063866D01* -X88956600Y-138078160D01* -X88963642Y-138091334D01* -X88973118Y-138102882D01* -X88984666Y-138112358D01* -X88997840Y-138119400D01* -X89012134Y-138123736D01* -X89027000Y-138125200D01* -X89408000Y-138125200D01* -X89422866Y-138123736D01* -X89437160Y-138119400D01* -X89450334Y-138112358D01* -X89461882Y-138102882D01* -X89471358Y-138091334D01* -X89478400Y-138078160D01* -X89482736Y-138063866D01* -X89484200Y-138049000D01* -X89484200Y-137668000D01* -X90855800Y-137668000D01* -X90855800Y-138049000D01* -X90857264Y-138063866D01* -X90861600Y-138078160D01* -X90868642Y-138091334D01* -X90878118Y-138102882D01* -X90889666Y-138112358D01* -X90902840Y-138119400D01* -X90917134Y-138123736D01* -X90932000Y-138125200D01* -X91313000Y-138125200D01* -X91327866Y-138123736D01* -X91342160Y-138119400D01* -X91355334Y-138112358D01* -X91366882Y-138102882D01* -X91376358Y-138091334D01* -X91383400Y-138078160D01* -X91387736Y-138063866D01* -X91389200Y-138049000D01* -X91389200Y-137668000D01* -X91490800Y-137668000D01* -X91490800Y-138049000D01* -X91492264Y-138063866D01* -X91496600Y-138078160D01* -X91503642Y-138091334D01* -X91513118Y-138102882D01* -X91524666Y-138112358D01* -X91537840Y-138119400D01* -X91552134Y-138123736D01* -X91567000Y-138125200D01* -X91948000Y-138125200D01* -X91962866Y-138123736D01* -X91977160Y-138119400D01* -X91990334Y-138112358D01* -X92001882Y-138102882D01* -X92011358Y-138091334D01* -X92018400Y-138078160D01* -X92022736Y-138063866D01* -X92024200Y-138049000D01* -X92024200Y-137668000D01* -X93395800Y-137668000D01* -X93395800Y-138049000D01* -X93397264Y-138063866D01* -X93401600Y-138078160D01* -X93408642Y-138091334D01* -X93418118Y-138102882D01* -X93429666Y-138112358D01* -X93442840Y-138119400D01* -X93457134Y-138123736D01* -X93472000Y-138125200D01* -X93853000Y-138125200D01* -X93867866Y-138123736D01* -X93882160Y-138119400D01* -X93895334Y-138112358D01* -X93906882Y-138102882D01* -X93916358Y-138091334D01* -X93923400Y-138078160D01* -X93927736Y-138063866D01* -X93929200Y-138049000D01* -X93929200Y-137668000D01* -X94030800Y-137668000D01* -X94030800Y-138049000D01* -X94032264Y-138063866D01* -X94036600Y-138078160D01* -X94043642Y-138091334D01* -X94053118Y-138102882D01* -X94064666Y-138112358D01* -X94077840Y-138119400D01* -X94092134Y-138123736D01* -X94107000Y-138125200D01* -X94488000Y-138125200D01* -X94502866Y-138123736D01* -X94517160Y-138119400D01* -X94530334Y-138112358D01* -X94541882Y-138102882D01* -X94551358Y-138091334D01* -X94558400Y-138078160D01* -X94562736Y-138063866D01* -X94564200Y-138049000D01* -X94564200Y-137668000D01* -X95935800Y-137668000D01* -X95935800Y-138049000D01* -X95937264Y-138063866D01* -X95941600Y-138078160D01* -X95948642Y-138091334D01* -X95958118Y-138102882D01* -X95969666Y-138112358D01* -X95982840Y-138119400D01* -X95997134Y-138123736D01* -X96012000Y-138125200D01* -X96393000Y-138125200D01* -X96407866Y-138123736D01* -X96422160Y-138119400D01* -X96435334Y-138112358D01* -X96446882Y-138102882D01* -X96456358Y-138091334D01* -X96463400Y-138078160D01* -X96467736Y-138063866D01* -X96469200Y-138049000D01* -X96469200Y-137668000D01* -X96570800Y-137668000D01* -X96570800Y-138049000D01* -X96572264Y-138063866D01* -X96576600Y-138078160D01* -X96583642Y-138091334D01* -X96593118Y-138102882D01* -X96604666Y-138112358D01* -X96617840Y-138119400D01* -X96632134Y-138123736D01* -X96647000Y-138125200D01* -X97028000Y-138125200D01* -X97042866Y-138123736D01* -X97057160Y-138119400D01* -X97070334Y-138112358D01* -X97081882Y-138102882D01* -X97091358Y-138091334D01* -X97098400Y-138078160D01* -X97102736Y-138063866D01* -X97104200Y-138049000D01* -X97104200Y-137668000D01* -X98475800Y-137668000D01* -X98475800Y-138049000D01* -X98477264Y-138063866D01* -X98481600Y-138078160D01* -X98488642Y-138091334D01* -X98498118Y-138102882D01* -X98509666Y-138112358D01* -X98522840Y-138119400D01* -X98537134Y-138123736D01* -X98552000Y-138125200D01* -X98933000Y-138125200D01* -X98947866Y-138123736D01* -X98962160Y-138119400D01* -X98975334Y-138112358D01* -X98986882Y-138102882D01* -X98996358Y-138091334D01* -X99003400Y-138078160D01* -X99007736Y-138063866D01* -X99009200Y-138049000D01* -X99009200Y-137668000D01* -X99110800Y-137668000D01* -X99110800Y-138049000D01* -X99112264Y-138063866D01* -X99116600Y-138078160D01* -X99123642Y-138091334D01* -X99133118Y-138102882D01* -X99144666Y-138112358D01* -X99157840Y-138119400D01* -X99172134Y-138123736D01* -X99187000Y-138125200D01* -X99568000Y-138125200D01* -X99582866Y-138123736D01* -X99597160Y-138119400D01* -X99610334Y-138112358D01* -X99621882Y-138102882D01* -X99631358Y-138091334D01* -X99638400Y-138078160D01* -X99642736Y-138063866D01* -X99644200Y-138049000D01* -X99644200Y-137668000D01* -X101015800Y-137668000D01* -X101015800Y-138049000D01* -X101017264Y-138063866D01* -X101021600Y-138078160D01* -X101028642Y-138091334D01* -X101038118Y-138102882D01* -X101049666Y-138112358D01* -X101062840Y-138119400D01* -X101077134Y-138123736D01* -X101092000Y-138125200D01* -X101473000Y-138125200D01* -X101487866Y-138123736D01* -X101502160Y-138119400D01* -X101515334Y-138112358D01* -X101526882Y-138102882D01* -X101536358Y-138091334D01* -X101543400Y-138078160D01* -X101547736Y-138063866D01* -X101549200Y-138049000D01* -X101549200Y-137668000D01* -X101650800Y-137668000D01* -X101650800Y-138049000D01* -X101652264Y-138063866D01* -X101656600Y-138078160D01* -X101663642Y-138091334D01* -X101673118Y-138102882D01* -X101684666Y-138112358D01* -X101697840Y-138119400D01* -X101712134Y-138123736D01* -X101727000Y-138125200D01* -X102108000Y-138125200D01* -X102122866Y-138123736D01* -X102137160Y-138119400D01* -X102150334Y-138112358D01* -X102161882Y-138102882D01* -X102171358Y-138091334D01* -X102178400Y-138078160D01* -X102182736Y-138063866D01* -X102184200Y-138049000D01* -X102184200Y-137668000D01* -X103555800Y-137668000D01* -X103555800Y-138049000D01* -X103557264Y-138063866D01* -X103561600Y-138078160D01* -X103568642Y-138091334D01* -X103578118Y-138102882D01* -X103589666Y-138112358D01* -X103602840Y-138119400D01* -X103617134Y-138123736D01* -X103632000Y-138125200D01* -X104013000Y-138125200D01* -X104027866Y-138123736D01* -X104042160Y-138119400D01* -X104055334Y-138112358D01* -X104066882Y-138102882D01* -X104076358Y-138091334D01* -X104083400Y-138078160D01* -X104087736Y-138063866D01* -X104089200Y-138049000D01* -X104089200Y-137668000D01* -X104190800Y-137668000D01* -X104190800Y-138049000D01* -X104192264Y-138063866D01* -X104196600Y-138078160D01* -X104203642Y-138091334D01* -X104213118Y-138102882D01* -X104224666Y-138112358D01* -X104237840Y-138119400D01* -X104252134Y-138123736D01* -X104267000Y-138125200D01* -X104648000Y-138125200D01* -X104662866Y-138123736D01* -X104677160Y-138119400D01* -X104690334Y-138112358D01* -X104701882Y-138102882D01* -X104711358Y-138091334D01* -X104718400Y-138078160D01* -X104722736Y-138063866D01* -X104724200Y-138049000D01* -X104724200Y-137668000D01* -X106095800Y-137668000D01* -X106095800Y-138049000D01* -X106097264Y-138063866D01* -X106101600Y-138078160D01* -X106108642Y-138091334D01* -X106118118Y-138102882D01* -X106129666Y-138112358D01* -X106142840Y-138119400D01* -X106157134Y-138123736D01* -X106172000Y-138125200D01* -X106553000Y-138125200D01* -X106567866Y-138123736D01* -X106582160Y-138119400D01* -X106595334Y-138112358D01* -X106606882Y-138102882D01* -X106616358Y-138091334D01* -X106623400Y-138078160D01* -X106627736Y-138063866D01* -X106629200Y-138049000D01* -X106629200Y-137668000D01* -X106730800Y-137668000D01* -X106730800Y-138049000D01* -X106732264Y-138063866D01* -X106736600Y-138078160D01* -X106743642Y-138091334D01* -X106753118Y-138102882D01* -X106764666Y-138112358D01* -X106777840Y-138119400D01* -X106792134Y-138123736D01* -X106807000Y-138125200D01* -X107188000Y-138125200D01* -X107202866Y-138123736D01* -X107217160Y-138119400D01* -X107230334Y-138112358D01* -X107241882Y-138102882D01* -X107251358Y-138091334D01* -X107258400Y-138078160D01* -X107262736Y-138063866D01* -X107264200Y-138049000D01* -X107264200Y-137668000D01* -X108635800Y-137668000D01* -X108635800Y-138049000D01* -X108637264Y-138063866D01* -X108641600Y-138078160D01* -X108648642Y-138091334D01* -X108658118Y-138102882D01* -X108669666Y-138112358D01* -X108682840Y-138119400D01* -X108697134Y-138123736D01* -X108712000Y-138125200D01* -X109093000Y-138125200D01* -X109107866Y-138123736D01* -X109122160Y-138119400D01* -X109135334Y-138112358D01* -X109146882Y-138102882D01* -X109156358Y-138091334D01* -X109163400Y-138078160D01* -X109167736Y-138063866D01* -X109169200Y-138049000D01* -X109169200Y-137668000D01* -X109270800Y-137668000D01* -X109270800Y-138049000D01* -X109272264Y-138063866D01* -X109276600Y-138078160D01* -X109283642Y-138091334D01* -X109293118Y-138102882D01* -X109304666Y-138112358D01* -X109317840Y-138119400D01* -X109332134Y-138123736D01* -X109347000Y-138125200D01* -X109728000Y-138125200D01* -X109742866Y-138123736D01* -X109757160Y-138119400D01* -X109770334Y-138112358D01* -X109781882Y-138102882D01* -X109791358Y-138091334D01* -X109798400Y-138078160D01* -X109802736Y-138063866D01* -X109804200Y-138049000D01* -X109804200Y-137668000D01* -X111175800Y-137668000D01* -X111175800Y-138049000D01* -X111177264Y-138063866D01* -X111181600Y-138078160D01* -X111188642Y-138091334D01* -X111198118Y-138102882D01* -X111209666Y-138112358D01* -X111222840Y-138119400D01* -X111237134Y-138123736D01* -X111252000Y-138125200D01* -X111633000Y-138125200D01* -X111647866Y-138123736D01* -X111662160Y-138119400D01* -X111675334Y-138112358D01* -X111686882Y-138102882D01* -X111696358Y-138091334D01* -X111703400Y-138078160D01* -X111707736Y-138063866D01* -X111709200Y-138049000D01* -X111709200Y-137668000D01* -X111810800Y-137668000D01* -X111810800Y-138049000D01* -X111812264Y-138063866D01* -X111816600Y-138078160D01* -X111823642Y-138091334D01* -X111833118Y-138102882D01* -X111844666Y-138112358D01* -X111857840Y-138119400D01* -X111872134Y-138123736D01* -X111887000Y-138125200D01* -X112268000Y-138125200D01* -X112282866Y-138123736D01* -X112297160Y-138119400D01* -X112310334Y-138112358D01* -X112321882Y-138102882D01* -X112331358Y-138091334D01* -X112338400Y-138078160D01* -X112342736Y-138063866D01* -X112344200Y-138049000D01* -X112344200Y-137668000D01* -X113715800Y-137668000D01* -X113715800Y-138049000D01* -X113717264Y-138063866D01* -X113721600Y-138078160D01* -X113728642Y-138091334D01* -X113738118Y-138102882D01* -X113749666Y-138112358D01* -X113762840Y-138119400D01* -X113777134Y-138123736D01* -X113792000Y-138125200D01* -X114173000Y-138125200D01* -X114187866Y-138123736D01* -X114202160Y-138119400D01* -X114215334Y-138112358D01* -X114226882Y-138102882D01* -X114236358Y-138091334D01* -X114243400Y-138078160D01* -X114247736Y-138063866D01* -X114249200Y-138049000D01* -X114249200Y-137668000D01* -X114350800Y-137668000D01* -X114350800Y-138049000D01* -X114352264Y-138063866D01* -X114356600Y-138078160D01* -X114363642Y-138091334D01* -X114373118Y-138102882D01* -X114384666Y-138112358D01* -X114397840Y-138119400D01* -X114412134Y-138123736D01* -X114427000Y-138125200D01* -X114808000Y-138125200D01* -X114822866Y-138123736D01* -X114837160Y-138119400D01* -X114850334Y-138112358D01* -X114861882Y-138102882D01* -X114871358Y-138091334D01* -X114878400Y-138078160D01* -X114882736Y-138063866D01* -X114884200Y-138049000D01* -X114884200Y-137668000D01* -X121335800Y-137668000D01* -X121335800Y-138049000D01* -X121337264Y-138063866D01* -X121341600Y-138078160D01* -X121348642Y-138091334D01* -X121358118Y-138102882D01* -X121369666Y-138112358D01* -X121382840Y-138119400D01* -X121397134Y-138123736D01* -X121412000Y-138125200D01* -X121793000Y-138125200D01* -X121807866Y-138123736D01* -X121822160Y-138119400D01* -X121835334Y-138112358D01* -X121846882Y-138102882D01* -X121856358Y-138091334D01* -X121863400Y-138078160D01* -X121867736Y-138063866D01* -X121869200Y-138049000D01* -X121869200Y-137668000D01* -X121970800Y-137668000D01* -X121970800Y-138049000D01* -X121972264Y-138063866D01* -X121976600Y-138078160D01* -X121983642Y-138091334D01* -X121993118Y-138102882D01* -X122004666Y-138112358D01* -X122017840Y-138119400D01* -X122032134Y-138123736D01* -X122047000Y-138125200D01* -X122428000Y-138125200D01* -X122442866Y-138123736D01* -X122457160Y-138119400D01* -X122470334Y-138112358D01* -X122481882Y-138102882D01* -X122491358Y-138091334D01* -X122498400Y-138078160D01* -X122502736Y-138063866D01* -X122504200Y-138049000D01* -X122504200Y-137668000D01* -X123875800Y-137668000D01* -X123875800Y-138049000D01* -X123877264Y-138063866D01* -X123881600Y-138078160D01* -X123888642Y-138091334D01* -X123898118Y-138102882D01* -X123909666Y-138112358D01* -X123922840Y-138119400D01* -X123937134Y-138123736D01* -X123952000Y-138125200D01* -X124333000Y-138125200D01* -X124347866Y-138123736D01* -X124362160Y-138119400D01* -X124375334Y-138112358D01* -X124386882Y-138102882D01* -X124396358Y-138091334D01* -X124403400Y-138078160D01* -X124407736Y-138063866D01* -X124409200Y-138049000D01* -X124409200Y-137668000D01* -X124510800Y-137668000D01* -X124510800Y-138049000D01* -X124512264Y-138063866D01* -X124516600Y-138078160D01* -X124523642Y-138091334D01* -X124533118Y-138102882D01* -X124544666Y-138112358D01* -X124557840Y-138119400D01* -X124572134Y-138123736D01* -X124587000Y-138125200D01* -X124968000Y-138125200D01* -X124982866Y-138123736D01* -X124997160Y-138119400D01* -X125010334Y-138112358D01* -X125021882Y-138102882D01* -X125031358Y-138091334D01* -X125038400Y-138078160D01* -X125042736Y-138063866D01* -X125044200Y-138049000D01* -X125044200Y-137668000D01* -X126415800Y-137668000D01* -X126415800Y-138049000D01* -X126417264Y-138063866D01* -X126421600Y-138078160D01* -X126428642Y-138091334D01* -X126438118Y-138102882D01* -X126449666Y-138112358D01* -X126462840Y-138119400D01* -X126477134Y-138123736D01* -X126492000Y-138125200D01* -X126873000Y-138125200D01* -X126887866Y-138123736D01* -X126902160Y-138119400D01* -X126915334Y-138112358D01* -X126926882Y-138102882D01* -X126936358Y-138091334D01* -X126943400Y-138078160D01* -X126947736Y-138063866D01* -X126949200Y-138049000D01* -X126949200Y-137668000D01* -X127050800Y-137668000D01* -X127050800Y-138049000D01* -X127052264Y-138063866D01* -X127056600Y-138078160D01* -X127063642Y-138091334D01* -X127073118Y-138102882D01* -X127084666Y-138112358D01* -X127097840Y-138119400D01* -X127112134Y-138123736D01* -X127127000Y-138125200D01* -X127508000Y-138125200D01* -X127522866Y-138123736D01* -X127537160Y-138119400D01* -X127550334Y-138112358D01* -X127561882Y-138102882D01* -X127571358Y-138091334D01* -X127578400Y-138078160D01* -X127582736Y-138063866D01* -X127584200Y-138049000D01* -X127584200Y-137668000D01* -X128955800Y-137668000D01* -X128955800Y-138049000D01* -X128957264Y-138063866D01* -X128961600Y-138078160D01* -X128968642Y-138091334D01* -X128978118Y-138102882D01* -X128989666Y-138112358D01* -X129002840Y-138119400D01* -X129017134Y-138123736D01* -X129032000Y-138125200D01* -X129413000Y-138125200D01* -X129427866Y-138123736D01* -X129442160Y-138119400D01* -X129455334Y-138112358D01* -X129466882Y-138102882D01* -X129476358Y-138091334D01* -X129483400Y-138078160D01* -X129487736Y-138063866D01* -X129489200Y-138049000D01* -X129489200Y-137668000D01* -X129590800Y-137668000D01* -X129590800Y-138049000D01* -X129592264Y-138063866D01* -X129596600Y-138078160D01* -X129603642Y-138091334D01* -X129613118Y-138102882D01* -X129624666Y-138112358D01* -X129637840Y-138119400D01* -X129652134Y-138123736D01* -X129667000Y-138125200D01* -X130048000Y-138125200D01* -X130062866Y-138123736D01* -X130077160Y-138119400D01* -X130090334Y-138112358D01* -X130101882Y-138102882D01* -X130111358Y-138091334D01* -X130118400Y-138078160D01* -X130122736Y-138063866D01* -X130124200Y-138049000D01* -X130124200Y-137668000D01* -X131495800Y-137668000D01* -X131495800Y-138049000D01* -X131497264Y-138063866D01* -X131501600Y-138078160D01* -X131508642Y-138091334D01* -X131518118Y-138102882D01* -X131529666Y-138112358D01* -X131542840Y-138119400D01* -X131557134Y-138123736D01* -X131572000Y-138125200D01* -X131953000Y-138125200D01* -X131967866Y-138123736D01* -X131982160Y-138119400D01* -X131995334Y-138112358D01* -X132006882Y-138102882D01* -X132016358Y-138091334D01* -X132023400Y-138078160D01* -X132027736Y-138063866D01* -X132029200Y-138049000D01* -X132029200Y-137668000D01* -X132130800Y-137668000D01* -X132130800Y-138049000D01* -X132132264Y-138063866D01* -X132136600Y-138078160D01* -X132143642Y-138091334D01* -X132153118Y-138102882D01* -X132164666Y-138112358D01* -X132177840Y-138119400D01* -X132192134Y-138123736D01* -X132207000Y-138125200D01* -X132588000Y-138125200D01* -X132602866Y-138123736D01* -X132617160Y-138119400D01* -X132630334Y-138112358D01* -X132641882Y-138102882D01* -X132651358Y-138091334D01* -X132658400Y-138078160D01* -X132662736Y-138063866D01* -X132664200Y-138049000D01* -X132664200Y-137668000D01* -X134035800Y-137668000D01* -X134035800Y-138049000D01* -X134037264Y-138063866D01* -X134041600Y-138078160D01* -X134048642Y-138091334D01* -X134058118Y-138102882D01* -X134069666Y-138112358D01* -X134082840Y-138119400D01* -X134097134Y-138123736D01* -X134112000Y-138125200D01* -X134493000Y-138125200D01* -X134507866Y-138123736D01* -X134522160Y-138119400D01* -X134535334Y-138112358D01* -X134546882Y-138102882D01* -X134556358Y-138091334D01* -X134563400Y-138078160D01* -X134567736Y-138063866D01* -X134569200Y-138049000D01* -X134569200Y-137668000D01* -X134670800Y-137668000D01* -X134670800Y-138049000D01* -X134672264Y-138063866D01* -X134676600Y-138078160D01* -X134683642Y-138091334D01* -X134693118Y-138102882D01* -X134704666Y-138112358D01* -X134717840Y-138119400D01* -X134732134Y-138123736D01* -X134747000Y-138125200D01* -X135128000Y-138125200D01* -X135142866Y-138123736D01* -X135157160Y-138119400D01* -X135170334Y-138112358D01* -X135181882Y-138102882D01* -X135191358Y-138091334D01* -X135198400Y-138078160D01* -X135202736Y-138063866D01* -X135204200Y-138049000D01* -X135204200Y-137668000D01* -X135202736Y-137653134D01* -X135198400Y-137638840D01* -X135191358Y-137625666D01* -X135181882Y-137614118D01* -X135170334Y-137604642D01* -X135157160Y-137597600D01* -X135142866Y-137593264D01* -X135128000Y-137591800D01* -X134747000Y-137591800D01* -X134732134Y-137593264D01* -X134717840Y-137597600D01* -X134704666Y-137604642D01* -X134693118Y-137614118D01* -X134683642Y-137625666D01* -X134676600Y-137638840D01* -X134672264Y-137653134D01* -X134670800Y-137668000D01* -X134569200Y-137668000D01* -X134567736Y-137653134D01* -X134563400Y-137638840D01* -X134556358Y-137625666D01* -X134546882Y-137614118D01* -X134535334Y-137604642D01* -X134522160Y-137597600D01* -X134507866Y-137593264D01* -X134493000Y-137591800D01* -X134112000Y-137591800D01* -X134097134Y-137593264D01* -X134082840Y-137597600D01* -X134069666Y-137604642D01* -X134058118Y-137614118D01* -X134048642Y-137625666D01* -X134041600Y-137638840D01* -X134037264Y-137653134D01* -X134035800Y-137668000D01* -X132664200Y-137668000D01* -X132662736Y-137653134D01* -X132658400Y-137638840D01* -X132651358Y-137625666D01* -X132641882Y-137614118D01* -X132630334Y-137604642D01* -X132617160Y-137597600D01* -X132602866Y-137593264D01* -X132588000Y-137591800D01* -X132207000Y-137591800D01* -X132192134Y-137593264D01* -X132177840Y-137597600D01* -X132164666Y-137604642D01* -X132153118Y-137614118D01* -X132143642Y-137625666D01* -X132136600Y-137638840D01* -X132132264Y-137653134D01* -X132130800Y-137668000D01* -X132029200Y-137668000D01* -X132027736Y-137653134D01* -X132023400Y-137638840D01* -X132016358Y-137625666D01* -X132006882Y-137614118D01* -X131995334Y-137604642D01* -X131982160Y-137597600D01* -X131967866Y-137593264D01* -X131953000Y-137591800D01* -X131572000Y-137591800D01* -X131557134Y-137593264D01* -X131542840Y-137597600D01* -X131529666Y-137604642D01* -X131518118Y-137614118D01* -X131508642Y-137625666D01* -X131501600Y-137638840D01* -X131497264Y-137653134D01* -X131495800Y-137668000D01* -X130124200Y-137668000D01* -X130122736Y-137653134D01* -X130118400Y-137638840D01* -X130111358Y-137625666D01* -X130101882Y-137614118D01* -X130090334Y-137604642D01* -X130077160Y-137597600D01* -X130062866Y-137593264D01* -X130048000Y-137591800D01* -X129667000Y-137591800D01* -X129652134Y-137593264D01* -X129637840Y-137597600D01* -X129624666Y-137604642D01* -X129613118Y-137614118D01* -X129603642Y-137625666D01* -X129596600Y-137638840D01* -X129592264Y-137653134D01* -X129590800Y-137668000D01* -X129489200Y-137668000D01* -X129487736Y-137653134D01* -X129483400Y-137638840D01* -X129476358Y-137625666D01* -X129466882Y-137614118D01* -X129455334Y-137604642D01* -X129442160Y-137597600D01* -X129427866Y-137593264D01* -X129413000Y-137591800D01* -X129032000Y-137591800D01* -X129017134Y-137593264D01* -X129002840Y-137597600D01* -X128989666Y-137604642D01* -X128978118Y-137614118D01* -X128968642Y-137625666D01* -X128961600Y-137638840D01* -X128957264Y-137653134D01* -X128955800Y-137668000D01* -X127584200Y-137668000D01* -X127582736Y-137653134D01* -X127578400Y-137638840D01* -X127571358Y-137625666D01* -X127561882Y-137614118D01* -X127550334Y-137604642D01* -X127537160Y-137597600D01* -X127522866Y-137593264D01* -X127508000Y-137591800D01* -X127127000Y-137591800D01* -X127112134Y-137593264D01* -X127097840Y-137597600D01* -X127084666Y-137604642D01* -X127073118Y-137614118D01* -X127063642Y-137625666D01* -X127056600Y-137638840D01* -X127052264Y-137653134D01* -X127050800Y-137668000D01* -X126949200Y-137668000D01* -X126947736Y-137653134D01* -X126943400Y-137638840D01* -X126936358Y-137625666D01* -X126926882Y-137614118D01* -X126915334Y-137604642D01* -X126902160Y-137597600D01* -X126887866Y-137593264D01* -X126873000Y-137591800D01* -X126492000Y-137591800D01* -X126477134Y-137593264D01* -X126462840Y-137597600D01* -X126449666Y-137604642D01* -X126438118Y-137614118D01* -X126428642Y-137625666D01* -X126421600Y-137638840D01* -X126417264Y-137653134D01* -X126415800Y-137668000D01* -X125044200Y-137668000D01* -X125042736Y-137653134D01* -X125038400Y-137638840D01* -X125031358Y-137625666D01* -X125021882Y-137614118D01* -X125010334Y-137604642D01* -X124997160Y-137597600D01* -X124982866Y-137593264D01* -X124968000Y-137591800D01* -X124587000Y-137591800D01* -X124572134Y-137593264D01* -X124557840Y-137597600D01* -X124544666Y-137604642D01* -X124533118Y-137614118D01* -X124523642Y-137625666D01* -X124516600Y-137638840D01* -X124512264Y-137653134D01* -X124510800Y-137668000D01* -X124409200Y-137668000D01* -X124407736Y-137653134D01* -X124403400Y-137638840D01* -X124396358Y-137625666D01* -X124386882Y-137614118D01* -X124375334Y-137604642D01* -X124362160Y-137597600D01* -X124347866Y-137593264D01* -X124333000Y-137591800D01* -X123952000Y-137591800D01* -X123937134Y-137593264D01* -X123922840Y-137597600D01* -X123909666Y-137604642D01* -X123898118Y-137614118D01* -X123888642Y-137625666D01* -X123881600Y-137638840D01* -X123877264Y-137653134D01* -X123875800Y-137668000D01* -X122504200Y-137668000D01* -X122502736Y-137653134D01* -X122498400Y-137638840D01* -X122491358Y-137625666D01* -X122481882Y-137614118D01* -X122470334Y-137604642D01* -X122457160Y-137597600D01* -X122442866Y-137593264D01* -X122428000Y-137591800D01* -X122047000Y-137591800D01* -X122032134Y-137593264D01* -X122017840Y-137597600D01* -X122004666Y-137604642D01* -X121993118Y-137614118D01* -X121983642Y-137625666D01* -X121976600Y-137638840D01* -X121972264Y-137653134D01* -X121970800Y-137668000D01* -X121869200Y-137668000D01* -X121867736Y-137653134D01* -X121863400Y-137638840D01* -X121856358Y-137625666D01* -X121846882Y-137614118D01* -X121835334Y-137604642D01* -X121822160Y-137597600D01* -X121807866Y-137593264D01* -X121793000Y-137591800D01* -X121412000Y-137591800D01* -X121397134Y-137593264D01* -X121382840Y-137597600D01* -X121369666Y-137604642D01* -X121358118Y-137614118D01* -X121348642Y-137625666D01* -X121341600Y-137638840D01* -X121337264Y-137653134D01* -X121335800Y-137668000D01* -X114884200Y-137668000D01* -X114882736Y-137653134D01* -X114878400Y-137638840D01* -X114871358Y-137625666D01* -X114861882Y-137614118D01* -X114850334Y-137604642D01* -X114837160Y-137597600D01* -X114822866Y-137593264D01* -X114808000Y-137591800D01* -X114427000Y-137591800D01* -X114412134Y-137593264D01* -X114397840Y-137597600D01* -X114384666Y-137604642D01* -X114373118Y-137614118D01* -X114363642Y-137625666D01* -X114356600Y-137638840D01* -X114352264Y-137653134D01* -X114350800Y-137668000D01* -X114249200Y-137668000D01* -X114247736Y-137653134D01* -X114243400Y-137638840D01* -X114236358Y-137625666D01* -X114226882Y-137614118D01* -X114215334Y-137604642D01* -X114202160Y-137597600D01* -X114187866Y-137593264D01* -X114173000Y-137591800D01* -X113792000Y-137591800D01* -X113777134Y-137593264D01* -X113762840Y-137597600D01* -X113749666Y-137604642D01* -X113738118Y-137614118D01* -X113728642Y-137625666D01* -X113721600Y-137638840D01* -X113717264Y-137653134D01* -X113715800Y-137668000D01* -X112344200Y-137668000D01* -X112342736Y-137653134D01* -X112338400Y-137638840D01* -X112331358Y-137625666D01* -X112321882Y-137614118D01* -X112310334Y-137604642D01* -X112297160Y-137597600D01* -X112282866Y-137593264D01* -X112268000Y-137591800D01* -X111887000Y-137591800D01* -X111872134Y-137593264D01* -X111857840Y-137597600D01* -X111844666Y-137604642D01* -X111833118Y-137614118D01* -X111823642Y-137625666D01* -X111816600Y-137638840D01* -X111812264Y-137653134D01* -X111810800Y-137668000D01* -X111709200Y-137668000D01* -X111707736Y-137653134D01* -X111703400Y-137638840D01* -X111696358Y-137625666D01* -X111686882Y-137614118D01* -X111675334Y-137604642D01* -X111662160Y-137597600D01* -X111647866Y-137593264D01* -X111633000Y-137591800D01* -X111252000Y-137591800D01* -X111237134Y-137593264D01* -X111222840Y-137597600D01* -X111209666Y-137604642D01* -X111198118Y-137614118D01* -X111188642Y-137625666D01* -X111181600Y-137638840D01* -X111177264Y-137653134D01* -X111175800Y-137668000D01* -X109804200Y-137668000D01* -X109802736Y-137653134D01* -X109798400Y-137638840D01* -X109791358Y-137625666D01* -X109781882Y-137614118D01* -X109770334Y-137604642D01* -X109757160Y-137597600D01* -X109742866Y-137593264D01* -X109728000Y-137591800D01* -X109347000Y-137591800D01* -X109332134Y-137593264D01* -X109317840Y-137597600D01* -X109304666Y-137604642D01* -X109293118Y-137614118D01* -X109283642Y-137625666D01* -X109276600Y-137638840D01* -X109272264Y-137653134D01* -X109270800Y-137668000D01* -X109169200Y-137668000D01* -X109167736Y-137653134D01* -X109163400Y-137638840D01* -X109156358Y-137625666D01* -X109146882Y-137614118D01* -X109135334Y-137604642D01* -X109122160Y-137597600D01* -X109107866Y-137593264D01* -X109093000Y-137591800D01* -X108712000Y-137591800D01* -X108697134Y-137593264D01* -X108682840Y-137597600D01* -X108669666Y-137604642D01* -X108658118Y-137614118D01* -X108648642Y-137625666D01* -X108641600Y-137638840D01* -X108637264Y-137653134D01* -X108635800Y-137668000D01* -X107264200Y-137668000D01* -X107262736Y-137653134D01* -X107258400Y-137638840D01* -X107251358Y-137625666D01* -X107241882Y-137614118D01* -X107230334Y-137604642D01* -X107217160Y-137597600D01* -X107202866Y-137593264D01* -X107188000Y-137591800D01* -X106807000Y-137591800D01* -X106792134Y-137593264D01* -X106777840Y-137597600D01* -X106764666Y-137604642D01* -X106753118Y-137614118D01* -X106743642Y-137625666D01* -X106736600Y-137638840D01* -X106732264Y-137653134D01* -X106730800Y-137668000D01* -X106629200Y-137668000D01* -X106627736Y-137653134D01* -X106623400Y-137638840D01* -X106616358Y-137625666D01* -X106606882Y-137614118D01* -X106595334Y-137604642D01* -X106582160Y-137597600D01* -X106567866Y-137593264D01* -X106553000Y-137591800D01* -X106172000Y-137591800D01* -X106157134Y-137593264D01* -X106142840Y-137597600D01* -X106129666Y-137604642D01* -X106118118Y-137614118D01* -X106108642Y-137625666D01* -X106101600Y-137638840D01* -X106097264Y-137653134D01* -X106095800Y-137668000D01* -X104724200Y-137668000D01* -X104722736Y-137653134D01* -X104718400Y-137638840D01* -X104711358Y-137625666D01* -X104701882Y-137614118D01* -X104690334Y-137604642D01* -X104677160Y-137597600D01* -X104662866Y-137593264D01* -X104648000Y-137591800D01* -X104267000Y-137591800D01* -X104252134Y-137593264D01* -X104237840Y-137597600D01* -X104224666Y-137604642D01* -X104213118Y-137614118D01* -X104203642Y-137625666D01* -X104196600Y-137638840D01* -X104192264Y-137653134D01* -X104190800Y-137668000D01* -X104089200Y-137668000D01* -X104087736Y-137653134D01* -X104083400Y-137638840D01* -X104076358Y-137625666D01* -X104066882Y-137614118D01* -X104055334Y-137604642D01* -X104042160Y-137597600D01* -X104027866Y-137593264D01* -X104013000Y-137591800D01* -X103632000Y-137591800D01* -X103617134Y-137593264D01* -X103602840Y-137597600D01* -X103589666Y-137604642D01* -X103578118Y-137614118D01* -X103568642Y-137625666D01* -X103561600Y-137638840D01* -X103557264Y-137653134D01* -X103555800Y-137668000D01* -X102184200Y-137668000D01* -X102182736Y-137653134D01* -X102178400Y-137638840D01* -X102171358Y-137625666D01* -X102161882Y-137614118D01* -X102150334Y-137604642D01* -X102137160Y-137597600D01* -X102122866Y-137593264D01* -X102108000Y-137591800D01* -X101727000Y-137591800D01* -X101712134Y-137593264D01* -X101697840Y-137597600D01* -X101684666Y-137604642D01* -X101673118Y-137614118D01* -X101663642Y-137625666D01* -X101656600Y-137638840D01* -X101652264Y-137653134D01* -X101650800Y-137668000D01* -X101549200Y-137668000D01* -X101547736Y-137653134D01* -X101543400Y-137638840D01* -X101536358Y-137625666D01* -X101526882Y-137614118D01* -X101515334Y-137604642D01* -X101502160Y-137597600D01* -X101487866Y-137593264D01* -X101473000Y-137591800D01* -X101092000Y-137591800D01* -X101077134Y-137593264D01* -X101062840Y-137597600D01* -X101049666Y-137604642D01* -X101038118Y-137614118D01* -X101028642Y-137625666D01* -X101021600Y-137638840D01* -X101017264Y-137653134D01* -X101015800Y-137668000D01* -X99644200Y-137668000D01* -X99642736Y-137653134D01* -X99638400Y-137638840D01* -X99631358Y-137625666D01* -X99621882Y-137614118D01* -X99610334Y-137604642D01* -X99597160Y-137597600D01* -X99582866Y-137593264D01* -X99568000Y-137591800D01* -X99187000Y-137591800D01* -X99172134Y-137593264D01* -X99157840Y-137597600D01* -X99144666Y-137604642D01* -X99133118Y-137614118D01* -X99123642Y-137625666D01* -X99116600Y-137638840D01* -X99112264Y-137653134D01* -X99110800Y-137668000D01* -X99009200Y-137668000D01* -X99007736Y-137653134D01* -X99003400Y-137638840D01* -X98996358Y-137625666D01* -X98986882Y-137614118D01* -X98975334Y-137604642D01* -X98962160Y-137597600D01* -X98947866Y-137593264D01* -X98933000Y-137591800D01* -X98552000Y-137591800D01* -X98537134Y-137593264D01* -X98522840Y-137597600D01* -X98509666Y-137604642D01* -X98498118Y-137614118D01* -X98488642Y-137625666D01* -X98481600Y-137638840D01* -X98477264Y-137653134D01* -X98475800Y-137668000D01* -X97104200Y-137668000D01* -X97102736Y-137653134D01* -X97098400Y-137638840D01* -X97091358Y-137625666D01* -X97081882Y-137614118D01* -X97070334Y-137604642D01* -X97057160Y-137597600D01* -X97042866Y-137593264D01* -X97028000Y-137591800D01* -X96647000Y-137591800D01* -X96632134Y-137593264D01* -X96617840Y-137597600D01* -X96604666Y-137604642D01* -X96593118Y-137614118D01* -X96583642Y-137625666D01* -X96576600Y-137638840D01* -X96572264Y-137653134D01* -X96570800Y-137668000D01* -X96469200Y-137668000D01* -X96467736Y-137653134D01* -X96463400Y-137638840D01* -X96456358Y-137625666D01* -X96446882Y-137614118D01* -X96435334Y-137604642D01* -X96422160Y-137597600D01* -X96407866Y-137593264D01* -X96393000Y-137591800D01* -X96012000Y-137591800D01* -X95997134Y-137593264D01* -X95982840Y-137597600D01* -X95969666Y-137604642D01* -X95958118Y-137614118D01* -X95948642Y-137625666D01* -X95941600Y-137638840D01* -X95937264Y-137653134D01* -X95935800Y-137668000D01* -X94564200Y-137668000D01* -X94562736Y-137653134D01* -X94558400Y-137638840D01* -X94551358Y-137625666D01* -X94541882Y-137614118D01* -X94530334Y-137604642D01* -X94517160Y-137597600D01* -X94502866Y-137593264D01* -X94488000Y-137591800D01* -X94107000Y-137591800D01* -X94092134Y-137593264D01* -X94077840Y-137597600D01* -X94064666Y-137604642D01* -X94053118Y-137614118D01* -X94043642Y-137625666D01* -X94036600Y-137638840D01* -X94032264Y-137653134D01* -X94030800Y-137668000D01* -X93929200Y-137668000D01* -X93927736Y-137653134D01* -X93923400Y-137638840D01* -X93916358Y-137625666D01* -X93906882Y-137614118D01* -X93895334Y-137604642D01* -X93882160Y-137597600D01* -X93867866Y-137593264D01* -X93853000Y-137591800D01* -X93472000Y-137591800D01* -X93457134Y-137593264D01* -X93442840Y-137597600D01* -X93429666Y-137604642D01* -X93418118Y-137614118D01* -X93408642Y-137625666D01* -X93401600Y-137638840D01* -X93397264Y-137653134D01* -X93395800Y-137668000D01* -X92024200Y-137668000D01* -X92022736Y-137653134D01* -X92018400Y-137638840D01* -X92011358Y-137625666D01* -X92001882Y-137614118D01* -X91990334Y-137604642D01* -X91977160Y-137597600D01* -X91962866Y-137593264D01* -X91948000Y-137591800D01* -X91567000Y-137591800D01* -X91552134Y-137593264D01* -X91537840Y-137597600D01* -X91524666Y-137604642D01* -X91513118Y-137614118D01* -X91503642Y-137625666D01* -X91496600Y-137638840D01* -X91492264Y-137653134D01* -X91490800Y-137668000D01* -X91389200Y-137668000D01* -X91387736Y-137653134D01* -X91383400Y-137638840D01* -X91376358Y-137625666D01* -X91366882Y-137614118D01* -X91355334Y-137604642D01* -X91342160Y-137597600D01* -X91327866Y-137593264D01* -X91313000Y-137591800D01* -X90932000Y-137591800D01* -X90917134Y-137593264D01* -X90902840Y-137597600D01* -X90889666Y-137604642D01* -X90878118Y-137614118D01* -X90868642Y-137625666D01* -X90861600Y-137638840D01* -X90857264Y-137653134D01* -X90855800Y-137668000D01* -X89484200Y-137668000D01* -X89482736Y-137653134D01* -X89478400Y-137638840D01* -X89471358Y-137625666D01* -X89461882Y-137614118D01* -X89450334Y-137604642D01* -X89437160Y-137597600D01* -X89422866Y-137593264D01* -X89408000Y-137591800D01* -X89027000Y-137591800D01* -X89012134Y-137593264D01* -X88997840Y-137597600D01* -X88984666Y-137604642D01* -X88973118Y-137614118D01* -X88963642Y-137625666D01* -X88956600Y-137638840D01* -X88952264Y-137653134D01* -X88950800Y-137668000D01* -X88849200Y-137668000D01* -X88847736Y-137653134D01* -X88843400Y-137638840D01* -X88836358Y-137625666D01* -X88826882Y-137614118D01* -X88815334Y-137604642D01* -X88802160Y-137597600D01* -X88787866Y-137593264D01* -X88773000Y-137591800D01* -X88392000Y-137591800D01* -X88377134Y-137593264D01* -X88362840Y-137597600D01* -X88349666Y-137604642D01* -X88338118Y-137614118D01* -X88328642Y-137625666D01* -X88321600Y-137638840D01* -X88317264Y-137653134D01* -X88315800Y-137668000D01* -X86944200Y-137668000D01* -X86942736Y-137653134D01* -X86938400Y-137638840D01* -X86931358Y-137625666D01* -X86921882Y-137614118D01* -X86910334Y-137604642D01* -X86897160Y-137597600D01* -X86882866Y-137593264D01* -X86868000Y-137591800D01* -X86487000Y-137591800D01* -X86472134Y-137593264D01* -X86457840Y-137597600D01* -X86444666Y-137604642D01* -X86433118Y-137614118D01* -X86423642Y-137625666D01* -X86416600Y-137638840D01* -X86412264Y-137653134D01* -X86410800Y-137668000D01* -X86309200Y-137668000D01* -X86307736Y-137653134D01* -X86303400Y-137638840D01* -X86296358Y-137625666D01* -X86286882Y-137614118D01* -X86275334Y-137604642D01* -X86262160Y-137597600D01* -X86247866Y-137593264D01* -X86233000Y-137591800D01* -X85852000Y-137591800D01* -X85837134Y-137593264D01* -X85822840Y-137597600D01* -X85809666Y-137604642D01* -X85798118Y-137614118D01* -X85788642Y-137625666D01* -X85781600Y-137638840D01* -X85777264Y-137653134D01* -X85775800Y-137668000D01* -X84404200Y-137668000D01* -X84402736Y-137653134D01* -X84398400Y-137638840D01* -X84391358Y-137625666D01* -X84381882Y-137614118D01* -X84370334Y-137604642D01* -X84357160Y-137597600D01* -X84342866Y-137593264D01* -X84328000Y-137591800D01* -X83947000Y-137591800D01* -X83932134Y-137593264D01* -X83917840Y-137597600D01* -X83904666Y-137604642D01* -X83893118Y-137614118D01* -X83883642Y-137625666D01* -X83876600Y-137638840D01* -X83872264Y-137653134D01* -X83870800Y-137668000D01* -X83769200Y-137668000D01* -X83767736Y-137653134D01* -X83763400Y-137638840D01* -X83756358Y-137625666D01* -X83746882Y-137614118D01* -X83735334Y-137604642D01* -X83722160Y-137597600D01* -X83707866Y-137593264D01* -X83693000Y-137591800D01* -X83312000Y-137591800D01* -X83297134Y-137593264D01* -X83282840Y-137597600D01* -X83269666Y-137604642D01* -X83258118Y-137614118D01* -X83248642Y-137625666D01* -X83241600Y-137638840D01* -X83237264Y-137653134D01* -X83235800Y-137668000D01* -X81864200Y-137668000D01* -X81862736Y-137653134D01* -X81858400Y-137638840D01* -X81851358Y-137625666D01* -X81841882Y-137614118D01* -X81830334Y-137604642D01* -X81817160Y-137597600D01* -X81802866Y-137593264D01* -X81788000Y-137591800D01* -X81407000Y-137591800D01* -X81392134Y-137593264D01* -X81377840Y-137597600D01* -X81364666Y-137604642D01* -X81353118Y-137614118D01* -X81343642Y-137625666D01* -X81336600Y-137638840D01* -X81332264Y-137653134D01* -X81330800Y-137668000D01* -X81229200Y-137668000D01* -X81227736Y-137653134D01* -X81223400Y-137638840D01* -X81216358Y-137625666D01* -X81206882Y-137614118D01* -X81195334Y-137604642D01* -X81182160Y-137597600D01* -X81167866Y-137593264D01* -X81153000Y-137591800D01* -X80772000Y-137591800D01* -X80757134Y-137593264D01* -X80742840Y-137597600D01* -X80729666Y-137604642D01* -X80718118Y-137614118D01* -X80708642Y-137625666D01* -X80701600Y-137638840D01* -X80697264Y-137653134D01* -X80695800Y-137668000D01* -X79324200Y-137668000D01* -X79322736Y-137653134D01* -X79318400Y-137638840D01* -X79311358Y-137625666D01* -X79301882Y-137614118D01* -X79290334Y-137604642D01* -X79277160Y-137597600D01* -X79262866Y-137593264D01* -X79248000Y-137591800D01* -X78867000Y-137591800D01* -X78852134Y-137593264D01* -X78837840Y-137597600D01* -X78824666Y-137604642D01* -X78813118Y-137614118D01* -X78803642Y-137625666D01* -X78796600Y-137638840D01* -X78792264Y-137653134D01* -X78790800Y-137668000D01* -X78689200Y-137668000D01* -X78687736Y-137653134D01* -X78683400Y-137638840D01* -X78676358Y-137625666D01* -X78666882Y-137614118D01* -X78655334Y-137604642D01* -X78642160Y-137597600D01* -X78627866Y-137593264D01* -X78613000Y-137591800D01* -X78232000Y-137591800D01* -X78217134Y-137593264D01* -X78202840Y-137597600D01* -X78189666Y-137604642D01* -X78178118Y-137614118D01* -X78168642Y-137625666D01* -X78161600Y-137638840D01* -X78157264Y-137653134D01* -X78155800Y-137668000D01* -X74498200Y-137668000D01* -X74498200Y-137033000D01* -X78155800Y-137033000D01* -X78155800Y-137414000D01* -X78157264Y-137428866D01* -X78161600Y-137443160D01* -X78168642Y-137456334D01* -X78178118Y-137467882D01* -X78189666Y-137477358D01* -X78202840Y-137484400D01* -X78217134Y-137488736D01* -X78232000Y-137490200D01* -X78613000Y-137490200D01* -X78627866Y-137488736D01* -X78642160Y-137484400D01* -X78655334Y-137477358D01* -X78666882Y-137467882D01* -X78676358Y-137456334D01* -X78683400Y-137443160D01* -X78687736Y-137428866D01* -X78689200Y-137414000D01* -X78689200Y-137033000D01* -X78790800Y-137033000D01* -X78790800Y-137414000D01* -X78792264Y-137428866D01* -X78796600Y-137443160D01* -X78803642Y-137456334D01* -X78813118Y-137467882D01* -X78824666Y-137477358D01* -X78837840Y-137484400D01* -X78852134Y-137488736D01* -X78867000Y-137490200D01* -X79248000Y-137490200D01* -X79262866Y-137488736D01* -X79277160Y-137484400D01* -X79290334Y-137477358D01* -X79301882Y-137467882D01* -X79311358Y-137456334D01* -X79318400Y-137443160D01* -X79322736Y-137428866D01* -X79324200Y-137414000D01* -X79324200Y-137033000D01* -X80695800Y-137033000D01* -X80695800Y-137414000D01* -X80697264Y-137428866D01* -X80701600Y-137443160D01* -X80708642Y-137456334D01* -X80718118Y-137467882D01* -X80729666Y-137477358D01* -X80742840Y-137484400D01* -X80757134Y-137488736D01* -X80772000Y-137490200D01* -X81153000Y-137490200D01* -X81167866Y-137488736D01* -X81182160Y-137484400D01* -X81195334Y-137477358D01* -X81206882Y-137467882D01* -X81216358Y-137456334D01* -X81223400Y-137443160D01* -X81227736Y-137428866D01* -X81229200Y-137414000D01* -X81229200Y-137033000D01* -X81330800Y-137033000D01* -X81330800Y-137414000D01* -X81332264Y-137428866D01* -X81336600Y-137443160D01* -X81343642Y-137456334D01* -X81353118Y-137467882D01* -X81364666Y-137477358D01* -X81377840Y-137484400D01* -X81392134Y-137488736D01* -X81407000Y-137490200D01* -X81788000Y-137490200D01* -X81802866Y-137488736D01* -X81817160Y-137484400D01* -X81830334Y-137477358D01* -X81841882Y-137467882D01* -X81851358Y-137456334D01* -X81858400Y-137443160D01* -X81862736Y-137428866D01* -X81864200Y-137414000D01* -X81864200Y-137033000D01* -X83235800Y-137033000D01* -X83235800Y-137414000D01* -X83237264Y-137428866D01* -X83241600Y-137443160D01* -X83248642Y-137456334D01* -X83258118Y-137467882D01* -X83269666Y-137477358D01* -X83282840Y-137484400D01* -X83297134Y-137488736D01* -X83312000Y-137490200D01* -X83693000Y-137490200D01* -X83707866Y-137488736D01* -X83722160Y-137484400D01* -X83735334Y-137477358D01* -X83746882Y-137467882D01* -X83756358Y-137456334D01* -X83763400Y-137443160D01* -X83767736Y-137428866D01* -X83769200Y-137414000D01* -X83769200Y-137033000D01* -X83870800Y-137033000D01* -X83870800Y-137414000D01* -X83872264Y-137428866D01* -X83876600Y-137443160D01* -X83883642Y-137456334D01* -X83893118Y-137467882D01* -X83904666Y-137477358D01* -X83917840Y-137484400D01* -X83932134Y-137488736D01* -X83947000Y-137490200D01* -X84328000Y-137490200D01* -X84342866Y-137488736D01* -X84357160Y-137484400D01* -X84370334Y-137477358D01* -X84381882Y-137467882D01* -X84391358Y-137456334D01* -X84398400Y-137443160D01* -X84402736Y-137428866D01* -X84404200Y-137414000D01* -X84404200Y-137033000D01* -X85775800Y-137033000D01* -X85775800Y-137414000D01* -X85777264Y-137428866D01* -X85781600Y-137443160D01* -X85788642Y-137456334D01* -X85798118Y-137467882D01* -X85809666Y-137477358D01* -X85822840Y-137484400D01* -X85837134Y-137488736D01* -X85852000Y-137490200D01* -X86233000Y-137490200D01* -X86247866Y-137488736D01* -X86262160Y-137484400D01* -X86275334Y-137477358D01* -X86286882Y-137467882D01* -X86296358Y-137456334D01* -X86303400Y-137443160D01* -X86307736Y-137428866D01* -X86309200Y-137414000D01* -X86309200Y-137033000D01* -X86410800Y-137033000D01* -X86410800Y-137414000D01* -X86412264Y-137428866D01* -X86416600Y-137443160D01* -X86423642Y-137456334D01* -X86433118Y-137467882D01* -X86444666Y-137477358D01* -X86457840Y-137484400D01* -X86472134Y-137488736D01* -X86487000Y-137490200D01* -X86868000Y-137490200D01* -X86882866Y-137488736D01* -X86897160Y-137484400D01* -X86910334Y-137477358D01* -X86921882Y-137467882D01* -X86931358Y-137456334D01* -X86938400Y-137443160D01* -X86942736Y-137428866D01* -X86944200Y-137414000D01* -X86944200Y-137033000D01* -X88315800Y-137033000D01* -X88315800Y-137414000D01* -X88317264Y-137428866D01* -X88321600Y-137443160D01* -X88328642Y-137456334D01* -X88338118Y-137467882D01* -X88349666Y-137477358D01* -X88362840Y-137484400D01* -X88377134Y-137488736D01* -X88392000Y-137490200D01* -X88773000Y-137490200D01* -X88787866Y-137488736D01* -X88802160Y-137484400D01* -X88815334Y-137477358D01* -X88826882Y-137467882D01* -X88836358Y-137456334D01* -X88843400Y-137443160D01* -X88847736Y-137428866D01* -X88849200Y-137414000D01* -X88849200Y-137033000D01* -X88950800Y-137033000D01* -X88950800Y-137414000D01* -X88952264Y-137428866D01* -X88956600Y-137443160D01* -X88963642Y-137456334D01* -X88973118Y-137467882D01* -X88984666Y-137477358D01* -X88997840Y-137484400D01* -X89012134Y-137488736D01* -X89027000Y-137490200D01* -X89408000Y-137490200D01* -X89422866Y-137488736D01* -X89437160Y-137484400D01* -X89450334Y-137477358D01* -X89461882Y-137467882D01* -X89471358Y-137456334D01* -X89478400Y-137443160D01* -X89482736Y-137428866D01* -X89484200Y-137414000D01* -X89484200Y-137033000D01* -X90855800Y-137033000D01* -X90855800Y-137414000D01* -X90857264Y-137428866D01* -X90861600Y-137443160D01* -X90868642Y-137456334D01* -X90878118Y-137467882D01* -X90889666Y-137477358D01* -X90902840Y-137484400D01* -X90917134Y-137488736D01* -X90932000Y-137490200D01* -X91313000Y-137490200D01* -X91327866Y-137488736D01* -X91342160Y-137484400D01* -X91355334Y-137477358D01* -X91366882Y-137467882D01* -X91376358Y-137456334D01* -X91383400Y-137443160D01* -X91387736Y-137428866D01* -X91389200Y-137414000D01* -X91389200Y-137033000D01* -X91490800Y-137033000D01* -X91490800Y-137414000D01* -X91492264Y-137428866D01* -X91496600Y-137443160D01* -X91503642Y-137456334D01* -X91513118Y-137467882D01* -X91524666Y-137477358D01* -X91537840Y-137484400D01* -X91552134Y-137488736D01* -X91567000Y-137490200D01* -X91948000Y-137490200D01* -X91962866Y-137488736D01* -X91977160Y-137484400D01* -X91990334Y-137477358D01* -X92001882Y-137467882D01* -X92011358Y-137456334D01* -X92018400Y-137443160D01* -X92022736Y-137428866D01* -X92024200Y-137414000D01* -X92024200Y-137033000D01* -X93395800Y-137033000D01* -X93395800Y-137414000D01* -X93397264Y-137428866D01* -X93401600Y-137443160D01* -X93408642Y-137456334D01* -X93418118Y-137467882D01* -X93429666Y-137477358D01* -X93442840Y-137484400D01* -X93457134Y-137488736D01* -X93472000Y-137490200D01* -X93853000Y-137490200D01* -X93867866Y-137488736D01* -X93882160Y-137484400D01* -X93895334Y-137477358D01* -X93906882Y-137467882D01* -X93916358Y-137456334D01* -X93923400Y-137443160D01* -X93927736Y-137428866D01* -X93929200Y-137414000D01* -X93929200Y-137033000D01* -X94030800Y-137033000D01* -X94030800Y-137414000D01* -X94032264Y-137428866D01* -X94036600Y-137443160D01* -X94043642Y-137456334D01* -X94053118Y-137467882D01* -X94064666Y-137477358D01* -X94077840Y-137484400D01* -X94092134Y-137488736D01* -X94107000Y-137490200D01* -X94488000Y-137490200D01* -X94502866Y-137488736D01* -X94517160Y-137484400D01* -X94530334Y-137477358D01* -X94541882Y-137467882D01* -X94551358Y-137456334D01* -X94558400Y-137443160D01* -X94562736Y-137428866D01* -X94564200Y-137414000D01* -X94564200Y-137033000D01* -X95935800Y-137033000D01* -X95935800Y-137414000D01* -X95937264Y-137428866D01* -X95941600Y-137443160D01* -X95948642Y-137456334D01* -X95958118Y-137467882D01* -X95969666Y-137477358D01* -X95982840Y-137484400D01* -X95997134Y-137488736D01* -X96012000Y-137490200D01* -X96393000Y-137490200D01* -X96407866Y-137488736D01* -X96422160Y-137484400D01* -X96435334Y-137477358D01* -X96446882Y-137467882D01* -X96456358Y-137456334D01* -X96463400Y-137443160D01* -X96467736Y-137428866D01* -X96469200Y-137414000D01* -X96469200Y-137033000D01* -X96570800Y-137033000D01* -X96570800Y-137414000D01* -X96572264Y-137428866D01* -X96576600Y-137443160D01* -X96583642Y-137456334D01* -X96593118Y-137467882D01* -X96604666Y-137477358D01* -X96617840Y-137484400D01* -X96632134Y-137488736D01* -X96647000Y-137490200D01* -X97028000Y-137490200D01* -X97042866Y-137488736D01* -X97057160Y-137484400D01* -X97070334Y-137477358D01* -X97081882Y-137467882D01* -X97091358Y-137456334D01* -X97098400Y-137443160D01* -X97102736Y-137428866D01* -X97104200Y-137414000D01* -X97104200Y-137033000D01* -X98475800Y-137033000D01* -X98475800Y-137414000D01* -X98477264Y-137428866D01* -X98481600Y-137443160D01* -X98488642Y-137456334D01* -X98498118Y-137467882D01* -X98509666Y-137477358D01* -X98522840Y-137484400D01* -X98537134Y-137488736D01* -X98552000Y-137490200D01* -X98933000Y-137490200D01* -X98947866Y-137488736D01* -X98962160Y-137484400D01* -X98975334Y-137477358D01* -X98986882Y-137467882D01* -X98996358Y-137456334D01* -X99003400Y-137443160D01* -X99007736Y-137428866D01* -X99009200Y-137414000D01* -X99009200Y-137033000D01* -X99110800Y-137033000D01* -X99110800Y-137414000D01* -X99112264Y-137428866D01* -X99116600Y-137443160D01* -X99123642Y-137456334D01* -X99133118Y-137467882D01* -X99144666Y-137477358D01* -X99157840Y-137484400D01* -X99172134Y-137488736D01* -X99187000Y-137490200D01* -X99568000Y-137490200D01* -X99582866Y-137488736D01* -X99597160Y-137484400D01* -X99610334Y-137477358D01* -X99621882Y-137467882D01* -X99631358Y-137456334D01* -X99638400Y-137443160D01* -X99642736Y-137428866D01* -X99644200Y-137414000D01* -X99644200Y-137033000D01* -X101015800Y-137033000D01* -X101015800Y-137414000D01* -X101017264Y-137428866D01* -X101021600Y-137443160D01* -X101028642Y-137456334D01* -X101038118Y-137467882D01* -X101049666Y-137477358D01* -X101062840Y-137484400D01* -X101077134Y-137488736D01* -X101092000Y-137490200D01* -X101473000Y-137490200D01* -X101487866Y-137488736D01* -X101502160Y-137484400D01* -X101515334Y-137477358D01* -X101526882Y-137467882D01* -X101536358Y-137456334D01* -X101543400Y-137443160D01* -X101547736Y-137428866D01* -X101549200Y-137414000D01* -X101549200Y-137033000D01* -X101650800Y-137033000D01* -X101650800Y-137414000D01* -X101652264Y-137428866D01* -X101656600Y-137443160D01* -X101663642Y-137456334D01* -X101673118Y-137467882D01* -X101684666Y-137477358D01* -X101697840Y-137484400D01* -X101712134Y-137488736D01* -X101727000Y-137490200D01* -X102108000Y-137490200D01* -X102122866Y-137488736D01* -X102137160Y-137484400D01* -X102150334Y-137477358D01* -X102161882Y-137467882D01* -X102171358Y-137456334D01* -X102178400Y-137443160D01* -X102182736Y-137428866D01* -X102184200Y-137414000D01* -X102184200Y-137033000D01* -X103555800Y-137033000D01* -X103555800Y-137414000D01* -X103557264Y-137428866D01* -X103561600Y-137443160D01* -X103568642Y-137456334D01* -X103578118Y-137467882D01* -X103589666Y-137477358D01* -X103602840Y-137484400D01* -X103617134Y-137488736D01* -X103632000Y-137490200D01* -X104013000Y-137490200D01* -X104027866Y-137488736D01* -X104042160Y-137484400D01* -X104055334Y-137477358D01* -X104066882Y-137467882D01* -X104076358Y-137456334D01* -X104083400Y-137443160D01* -X104087736Y-137428866D01* -X104089200Y-137414000D01* -X104089200Y-137033000D01* -X104190800Y-137033000D01* -X104190800Y-137414000D01* -X104192264Y-137428866D01* -X104196600Y-137443160D01* -X104203642Y-137456334D01* -X104213118Y-137467882D01* -X104224666Y-137477358D01* -X104237840Y-137484400D01* -X104252134Y-137488736D01* -X104267000Y-137490200D01* -X104648000Y-137490200D01* -X104662866Y-137488736D01* -X104677160Y-137484400D01* -X104690334Y-137477358D01* -X104701882Y-137467882D01* -X104711358Y-137456334D01* -X104718400Y-137443160D01* -X104722736Y-137428866D01* -X104724200Y-137414000D01* -X104724200Y-137033000D01* -X106095800Y-137033000D01* -X106095800Y-137414000D01* -X106097264Y-137428866D01* -X106101600Y-137443160D01* -X106108642Y-137456334D01* -X106118118Y-137467882D01* -X106129666Y-137477358D01* -X106142840Y-137484400D01* -X106157134Y-137488736D01* -X106172000Y-137490200D01* -X106553000Y-137490200D01* -X106567866Y-137488736D01* -X106582160Y-137484400D01* -X106595334Y-137477358D01* -X106606882Y-137467882D01* -X106616358Y-137456334D01* -X106623400Y-137443160D01* -X106627736Y-137428866D01* -X106629200Y-137414000D01* -X106629200Y-137033000D01* -X106730800Y-137033000D01* -X106730800Y-137414000D01* -X106732264Y-137428866D01* -X106736600Y-137443160D01* -X106743642Y-137456334D01* -X106753118Y-137467882D01* -X106764666Y-137477358D01* -X106777840Y-137484400D01* -X106792134Y-137488736D01* -X106807000Y-137490200D01* -X107188000Y-137490200D01* -X107202866Y-137488736D01* -X107217160Y-137484400D01* -X107230334Y-137477358D01* -X107241882Y-137467882D01* -X107251358Y-137456334D01* -X107258400Y-137443160D01* -X107262736Y-137428866D01* -X107264200Y-137414000D01* -X107264200Y-137033000D01* -X108635800Y-137033000D01* -X108635800Y-137414000D01* -X108637264Y-137428866D01* -X108641600Y-137443160D01* -X108648642Y-137456334D01* -X108658118Y-137467882D01* -X108669666Y-137477358D01* -X108682840Y-137484400D01* -X108697134Y-137488736D01* -X108712000Y-137490200D01* -X109093000Y-137490200D01* -X109107866Y-137488736D01* -X109122160Y-137484400D01* -X109135334Y-137477358D01* -X109146882Y-137467882D01* -X109156358Y-137456334D01* -X109163400Y-137443160D01* -X109167736Y-137428866D01* -X109169200Y-137414000D01* -X109169200Y-137033000D01* -X109270800Y-137033000D01* -X109270800Y-137414000D01* -X109272264Y-137428866D01* -X109276600Y-137443160D01* -X109283642Y-137456334D01* -X109293118Y-137467882D01* -X109304666Y-137477358D01* -X109317840Y-137484400D01* -X109332134Y-137488736D01* -X109347000Y-137490200D01* -X109728000Y-137490200D01* -X109742866Y-137488736D01* -X109757160Y-137484400D01* -X109770334Y-137477358D01* -X109781882Y-137467882D01* -X109791358Y-137456334D01* -X109798400Y-137443160D01* -X109802736Y-137428866D01* -X109804200Y-137414000D01* -X109804200Y-137033000D01* -X111175800Y-137033000D01* -X111175800Y-137414000D01* -X111177264Y-137428866D01* -X111181600Y-137443160D01* -X111188642Y-137456334D01* -X111198118Y-137467882D01* -X111209666Y-137477358D01* -X111222840Y-137484400D01* -X111237134Y-137488736D01* -X111252000Y-137490200D01* -X111633000Y-137490200D01* -X111647866Y-137488736D01* -X111662160Y-137484400D01* -X111675334Y-137477358D01* -X111686882Y-137467882D01* -X111696358Y-137456334D01* -X111703400Y-137443160D01* -X111707736Y-137428866D01* -X111709200Y-137414000D01* -X111709200Y-137033000D01* -X111810800Y-137033000D01* -X111810800Y-137414000D01* -X111812264Y-137428866D01* -X111816600Y-137443160D01* -X111823642Y-137456334D01* -X111833118Y-137467882D01* -X111844666Y-137477358D01* -X111857840Y-137484400D01* -X111872134Y-137488736D01* -X111887000Y-137490200D01* -X112268000Y-137490200D01* -X112282866Y-137488736D01* -X112297160Y-137484400D01* -X112310334Y-137477358D01* -X112321882Y-137467882D01* -X112331358Y-137456334D01* -X112338400Y-137443160D01* -X112342736Y-137428866D01* -X112344200Y-137414000D01* -X112344200Y-137033000D01* -X113715800Y-137033000D01* -X113715800Y-137414000D01* -X113717264Y-137428866D01* -X113721600Y-137443160D01* -X113728642Y-137456334D01* -X113738118Y-137467882D01* -X113749666Y-137477358D01* -X113762840Y-137484400D01* -X113777134Y-137488736D01* -X113792000Y-137490200D01* -X114173000Y-137490200D01* -X114187866Y-137488736D01* -X114202160Y-137484400D01* -X114215334Y-137477358D01* -X114226882Y-137467882D01* -X114236358Y-137456334D01* -X114243400Y-137443160D01* -X114247736Y-137428866D01* -X114249200Y-137414000D01* -X114249200Y-137033000D01* -X114350800Y-137033000D01* -X114350800Y-137414000D01* -X114352264Y-137428866D01* -X114356600Y-137443160D01* -X114363642Y-137456334D01* -X114373118Y-137467882D01* -X114384666Y-137477358D01* -X114397840Y-137484400D01* -X114412134Y-137488736D01* -X114427000Y-137490200D01* -X114808000Y-137490200D01* -X114822866Y-137488736D01* -X114837160Y-137484400D01* -X114850334Y-137477358D01* -X114861882Y-137467882D01* -X114871358Y-137456334D01* -X114878400Y-137443160D01* -X114882736Y-137428866D01* -X114884200Y-137414000D01* -X114884200Y-137033000D01* -X121335800Y-137033000D01* -X121335800Y-137414000D01* -X121337264Y-137428866D01* -X121341600Y-137443160D01* -X121348642Y-137456334D01* -X121358118Y-137467882D01* -X121369666Y-137477358D01* -X121382840Y-137484400D01* -X121397134Y-137488736D01* -X121412000Y-137490200D01* -X121793000Y-137490200D01* -X121807866Y-137488736D01* -X121822160Y-137484400D01* -X121835334Y-137477358D01* -X121846882Y-137467882D01* -X121856358Y-137456334D01* -X121863400Y-137443160D01* -X121867736Y-137428866D01* -X121869200Y-137414000D01* -X121869200Y-137033000D01* -X121970800Y-137033000D01* -X121970800Y-137414000D01* -X121972264Y-137428866D01* -X121976600Y-137443160D01* -X121983642Y-137456334D01* -X121993118Y-137467882D01* -X122004666Y-137477358D01* -X122017840Y-137484400D01* -X122032134Y-137488736D01* -X122047000Y-137490200D01* -X122428000Y-137490200D01* -X122442866Y-137488736D01* -X122457160Y-137484400D01* -X122470334Y-137477358D01* -X122481882Y-137467882D01* -X122491358Y-137456334D01* -X122498400Y-137443160D01* -X122502736Y-137428866D01* -X122504200Y-137414000D01* -X122504200Y-137033000D01* -X123875800Y-137033000D01* -X123875800Y-137414000D01* -X123877264Y-137428866D01* -X123881600Y-137443160D01* -X123888642Y-137456334D01* -X123898118Y-137467882D01* -X123909666Y-137477358D01* -X123922840Y-137484400D01* -X123937134Y-137488736D01* -X123952000Y-137490200D01* -X124333000Y-137490200D01* -X124347866Y-137488736D01* -X124362160Y-137484400D01* -X124375334Y-137477358D01* -X124386882Y-137467882D01* -X124396358Y-137456334D01* -X124403400Y-137443160D01* -X124407736Y-137428866D01* -X124409200Y-137414000D01* -X124409200Y-137033000D01* -X124510800Y-137033000D01* -X124510800Y-137414000D01* -X124512264Y-137428866D01* -X124516600Y-137443160D01* -X124523642Y-137456334D01* -X124533118Y-137467882D01* -X124544666Y-137477358D01* -X124557840Y-137484400D01* -X124572134Y-137488736D01* -X124587000Y-137490200D01* -X124968000Y-137490200D01* -X124982866Y-137488736D01* -X124997160Y-137484400D01* -X125010334Y-137477358D01* -X125021882Y-137467882D01* -X125031358Y-137456334D01* -X125038400Y-137443160D01* -X125042736Y-137428866D01* -X125044200Y-137414000D01* -X125044200Y-137033000D01* -X126415800Y-137033000D01* -X126415800Y-137414000D01* -X126417264Y-137428866D01* -X126421600Y-137443160D01* -X126428642Y-137456334D01* -X126438118Y-137467882D01* -X126449666Y-137477358D01* -X126462840Y-137484400D01* -X126477134Y-137488736D01* -X126492000Y-137490200D01* -X126873000Y-137490200D01* -X126887866Y-137488736D01* -X126902160Y-137484400D01* -X126915334Y-137477358D01* -X126926882Y-137467882D01* -X126936358Y-137456334D01* -X126943400Y-137443160D01* -X126947736Y-137428866D01* -X126949200Y-137414000D01* -X126949200Y-137033000D01* -X127050800Y-137033000D01* -X127050800Y-137414000D01* -X127052264Y-137428866D01* -X127056600Y-137443160D01* -X127063642Y-137456334D01* -X127073118Y-137467882D01* -X127084666Y-137477358D01* -X127097840Y-137484400D01* -X127112134Y-137488736D01* -X127127000Y-137490200D01* -X127508000Y-137490200D01* -X127522866Y-137488736D01* -X127537160Y-137484400D01* -X127550334Y-137477358D01* -X127561882Y-137467882D01* -X127571358Y-137456334D01* -X127578400Y-137443160D01* -X127582736Y-137428866D01* -X127584200Y-137414000D01* -X127584200Y-137033000D01* -X128955800Y-137033000D01* -X128955800Y-137414000D01* -X128957264Y-137428866D01* -X128961600Y-137443160D01* -X128968642Y-137456334D01* -X128978118Y-137467882D01* -X128989666Y-137477358D01* -X129002840Y-137484400D01* -X129017134Y-137488736D01* -X129032000Y-137490200D01* -X129413000Y-137490200D01* -X129427866Y-137488736D01* -X129442160Y-137484400D01* -X129455334Y-137477358D01* -X129466882Y-137467882D01* -X129476358Y-137456334D01* -X129483400Y-137443160D01* -X129487736Y-137428866D01* -X129489200Y-137414000D01* -X129489200Y-137033000D01* -X129590800Y-137033000D01* -X129590800Y-137414000D01* -X129592264Y-137428866D01* -X129596600Y-137443160D01* -X129603642Y-137456334D01* -X129613118Y-137467882D01* -X129624666Y-137477358D01* -X129637840Y-137484400D01* -X129652134Y-137488736D01* -X129667000Y-137490200D01* -X130048000Y-137490200D01* -X130062866Y-137488736D01* -X130077160Y-137484400D01* -X130090334Y-137477358D01* -X130101882Y-137467882D01* -X130111358Y-137456334D01* -X130118400Y-137443160D01* -X130122736Y-137428866D01* -X130124200Y-137414000D01* -X130124200Y-137033000D01* -X131495800Y-137033000D01* -X131495800Y-137414000D01* -X131497264Y-137428866D01* -X131501600Y-137443160D01* -X131508642Y-137456334D01* -X131518118Y-137467882D01* -X131529666Y-137477358D01* -X131542840Y-137484400D01* -X131557134Y-137488736D01* -X131572000Y-137490200D01* -X131953000Y-137490200D01* -X131967866Y-137488736D01* -X131982160Y-137484400D01* -X131995334Y-137477358D01* -X132006882Y-137467882D01* -X132016358Y-137456334D01* -X132023400Y-137443160D01* -X132027736Y-137428866D01* -X132029200Y-137414000D01* -X132029200Y-137033000D01* -X132130800Y-137033000D01* -X132130800Y-137414000D01* -X132132264Y-137428866D01* -X132136600Y-137443160D01* -X132143642Y-137456334D01* -X132153118Y-137467882D01* -X132164666Y-137477358D01* -X132177840Y-137484400D01* -X132192134Y-137488736D01* -X132207000Y-137490200D01* -X132588000Y-137490200D01* -X132602866Y-137488736D01* -X132617160Y-137484400D01* -X132630334Y-137477358D01* -X132641882Y-137467882D01* -X132651358Y-137456334D01* -X132658400Y-137443160D01* -X132662736Y-137428866D01* -X132664200Y-137414000D01* -X132664200Y-137033000D01* -X134035800Y-137033000D01* -X134035800Y-137414000D01* -X134037264Y-137428866D01* -X134041600Y-137443160D01* -X134048642Y-137456334D01* -X134058118Y-137467882D01* -X134069666Y-137477358D01* -X134082840Y-137484400D01* -X134097134Y-137488736D01* -X134112000Y-137490200D01* -X134493000Y-137490200D01* -X134507866Y-137488736D01* -X134522160Y-137484400D01* -X134535334Y-137477358D01* -X134546882Y-137467882D01* -X134556358Y-137456334D01* -X134563400Y-137443160D01* -X134567736Y-137428866D01* -X134569200Y-137414000D01* -X134569200Y-137033000D01* -X134670800Y-137033000D01* -X134670800Y-137414000D01* -X134672264Y-137428866D01* -X134676600Y-137443160D01* -X134683642Y-137456334D01* -X134693118Y-137467882D01* -X134704666Y-137477358D01* -X134717840Y-137484400D01* -X134732134Y-137488736D01* -X134747000Y-137490200D01* -X135128000Y-137490200D01* -X135142866Y-137488736D01* -X135157160Y-137484400D01* -X135170334Y-137477358D01* -X135181882Y-137467882D01* -X135191358Y-137456334D01* -X135198400Y-137443160D01* -X135202736Y-137428866D01* -X135204200Y-137414000D01* -X135204200Y-137033000D01* -X135202736Y-137018134D01* -X135198400Y-137003840D01* -X135191358Y-136990666D01* -X135181882Y-136979118D01* -X135170334Y-136969642D01* -X135157160Y-136962600D01* -X135142866Y-136958264D01* -X135128000Y-136956800D01* -X134747000Y-136956800D01* -X134732134Y-136958264D01* -X134717840Y-136962600D01* -X134704666Y-136969642D01* -X134693118Y-136979118D01* -X134683642Y-136990666D01* -X134676600Y-137003840D01* -X134672264Y-137018134D01* -X134670800Y-137033000D01* -X134569200Y-137033000D01* -X134567736Y-137018134D01* -X134563400Y-137003840D01* -X134556358Y-136990666D01* -X134546882Y-136979118D01* -X134535334Y-136969642D01* -X134522160Y-136962600D01* -X134507866Y-136958264D01* -X134493000Y-136956800D01* -X134112000Y-136956800D01* -X134097134Y-136958264D01* -X134082840Y-136962600D01* -X134069666Y-136969642D01* -X134058118Y-136979118D01* -X134048642Y-136990666D01* -X134041600Y-137003840D01* -X134037264Y-137018134D01* -X134035800Y-137033000D01* -X132664200Y-137033000D01* -X132662736Y-137018134D01* -X132658400Y-137003840D01* -X132651358Y-136990666D01* -X132641882Y-136979118D01* -X132630334Y-136969642D01* -X132617160Y-136962600D01* -X132602866Y-136958264D01* -X132588000Y-136956800D01* -X132207000Y-136956800D01* -X132192134Y-136958264D01* -X132177840Y-136962600D01* -X132164666Y-136969642D01* -X132153118Y-136979118D01* -X132143642Y-136990666D01* -X132136600Y-137003840D01* -X132132264Y-137018134D01* -X132130800Y-137033000D01* -X132029200Y-137033000D01* -X132027736Y-137018134D01* -X132023400Y-137003840D01* -X132016358Y-136990666D01* -X132006882Y-136979118D01* -X131995334Y-136969642D01* -X131982160Y-136962600D01* -X131967866Y-136958264D01* -X131953000Y-136956800D01* -X131572000Y-136956800D01* -X131557134Y-136958264D01* -X131542840Y-136962600D01* -X131529666Y-136969642D01* -X131518118Y-136979118D01* -X131508642Y-136990666D01* -X131501600Y-137003840D01* -X131497264Y-137018134D01* -X131495800Y-137033000D01* -X130124200Y-137033000D01* -X130122736Y-137018134D01* -X130118400Y-137003840D01* -X130111358Y-136990666D01* -X130101882Y-136979118D01* -X130090334Y-136969642D01* -X130077160Y-136962600D01* -X130062866Y-136958264D01* -X130048000Y-136956800D01* -X129667000Y-136956800D01* -X129652134Y-136958264D01* -X129637840Y-136962600D01* -X129624666Y-136969642D01* -X129613118Y-136979118D01* -X129603642Y-136990666D01* -X129596600Y-137003840D01* -X129592264Y-137018134D01* -X129590800Y-137033000D01* -X129489200Y-137033000D01* -X129487736Y-137018134D01* -X129483400Y-137003840D01* -X129476358Y-136990666D01* -X129466882Y-136979118D01* -X129455334Y-136969642D01* -X129442160Y-136962600D01* -X129427866Y-136958264D01* -X129413000Y-136956800D01* -X129032000Y-136956800D01* -X129017134Y-136958264D01* -X129002840Y-136962600D01* -X128989666Y-136969642D01* -X128978118Y-136979118D01* -X128968642Y-136990666D01* -X128961600Y-137003840D01* -X128957264Y-137018134D01* -X128955800Y-137033000D01* -X127584200Y-137033000D01* -X127582736Y-137018134D01* -X127578400Y-137003840D01* -X127571358Y-136990666D01* -X127561882Y-136979118D01* -X127550334Y-136969642D01* -X127537160Y-136962600D01* -X127522866Y-136958264D01* -X127508000Y-136956800D01* -X127127000Y-136956800D01* -X127112134Y-136958264D01* -X127097840Y-136962600D01* -X127084666Y-136969642D01* -X127073118Y-136979118D01* -X127063642Y-136990666D01* -X127056600Y-137003840D01* -X127052264Y-137018134D01* -X127050800Y-137033000D01* -X126949200Y-137033000D01* -X126947736Y-137018134D01* -X126943400Y-137003840D01* -X126936358Y-136990666D01* -X126926882Y-136979118D01* -X126915334Y-136969642D01* -X126902160Y-136962600D01* -X126887866Y-136958264D01* -X126873000Y-136956800D01* -X126492000Y-136956800D01* -X126477134Y-136958264D01* -X126462840Y-136962600D01* -X126449666Y-136969642D01* -X126438118Y-136979118D01* -X126428642Y-136990666D01* -X126421600Y-137003840D01* -X126417264Y-137018134D01* -X126415800Y-137033000D01* -X125044200Y-137033000D01* -X125042736Y-137018134D01* -X125038400Y-137003840D01* -X125031358Y-136990666D01* -X125021882Y-136979118D01* -X125010334Y-136969642D01* -X124997160Y-136962600D01* -X124982866Y-136958264D01* -X124968000Y-136956800D01* -X124587000Y-136956800D01* -X124572134Y-136958264D01* -X124557840Y-136962600D01* -X124544666Y-136969642D01* -X124533118Y-136979118D01* -X124523642Y-136990666D01* -X124516600Y-137003840D01* -X124512264Y-137018134D01* -X124510800Y-137033000D01* -X124409200Y-137033000D01* -X124407736Y-137018134D01* -X124403400Y-137003840D01* -X124396358Y-136990666D01* -X124386882Y-136979118D01* -X124375334Y-136969642D01* -X124362160Y-136962600D01* -X124347866Y-136958264D01* -X124333000Y-136956800D01* -X123952000Y-136956800D01* -X123937134Y-136958264D01* -X123922840Y-136962600D01* -X123909666Y-136969642D01* -X123898118Y-136979118D01* -X123888642Y-136990666D01* -X123881600Y-137003840D01* -X123877264Y-137018134D01* -X123875800Y-137033000D01* -X122504200Y-137033000D01* -X122502736Y-137018134D01* -X122498400Y-137003840D01* -X122491358Y-136990666D01* -X122481882Y-136979118D01* -X122470334Y-136969642D01* -X122457160Y-136962600D01* -X122442866Y-136958264D01* -X122428000Y-136956800D01* -X122047000Y-136956800D01* -X122032134Y-136958264D01* -X122017840Y-136962600D01* -X122004666Y-136969642D01* -X121993118Y-136979118D01* -X121983642Y-136990666D01* -X121976600Y-137003840D01* -X121972264Y-137018134D01* -X121970800Y-137033000D01* -X121869200Y-137033000D01* -X121867736Y-137018134D01* -X121863400Y-137003840D01* -X121856358Y-136990666D01* -X121846882Y-136979118D01* -X121835334Y-136969642D01* -X121822160Y-136962600D01* -X121807866Y-136958264D01* -X121793000Y-136956800D01* -X121412000Y-136956800D01* -X121397134Y-136958264D01* -X121382840Y-136962600D01* -X121369666Y-136969642D01* -X121358118Y-136979118D01* -X121348642Y-136990666D01* -X121341600Y-137003840D01* -X121337264Y-137018134D01* -X121335800Y-137033000D01* -X114884200Y-137033000D01* -X114882736Y-137018134D01* -X114878400Y-137003840D01* -X114871358Y-136990666D01* -X114861882Y-136979118D01* -X114850334Y-136969642D01* -X114837160Y-136962600D01* -X114822866Y-136958264D01* -X114808000Y-136956800D01* -X114427000Y-136956800D01* -X114412134Y-136958264D01* -X114397840Y-136962600D01* -X114384666Y-136969642D01* -X114373118Y-136979118D01* -X114363642Y-136990666D01* -X114356600Y-137003840D01* -X114352264Y-137018134D01* -X114350800Y-137033000D01* -X114249200Y-137033000D01* -X114247736Y-137018134D01* -X114243400Y-137003840D01* -X114236358Y-136990666D01* -X114226882Y-136979118D01* -X114215334Y-136969642D01* -X114202160Y-136962600D01* -X114187866Y-136958264D01* -X114173000Y-136956800D01* -X113792000Y-136956800D01* -X113777134Y-136958264D01* -X113762840Y-136962600D01* -X113749666Y-136969642D01* -X113738118Y-136979118D01* -X113728642Y-136990666D01* -X113721600Y-137003840D01* -X113717264Y-137018134D01* -X113715800Y-137033000D01* -X112344200Y-137033000D01* -X112342736Y-137018134D01* -X112338400Y-137003840D01* -X112331358Y-136990666D01* -X112321882Y-136979118D01* -X112310334Y-136969642D01* -X112297160Y-136962600D01* -X112282866Y-136958264D01* -X112268000Y-136956800D01* -X111887000Y-136956800D01* -X111872134Y-136958264D01* -X111857840Y-136962600D01* -X111844666Y-136969642D01* -X111833118Y-136979118D01* -X111823642Y-136990666D01* -X111816600Y-137003840D01* -X111812264Y-137018134D01* -X111810800Y-137033000D01* -X111709200Y-137033000D01* -X111707736Y-137018134D01* -X111703400Y-137003840D01* -X111696358Y-136990666D01* -X111686882Y-136979118D01* -X111675334Y-136969642D01* -X111662160Y-136962600D01* -X111647866Y-136958264D01* -X111633000Y-136956800D01* -X111252000Y-136956800D01* -X111237134Y-136958264D01* -X111222840Y-136962600D01* -X111209666Y-136969642D01* -X111198118Y-136979118D01* -X111188642Y-136990666D01* -X111181600Y-137003840D01* -X111177264Y-137018134D01* -X111175800Y-137033000D01* -X109804200Y-137033000D01* -X109802736Y-137018134D01* -X109798400Y-137003840D01* -X109791358Y-136990666D01* -X109781882Y-136979118D01* -X109770334Y-136969642D01* -X109757160Y-136962600D01* -X109742866Y-136958264D01* -X109728000Y-136956800D01* -X109347000Y-136956800D01* -X109332134Y-136958264D01* -X109317840Y-136962600D01* -X109304666Y-136969642D01* -X109293118Y-136979118D01* -X109283642Y-136990666D01* -X109276600Y-137003840D01* -X109272264Y-137018134D01* -X109270800Y-137033000D01* -X109169200Y-137033000D01* -X109167736Y-137018134D01* -X109163400Y-137003840D01* -X109156358Y-136990666D01* -X109146882Y-136979118D01* -X109135334Y-136969642D01* -X109122160Y-136962600D01* -X109107866Y-136958264D01* -X109093000Y-136956800D01* -X108712000Y-136956800D01* -X108697134Y-136958264D01* -X108682840Y-136962600D01* -X108669666Y-136969642D01* -X108658118Y-136979118D01* -X108648642Y-136990666D01* -X108641600Y-137003840D01* -X108637264Y-137018134D01* -X108635800Y-137033000D01* -X107264200Y-137033000D01* -X107262736Y-137018134D01* -X107258400Y-137003840D01* -X107251358Y-136990666D01* -X107241882Y-136979118D01* -X107230334Y-136969642D01* -X107217160Y-136962600D01* -X107202866Y-136958264D01* -X107188000Y-136956800D01* -X106807000Y-136956800D01* -X106792134Y-136958264D01* -X106777840Y-136962600D01* -X106764666Y-136969642D01* -X106753118Y-136979118D01* -X106743642Y-136990666D01* -X106736600Y-137003840D01* -X106732264Y-137018134D01* -X106730800Y-137033000D01* -X106629200Y-137033000D01* -X106627736Y-137018134D01* -X106623400Y-137003840D01* -X106616358Y-136990666D01* -X106606882Y-136979118D01* -X106595334Y-136969642D01* -X106582160Y-136962600D01* -X106567866Y-136958264D01* -X106553000Y-136956800D01* -X106172000Y-136956800D01* -X106157134Y-136958264D01* -X106142840Y-136962600D01* -X106129666Y-136969642D01* -X106118118Y-136979118D01* -X106108642Y-136990666D01* -X106101600Y-137003840D01* -X106097264Y-137018134D01* -X106095800Y-137033000D01* -X104724200Y-137033000D01* -X104722736Y-137018134D01* -X104718400Y-137003840D01* -X104711358Y-136990666D01* -X104701882Y-136979118D01* -X104690334Y-136969642D01* -X104677160Y-136962600D01* -X104662866Y-136958264D01* -X104648000Y-136956800D01* -X104267000Y-136956800D01* -X104252134Y-136958264D01* -X104237840Y-136962600D01* -X104224666Y-136969642D01* -X104213118Y-136979118D01* -X104203642Y-136990666D01* -X104196600Y-137003840D01* -X104192264Y-137018134D01* -X104190800Y-137033000D01* -X104089200Y-137033000D01* -X104087736Y-137018134D01* -X104083400Y-137003840D01* -X104076358Y-136990666D01* -X104066882Y-136979118D01* -X104055334Y-136969642D01* -X104042160Y-136962600D01* -X104027866Y-136958264D01* -X104013000Y-136956800D01* -X103632000Y-136956800D01* -X103617134Y-136958264D01* -X103602840Y-136962600D01* -X103589666Y-136969642D01* -X103578118Y-136979118D01* -X103568642Y-136990666D01* -X103561600Y-137003840D01* -X103557264Y-137018134D01* -X103555800Y-137033000D01* -X102184200Y-137033000D01* -X102182736Y-137018134D01* -X102178400Y-137003840D01* -X102171358Y-136990666D01* -X102161882Y-136979118D01* -X102150334Y-136969642D01* -X102137160Y-136962600D01* -X102122866Y-136958264D01* -X102108000Y-136956800D01* -X101727000Y-136956800D01* -X101712134Y-136958264D01* -X101697840Y-136962600D01* -X101684666Y-136969642D01* -X101673118Y-136979118D01* -X101663642Y-136990666D01* -X101656600Y-137003840D01* -X101652264Y-137018134D01* -X101650800Y-137033000D01* -X101549200Y-137033000D01* -X101547736Y-137018134D01* -X101543400Y-137003840D01* -X101536358Y-136990666D01* -X101526882Y-136979118D01* -X101515334Y-136969642D01* -X101502160Y-136962600D01* -X101487866Y-136958264D01* -X101473000Y-136956800D01* -X101092000Y-136956800D01* -X101077134Y-136958264D01* -X101062840Y-136962600D01* -X101049666Y-136969642D01* -X101038118Y-136979118D01* -X101028642Y-136990666D01* -X101021600Y-137003840D01* -X101017264Y-137018134D01* -X101015800Y-137033000D01* -X99644200Y-137033000D01* -X99642736Y-137018134D01* -X99638400Y-137003840D01* -X99631358Y-136990666D01* -X99621882Y-136979118D01* -X99610334Y-136969642D01* -X99597160Y-136962600D01* -X99582866Y-136958264D01* -X99568000Y-136956800D01* -X99187000Y-136956800D01* -X99172134Y-136958264D01* -X99157840Y-136962600D01* -X99144666Y-136969642D01* -X99133118Y-136979118D01* -X99123642Y-136990666D01* -X99116600Y-137003840D01* -X99112264Y-137018134D01* -X99110800Y-137033000D01* -X99009200Y-137033000D01* -X99007736Y-137018134D01* -X99003400Y-137003840D01* -X98996358Y-136990666D01* -X98986882Y-136979118D01* -X98975334Y-136969642D01* -X98962160Y-136962600D01* -X98947866Y-136958264D01* -X98933000Y-136956800D01* -X98552000Y-136956800D01* -X98537134Y-136958264D01* -X98522840Y-136962600D01* -X98509666Y-136969642D01* -X98498118Y-136979118D01* -X98488642Y-136990666D01* -X98481600Y-137003840D01* -X98477264Y-137018134D01* -X98475800Y-137033000D01* -X97104200Y-137033000D01* -X97102736Y-137018134D01* -X97098400Y-137003840D01* -X97091358Y-136990666D01* -X97081882Y-136979118D01* -X97070334Y-136969642D01* -X97057160Y-136962600D01* -X97042866Y-136958264D01* -X97028000Y-136956800D01* -X96647000Y-136956800D01* -X96632134Y-136958264D01* -X96617840Y-136962600D01* -X96604666Y-136969642D01* -X96593118Y-136979118D01* -X96583642Y-136990666D01* -X96576600Y-137003840D01* -X96572264Y-137018134D01* -X96570800Y-137033000D01* -X96469200Y-137033000D01* -X96467736Y-137018134D01* -X96463400Y-137003840D01* -X96456358Y-136990666D01* -X96446882Y-136979118D01* -X96435334Y-136969642D01* -X96422160Y-136962600D01* -X96407866Y-136958264D01* -X96393000Y-136956800D01* -X96012000Y-136956800D01* -X95997134Y-136958264D01* -X95982840Y-136962600D01* -X95969666Y-136969642D01* -X95958118Y-136979118D01* -X95948642Y-136990666D01* -X95941600Y-137003840D01* -X95937264Y-137018134D01* -X95935800Y-137033000D01* -X94564200Y-137033000D01* -X94562736Y-137018134D01* -X94558400Y-137003840D01* -X94551358Y-136990666D01* -X94541882Y-136979118D01* -X94530334Y-136969642D01* -X94517160Y-136962600D01* -X94502866Y-136958264D01* -X94488000Y-136956800D01* -X94107000Y-136956800D01* -X94092134Y-136958264D01* -X94077840Y-136962600D01* -X94064666Y-136969642D01* -X94053118Y-136979118D01* -X94043642Y-136990666D01* -X94036600Y-137003840D01* -X94032264Y-137018134D01* -X94030800Y-137033000D01* -X93929200Y-137033000D01* -X93927736Y-137018134D01* -X93923400Y-137003840D01* -X93916358Y-136990666D01* -X93906882Y-136979118D01* -X93895334Y-136969642D01* -X93882160Y-136962600D01* -X93867866Y-136958264D01* -X93853000Y-136956800D01* -X93472000Y-136956800D01* -X93457134Y-136958264D01* -X93442840Y-136962600D01* -X93429666Y-136969642D01* -X93418118Y-136979118D01* -X93408642Y-136990666D01* -X93401600Y-137003840D01* -X93397264Y-137018134D01* -X93395800Y-137033000D01* -X92024200Y-137033000D01* -X92022736Y-137018134D01* -X92018400Y-137003840D01* -X92011358Y-136990666D01* -X92001882Y-136979118D01* -X91990334Y-136969642D01* -X91977160Y-136962600D01* -X91962866Y-136958264D01* -X91948000Y-136956800D01* -X91567000Y-136956800D01* -X91552134Y-136958264D01* -X91537840Y-136962600D01* -X91524666Y-136969642D01* -X91513118Y-136979118D01* -X91503642Y-136990666D01* -X91496600Y-137003840D01* -X91492264Y-137018134D01* -X91490800Y-137033000D01* -X91389200Y-137033000D01* -X91387736Y-137018134D01* -X91383400Y-137003840D01* -X91376358Y-136990666D01* -X91366882Y-136979118D01* -X91355334Y-136969642D01* -X91342160Y-136962600D01* -X91327866Y-136958264D01* -X91313000Y-136956800D01* -X90932000Y-136956800D01* -X90917134Y-136958264D01* -X90902840Y-136962600D01* -X90889666Y-136969642D01* -X90878118Y-136979118D01* -X90868642Y-136990666D01* -X90861600Y-137003840D01* -X90857264Y-137018134D01* -X90855800Y-137033000D01* -X89484200Y-137033000D01* -X89482736Y-137018134D01* -X89478400Y-137003840D01* -X89471358Y-136990666D01* -X89461882Y-136979118D01* -X89450334Y-136969642D01* -X89437160Y-136962600D01* -X89422866Y-136958264D01* -X89408000Y-136956800D01* -X89027000Y-136956800D01* -X89012134Y-136958264D01* -X88997840Y-136962600D01* -X88984666Y-136969642D01* -X88973118Y-136979118D01* -X88963642Y-136990666D01* -X88956600Y-137003840D01* -X88952264Y-137018134D01* -X88950800Y-137033000D01* -X88849200Y-137033000D01* -X88847736Y-137018134D01* -X88843400Y-137003840D01* -X88836358Y-136990666D01* -X88826882Y-136979118D01* -X88815334Y-136969642D01* -X88802160Y-136962600D01* -X88787866Y-136958264D01* -X88773000Y-136956800D01* -X88392000Y-136956800D01* -X88377134Y-136958264D01* -X88362840Y-136962600D01* -X88349666Y-136969642D01* -X88338118Y-136979118D01* -X88328642Y-136990666D01* -X88321600Y-137003840D01* -X88317264Y-137018134D01* -X88315800Y-137033000D01* -X86944200Y-137033000D01* -X86942736Y-137018134D01* -X86938400Y-137003840D01* -X86931358Y-136990666D01* -X86921882Y-136979118D01* -X86910334Y-136969642D01* -X86897160Y-136962600D01* -X86882866Y-136958264D01* -X86868000Y-136956800D01* -X86487000Y-136956800D01* -X86472134Y-136958264D01* -X86457840Y-136962600D01* -X86444666Y-136969642D01* -X86433118Y-136979118D01* -X86423642Y-136990666D01* -X86416600Y-137003840D01* -X86412264Y-137018134D01* -X86410800Y-137033000D01* -X86309200Y-137033000D01* -X86307736Y-137018134D01* -X86303400Y-137003840D01* -X86296358Y-136990666D01* -X86286882Y-136979118D01* -X86275334Y-136969642D01* -X86262160Y-136962600D01* -X86247866Y-136958264D01* -X86233000Y-136956800D01* -X85852000Y-136956800D01* -X85837134Y-136958264D01* -X85822840Y-136962600D01* -X85809666Y-136969642D01* -X85798118Y-136979118D01* -X85788642Y-136990666D01* -X85781600Y-137003840D01* -X85777264Y-137018134D01* -X85775800Y-137033000D01* -X84404200Y-137033000D01* -X84402736Y-137018134D01* -X84398400Y-137003840D01* -X84391358Y-136990666D01* -X84381882Y-136979118D01* -X84370334Y-136969642D01* -X84357160Y-136962600D01* -X84342866Y-136958264D01* -X84328000Y-136956800D01* -X83947000Y-136956800D01* -X83932134Y-136958264D01* -X83917840Y-136962600D01* -X83904666Y-136969642D01* -X83893118Y-136979118D01* -X83883642Y-136990666D01* -X83876600Y-137003840D01* -X83872264Y-137018134D01* -X83870800Y-137033000D01* -X83769200Y-137033000D01* -X83767736Y-137018134D01* -X83763400Y-137003840D01* -X83756358Y-136990666D01* -X83746882Y-136979118D01* -X83735334Y-136969642D01* -X83722160Y-136962600D01* -X83707866Y-136958264D01* -X83693000Y-136956800D01* -X83312000Y-136956800D01* -X83297134Y-136958264D01* -X83282840Y-136962600D01* -X83269666Y-136969642D01* -X83258118Y-136979118D01* -X83248642Y-136990666D01* -X83241600Y-137003840D01* -X83237264Y-137018134D01* -X83235800Y-137033000D01* -X81864200Y-137033000D01* -X81862736Y-137018134D01* -X81858400Y-137003840D01* -X81851358Y-136990666D01* -X81841882Y-136979118D01* -X81830334Y-136969642D01* -X81817160Y-136962600D01* -X81802866Y-136958264D01* -X81788000Y-136956800D01* -X81407000Y-136956800D01* -X81392134Y-136958264D01* -X81377840Y-136962600D01* -X81364666Y-136969642D01* -X81353118Y-136979118D01* -X81343642Y-136990666D01* -X81336600Y-137003840D01* -X81332264Y-137018134D01* -X81330800Y-137033000D01* -X81229200Y-137033000D01* -X81227736Y-137018134D01* -X81223400Y-137003840D01* -X81216358Y-136990666D01* -X81206882Y-136979118D01* -X81195334Y-136969642D01* -X81182160Y-136962600D01* -X81167866Y-136958264D01* -X81153000Y-136956800D01* -X80772000Y-136956800D01* -X80757134Y-136958264D01* -X80742840Y-136962600D01* -X80729666Y-136969642D01* -X80718118Y-136979118D01* -X80708642Y-136990666D01* -X80701600Y-137003840D01* -X80697264Y-137018134D01* -X80695800Y-137033000D01* -X79324200Y-137033000D01* -X79322736Y-137018134D01* -X79318400Y-137003840D01* -X79311358Y-136990666D01* -X79301882Y-136979118D01* -X79290334Y-136969642D01* -X79277160Y-136962600D01* -X79262866Y-136958264D01* -X79248000Y-136956800D01* -X78867000Y-136956800D01* -X78852134Y-136958264D01* -X78837840Y-136962600D01* -X78824666Y-136969642D01* -X78813118Y-136979118D01* -X78803642Y-136990666D01* -X78796600Y-137003840D01* -X78792264Y-137018134D01* -X78790800Y-137033000D01* -X78689200Y-137033000D01* -X78687736Y-137018134D01* -X78683400Y-137003840D01* -X78676358Y-136990666D01* -X78666882Y-136979118D01* -X78655334Y-136969642D01* -X78642160Y-136962600D01* -X78627866Y-136958264D01* -X78613000Y-136956800D01* -X78232000Y-136956800D01* -X78217134Y-136958264D01* -X78202840Y-136962600D01* -X78189666Y-136969642D01* -X78178118Y-136979118D01* -X78168642Y-136990666D01* -X78161600Y-137003840D01* -X78157264Y-137018134D01* -X78155800Y-137033000D01* -X74498200Y-137033000D01* -X74498200Y-136398000D01* -X78155800Y-136398000D01* -X78155800Y-136779000D01* -X78157264Y-136793866D01* -X78161600Y-136808160D01* -X78168642Y-136821334D01* -X78178118Y-136832882D01* -X78189666Y-136842358D01* -X78202840Y-136849400D01* -X78217134Y-136853736D01* -X78232000Y-136855200D01* -X78613000Y-136855200D01* -X78627866Y-136853736D01* -X78642160Y-136849400D01* -X78655334Y-136842358D01* -X78666882Y-136832882D01* -X78676358Y-136821334D01* -X78683400Y-136808160D01* -X78687736Y-136793866D01* -X78689200Y-136779000D01* -X78689200Y-136398000D01* -X78790800Y-136398000D01* -X78790800Y-136779000D01* -X78792264Y-136793866D01* -X78796600Y-136808160D01* -X78803642Y-136821334D01* -X78813118Y-136832882D01* -X78824666Y-136842358D01* -X78837840Y-136849400D01* -X78852134Y-136853736D01* -X78867000Y-136855200D01* -X79248000Y-136855200D01* -X79262866Y-136853736D01* -X79277160Y-136849400D01* -X79290334Y-136842358D01* -X79301882Y-136832882D01* -X79311358Y-136821334D01* -X79318400Y-136808160D01* -X79322736Y-136793866D01* -X79324200Y-136779000D01* -X79324200Y-136398000D01* -X80695800Y-136398000D01* -X80695800Y-136779000D01* -X80697264Y-136793866D01* -X80701600Y-136808160D01* -X80708642Y-136821334D01* -X80718118Y-136832882D01* -X80729666Y-136842358D01* -X80742840Y-136849400D01* -X80757134Y-136853736D01* -X80772000Y-136855200D01* -X81153000Y-136855200D01* -X81167866Y-136853736D01* -X81182160Y-136849400D01* -X81195334Y-136842358D01* -X81206882Y-136832882D01* -X81216358Y-136821334D01* -X81223400Y-136808160D01* -X81227736Y-136793866D01* -X81229200Y-136779000D01* -X81229200Y-136398000D01* -X81330800Y-136398000D01* -X81330800Y-136779000D01* -X81332264Y-136793866D01* -X81336600Y-136808160D01* -X81343642Y-136821334D01* -X81353118Y-136832882D01* -X81364666Y-136842358D01* -X81377840Y-136849400D01* -X81392134Y-136853736D01* -X81407000Y-136855200D01* -X81788000Y-136855200D01* -X81802866Y-136853736D01* -X81817160Y-136849400D01* -X81830334Y-136842358D01* -X81841882Y-136832882D01* -X81851358Y-136821334D01* -X81858400Y-136808160D01* -X81862736Y-136793866D01* -X81864200Y-136779000D01* -X81864200Y-136398000D01* -X83235800Y-136398000D01* -X83235800Y-136779000D01* -X83237264Y-136793866D01* -X83241600Y-136808160D01* -X83248642Y-136821334D01* -X83258118Y-136832882D01* -X83269666Y-136842358D01* -X83282840Y-136849400D01* -X83297134Y-136853736D01* -X83312000Y-136855200D01* -X83693000Y-136855200D01* -X83707866Y-136853736D01* -X83722160Y-136849400D01* -X83735334Y-136842358D01* -X83746882Y-136832882D01* -X83756358Y-136821334D01* -X83763400Y-136808160D01* -X83767736Y-136793866D01* -X83769200Y-136779000D01* -X83769200Y-136398000D01* -X83870800Y-136398000D01* -X83870800Y-136779000D01* -X83872264Y-136793866D01* -X83876600Y-136808160D01* -X83883642Y-136821334D01* -X83893118Y-136832882D01* -X83904666Y-136842358D01* -X83917840Y-136849400D01* -X83932134Y-136853736D01* -X83947000Y-136855200D01* -X84328000Y-136855200D01* -X84342866Y-136853736D01* -X84357160Y-136849400D01* -X84370334Y-136842358D01* -X84381882Y-136832882D01* -X84391358Y-136821334D01* -X84398400Y-136808160D01* -X84402736Y-136793866D01* -X84404200Y-136779000D01* -X84404200Y-136398000D01* -X85775800Y-136398000D01* -X85775800Y-136779000D01* -X85777264Y-136793866D01* -X85781600Y-136808160D01* -X85788642Y-136821334D01* -X85798118Y-136832882D01* -X85809666Y-136842358D01* -X85822840Y-136849400D01* -X85837134Y-136853736D01* -X85852000Y-136855200D01* -X86233000Y-136855200D01* -X86247866Y-136853736D01* -X86262160Y-136849400D01* -X86275334Y-136842358D01* -X86286882Y-136832882D01* -X86296358Y-136821334D01* -X86303400Y-136808160D01* -X86307736Y-136793866D01* -X86309200Y-136779000D01* -X86309200Y-136398000D01* -X86410800Y-136398000D01* -X86410800Y-136779000D01* -X86412264Y-136793866D01* -X86416600Y-136808160D01* -X86423642Y-136821334D01* -X86433118Y-136832882D01* -X86444666Y-136842358D01* -X86457840Y-136849400D01* -X86472134Y-136853736D01* -X86487000Y-136855200D01* -X86868000Y-136855200D01* -X86882866Y-136853736D01* -X86897160Y-136849400D01* -X86910334Y-136842358D01* -X86921882Y-136832882D01* -X86931358Y-136821334D01* -X86938400Y-136808160D01* -X86942736Y-136793866D01* -X86944200Y-136779000D01* -X86944200Y-136398000D01* -X88315800Y-136398000D01* -X88315800Y-136779000D01* -X88317264Y-136793866D01* -X88321600Y-136808160D01* -X88328642Y-136821334D01* -X88338118Y-136832882D01* -X88349666Y-136842358D01* -X88362840Y-136849400D01* -X88377134Y-136853736D01* -X88392000Y-136855200D01* -X88773000Y-136855200D01* -X88787866Y-136853736D01* -X88802160Y-136849400D01* -X88815334Y-136842358D01* -X88826882Y-136832882D01* -X88836358Y-136821334D01* -X88843400Y-136808160D01* -X88847736Y-136793866D01* -X88849200Y-136779000D01* -X88849200Y-136398000D01* -X88950800Y-136398000D01* -X88950800Y-136779000D01* -X88952264Y-136793866D01* -X88956600Y-136808160D01* -X88963642Y-136821334D01* -X88973118Y-136832882D01* -X88984666Y-136842358D01* -X88997840Y-136849400D01* -X89012134Y-136853736D01* -X89027000Y-136855200D01* -X89408000Y-136855200D01* -X89422866Y-136853736D01* -X89437160Y-136849400D01* -X89450334Y-136842358D01* -X89461882Y-136832882D01* -X89471358Y-136821334D01* -X89478400Y-136808160D01* -X89482736Y-136793866D01* -X89484200Y-136779000D01* -X89484200Y-136398000D01* -X90855800Y-136398000D01* -X90855800Y-136779000D01* -X90857264Y-136793866D01* -X90861600Y-136808160D01* -X90868642Y-136821334D01* -X90878118Y-136832882D01* -X90889666Y-136842358D01* -X90902840Y-136849400D01* -X90917134Y-136853736D01* -X90932000Y-136855200D01* -X91313000Y-136855200D01* -X91327866Y-136853736D01* -X91342160Y-136849400D01* -X91355334Y-136842358D01* -X91366882Y-136832882D01* -X91376358Y-136821334D01* -X91383400Y-136808160D01* -X91387736Y-136793866D01* -X91389200Y-136779000D01* -X91389200Y-136398000D01* -X91490800Y-136398000D01* -X91490800Y-136779000D01* -X91492264Y-136793866D01* -X91496600Y-136808160D01* -X91503642Y-136821334D01* -X91513118Y-136832882D01* -X91524666Y-136842358D01* -X91537840Y-136849400D01* -X91552134Y-136853736D01* -X91567000Y-136855200D01* -X91948000Y-136855200D01* -X91962866Y-136853736D01* -X91977160Y-136849400D01* -X91990334Y-136842358D01* -X92001882Y-136832882D01* -X92011358Y-136821334D01* -X92018400Y-136808160D01* -X92022736Y-136793866D01* -X92024200Y-136779000D01* -X92024200Y-136398000D01* -X93395800Y-136398000D01* -X93395800Y-136779000D01* -X93397264Y-136793866D01* -X93401600Y-136808160D01* -X93408642Y-136821334D01* -X93418118Y-136832882D01* -X93429666Y-136842358D01* -X93442840Y-136849400D01* -X93457134Y-136853736D01* -X93472000Y-136855200D01* -X93853000Y-136855200D01* -X93867866Y-136853736D01* -X93882160Y-136849400D01* -X93895334Y-136842358D01* -X93906882Y-136832882D01* -X93916358Y-136821334D01* -X93923400Y-136808160D01* -X93927736Y-136793866D01* -X93929200Y-136779000D01* -X93929200Y-136398000D01* -X94030800Y-136398000D01* -X94030800Y-136779000D01* -X94032264Y-136793866D01* -X94036600Y-136808160D01* -X94043642Y-136821334D01* -X94053118Y-136832882D01* -X94064666Y-136842358D01* -X94077840Y-136849400D01* -X94092134Y-136853736D01* -X94107000Y-136855200D01* -X94488000Y-136855200D01* -X94502866Y-136853736D01* -X94517160Y-136849400D01* -X94530334Y-136842358D01* -X94541882Y-136832882D01* -X94551358Y-136821334D01* -X94558400Y-136808160D01* -X94562736Y-136793866D01* -X94564200Y-136779000D01* -X94564200Y-136398000D01* -X95935800Y-136398000D01* -X95935800Y-136779000D01* -X95937264Y-136793866D01* -X95941600Y-136808160D01* -X95948642Y-136821334D01* -X95958118Y-136832882D01* -X95969666Y-136842358D01* -X95982840Y-136849400D01* -X95997134Y-136853736D01* -X96012000Y-136855200D01* -X96393000Y-136855200D01* -X96407866Y-136853736D01* -X96422160Y-136849400D01* -X96435334Y-136842358D01* -X96446882Y-136832882D01* -X96456358Y-136821334D01* -X96463400Y-136808160D01* -X96467736Y-136793866D01* -X96469200Y-136779000D01* -X96469200Y-136398000D01* -X96570800Y-136398000D01* -X96570800Y-136779000D01* -X96572264Y-136793866D01* -X96576600Y-136808160D01* -X96583642Y-136821334D01* -X96593118Y-136832882D01* -X96604666Y-136842358D01* -X96617840Y-136849400D01* -X96632134Y-136853736D01* -X96647000Y-136855200D01* -X97028000Y-136855200D01* -X97042866Y-136853736D01* -X97057160Y-136849400D01* -X97070334Y-136842358D01* -X97081882Y-136832882D01* -X97091358Y-136821334D01* -X97098400Y-136808160D01* -X97102736Y-136793866D01* -X97104200Y-136779000D01* -X97104200Y-136398000D01* -X98475800Y-136398000D01* -X98475800Y-136779000D01* -X98477264Y-136793866D01* -X98481600Y-136808160D01* -X98488642Y-136821334D01* -X98498118Y-136832882D01* -X98509666Y-136842358D01* -X98522840Y-136849400D01* -X98537134Y-136853736D01* -X98552000Y-136855200D01* -X98933000Y-136855200D01* -X98947866Y-136853736D01* -X98962160Y-136849400D01* -X98975334Y-136842358D01* -X98986882Y-136832882D01* -X98996358Y-136821334D01* -X99003400Y-136808160D01* -X99007736Y-136793866D01* -X99009200Y-136779000D01* -X99009200Y-136398000D01* -X99110800Y-136398000D01* -X99110800Y-136779000D01* -X99112264Y-136793866D01* -X99116600Y-136808160D01* -X99123642Y-136821334D01* -X99133118Y-136832882D01* -X99144666Y-136842358D01* -X99157840Y-136849400D01* -X99172134Y-136853736D01* -X99187000Y-136855200D01* -X99568000Y-136855200D01* -X99582866Y-136853736D01* -X99597160Y-136849400D01* -X99610334Y-136842358D01* -X99621882Y-136832882D01* -X99631358Y-136821334D01* -X99638400Y-136808160D01* -X99642736Y-136793866D01* -X99644200Y-136779000D01* -X99644200Y-136398000D01* -X101015800Y-136398000D01* -X101015800Y-136779000D01* -X101017264Y-136793866D01* -X101021600Y-136808160D01* -X101028642Y-136821334D01* -X101038118Y-136832882D01* -X101049666Y-136842358D01* -X101062840Y-136849400D01* -X101077134Y-136853736D01* -X101092000Y-136855200D01* -X101473000Y-136855200D01* -X101487866Y-136853736D01* -X101502160Y-136849400D01* -X101515334Y-136842358D01* -X101526882Y-136832882D01* -X101536358Y-136821334D01* -X101543400Y-136808160D01* -X101547736Y-136793866D01* -X101549200Y-136779000D01* -X101549200Y-136398000D01* -X101650800Y-136398000D01* -X101650800Y-136779000D01* -X101652264Y-136793866D01* -X101656600Y-136808160D01* -X101663642Y-136821334D01* -X101673118Y-136832882D01* -X101684666Y-136842358D01* -X101697840Y-136849400D01* -X101712134Y-136853736D01* -X101727000Y-136855200D01* -X102108000Y-136855200D01* -X102122866Y-136853736D01* -X102137160Y-136849400D01* -X102150334Y-136842358D01* -X102161882Y-136832882D01* -X102171358Y-136821334D01* -X102178400Y-136808160D01* -X102182736Y-136793866D01* -X102184200Y-136779000D01* -X102184200Y-136398000D01* -X103555800Y-136398000D01* -X103555800Y-136779000D01* -X103557264Y-136793866D01* -X103561600Y-136808160D01* -X103568642Y-136821334D01* -X103578118Y-136832882D01* -X103589666Y-136842358D01* -X103602840Y-136849400D01* -X103617134Y-136853736D01* -X103632000Y-136855200D01* -X104013000Y-136855200D01* -X104027866Y-136853736D01* -X104042160Y-136849400D01* -X104055334Y-136842358D01* -X104066882Y-136832882D01* -X104076358Y-136821334D01* -X104083400Y-136808160D01* -X104087736Y-136793866D01* -X104089200Y-136779000D01* -X104089200Y-136398000D01* -X104190800Y-136398000D01* -X104190800Y-136779000D01* -X104192264Y-136793866D01* -X104196600Y-136808160D01* -X104203642Y-136821334D01* -X104213118Y-136832882D01* -X104224666Y-136842358D01* -X104237840Y-136849400D01* -X104252134Y-136853736D01* -X104267000Y-136855200D01* -X104648000Y-136855200D01* -X104662866Y-136853736D01* -X104677160Y-136849400D01* -X104690334Y-136842358D01* -X104701882Y-136832882D01* -X104711358Y-136821334D01* -X104718400Y-136808160D01* -X104722736Y-136793866D01* -X104724200Y-136779000D01* -X104724200Y-136398000D01* -X106095800Y-136398000D01* -X106095800Y-136779000D01* -X106097264Y-136793866D01* -X106101600Y-136808160D01* -X106108642Y-136821334D01* -X106118118Y-136832882D01* -X106129666Y-136842358D01* -X106142840Y-136849400D01* -X106157134Y-136853736D01* -X106172000Y-136855200D01* -X106553000Y-136855200D01* -X106567866Y-136853736D01* -X106582160Y-136849400D01* -X106595334Y-136842358D01* -X106606882Y-136832882D01* -X106616358Y-136821334D01* -X106623400Y-136808160D01* -X106627736Y-136793866D01* -X106629200Y-136779000D01* -X106629200Y-136398000D01* -X106730800Y-136398000D01* -X106730800Y-136779000D01* -X106732264Y-136793866D01* -X106736600Y-136808160D01* -X106743642Y-136821334D01* -X106753118Y-136832882D01* -X106764666Y-136842358D01* -X106777840Y-136849400D01* -X106792134Y-136853736D01* -X106807000Y-136855200D01* -X107188000Y-136855200D01* -X107202866Y-136853736D01* -X107217160Y-136849400D01* -X107230334Y-136842358D01* -X107241882Y-136832882D01* -X107251358Y-136821334D01* -X107258400Y-136808160D01* -X107262736Y-136793866D01* -X107264200Y-136779000D01* -X107264200Y-136398000D01* -X108635800Y-136398000D01* -X108635800Y-136779000D01* -X108637264Y-136793866D01* -X108641600Y-136808160D01* -X108648642Y-136821334D01* -X108658118Y-136832882D01* -X108669666Y-136842358D01* -X108682840Y-136849400D01* -X108697134Y-136853736D01* -X108712000Y-136855200D01* -X109093000Y-136855200D01* -X109107866Y-136853736D01* -X109122160Y-136849400D01* -X109135334Y-136842358D01* -X109146882Y-136832882D01* -X109156358Y-136821334D01* -X109163400Y-136808160D01* -X109167736Y-136793866D01* -X109169200Y-136779000D01* -X109169200Y-136398000D01* -X109270800Y-136398000D01* -X109270800Y-136779000D01* -X109272264Y-136793866D01* -X109276600Y-136808160D01* -X109283642Y-136821334D01* -X109293118Y-136832882D01* -X109304666Y-136842358D01* -X109317840Y-136849400D01* -X109332134Y-136853736D01* -X109347000Y-136855200D01* -X109728000Y-136855200D01* -X109742866Y-136853736D01* -X109757160Y-136849400D01* -X109770334Y-136842358D01* -X109781882Y-136832882D01* -X109791358Y-136821334D01* -X109798400Y-136808160D01* -X109802736Y-136793866D01* -X109804200Y-136779000D01* -X109804200Y-136398000D01* -X111175800Y-136398000D01* -X111175800Y-136779000D01* -X111177264Y-136793866D01* -X111181600Y-136808160D01* -X111188642Y-136821334D01* -X111198118Y-136832882D01* -X111209666Y-136842358D01* -X111222840Y-136849400D01* -X111237134Y-136853736D01* -X111252000Y-136855200D01* -X111633000Y-136855200D01* -X111647866Y-136853736D01* -X111662160Y-136849400D01* -X111675334Y-136842358D01* -X111686882Y-136832882D01* -X111696358Y-136821334D01* -X111703400Y-136808160D01* -X111707736Y-136793866D01* -X111709200Y-136779000D01* -X111709200Y-136398000D01* -X111810800Y-136398000D01* -X111810800Y-136779000D01* -X111812264Y-136793866D01* -X111816600Y-136808160D01* -X111823642Y-136821334D01* -X111833118Y-136832882D01* -X111844666Y-136842358D01* -X111857840Y-136849400D01* -X111872134Y-136853736D01* -X111887000Y-136855200D01* -X112268000Y-136855200D01* -X112282866Y-136853736D01* -X112297160Y-136849400D01* -X112310334Y-136842358D01* -X112321882Y-136832882D01* -X112331358Y-136821334D01* -X112338400Y-136808160D01* -X112342736Y-136793866D01* -X112344200Y-136779000D01* -X112344200Y-136398000D01* -X113715800Y-136398000D01* -X113715800Y-136779000D01* -X113717264Y-136793866D01* -X113721600Y-136808160D01* -X113728642Y-136821334D01* -X113738118Y-136832882D01* -X113749666Y-136842358D01* -X113762840Y-136849400D01* -X113777134Y-136853736D01* -X113792000Y-136855200D01* -X114173000Y-136855200D01* -X114187866Y-136853736D01* -X114202160Y-136849400D01* -X114215334Y-136842358D01* -X114226882Y-136832882D01* -X114236358Y-136821334D01* -X114243400Y-136808160D01* -X114247736Y-136793866D01* -X114249200Y-136779000D01* -X114249200Y-136398000D01* -X114350800Y-136398000D01* -X114350800Y-136779000D01* -X114352264Y-136793866D01* -X114356600Y-136808160D01* -X114363642Y-136821334D01* -X114373118Y-136832882D01* -X114384666Y-136842358D01* -X114397840Y-136849400D01* -X114412134Y-136853736D01* -X114427000Y-136855200D01* -X114808000Y-136855200D01* -X114822866Y-136853736D01* -X114837160Y-136849400D01* -X114850334Y-136842358D01* -X114861882Y-136832882D01* -X114871358Y-136821334D01* -X114878400Y-136808160D01* -X114882736Y-136793866D01* -X114884200Y-136779000D01* -X114884200Y-136398000D01* -X121335800Y-136398000D01* -X121335800Y-136779000D01* -X121337264Y-136793866D01* -X121341600Y-136808160D01* -X121348642Y-136821334D01* -X121358118Y-136832882D01* -X121369666Y-136842358D01* -X121382840Y-136849400D01* -X121397134Y-136853736D01* -X121412000Y-136855200D01* -X121793000Y-136855200D01* -X121807866Y-136853736D01* -X121822160Y-136849400D01* -X121835334Y-136842358D01* -X121846882Y-136832882D01* -X121856358Y-136821334D01* -X121863400Y-136808160D01* -X121867736Y-136793866D01* -X121869200Y-136779000D01* -X121869200Y-136398000D01* -X121970800Y-136398000D01* -X121970800Y-136779000D01* -X121972264Y-136793866D01* -X121976600Y-136808160D01* -X121983642Y-136821334D01* -X121993118Y-136832882D01* -X122004666Y-136842358D01* -X122017840Y-136849400D01* -X122032134Y-136853736D01* -X122047000Y-136855200D01* -X122428000Y-136855200D01* -X122442866Y-136853736D01* -X122457160Y-136849400D01* -X122470334Y-136842358D01* -X122481882Y-136832882D01* -X122491358Y-136821334D01* -X122498400Y-136808160D01* -X122502736Y-136793866D01* -X122504200Y-136779000D01* -X122504200Y-136398000D01* -X123875800Y-136398000D01* -X123875800Y-136779000D01* -X123877264Y-136793866D01* -X123881600Y-136808160D01* -X123888642Y-136821334D01* -X123898118Y-136832882D01* -X123909666Y-136842358D01* -X123922840Y-136849400D01* -X123937134Y-136853736D01* -X123952000Y-136855200D01* -X124333000Y-136855200D01* -X124347866Y-136853736D01* -X124362160Y-136849400D01* -X124375334Y-136842358D01* -X124386882Y-136832882D01* -X124396358Y-136821334D01* -X124403400Y-136808160D01* -X124407736Y-136793866D01* -X124409200Y-136779000D01* -X124409200Y-136398000D01* -X124510800Y-136398000D01* -X124510800Y-136779000D01* -X124512264Y-136793866D01* -X124516600Y-136808160D01* -X124523642Y-136821334D01* -X124533118Y-136832882D01* -X124544666Y-136842358D01* -X124557840Y-136849400D01* -X124572134Y-136853736D01* -X124587000Y-136855200D01* -X124968000Y-136855200D01* -X124982866Y-136853736D01* -X124997160Y-136849400D01* -X125010334Y-136842358D01* -X125021882Y-136832882D01* -X125031358Y-136821334D01* -X125038400Y-136808160D01* -X125042736Y-136793866D01* -X125044200Y-136779000D01* -X125044200Y-136398000D01* -X126415800Y-136398000D01* -X126415800Y-136779000D01* -X126417264Y-136793866D01* -X126421600Y-136808160D01* -X126428642Y-136821334D01* -X126438118Y-136832882D01* -X126449666Y-136842358D01* -X126462840Y-136849400D01* -X126477134Y-136853736D01* -X126492000Y-136855200D01* -X126873000Y-136855200D01* -X126887866Y-136853736D01* -X126902160Y-136849400D01* -X126915334Y-136842358D01* -X126926882Y-136832882D01* -X126936358Y-136821334D01* -X126943400Y-136808160D01* -X126947736Y-136793866D01* -X126949200Y-136779000D01* -X126949200Y-136398000D01* -X127050800Y-136398000D01* -X127050800Y-136779000D01* -X127052264Y-136793866D01* -X127056600Y-136808160D01* -X127063642Y-136821334D01* -X127073118Y-136832882D01* -X127084666Y-136842358D01* -X127097840Y-136849400D01* -X127112134Y-136853736D01* -X127127000Y-136855200D01* -X127508000Y-136855200D01* -X127522866Y-136853736D01* -X127537160Y-136849400D01* -X127550334Y-136842358D01* -X127561882Y-136832882D01* -X127571358Y-136821334D01* -X127578400Y-136808160D01* -X127582736Y-136793866D01* -X127584200Y-136779000D01* -X127584200Y-136398000D01* -X128955800Y-136398000D01* -X128955800Y-136779000D01* -X128957264Y-136793866D01* -X128961600Y-136808160D01* -X128968642Y-136821334D01* -X128978118Y-136832882D01* -X128989666Y-136842358D01* -X129002840Y-136849400D01* -X129017134Y-136853736D01* -X129032000Y-136855200D01* -X129413000Y-136855200D01* -X129427866Y-136853736D01* -X129442160Y-136849400D01* -X129455334Y-136842358D01* -X129466882Y-136832882D01* -X129476358Y-136821334D01* -X129483400Y-136808160D01* -X129487736Y-136793866D01* -X129489200Y-136779000D01* -X129489200Y-136398000D01* -X129590800Y-136398000D01* -X129590800Y-136779000D01* -X129592264Y-136793866D01* -X129596600Y-136808160D01* -X129603642Y-136821334D01* -X129613118Y-136832882D01* -X129624666Y-136842358D01* -X129637840Y-136849400D01* -X129652134Y-136853736D01* -X129667000Y-136855200D01* -X130048000Y-136855200D01* -X130062866Y-136853736D01* -X130077160Y-136849400D01* -X130090334Y-136842358D01* -X130101882Y-136832882D01* -X130111358Y-136821334D01* -X130118400Y-136808160D01* -X130122736Y-136793866D01* -X130124200Y-136779000D01* -X130124200Y-136398000D01* -X131495800Y-136398000D01* -X131495800Y-136779000D01* -X131497264Y-136793866D01* -X131501600Y-136808160D01* -X131508642Y-136821334D01* -X131518118Y-136832882D01* -X131529666Y-136842358D01* -X131542840Y-136849400D01* -X131557134Y-136853736D01* -X131572000Y-136855200D01* -X131953000Y-136855200D01* -X131967866Y-136853736D01* -X131982160Y-136849400D01* -X131995334Y-136842358D01* -X132006882Y-136832882D01* -X132016358Y-136821334D01* -X132023400Y-136808160D01* -X132027736Y-136793866D01* -X132029200Y-136779000D01* -X132029200Y-136398000D01* -X132130800Y-136398000D01* -X132130800Y-136779000D01* -X132132264Y-136793866D01* -X132136600Y-136808160D01* -X132143642Y-136821334D01* -X132153118Y-136832882D01* -X132164666Y-136842358D01* -X132177840Y-136849400D01* -X132192134Y-136853736D01* -X132207000Y-136855200D01* -X132588000Y-136855200D01* -X132602866Y-136853736D01* -X132617160Y-136849400D01* -X132630334Y-136842358D01* -X132641882Y-136832882D01* -X132651358Y-136821334D01* -X132658400Y-136808160D01* -X132662736Y-136793866D01* -X132664200Y-136779000D01* -X132664200Y-136398000D01* -X134035800Y-136398000D01* -X134035800Y-136779000D01* -X134037264Y-136793866D01* -X134041600Y-136808160D01* -X134048642Y-136821334D01* -X134058118Y-136832882D01* -X134069666Y-136842358D01* -X134082840Y-136849400D01* -X134097134Y-136853736D01* -X134112000Y-136855200D01* -X134493000Y-136855200D01* -X134507866Y-136853736D01* -X134522160Y-136849400D01* -X134535334Y-136842358D01* -X134546882Y-136832882D01* -X134556358Y-136821334D01* -X134563400Y-136808160D01* -X134567736Y-136793866D01* -X134569200Y-136779000D01* -X134569200Y-136398000D01* -X134670800Y-136398000D01* -X134670800Y-136779000D01* -X134672264Y-136793866D01* -X134676600Y-136808160D01* -X134683642Y-136821334D01* -X134693118Y-136832882D01* -X134704666Y-136842358D01* -X134717840Y-136849400D01* -X134732134Y-136853736D01* -X134747000Y-136855200D01* -X135128000Y-136855200D01* -X135142866Y-136853736D01* -X135157160Y-136849400D01* -X135170334Y-136842358D01* -X135181882Y-136832882D01* -X135191358Y-136821334D01* -X135198400Y-136808160D01* -X135202736Y-136793866D01* -X135204200Y-136779000D01* -X135204200Y-136398000D01* -X135202736Y-136383134D01* -X135198400Y-136368840D01* -X135191358Y-136355666D01* -X135181882Y-136344118D01* -X135170334Y-136334642D01* -X135157160Y-136327600D01* -X135142866Y-136323264D01* -X135128000Y-136321800D01* -X134747000Y-136321800D01* -X134732134Y-136323264D01* -X134717840Y-136327600D01* -X134704666Y-136334642D01* -X134693118Y-136344118D01* -X134683642Y-136355666D01* -X134676600Y-136368840D01* -X134672264Y-136383134D01* -X134670800Y-136398000D01* -X134569200Y-136398000D01* -X134567736Y-136383134D01* -X134563400Y-136368840D01* -X134556358Y-136355666D01* -X134546882Y-136344118D01* -X134535334Y-136334642D01* -X134522160Y-136327600D01* -X134507866Y-136323264D01* -X134493000Y-136321800D01* -X134112000Y-136321800D01* -X134097134Y-136323264D01* -X134082840Y-136327600D01* -X134069666Y-136334642D01* -X134058118Y-136344118D01* -X134048642Y-136355666D01* -X134041600Y-136368840D01* -X134037264Y-136383134D01* -X134035800Y-136398000D01* -X132664200Y-136398000D01* -X132662736Y-136383134D01* -X132658400Y-136368840D01* -X132651358Y-136355666D01* -X132641882Y-136344118D01* -X132630334Y-136334642D01* -X132617160Y-136327600D01* -X132602866Y-136323264D01* -X132588000Y-136321800D01* -X132207000Y-136321800D01* -X132192134Y-136323264D01* -X132177840Y-136327600D01* -X132164666Y-136334642D01* -X132153118Y-136344118D01* -X132143642Y-136355666D01* -X132136600Y-136368840D01* -X132132264Y-136383134D01* -X132130800Y-136398000D01* -X132029200Y-136398000D01* -X132027736Y-136383134D01* -X132023400Y-136368840D01* -X132016358Y-136355666D01* -X132006882Y-136344118D01* -X131995334Y-136334642D01* -X131982160Y-136327600D01* -X131967866Y-136323264D01* -X131953000Y-136321800D01* -X131572000Y-136321800D01* -X131557134Y-136323264D01* -X131542840Y-136327600D01* -X131529666Y-136334642D01* -X131518118Y-136344118D01* -X131508642Y-136355666D01* -X131501600Y-136368840D01* -X131497264Y-136383134D01* -X131495800Y-136398000D01* -X130124200Y-136398000D01* -X130122736Y-136383134D01* -X130118400Y-136368840D01* -X130111358Y-136355666D01* -X130101882Y-136344118D01* -X130090334Y-136334642D01* -X130077160Y-136327600D01* -X130062866Y-136323264D01* -X130048000Y-136321800D01* -X129667000Y-136321800D01* -X129652134Y-136323264D01* -X129637840Y-136327600D01* -X129624666Y-136334642D01* -X129613118Y-136344118D01* -X129603642Y-136355666D01* -X129596600Y-136368840D01* -X129592264Y-136383134D01* -X129590800Y-136398000D01* -X129489200Y-136398000D01* -X129487736Y-136383134D01* -X129483400Y-136368840D01* -X129476358Y-136355666D01* -X129466882Y-136344118D01* -X129455334Y-136334642D01* -X129442160Y-136327600D01* -X129427866Y-136323264D01* -X129413000Y-136321800D01* -X129032000Y-136321800D01* -X129017134Y-136323264D01* -X129002840Y-136327600D01* -X128989666Y-136334642D01* -X128978118Y-136344118D01* -X128968642Y-136355666D01* -X128961600Y-136368840D01* -X128957264Y-136383134D01* -X128955800Y-136398000D01* -X127584200Y-136398000D01* -X127582736Y-136383134D01* -X127578400Y-136368840D01* -X127571358Y-136355666D01* -X127561882Y-136344118D01* -X127550334Y-136334642D01* -X127537160Y-136327600D01* -X127522866Y-136323264D01* -X127508000Y-136321800D01* -X127127000Y-136321800D01* -X127112134Y-136323264D01* -X127097840Y-136327600D01* -X127084666Y-136334642D01* -X127073118Y-136344118D01* -X127063642Y-136355666D01* -X127056600Y-136368840D01* -X127052264Y-136383134D01* -X127050800Y-136398000D01* -X126949200Y-136398000D01* -X126947736Y-136383134D01* -X126943400Y-136368840D01* -X126936358Y-136355666D01* -X126926882Y-136344118D01* -X126915334Y-136334642D01* -X126902160Y-136327600D01* -X126887866Y-136323264D01* -X126873000Y-136321800D01* -X126492000Y-136321800D01* -X126477134Y-136323264D01* -X126462840Y-136327600D01* -X126449666Y-136334642D01* -X126438118Y-136344118D01* -X126428642Y-136355666D01* -X126421600Y-136368840D01* -X126417264Y-136383134D01* -X126415800Y-136398000D01* -X125044200Y-136398000D01* -X125042736Y-136383134D01* -X125038400Y-136368840D01* -X125031358Y-136355666D01* -X125021882Y-136344118D01* -X125010334Y-136334642D01* -X124997160Y-136327600D01* -X124982866Y-136323264D01* -X124968000Y-136321800D01* -X124587000Y-136321800D01* -X124572134Y-136323264D01* -X124557840Y-136327600D01* -X124544666Y-136334642D01* -X124533118Y-136344118D01* -X124523642Y-136355666D01* -X124516600Y-136368840D01* -X124512264Y-136383134D01* -X124510800Y-136398000D01* -X124409200Y-136398000D01* -X124407736Y-136383134D01* -X124403400Y-136368840D01* -X124396358Y-136355666D01* -X124386882Y-136344118D01* -X124375334Y-136334642D01* -X124362160Y-136327600D01* -X124347866Y-136323264D01* -X124333000Y-136321800D01* -X123952000Y-136321800D01* -X123937134Y-136323264D01* -X123922840Y-136327600D01* -X123909666Y-136334642D01* -X123898118Y-136344118D01* -X123888642Y-136355666D01* -X123881600Y-136368840D01* -X123877264Y-136383134D01* -X123875800Y-136398000D01* -X122504200Y-136398000D01* -X122502736Y-136383134D01* -X122498400Y-136368840D01* -X122491358Y-136355666D01* -X122481882Y-136344118D01* -X122470334Y-136334642D01* -X122457160Y-136327600D01* -X122442866Y-136323264D01* -X122428000Y-136321800D01* -X122047000Y-136321800D01* -X122032134Y-136323264D01* -X122017840Y-136327600D01* -X122004666Y-136334642D01* -X121993118Y-136344118D01* -X121983642Y-136355666D01* -X121976600Y-136368840D01* -X121972264Y-136383134D01* -X121970800Y-136398000D01* -X121869200Y-136398000D01* -X121867736Y-136383134D01* -X121863400Y-136368840D01* -X121856358Y-136355666D01* -X121846882Y-136344118D01* -X121835334Y-136334642D01* -X121822160Y-136327600D01* -X121807866Y-136323264D01* -X121793000Y-136321800D01* -X121412000Y-136321800D01* -X121397134Y-136323264D01* -X121382840Y-136327600D01* -X121369666Y-136334642D01* -X121358118Y-136344118D01* -X121348642Y-136355666D01* -X121341600Y-136368840D01* -X121337264Y-136383134D01* -X121335800Y-136398000D01* -X114884200Y-136398000D01* -X114882736Y-136383134D01* -X114878400Y-136368840D01* -X114871358Y-136355666D01* -X114861882Y-136344118D01* -X114850334Y-136334642D01* -X114837160Y-136327600D01* -X114822866Y-136323264D01* -X114808000Y-136321800D01* -X114427000Y-136321800D01* -X114412134Y-136323264D01* -X114397840Y-136327600D01* -X114384666Y-136334642D01* -X114373118Y-136344118D01* -X114363642Y-136355666D01* -X114356600Y-136368840D01* -X114352264Y-136383134D01* -X114350800Y-136398000D01* -X114249200Y-136398000D01* -X114247736Y-136383134D01* -X114243400Y-136368840D01* -X114236358Y-136355666D01* -X114226882Y-136344118D01* -X114215334Y-136334642D01* -X114202160Y-136327600D01* -X114187866Y-136323264D01* -X114173000Y-136321800D01* -X113792000Y-136321800D01* -X113777134Y-136323264D01* -X113762840Y-136327600D01* -X113749666Y-136334642D01* -X113738118Y-136344118D01* -X113728642Y-136355666D01* -X113721600Y-136368840D01* -X113717264Y-136383134D01* -X113715800Y-136398000D01* -X112344200Y-136398000D01* -X112342736Y-136383134D01* -X112338400Y-136368840D01* -X112331358Y-136355666D01* -X112321882Y-136344118D01* -X112310334Y-136334642D01* -X112297160Y-136327600D01* -X112282866Y-136323264D01* -X112268000Y-136321800D01* -X111887000Y-136321800D01* -X111872134Y-136323264D01* -X111857840Y-136327600D01* -X111844666Y-136334642D01* -X111833118Y-136344118D01* -X111823642Y-136355666D01* -X111816600Y-136368840D01* -X111812264Y-136383134D01* -X111810800Y-136398000D01* -X111709200Y-136398000D01* -X111707736Y-136383134D01* -X111703400Y-136368840D01* -X111696358Y-136355666D01* -X111686882Y-136344118D01* -X111675334Y-136334642D01* -X111662160Y-136327600D01* -X111647866Y-136323264D01* -X111633000Y-136321800D01* -X111252000Y-136321800D01* -X111237134Y-136323264D01* -X111222840Y-136327600D01* -X111209666Y-136334642D01* -X111198118Y-136344118D01* -X111188642Y-136355666D01* -X111181600Y-136368840D01* -X111177264Y-136383134D01* -X111175800Y-136398000D01* -X109804200Y-136398000D01* -X109802736Y-136383134D01* -X109798400Y-136368840D01* -X109791358Y-136355666D01* -X109781882Y-136344118D01* -X109770334Y-136334642D01* -X109757160Y-136327600D01* -X109742866Y-136323264D01* -X109728000Y-136321800D01* -X109347000Y-136321800D01* -X109332134Y-136323264D01* -X109317840Y-136327600D01* -X109304666Y-136334642D01* -X109293118Y-136344118D01* -X109283642Y-136355666D01* -X109276600Y-136368840D01* -X109272264Y-136383134D01* -X109270800Y-136398000D01* -X109169200Y-136398000D01* -X109167736Y-136383134D01* -X109163400Y-136368840D01* -X109156358Y-136355666D01* -X109146882Y-136344118D01* -X109135334Y-136334642D01* -X109122160Y-136327600D01* -X109107866Y-136323264D01* -X109093000Y-136321800D01* -X108712000Y-136321800D01* -X108697134Y-136323264D01* -X108682840Y-136327600D01* -X108669666Y-136334642D01* -X108658118Y-136344118D01* -X108648642Y-136355666D01* -X108641600Y-136368840D01* -X108637264Y-136383134D01* -X108635800Y-136398000D01* -X107264200Y-136398000D01* -X107262736Y-136383134D01* -X107258400Y-136368840D01* -X107251358Y-136355666D01* -X107241882Y-136344118D01* -X107230334Y-136334642D01* -X107217160Y-136327600D01* -X107202866Y-136323264D01* -X107188000Y-136321800D01* -X106807000Y-136321800D01* -X106792134Y-136323264D01* -X106777840Y-136327600D01* -X106764666Y-136334642D01* -X106753118Y-136344118D01* -X106743642Y-136355666D01* -X106736600Y-136368840D01* -X106732264Y-136383134D01* -X106730800Y-136398000D01* -X106629200Y-136398000D01* -X106627736Y-136383134D01* -X106623400Y-136368840D01* -X106616358Y-136355666D01* -X106606882Y-136344118D01* -X106595334Y-136334642D01* -X106582160Y-136327600D01* -X106567866Y-136323264D01* -X106553000Y-136321800D01* -X106172000Y-136321800D01* -X106157134Y-136323264D01* -X106142840Y-136327600D01* -X106129666Y-136334642D01* -X106118118Y-136344118D01* -X106108642Y-136355666D01* -X106101600Y-136368840D01* -X106097264Y-136383134D01* -X106095800Y-136398000D01* -X104724200Y-136398000D01* -X104722736Y-136383134D01* -X104718400Y-136368840D01* -X104711358Y-136355666D01* -X104701882Y-136344118D01* -X104690334Y-136334642D01* -X104677160Y-136327600D01* -X104662866Y-136323264D01* -X104648000Y-136321800D01* -X104267000Y-136321800D01* -X104252134Y-136323264D01* -X104237840Y-136327600D01* -X104224666Y-136334642D01* -X104213118Y-136344118D01* -X104203642Y-136355666D01* -X104196600Y-136368840D01* -X104192264Y-136383134D01* -X104190800Y-136398000D01* -X104089200Y-136398000D01* -X104087736Y-136383134D01* -X104083400Y-136368840D01* -X104076358Y-136355666D01* -X104066882Y-136344118D01* -X104055334Y-136334642D01* -X104042160Y-136327600D01* -X104027866Y-136323264D01* -X104013000Y-136321800D01* -X103632000Y-136321800D01* -X103617134Y-136323264D01* -X103602840Y-136327600D01* -X103589666Y-136334642D01* -X103578118Y-136344118D01* -X103568642Y-136355666D01* -X103561600Y-136368840D01* -X103557264Y-136383134D01* -X103555800Y-136398000D01* -X102184200Y-136398000D01* -X102182736Y-136383134D01* -X102178400Y-136368840D01* -X102171358Y-136355666D01* -X102161882Y-136344118D01* -X102150334Y-136334642D01* -X102137160Y-136327600D01* -X102122866Y-136323264D01* -X102108000Y-136321800D01* -X101727000Y-136321800D01* -X101712134Y-136323264D01* -X101697840Y-136327600D01* -X101684666Y-136334642D01* -X101673118Y-136344118D01* -X101663642Y-136355666D01* -X101656600Y-136368840D01* -X101652264Y-136383134D01* -X101650800Y-136398000D01* -X101549200Y-136398000D01* -X101547736Y-136383134D01* -X101543400Y-136368840D01* -X101536358Y-136355666D01* -X101526882Y-136344118D01* -X101515334Y-136334642D01* -X101502160Y-136327600D01* -X101487866Y-136323264D01* -X101473000Y-136321800D01* -X101092000Y-136321800D01* -X101077134Y-136323264D01* -X101062840Y-136327600D01* -X101049666Y-136334642D01* -X101038118Y-136344118D01* -X101028642Y-136355666D01* -X101021600Y-136368840D01* -X101017264Y-136383134D01* -X101015800Y-136398000D01* -X99644200Y-136398000D01* -X99642736Y-136383134D01* -X99638400Y-136368840D01* -X99631358Y-136355666D01* -X99621882Y-136344118D01* -X99610334Y-136334642D01* -X99597160Y-136327600D01* -X99582866Y-136323264D01* -X99568000Y-136321800D01* -X99187000Y-136321800D01* -X99172134Y-136323264D01* -X99157840Y-136327600D01* -X99144666Y-136334642D01* -X99133118Y-136344118D01* -X99123642Y-136355666D01* -X99116600Y-136368840D01* -X99112264Y-136383134D01* -X99110800Y-136398000D01* -X99009200Y-136398000D01* -X99007736Y-136383134D01* -X99003400Y-136368840D01* -X98996358Y-136355666D01* -X98986882Y-136344118D01* -X98975334Y-136334642D01* -X98962160Y-136327600D01* -X98947866Y-136323264D01* -X98933000Y-136321800D01* -X98552000Y-136321800D01* -X98537134Y-136323264D01* -X98522840Y-136327600D01* -X98509666Y-136334642D01* -X98498118Y-136344118D01* -X98488642Y-136355666D01* -X98481600Y-136368840D01* -X98477264Y-136383134D01* -X98475800Y-136398000D01* -X97104200Y-136398000D01* -X97102736Y-136383134D01* -X97098400Y-136368840D01* -X97091358Y-136355666D01* -X97081882Y-136344118D01* -X97070334Y-136334642D01* -X97057160Y-136327600D01* -X97042866Y-136323264D01* -X97028000Y-136321800D01* -X96647000Y-136321800D01* -X96632134Y-136323264D01* -X96617840Y-136327600D01* -X96604666Y-136334642D01* -X96593118Y-136344118D01* -X96583642Y-136355666D01* -X96576600Y-136368840D01* -X96572264Y-136383134D01* -X96570800Y-136398000D01* -X96469200Y-136398000D01* -X96467736Y-136383134D01* -X96463400Y-136368840D01* -X96456358Y-136355666D01* -X96446882Y-136344118D01* -X96435334Y-136334642D01* -X96422160Y-136327600D01* -X96407866Y-136323264D01* -X96393000Y-136321800D01* -X96012000Y-136321800D01* -X95997134Y-136323264D01* -X95982840Y-136327600D01* -X95969666Y-136334642D01* -X95958118Y-136344118D01* -X95948642Y-136355666D01* -X95941600Y-136368840D01* -X95937264Y-136383134D01* -X95935800Y-136398000D01* -X94564200Y-136398000D01* -X94562736Y-136383134D01* -X94558400Y-136368840D01* -X94551358Y-136355666D01* -X94541882Y-136344118D01* -X94530334Y-136334642D01* -X94517160Y-136327600D01* -X94502866Y-136323264D01* -X94488000Y-136321800D01* -X94107000Y-136321800D01* -X94092134Y-136323264D01* -X94077840Y-136327600D01* -X94064666Y-136334642D01* -X94053118Y-136344118D01* -X94043642Y-136355666D01* -X94036600Y-136368840D01* -X94032264Y-136383134D01* -X94030800Y-136398000D01* -X93929200Y-136398000D01* -X93927736Y-136383134D01* -X93923400Y-136368840D01* -X93916358Y-136355666D01* -X93906882Y-136344118D01* -X93895334Y-136334642D01* -X93882160Y-136327600D01* -X93867866Y-136323264D01* -X93853000Y-136321800D01* -X93472000Y-136321800D01* -X93457134Y-136323264D01* -X93442840Y-136327600D01* -X93429666Y-136334642D01* -X93418118Y-136344118D01* -X93408642Y-136355666D01* -X93401600Y-136368840D01* -X93397264Y-136383134D01* -X93395800Y-136398000D01* -X92024200Y-136398000D01* -X92022736Y-136383134D01* -X92018400Y-136368840D01* -X92011358Y-136355666D01* -X92001882Y-136344118D01* -X91990334Y-136334642D01* -X91977160Y-136327600D01* -X91962866Y-136323264D01* -X91948000Y-136321800D01* -X91567000Y-136321800D01* -X91552134Y-136323264D01* -X91537840Y-136327600D01* -X91524666Y-136334642D01* -X91513118Y-136344118D01* -X91503642Y-136355666D01* -X91496600Y-136368840D01* -X91492264Y-136383134D01* -X91490800Y-136398000D01* -X91389200Y-136398000D01* -X91387736Y-136383134D01* -X91383400Y-136368840D01* -X91376358Y-136355666D01* -X91366882Y-136344118D01* -X91355334Y-136334642D01* -X91342160Y-136327600D01* -X91327866Y-136323264D01* -X91313000Y-136321800D01* -X90932000Y-136321800D01* -X90917134Y-136323264D01* -X90902840Y-136327600D01* -X90889666Y-136334642D01* -X90878118Y-136344118D01* -X90868642Y-136355666D01* -X90861600Y-136368840D01* -X90857264Y-136383134D01* -X90855800Y-136398000D01* -X89484200Y-136398000D01* -X89482736Y-136383134D01* -X89478400Y-136368840D01* -X89471358Y-136355666D01* -X89461882Y-136344118D01* -X89450334Y-136334642D01* -X89437160Y-136327600D01* -X89422866Y-136323264D01* -X89408000Y-136321800D01* -X89027000Y-136321800D01* -X89012134Y-136323264D01* -X88997840Y-136327600D01* -X88984666Y-136334642D01* -X88973118Y-136344118D01* -X88963642Y-136355666D01* -X88956600Y-136368840D01* -X88952264Y-136383134D01* -X88950800Y-136398000D01* -X88849200Y-136398000D01* -X88847736Y-136383134D01* -X88843400Y-136368840D01* -X88836358Y-136355666D01* -X88826882Y-136344118D01* -X88815334Y-136334642D01* -X88802160Y-136327600D01* -X88787866Y-136323264D01* -X88773000Y-136321800D01* -X88392000Y-136321800D01* -X88377134Y-136323264D01* -X88362840Y-136327600D01* -X88349666Y-136334642D01* -X88338118Y-136344118D01* -X88328642Y-136355666D01* -X88321600Y-136368840D01* -X88317264Y-136383134D01* -X88315800Y-136398000D01* -X86944200Y-136398000D01* -X86942736Y-136383134D01* -X86938400Y-136368840D01* -X86931358Y-136355666D01* -X86921882Y-136344118D01* -X86910334Y-136334642D01* -X86897160Y-136327600D01* -X86882866Y-136323264D01* -X86868000Y-136321800D01* -X86487000Y-136321800D01* -X86472134Y-136323264D01* -X86457840Y-136327600D01* -X86444666Y-136334642D01* -X86433118Y-136344118D01* -X86423642Y-136355666D01* -X86416600Y-136368840D01* -X86412264Y-136383134D01* -X86410800Y-136398000D01* -X86309200Y-136398000D01* -X86307736Y-136383134D01* -X86303400Y-136368840D01* -X86296358Y-136355666D01* -X86286882Y-136344118D01* -X86275334Y-136334642D01* -X86262160Y-136327600D01* -X86247866Y-136323264D01* -X86233000Y-136321800D01* -X85852000Y-136321800D01* -X85837134Y-136323264D01* -X85822840Y-136327600D01* -X85809666Y-136334642D01* -X85798118Y-136344118D01* -X85788642Y-136355666D01* -X85781600Y-136368840D01* -X85777264Y-136383134D01* -X85775800Y-136398000D01* -X84404200Y-136398000D01* -X84402736Y-136383134D01* -X84398400Y-136368840D01* -X84391358Y-136355666D01* -X84381882Y-136344118D01* -X84370334Y-136334642D01* -X84357160Y-136327600D01* -X84342866Y-136323264D01* -X84328000Y-136321800D01* -X83947000Y-136321800D01* -X83932134Y-136323264D01* -X83917840Y-136327600D01* -X83904666Y-136334642D01* -X83893118Y-136344118D01* -X83883642Y-136355666D01* -X83876600Y-136368840D01* -X83872264Y-136383134D01* -X83870800Y-136398000D01* -X83769200Y-136398000D01* -X83767736Y-136383134D01* -X83763400Y-136368840D01* -X83756358Y-136355666D01* -X83746882Y-136344118D01* -X83735334Y-136334642D01* -X83722160Y-136327600D01* -X83707866Y-136323264D01* -X83693000Y-136321800D01* -X83312000Y-136321800D01* -X83297134Y-136323264D01* -X83282840Y-136327600D01* -X83269666Y-136334642D01* -X83258118Y-136344118D01* -X83248642Y-136355666D01* -X83241600Y-136368840D01* -X83237264Y-136383134D01* -X83235800Y-136398000D01* -X81864200Y-136398000D01* -X81862736Y-136383134D01* -X81858400Y-136368840D01* -X81851358Y-136355666D01* -X81841882Y-136344118D01* -X81830334Y-136334642D01* -X81817160Y-136327600D01* -X81802866Y-136323264D01* -X81788000Y-136321800D01* -X81407000Y-136321800D01* -X81392134Y-136323264D01* -X81377840Y-136327600D01* -X81364666Y-136334642D01* -X81353118Y-136344118D01* -X81343642Y-136355666D01* -X81336600Y-136368840D01* -X81332264Y-136383134D01* -X81330800Y-136398000D01* -X81229200Y-136398000D01* -X81227736Y-136383134D01* -X81223400Y-136368840D01* -X81216358Y-136355666D01* -X81206882Y-136344118D01* -X81195334Y-136334642D01* -X81182160Y-136327600D01* -X81167866Y-136323264D01* -X81153000Y-136321800D01* -X80772000Y-136321800D01* -X80757134Y-136323264D01* -X80742840Y-136327600D01* -X80729666Y-136334642D01* -X80718118Y-136344118D01* -X80708642Y-136355666D01* -X80701600Y-136368840D01* -X80697264Y-136383134D01* -X80695800Y-136398000D01* -X79324200Y-136398000D01* -X79322736Y-136383134D01* -X79318400Y-136368840D01* -X79311358Y-136355666D01* -X79301882Y-136344118D01* -X79290334Y-136334642D01* -X79277160Y-136327600D01* -X79262866Y-136323264D01* -X79248000Y-136321800D01* -X78867000Y-136321800D01* -X78852134Y-136323264D01* -X78837840Y-136327600D01* -X78824666Y-136334642D01* -X78813118Y-136344118D01* -X78803642Y-136355666D01* -X78796600Y-136368840D01* -X78792264Y-136383134D01* -X78790800Y-136398000D01* -X78689200Y-136398000D01* -X78687736Y-136383134D01* -X78683400Y-136368840D01* -X78676358Y-136355666D01* -X78666882Y-136344118D01* -X78655334Y-136334642D01* -X78642160Y-136327600D01* -X78627866Y-136323264D01* -X78613000Y-136321800D01* -X78232000Y-136321800D01* -X78217134Y-136323264D01* -X78202840Y-136327600D01* -X78189666Y-136334642D01* -X78178118Y-136344118D01* -X78168642Y-136355666D01* -X78161600Y-136368840D01* -X78157264Y-136383134D01* -X78155800Y-136398000D01* -X74498200Y-136398000D01* -X74498200Y-135763000D01* -X78155800Y-135763000D01* -X78155800Y-136144000D01* -X78157264Y-136158866D01* -X78161600Y-136173160D01* -X78168642Y-136186334D01* -X78178118Y-136197882D01* -X78189666Y-136207358D01* -X78202840Y-136214400D01* -X78217134Y-136218736D01* -X78232000Y-136220200D01* -X78613000Y-136220200D01* -X78627866Y-136218736D01* -X78642160Y-136214400D01* -X78655334Y-136207358D01* -X78666882Y-136197882D01* -X78676358Y-136186334D01* -X78683400Y-136173160D01* -X78687736Y-136158866D01* -X78689200Y-136144000D01* -X78689200Y-135763000D01* -X78790800Y-135763000D01* -X78790800Y-136144000D01* -X78792264Y-136158866D01* -X78796600Y-136173160D01* -X78803642Y-136186334D01* -X78813118Y-136197882D01* -X78824666Y-136207358D01* -X78837840Y-136214400D01* -X78852134Y-136218736D01* -X78867000Y-136220200D01* -X79248000Y-136220200D01* -X79262866Y-136218736D01* -X79277160Y-136214400D01* -X79290334Y-136207358D01* -X79301882Y-136197882D01* -X79311358Y-136186334D01* -X79318400Y-136173160D01* -X79322736Y-136158866D01* -X79324200Y-136144000D01* -X79324200Y-135763000D01* -X80695800Y-135763000D01* -X80695800Y-136144000D01* -X80697264Y-136158866D01* -X80701600Y-136173160D01* -X80708642Y-136186334D01* -X80718118Y-136197882D01* -X80729666Y-136207358D01* -X80742840Y-136214400D01* -X80757134Y-136218736D01* -X80772000Y-136220200D01* -X81153000Y-136220200D01* -X81167866Y-136218736D01* -X81182160Y-136214400D01* -X81195334Y-136207358D01* -X81206882Y-136197882D01* -X81216358Y-136186334D01* -X81223400Y-136173160D01* -X81227736Y-136158866D01* -X81229200Y-136144000D01* -X81229200Y-135763000D01* -X81330800Y-135763000D01* -X81330800Y-136144000D01* -X81332264Y-136158866D01* -X81336600Y-136173160D01* -X81343642Y-136186334D01* -X81353118Y-136197882D01* -X81364666Y-136207358D01* -X81377840Y-136214400D01* -X81392134Y-136218736D01* -X81407000Y-136220200D01* -X81788000Y-136220200D01* -X81802866Y-136218736D01* -X81817160Y-136214400D01* -X81830334Y-136207358D01* -X81841882Y-136197882D01* -X81851358Y-136186334D01* -X81858400Y-136173160D01* -X81862736Y-136158866D01* -X81864200Y-136144000D01* -X81864200Y-135763000D01* -X83235800Y-135763000D01* -X83235800Y-136144000D01* -X83237264Y-136158866D01* -X83241600Y-136173160D01* -X83248642Y-136186334D01* -X83258118Y-136197882D01* -X83269666Y-136207358D01* -X83282840Y-136214400D01* -X83297134Y-136218736D01* -X83312000Y-136220200D01* -X83693000Y-136220200D01* -X83707866Y-136218736D01* -X83722160Y-136214400D01* -X83735334Y-136207358D01* -X83746882Y-136197882D01* -X83756358Y-136186334D01* -X83763400Y-136173160D01* -X83767736Y-136158866D01* -X83769200Y-136144000D01* -X83769200Y-135763000D01* -X83870800Y-135763000D01* -X83870800Y-136144000D01* -X83872264Y-136158866D01* -X83876600Y-136173160D01* -X83883642Y-136186334D01* -X83893118Y-136197882D01* -X83904666Y-136207358D01* -X83917840Y-136214400D01* -X83932134Y-136218736D01* -X83947000Y-136220200D01* -X84328000Y-136220200D01* -X84342866Y-136218736D01* -X84357160Y-136214400D01* -X84370334Y-136207358D01* -X84381882Y-136197882D01* -X84391358Y-136186334D01* -X84398400Y-136173160D01* -X84402736Y-136158866D01* -X84404200Y-136144000D01* -X84404200Y-135763000D01* -X85775800Y-135763000D01* -X85775800Y-136144000D01* -X85777264Y-136158866D01* -X85781600Y-136173160D01* -X85788642Y-136186334D01* -X85798118Y-136197882D01* -X85809666Y-136207358D01* -X85822840Y-136214400D01* -X85837134Y-136218736D01* -X85852000Y-136220200D01* -X86233000Y-136220200D01* -X86247866Y-136218736D01* -X86262160Y-136214400D01* -X86275334Y-136207358D01* -X86286882Y-136197882D01* -X86296358Y-136186334D01* -X86303400Y-136173160D01* -X86307736Y-136158866D01* -X86309200Y-136144000D01* -X86309200Y-135763000D01* -X86410800Y-135763000D01* -X86410800Y-136144000D01* -X86412264Y-136158866D01* -X86416600Y-136173160D01* -X86423642Y-136186334D01* -X86433118Y-136197882D01* -X86444666Y-136207358D01* -X86457840Y-136214400D01* -X86472134Y-136218736D01* -X86487000Y-136220200D01* -X86868000Y-136220200D01* -X86882866Y-136218736D01* -X86897160Y-136214400D01* -X86910334Y-136207358D01* -X86921882Y-136197882D01* -X86931358Y-136186334D01* -X86938400Y-136173160D01* -X86942736Y-136158866D01* -X86944200Y-136144000D01* -X86944200Y-135763000D01* -X88315800Y-135763000D01* -X88315800Y-136144000D01* -X88317264Y-136158866D01* -X88321600Y-136173160D01* -X88328642Y-136186334D01* -X88338118Y-136197882D01* -X88349666Y-136207358D01* -X88362840Y-136214400D01* -X88377134Y-136218736D01* -X88392000Y-136220200D01* -X88773000Y-136220200D01* -X88787866Y-136218736D01* -X88802160Y-136214400D01* -X88815334Y-136207358D01* -X88826882Y-136197882D01* -X88836358Y-136186334D01* -X88843400Y-136173160D01* -X88847736Y-136158866D01* -X88849200Y-136144000D01* -X88849200Y-135763000D01* -X88950800Y-135763000D01* -X88950800Y-136144000D01* -X88952264Y-136158866D01* -X88956600Y-136173160D01* -X88963642Y-136186334D01* -X88973118Y-136197882D01* -X88984666Y-136207358D01* -X88997840Y-136214400D01* -X89012134Y-136218736D01* -X89027000Y-136220200D01* -X89408000Y-136220200D01* -X89422866Y-136218736D01* -X89437160Y-136214400D01* -X89450334Y-136207358D01* -X89461882Y-136197882D01* -X89471358Y-136186334D01* -X89478400Y-136173160D01* -X89482736Y-136158866D01* -X89484200Y-136144000D01* -X89484200Y-135763000D01* -X90855800Y-135763000D01* -X90855800Y-136144000D01* -X90857264Y-136158866D01* -X90861600Y-136173160D01* -X90868642Y-136186334D01* -X90878118Y-136197882D01* -X90889666Y-136207358D01* -X90902840Y-136214400D01* -X90917134Y-136218736D01* -X90932000Y-136220200D01* -X91313000Y-136220200D01* -X91327866Y-136218736D01* -X91342160Y-136214400D01* -X91355334Y-136207358D01* -X91366882Y-136197882D01* -X91376358Y-136186334D01* -X91383400Y-136173160D01* -X91387736Y-136158866D01* -X91389200Y-136144000D01* -X91389200Y-135763000D01* -X91490800Y-135763000D01* -X91490800Y-136144000D01* -X91492264Y-136158866D01* -X91496600Y-136173160D01* -X91503642Y-136186334D01* -X91513118Y-136197882D01* -X91524666Y-136207358D01* -X91537840Y-136214400D01* -X91552134Y-136218736D01* -X91567000Y-136220200D01* -X91948000Y-136220200D01* -X91962866Y-136218736D01* -X91977160Y-136214400D01* -X91990334Y-136207358D01* -X92001882Y-136197882D01* -X92011358Y-136186334D01* -X92018400Y-136173160D01* -X92022736Y-136158866D01* -X92024200Y-136144000D01* -X92024200Y-135763000D01* -X93395800Y-135763000D01* -X93395800Y-136144000D01* -X93397264Y-136158866D01* -X93401600Y-136173160D01* -X93408642Y-136186334D01* -X93418118Y-136197882D01* -X93429666Y-136207358D01* -X93442840Y-136214400D01* -X93457134Y-136218736D01* -X93472000Y-136220200D01* -X93853000Y-136220200D01* -X93867866Y-136218736D01* -X93882160Y-136214400D01* -X93895334Y-136207358D01* -X93906882Y-136197882D01* -X93916358Y-136186334D01* -X93923400Y-136173160D01* -X93927736Y-136158866D01* -X93929200Y-136144000D01* -X93929200Y-135763000D01* -X94030800Y-135763000D01* -X94030800Y-136144000D01* -X94032264Y-136158866D01* -X94036600Y-136173160D01* -X94043642Y-136186334D01* -X94053118Y-136197882D01* -X94064666Y-136207358D01* -X94077840Y-136214400D01* -X94092134Y-136218736D01* -X94107000Y-136220200D01* -X94488000Y-136220200D01* -X94502866Y-136218736D01* -X94517160Y-136214400D01* -X94530334Y-136207358D01* -X94541882Y-136197882D01* -X94551358Y-136186334D01* -X94558400Y-136173160D01* -X94562736Y-136158866D01* -X94564200Y-136144000D01* -X94564200Y-135763000D01* -X95935800Y-135763000D01* -X95935800Y-136144000D01* -X95937264Y-136158866D01* -X95941600Y-136173160D01* -X95948642Y-136186334D01* -X95958118Y-136197882D01* -X95969666Y-136207358D01* -X95982840Y-136214400D01* -X95997134Y-136218736D01* -X96012000Y-136220200D01* -X96393000Y-136220200D01* -X96407866Y-136218736D01* -X96422160Y-136214400D01* -X96435334Y-136207358D01* -X96446882Y-136197882D01* -X96456358Y-136186334D01* -X96463400Y-136173160D01* -X96467736Y-136158866D01* -X96469200Y-136144000D01* -X96469200Y-135763000D01* -X96570800Y-135763000D01* -X96570800Y-136144000D01* -X96572264Y-136158866D01* -X96576600Y-136173160D01* -X96583642Y-136186334D01* -X96593118Y-136197882D01* -X96604666Y-136207358D01* -X96617840Y-136214400D01* -X96632134Y-136218736D01* -X96647000Y-136220200D01* -X97028000Y-136220200D01* -X97042866Y-136218736D01* -X97057160Y-136214400D01* -X97070334Y-136207358D01* -X97081882Y-136197882D01* -X97091358Y-136186334D01* -X97098400Y-136173160D01* -X97102736Y-136158866D01* -X97104200Y-136144000D01* -X97104200Y-135763000D01* -X98475800Y-135763000D01* -X98475800Y-136144000D01* -X98477264Y-136158866D01* -X98481600Y-136173160D01* -X98488642Y-136186334D01* -X98498118Y-136197882D01* -X98509666Y-136207358D01* -X98522840Y-136214400D01* -X98537134Y-136218736D01* -X98552000Y-136220200D01* -X98933000Y-136220200D01* -X98947866Y-136218736D01* -X98962160Y-136214400D01* -X98975334Y-136207358D01* -X98986882Y-136197882D01* -X98996358Y-136186334D01* -X99003400Y-136173160D01* -X99007736Y-136158866D01* -X99009200Y-136144000D01* -X99009200Y-135763000D01* -X99110800Y-135763000D01* -X99110800Y-136144000D01* -X99112264Y-136158866D01* -X99116600Y-136173160D01* -X99123642Y-136186334D01* -X99133118Y-136197882D01* -X99144666Y-136207358D01* -X99157840Y-136214400D01* -X99172134Y-136218736D01* -X99187000Y-136220200D01* -X99568000Y-136220200D01* -X99582866Y-136218736D01* -X99597160Y-136214400D01* -X99610334Y-136207358D01* -X99621882Y-136197882D01* -X99631358Y-136186334D01* -X99638400Y-136173160D01* -X99642736Y-136158866D01* -X99644200Y-136144000D01* -X99644200Y-135763000D01* -X101015800Y-135763000D01* -X101015800Y-136144000D01* -X101017264Y-136158866D01* -X101021600Y-136173160D01* -X101028642Y-136186334D01* -X101038118Y-136197882D01* -X101049666Y-136207358D01* -X101062840Y-136214400D01* -X101077134Y-136218736D01* -X101092000Y-136220200D01* -X101473000Y-136220200D01* -X101487866Y-136218736D01* -X101502160Y-136214400D01* -X101515334Y-136207358D01* -X101526882Y-136197882D01* -X101536358Y-136186334D01* -X101543400Y-136173160D01* -X101547736Y-136158866D01* -X101549200Y-136144000D01* -X101549200Y-135763000D01* -X101650800Y-135763000D01* -X101650800Y-136144000D01* -X101652264Y-136158866D01* -X101656600Y-136173160D01* -X101663642Y-136186334D01* -X101673118Y-136197882D01* -X101684666Y-136207358D01* -X101697840Y-136214400D01* -X101712134Y-136218736D01* -X101727000Y-136220200D01* -X102108000Y-136220200D01* -X102122866Y-136218736D01* -X102137160Y-136214400D01* -X102150334Y-136207358D01* -X102161882Y-136197882D01* -X102171358Y-136186334D01* -X102178400Y-136173160D01* -X102182736Y-136158866D01* -X102184200Y-136144000D01* -X102184200Y-135763000D01* -X103555800Y-135763000D01* -X103555800Y-136144000D01* -X103557264Y-136158866D01* -X103561600Y-136173160D01* -X103568642Y-136186334D01* -X103578118Y-136197882D01* -X103589666Y-136207358D01* -X103602840Y-136214400D01* -X103617134Y-136218736D01* -X103632000Y-136220200D01* -X104013000Y-136220200D01* -X104027866Y-136218736D01* -X104042160Y-136214400D01* -X104055334Y-136207358D01* -X104066882Y-136197882D01* -X104076358Y-136186334D01* -X104083400Y-136173160D01* -X104087736Y-136158866D01* -X104089200Y-136144000D01* -X104089200Y-135763000D01* -X104190800Y-135763000D01* -X104190800Y-136144000D01* -X104192264Y-136158866D01* -X104196600Y-136173160D01* -X104203642Y-136186334D01* -X104213118Y-136197882D01* -X104224666Y-136207358D01* -X104237840Y-136214400D01* -X104252134Y-136218736D01* -X104267000Y-136220200D01* -X104648000Y-136220200D01* -X104662866Y-136218736D01* -X104677160Y-136214400D01* -X104690334Y-136207358D01* -X104701882Y-136197882D01* -X104711358Y-136186334D01* -X104718400Y-136173160D01* -X104722736Y-136158866D01* -X104724200Y-136144000D01* -X104724200Y-135763000D01* -X106095800Y-135763000D01* -X106095800Y-136144000D01* -X106097264Y-136158866D01* -X106101600Y-136173160D01* -X106108642Y-136186334D01* -X106118118Y-136197882D01* -X106129666Y-136207358D01* -X106142840Y-136214400D01* -X106157134Y-136218736D01* -X106172000Y-136220200D01* -X106553000Y-136220200D01* -X106567866Y-136218736D01* -X106582160Y-136214400D01* -X106595334Y-136207358D01* -X106606882Y-136197882D01* -X106616358Y-136186334D01* -X106623400Y-136173160D01* -X106627736Y-136158866D01* -X106629200Y-136144000D01* -X106629200Y-135763000D01* -X106730800Y-135763000D01* -X106730800Y-136144000D01* -X106732264Y-136158866D01* -X106736600Y-136173160D01* -X106743642Y-136186334D01* -X106753118Y-136197882D01* -X106764666Y-136207358D01* -X106777840Y-136214400D01* -X106792134Y-136218736D01* -X106807000Y-136220200D01* -X107188000Y-136220200D01* -X107202866Y-136218736D01* -X107217160Y-136214400D01* -X107230334Y-136207358D01* -X107241882Y-136197882D01* -X107251358Y-136186334D01* -X107258400Y-136173160D01* -X107262736Y-136158866D01* -X107264200Y-136144000D01* -X107264200Y-135763000D01* -X108635800Y-135763000D01* -X108635800Y-136144000D01* -X108637264Y-136158866D01* -X108641600Y-136173160D01* -X108648642Y-136186334D01* -X108658118Y-136197882D01* -X108669666Y-136207358D01* -X108682840Y-136214400D01* -X108697134Y-136218736D01* -X108712000Y-136220200D01* -X109093000Y-136220200D01* -X109107866Y-136218736D01* -X109122160Y-136214400D01* -X109135334Y-136207358D01* -X109146882Y-136197882D01* -X109156358Y-136186334D01* -X109163400Y-136173160D01* -X109167736Y-136158866D01* -X109169200Y-136144000D01* -X109169200Y-135763000D01* -X109270800Y-135763000D01* -X109270800Y-136144000D01* -X109272264Y-136158866D01* -X109276600Y-136173160D01* -X109283642Y-136186334D01* -X109293118Y-136197882D01* -X109304666Y-136207358D01* -X109317840Y-136214400D01* -X109332134Y-136218736D01* -X109347000Y-136220200D01* -X109728000Y-136220200D01* -X109742866Y-136218736D01* -X109757160Y-136214400D01* -X109770334Y-136207358D01* -X109781882Y-136197882D01* -X109791358Y-136186334D01* -X109798400Y-136173160D01* -X109802736Y-136158866D01* -X109804200Y-136144000D01* -X109804200Y-135763000D01* -X111175800Y-135763000D01* -X111175800Y-136144000D01* -X111177264Y-136158866D01* -X111181600Y-136173160D01* -X111188642Y-136186334D01* -X111198118Y-136197882D01* -X111209666Y-136207358D01* -X111222840Y-136214400D01* -X111237134Y-136218736D01* -X111252000Y-136220200D01* -X111633000Y-136220200D01* -X111647866Y-136218736D01* -X111662160Y-136214400D01* -X111675334Y-136207358D01* -X111686882Y-136197882D01* -X111696358Y-136186334D01* -X111703400Y-136173160D01* -X111707736Y-136158866D01* -X111709200Y-136144000D01* -X111709200Y-135763000D01* -X111810800Y-135763000D01* -X111810800Y-136144000D01* -X111812264Y-136158866D01* -X111816600Y-136173160D01* -X111823642Y-136186334D01* -X111833118Y-136197882D01* -X111844666Y-136207358D01* -X111857840Y-136214400D01* -X111872134Y-136218736D01* -X111887000Y-136220200D01* -X112268000Y-136220200D01* -X112282866Y-136218736D01* -X112297160Y-136214400D01* -X112310334Y-136207358D01* -X112321882Y-136197882D01* -X112331358Y-136186334D01* -X112338400Y-136173160D01* -X112342736Y-136158866D01* -X112344200Y-136144000D01* -X112344200Y-135763000D01* -X113715800Y-135763000D01* -X113715800Y-136144000D01* -X113717264Y-136158866D01* -X113721600Y-136173160D01* -X113728642Y-136186334D01* -X113738118Y-136197882D01* -X113749666Y-136207358D01* -X113762840Y-136214400D01* -X113777134Y-136218736D01* -X113792000Y-136220200D01* -X114173000Y-136220200D01* -X114187866Y-136218736D01* -X114202160Y-136214400D01* -X114215334Y-136207358D01* -X114226882Y-136197882D01* -X114236358Y-136186334D01* -X114243400Y-136173160D01* -X114247736Y-136158866D01* -X114249200Y-136144000D01* -X114249200Y-135763000D01* -X114350800Y-135763000D01* -X114350800Y-136144000D01* -X114352264Y-136158866D01* -X114356600Y-136173160D01* -X114363642Y-136186334D01* -X114373118Y-136197882D01* -X114384666Y-136207358D01* -X114397840Y-136214400D01* -X114412134Y-136218736D01* -X114427000Y-136220200D01* -X114808000Y-136220200D01* -X114822866Y-136218736D01* -X114837160Y-136214400D01* -X114850334Y-136207358D01* -X114861882Y-136197882D01* -X114871358Y-136186334D01* -X114878400Y-136173160D01* -X114882736Y-136158866D01* -X114884200Y-136144000D01* -X114884200Y-135763000D01* -X121335800Y-135763000D01* -X121335800Y-136144000D01* -X121337264Y-136158866D01* -X121341600Y-136173160D01* -X121348642Y-136186334D01* -X121358118Y-136197882D01* -X121369666Y-136207358D01* -X121382840Y-136214400D01* -X121397134Y-136218736D01* -X121412000Y-136220200D01* -X121793000Y-136220200D01* -X121807866Y-136218736D01* -X121822160Y-136214400D01* -X121835334Y-136207358D01* -X121846882Y-136197882D01* -X121856358Y-136186334D01* -X121863400Y-136173160D01* -X121867736Y-136158866D01* -X121869200Y-136144000D01* -X121869200Y-135763000D01* -X121970800Y-135763000D01* -X121970800Y-136144000D01* -X121972264Y-136158866D01* -X121976600Y-136173160D01* -X121983642Y-136186334D01* -X121993118Y-136197882D01* -X122004666Y-136207358D01* -X122017840Y-136214400D01* -X122032134Y-136218736D01* -X122047000Y-136220200D01* -X122428000Y-136220200D01* -X122442866Y-136218736D01* -X122457160Y-136214400D01* -X122470334Y-136207358D01* -X122481882Y-136197882D01* -X122491358Y-136186334D01* -X122498400Y-136173160D01* -X122502736Y-136158866D01* -X122504200Y-136144000D01* -X122504200Y-135763000D01* -X123875800Y-135763000D01* -X123875800Y-136144000D01* -X123877264Y-136158866D01* -X123881600Y-136173160D01* -X123888642Y-136186334D01* -X123898118Y-136197882D01* -X123909666Y-136207358D01* -X123922840Y-136214400D01* -X123937134Y-136218736D01* -X123952000Y-136220200D01* -X124333000Y-136220200D01* -X124347866Y-136218736D01* -X124362160Y-136214400D01* -X124375334Y-136207358D01* -X124386882Y-136197882D01* -X124396358Y-136186334D01* -X124403400Y-136173160D01* -X124407736Y-136158866D01* -X124409200Y-136144000D01* -X124409200Y-135763000D01* -X124510800Y-135763000D01* -X124510800Y-136144000D01* -X124512264Y-136158866D01* -X124516600Y-136173160D01* -X124523642Y-136186334D01* -X124533118Y-136197882D01* -X124544666Y-136207358D01* -X124557840Y-136214400D01* -X124572134Y-136218736D01* -X124587000Y-136220200D01* -X124968000Y-136220200D01* -X124982866Y-136218736D01* -X124997160Y-136214400D01* -X125010334Y-136207358D01* -X125021882Y-136197882D01* -X125031358Y-136186334D01* -X125038400Y-136173160D01* -X125042736Y-136158866D01* -X125044200Y-136144000D01* -X125044200Y-135763000D01* -X126415800Y-135763000D01* -X126415800Y-136144000D01* -X126417264Y-136158866D01* -X126421600Y-136173160D01* -X126428642Y-136186334D01* -X126438118Y-136197882D01* -X126449666Y-136207358D01* -X126462840Y-136214400D01* -X126477134Y-136218736D01* -X126492000Y-136220200D01* -X126873000Y-136220200D01* -X126887866Y-136218736D01* -X126902160Y-136214400D01* -X126915334Y-136207358D01* -X126926882Y-136197882D01* -X126936358Y-136186334D01* -X126943400Y-136173160D01* -X126947736Y-136158866D01* -X126949200Y-136144000D01* -X126949200Y-135763000D01* -X127050800Y-135763000D01* -X127050800Y-136144000D01* -X127052264Y-136158866D01* -X127056600Y-136173160D01* -X127063642Y-136186334D01* -X127073118Y-136197882D01* -X127084666Y-136207358D01* -X127097840Y-136214400D01* -X127112134Y-136218736D01* -X127127000Y-136220200D01* -X127508000Y-136220200D01* -X127522866Y-136218736D01* -X127537160Y-136214400D01* -X127550334Y-136207358D01* -X127561882Y-136197882D01* -X127571358Y-136186334D01* -X127578400Y-136173160D01* -X127582736Y-136158866D01* -X127584200Y-136144000D01* -X127584200Y-135763000D01* -X128955800Y-135763000D01* -X128955800Y-136144000D01* -X128957264Y-136158866D01* -X128961600Y-136173160D01* -X128968642Y-136186334D01* -X128978118Y-136197882D01* -X128989666Y-136207358D01* -X129002840Y-136214400D01* -X129017134Y-136218736D01* -X129032000Y-136220200D01* -X129413000Y-136220200D01* -X129427866Y-136218736D01* -X129442160Y-136214400D01* -X129455334Y-136207358D01* -X129466882Y-136197882D01* -X129476358Y-136186334D01* -X129483400Y-136173160D01* -X129487736Y-136158866D01* -X129489200Y-136144000D01* -X129489200Y-135763000D01* -X129590800Y-135763000D01* -X129590800Y-136144000D01* -X129592264Y-136158866D01* -X129596600Y-136173160D01* -X129603642Y-136186334D01* -X129613118Y-136197882D01* -X129624666Y-136207358D01* -X129637840Y-136214400D01* -X129652134Y-136218736D01* -X129667000Y-136220200D01* -X130048000Y-136220200D01* -X130062866Y-136218736D01* -X130077160Y-136214400D01* -X130090334Y-136207358D01* -X130101882Y-136197882D01* -X130111358Y-136186334D01* -X130118400Y-136173160D01* -X130122736Y-136158866D01* -X130124200Y-136144000D01* -X130124200Y-135763000D01* -X131495800Y-135763000D01* -X131495800Y-136144000D01* -X131497264Y-136158866D01* -X131501600Y-136173160D01* -X131508642Y-136186334D01* -X131518118Y-136197882D01* -X131529666Y-136207358D01* -X131542840Y-136214400D01* -X131557134Y-136218736D01* -X131572000Y-136220200D01* -X131953000Y-136220200D01* -X131967866Y-136218736D01* -X131982160Y-136214400D01* -X131995334Y-136207358D01* -X132006882Y-136197882D01* -X132016358Y-136186334D01* -X132023400Y-136173160D01* -X132027736Y-136158866D01* -X132029200Y-136144000D01* -X132029200Y-135763000D01* -X132130800Y-135763000D01* -X132130800Y-136144000D01* -X132132264Y-136158866D01* -X132136600Y-136173160D01* -X132143642Y-136186334D01* -X132153118Y-136197882D01* -X132164666Y-136207358D01* -X132177840Y-136214400D01* -X132192134Y-136218736D01* -X132207000Y-136220200D01* -X132588000Y-136220200D01* -X132602866Y-136218736D01* -X132617160Y-136214400D01* -X132630334Y-136207358D01* -X132641882Y-136197882D01* -X132651358Y-136186334D01* -X132658400Y-136173160D01* -X132662736Y-136158866D01* -X132664200Y-136144000D01* -X132664200Y-135763000D01* -X134035800Y-135763000D01* -X134035800Y-136144000D01* -X134037264Y-136158866D01* -X134041600Y-136173160D01* -X134048642Y-136186334D01* -X134058118Y-136197882D01* -X134069666Y-136207358D01* -X134082840Y-136214400D01* -X134097134Y-136218736D01* -X134112000Y-136220200D01* -X134493000Y-136220200D01* -X134507866Y-136218736D01* -X134522160Y-136214400D01* -X134535334Y-136207358D01* -X134546882Y-136197882D01* -X134556358Y-136186334D01* -X134563400Y-136173160D01* -X134567736Y-136158866D01* -X134569200Y-136144000D01* -X134569200Y-135763000D01* -X134670800Y-135763000D01* -X134670800Y-136144000D01* -X134672264Y-136158866D01* -X134676600Y-136173160D01* -X134683642Y-136186334D01* -X134693118Y-136197882D01* -X134704666Y-136207358D01* -X134717840Y-136214400D01* -X134732134Y-136218736D01* -X134747000Y-136220200D01* -X135128000Y-136220200D01* -X135142866Y-136218736D01* -X135157160Y-136214400D01* -X135170334Y-136207358D01* -X135181882Y-136197882D01* -X135191358Y-136186334D01* -X135198400Y-136173160D01* -X135202736Y-136158866D01* -X135204200Y-136144000D01* -X135204200Y-135763000D01* -X135202736Y-135748134D01* -X135198400Y-135733840D01* -X135191358Y-135720666D01* -X135181882Y-135709118D01* -X135170334Y-135699642D01* -X135157160Y-135692600D01* -X135142866Y-135688264D01* -X135128000Y-135686800D01* -X134747000Y-135686800D01* -X134732134Y-135688264D01* -X134717840Y-135692600D01* -X134704666Y-135699642D01* -X134693118Y-135709118D01* -X134683642Y-135720666D01* -X134676600Y-135733840D01* -X134672264Y-135748134D01* -X134670800Y-135763000D01* -X134569200Y-135763000D01* -X134567736Y-135748134D01* -X134563400Y-135733840D01* -X134556358Y-135720666D01* -X134546882Y-135709118D01* -X134535334Y-135699642D01* -X134522160Y-135692600D01* -X134507866Y-135688264D01* -X134493000Y-135686800D01* -X134112000Y-135686800D01* -X134097134Y-135688264D01* -X134082840Y-135692600D01* -X134069666Y-135699642D01* -X134058118Y-135709118D01* -X134048642Y-135720666D01* -X134041600Y-135733840D01* -X134037264Y-135748134D01* -X134035800Y-135763000D01* -X132664200Y-135763000D01* -X132662736Y-135748134D01* -X132658400Y-135733840D01* -X132651358Y-135720666D01* -X132641882Y-135709118D01* -X132630334Y-135699642D01* -X132617160Y-135692600D01* -X132602866Y-135688264D01* -X132588000Y-135686800D01* -X132207000Y-135686800D01* -X132192134Y-135688264D01* -X132177840Y-135692600D01* -X132164666Y-135699642D01* -X132153118Y-135709118D01* -X132143642Y-135720666D01* -X132136600Y-135733840D01* -X132132264Y-135748134D01* -X132130800Y-135763000D01* -X132029200Y-135763000D01* -X132027736Y-135748134D01* -X132023400Y-135733840D01* -X132016358Y-135720666D01* -X132006882Y-135709118D01* -X131995334Y-135699642D01* -X131982160Y-135692600D01* -X131967866Y-135688264D01* -X131953000Y-135686800D01* -X131572000Y-135686800D01* -X131557134Y-135688264D01* -X131542840Y-135692600D01* -X131529666Y-135699642D01* -X131518118Y-135709118D01* -X131508642Y-135720666D01* -X131501600Y-135733840D01* -X131497264Y-135748134D01* -X131495800Y-135763000D01* -X130124200Y-135763000D01* -X130122736Y-135748134D01* -X130118400Y-135733840D01* -X130111358Y-135720666D01* -X130101882Y-135709118D01* -X130090334Y-135699642D01* -X130077160Y-135692600D01* -X130062866Y-135688264D01* -X130048000Y-135686800D01* -X129667000Y-135686800D01* -X129652134Y-135688264D01* -X129637840Y-135692600D01* -X129624666Y-135699642D01* -X129613118Y-135709118D01* -X129603642Y-135720666D01* -X129596600Y-135733840D01* -X129592264Y-135748134D01* -X129590800Y-135763000D01* -X129489200Y-135763000D01* -X129487736Y-135748134D01* -X129483400Y-135733840D01* -X129476358Y-135720666D01* -X129466882Y-135709118D01* -X129455334Y-135699642D01* -X129442160Y-135692600D01* -X129427866Y-135688264D01* -X129413000Y-135686800D01* -X129032000Y-135686800D01* -X129017134Y-135688264D01* -X129002840Y-135692600D01* -X128989666Y-135699642D01* -X128978118Y-135709118D01* -X128968642Y-135720666D01* -X128961600Y-135733840D01* -X128957264Y-135748134D01* -X128955800Y-135763000D01* -X127584200Y-135763000D01* -X127582736Y-135748134D01* -X127578400Y-135733840D01* -X127571358Y-135720666D01* -X127561882Y-135709118D01* -X127550334Y-135699642D01* -X127537160Y-135692600D01* -X127522866Y-135688264D01* -X127508000Y-135686800D01* -X127127000Y-135686800D01* -X127112134Y-135688264D01* -X127097840Y-135692600D01* -X127084666Y-135699642D01* -X127073118Y-135709118D01* -X127063642Y-135720666D01* -X127056600Y-135733840D01* -X127052264Y-135748134D01* -X127050800Y-135763000D01* -X126949200Y-135763000D01* -X126947736Y-135748134D01* -X126943400Y-135733840D01* -X126936358Y-135720666D01* -X126926882Y-135709118D01* -X126915334Y-135699642D01* -X126902160Y-135692600D01* -X126887866Y-135688264D01* -X126873000Y-135686800D01* -X126492000Y-135686800D01* -X126477134Y-135688264D01* -X126462840Y-135692600D01* -X126449666Y-135699642D01* -X126438118Y-135709118D01* -X126428642Y-135720666D01* -X126421600Y-135733840D01* -X126417264Y-135748134D01* -X126415800Y-135763000D01* -X125044200Y-135763000D01* -X125042736Y-135748134D01* -X125038400Y-135733840D01* -X125031358Y-135720666D01* -X125021882Y-135709118D01* -X125010334Y-135699642D01* -X124997160Y-135692600D01* -X124982866Y-135688264D01* -X124968000Y-135686800D01* -X124587000Y-135686800D01* -X124572134Y-135688264D01* -X124557840Y-135692600D01* -X124544666Y-135699642D01* -X124533118Y-135709118D01* -X124523642Y-135720666D01* -X124516600Y-135733840D01* -X124512264Y-135748134D01* -X124510800Y-135763000D01* -X124409200Y-135763000D01* -X124407736Y-135748134D01* -X124403400Y-135733840D01* -X124396358Y-135720666D01* -X124386882Y-135709118D01* -X124375334Y-135699642D01* -X124362160Y-135692600D01* -X124347866Y-135688264D01* -X124333000Y-135686800D01* -X123952000Y-135686800D01* -X123937134Y-135688264D01* -X123922840Y-135692600D01* -X123909666Y-135699642D01* -X123898118Y-135709118D01* -X123888642Y-135720666D01* -X123881600Y-135733840D01* -X123877264Y-135748134D01* -X123875800Y-135763000D01* -X122504200Y-135763000D01* -X122502736Y-135748134D01* -X122498400Y-135733840D01* -X122491358Y-135720666D01* -X122481882Y-135709118D01* -X122470334Y-135699642D01* -X122457160Y-135692600D01* -X122442866Y-135688264D01* -X122428000Y-135686800D01* -X122047000Y-135686800D01* -X122032134Y-135688264D01* -X122017840Y-135692600D01* -X122004666Y-135699642D01* -X121993118Y-135709118D01* -X121983642Y-135720666D01* -X121976600Y-135733840D01* -X121972264Y-135748134D01* -X121970800Y-135763000D01* -X121869200Y-135763000D01* -X121867736Y-135748134D01* -X121863400Y-135733840D01* -X121856358Y-135720666D01* -X121846882Y-135709118D01* -X121835334Y-135699642D01* -X121822160Y-135692600D01* -X121807866Y-135688264D01* -X121793000Y-135686800D01* -X121412000Y-135686800D01* -X121397134Y-135688264D01* -X121382840Y-135692600D01* -X121369666Y-135699642D01* -X121358118Y-135709118D01* -X121348642Y-135720666D01* -X121341600Y-135733840D01* -X121337264Y-135748134D01* -X121335800Y-135763000D01* -X114884200Y-135763000D01* -X114882736Y-135748134D01* -X114878400Y-135733840D01* -X114871358Y-135720666D01* -X114861882Y-135709118D01* -X114850334Y-135699642D01* -X114837160Y-135692600D01* -X114822866Y-135688264D01* -X114808000Y-135686800D01* -X114427000Y-135686800D01* -X114412134Y-135688264D01* -X114397840Y-135692600D01* -X114384666Y-135699642D01* -X114373118Y-135709118D01* -X114363642Y-135720666D01* -X114356600Y-135733840D01* -X114352264Y-135748134D01* -X114350800Y-135763000D01* -X114249200Y-135763000D01* -X114247736Y-135748134D01* -X114243400Y-135733840D01* -X114236358Y-135720666D01* -X114226882Y-135709118D01* -X114215334Y-135699642D01* -X114202160Y-135692600D01* -X114187866Y-135688264D01* -X114173000Y-135686800D01* -X113792000Y-135686800D01* -X113777134Y-135688264D01* -X113762840Y-135692600D01* -X113749666Y-135699642D01* -X113738118Y-135709118D01* -X113728642Y-135720666D01* -X113721600Y-135733840D01* -X113717264Y-135748134D01* -X113715800Y-135763000D01* -X112344200Y-135763000D01* -X112342736Y-135748134D01* -X112338400Y-135733840D01* -X112331358Y-135720666D01* -X112321882Y-135709118D01* -X112310334Y-135699642D01* -X112297160Y-135692600D01* -X112282866Y-135688264D01* -X112268000Y-135686800D01* -X111887000Y-135686800D01* -X111872134Y-135688264D01* -X111857840Y-135692600D01* -X111844666Y-135699642D01* -X111833118Y-135709118D01* -X111823642Y-135720666D01* -X111816600Y-135733840D01* -X111812264Y-135748134D01* -X111810800Y-135763000D01* -X111709200Y-135763000D01* -X111707736Y-135748134D01* -X111703400Y-135733840D01* -X111696358Y-135720666D01* -X111686882Y-135709118D01* -X111675334Y-135699642D01* -X111662160Y-135692600D01* -X111647866Y-135688264D01* -X111633000Y-135686800D01* -X111252000Y-135686800D01* -X111237134Y-135688264D01* -X111222840Y-135692600D01* -X111209666Y-135699642D01* -X111198118Y-135709118D01* -X111188642Y-135720666D01* -X111181600Y-135733840D01* -X111177264Y-135748134D01* -X111175800Y-135763000D01* -X109804200Y-135763000D01* -X109802736Y-135748134D01* -X109798400Y-135733840D01* -X109791358Y-135720666D01* -X109781882Y-135709118D01* -X109770334Y-135699642D01* -X109757160Y-135692600D01* -X109742866Y-135688264D01* -X109728000Y-135686800D01* -X109347000Y-135686800D01* -X109332134Y-135688264D01* -X109317840Y-135692600D01* -X109304666Y-135699642D01* -X109293118Y-135709118D01* -X109283642Y-135720666D01* -X109276600Y-135733840D01* -X109272264Y-135748134D01* -X109270800Y-135763000D01* -X109169200Y-135763000D01* -X109167736Y-135748134D01* -X109163400Y-135733840D01* -X109156358Y-135720666D01* -X109146882Y-135709118D01* -X109135334Y-135699642D01* -X109122160Y-135692600D01* -X109107866Y-135688264D01* -X109093000Y-135686800D01* -X108712000Y-135686800D01* -X108697134Y-135688264D01* -X108682840Y-135692600D01* -X108669666Y-135699642D01* -X108658118Y-135709118D01* -X108648642Y-135720666D01* -X108641600Y-135733840D01* -X108637264Y-135748134D01* -X108635800Y-135763000D01* -X107264200Y-135763000D01* -X107262736Y-135748134D01* -X107258400Y-135733840D01* -X107251358Y-135720666D01* -X107241882Y-135709118D01* -X107230334Y-135699642D01* -X107217160Y-135692600D01* -X107202866Y-135688264D01* -X107188000Y-135686800D01* -X106807000Y-135686800D01* -X106792134Y-135688264D01* -X106777840Y-135692600D01* -X106764666Y-135699642D01* -X106753118Y-135709118D01* -X106743642Y-135720666D01* -X106736600Y-135733840D01* -X106732264Y-135748134D01* -X106730800Y-135763000D01* -X106629200Y-135763000D01* -X106627736Y-135748134D01* -X106623400Y-135733840D01* -X106616358Y-135720666D01* -X106606882Y-135709118D01* -X106595334Y-135699642D01* -X106582160Y-135692600D01* -X106567866Y-135688264D01* -X106553000Y-135686800D01* -X106172000Y-135686800D01* -X106157134Y-135688264D01* -X106142840Y-135692600D01* -X106129666Y-135699642D01* -X106118118Y-135709118D01* -X106108642Y-135720666D01* -X106101600Y-135733840D01* -X106097264Y-135748134D01* -X106095800Y-135763000D01* -X104724200Y-135763000D01* -X104722736Y-135748134D01* -X104718400Y-135733840D01* -X104711358Y-135720666D01* -X104701882Y-135709118D01* -X104690334Y-135699642D01* -X104677160Y-135692600D01* -X104662866Y-135688264D01* -X104648000Y-135686800D01* -X104267000Y-135686800D01* -X104252134Y-135688264D01* -X104237840Y-135692600D01* -X104224666Y-135699642D01* -X104213118Y-135709118D01* -X104203642Y-135720666D01* -X104196600Y-135733840D01* -X104192264Y-135748134D01* -X104190800Y-135763000D01* -X104089200Y-135763000D01* -X104087736Y-135748134D01* -X104083400Y-135733840D01* -X104076358Y-135720666D01* -X104066882Y-135709118D01* -X104055334Y-135699642D01* -X104042160Y-135692600D01* -X104027866Y-135688264D01* -X104013000Y-135686800D01* -X103632000Y-135686800D01* -X103617134Y-135688264D01* -X103602840Y-135692600D01* -X103589666Y-135699642D01* -X103578118Y-135709118D01* -X103568642Y-135720666D01* -X103561600Y-135733840D01* -X103557264Y-135748134D01* -X103555800Y-135763000D01* -X102184200Y-135763000D01* -X102182736Y-135748134D01* -X102178400Y-135733840D01* -X102171358Y-135720666D01* -X102161882Y-135709118D01* -X102150334Y-135699642D01* -X102137160Y-135692600D01* -X102122866Y-135688264D01* -X102108000Y-135686800D01* -X101727000Y-135686800D01* -X101712134Y-135688264D01* -X101697840Y-135692600D01* -X101684666Y-135699642D01* -X101673118Y-135709118D01* -X101663642Y-135720666D01* -X101656600Y-135733840D01* -X101652264Y-135748134D01* -X101650800Y-135763000D01* -X101549200Y-135763000D01* -X101547736Y-135748134D01* -X101543400Y-135733840D01* -X101536358Y-135720666D01* -X101526882Y-135709118D01* -X101515334Y-135699642D01* -X101502160Y-135692600D01* -X101487866Y-135688264D01* -X101473000Y-135686800D01* -X101092000Y-135686800D01* -X101077134Y-135688264D01* -X101062840Y-135692600D01* -X101049666Y-135699642D01* -X101038118Y-135709118D01* -X101028642Y-135720666D01* -X101021600Y-135733840D01* -X101017264Y-135748134D01* -X101015800Y-135763000D01* -X99644200Y-135763000D01* -X99642736Y-135748134D01* -X99638400Y-135733840D01* -X99631358Y-135720666D01* -X99621882Y-135709118D01* -X99610334Y-135699642D01* -X99597160Y-135692600D01* -X99582866Y-135688264D01* -X99568000Y-135686800D01* -X99187000Y-135686800D01* -X99172134Y-135688264D01* -X99157840Y-135692600D01* -X99144666Y-135699642D01* -X99133118Y-135709118D01* -X99123642Y-135720666D01* -X99116600Y-135733840D01* -X99112264Y-135748134D01* -X99110800Y-135763000D01* -X99009200Y-135763000D01* -X99007736Y-135748134D01* -X99003400Y-135733840D01* -X98996358Y-135720666D01* -X98986882Y-135709118D01* -X98975334Y-135699642D01* -X98962160Y-135692600D01* -X98947866Y-135688264D01* -X98933000Y-135686800D01* -X98552000Y-135686800D01* -X98537134Y-135688264D01* -X98522840Y-135692600D01* -X98509666Y-135699642D01* -X98498118Y-135709118D01* -X98488642Y-135720666D01* -X98481600Y-135733840D01* -X98477264Y-135748134D01* -X98475800Y-135763000D01* -X97104200Y-135763000D01* -X97102736Y-135748134D01* -X97098400Y-135733840D01* -X97091358Y-135720666D01* -X97081882Y-135709118D01* -X97070334Y-135699642D01* -X97057160Y-135692600D01* -X97042866Y-135688264D01* -X97028000Y-135686800D01* -X96647000Y-135686800D01* -X96632134Y-135688264D01* -X96617840Y-135692600D01* -X96604666Y-135699642D01* -X96593118Y-135709118D01* -X96583642Y-135720666D01* -X96576600Y-135733840D01* -X96572264Y-135748134D01* -X96570800Y-135763000D01* -X96469200Y-135763000D01* -X96467736Y-135748134D01* -X96463400Y-135733840D01* -X96456358Y-135720666D01* -X96446882Y-135709118D01* -X96435334Y-135699642D01* -X96422160Y-135692600D01* -X96407866Y-135688264D01* -X96393000Y-135686800D01* -X96012000Y-135686800D01* -X95997134Y-135688264D01* -X95982840Y-135692600D01* -X95969666Y-135699642D01* -X95958118Y-135709118D01* -X95948642Y-135720666D01* -X95941600Y-135733840D01* -X95937264Y-135748134D01* -X95935800Y-135763000D01* -X94564200Y-135763000D01* -X94562736Y-135748134D01* -X94558400Y-135733840D01* -X94551358Y-135720666D01* -X94541882Y-135709118D01* -X94530334Y-135699642D01* -X94517160Y-135692600D01* -X94502866Y-135688264D01* -X94488000Y-135686800D01* -X94107000Y-135686800D01* -X94092134Y-135688264D01* -X94077840Y-135692600D01* -X94064666Y-135699642D01* -X94053118Y-135709118D01* -X94043642Y-135720666D01* -X94036600Y-135733840D01* -X94032264Y-135748134D01* -X94030800Y-135763000D01* -X93929200Y-135763000D01* -X93927736Y-135748134D01* -X93923400Y-135733840D01* -X93916358Y-135720666D01* -X93906882Y-135709118D01* -X93895334Y-135699642D01* -X93882160Y-135692600D01* -X93867866Y-135688264D01* -X93853000Y-135686800D01* -X93472000Y-135686800D01* -X93457134Y-135688264D01* -X93442840Y-135692600D01* -X93429666Y-135699642D01* -X93418118Y-135709118D01* -X93408642Y-135720666D01* -X93401600Y-135733840D01* -X93397264Y-135748134D01* -X93395800Y-135763000D01* -X92024200Y-135763000D01* -X92022736Y-135748134D01* -X92018400Y-135733840D01* -X92011358Y-135720666D01* -X92001882Y-135709118D01* -X91990334Y-135699642D01* -X91977160Y-135692600D01* -X91962866Y-135688264D01* -X91948000Y-135686800D01* -X91567000Y-135686800D01* -X91552134Y-135688264D01* -X91537840Y-135692600D01* -X91524666Y-135699642D01* -X91513118Y-135709118D01* -X91503642Y-135720666D01* -X91496600Y-135733840D01* -X91492264Y-135748134D01* -X91490800Y-135763000D01* -X91389200Y-135763000D01* -X91387736Y-135748134D01* -X91383400Y-135733840D01* -X91376358Y-135720666D01* -X91366882Y-135709118D01* -X91355334Y-135699642D01* -X91342160Y-135692600D01* -X91327866Y-135688264D01* -X91313000Y-135686800D01* -X90932000Y-135686800D01* -X90917134Y-135688264D01* -X90902840Y-135692600D01* -X90889666Y-135699642D01* -X90878118Y-135709118D01* -X90868642Y-135720666D01* -X90861600Y-135733840D01* -X90857264Y-135748134D01* -X90855800Y-135763000D01* -X89484200Y-135763000D01* -X89482736Y-135748134D01* -X89478400Y-135733840D01* -X89471358Y-135720666D01* -X89461882Y-135709118D01* -X89450334Y-135699642D01* -X89437160Y-135692600D01* -X89422866Y-135688264D01* -X89408000Y-135686800D01* -X89027000Y-135686800D01* -X89012134Y-135688264D01* -X88997840Y-135692600D01* -X88984666Y-135699642D01* -X88973118Y-135709118D01* -X88963642Y-135720666D01* -X88956600Y-135733840D01* -X88952264Y-135748134D01* -X88950800Y-135763000D01* -X88849200Y-135763000D01* -X88847736Y-135748134D01* -X88843400Y-135733840D01* -X88836358Y-135720666D01* -X88826882Y-135709118D01* -X88815334Y-135699642D01* -X88802160Y-135692600D01* -X88787866Y-135688264D01* -X88773000Y-135686800D01* -X88392000Y-135686800D01* -X88377134Y-135688264D01* -X88362840Y-135692600D01* -X88349666Y-135699642D01* -X88338118Y-135709118D01* -X88328642Y-135720666D01* -X88321600Y-135733840D01* -X88317264Y-135748134D01* -X88315800Y-135763000D01* -X86944200Y-135763000D01* -X86942736Y-135748134D01* -X86938400Y-135733840D01* -X86931358Y-135720666D01* -X86921882Y-135709118D01* -X86910334Y-135699642D01* -X86897160Y-135692600D01* -X86882866Y-135688264D01* -X86868000Y-135686800D01* -X86487000Y-135686800D01* -X86472134Y-135688264D01* -X86457840Y-135692600D01* -X86444666Y-135699642D01* -X86433118Y-135709118D01* -X86423642Y-135720666D01* -X86416600Y-135733840D01* -X86412264Y-135748134D01* -X86410800Y-135763000D01* -X86309200Y-135763000D01* -X86307736Y-135748134D01* -X86303400Y-135733840D01* -X86296358Y-135720666D01* -X86286882Y-135709118D01* -X86275334Y-135699642D01* -X86262160Y-135692600D01* -X86247866Y-135688264D01* -X86233000Y-135686800D01* -X85852000Y-135686800D01* -X85837134Y-135688264D01* -X85822840Y-135692600D01* -X85809666Y-135699642D01* -X85798118Y-135709118D01* -X85788642Y-135720666D01* -X85781600Y-135733840D01* -X85777264Y-135748134D01* -X85775800Y-135763000D01* -X84404200Y-135763000D01* -X84402736Y-135748134D01* -X84398400Y-135733840D01* -X84391358Y-135720666D01* -X84381882Y-135709118D01* -X84370334Y-135699642D01* -X84357160Y-135692600D01* -X84342866Y-135688264D01* -X84328000Y-135686800D01* -X83947000Y-135686800D01* -X83932134Y-135688264D01* -X83917840Y-135692600D01* -X83904666Y-135699642D01* -X83893118Y-135709118D01* -X83883642Y-135720666D01* -X83876600Y-135733840D01* -X83872264Y-135748134D01* -X83870800Y-135763000D01* -X83769200Y-135763000D01* -X83767736Y-135748134D01* -X83763400Y-135733840D01* -X83756358Y-135720666D01* -X83746882Y-135709118D01* -X83735334Y-135699642D01* -X83722160Y-135692600D01* -X83707866Y-135688264D01* -X83693000Y-135686800D01* -X83312000Y-135686800D01* -X83297134Y-135688264D01* -X83282840Y-135692600D01* -X83269666Y-135699642D01* -X83258118Y-135709118D01* -X83248642Y-135720666D01* -X83241600Y-135733840D01* -X83237264Y-135748134D01* -X83235800Y-135763000D01* -X81864200Y-135763000D01* -X81862736Y-135748134D01* -X81858400Y-135733840D01* -X81851358Y-135720666D01* -X81841882Y-135709118D01* -X81830334Y-135699642D01* -X81817160Y-135692600D01* -X81802866Y-135688264D01* -X81788000Y-135686800D01* -X81407000Y-135686800D01* -X81392134Y-135688264D01* -X81377840Y-135692600D01* -X81364666Y-135699642D01* -X81353118Y-135709118D01* -X81343642Y-135720666D01* -X81336600Y-135733840D01* -X81332264Y-135748134D01* -X81330800Y-135763000D01* -X81229200Y-135763000D01* -X81227736Y-135748134D01* -X81223400Y-135733840D01* -X81216358Y-135720666D01* -X81206882Y-135709118D01* -X81195334Y-135699642D01* -X81182160Y-135692600D01* -X81167866Y-135688264D01* -X81153000Y-135686800D01* -X80772000Y-135686800D01* -X80757134Y-135688264D01* -X80742840Y-135692600D01* -X80729666Y-135699642D01* -X80718118Y-135709118D01* -X80708642Y-135720666D01* -X80701600Y-135733840D01* -X80697264Y-135748134D01* -X80695800Y-135763000D01* -X79324200Y-135763000D01* -X79322736Y-135748134D01* -X79318400Y-135733840D01* -X79311358Y-135720666D01* -X79301882Y-135709118D01* -X79290334Y-135699642D01* -X79277160Y-135692600D01* -X79262866Y-135688264D01* -X79248000Y-135686800D01* -X78867000Y-135686800D01* -X78852134Y-135688264D01* -X78837840Y-135692600D01* -X78824666Y-135699642D01* -X78813118Y-135709118D01* -X78803642Y-135720666D01* -X78796600Y-135733840D01* -X78792264Y-135748134D01* -X78790800Y-135763000D01* -X78689200Y-135763000D01* -X78687736Y-135748134D01* -X78683400Y-135733840D01* -X78676358Y-135720666D01* -X78666882Y-135709118D01* -X78655334Y-135699642D01* -X78642160Y-135692600D01* -X78627866Y-135688264D01* -X78613000Y-135686800D01* -X78232000Y-135686800D01* -X78217134Y-135688264D01* -X78202840Y-135692600D01* -X78189666Y-135699642D01* -X78178118Y-135709118D01* -X78168642Y-135720666D01* -X78161600Y-135733840D01* -X78157264Y-135748134D01* -X78155800Y-135763000D01* -X74498200Y-135763000D01* -X74498200Y-135128000D01* -X78155800Y-135128000D01* -X78155800Y-135509000D01* -X78157264Y-135523866D01* -X78161600Y-135538160D01* -X78168642Y-135551334D01* -X78178118Y-135562882D01* -X78189666Y-135572358D01* -X78202840Y-135579400D01* -X78217134Y-135583736D01* -X78232000Y-135585200D01* -X78613000Y-135585200D01* -X78627866Y-135583736D01* -X78642160Y-135579400D01* -X78655334Y-135572358D01* -X78666882Y-135562882D01* -X78676358Y-135551334D01* -X78683400Y-135538160D01* -X78687736Y-135523866D01* -X78689200Y-135509000D01* -X78689200Y-135128000D01* -X78790800Y-135128000D01* -X78790800Y-135509000D01* -X78792264Y-135523866D01* -X78796600Y-135538160D01* -X78803642Y-135551334D01* -X78813118Y-135562882D01* -X78824666Y-135572358D01* -X78837840Y-135579400D01* -X78852134Y-135583736D01* -X78867000Y-135585200D01* -X79248000Y-135585200D01* -X79262866Y-135583736D01* -X79277160Y-135579400D01* -X79290334Y-135572358D01* -X79301882Y-135562882D01* -X79311358Y-135551334D01* -X79318400Y-135538160D01* -X79322736Y-135523866D01* -X79324200Y-135509000D01* -X79324200Y-135128000D01* -X80695800Y-135128000D01* -X80695800Y-135509000D01* -X80697264Y-135523866D01* -X80701600Y-135538160D01* -X80708642Y-135551334D01* -X80718118Y-135562882D01* -X80729666Y-135572358D01* -X80742840Y-135579400D01* -X80757134Y-135583736D01* -X80772000Y-135585200D01* -X81153000Y-135585200D01* -X81167866Y-135583736D01* -X81182160Y-135579400D01* -X81195334Y-135572358D01* -X81206882Y-135562882D01* -X81216358Y-135551334D01* -X81223400Y-135538160D01* -X81227736Y-135523866D01* -X81229200Y-135509000D01* -X81229200Y-135128000D01* -X81330800Y-135128000D01* -X81330800Y-135509000D01* -X81332264Y-135523866D01* -X81336600Y-135538160D01* -X81343642Y-135551334D01* -X81353118Y-135562882D01* -X81364666Y-135572358D01* -X81377840Y-135579400D01* -X81392134Y-135583736D01* -X81407000Y-135585200D01* -X81788000Y-135585200D01* -X81802866Y-135583736D01* -X81817160Y-135579400D01* -X81830334Y-135572358D01* -X81841882Y-135562882D01* -X81851358Y-135551334D01* -X81858400Y-135538160D01* -X81862736Y-135523866D01* -X81864200Y-135509000D01* -X81864200Y-135128000D01* -X83235800Y-135128000D01* -X83235800Y-135509000D01* -X83237264Y-135523866D01* -X83241600Y-135538160D01* -X83248642Y-135551334D01* -X83258118Y-135562882D01* -X83269666Y-135572358D01* -X83282840Y-135579400D01* -X83297134Y-135583736D01* -X83312000Y-135585200D01* -X83693000Y-135585200D01* -X83707866Y-135583736D01* -X83722160Y-135579400D01* -X83735334Y-135572358D01* -X83746882Y-135562882D01* -X83756358Y-135551334D01* -X83763400Y-135538160D01* -X83767736Y-135523866D01* -X83769200Y-135509000D01* -X83769200Y-135128000D01* -X83870800Y-135128000D01* -X83870800Y-135509000D01* -X83872264Y-135523866D01* -X83876600Y-135538160D01* -X83883642Y-135551334D01* -X83893118Y-135562882D01* -X83904666Y-135572358D01* -X83917840Y-135579400D01* -X83932134Y-135583736D01* -X83947000Y-135585200D01* -X84328000Y-135585200D01* -X84342866Y-135583736D01* -X84357160Y-135579400D01* -X84370334Y-135572358D01* -X84381882Y-135562882D01* -X84391358Y-135551334D01* -X84398400Y-135538160D01* -X84402736Y-135523866D01* -X84404200Y-135509000D01* -X84404200Y-135128000D01* -X85775800Y-135128000D01* -X85775800Y-135509000D01* -X85777264Y-135523866D01* -X85781600Y-135538160D01* -X85788642Y-135551334D01* -X85798118Y-135562882D01* -X85809666Y-135572358D01* -X85822840Y-135579400D01* -X85837134Y-135583736D01* -X85852000Y-135585200D01* -X86233000Y-135585200D01* -X86247866Y-135583736D01* -X86262160Y-135579400D01* -X86275334Y-135572358D01* -X86286882Y-135562882D01* -X86296358Y-135551334D01* -X86303400Y-135538160D01* -X86307736Y-135523866D01* -X86309200Y-135509000D01* -X86309200Y-135128000D01* -X86410800Y-135128000D01* -X86410800Y-135509000D01* -X86412264Y-135523866D01* -X86416600Y-135538160D01* -X86423642Y-135551334D01* -X86433118Y-135562882D01* -X86444666Y-135572358D01* -X86457840Y-135579400D01* -X86472134Y-135583736D01* -X86487000Y-135585200D01* -X86868000Y-135585200D01* -X86882866Y-135583736D01* -X86897160Y-135579400D01* -X86910334Y-135572358D01* -X86921882Y-135562882D01* -X86931358Y-135551334D01* -X86938400Y-135538160D01* -X86942736Y-135523866D01* -X86944200Y-135509000D01* -X86944200Y-135128000D01* -X88315800Y-135128000D01* -X88315800Y-135509000D01* -X88317264Y-135523866D01* -X88321600Y-135538160D01* -X88328642Y-135551334D01* -X88338118Y-135562882D01* -X88349666Y-135572358D01* -X88362840Y-135579400D01* -X88377134Y-135583736D01* -X88392000Y-135585200D01* -X88773000Y-135585200D01* -X88787866Y-135583736D01* -X88802160Y-135579400D01* -X88815334Y-135572358D01* -X88826882Y-135562882D01* -X88836358Y-135551334D01* -X88843400Y-135538160D01* -X88847736Y-135523866D01* -X88849200Y-135509000D01* -X88849200Y-135128000D01* -X88950800Y-135128000D01* -X88950800Y-135509000D01* -X88952264Y-135523866D01* -X88956600Y-135538160D01* -X88963642Y-135551334D01* -X88973118Y-135562882D01* -X88984666Y-135572358D01* -X88997840Y-135579400D01* -X89012134Y-135583736D01* -X89027000Y-135585200D01* -X89408000Y-135585200D01* -X89422866Y-135583736D01* -X89437160Y-135579400D01* -X89450334Y-135572358D01* -X89461882Y-135562882D01* -X89471358Y-135551334D01* -X89478400Y-135538160D01* -X89482736Y-135523866D01* -X89484200Y-135509000D01* -X89484200Y-135128000D01* -X90855800Y-135128000D01* -X90855800Y-135509000D01* -X90857264Y-135523866D01* -X90861600Y-135538160D01* -X90868642Y-135551334D01* -X90878118Y-135562882D01* -X90889666Y-135572358D01* -X90902840Y-135579400D01* -X90917134Y-135583736D01* -X90932000Y-135585200D01* -X91313000Y-135585200D01* -X91327866Y-135583736D01* -X91342160Y-135579400D01* -X91355334Y-135572358D01* -X91366882Y-135562882D01* -X91376358Y-135551334D01* -X91383400Y-135538160D01* -X91387736Y-135523866D01* -X91389200Y-135509000D01* -X91389200Y-135128000D01* -X91490800Y-135128000D01* -X91490800Y-135509000D01* -X91492264Y-135523866D01* -X91496600Y-135538160D01* -X91503642Y-135551334D01* -X91513118Y-135562882D01* -X91524666Y-135572358D01* -X91537840Y-135579400D01* -X91552134Y-135583736D01* -X91567000Y-135585200D01* -X91948000Y-135585200D01* -X91962866Y-135583736D01* -X91977160Y-135579400D01* -X91990334Y-135572358D01* -X92001882Y-135562882D01* -X92011358Y-135551334D01* -X92018400Y-135538160D01* -X92022736Y-135523866D01* -X92024200Y-135509000D01* -X92024200Y-135128000D01* -X93395800Y-135128000D01* -X93395800Y-135509000D01* -X93397264Y-135523866D01* -X93401600Y-135538160D01* -X93408642Y-135551334D01* -X93418118Y-135562882D01* -X93429666Y-135572358D01* -X93442840Y-135579400D01* -X93457134Y-135583736D01* -X93472000Y-135585200D01* -X93853000Y-135585200D01* -X93867866Y-135583736D01* -X93882160Y-135579400D01* -X93895334Y-135572358D01* -X93906882Y-135562882D01* -X93916358Y-135551334D01* -X93923400Y-135538160D01* -X93927736Y-135523866D01* -X93929200Y-135509000D01* -X93929200Y-135128000D01* -X94030800Y-135128000D01* -X94030800Y-135509000D01* -X94032264Y-135523866D01* -X94036600Y-135538160D01* -X94043642Y-135551334D01* -X94053118Y-135562882D01* -X94064666Y-135572358D01* -X94077840Y-135579400D01* -X94092134Y-135583736D01* -X94107000Y-135585200D01* -X94488000Y-135585200D01* -X94502866Y-135583736D01* -X94517160Y-135579400D01* -X94530334Y-135572358D01* -X94541882Y-135562882D01* -X94551358Y-135551334D01* -X94558400Y-135538160D01* -X94562736Y-135523866D01* -X94564200Y-135509000D01* -X94564200Y-135128000D01* -X95935800Y-135128000D01* -X95935800Y-135509000D01* -X95937264Y-135523866D01* -X95941600Y-135538160D01* -X95948642Y-135551334D01* -X95958118Y-135562882D01* -X95969666Y-135572358D01* -X95982840Y-135579400D01* -X95997134Y-135583736D01* -X96012000Y-135585200D01* -X96393000Y-135585200D01* -X96407866Y-135583736D01* -X96422160Y-135579400D01* -X96435334Y-135572358D01* -X96446882Y-135562882D01* -X96456358Y-135551334D01* -X96463400Y-135538160D01* -X96467736Y-135523866D01* -X96469200Y-135509000D01* -X96469200Y-135128000D01* -X96570800Y-135128000D01* -X96570800Y-135509000D01* -X96572264Y-135523866D01* -X96576600Y-135538160D01* -X96583642Y-135551334D01* -X96593118Y-135562882D01* -X96604666Y-135572358D01* -X96617840Y-135579400D01* -X96632134Y-135583736D01* -X96647000Y-135585200D01* -X97028000Y-135585200D01* -X97042866Y-135583736D01* -X97057160Y-135579400D01* -X97070334Y-135572358D01* -X97081882Y-135562882D01* -X97091358Y-135551334D01* -X97098400Y-135538160D01* -X97102736Y-135523866D01* -X97104200Y-135509000D01* -X97104200Y-135128000D01* -X98475800Y-135128000D01* -X98475800Y-135509000D01* -X98477264Y-135523866D01* -X98481600Y-135538160D01* -X98488642Y-135551334D01* -X98498118Y-135562882D01* -X98509666Y-135572358D01* -X98522840Y-135579400D01* -X98537134Y-135583736D01* -X98552000Y-135585200D01* -X98933000Y-135585200D01* -X98947866Y-135583736D01* -X98962160Y-135579400D01* -X98975334Y-135572358D01* -X98986882Y-135562882D01* -X98996358Y-135551334D01* -X99003400Y-135538160D01* -X99007736Y-135523866D01* -X99009200Y-135509000D01* -X99009200Y-135128000D01* -X99110800Y-135128000D01* -X99110800Y-135509000D01* -X99112264Y-135523866D01* -X99116600Y-135538160D01* -X99123642Y-135551334D01* -X99133118Y-135562882D01* -X99144666Y-135572358D01* -X99157840Y-135579400D01* -X99172134Y-135583736D01* -X99187000Y-135585200D01* -X99568000Y-135585200D01* -X99582866Y-135583736D01* -X99597160Y-135579400D01* -X99610334Y-135572358D01* -X99621882Y-135562882D01* -X99631358Y-135551334D01* -X99638400Y-135538160D01* -X99642736Y-135523866D01* -X99644200Y-135509000D01* -X99644200Y-135128000D01* -X101015800Y-135128000D01* -X101015800Y-135509000D01* -X101017264Y-135523866D01* -X101021600Y-135538160D01* -X101028642Y-135551334D01* -X101038118Y-135562882D01* -X101049666Y-135572358D01* -X101062840Y-135579400D01* -X101077134Y-135583736D01* -X101092000Y-135585200D01* -X101473000Y-135585200D01* -X101487866Y-135583736D01* -X101502160Y-135579400D01* -X101515334Y-135572358D01* -X101526882Y-135562882D01* -X101536358Y-135551334D01* -X101543400Y-135538160D01* -X101547736Y-135523866D01* -X101549200Y-135509000D01* -X101549200Y-135128000D01* -X101650800Y-135128000D01* -X101650800Y-135509000D01* -X101652264Y-135523866D01* -X101656600Y-135538160D01* -X101663642Y-135551334D01* -X101673118Y-135562882D01* -X101684666Y-135572358D01* -X101697840Y-135579400D01* -X101712134Y-135583736D01* -X101727000Y-135585200D01* -X102108000Y-135585200D01* -X102122866Y-135583736D01* -X102137160Y-135579400D01* -X102150334Y-135572358D01* -X102161882Y-135562882D01* -X102171358Y-135551334D01* -X102178400Y-135538160D01* -X102182736Y-135523866D01* -X102184200Y-135509000D01* -X102184200Y-135128000D01* -X103555800Y-135128000D01* -X103555800Y-135509000D01* -X103557264Y-135523866D01* -X103561600Y-135538160D01* -X103568642Y-135551334D01* -X103578118Y-135562882D01* -X103589666Y-135572358D01* -X103602840Y-135579400D01* -X103617134Y-135583736D01* -X103632000Y-135585200D01* -X104013000Y-135585200D01* -X104027866Y-135583736D01* -X104042160Y-135579400D01* -X104055334Y-135572358D01* -X104066882Y-135562882D01* -X104076358Y-135551334D01* -X104083400Y-135538160D01* -X104087736Y-135523866D01* -X104089200Y-135509000D01* -X104089200Y-135128000D01* -X104190800Y-135128000D01* -X104190800Y-135509000D01* -X104192264Y-135523866D01* -X104196600Y-135538160D01* -X104203642Y-135551334D01* -X104213118Y-135562882D01* -X104224666Y-135572358D01* -X104237840Y-135579400D01* -X104252134Y-135583736D01* -X104267000Y-135585200D01* -X104648000Y-135585200D01* -X104662866Y-135583736D01* -X104677160Y-135579400D01* -X104690334Y-135572358D01* -X104701882Y-135562882D01* -X104711358Y-135551334D01* -X104718400Y-135538160D01* -X104722736Y-135523866D01* -X104724200Y-135509000D01* -X104724200Y-135128000D01* -X106095800Y-135128000D01* -X106095800Y-135509000D01* -X106097264Y-135523866D01* -X106101600Y-135538160D01* -X106108642Y-135551334D01* -X106118118Y-135562882D01* -X106129666Y-135572358D01* -X106142840Y-135579400D01* -X106157134Y-135583736D01* -X106172000Y-135585200D01* -X106553000Y-135585200D01* -X106567866Y-135583736D01* -X106582160Y-135579400D01* -X106595334Y-135572358D01* -X106606882Y-135562882D01* -X106616358Y-135551334D01* -X106623400Y-135538160D01* -X106627736Y-135523866D01* -X106629200Y-135509000D01* -X106629200Y-135128000D01* -X106730800Y-135128000D01* -X106730800Y-135509000D01* -X106732264Y-135523866D01* -X106736600Y-135538160D01* -X106743642Y-135551334D01* -X106753118Y-135562882D01* -X106764666Y-135572358D01* -X106777840Y-135579400D01* -X106792134Y-135583736D01* -X106807000Y-135585200D01* -X107188000Y-135585200D01* -X107202866Y-135583736D01* -X107217160Y-135579400D01* -X107230334Y-135572358D01* -X107241882Y-135562882D01* -X107251358Y-135551334D01* -X107258400Y-135538160D01* -X107262736Y-135523866D01* -X107264200Y-135509000D01* -X107264200Y-135128000D01* -X108635800Y-135128000D01* -X108635800Y-135509000D01* -X108637264Y-135523866D01* -X108641600Y-135538160D01* -X108648642Y-135551334D01* -X108658118Y-135562882D01* -X108669666Y-135572358D01* -X108682840Y-135579400D01* -X108697134Y-135583736D01* -X108712000Y-135585200D01* -X109093000Y-135585200D01* -X109107866Y-135583736D01* -X109122160Y-135579400D01* -X109135334Y-135572358D01* -X109146882Y-135562882D01* -X109156358Y-135551334D01* -X109163400Y-135538160D01* -X109167736Y-135523866D01* -X109169200Y-135509000D01* -X109169200Y-135128000D01* -X109270800Y-135128000D01* -X109270800Y-135509000D01* -X109272264Y-135523866D01* -X109276600Y-135538160D01* -X109283642Y-135551334D01* -X109293118Y-135562882D01* -X109304666Y-135572358D01* -X109317840Y-135579400D01* -X109332134Y-135583736D01* -X109347000Y-135585200D01* -X109728000Y-135585200D01* -X109742866Y-135583736D01* -X109757160Y-135579400D01* -X109770334Y-135572358D01* -X109781882Y-135562882D01* -X109791358Y-135551334D01* -X109798400Y-135538160D01* -X109802736Y-135523866D01* -X109804200Y-135509000D01* -X109804200Y-135128000D01* -X111175800Y-135128000D01* -X111175800Y-135509000D01* -X111177264Y-135523866D01* -X111181600Y-135538160D01* -X111188642Y-135551334D01* -X111198118Y-135562882D01* -X111209666Y-135572358D01* -X111222840Y-135579400D01* -X111237134Y-135583736D01* -X111252000Y-135585200D01* -X111633000Y-135585200D01* -X111647866Y-135583736D01* -X111662160Y-135579400D01* -X111675334Y-135572358D01* -X111686882Y-135562882D01* -X111696358Y-135551334D01* -X111703400Y-135538160D01* -X111707736Y-135523866D01* -X111709200Y-135509000D01* -X111709200Y-135128000D01* -X111810800Y-135128000D01* -X111810800Y-135509000D01* -X111812264Y-135523866D01* -X111816600Y-135538160D01* -X111823642Y-135551334D01* -X111833118Y-135562882D01* -X111844666Y-135572358D01* -X111857840Y-135579400D01* -X111872134Y-135583736D01* -X111887000Y-135585200D01* -X112268000Y-135585200D01* -X112282866Y-135583736D01* -X112297160Y-135579400D01* -X112310334Y-135572358D01* -X112321882Y-135562882D01* -X112331358Y-135551334D01* -X112338400Y-135538160D01* -X112342736Y-135523866D01* -X112344200Y-135509000D01* -X112344200Y-135128000D01* -X113715800Y-135128000D01* -X113715800Y-135509000D01* -X113717264Y-135523866D01* -X113721600Y-135538160D01* -X113728642Y-135551334D01* -X113738118Y-135562882D01* -X113749666Y-135572358D01* -X113762840Y-135579400D01* -X113777134Y-135583736D01* -X113792000Y-135585200D01* -X114173000Y-135585200D01* -X114187866Y-135583736D01* -X114202160Y-135579400D01* -X114215334Y-135572358D01* -X114226882Y-135562882D01* -X114236358Y-135551334D01* -X114243400Y-135538160D01* -X114247736Y-135523866D01* -X114249200Y-135509000D01* -X114249200Y-135128000D01* -X114350800Y-135128000D01* -X114350800Y-135509000D01* -X114352264Y-135523866D01* -X114356600Y-135538160D01* -X114363642Y-135551334D01* -X114373118Y-135562882D01* -X114384666Y-135572358D01* -X114397840Y-135579400D01* -X114412134Y-135583736D01* -X114427000Y-135585200D01* -X114808000Y-135585200D01* -X114822866Y-135583736D01* -X114837160Y-135579400D01* -X114850334Y-135572358D01* -X114861882Y-135562882D01* -X114871358Y-135551334D01* -X114878400Y-135538160D01* -X114882736Y-135523866D01* -X114884200Y-135509000D01* -X114884200Y-135128000D01* -X121335800Y-135128000D01* -X121335800Y-135509000D01* -X121337264Y-135523866D01* -X121341600Y-135538160D01* -X121348642Y-135551334D01* -X121358118Y-135562882D01* -X121369666Y-135572358D01* -X121382840Y-135579400D01* -X121397134Y-135583736D01* -X121412000Y-135585200D01* -X121793000Y-135585200D01* -X121807866Y-135583736D01* -X121822160Y-135579400D01* -X121835334Y-135572358D01* -X121846882Y-135562882D01* -X121856358Y-135551334D01* -X121863400Y-135538160D01* -X121867736Y-135523866D01* -X121869200Y-135509000D01* -X121869200Y-135128000D01* -X121970800Y-135128000D01* -X121970800Y-135509000D01* -X121972264Y-135523866D01* -X121976600Y-135538160D01* -X121983642Y-135551334D01* -X121993118Y-135562882D01* -X122004666Y-135572358D01* -X122017840Y-135579400D01* -X122032134Y-135583736D01* -X122047000Y-135585200D01* -X122428000Y-135585200D01* -X122442866Y-135583736D01* -X122457160Y-135579400D01* -X122470334Y-135572358D01* -X122481882Y-135562882D01* -X122491358Y-135551334D01* -X122498400Y-135538160D01* -X122502736Y-135523866D01* -X122504200Y-135509000D01* -X122504200Y-135128000D01* -X123875800Y-135128000D01* -X123875800Y-135509000D01* -X123877264Y-135523866D01* -X123881600Y-135538160D01* -X123888642Y-135551334D01* -X123898118Y-135562882D01* -X123909666Y-135572358D01* -X123922840Y-135579400D01* -X123937134Y-135583736D01* -X123952000Y-135585200D01* -X124333000Y-135585200D01* -X124347866Y-135583736D01* -X124362160Y-135579400D01* -X124375334Y-135572358D01* -X124386882Y-135562882D01* -X124396358Y-135551334D01* -X124403400Y-135538160D01* -X124407736Y-135523866D01* -X124409200Y-135509000D01* -X124409200Y-135128000D01* -X124510800Y-135128000D01* -X124510800Y-135509000D01* -X124512264Y-135523866D01* -X124516600Y-135538160D01* -X124523642Y-135551334D01* -X124533118Y-135562882D01* -X124544666Y-135572358D01* -X124557840Y-135579400D01* -X124572134Y-135583736D01* -X124587000Y-135585200D01* -X124968000Y-135585200D01* -X124982866Y-135583736D01* -X124997160Y-135579400D01* -X125010334Y-135572358D01* -X125021882Y-135562882D01* -X125031358Y-135551334D01* -X125038400Y-135538160D01* -X125042736Y-135523866D01* -X125044200Y-135509000D01* -X125044200Y-135128000D01* -X126415800Y-135128000D01* -X126415800Y-135509000D01* -X126417264Y-135523866D01* -X126421600Y-135538160D01* -X126428642Y-135551334D01* -X126438118Y-135562882D01* -X126449666Y-135572358D01* -X126462840Y-135579400D01* -X126477134Y-135583736D01* -X126492000Y-135585200D01* -X126873000Y-135585200D01* -X126887866Y-135583736D01* -X126902160Y-135579400D01* -X126915334Y-135572358D01* -X126926882Y-135562882D01* -X126936358Y-135551334D01* -X126943400Y-135538160D01* -X126947736Y-135523866D01* -X126949200Y-135509000D01* -X126949200Y-135128000D01* -X127050800Y-135128000D01* -X127050800Y-135509000D01* -X127052264Y-135523866D01* -X127056600Y-135538160D01* -X127063642Y-135551334D01* -X127073118Y-135562882D01* -X127084666Y-135572358D01* -X127097840Y-135579400D01* -X127112134Y-135583736D01* -X127127000Y-135585200D01* -X127508000Y-135585200D01* -X127522866Y-135583736D01* -X127537160Y-135579400D01* -X127550334Y-135572358D01* -X127561882Y-135562882D01* -X127571358Y-135551334D01* -X127578400Y-135538160D01* -X127582736Y-135523866D01* -X127584200Y-135509000D01* -X127584200Y-135128000D01* -X128955800Y-135128000D01* -X128955800Y-135509000D01* -X128957264Y-135523866D01* -X128961600Y-135538160D01* -X128968642Y-135551334D01* -X128978118Y-135562882D01* -X128989666Y-135572358D01* -X129002840Y-135579400D01* -X129017134Y-135583736D01* -X129032000Y-135585200D01* -X129413000Y-135585200D01* -X129427866Y-135583736D01* -X129442160Y-135579400D01* -X129455334Y-135572358D01* -X129466882Y-135562882D01* -X129476358Y-135551334D01* -X129483400Y-135538160D01* -X129487736Y-135523866D01* -X129489200Y-135509000D01* -X129489200Y-135128000D01* -X129590800Y-135128000D01* -X129590800Y-135509000D01* -X129592264Y-135523866D01* -X129596600Y-135538160D01* -X129603642Y-135551334D01* -X129613118Y-135562882D01* -X129624666Y-135572358D01* -X129637840Y-135579400D01* -X129652134Y-135583736D01* -X129667000Y-135585200D01* -X130048000Y-135585200D01* -X130062866Y-135583736D01* -X130077160Y-135579400D01* -X130090334Y-135572358D01* -X130101882Y-135562882D01* -X130111358Y-135551334D01* -X130118400Y-135538160D01* -X130122736Y-135523866D01* -X130124200Y-135509000D01* -X130124200Y-135128000D01* -X131495800Y-135128000D01* -X131495800Y-135509000D01* -X131497264Y-135523866D01* -X131501600Y-135538160D01* -X131508642Y-135551334D01* -X131518118Y-135562882D01* -X131529666Y-135572358D01* -X131542840Y-135579400D01* -X131557134Y-135583736D01* -X131572000Y-135585200D01* -X131953000Y-135585200D01* -X131967866Y-135583736D01* -X131982160Y-135579400D01* -X131995334Y-135572358D01* -X132006882Y-135562882D01* -X132016358Y-135551334D01* -X132023400Y-135538160D01* -X132027736Y-135523866D01* -X132029200Y-135509000D01* -X132029200Y-135128000D01* -X132130800Y-135128000D01* -X132130800Y-135509000D01* -X132132264Y-135523866D01* -X132136600Y-135538160D01* -X132143642Y-135551334D01* -X132153118Y-135562882D01* -X132164666Y-135572358D01* -X132177840Y-135579400D01* -X132192134Y-135583736D01* -X132207000Y-135585200D01* -X132588000Y-135585200D01* -X132602866Y-135583736D01* -X132617160Y-135579400D01* -X132630334Y-135572358D01* -X132641882Y-135562882D01* -X132651358Y-135551334D01* -X132658400Y-135538160D01* -X132662736Y-135523866D01* -X132664200Y-135509000D01* -X132664200Y-135128000D01* -X134035800Y-135128000D01* -X134035800Y-135509000D01* -X134037264Y-135523866D01* -X134041600Y-135538160D01* -X134048642Y-135551334D01* -X134058118Y-135562882D01* -X134069666Y-135572358D01* -X134082840Y-135579400D01* -X134097134Y-135583736D01* -X134112000Y-135585200D01* -X134493000Y-135585200D01* -X134507866Y-135583736D01* -X134522160Y-135579400D01* -X134535334Y-135572358D01* -X134546882Y-135562882D01* -X134556358Y-135551334D01* -X134563400Y-135538160D01* -X134567736Y-135523866D01* -X134569200Y-135509000D01* -X134569200Y-135128000D01* -X134670800Y-135128000D01* -X134670800Y-135509000D01* -X134672264Y-135523866D01* -X134676600Y-135538160D01* -X134683642Y-135551334D01* -X134693118Y-135562882D01* -X134704666Y-135572358D01* -X134717840Y-135579400D01* -X134732134Y-135583736D01* -X134747000Y-135585200D01* -X135128000Y-135585200D01* -X135142866Y-135583736D01* -X135157160Y-135579400D01* -X135170334Y-135572358D01* -X135181882Y-135562882D01* -X135191358Y-135551334D01* -X135198400Y-135538160D01* -X135202736Y-135523866D01* -X135204200Y-135509000D01* -X135204200Y-135128000D01* -X135202736Y-135113134D01* -X135198400Y-135098840D01* -X135191358Y-135085666D01* -X135181882Y-135074118D01* -X135170334Y-135064642D01* -X135157160Y-135057600D01* -X135142866Y-135053264D01* -X135128000Y-135051800D01* -X134747000Y-135051800D01* -X134732134Y-135053264D01* -X134717840Y-135057600D01* -X134704666Y-135064642D01* -X134693118Y-135074118D01* -X134683642Y-135085666D01* -X134676600Y-135098840D01* -X134672264Y-135113134D01* -X134670800Y-135128000D01* -X134569200Y-135128000D01* -X134567736Y-135113134D01* -X134563400Y-135098840D01* -X134556358Y-135085666D01* -X134546882Y-135074118D01* -X134535334Y-135064642D01* -X134522160Y-135057600D01* -X134507866Y-135053264D01* -X134493000Y-135051800D01* -X134112000Y-135051800D01* -X134097134Y-135053264D01* -X134082840Y-135057600D01* -X134069666Y-135064642D01* -X134058118Y-135074118D01* -X134048642Y-135085666D01* -X134041600Y-135098840D01* -X134037264Y-135113134D01* -X134035800Y-135128000D01* -X132664200Y-135128000D01* -X132662736Y-135113134D01* -X132658400Y-135098840D01* -X132651358Y-135085666D01* -X132641882Y-135074118D01* -X132630334Y-135064642D01* -X132617160Y-135057600D01* -X132602866Y-135053264D01* -X132588000Y-135051800D01* -X132207000Y-135051800D01* -X132192134Y-135053264D01* -X132177840Y-135057600D01* -X132164666Y-135064642D01* -X132153118Y-135074118D01* -X132143642Y-135085666D01* -X132136600Y-135098840D01* -X132132264Y-135113134D01* -X132130800Y-135128000D01* -X132029200Y-135128000D01* -X132027736Y-135113134D01* -X132023400Y-135098840D01* -X132016358Y-135085666D01* -X132006882Y-135074118D01* -X131995334Y-135064642D01* -X131982160Y-135057600D01* -X131967866Y-135053264D01* -X131953000Y-135051800D01* -X131572000Y-135051800D01* -X131557134Y-135053264D01* -X131542840Y-135057600D01* -X131529666Y-135064642D01* -X131518118Y-135074118D01* -X131508642Y-135085666D01* -X131501600Y-135098840D01* -X131497264Y-135113134D01* -X131495800Y-135128000D01* -X130124200Y-135128000D01* -X130122736Y-135113134D01* -X130118400Y-135098840D01* -X130111358Y-135085666D01* -X130101882Y-135074118D01* -X130090334Y-135064642D01* -X130077160Y-135057600D01* -X130062866Y-135053264D01* -X130048000Y-135051800D01* -X129667000Y-135051800D01* -X129652134Y-135053264D01* -X129637840Y-135057600D01* -X129624666Y-135064642D01* -X129613118Y-135074118D01* -X129603642Y-135085666D01* -X129596600Y-135098840D01* -X129592264Y-135113134D01* -X129590800Y-135128000D01* -X129489200Y-135128000D01* -X129487736Y-135113134D01* -X129483400Y-135098840D01* -X129476358Y-135085666D01* -X129466882Y-135074118D01* -X129455334Y-135064642D01* -X129442160Y-135057600D01* -X129427866Y-135053264D01* -X129413000Y-135051800D01* -X129032000Y-135051800D01* -X129017134Y-135053264D01* -X129002840Y-135057600D01* -X128989666Y-135064642D01* -X128978118Y-135074118D01* -X128968642Y-135085666D01* -X128961600Y-135098840D01* -X128957264Y-135113134D01* -X128955800Y-135128000D01* -X127584200Y-135128000D01* -X127582736Y-135113134D01* -X127578400Y-135098840D01* -X127571358Y-135085666D01* -X127561882Y-135074118D01* -X127550334Y-135064642D01* -X127537160Y-135057600D01* -X127522866Y-135053264D01* -X127508000Y-135051800D01* -X127127000Y-135051800D01* -X127112134Y-135053264D01* -X127097840Y-135057600D01* -X127084666Y-135064642D01* -X127073118Y-135074118D01* -X127063642Y-135085666D01* -X127056600Y-135098840D01* -X127052264Y-135113134D01* -X127050800Y-135128000D01* -X126949200Y-135128000D01* -X126947736Y-135113134D01* -X126943400Y-135098840D01* -X126936358Y-135085666D01* -X126926882Y-135074118D01* -X126915334Y-135064642D01* -X126902160Y-135057600D01* -X126887866Y-135053264D01* -X126873000Y-135051800D01* -X126492000Y-135051800D01* -X126477134Y-135053264D01* -X126462840Y-135057600D01* -X126449666Y-135064642D01* -X126438118Y-135074118D01* -X126428642Y-135085666D01* -X126421600Y-135098840D01* -X126417264Y-135113134D01* -X126415800Y-135128000D01* -X125044200Y-135128000D01* -X125042736Y-135113134D01* -X125038400Y-135098840D01* -X125031358Y-135085666D01* -X125021882Y-135074118D01* -X125010334Y-135064642D01* -X124997160Y-135057600D01* -X124982866Y-135053264D01* -X124968000Y-135051800D01* -X124587000Y-135051800D01* -X124572134Y-135053264D01* -X124557840Y-135057600D01* -X124544666Y-135064642D01* -X124533118Y-135074118D01* -X124523642Y-135085666D01* -X124516600Y-135098840D01* -X124512264Y-135113134D01* -X124510800Y-135128000D01* -X124409200Y-135128000D01* -X124407736Y-135113134D01* -X124403400Y-135098840D01* -X124396358Y-135085666D01* -X124386882Y-135074118D01* -X124375334Y-135064642D01* -X124362160Y-135057600D01* -X124347866Y-135053264D01* -X124333000Y-135051800D01* -X123952000Y-135051800D01* -X123937134Y-135053264D01* -X123922840Y-135057600D01* -X123909666Y-135064642D01* -X123898118Y-135074118D01* -X123888642Y-135085666D01* -X123881600Y-135098840D01* -X123877264Y-135113134D01* -X123875800Y-135128000D01* -X122504200Y-135128000D01* -X122502736Y-135113134D01* -X122498400Y-135098840D01* -X122491358Y-135085666D01* -X122481882Y-135074118D01* -X122470334Y-135064642D01* -X122457160Y-135057600D01* -X122442866Y-135053264D01* -X122428000Y-135051800D01* -X122047000Y-135051800D01* -X122032134Y-135053264D01* -X122017840Y-135057600D01* -X122004666Y-135064642D01* -X121993118Y-135074118D01* -X121983642Y-135085666D01* -X121976600Y-135098840D01* -X121972264Y-135113134D01* -X121970800Y-135128000D01* -X121869200Y-135128000D01* -X121867736Y-135113134D01* -X121863400Y-135098840D01* -X121856358Y-135085666D01* -X121846882Y-135074118D01* -X121835334Y-135064642D01* -X121822160Y-135057600D01* -X121807866Y-135053264D01* -X121793000Y-135051800D01* -X121412000Y-135051800D01* -X121397134Y-135053264D01* -X121382840Y-135057600D01* -X121369666Y-135064642D01* -X121358118Y-135074118D01* -X121348642Y-135085666D01* -X121341600Y-135098840D01* -X121337264Y-135113134D01* -X121335800Y-135128000D01* -X114884200Y-135128000D01* -X114882736Y-135113134D01* -X114878400Y-135098840D01* -X114871358Y-135085666D01* -X114861882Y-135074118D01* -X114850334Y-135064642D01* -X114837160Y-135057600D01* -X114822866Y-135053264D01* -X114808000Y-135051800D01* -X114427000Y-135051800D01* -X114412134Y-135053264D01* -X114397840Y-135057600D01* -X114384666Y-135064642D01* -X114373118Y-135074118D01* -X114363642Y-135085666D01* -X114356600Y-135098840D01* -X114352264Y-135113134D01* -X114350800Y-135128000D01* -X114249200Y-135128000D01* -X114247736Y-135113134D01* -X114243400Y-135098840D01* -X114236358Y-135085666D01* -X114226882Y-135074118D01* -X114215334Y-135064642D01* -X114202160Y-135057600D01* -X114187866Y-135053264D01* -X114173000Y-135051800D01* -X113792000Y-135051800D01* -X113777134Y-135053264D01* -X113762840Y-135057600D01* -X113749666Y-135064642D01* -X113738118Y-135074118D01* -X113728642Y-135085666D01* -X113721600Y-135098840D01* -X113717264Y-135113134D01* -X113715800Y-135128000D01* -X112344200Y-135128000D01* -X112342736Y-135113134D01* -X112338400Y-135098840D01* -X112331358Y-135085666D01* -X112321882Y-135074118D01* -X112310334Y-135064642D01* -X112297160Y-135057600D01* -X112282866Y-135053264D01* -X112268000Y-135051800D01* -X111887000Y-135051800D01* -X111872134Y-135053264D01* -X111857840Y-135057600D01* -X111844666Y-135064642D01* -X111833118Y-135074118D01* -X111823642Y-135085666D01* -X111816600Y-135098840D01* -X111812264Y-135113134D01* -X111810800Y-135128000D01* -X111709200Y-135128000D01* -X111707736Y-135113134D01* -X111703400Y-135098840D01* -X111696358Y-135085666D01* -X111686882Y-135074118D01* -X111675334Y-135064642D01* -X111662160Y-135057600D01* -X111647866Y-135053264D01* -X111633000Y-135051800D01* -X111252000Y-135051800D01* -X111237134Y-135053264D01* -X111222840Y-135057600D01* -X111209666Y-135064642D01* -X111198118Y-135074118D01* -X111188642Y-135085666D01* -X111181600Y-135098840D01* -X111177264Y-135113134D01* -X111175800Y-135128000D01* -X109804200Y-135128000D01* -X109802736Y-135113134D01* -X109798400Y-135098840D01* -X109791358Y-135085666D01* -X109781882Y-135074118D01* -X109770334Y-135064642D01* -X109757160Y-135057600D01* -X109742866Y-135053264D01* -X109728000Y-135051800D01* -X109347000Y-135051800D01* -X109332134Y-135053264D01* -X109317840Y-135057600D01* -X109304666Y-135064642D01* -X109293118Y-135074118D01* -X109283642Y-135085666D01* -X109276600Y-135098840D01* -X109272264Y-135113134D01* -X109270800Y-135128000D01* -X109169200Y-135128000D01* -X109167736Y-135113134D01* -X109163400Y-135098840D01* -X109156358Y-135085666D01* -X109146882Y-135074118D01* -X109135334Y-135064642D01* -X109122160Y-135057600D01* -X109107866Y-135053264D01* -X109093000Y-135051800D01* -X108712000Y-135051800D01* -X108697134Y-135053264D01* -X108682840Y-135057600D01* -X108669666Y-135064642D01* -X108658118Y-135074118D01* -X108648642Y-135085666D01* -X108641600Y-135098840D01* -X108637264Y-135113134D01* -X108635800Y-135128000D01* -X107264200Y-135128000D01* -X107262736Y-135113134D01* -X107258400Y-135098840D01* -X107251358Y-135085666D01* -X107241882Y-135074118D01* -X107230334Y-135064642D01* -X107217160Y-135057600D01* -X107202866Y-135053264D01* -X107188000Y-135051800D01* -X106807000Y-135051800D01* -X106792134Y-135053264D01* -X106777840Y-135057600D01* -X106764666Y-135064642D01* -X106753118Y-135074118D01* -X106743642Y-135085666D01* -X106736600Y-135098840D01* -X106732264Y-135113134D01* -X106730800Y-135128000D01* -X106629200Y-135128000D01* -X106627736Y-135113134D01* -X106623400Y-135098840D01* -X106616358Y-135085666D01* -X106606882Y-135074118D01* -X106595334Y-135064642D01* -X106582160Y-135057600D01* -X106567866Y-135053264D01* -X106553000Y-135051800D01* -X106172000Y-135051800D01* -X106157134Y-135053264D01* -X106142840Y-135057600D01* -X106129666Y-135064642D01* -X106118118Y-135074118D01* -X106108642Y-135085666D01* -X106101600Y-135098840D01* -X106097264Y-135113134D01* -X106095800Y-135128000D01* -X104724200Y-135128000D01* -X104722736Y-135113134D01* -X104718400Y-135098840D01* -X104711358Y-135085666D01* -X104701882Y-135074118D01* -X104690334Y-135064642D01* -X104677160Y-135057600D01* -X104662866Y-135053264D01* -X104648000Y-135051800D01* -X104267000Y-135051800D01* -X104252134Y-135053264D01* -X104237840Y-135057600D01* -X104224666Y-135064642D01* -X104213118Y-135074118D01* -X104203642Y-135085666D01* -X104196600Y-135098840D01* -X104192264Y-135113134D01* -X104190800Y-135128000D01* -X104089200Y-135128000D01* -X104087736Y-135113134D01* -X104083400Y-135098840D01* -X104076358Y-135085666D01* -X104066882Y-135074118D01* -X104055334Y-135064642D01* -X104042160Y-135057600D01* -X104027866Y-135053264D01* -X104013000Y-135051800D01* -X103632000Y-135051800D01* -X103617134Y-135053264D01* -X103602840Y-135057600D01* -X103589666Y-135064642D01* -X103578118Y-135074118D01* -X103568642Y-135085666D01* -X103561600Y-135098840D01* -X103557264Y-135113134D01* -X103555800Y-135128000D01* -X102184200Y-135128000D01* -X102182736Y-135113134D01* -X102178400Y-135098840D01* -X102171358Y-135085666D01* -X102161882Y-135074118D01* -X102150334Y-135064642D01* -X102137160Y-135057600D01* -X102122866Y-135053264D01* -X102108000Y-135051800D01* -X101727000Y-135051800D01* -X101712134Y-135053264D01* -X101697840Y-135057600D01* -X101684666Y-135064642D01* -X101673118Y-135074118D01* -X101663642Y-135085666D01* -X101656600Y-135098840D01* -X101652264Y-135113134D01* -X101650800Y-135128000D01* -X101549200Y-135128000D01* -X101547736Y-135113134D01* -X101543400Y-135098840D01* -X101536358Y-135085666D01* -X101526882Y-135074118D01* -X101515334Y-135064642D01* -X101502160Y-135057600D01* -X101487866Y-135053264D01* -X101473000Y-135051800D01* -X101092000Y-135051800D01* -X101077134Y-135053264D01* -X101062840Y-135057600D01* -X101049666Y-135064642D01* -X101038118Y-135074118D01* -X101028642Y-135085666D01* -X101021600Y-135098840D01* -X101017264Y-135113134D01* -X101015800Y-135128000D01* -X99644200Y-135128000D01* -X99642736Y-135113134D01* -X99638400Y-135098840D01* -X99631358Y-135085666D01* -X99621882Y-135074118D01* -X99610334Y-135064642D01* -X99597160Y-135057600D01* -X99582866Y-135053264D01* -X99568000Y-135051800D01* -X99187000Y-135051800D01* -X99172134Y-135053264D01* -X99157840Y-135057600D01* -X99144666Y-135064642D01* -X99133118Y-135074118D01* -X99123642Y-135085666D01* -X99116600Y-135098840D01* -X99112264Y-135113134D01* -X99110800Y-135128000D01* -X99009200Y-135128000D01* -X99007736Y-135113134D01* -X99003400Y-135098840D01* -X98996358Y-135085666D01* -X98986882Y-135074118D01* -X98975334Y-135064642D01* -X98962160Y-135057600D01* -X98947866Y-135053264D01* -X98933000Y-135051800D01* -X98552000Y-135051800D01* -X98537134Y-135053264D01* -X98522840Y-135057600D01* -X98509666Y-135064642D01* -X98498118Y-135074118D01* -X98488642Y-135085666D01* -X98481600Y-135098840D01* -X98477264Y-135113134D01* -X98475800Y-135128000D01* -X97104200Y-135128000D01* -X97102736Y-135113134D01* -X97098400Y-135098840D01* -X97091358Y-135085666D01* -X97081882Y-135074118D01* -X97070334Y-135064642D01* -X97057160Y-135057600D01* -X97042866Y-135053264D01* -X97028000Y-135051800D01* -X96647000Y-135051800D01* -X96632134Y-135053264D01* -X96617840Y-135057600D01* -X96604666Y-135064642D01* -X96593118Y-135074118D01* -X96583642Y-135085666D01* -X96576600Y-135098840D01* -X96572264Y-135113134D01* -X96570800Y-135128000D01* -X96469200Y-135128000D01* -X96467736Y-135113134D01* -X96463400Y-135098840D01* -X96456358Y-135085666D01* -X96446882Y-135074118D01* -X96435334Y-135064642D01* -X96422160Y-135057600D01* -X96407866Y-135053264D01* -X96393000Y-135051800D01* -X96012000Y-135051800D01* -X95997134Y-135053264D01* -X95982840Y-135057600D01* -X95969666Y-135064642D01* -X95958118Y-135074118D01* -X95948642Y-135085666D01* -X95941600Y-135098840D01* -X95937264Y-135113134D01* -X95935800Y-135128000D01* -X94564200Y-135128000D01* -X94562736Y-135113134D01* -X94558400Y-135098840D01* -X94551358Y-135085666D01* -X94541882Y-135074118D01* -X94530334Y-135064642D01* -X94517160Y-135057600D01* -X94502866Y-135053264D01* -X94488000Y-135051800D01* -X94107000Y-135051800D01* -X94092134Y-135053264D01* -X94077840Y-135057600D01* -X94064666Y-135064642D01* -X94053118Y-135074118D01* -X94043642Y-135085666D01* -X94036600Y-135098840D01* -X94032264Y-135113134D01* -X94030800Y-135128000D01* -X93929200Y-135128000D01* -X93927736Y-135113134D01* -X93923400Y-135098840D01* -X93916358Y-135085666D01* -X93906882Y-135074118D01* -X93895334Y-135064642D01* -X93882160Y-135057600D01* -X93867866Y-135053264D01* -X93853000Y-135051800D01* -X93472000Y-135051800D01* -X93457134Y-135053264D01* -X93442840Y-135057600D01* -X93429666Y-135064642D01* -X93418118Y-135074118D01* -X93408642Y-135085666D01* -X93401600Y-135098840D01* -X93397264Y-135113134D01* -X93395800Y-135128000D01* -X92024200Y-135128000D01* -X92022736Y-135113134D01* -X92018400Y-135098840D01* -X92011358Y-135085666D01* -X92001882Y-135074118D01* -X91990334Y-135064642D01* -X91977160Y-135057600D01* -X91962866Y-135053264D01* -X91948000Y-135051800D01* -X91567000Y-135051800D01* -X91552134Y-135053264D01* -X91537840Y-135057600D01* -X91524666Y-135064642D01* -X91513118Y-135074118D01* -X91503642Y-135085666D01* -X91496600Y-135098840D01* -X91492264Y-135113134D01* -X91490800Y-135128000D01* -X91389200Y-135128000D01* -X91387736Y-135113134D01* -X91383400Y-135098840D01* -X91376358Y-135085666D01* -X91366882Y-135074118D01* -X91355334Y-135064642D01* -X91342160Y-135057600D01* -X91327866Y-135053264D01* -X91313000Y-135051800D01* -X90932000Y-135051800D01* -X90917134Y-135053264D01* -X90902840Y-135057600D01* -X90889666Y-135064642D01* -X90878118Y-135074118D01* -X90868642Y-135085666D01* -X90861600Y-135098840D01* -X90857264Y-135113134D01* -X90855800Y-135128000D01* -X89484200Y-135128000D01* -X89482736Y-135113134D01* -X89478400Y-135098840D01* -X89471358Y-135085666D01* -X89461882Y-135074118D01* -X89450334Y-135064642D01* -X89437160Y-135057600D01* -X89422866Y-135053264D01* -X89408000Y-135051800D01* -X89027000Y-135051800D01* -X89012134Y-135053264D01* -X88997840Y-135057600D01* -X88984666Y-135064642D01* -X88973118Y-135074118D01* -X88963642Y-135085666D01* -X88956600Y-135098840D01* -X88952264Y-135113134D01* -X88950800Y-135128000D01* -X88849200Y-135128000D01* -X88847736Y-135113134D01* -X88843400Y-135098840D01* -X88836358Y-135085666D01* -X88826882Y-135074118D01* -X88815334Y-135064642D01* -X88802160Y-135057600D01* -X88787866Y-135053264D01* -X88773000Y-135051800D01* -X88392000Y-135051800D01* -X88377134Y-135053264D01* -X88362840Y-135057600D01* -X88349666Y-135064642D01* -X88338118Y-135074118D01* -X88328642Y-135085666D01* -X88321600Y-135098840D01* -X88317264Y-135113134D01* -X88315800Y-135128000D01* -X86944200Y-135128000D01* -X86942736Y-135113134D01* -X86938400Y-135098840D01* -X86931358Y-135085666D01* -X86921882Y-135074118D01* -X86910334Y-135064642D01* -X86897160Y-135057600D01* -X86882866Y-135053264D01* -X86868000Y-135051800D01* -X86487000Y-135051800D01* -X86472134Y-135053264D01* -X86457840Y-135057600D01* -X86444666Y-135064642D01* -X86433118Y-135074118D01* -X86423642Y-135085666D01* -X86416600Y-135098840D01* -X86412264Y-135113134D01* -X86410800Y-135128000D01* -X86309200Y-135128000D01* -X86307736Y-135113134D01* -X86303400Y-135098840D01* -X86296358Y-135085666D01* -X86286882Y-135074118D01* -X86275334Y-135064642D01* -X86262160Y-135057600D01* -X86247866Y-135053264D01* -X86233000Y-135051800D01* -X85852000Y-135051800D01* -X85837134Y-135053264D01* -X85822840Y-135057600D01* -X85809666Y-135064642D01* -X85798118Y-135074118D01* -X85788642Y-135085666D01* -X85781600Y-135098840D01* -X85777264Y-135113134D01* -X85775800Y-135128000D01* -X84404200Y-135128000D01* -X84402736Y-135113134D01* -X84398400Y-135098840D01* -X84391358Y-135085666D01* -X84381882Y-135074118D01* -X84370334Y-135064642D01* -X84357160Y-135057600D01* -X84342866Y-135053264D01* -X84328000Y-135051800D01* -X83947000Y-135051800D01* -X83932134Y-135053264D01* -X83917840Y-135057600D01* -X83904666Y-135064642D01* -X83893118Y-135074118D01* -X83883642Y-135085666D01* -X83876600Y-135098840D01* -X83872264Y-135113134D01* -X83870800Y-135128000D01* -X83769200Y-135128000D01* -X83767736Y-135113134D01* -X83763400Y-135098840D01* -X83756358Y-135085666D01* -X83746882Y-135074118D01* -X83735334Y-135064642D01* -X83722160Y-135057600D01* -X83707866Y-135053264D01* -X83693000Y-135051800D01* -X83312000Y-135051800D01* -X83297134Y-135053264D01* -X83282840Y-135057600D01* -X83269666Y-135064642D01* -X83258118Y-135074118D01* -X83248642Y-135085666D01* -X83241600Y-135098840D01* -X83237264Y-135113134D01* -X83235800Y-135128000D01* -X81864200Y-135128000D01* -X81862736Y-135113134D01* -X81858400Y-135098840D01* -X81851358Y-135085666D01* -X81841882Y-135074118D01* -X81830334Y-135064642D01* -X81817160Y-135057600D01* -X81802866Y-135053264D01* -X81788000Y-135051800D01* -X81407000Y-135051800D01* -X81392134Y-135053264D01* -X81377840Y-135057600D01* -X81364666Y-135064642D01* -X81353118Y-135074118D01* -X81343642Y-135085666D01* -X81336600Y-135098840D01* -X81332264Y-135113134D01* -X81330800Y-135128000D01* -X81229200Y-135128000D01* -X81227736Y-135113134D01* -X81223400Y-135098840D01* -X81216358Y-135085666D01* -X81206882Y-135074118D01* -X81195334Y-135064642D01* -X81182160Y-135057600D01* -X81167866Y-135053264D01* -X81153000Y-135051800D01* -X80772000Y-135051800D01* -X80757134Y-135053264D01* -X80742840Y-135057600D01* -X80729666Y-135064642D01* -X80718118Y-135074118D01* -X80708642Y-135085666D01* -X80701600Y-135098840D01* -X80697264Y-135113134D01* -X80695800Y-135128000D01* -X79324200Y-135128000D01* -X79322736Y-135113134D01* -X79318400Y-135098840D01* -X79311358Y-135085666D01* -X79301882Y-135074118D01* -X79290334Y-135064642D01* -X79277160Y-135057600D01* -X79262866Y-135053264D01* -X79248000Y-135051800D01* -X78867000Y-135051800D01* -X78852134Y-135053264D01* -X78837840Y-135057600D01* -X78824666Y-135064642D01* -X78813118Y-135074118D01* -X78803642Y-135085666D01* -X78796600Y-135098840D01* -X78792264Y-135113134D01* -X78790800Y-135128000D01* -X78689200Y-135128000D01* -X78687736Y-135113134D01* -X78683400Y-135098840D01* -X78676358Y-135085666D01* -X78666882Y-135074118D01* -X78655334Y-135064642D01* -X78642160Y-135057600D01* -X78627866Y-135053264D01* -X78613000Y-135051800D01* -X78232000Y-135051800D01* -X78217134Y-135053264D01* -X78202840Y-135057600D01* -X78189666Y-135064642D01* -X78178118Y-135074118D01* -X78168642Y-135085666D01* -X78161600Y-135098840D01* -X78157264Y-135113134D01* -X78155800Y-135128000D01* -X74498200Y-135128000D01* -X74498200Y-134493000D01* -X78155800Y-134493000D01* -X78155800Y-134874000D01* -X78157264Y-134888866D01* -X78161600Y-134903160D01* -X78168642Y-134916334D01* -X78178118Y-134927882D01* -X78189666Y-134937358D01* -X78202840Y-134944400D01* -X78217134Y-134948736D01* -X78232000Y-134950200D01* -X78613000Y-134950200D01* -X78627866Y-134948736D01* -X78642160Y-134944400D01* -X78655334Y-134937358D01* -X78666882Y-134927882D01* -X78676358Y-134916334D01* -X78683400Y-134903160D01* -X78687736Y-134888866D01* -X78689200Y-134874000D01* -X78689200Y-134493000D01* -X78790800Y-134493000D01* -X78790800Y-134874000D01* -X78792264Y-134888866D01* -X78796600Y-134903160D01* -X78803642Y-134916334D01* -X78813118Y-134927882D01* -X78824666Y-134937358D01* -X78837840Y-134944400D01* -X78852134Y-134948736D01* -X78867000Y-134950200D01* -X79248000Y-134950200D01* -X79262866Y-134948736D01* -X79277160Y-134944400D01* -X79290334Y-134937358D01* -X79301882Y-134927882D01* -X79311358Y-134916334D01* -X79318400Y-134903160D01* -X79322736Y-134888866D01* -X79324200Y-134874000D01* -X79324200Y-134493000D01* -X80695800Y-134493000D01* -X80695800Y-134874000D01* -X80697264Y-134888866D01* -X80701600Y-134903160D01* -X80708642Y-134916334D01* -X80718118Y-134927882D01* -X80729666Y-134937358D01* -X80742840Y-134944400D01* -X80757134Y-134948736D01* -X80772000Y-134950200D01* -X81153000Y-134950200D01* -X81167866Y-134948736D01* -X81182160Y-134944400D01* -X81195334Y-134937358D01* -X81206882Y-134927882D01* -X81216358Y-134916334D01* -X81223400Y-134903160D01* -X81227736Y-134888866D01* -X81229200Y-134874000D01* -X81229200Y-134493000D01* -X81330800Y-134493000D01* -X81330800Y-134874000D01* -X81332264Y-134888866D01* -X81336600Y-134903160D01* -X81343642Y-134916334D01* -X81353118Y-134927882D01* -X81364666Y-134937358D01* -X81377840Y-134944400D01* -X81392134Y-134948736D01* -X81407000Y-134950200D01* -X81788000Y-134950200D01* -X81802866Y-134948736D01* -X81817160Y-134944400D01* -X81830334Y-134937358D01* -X81841882Y-134927882D01* -X81851358Y-134916334D01* -X81858400Y-134903160D01* -X81862736Y-134888866D01* -X81864200Y-134874000D01* -X81864200Y-134493000D01* -X83235800Y-134493000D01* -X83235800Y-134874000D01* -X83237264Y-134888866D01* -X83241600Y-134903160D01* -X83248642Y-134916334D01* -X83258118Y-134927882D01* -X83269666Y-134937358D01* -X83282840Y-134944400D01* -X83297134Y-134948736D01* -X83312000Y-134950200D01* -X83693000Y-134950200D01* -X83707866Y-134948736D01* -X83722160Y-134944400D01* -X83735334Y-134937358D01* -X83746882Y-134927882D01* -X83756358Y-134916334D01* -X83763400Y-134903160D01* -X83767736Y-134888866D01* -X83769200Y-134874000D01* -X83769200Y-134493000D01* -X83870800Y-134493000D01* -X83870800Y-134874000D01* -X83872264Y-134888866D01* -X83876600Y-134903160D01* -X83883642Y-134916334D01* -X83893118Y-134927882D01* -X83904666Y-134937358D01* -X83917840Y-134944400D01* -X83932134Y-134948736D01* -X83947000Y-134950200D01* -X84328000Y-134950200D01* -X84342866Y-134948736D01* -X84357160Y-134944400D01* -X84370334Y-134937358D01* -X84381882Y-134927882D01* -X84391358Y-134916334D01* -X84398400Y-134903160D01* -X84402736Y-134888866D01* -X84404200Y-134874000D01* -X84404200Y-134493000D01* -X85775800Y-134493000D01* -X85775800Y-134874000D01* -X85777264Y-134888866D01* -X85781600Y-134903160D01* -X85788642Y-134916334D01* -X85798118Y-134927882D01* -X85809666Y-134937358D01* -X85822840Y-134944400D01* -X85837134Y-134948736D01* -X85852000Y-134950200D01* -X86233000Y-134950200D01* -X86247866Y-134948736D01* -X86262160Y-134944400D01* -X86275334Y-134937358D01* -X86286882Y-134927882D01* -X86296358Y-134916334D01* -X86303400Y-134903160D01* -X86307736Y-134888866D01* -X86309200Y-134874000D01* -X86309200Y-134493000D01* -X86410800Y-134493000D01* -X86410800Y-134874000D01* -X86412264Y-134888866D01* -X86416600Y-134903160D01* -X86423642Y-134916334D01* -X86433118Y-134927882D01* -X86444666Y-134937358D01* -X86457840Y-134944400D01* -X86472134Y-134948736D01* -X86487000Y-134950200D01* -X86868000Y-134950200D01* -X86882866Y-134948736D01* -X86897160Y-134944400D01* -X86910334Y-134937358D01* -X86921882Y-134927882D01* -X86931358Y-134916334D01* -X86938400Y-134903160D01* -X86942736Y-134888866D01* -X86944200Y-134874000D01* -X86944200Y-134493000D01* -X88315800Y-134493000D01* -X88315800Y-134874000D01* -X88317264Y-134888866D01* -X88321600Y-134903160D01* -X88328642Y-134916334D01* -X88338118Y-134927882D01* -X88349666Y-134937358D01* -X88362840Y-134944400D01* -X88377134Y-134948736D01* -X88392000Y-134950200D01* -X88773000Y-134950200D01* -X88787866Y-134948736D01* -X88802160Y-134944400D01* -X88815334Y-134937358D01* -X88826882Y-134927882D01* -X88836358Y-134916334D01* -X88843400Y-134903160D01* -X88847736Y-134888866D01* -X88849200Y-134874000D01* -X88849200Y-134493000D01* -X88950800Y-134493000D01* -X88950800Y-134874000D01* -X88952264Y-134888866D01* -X88956600Y-134903160D01* -X88963642Y-134916334D01* -X88973118Y-134927882D01* -X88984666Y-134937358D01* -X88997840Y-134944400D01* -X89012134Y-134948736D01* -X89027000Y-134950200D01* -X89408000Y-134950200D01* -X89422866Y-134948736D01* -X89437160Y-134944400D01* -X89450334Y-134937358D01* -X89461882Y-134927882D01* -X89471358Y-134916334D01* -X89478400Y-134903160D01* -X89482736Y-134888866D01* -X89484200Y-134874000D01* -X89484200Y-134493000D01* -X90855800Y-134493000D01* -X90855800Y-134874000D01* -X90857264Y-134888866D01* -X90861600Y-134903160D01* -X90868642Y-134916334D01* -X90878118Y-134927882D01* -X90889666Y-134937358D01* -X90902840Y-134944400D01* -X90917134Y-134948736D01* -X90932000Y-134950200D01* -X91313000Y-134950200D01* -X91327866Y-134948736D01* -X91342160Y-134944400D01* -X91355334Y-134937358D01* -X91366882Y-134927882D01* -X91376358Y-134916334D01* -X91383400Y-134903160D01* -X91387736Y-134888866D01* -X91389200Y-134874000D01* -X91389200Y-134493000D01* -X91490800Y-134493000D01* -X91490800Y-134874000D01* -X91492264Y-134888866D01* -X91496600Y-134903160D01* -X91503642Y-134916334D01* -X91513118Y-134927882D01* -X91524666Y-134937358D01* -X91537840Y-134944400D01* -X91552134Y-134948736D01* -X91567000Y-134950200D01* -X91948000Y-134950200D01* -X91962866Y-134948736D01* -X91977160Y-134944400D01* -X91990334Y-134937358D01* -X92001882Y-134927882D01* -X92011358Y-134916334D01* -X92018400Y-134903160D01* -X92022736Y-134888866D01* -X92024200Y-134874000D01* -X92024200Y-134493000D01* -X93395800Y-134493000D01* -X93395800Y-134874000D01* -X93397264Y-134888866D01* -X93401600Y-134903160D01* -X93408642Y-134916334D01* -X93418118Y-134927882D01* -X93429666Y-134937358D01* -X93442840Y-134944400D01* -X93457134Y-134948736D01* -X93472000Y-134950200D01* -X93853000Y-134950200D01* -X93867866Y-134948736D01* -X93882160Y-134944400D01* -X93895334Y-134937358D01* -X93906882Y-134927882D01* -X93916358Y-134916334D01* -X93923400Y-134903160D01* -X93927736Y-134888866D01* -X93929200Y-134874000D01* -X93929200Y-134493000D01* -X94030800Y-134493000D01* -X94030800Y-134874000D01* -X94032264Y-134888866D01* -X94036600Y-134903160D01* -X94043642Y-134916334D01* -X94053118Y-134927882D01* -X94064666Y-134937358D01* -X94077840Y-134944400D01* -X94092134Y-134948736D01* -X94107000Y-134950200D01* -X94488000Y-134950200D01* -X94502866Y-134948736D01* -X94517160Y-134944400D01* -X94530334Y-134937358D01* -X94541882Y-134927882D01* -X94551358Y-134916334D01* -X94558400Y-134903160D01* -X94562736Y-134888866D01* -X94564200Y-134874000D01* -X94564200Y-134493000D01* -X95935800Y-134493000D01* -X95935800Y-134874000D01* -X95937264Y-134888866D01* -X95941600Y-134903160D01* -X95948642Y-134916334D01* -X95958118Y-134927882D01* -X95969666Y-134937358D01* -X95982840Y-134944400D01* -X95997134Y-134948736D01* -X96012000Y-134950200D01* -X96393000Y-134950200D01* -X96407866Y-134948736D01* -X96422160Y-134944400D01* -X96435334Y-134937358D01* -X96446882Y-134927882D01* -X96456358Y-134916334D01* -X96463400Y-134903160D01* -X96467736Y-134888866D01* -X96469200Y-134874000D01* -X96469200Y-134493000D01* -X96570800Y-134493000D01* -X96570800Y-134874000D01* -X96572264Y-134888866D01* -X96576600Y-134903160D01* -X96583642Y-134916334D01* -X96593118Y-134927882D01* -X96604666Y-134937358D01* -X96617840Y-134944400D01* -X96632134Y-134948736D01* -X96647000Y-134950200D01* -X97028000Y-134950200D01* -X97042866Y-134948736D01* -X97057160Y-134944400D01* -X97070334Y-134937358D01* -X97081882Y-134927882D01* -X97091358Y-134916334D01* -X97098400Y-134903160D01* -X97102736Y-134888866D01* -X97104200Y-134874000D01* -X97104200Y-134493000D01* -X98475800Y-134493000D01* -X98475800Y-134874000D01* -X98477264Y-134888866D01* -X98481600Y-134903160D01* -X98488642Y-134916334D01* -X98498118Y-134927882D01* -X98509666Y-134937358D01* -X98522840Y-134944400D01* -X98537134Y-134948736D01* -X98552000Y-134950200D01* -X98933000Y-134950200D01* -X98947866Y-134948736D01* -X98962160Y-134944400D01* -X98975334Y-134937358D01* -X98986882Y-134927882D01* -X98996358Y-134916334D01* -X99003400Y-134903160D01* -X99007736Y-134888866D01* -X99009200Y-134874000D01* -X99009200Y-134493000D01* -X99110800Y-134493000D01* -X99110800Y-134874000D01* -X99112264Y-134888866D01* -X99116600Y-134903160D01* -X99123642Y-134916334D01* -X99133118Y-134927882D01* -X99144666Y-134937358D01* -X99157840Y-134944400D01* -X99172134Y-134948736D01* -X99187000Y-134950200D01* -X99568000Y-134950200D01* -X99582866Y-134948736D01* -X99597160Y-134944400D01* -X99610334Y-134937358D01* -X99621882Y-134927882D01* -X99631358Y-134916334D01* -X99638400Y-134903160D01* -X99642736Y-134888866D01* -X99644200Y-134874000D01* -X99644200Y-134493000D01* -X101015800Y-134493000D01* -X101015800Y-134874000D01* -X101017264Y-134888866D01* -X101021600Y-134903160D01* -X101028642Y-134916334D01* -X101038118Y-134927882D01* -X101049666Y-134937358D01* -X101062840Y-134944400D01* -X101077134Y-134948736D01* -X101092000Y-134950200D01* -X101473000Y-134950200D01* -X101487866Y-134948736D01* -X101502160Y-134944400D01* -X101515334Y-134937358D01* -X101526882Y-134927882D01* -X101536358Y-134916334D01* -X101543400Y-134903160D01* -X101547736Y-134888866D01* -X101549200Y-134874000D01* -X101549200Y-134493000D01* -X101650800Y-134493000D01* -X101650800Y-134874000D01* -X101652264Y-134888866D01* -X101656600Y-134903160D01* -X101663642Y-134916334D01* -X101673118Y-134927882D01* -X101684666Y-134937358D01* -X101697840Y-134944400D01* -X101712134Y-134948736D01* -X101727000Y-134950200D01* -X102108000Y-134950200D01* -X102122866Y-134948736D01* -X102137160Y-134944400D01* -X102150334Y-134937358D01* -X102161882Y-134927882D01* -X102171358Y-134916334D01* -X102178400Y-134903160D01* -X102182736Y-134888866D01* -X102184200Y-134874000D01* -X102184200Y-134493000D01* -X103555800Y-134493000D01* -X103555800Y-134874000D01* -X103557264Y-134888866D01* -X103561600Y-134903160D01* -X103568642Y-134916334D01* -X103578118Y-134927882D01* -X103589666Y-134937358D01* -X103602840Y-134944400D01* -X103617134Y-134948736D01* -X103632000Y-134950200D01* -X104013000Y-134950200D01* -X104027866Y-134948736D01* -X104042160Y-134944400D01* -X104055334Y-134937358D01* -X104066882Y-134927882D01* -X104076358Y-134916334D01* -X104083400Y-134903160D01* -X104087736Y-134888866D01* -X104089200Y-134874000D01* -X104089200Y-134493000D01* -X104190800Y-134493000D01* -X104190800Y-134874000D01* -X104192264Y-134888866D01* -X104196600Y-134903160D01* -X104203642Y-134916334D01* -X104213118Y-134927882D01* -X104224666Y-134937358D01* -X104237840Y-134944400D01* -X104252134Y-134948736D01* -X104267000Y-134950200D01* -X104648000Y-134950200D01* -X104662866Y-134948736D01* -X104677160Y-134944400D01* -X104690334Y-134937358D01* -X104701882Y-134927882D01* -X104711358Y-134916334D01* -X104718400Y-134903160D01* -X104722736Y-134888866D01* -X104724200Y-134874000D01* -X104724200Y-134493000D01* -X106095800Y-134493000D01* -X106095800Y-134874000D01* -X106097264Y-134888866D01* -X106101600Y-134903160D01* -X106108642Y-134916334D01* -X106118118Y-134927882D01* -X106129666Y-134937358D01* -X106142840Y-134944400D01* -X106157134Y-134948736D01* -X106172000Y-134950200D01* -X106553000Y-134950200D01* -X106567866Y-134948736D01* -X106582160Y-134944400D01* -X106595334Y-134937358D01* -X106606882Y-134927882D01* -X106616358Y-134916334D01* -X106623400Y-134903160D01* -X106627736Y-134888866D01* -X106629200Y-134874000D01* -X106629200Y-134493000D01* -X106730800Y-134493000D01* -X106730800Y-134874000D01* -X106732264Y-134888866D01* -X106736600Y-134903160D01* -X106743642Y-134916334D01* -X106753118Y-134927882D01* -X106764666Y-134937358D01* -X106777840Y-134944400D01* -X106792134Y-134948736D01* -X106807000Y-134950200D01* -X107188000Y-134950200D01* -X107202866Y-134948736D01* -X107217160Y-134944400D01* -X107230334Y-134937358D01* -X107241882Y-134927882D01* -X107251358Y-134916334D01* -X107258400Y-134903160D01* -X107262736Y-134888866D01* -X107264200Y-134874000D01* -X107264200Y-134493000D01* -X108635800Y-134493000D01* -X108635800Y-134874000D01* -X108637264Y-134888866D01* -X108641600Y-134903160D01* -X108648642Y-134916334D01* -X108658118Y-134927882D01* -X108669666Y-134937358D01* -X108682840Y-134944400D01* -X108697134Y-134948736D01* -X108712000Y-134950200D01* -X109093000Y-134950200D01* -X109107866Y-134948736D01* -X109122160Y-134944400D01* -X109135334Y-134937358D01* -X109146882Y-134927882D01* -X109156358Y-134916334D01* -X109163400Y-134903160D01* -X109167736Y-134888866D01* -X109169200Y-134874000D01* -X109169200Y-134493000D01* -X109270800Y-134493000D01* -X109270800Y-134874000D01* -X109272264Y-134888866D01* -X109276600Y-134903160D01* -X109283642Y-134916334D01* -X109293118Y-134927882D01* -X109304666Y-134937358D01* -X109317840Y-134944400D01* -X109332134Y-134948736D01* -X109347000Y-134950200D01* -X109728000Y-134950200D01* -X109742866Y-134948736D01* -X109757160Y-134944400D01* -X109770334Y-134937358D01* -X109781882Y-134927882D01* -X109791358Y-134916334D01* -X109798400Y-134903160D01* -X109802736Y-134888866D01* -X109804200Y-134874000D01* -X109804200Y-134493000D01* -X111175800Y-134493000D01* -X111175800Y-134874000D01* -X111177264Y-134888866D01* -X111181600Y-134903160D01* -X111188642Y-134916334D01* -X111198118Y-134927882D01* -X111209666Y-134937358D01* -X111222840Y-134944400D01* -X111237134Y-134948736D01* -X111252000Y-134950200D01* -X111633000Y-134950200D01* -X111647866Y-134948736D01* -X111662160Y-134944400D01* -X111675334Y-134937358D01* -X111686882Y-134927882D01* -X111696358Y-134916334D01* -X111703400Y-134903160D01* -X111707736Y-134888866D01* -X111709200Y-134874000D01* -X111709200Y-134493000D01* -X111810800Y-134493000D01* -X111810800Y-134874000D01* -X111812264Y-134888866D01* -X111816600Y-134903160D01* -X111823642Y-134916334D01* -X111833118Y-134927882D01* -X111844666Y-134937358D01* -X111857840Y-134944400D01* -X111872134Y-134948736D01* -X111887000Y-134950200D01* -X112268000Y-134950200D01* -X112282866Y-134948736D01* -X112297160Y-134944400D01* -X112310334Y-134937358D01* -X112321882Y-134927882D01* -X112331358Y-134916334D01* -X112338400Y-134903160D01* -X112342736Y-134888866D01* -X112344200Y-134874000D01* -X112344200Y-134493000D01* -X113715800Y-134493000D01* -X113715800Y-134874000D01* -X113717264Y-134888866D01* -X113721600Y-134903160D01* -X113728642Y-134916334D01* -X113738118Y-134927882D01* -X113749666Y-134937358D01* -X113762840Y-134944400D01* -X113777134Y-134948736D01* -X113792000Y-134950200D01* -X114173000Y-134950200D01* -X114187866Y-134948736D01* -X114202160Y-134944400D01* -X114215334Y-134937358D01* -X114226882Y-134927882D01* -X114236358Y-134916334D01* -X114243400Y-134903160D01* -X114247736Y-134888866D01* -X114249200Y-134874000D01* -X114249200Y-134493000D01* -X114350800Y-134493000D01* -X114350800Y-134874000D01* -X114352264Y-134888866D01* -X114356600Y-134903160D01* -X114363642Y-134916334D01* -X114373118Y-134927882D01* -X114384666Y-134937358D01* -X114397840Y-134944400D01* -X114412134Y-134948736D01* -X114427000Y-134950200D01* -X114808000Y-134950200D01* -X114822866Y-134948736D01* -X114837160Y-134944400D01* -X114850334Y-134937358D01* -X114861882Y-134927882D01* -X114871358Y-134916334D01* -X114878400Y-134903160D01* -X114882736Y-134888866D01* -X114884200Y-134874000D01* -X114884200Y-134493000D01* -X121335800Y-134493000D01* -X121335800Y-134874000D01* -X121337264Y-134888866D01* -X121341600Y-134903160D01* -X121348642Y-134916334D01* -X121358118Y-134927882D01* -X121369666Y-134937358D01* -X121382840Y-134944400D01* -X121397134Y-134948736D01* -X121412000Y-134950200D01* -X121793000Y-134950200D01* -X121807866Y-134948736D01* -X121822160Y-134944400D01* -X121835334Y-134937358D01* -X121846882Y-134927882D01* -X121856358Y-134916334D01* -X121863400Y-134903160D01* -X121867736Y-134888866D01* -X121869200Y-134874000D01* -X121869200Y-134493000D01* -X121970800Y-134493000D01* -X121970800Y-134874000D01* -X121972264Y-134888866D01* -X121976600Y-134903160D01* -X121983642Y-134916334D01* -X121993118Y-134927882D01* -X122004666Y-134937358D01* -X122017840Y-134944400D01* -X122032134Y-134948736D01* -X122047000Y-134950200D01* -X122428000Y-134950200D01* -X122442866Y-134948736D01* -X122457160Y-134944400D01* -X122470334Y-134937358D01* -X122481882Y-134927882D01* -X122491358Y-134916334D01* -X122498400Y-134903160D01* -X122502736Y-134888866D01* -X122504200Y-134874000D01* -X122504200Y-134493000D01* -X123875800Y-134493000D01* -X123875800Y-134874000D01* -X123877264Y-134888866D01* -X123881600Y-134903160D01* -X123888642Y-134916334D01* -X123898118Y-134927882D01* -X123909666Y-134937358D01* -X123922840Y-134944400D01* -X123937134Y-134948736D01* -X123952000Y-134950200D01* -X124333000Y-134950200D01* -X124347866Y-134948736D01* -X124362160Y-134944400D01* -X124375334Y-134937358D01* -X124386882Y-134927882D01* -X124396358Y-134916334D01* -X124403400Y-134903160D01* -X124407736Y-134888866D01* -X124409200Y-134874000D01* -X124409200Y-134493000D01* -X124510800Y-134493000D01* -X124510800Y-134874000D01* -X124512264Y-134888866D01* -X124516600Y-134903160D01* -X124523642Y-134916334D01* -X124533118Y-134927882D01* -X124544666Y-134937358D01* -X124557840Y-134944400D01* -X124572134Y-134948736D01* -X124587000Y-134950200D01* -X124968000Y-134950200D01* -X124982866Y-134948736D01* -X124997160Y-134944400D01* -X125010334Y-134937358D01* -X125021882Y-134927882D01* -X125031358Y-134916334D01* -X125038400Y-134903160D01* -X125042736Y-134888866D01* -X125044200Y-134874000D01* -X125044200Y-134493000D01* -X126415800Y-134493000D01* -X126415800Y-134874000D01* -X126417264Y-134888866D01* -X126421600Y-134903160D01* -X126428642Y-134916334D01* -X126438118Y-134927882D01* -X126449666Y-134937358D01* -X126462840Y-134944400D01* -X126477134Y-134948736D01* -X126492000Y-134950200D01* -X126873000Y-134950200D01* -X126887866Y-134948736D01* -X126902160Y-134944400D01* -X126915334Y-134937358D01* -X126926882Y-134927882D01* -X126936358Y-134916334D01* -X126943400Y-134903160D01* -X126947736Y-134888866D01* -X126949200Y-134874000D01* -X126949200Y-134493000D01* -X127050800Y-134493000D01* -X127050800Y-134874000D01* -X127052264Y-134888866D01* -X127056600Y-134903160D01* -X127063642Y-134916334D01* -X127073118Y-134927882D01* -X127084666Y-134937358D01* -X127097840Y-134944400D01* -X127112134Y-134948736D01* -X127127000Y-134950200D01* -X127508000Y-134950200D01* -X127522866Y-134948736D01* -X127537160Y-134944400D01* -X127550334Y-134937358D01* -X127561882Y-134927882D01* -X127571358Y-134916334D01* -X127578400Y-134903160D01* -X127582736Y-134888866D01* -X127584200Y-134874000D01* -X127584200Y-134493000D01* -X128955800Y-134493000D01* -X128955800Y-134874000D01* -X128957264Y-134888866D01* -X128961600Y-134903160D01* -X128968642Y-134916334D01* -X128978118Y-134927882D01* -X128989666Y-134937358D01* -X129002840Y-134944400D01* -X129017134Y-134948736D01* -X129032000Y-134950200D01* -X129413000Y-134950200D01* -X129427866Y-134948736D01* -X129442160Y-134944400D01* -X129455334Y-134937358D01* -X129466882Y-134927882D01* -X129476358Y-134916334D01* -X129483400Y-134903160D01* -X129487736Y-134888866D01* -X129489200Y-134874000D01* -X129489200Y-134493000D01* -X129590800Y-134493000D01* -X129590800Y-134874000D01* -X129592264Y-134888866D01* -X129596600Y-134903160D01* -X129603642Y-134916334D01* -X129613118Y-134927882D01* -X129624666Y-134937358D01* -X129637840Y-134944400D01* -X129652134Y-134948736D01* -X129667000Y-134950200D01* -X130048000Y-134950200D01* -X130062866Y-134948736D01* -X130077160Y-134944400D01* -X130090334Y-134937358D01* -X130101882Y-134927882D01* -X130111358Y-134916334D01* -X130118400Y-134903160D01* -X130122736Y-134888866D01* -X130124200Y-134874000D01* -X130124200Y-134493000D01* -X131495800Y-134493000D01* -X131495800Y-134874000D01* -X131497264Y-134888866D01* -X131501600Y-134903160D01* -X131508642Y-134916334D01* -X131518118Y-134927882D01* -X131529666Y-134937358D01* -X131542840Y-134944400D01* -X131557134Y-134948736D01* -X131572000Y-134950200D01* -X131953000Y-134950200D01* -X131967866Y-134948736D01* -X131982160Y-134944400D01* -X131995334Y-134937358D01* -X132006882Y-134927882D01* -X132016358Y-134916334D01* -X132023400Y-134903160D01* -X132027736Y-134888866D01* -X132029200Y-134874000D01* -X132029200Y-134493000D01* -X132130800Y-134493000D01* -X132130800Y-134874000D01* -X132132264Y-134888866D01* -X132136600Y-134903160D01* -X132143642Y-134916334D01* -X132153118Y-134927882D01* -X132164666Y-134937358D01* -X132177840Y-134944400D01* -X132192134Y-134948736D01* -X132207000Y-134950200D01* -X132588000Y-134950200D01* -X132602866Y-134948736D01* -X132617160Y-134944400D01* -X132630334Y-134937358D01* -X132641882Y-134927882D01* -X132651358Y-134916334D01* -X132658400Y-134903160D01* -X132662736Y-134888866D01* -X132664200Y-134874000D01* -X132664200Y-134493000D01* -X134035800Y-134493000D01* -X134035800Y-134874000D01* -X134037264Y-134888866D01* -X134041600Y-134903160D01* -X134048642Y-134916334D01* -X134058118Y-134927882D01* -X134069666Y-134937358D01* -X134082840Y-134944400D01* -X134097134Y-134948736D01* -X134112000Y-134950200D01* -X134493000Y-134950200D01* -X134507866Y-134948736D01* -X134522160Y-134944400D01* -X134535334Y-134937358D01* -X134546882Y-134927882D01* -X134556358Y-134916334D01* -X134563400Y-134903160D01* -X134567736Y-134888866D01* -X134569200Y-134874000D01* -X134569200Y-134493000D01* -X134670800Y-134493000D01* -X134670800Y-134874000D01* -X134672264Y-134888866D01* -X134676600Y-134903160D01* -X134683642Y-134916334D01* -X134693118Y-134927882D01* -X134704666Y-134937358D01* -X134717840Y-134944400D01* -X134732134Y-134948736D01* -X134747000Y-134950200D01* -X135128000Y-134950200D01* -X135142866Y-134948736D01* -X135157160Y-134944400D01* -X135170334Y-134937358D01* -X135181882Y-134927882D01* -X135191358Y-134916334D01* -X135198400Y-134903160D01* -X135202736Y-134888866D01* -X135204200Y-134874000D01* -X135204200Y-134493000D01* -X135202736Y-134478134D01* -X135198400Y-134463840D01* -X135191358Y-134450666D01* -X135181882Y-134439118D01* -X135170334Y-134429642D01* -X135157160Y-134422600D01* -X135142866Y-134418264D01* -X135128000Y-134416800D01* -X134747000Y-134416800D01* -X134732134Y-134418264D01* -X134717840Y-134422600D01* -X134704666Y-134429642D01* -X134693118Y-134439118D01* -X134683642Y-134450666D01* -X134676600Y-134463840D01* -X134672264Y-134478134D01* -X134670800Y-134493000D01* -X134569200Y-134493000D01* -X134567736Y-134478134D01* -X134563400Y-134463840D01* -X134556358Y-134450666D01* -X134546882Y-134439118D01* -X134535334Y-134429642D01* -X134522160Y-134422600D01* -X134507866Y-134418264D01* -X134493000Y-134416800D01* -X134112000Y-134416800D01* -X134097134Y-134418264D01* -X134082840Y-134422600D01* -X134069666Y-134429642D01* -X134058118Y-134439118D01* -X134048642Y-134450666D01* -X134041600Y-134463840D01* -X134037264Y-134478134D01* -X134035800Y-134493000D01* -X132664200Y-134493000D01* -X132662736Y-134478134D01* -X132658400Y-134463840D01* -X132651358Y-134450666D01* -X132641882Y-134439118D01* -X132630334Y-134429642D01* -X132617160Y-134422600D01* -X132602866Y-134418264D01* -X132588000Y-134416800D01* -X132207000Y-134416800D01* -X132192134Y-134418264D01* -X132177840Y-134422600D01* -X132164666Y-134429642D01* -X132153118Y-134439118D01* -X132143642Y-134450666D01* -X132136600Y-134463840D01* -X132132264Y-134478134D01* -X132130800Y-134493000D01* -X132029200Y-134493000D01* -X132027736Y-134478134D01* -X132023400Y-134463840D01* -X132016358Y-134450666D01* -X132006882Y-134439118D01* -X131995334Y-134429642D01* -X131982160Y-134422600D01* -X131967866Y-134418264D01* -X131953000Y-134416800D01* -X131572000Y-134416800D01* -X131557134Y-134418264D01* -X131542840Y-134422600D01* -X131529666Y-134429642D01* -X131518118Y-134439118D01* -X131508642Y-134450666D01* -X131501600Y-134463840D01* -X131497264Y-134478134D01* -X131495800Y-134493000D01* -X130124200Y-134493000D01* -X130122736Y-134478134D01* -X130118400Y-134463840D01* -X130111358Y-134450666D01* -X130101882Y-134439118D01* -X130090334Y-134429642D01* -X130077160Y-134422600D01* -X130062866Y-134418264D01* -X130048000Y-134416800D01* -X129667000Y-134416800D01* -X129652134Y-134418264D01* -X129637840Y-134422600D01* -X129624666Y-134429642D01* -X129613118Y-134439118D01* -X129603642Y-134450666D01* -X129596600Y-134463840D01* -X129592264Y-134478134D01* -X129590800Y-134493000D01* -X129489200Y-134493000D01* -X129487736Y-134478134D01* -X129483400Y-134463840D01* -X129476358Y-134450666D01* -X129466882Y-134439118D01* -X129455334Y-134429642D01* -X129442160Y-134422600D01* -X129427866Y-134418264D01* -X129413000Y-134416800D01* -X129032000Y-134416800D01* -X129017134Y-134418264D01* -X129002840Y-134422600D01* -X128989666Y-134429642D01* -X128978118Y-134439118D01* -X128968642Y-134450666D01* -X128961600Y-134463840D01* -X128957264Y-134478134D01* -X128955800Y-134493000D01* -X127584200Y-134493000D01* -X127582736Y-134478134D01* -X127578400Y-134463840D01* -X127571358Y-134450666D01* -X127561882Y-134439118D01* -X127550334Y-134429642D01* -X127537160Y-134422600D01* -X127522866Y-134418264D01* -X127508000Y-134416800D01* -X127127000Y-134416800D01* -X127112134Y-134418264D01* -X127097840Y-134422600D01* -X127084666Y-134429642D01* -X127073118Y-134439118D01* -X127063642Y-134450666D01* -X127056600Y-134463840D01* -X127052264Y-134478134D01* -X127050800Y-134493000D01* -X126949200Y-134493000D01* -X126947736Y-134478134D01* -X126943400Y-134463840D01* -X126936358Y-134450666D01* -X126926882Y-134439118D01* -X126915334Y-134429642D01* -X126902160Y-134422600D01* -X126887866Y-134418264D01* -X126873000Y-134416800D01* -X126492000Y-134416800D01* -X126477134Y-134418264D01* -X126462840Y-134422600D01* -X126449666Y-134429642D01* -X126438118Y-134439118D01* -X126428642Y-134450666D01* -X126421600Y-134463840D01* -X126417264Y-134478134D01* -X126415800Y-134493000D01* -X125044200Y-134493000D01* -X125042736Y-134478134D01* -X125038400Y-134463840D01* -X125031358Y-134450666D01* -X125021882Y-134439118D01* -X125010334Y-134429642D01* -X124997160Y-134422600D01* -X124982866Y-134418264D01* -X124968000Y-134416800D01* -X124587000Y-134416800D01* -X124572134Y-134418264D01* -X124557840Y-134422600D01* -X124544666Y-134429642D01* -X124533118Y-134439118D01* -X124523642Y-134450666D01* -X124516600Y-134463840D01* -X124512264Y-134478134D01* -X124510800Y-134493000D01* -X124409200Y-134493000D01* -X124407736Y-134478134D01* -X124403400Y-134463840D01* -X124396358Y-134450666D01* -X124386882Y-134439118D01* -X124375334Y-134429642D01* -X124362160Y-134422600D01* -X124347866Y-134418264D01* -X124333000Y-134416800D01* -X123952000Y-134416800D01* -X123937134Y-134418264D01* -X123922840Y-134422600D01* -X123909666Y-134429642D01* -X123898118Y-134439118D01* -X123888642Y-134450666D01* -X123881600Y-134463840D01* -X123877264Y-134478134D01* -X123875800Y-134493000D01* -X122504200Y-134493000D01* -X122502736Y-134478134D01* -X122498400Y-134463840D01* -X122491358Y-134450666D01* -X122481882Y-134439118D01* -X122470334Y-134429642D01* -X122457160Y-134422600D01* -X122442866Y-134418264D01* -X122428000Y-134416800D01* -X122047000Y-134416800D01* -X122032134Y-134418264D01* -X122017840Y-134422600D01* -X122004666Y-134429642D01* -X121993118Y-134439118D01* -X121983642Y-134450666D01* -X121976600Y-134463840D01* -X121972264Y-134478134D01* -X121970800Y-134493000D01* -X121869200Y-134493000D01* -X121867736Y-134478134D01* -X121863400Y-134463840D01* -X121856358Y-134450666D01* -X121846882Y-134439118D01* -X121835334Y-134429642D01* -X121822160Y-134422600D01* -X121807866Y-134418264D01* -X121793000Y-134416800D01* -X121412000Y-134416800D01* -X121397134Y-134418264D01* -X121382840Y-134422600D01* -X121369666Y-134429642D01* -X121358118Y-134439118D01* -X121348642Y-134450666D01* -X121341600Y-134463840D01* -X121337264Y-134478134D01* -X121335800Y-134493000D01* -X114884200Y-134493000D01* -X114882736Y-134478134D01* -X114878400Y-134463840D01* -X114871358Y-134450666D01* -X114861882Y-134439118D01* -X114850334Y-134429642D01* -X114837160Y-134422600D01* -X114822866Y-134418264D01* -X114808000Y-134416800D01* -X114427000Y-134416800D01* -X114412134Y-134418264D01* -X114397840Y-134422600D01* -X114384666Y-134429642D01* -X114373118Y-134439118D01* -X114363642Y-134450666D01* -X114356600Y-134463840D01* -X114352264Y-134478134D01* -X114350800Y-134493000D01* -X114249200Y-134493000D01* -X114247736Y-134478134D01* -X114243400Y-134463840D01* -X114236358Y-134450666D01* -X114226882Y-134439118D01* -X114215334Y-134429642D01* -X114202160Y-134422600D01* -X114187866Y-134418264D01* -X114173000Y-134416800D01* -X113792000Y-134416800D01* -X113777134Y-134418264D01* -X113762840Y-134422600D01* -X113749666Y-134429642D01* -X113738118Y-134439118D01* -X113728642Y-134450666D01* -X113721600Y-134463840D01* -X113717264Y-134478134D01* -X113715800Y-134493000D01* -X112344200Y-134493000D01* -X112342736Y-134478134D01* -X112338400Y-134463840D01* -X112331358Y-134450666D01* -X112321882Y-134439118D01* -X112310334Y-134429642D01* -X112297160Y-134422600D01* -X112282866Y-134418264D01* -X112268000Y-134416800D01* -X111887000Y-134416800D01* -X111872134Y-134418264D01* -X111857840Y-134422600D01* -X111844666Y-134429642D01* -X111833118Y-134439118D01* -X111823642Y-134450666D01* -X111816600Y-134463840D01* -X111812264Y-134478134D01* -X111810800Y-134493000D01* -X111709200Y-134493000D01* -X111707736Y-134478134D01* -X111703400Y-134463840D01* -X111696358Y-134450666D01* -X111686882Y-134439118D01* -X111675334Y-134429642D01* -X111662160Y-134422600D01* -X111647866Y-134418264D01* -X111633000Y-134416800D01* -X111252000Y-134416800D01* -X111237134Y-134418264D01* -X111222840Y-134422600D01* -X111209666Y-134429642D01* -X111198118Y-134439118D01* -X111188642Y-134450666D01* -X111181600Y-134463840D01* -X111177264Y-134478134D01* -X111175800Y-134493000D01* -X109804200Y-134493000D01* -X109802736Y-134478134D01* -X109798400Y-134463840D01* -X109791358Y-134450666D01* -X109781882Y-134439118D01* -X109770334Y-134429642D01* -X109757160Y-134422600D01* -X109742866Y-134418264D01* -X109728000Y-134416800D01* -X109347000Y-134416800D01* -X109332134Y-134418264D01* -X109317840Y-134422600D01* -X109304666Y-134429642D01* -X109293118Y-134439118D01* -X109283642Y-134450666D01* -X109276600Y-134463840D01* -X109272264Y-134478134D01* -X109270800Y-134493000D01* -X109169200Y-134493000D01* -X109167736Y-134478134D01* -X109163400Y-134463840D01* -X109156358Y-134450666D01* -X109146882Y-134439118D01* -X109135334Y-134429642D01* -X109122160Y-134422600D01* -X109107866Y-134418264D01* -X109093000Y-134416800D01* -X108712000Y-134416800D01* -X108697134Y-134418264D01* -X108682840Y-134422600D01* -X108669666Y-134429642D01* -X108658118Y-134439118D01* -X108648642Y-134450666D01* -X108641600Y-134463840D01* -X108637264Y-134478134D01* -X108635800Y-134493000D01* -X107264200Y-134493000D01* -X107262736Y-134478134D01* -X107258400Y-134463840D01* -X107251358Y-134450666D01* -X107241882Y-134439118D01* -X107230334Y-134429642D01* -X107217160Y-134422600D01* -X107202866Y-134418264D01* -X107188000Y-134416800D01* -X106807000Y-134416800D01* -X106792134Y-134418264D01* -X106777840Y-134422600D01* -X106764666Y-134429642D01* -X106753118Y-134439118D01* -X106743642Y-134450666D01* -X106736600Y-134463840D01* -X106732264Y-134478134D01* -X106730800Y-134493000D01* -X106629200Y-134493000D01* -X106627736Y-134478134D01* -X106623400Y-134463840D01* -X106616358Y-134450666D01* -X106606882Y-134439118D01* -X106595334Y-134429642D01* -X106582160Y-134422600D01* -X106567866Y-134418264D01* -X106553000Y-134416800D01* -X106172000Y-134416800D01* -X106157134Y-134418264D01* -X106142840Y-134422600D01* -X106129666Y-134429642D01* -X106118118Y-134439118D01* -X106108642Y-134450666D01* -X106101600Y-134463840D01* -X106097264Y-134478134D01* -X106095800Y-134493000D01* -X104724200Y-134493000D01* -X104722736Y-134478134D01* -X104718400Y-134463840D01* -X104711358Y-134450666D01* -X104701882Y-134439118D01* -X104690334Y-134429642D01* -X104677160Y-134422600D01* -X104662866Y-134418264D01* -X104648000Y-134416800D01* -X104267000Y-134416800D01* -X104252134Y-134418264D01* -X104237840Y-134422600D01* -X104224666Y-134429642D01* -X104213118Y-134439118D01* -X104203642Y-134450666D01* -X104196600Y-134463840D01* -X104192264Y-134478134D01* -X104190800Y-134493000D01* -X104089200Y-134493000D01* -X104087736Y-134478134D01* -X104083400Y-134463840D01* -X104076358Y-134450666D01* -X104066882Y-134439118D01* -X104055334Y-134429642D01* -X104042160Y-134422600D01* -X104027866Y-134418264D01* -X104013000Y-134416800D01* -X103632000Y-134416800D01* -X103617134Y-134418264D01* -X103602840Y-134422600D01* -X103589666Y-134429642D01* -X103578118Y-134439118D01* -X103568642Y-134450666D01* -X103561600Y-134463840D01* -X103557264Y-134478134D01* -X103555800Y-134493000D01* -X102184200Y-134493000D01* -X102182736Y-134478134D01* -X102178400Y-134463840D01* -X102171358Y-134450666D01* -X102161882Y-134439118D01* -X102150334Y-134429642D01* -X102137160Y-134422600D01* -X102122866Y-134418264D01* -X102108000Y-134416800D01* -X101727000Y-134416800D01* -X101712134Y-134418264D01* -X101697840Y-134422600D01* -X101684666Y-134429642D01* -X101673118Y-134439118D01* -X101663642Y-134450666D01* -X101656600Y-134463840D01* -X101652264Y-134478134D01* -X101650800Y-134493000D01* -X101549200Y-134493000D01* -X101547736Y-134478134D01* -X101543400Y-134463840D01* -X101536358Y-134450666D01* -X101526882Y-134439118D01* -X101515334Y-134429642D01* -X101502160Y-134422600D01* -X101487866Y-134418264D01* -X101473000Y-134416800D01* -X101092000Y-134416800D01* -X101077134Y-134418264D01* -X101062840Y-134422600D01* -X101049666Y-134429642D01* -X101038118Y-134439118D01* -X101028642Y-134450666D01* -X101021600Y-134463840D01* -X101017264Y-134478134D01* -X101015800Y-134493000D01* -X99644200Y-134493000D01* -X99642736Y-134478134D01* -X99638400Y-134463840D01* -X99631358Y-134450666D01* -X99621882Y-134439118D01* -X99610334Y-134429642D01* -X99597160Y-134422600D01* -X99582866Y-134418264D01* -X99568000Y-134416800D01* -X99187000Y-134416800D01* -X99172134Y-134418264D01* -X99157840Y-134422600D01* -X99144666Y-134429642D01* -X99133118Y-134439118D01* -X99123642Y-134450666D01* -X99116600Y-134463840D01* -X99112264Y-134478134D01* -X99110800Y-134493000D01* -X99009200Y-134493000D01* -X99007736Y-134478134D01* -X99003400Y-134463840D01* -X98996358Y-134450666D01* -X98986882Y-134439118D01* -X98975334Y-134429642D01* -X98962160Y-134422600D01* -X98947866Y-134418264D01* -X98933000Y-134416800D01* -X98552000Y-134416800D01* -X98537134Y-134418264D01* -X98522840Y-134422600D01* -X98509666Y-134429642D01* -X98498118Y-134439118D01* -X98488642Y-134450666D01* -X98481600Y-134463840D01* -X98477264Y-134478134D01* -X98475800Y-134493000D01* -X97104200Y-134493000D01* -X97102736Y-134478134D01* -X97098400Y-134463840D01* -X97091358Y-134450666D01* -X97081882Y-134439118D01* -X97070334Y-134429642D01* -X97057160Y-134422600D01* -X97042866Y-134418264D01* -X97028000Y-134416800D01* -X96647000Y-134416800D01* -X96632134Y-134418264D01* -X96617840Y-134422600D01* -X96604666Y-134429642D01* -X96593118Y-134439118D01* -X96583642Y-134450666D01* -X96576600Y-134463840D01* -X96572264Y-134478134D01* -X96570800Y-134493000D01* -X96469200Y-134493000D01* -X96467736Y-134478134D01* -X96463400Y-134463840D01* -X96456358Y-134450666D01* -X96446882Y-134439118D01* -X96435334Y-134429642D01* -X96422160Y-134422600D01* -X96407866Y-134418264D01* -X96393000Y-134416800D01* -X96012000Y-134416800D01* -X95997134Y-134418264D01* -X95982840Y-134422600D01* -X95969666Y-134429642D01* -X95958118Y-134439118D01* -X95948642Y-134450666D01* -X95941600Y-134463840D01* -X95937264Y-134478134D01* -X95935800Y-134493000D01* -X94564200Y-134493000D01* -X94562736Y-134478134D01* -X94558400Y-134463840D01* -X94551358Y-134450666D01* -X94541882Y-134439118D01* -X94530334Y-134429642D01* -X94517160Y-134422600D01* -X94502866Y-134418264D01* -X94488000Y-134416800D01* -X94107000Y-134416800D01* -X94092134Y-134418264D01* -X94077840Y-134422600D01* -X94064666Y-134429642D01* -X94053118Y-134439118D01* -X94043642Y-134450666D01* -X94036600Y-134463840D01* -X94032264Y-134478134D01* -X94030800Y-134493000D01* -X93929200Y-134493000D01* -X93927736Y-134478134D01* -X93923400Y-134463840D01* -X93916358Y-134450666D01* -X93906882Y-134439118D01* -X93895334Y-134429642D01* -X93882160Y-134422600D01* -X93867866Y-134418264D01* -X93853000Y-134416800D01* -X93472000Y-134416800D01* -X93457134Y-134418264D01* -X93442840Y-134422600D01* -X93429666Y-134429642D01* -X93418118Y-134439118D01* -X93408642Y-134450666D01* -X93401600Y-134463840D01* -X93397264Y-134478134D01* -X93395800Y-134493000D01* -X92024200Y-134493000D01* -X92022736Y-134478134D01* -X92018400Y-134463840D01* -X92011358Y-134450666D01* -X92001882Y-134439118D01* -X91990334Y-134429642D01* -X91977160Y-134422600D01* -X91962866Y-134418264D01* -X91948000Y-134416800D01* -X91567000Y-134416800D01* -X91552134Y-134418264D01* -X91537840Y-134422600D01* -X91524666Y-134429642D01* -X91513118Y-134439118D01* -X91503642Y-134450666D01* -X91496600Y-134463840D01* -X91492264Y-134478134D01* -X91490800Y-134493000D01* -X91389200Y-134493000D01* -X91387736Y-134478134D01* -X91383400Y-134463840D01* -X91376358Y-134450666D01* -X91366882Y-134439118D01* -X91355334Y-134429642D01* -X91342160Y-134422600D01* -X91327866Y-134418264D01* -X91313000Y-134416800D01* -X90932000Y-134416800D01* -X90917134Y-134418264D01* -X90902840Y-134422600D01* -X90889666Y-134429642D01* -X90878118Y-134439118D01* -X90868642Y-134450666D01* -X90861600Y-134463840D01* -X90857264Y-134478134D01* -X90855800Y-134493000D01* -X89484200Y-134493000D01* -X89482736Y-134478134D01* -X89478400Y-134463840D01* -X89471358Y-134450666D01* -X89461882Y-134439118D01* -X89450334Y-134429642D01* -X89437160Y-134422600D01* -X89422866Y-134418264D01* -X89408000Y-134416800D01* -X89027000Y-134416800D01* -X89012134Y-134418264D01* -X88997840Y-134422600D01* -X88984666Y-134429642D01* -X88973118Y-134439118D01* -X88963642Y-134450666D01* -X88956600Y-134463840D01* -X88952264Y-134478134D01* -X88950800Y-134493000D01* -X88849200Y-134493000D01* -X88847736Y-134478134D01* -X88843400Y-134463840D01* -X88836358Y-134450666D01* -X88826882Y-134439118D01* -X88815334Y-134429642D01* -X88802160Y-134422600D01* -X88787866Y-134418264D01* -X88773000Y-134416800D01* -X88392000Y-134416800D01* -X88377134Y-134418264D01* -X88362840Y-134422600D01* -X88349666Y-134429642D01* -X88338118Y-134439118D01* -X88328642Y-134450666D01* -X88321600Y-134463840D01* -X88317264Y-134478134D01* -X88315800Y-134493000D01* -X86944200Y-134493000D01* -X86942736Y-134478134D01* -X86938400Y-134463840D01* -X86931358Y-134450666D01* -X86921882Y-134439118D01* -X86910334Y-134429642D01* -X86897160Y-134422600D01* -X86882866Y-134418264D01* -X86868000Y-134416800D01* -X86487000Y-134416800D01* -X86472134Y-134418264D01* -X86457840Y-134422600D01* -X86444666Y-134429642D01* -X86433118Y-134439118D01* -X86423642Y-134450666D01* -X86416600Y-134463840D01* -X86412264Y-134478134D01* -X86410800Y-134493000D01* -X86309200Y-134493000D01* -X86307736Y-134478134D01* -X86303400Y-134463840D01* -X86296358Y-134450666D01* -X86286882Y-134439118D01* -X86275334Y-134429642D01* -X86262160Y-134422600D01* -X86247866Y-134418264D01* -X86233000Y-134416800D01* -X85852000Y-134416800D01* -X85837134Y-134418264D01* -X85822840Y-134422600D01* -X85809666Y-134429642D01* -X85798118Y-134439118D01* -X85788642Y-134450666D01* -X85781600Y-134463840D01* -X85777264Y-134478134D01* -X85775800Y-134493000D01* -X84404200Y-134493000D01* -X84402736Y-134478134D01* -X84398400Y-134463840D01* -X84391358Y-134450666D01* -X84381882Y-134439118D01* -X84370334Y-134429642D01* -X84357160Y-134422600D01* -X84342866Y-134418264D01* -X84328000Y-134416800D01* -X83947000Y-134416800D01* -X83932134Y-134418264D01* -X83917840Y-134422600D01* -X83904666Y-134429642D01* -X83893118Y-134439118D01* -X83883642Y-134450666D01* -X83876600Y-134463840D01* -X83872264Y-134478134D01* -X83870800Y-134493000D01* -X83769200Y-134493000D01* -X83767736Y-134478134D01* -X83763400Y-134463840D01* -X83756358Y-134450666D01* -X83746882Y-134439118D01* -X83735334Y-134429642D01* -X83722160Y-134422600D01* -X83707866Y-134418264D01* -X83693000Y-134416800D01* -X83312000Y-134416800D01* -X83297134Y-134418264D01* -X83282840Y-134422600D01* -X83269666Y-134429642D01* -X83258118Y-134439118D01* -X83248642Y-134450666D01* -X83241600Y-134463840D01* -X83237264Y-134478134D01* -X83235800Y-134493000D01* -X81864200Y-134493000D01* -X81862736Y-134478134D01* -X81858400Y-134463840D01* -X81851358Y-134450666D01* -X81841882Y-134439118D01* -X81830334Y-134429642D01* -X81817160Y-134422600D01* -X81802866Y-134418264D01* -X81788000Y-134416800D01* -X81407000Y-134416800D01* -X81392134Y-134418264D01* -X81377840Y-134422600D01* -X81364666Y-134429642D01* -X81353118Y-134439118D01* -X81343642Y-134450666D01* -X81336600Y-134463840D01* -X81332264Y-134478134D01* -X81330800Y-134493000D01* -X81229200Y-134493000D01* -X81227736Y-134478134D01* -X81223400Y-134463840D01* -X81216358Y-134450666D01* -X81206882Y-134439118D01* -X81195334Y-134429642D01* -X81182160Y-134422600D01* -X81167866Y-134418264D01* -X81153000Y-134416800D01* -X80772000Y-134416800D01* -X80757134Y-134418264D01* -X80742840Y-134422600D01* -X80729666Y-134429642D01* -X80718118Y-134439118D01* -X80708642Y-134450666D01* -X80701600Y-134463840D01* -X80697264Y-134478134D01* -X80695800Y-134493000D01* -X79324200Y-134493000D01* -X79322736Y-134478134D01* -X79318400Y-134463840D01* -X79311358Y-134450666D01* -X79301882Y-134439118D01* -X79290334Y-134429642D01* -X79277160Y-134422600D01* -X79262866Y-134418264D01* -X79248000Y-134416800D01* -X78867000Y-134416800D01* -X78852134Y-134418264D01* -X78837840Y-134422600D01* -X78824666Y-134429642D01* -X78813118Y-134439118D01* -X78803642Y-134450666D01* -X78796600Y-134463840D01* -X78792264Y-134478134D01* -X78790800Y-134493000D01* -X78689200Y-134493000D01* -X78687736Y-134478134D01* -X78683400Y-134463840D01* -X78676358Y-134450666D01* -X78666882Y-134439118D01* -X78655334Y-134429642D01* -X78642160Y-134422600D01* -X78627866Y-134418264D01* -X78613000Y-134416800D01* -X78232000Y-134416800D01* -X78217134Y-134418264D01* -X78202840Y-134422600D01* -X78189666Y-134429642D01* -X78178118Y-134439118D01* -X78168642Y-134450666D01* -X78161600Y-134463840D01* -X78157264Y-134478134D01* -X78155800Y-134493000D01* -X74498200Y-134493000D01* -X74498200Y-133858000D01* -X78155800Y-133858000D01* -X78155800Y-134239000D01* -X78157264Y-134253866D01* -X78161600Y-134268160D01* -X78168642Y-134281334D01* -X78178118Y-134292882D01* -X78189666Y-134302358D01* -X78202840Y-134309400D01* -X78217134Y-134313736D01* -X78232000Y-134315200D01* -X78613000Y-134315200D01* -X78627866Y-134313736D01* -X78642160Y-134309400D01* -X78655334Y-134302358D01* -X78666882Y-134292882D01* -X78676358Y-134281334D01* -X78683400Y-134268160D01* -X78687736Y-134253866D01* -X78689200Y-134239000D01* -X78689200Y-133858000D01* -X78790800Y-133858000D01* -X78790800Y-134239000D01* -X78792264Y-134253866D01* -X78796600Y-134268160D01* -X78803642Y-134281334D01* -X78813118Y-134292882D01* -X78824666Y-134302358D01* -X78837840Y-134309400D01* -X78852134Y-134313736D01* -X78867000Y-134315200D01* -X79248000Y-134315200D01* -X79262866Y-134313736D01* -X79277160Y-134309400D01* -X79290334Y-134302358D01* -X79301882Y-134292882D01* -X79311358Y-134281334D01* -X79318400Y-134268160D01* -X79322736Y-134253866D01* -X79324200Y-134239000D01* -X79324200Y-133858000D01* -X80695800Y-133858000D01* -X80695800Y-134239000D01* -X80697264Y-134253866D01* -X80701600Y-134268160D01* -X80708642Y-134281334D01* -X80718118Y-134292882D01* -X80729666Y-134302358D01* -X80742840Y-134309400D01* -X80757134Y-134313736D01* -X80772000Y-134315200D01* -X81153000Y-134315200D01* -X81167866Y-134313736D01* -X81182160Y-134309400D01* -X81195334Y-134302358D01* -X81206882Y-134292882D01* -X81216358Y-134281334D01* -X81223400Y-134268160D01* -X81227736Y-134253866D01* -X81229200Y-134239000D01* -X81229200Y-133858000D01* -X81330800Y-133858000D01* -X81330800Y-134239000D01* -X81332264Y-134253866D01* -X81336600Y-134268160D01* -X81343642Y-134281334D01* -X81353118Y-134292882D01* -X81364666Y-134302358D01* -X81377840Y-134309400D01* -X81392134Y-134313736D01* -X81407000Y-134315200D01* -X81788000Y-134315200D01* -X81802866Y-134313736D01* -X81817160Y-134309400D01* -X81830334Y-134302358D01* -X81841882Y-134292882D01* -X81851358Y-134281334D01* -X81858400Y-134268160D01* -X81862736Y-134253866D01* -X81864200Y-134239000D01* -X81864200Y-133858000D01* -X83235800Y-133858000D01* -X83235800Y-134239000D01* -X83237264Y-134253866D01* -X83241600Y-134268160D01* -X83248642Y-134281334D01* -X83258118Y-134292882D01* -X83269666Y-134302358D01* -X83282840Y-134309400D01* -X83297134Y-134313736D01* -X83312000Y-134315200D01* -X83693000Y-134315200D01* -X83707866Y-134313736D01* -X83722160Y-134309400D01* -X83735334Y-134302358D01* -X83746882Y-134292882D01* -X83756358Y-134281334D01* -X83763400Y-134268160D01* -X83767736Y-134253866D01* -X83769200Y-134239000D01* -X83769200Y-133858000D01* -X83870800Y-133858000D01* -X83870800Y-134239000D01* -X83872264Y-134253866D01* -X83876600Y-134268160D01* -X83883642Y-134281334D01* -X83893118Y-134292882D01* -X83904666Y-134302358D01* -X83917840Y-134309400D01* -X83932134Y-134313736D01* -X83947000Y-134315200D01* -X84328000Y-134315200D01* -X84342866Y-134313736D01* -X84357160Y-134309400D01* -X84370334Y-134302358D01* -X84381882Y-134292882D01* -X84391358Y-134281334D01* -X84398400Y-134268160D01* -X84402736Y-134253866D01* -X84404200Y-134239000D01* -X84404200Y-133858000D01* -X85775800Y-133858000D01* -X85775800Y-134239000D01* -X85777264Y-134253866D01* -X85781600Y-134268160D01* -X85788642Y-134281334D01* -X85798118Y-134292882D01* -X85809666Y-134302358D01* -X85822840Y-134309400D01* -X85837134Y-134313736D01* -X85852000Y-134315200D01* -X86233000Y-134315200D01* -X86247866Y-134313736D01* -X86262160Y-134309400D01* -X86275334Y-134302358D01* -X86286882Y-134292882D01* -X86296358Y-134281334D01* -X86303400Y-134268160D01* -X86307736Y-134253866D01* -X86309200Y-134239000D01* -X86309200Y-133858000D01* -X86410800Y-133858000D01* -X86410800Y-134239000D01* -X86412264Y-134253866D01* -X86416600Y-134268160D01* -X86423642Y-134281334D01* -X86433118Y-134292882D01* -X86444666Y-134302358D01* -X86457840Y-134309400D01* -X86472134Y-134313736D01* -X86487000Y-134315200D01* -X86868000Y-134315200D01* -X86882866Y-134313736D01* -X86897160Y-134309400D01* -X86910334Y-134302358D01* -X86921882Y-134292882D01* -X86931358Y-134281334D01* -X86938400Y-134268160D01* -X86942736Y-134253866D01* -X86944200Y-134239000D01* -X86944200Y-133858000D01* -X88315800Y-133858000D01* -X88315800Y-134239000D01* -X88317264Y-134253866D01* -X88321600Y-134268160D01* -X88328642Y-134281334D01* -X88338118Y-134292882D01* -X88349666Y-134302358D01* -X88362840Y-134309400D01* -X88377134Y-134313736D01* -X88392000Y-134315200D01* -X88773000Y-134315200D01* -X88787866Y-134313736D01* -X88802160Y-134309400D01* -X88815334Y-134302358D01* -X88826882Y-134292882D01* -X88836358Y-134281334D01* -X88843400Y-134268160D01* -X88847736Y-134253866D01* -X88849200Y-134239000D01* -X88849200Y-133858000D01* -X88950800Y-133858000D01* -X88950800Y-134239000D01* -X88952264Y-134253866D01* -X88956600Y-134268160D01* -X88963642Y-134281334D01* -X88973118Y-134292882D01* -X88984666Y-134302358D01* -X88997840Y-134309400D01* -X89012134Y-134313736D01* -X89027000Y-134315200D01* -X89408000Y-134315200D01* -X89422866Y-134313736D01* -X89437160Y-134309400D01* -X89450334Y-134302358D01* -X89461882Y-134292882D01* -X89471358Y-134281334D01* -X89478400Y-134268160D01* -X89482736Y-134253866D01* -X89484200Y-134239000D01* -X89484200Y-133858000D01* -X90855800Y-133858000D01* -X90855800Y-134239000D01* -X90857264Y-134253866D01* -X90861600Y-134268160D01* -X90868642Y-134281334D01* -X90878118Y-134292882D01* -X90889666Y-134302358D01* -X90902840Y-134309400D01* -X90917134Y-134313736D01* -X90932000Y-134315200D01* -X91313000Y-134315200D01* -X91327866Y-134313736D01* -X91342160Y-134309400D01* -X91355334Y-134302358D01* -X91366882Y-134292882D01* -X91376358Y-134281334D01* -X91383400Y-134268160D01* -X91387736Y-134253866D01* -X91389200Y-134239000D01* -X91389200Y-133858000D01* -X91490800Y-133858000D01* -X91490800Y-134239000D01* -X91492264Y-134253866D01* -X91496600Y-134268160D01* -X91503642Y-134281334D01* -X91513118Y-134292882D01* -X91524666Y-134302358D01* -X91537840Y-134309400D01* -X91552134Y-134313736D01* -X91567000Y-134315200D01* -X91948000Y-134315200D01* -X91962866Y-134313736D01* -X91977160Y-134309400D01* -X91990334Y-134302358D01* -X92001882Y-134292882D01* -X92011358Y-134281334D01* -X92018400Y-134268160D01* -X92022736Y-134253866D01* -X92024200Y-134239000D01* -X92024200Y-133858000D01* -X93395800Y-133858000D01* -X93395800Y-134239000D01* -X93397264Y-134253866D01* -X93401600Y-134268160D01* -X93408642Y-134281334D01* -X93418118Y-134292882D01* -X93429666Y-134302358D01* -X93442840Y-134309400D01* -X93457134Y-134313736D01* -X93472000Y-134315200D01* -X93853000Y-134315200D01* -X93867866Y-134313736D01* -X93882160Y-134309400D01* -X93895334Y-134302358D01* -X93906882Y-134292882D01* -X93916358Y-134281334D01* -X93923400Y-134268160D01* -X93927736Y-134253866D01* -X93929200Y-134239000D01* -X93929200Y-133858000D01* -X94030800Y-133858000D01* -X94030800Y-134239000D01* -X94032264Y-134253866D01* -X94036600Y-134268160D01* -X94043642Y-134281334D01* -X94053118Y-134292882D01* -X94064666Y-134302358D01* -X94077840Y-134309400D01* -X94092134Y-134313736D01* -X94107000Y-134315200D01* -X94488000Y-134315200D01* -X94502866Y-134313736D01* -X94517160Y-134309400D01* -X94530334Y-134302358D01* -X94541882Y-134292882D01* -X94551358Y-134281334D01* -X94558400Y-134268160D01* -X94562736Y-134253866D01* -X94564200Y-134239000D01* -X94564200Y-133858000D01* -X95935800Y-133858000D01* -X95935800Y-134239000D01* -X95937264Y-134253866D01* -X95941600Y-134268160D01* -X95948642Y-134281334D01* -X95958118Y-134292882D01* -X95969666Y-134302358D01* -X95982840Y-134309400D01* -X95997134Y-134313736D01* -X96012000Y-134315200D01* -X96393000Y-134315200D01* -X96407866Y-134313736D01* -X96422160Y-134309400D01* -X96435334Y-134302358D01* -X96446882Y-134292882D01* -X96456358Y-134281334D01* -X96463400Y-134268160D01* -X96467736Y-134253866D01* -X96469200Y-134239000D01* -X96469200Y-133858000D01* -X96570800Y-133858000D01* -X96570800Y-134239000D01* -X96572264Y-134253866D01* -X96576600Y-134268160D01* -X96583642Y-134281334D01* -X96593118Y-134292882D01* -X96604666Y-134302358D01* -X96617840Y-134309400D01* -X96632134Y-134313736D01* -X96647000Y-134315200D01* -X97028000Y-134315200D01* -X97042866Y-134313736D01* -X97057160Y-134309400D01* -X97070334Y-134302358D01* -X97081882Y-134292882D01* -X97091358Y-134281334D01* -X97098400Y-134268160D01* -X97102736Y-134253866D01* -X97104200Y-134239000D01* -X97104200Y-133858000D01* -X98475800Y-133858000D01* -X98475800Y-134239000D01* -X98477264Y-134253866D01* -X98481600Y-134268160D01* -X98488642Y-134281334D01* -X98498118Y-134292882D01* -X98509666Y-134302358D01* -X98522840Y-134309400D01* -X98537134Y-134313736D01* -X98552000Y-134315200D01* -X98933000Y-134315200D01* -X98947866Y-134313736D01* -X98962160Y-134309400D01* -X98975334Y-134302358D01* -X98986882Y-134292882D01* -X98996358Y-134281334D01* -X99003400Y-134268160D01* -X99007736Y-134253866D01* -X99009200Y-134239000D01* -X99009200Y-133858000D01* -X99110800Y-133858000D01* -X99110800Y-134239000D01* -X99112264Y-134253866D01* -X99116600Y-134268160D01* -X99123642Y-134281334D01* -X99133118Y-134292882D01* -X99144666Y-134302358D01* -X99157840Y-134309400D01* -X99172134Y-134313736D01* -X99187000Y-134315200D01* -X99568000Y-134315200D01* -X99582866Y-134313736D01* -X99597160Y-134309400D01* -X99610334Y-134302358D01* -X99621882Y-134292882D01* -X99631358Y-134281334D01* -X99638400Y-134268160D01* -X99642736Y-134253866D01* -X99644200Y-134239000D01* -X99644200Y-133858000D01* -X101015800Y-133858000D01* -X101015800Y-134239000D01* -X101017264Y-134253866D01* -X101021600Y-134268160D01* -X101028642Y-134281334D01* -X101038118Y-134292882D01* -X101049666Y-134302358D01* -X101062840Y-134309400D01* -X101077134Y-134313736D01* -X101092000Y-134315200D01* -X101473000Y-134315200D01* -X101487866Y-134313736D01* -X101502160Y-134309400D01* -X101515334Y-134302358D01* -X101526882Y-134292882D01* -X101536358Y-134281334D01* -X101543400Y-134268160D01* -X101547736Y-134253866D01* -X101549200Y-134239000D01* -X101549200Y-133858000D01* -X101650800Y-133858000D01* -X101650800Y-134239000D01* -X101652264Y-134253866D01* -X101656600Y-134268160D01* -X101663642Y-134281334D01* -X101673118Y-134292882D01* -X101684666Y-134302358D01* -X101697840Y-134309400D01* -X101712134Y-134313736D01* -X101727000Y-134315200D01* -X102108000Y-134315200D01* -X102122866Y-134313736D01* -X102137160Y-134309400D01* -X102150334Y-134302358D01* -X102161882Y-134292882D01* -X102171358Y-134281334D01* -X102178400Y-134268160D01* -X102182736Y-134253866D01* -X102184200Y-134239000D01* -X102184200Y-133858000D01* -X103555800Y-133858000D01* -X103555800Y-134239000D01* -X103557264Y-134253866D01* -X103561600Y-134268160D01* -X103568642Y-134281334D01* -X103578118Y-134292882D01* -X103589666Y-134302358D01* -X103602840Y-134309400D01* -X103617134Y-134313736D01* -X103632000Y-134315200D01* -X104013000Y-134315200D01* -X104027866Y-134313736D01* -X104042160Y-134309400D01* -X104055334Y-134302358D01* -X104066882Y-134292882D01* -X104076358Y-134281334D01* -X104083400Y-134268160D01* -X104087736Y-134253866D01* -X104089200Y-134239000D01* -X104089200Y-133858000D01* -X104190800Y-133858000D01* -X104190800Y-134239000D01* -X104192264Y-134253866D01* -X104196600Y-134268160D01* -X104203642Y-134281334D01* -X104213118Y-134292882D01* -X104224666Y-134302358D01* -X104237840Y-134309400D01* -X104252134Y-134313736D01* -X104267000Y-134315200D01* -X104648000Y-134315200D01* -X104662866Y-134313736D01* -X104677160Y-134309400D01* -X104690334Y-134302358D01* -X104701882Y-134292882D01* -X104711358Y-134281334D01* -X104718400Y-134268160D01* -X104722736Y-134253866D01* -X104724200Y-134239000D01* -X104724200Y-133858000D01* -X106095800Y-133858000D01* -X106095800Y-134239000D01* -X106097264Y-134253866D01* -X106101600Y-134268160D01* -X106108642Y-134281334D01* -X106118118Y-134292882D01* -X106129666Y-134302358D01* -X106142840Y-134309400D01* -X106157134Y-134313736D01* -X106172000Y-134315200D01* -X106553000Y-134315200D01* -X106567866Y-134313736D01* -X106582160Y-134309400D01* -X106595334Y-134302358D01* -X106606882Y-134292882D01* -X106616358Y-134281334D01* -X106623400Y-134268160D01* -X106627736Y-134253866D01* -X106629200Y-134239000D01* -X106629200Y-133858000D01* -X106730800Y-133858000D01* -X106730800Y-134239000D01* -X106732264Y-134253866D01* -X106736600Y-134268160D01* -X106743642Y-134281334D01* -X106753118Y-134292882D01* -X106764666Y-134302358D01* -X106777840Y-134309400D01* -X106792134Y-134313736D01* -X106807000Y-134315200D01* -X107188000Y-134315200D01* -X107202866Y-134313736D01* -X107217160Y-134309400D01* -X107230334Y-134302358D01* -X107241882Y-134292882D01* -X107251358Y-134281334D01* -X107258400Y-134268160D01* -X107262736Y-134253866D01* -X107264200Y-134239000D01* -X107264200Y-133858000D01* -X108635800Y-133858000D01* -X108635800Y-134239000D01* -X108637264Y-134253866D01* -X108641600Y-134268160D01* -X108648642Y-134281334D01* -X108658118Y-134292882D01* -X108669666Y-134302358D01* -X108682840Y-134309400D01* -X108697134Y-134313736D01* -X108712000Y-134315200D01* -X109093000Y-134315200D01* -X109107866Y-134313736D01* -X109122160Y-134309400D01* -X109135334Y-134302358D01* -X109146882Y-134292882D01* -X109156358Y-134281334D01* -X109163400Y-134268160D01* -X109167736Y-134253866D01* -X109169200Y-134239000D01* -X109169200Y-133858000D01* -X109270800Y-133858000D01* -X109270800Y-134239000D01* -X109272264Y-134253866D01* -X109276600Y-134268160D01* -X109283642Y-134281334D01* -X109293118Y-134292882D01* -X109304666Y-134302358D01* -X109317840Y-134309400D01* -X109332134Y-134313736D01* -X109347000Y-134315200D01* -X109728000Y-134315200D01* -X109742866Y-134313736D01* -X109757160Y-134309400D01* -X109770334Y-134302358D01* -X109781882Y-134292882D01* -X109791358Y-134281334D01* -X109798400Y-134268160D01* -X109802736Y-134253866D01* -X109804200Y-134239000D01* -X109804200Y-133858000D01* -X111175800Y-133858000D01* -X111175800Y-134239000D01* -X111177264Y-134253866D01* -X111181600Y-134268160D01* -X111188642Y-134281334D01* -X111198118Y-134292882D01* -X111209666Y-134302358D01* -X111222840Y-134309400D01* -X111237134Y-134313736D01* -X111252000Y-134315200D01* -X111633000Y-134315200D01* -X111647866Y-134313736D01* -X111662160Y-134309400D01* -X111675334Y-134302358D01* -X111686882Y-134292882D01* -X111696358Y-134281334D01* -X111703400Y-134268160D01* -X111707736Y-134253866D01* -X111709200Y-134239000D01* -X111709200Y-133858000D01* -X111810800Y-133858000D01* -X111810800Y-134239000D01* -X111812264Y-134253866D01* -X111816600Y-134268160D01* -X111823642Y-134281334D01* -X111833118Y-134292882D01* -X111844666Y-134302358D01* -X111857840Y-134309400D01* -X111872134Y-134313736D01* -X111887000Y-134315200D01* -X112268000Y-134315200D01* -X112282866Y-134313736D01* -X112297160Y-134309400D01* -X112310334Y-134302358D01* -X112321882Y-134292882D01* -X112331358Y-134281334D01* -X112338400Y-134268160D01* -X112342736Y-134253866D01* -X112344200Y-134239000D01* -X112344200Y-133858000D01* -X113715800Y-133858000D01* -X113715800Y-134239000D01* -X113717264Y-134253866D01* -X113721600Y-134268160D01* -X113728642Y-134281334D01* -X113738118Y-134292882D01* -X113749666Y-134302358D01* -X113762840Y-134309400D01* -X113777134Y-134313736D01* -X113792000Y-134315200D01* -X114173000Y-134315200D01* -X114187866Y-134313736D01* -X114202160Y-134309400D01* -X114215334Y-134302358D01* -X114226882Y-134292882D01* -X114236358Y-134281334D01* -X114243400Y-134268160D01* -X114247736Y-134253866D01* -X114249200Y-134239000D01* -X114249200Y-133858000D01* -X114350800Y-133858000D01* -X114350800Y-134239000D01* -X114352264Y-134253866D01* -X114356600Y-134268160D01* -X114363642Y-134281334D01* -X114373118Y-134292882D01* -X114384666Y-134302358D01* -X114397840Y-134309400D01* -X114412134Y-134313736D01* -X114427000Y-134315200D01* -X114808000Y-134315200D01* -X114822866Y-134313736D01* -X114837160Y-134309400D01* -X114850334Y-134302358D01* -X114861882Y-134292882D01* -X114871358Y-134281334D01* -X114878400Y-134268160D01* -X114882736Y-134253866D01* -X114884200Y-134239000D01* -X114884200Y-133858000D01* -X121335800Y-133858000D01* -X121335800Y-134239000D01* -X121337264Y-134253866D01* -X121341600Y-134268160D01* -X121348642Y-134281334D01* -X121358118Y-134292882D01* -X121369666Y-134302358D01* -X121382840Y-134309400D01* -X121397134Y-134313736D01* -X121412000Y-134315200D01* -X121793000Y-134315200D01* -X121807866Y-134313736D01* -X121822160Y-134309400D01* -X121835334Y-134302358D01* -X121846882Y-134292882D01* -X121856358Y-134281334D01* -X121863400Y-134268160D01* -X121867736Y-134253866D01* -X121869200Y-134239000D01* -X121869200Y-133858000D01* -X121970800Y-133858000D01* -X121970800Y-134239000D01* -X121972264Y-134253866D01* -X121976600Y-134268160D01* -X121983642Y-134281334D01* -X121993118Y-134292882D01* -X122004666Y-134302358D01* -X122017840Y-134309400D01* -X122032134Y-134313736D01* -X122047000Y-134315200D01* -X122428000Y-134315200D01* -X122442866Y-134313736D01* -X122457160Y-134309400D01* -X122470334Y-134302358D01* -X122481882Y-134292882D01* -X122491358Y-134281334D01* -X122498400Y-134268160D01* -X122502736Y-134253866D01* -X122504200Y-134239000D01* -X122504200Y-133858000D01* -X123875800Y-133858000D01* -X123875800Y-134239000D01* -X123877264Y-134253866D01* -X123881600Y-134268160D01* -X123888642Y-134281334D01* -X123898118Y-134292882D01* -X123909666Y-134302358D01* -X123922840Y-134309400D01* -X123937134Y-134313736D01* -X123952000Y-134315200D01* -X124333000Y-134315200D01* -X124347866Y-134313736D01* -X124362160Y-134309400D01* -X124375334Y-134302358D01* -X124386882Y-134292882D01* -X124396358Y-134281334D01* -X124403400Y-134268160D01* -X124407736Y-134253866D01* -X124409200Y-134239000D01* -X124409200Y-133858000D01* -X124510800Y-133858000D01* -X124510800Y-134239000D01* -X124512264Y-134253866D01* -X124516600Y-134268160D01* -X124523642Y-134281334D01* -X124533118Y-134292882D01* -X124544666Y-134302358D01* -X124557840Y-134309400D01* -X124572134Y-134313736D01* -X124587000Y-134315200D01* -X124968000Y-134315200D01* -X124982866Y-134313736D01* -X124997160Y-134309400D01* -X125010334Y-134302358D01* -X125021882Y-134292882D01* -X125031358Y-134281334D01* -X125038400Y-134268160D01* -X125042736Y-134253866D01* -X125044200Y-134239000D01* -X125044200Y-133858000D01* -X126415800Y-133858000D01* -X126415800Y-134239000D01* -X126417264Y-134253866D01* -X126421600Y-134268160D01* -X126428642Y-134281334D01* -X126438118Y-134292882D01* -X126449666Y-134302358D01* -X126462840Y-134309400D01* -X126477134Y-134313736D01* -X126492000Y-134315200D01* -X126873000Y-134315200D01* -X126887866Y-134313736D01* -X126902160Y-134309400D01* -X126915334Y-134302358D01* -X126926882Y-134292882D01* -X126936358Y-134281334D01* -X126943400Y-134268160D01* -X126947736Y-134253866D01* -X126949200Y-134239000D01* -X126949200Y-133858000D01* -X127050800Y-133858000D01* -X127050800Y-134239000D01* -X127052264Y-134253866D01* -X127056600Y-134268160D01* -X127063642Y-134281334D01* -X127073118Y-134292882D01* -X127084666Y-134302358D01* -X127097840Y-134309400D01* -X127112134Y-134313736D01* -X127127000Y-134315200D01* -X127508000Y-134315200D01* -X127522866Y-134313736D01* -X127537160Y-134309400D01* -X127550334Y-134302358D01* -X127561882Y-134292882D01* -X127571358Y-134281334D01* -X127578400Y-134268160D01* -X127582736Y-134253866D01* -X127584200Y-134239000D01* -X127584200Y-133858000D01* -X128955800Y-133858000D01* -X128955800Y-134239000D01* -X128957264Y-134253866D01* -X128961600Y-134268160D01* -X128968642Y-134281334D01* -X128978118Y-134292882D01* -X128989666Y-134302358D01* -X129002840Y-134309400D01* -X129017134Y-134313736D01* -X129032000Y-134315200D01* -X129413000Y-134315200D01* -X129427866Y-134313736D01* -X129442160Y-134309400D01* -X129455334Y-134302358D01* -X129466882Y-134292882D01* -X129476358Y-134281334D01* -X129483400Y-134268160D01* -X129487736Y-134253866D01* -X129489200Y-134239000D01* -X129489200Y-133858000D01* -X129590800Y-133858000D01* -X129590800Y-134239000D01* -X129592264Y-134253866D01* -X129596600Y-134268160D01* -X129603642Y-134281334D01* -X129613118Y-134292882D01* -X129624666Y-134302358D01* -X129637840Y-134309400D01* -X129652134Y-134313736D01* -X129667000Y-134315200D01* -X130048000Y-134315200D01* -X130062866Y-134313736D01* -X130077160Y-134309400D01* -X130090334Y-134302358D01* -X130101882Y-134292882D01* -X130111358Y-134281334D01* -X130118400Y-134268160D01* -X130122736Y-134253866D01* -X130124200Y-134239000D01* -X130124200Y-133858000D01* -X131495800Y-133858000D01* -X131495800Y-134239000D01* -X131497264Y-134253866D01* -X131501600Y-134268160D01* -X131508642Y-134281334D01* -X131518118Y-134292882D01* -X131529666Y-134302358D01* -X131542840Y-134309400D01* -X131557134Y-134313736D01* -X131572000Y-134315200D01* -X131953000Y-134315200D01* -X131967866Y-134313736D01* -X131982160Y-134309400D01* -X131995334Y-134302358D01* -X132006882Y-134292882D01* -X132016358Y-134281334D01* -X132023400Y-134268160D01* -X132027736Y-134253866D01* -X132029200Y-134239000D01* -X132029200Y-133858000D01* -X132130800Y-133858000D01* -X132130800Y-134239000D01* -X132132264Y-134253866D01* -X132136600Y-134268160D01* -X132143642Y-134281334D01* -X132153118Y-134292882D01* -X132164666Y-134302358D01* -X132177840Y-134309400D01* -X132192134Y-134313736D01* -X132207000Y-134315200D01* -X132588000Y-134315200D01* -X132602866Y-134313736D01* -X132617160Y-134309400D01* -X132630334Y-134302358D01* -X132641882Y-134292882D01* -X132651358Y-134281334D01* -X132658400Y-134268160D01* -X132662736Y-134253866D01* -X132664200Y-134239000D01* -X132664200Y-133858000D01* -X134035800Y-133858000D01* -X134035800Y-134239000D01* -X134037264Y-134253866D01* -X134041600Y-134268160D01* -X134048642Y-134281334D01* -X134058118Y-134292882D01* -X134069666Y-134302358D01* -X134082840Y-134309400D01* -X134097134Y-134313736D01* -X134112000Y-134315200D01* -X134493000Y-134315200D01* -X134507866Y-134313736D01* -X134522160Y-134309400D01* -X134535334Y-134302358D01* -X134546882Y-134292882D01* -X134556358Y-134281334D01* -X134563400Y-134268160D01* -X134567736Y-134253866D01* -X134569200Y-134239000D01* -X134569200Y-133858000D01* -X134670800Y-133858000D01* -X134670800Y-134239000D01* -X134672264Y-134253866D01* -X134676600Y-134268160D01* -X134683642Y-134281334D01* -X134693118Y-134292882D01* -X134704666Y-134302358D01* -X134717840Y-134309400D01* -X134732134Y-134313736D01* -X134747000Y-134315200D01* -X135128000Y-134315200D01* -X135142866Y-134313736D01* -X135157160Y-134309400D01* -X135170334Y-134302358D01* -X135181882Y-134292882D01* -X135191358Y-134281334D01* -X135198400Y-134268160D01* -X135202736Y-134253866D01* -X135204200Y-134239000D01* -X135204200Y-133858000D01* -X135202736Y-133843134D01* -X135198400Y-133828840D01* -X135191358Y-133815666D01* -X135181882Y-133804118D01* -X135170334Y-133794642D01* -X135157160Y-133787600D01* -X135142866Y-133783264D01* -X135128000Y-133781800D01* -X134747000Y-133781800D01* -X134732134Y-133783264D01* -X134717840Y-133787600D01* -X134704666Y-133794642D01* -X134693118Y-133804118D01* -X134683642Y-133815666D01* -X134676600Y-133828840D01* -X134672264Y-133843134D01* -X134670800Y-133858000D01* -X134569200Y-133858000D01* -X134567736Y-133843134D01* -X134563400Y-133828840D01* -X134556358Y-133815666D01* -X134546882Y-133804118D01* -X134535334Y-133794642D01* -X134522160Y-133787600D01* -X134507866Y-133783264D01* -X134493000Y-133781800D01* -X134112000Y-133781800D01* -X134097134Y-133783264D01* -X134082840Y-133787600D01* -X134069666Y-133794642D01* -X134058118Y-133804118D01* -X134048642Y-133815666D01* -X134041600Y-133828840D01* -X134037264Y-133843134D01* -X134035800Y-133858000D01* -X132664200Y-133858000D01* -X132662736Y-133843134D01* -X132658400Y-133828840D01* -X132651358Y-133815666D01* -X132641882Y-133804118D01* -X132630334Y-133794642D01* -X132617160Y-133787600D01* -X132602866Y-133783264D01* -X132588000Y-133781800D01* -X132207000Y-133781800D01* -X132192134Y-133783264D01* -X132177840Y-133787600D01* -X132164666Y-133794642D01* -X132153118Y-133804118D01* -X132143642Y-133815666D01* -X132136600Y-133828840D01* -X132132264Y-133843134D01* -X132130800Y-133858000D01* -X132029200Y-133858000D01* -X132027736Y-133843134D01* -X132023400Y-133828840D01* -X132016358Y-133815666D01* -X132006882Y-133804118D01* -X131995334Y-133794642D01* -X131982160Y-133787600D01* -X131967866Y-133783264D01* -X131953000Y-133781800D01* -X131572000Y-133781800D01* -X131557134Y-133783264D01* -X131542840Y-133787600D01* -X131529666Y-133794642D01* -X131518118Y-133804118D01* -X131508642Y-133815666D01* -X131501600Y-133828840D01* -X131497264Y-133843134D01* -X131495800Y-133858000D01* -X130124200Y-133858000D01* -X130122736Y-133843134D01* -X130118400Y-133828840D01* -X130111358Y-133815666D01* -X130101882Y-133804118D01* -X130090334Y-133794642D01* -X130077160Y-133787600D01* -X130062866Y-133783264D01* -X130048000Y-133781800D01* -X129667000Y-133781800D01* -X129652134Y-133783264D01* -X129637840Y-133787600D01* -X129624666Y-133794642D01* -X129613118Y-133804118D01* -X129603642Y-133815666D01* -X129596600Y-133828840D01* -X129592264Y-133843134D01* -X129590800Y-133858000D01* -X129489200Y-133858000D01* -X129487736Y-133843134D01* -X129483400Y-133828840D01* -X129476358Y-133815666D01* -X129466882Y-133804118D01* -X129455334Y-133794642D01* -X129442160Y-133787600D01* -X129427866Y-133783264D01* -X129413000Y-133781800D01* -X129032000Y-133781800D01* -X129017134Y-133783264D01* -X129002840Y-133787600D01* -X128989666Y-133794642D01* -X128978118Y-133804118D01* -X128968642Y-133815666D01* -X128961600Y-133828840D01* -X128957264Y-133843134D01* -X128955800Y-133858000D01* -X127584200Y-133858000D01* -X127582736Y-133843134D01* -X127578400Y-133828840D01* -X127571358Y-133815666D01* -X127561882Y-133804118D01* -X127550334Y-133794642D01* -X127537160Y-133787600D01* -X127522866Y-133783264D01* -X127508000Y-133781800D01* -X127127000Y-133781800D01* -X127112134Y-133783264D01* -X127097840Y-133787600D01* -X127084666Y-133794642D01* -X127073118Y-133804118D01* -X127063642Y-133815666D01* -X127056600Y-133828840D01* -X127052264Y-133843134D01* -X127050800Y-133858000D01* -X126949200Y-133858000D01* -X126947736Y-133843134D01* -X126943400Y-133828840D01* -X126936358Y-133815666D01* -X126926882Y-133804118D01* -X126915334Y-133794642D01* -X126902160Y-133787600D01* -X126887866Y-133783264D01* -X126873000Y-133781800D01* -X126492000Y-133781800D01* -X126477134Y-133783264D01* -X126462840Y-133787600D01* -X126449666Y-133794642D01* -X126438118Y-133804118D01* -X126428642Y-133815666D01* -X126421600Y-133828840D01* -X126417264Y-133843134D01* -X126415800Y-133858000D01* -X125044200Y-133858000D01* -X125042736Y-133843134D01* -X125038400Y-133828840D01* -X125031358Y-133815666D01* -X125021882Y-133804118D01* -X125010334Y-133794642D01* -X124997160Y-133787600D01* -X124982866Y-133783264D01* -X124968000Y-133781800D01* -X124587000Y-133781800D01* -X124572134Y-133783264D01* -X124557840Y-133787600D01* -X124544666Y-133794642D01* -X124533118Y-133804118D01* -X124523642Y-133815666D01* -X124516600Y-133828840D01* -X124512264Y-133843134D01* -X124510800Y-133858000D01* -X124409200Y-133858000D01* -X124407736Y-133843134D01* -X124403400Y-133828840D01* -X124396358Y-133815666D01* -X124386882Y-133804118D01* -X124375334Y-133794642D01* -X124362160Y-133787600D01* -X124347866Y-133783264D01* -X124333000Y-133781800D01* -X123952000Y-133781800D01* -X123937134Y-133783264D01* -X123922840Y-133787600D01* -X123909666Y-133794642D01* -X123898118Y-133804118D01* -X123888642Y-133815666D01* -X123881600Y-133828840D01* -X123877264Y-133843134D01* -X123875800Y-133858000D01* -X122504200Y-133858000D01* -X122502736Y-133843134D01* -X122498400Y-133828840D01* -X122491358Y-133815666D01* -X122481882Y-133804118D01* -X122470334Y-133794642D01* -X122457160Y-133787600D01* -X122442866Y-133783264D01* -X122428000Y-133781800D01* -X122047000Y-133781800D01* -X122032134Y-133783264D01* -X122017840Y-133787600D01* -X122004666Y-133794642D01* -X121993118Y-133804118D01* -X121983642Y-133815666D01* -X121976600Y-133828840D01* -X121972264Y-133843134D01* -X121970800Y-133858000D01* -X121869200Y-133858000D01* -X121867736Y-133843134D01* -X121863400Y-133828840D01* -X121856358Y-133815666D01* -X121846882Y-133804118D01* -X121835334Y-133794642D01* -X121822160Y-133787600D01* -X121807866Y-133783264D01* -X121793000Y-133781800D01* -X121412000Y-133781800D01* -X121397134Y-133783264D01* -X121382840Y-133787600D01* -X121369666Y-133794642D01* -X121358118Y-133804118D01* -X121348642Y-133815666D01* -X121341600Y-133828840D01* -X121337264Y-133843134D01* -X121335800Y-133858000D01* -X114884200Y-133858000D01* -X114882736Y-133843134D01* -X114878400Y-133828840D01* -X114871358Y-133815666D01* -X114861882Y-133804118D01* -X114850334Y-133794642D01* -X114837160Y-133787600D01* -X114822866Y-133783264D01* -X114808000Y-133781800D01* -X114427000Y-133781800D01* -X114412134Y-133783264D01* -X114397840Y-133787600D01* -X114384666Y-133794642D01* -X114373118Y-133804118D01* -X114363642Y-133815666D01* -X114356600Y-133828840D01* -X114352264Y-133843134D01* -X114350800Y-133858000D01* -X114249200Y-133858000D01* -X114247736Y-133843134D01* -X114243400Y-133828840D01* -X114236358Y-133815666D01* -X114226882Y-133804118D01* -X114215334Y-133794642D01* -X114202160Y-133787600D01* -X114187866Y-133783264D01* -X114173000Y-133781800D01* -X113792000Y-133781800D01* -X113777134Y-133783264D01* -X113762840Y-133787600D01* -X113749666Y-133794642D01* -X113738118Y-133804118D01* -X113728642Y-133815666D01* -X113721600Y-133828840D01* -X113717264Y-133843134D01* -X113715800Y-133858000D01* -X112344200Y-133858000D01* -X112342736Y-133843134D01* -X112338400Y-133828840D01* -X112331358Y-133815666D01* -X112321882Y-133804118D01* -X112310334Y-133794642D01* -X112297160Y-133787600D01* -X112282866Y-133783264D01* -X112268000Y-133781800D01* -X111887000Y-133781800D01* -X111872134Y-133783264D01* -X111857840Y-133787600D01* -X111844666Y-133794642D01* -X111833118Y-133804118D01* -X111823642Y-133815666D01* -X111816600Y-133828840D01* -X111812264Y-133843134D01* -X111810800Y-133858000D01* -X111709200Y-133858000D01* -X111707736Y-133843134D01* -X111703400Y-133828840D01* -X111696358Y-133815666D01* -X111686882Y-133804118D01* -X111675334Y-133794642D01* -X111662160Y-133787600D01* -X111647866Y-133783264D01* -X111633000Y-133781800D01* -X111252000Y-133781800D01* -X111237134Y-133783264D01* -X111222840Y-133787600D01* -X111209666Y-133794642D01* -X111198118Y-133804118D01* -X111188642Y-133815666D01* -X111181600Y-133828840D01* -X111177264Y-133843134D01* -X111175800Y-133858000D01* -X109804200Y-133858000D01* -X109802736Y-133843134D01* -X109798400Y-133828840D01* -X109791358Y-133815666D01* -X109781882Y-133804118D01* -X109770334Y-133794642D01* -X109757160Y-133787600D01* -X109742866Y-133783264D01* -X109728000Y-133781800D01* -X109347000Y-133781800D01* -X109332134Y-133783264D01* -X109317840Y-133787600D01* -X109304666Y-133794642D01* -X109293118Y-133804118D01* -X109283642Y-133815666D01* -X109276600Y-133828840D01* -X109272264Y-133843134D01* -X109270800Y-133858000D01* -X109169200Y-133858000D01* -X109167736Y-133843134D01* -X109163400Y-133828840D01* -X109156358Y-133815666D01* -X109146882Y-133804118D01* -X109135334Y-133794642D01* -X109122160Y-133787600D01* -X109107866Y-133783264D01* -X109093000Y-133781800D01* -X108712000Y-133781800D01* -X108697134Y-133783264D01* -X108682840Y-133787600D01* -X108669666Y-133794642D01* -X108658118Y-133804118D01* -X108648642Y-133815666D01* -X108641600Y-133828840D01* -X108637264Y-133843134D01* -X108635800Y-133858000D01* -X107264200Y-133858000D01* -X107262736Y-133843134D01* -X107258400Y-133828840D01* -X107251358Y-133815666D01* -X107241882Y-133804118D01* -X107230334Y-133794642D01* -X107217160Y-133787600D01* -X107202866Y-133783264D01* -X107188000Y-133781800D01* -X106807000Y-133781800D01* -X106792134Y-133783264D01* -X106777840Y-133787600D01* -X106764666Y-133794642D01* -X106753118Y-133804118D01* -X106743642Y-133815666D01* -X106736600Y-133828840D01* -X106732264Y-133843134D01* -X106730800Y-133858000D01* -X106629200Y-133858000D01* -X106627736Y-133843134D01* -X106623400Y-133828840D01* -X106616358Y-133815666D01* -X106606882Y-133804118D01* -X106595334Y-133794642D01* -X106582160Y-133787600D01* -X106567866Y-133783264D01* -X106553000Y-133781800D01* -X106172000Y-133781800D01* -X106157134Y-133783264D01* -X106142840Y-133787600D01* -X106129666Y-133794642D01* -X106118118Y-133804118D01* -X106108642Y-133815666D01* -X106101600Y-133828840D01* -X106097264Y-133843134D01* -X106095800Y-133858000D01* -X104724200Y-133858000D01* -X104722736Y-133843134D01* -X104718400Y-133828840D01* -X104711358Y-133815666D01* -X104701882Y-133804118D01* -X104690334Y-133794642D01* -X104677160Y-133787600D01* -X104662866Y-133783264D01* -X104648000Y-133781800D01* -X104267000Y-133781800D01* -X104252134Y-133783264D01* -X104237840Y-133787600D01* -X104224666Y-133794642D01* -X104213118Y-133804118D01* -X104203642Y-133815666D01* -X104196600Y-133828840D01* -X104192264Y-133843134D01* -X104190800Y-133858000D01* -X104089200Y-133858000D01* -X104087736Y-133843134D01* -X104083400Y-133828840D01* -X104076358Y-133815666D01* -X104066882Y-133804118D01* -X104055334Y-133794642D01* -X104042160Y-133787600D01* -X104027866Y-133783264D01* -X104013000Y-133781800D01* -X103632000Y-133781800D01* -X103617134Y-133783264D01* -X103602840Y-133787600D01* -X103589666Y-133794642D01* -X103578118Y-133804118D01* -X103568642Y-133815666D01* -X103561600Y-133828840D01* -X103557264Y-133843134D01* -X103555800Y-133858000D01* -X102184200Y-133858000D01* -X102182736Y-133843134D01* -X102178400Y-133828840D01* -X102171358Y-133815666D01* -X102161882Y-133804118D01* -X102150334Y-133794642D01* -X102137160Y-133787600D01* -X102122866Y-133783264D01* -X102108000Y-133781800D01* -X101727000Y-133781800D01* -X101712134Y-133783264D01* -X101697840Y-133787600D01* -X101684666Y-133794642D01* -X101673118Y-133804118D01* -X101663642Y-133815666D01* -X101656600Y-133828840D01* -X101652264Y-133843134D01* -X101650800Y-133858000D01* -X101549200Y-133858000D01* -X101547736Y-133843134D01* -X101543400Y-133828840D01* -X101536358Y-133815666D01* -X101526882Y-133804118D01* -X101515334Y-133794642D01* -X101502160Y-133787600D01* -X101487866Y-133783264D01* -X101473000Y-133781800D01* -X101092000Y-133781800D01* -X101077134Y-133783264D01* -X101062840Y-133787600D01* -X101049666Y-133794642D01* -X101038118Y-133804118D01* -X101028642Y-133815666D01* -X101021600Y-133828840D01* -X101017264Y-133843134D01* -X101015800Y-133858000D01* -X99644200Y-133858000D01* -X99642736Y-133843134D01* -X99638400Y-133828840D01* -X99631358Y-133815666D01* -X99621882Y-133804118D01* -X99610334Y-133794642D01* -X99597160Y-133787600D01* -X99582866Y-133783264D01* -X99568000Y-133781800D01* -X99187000Y-133781800D01* -X99172134Y-133783264D01* -X99157840Y-133787600D01* -X99144666Y-133794642D01* -X99133118Y-133804118D01* -X99123642Y-133815666D01* -X99116600Y-133828840D01* -X99112264Y-133843134D01* -X99110800Y-133858000D01* -X99009200Y-133858000D01* -X99007736Y-133843134D01* -X99003400Y-133828840D01* -X98996358Y-133815666D01* -X98986882Y-133804118D01* -X98975334Y-133794642D01* -X98962160Y-133787600D01* -X98947866Y-133783264D01* -X98933000Y-133781800D01* -X98552000Y-133781800D01* -X98537134Y-133783264D01* -X98522840Y-133787600D01* -X98509666Y-133794642D01* -X98498118Y-133804118D01* -X98488642Y-133815666D01* -X98481600Y-133828840D01* -X98477264Y-133843134D01* -X98475800Y-133858000D01* -X97104200Y-133858000D01* -X97102736Y-133843134D01* -X97098400Y-133828840D01* -X97091358Y-133815666D01* -X97081882Y-133804118D01* -X97070334Y-133794642D01* -X97057160Y-133787600D01* -X97042866Y-133783264D01* -X97028000Y-133781800D01* -X96647000Y-133781800D01* -X96632134Y-133783264D01* -X96617840Y-133787600D01* -X96604666Y-133794642D01* -X96593118Y-133804118D01* -X96583642Y-133815666D01* -X96576600Y-133828840D01* -X96572264Y-133843134D01* -X96570800Y-133858000D01* -X96469200Y-133858000D01* -X96467736Y-133843134D01* -X96463400Y-133828840D01* -X96456358Y-133815666D01* -X96446882Y-133804118D01* -X96435334Y-133794642D01* -X96422160Y-133787600D01* -X96407866Y-133783264D01* -X96393000Y-133781800D01* -X96012000Y-133781800D01* -X95997134Y-133783264D01* -X95982840Y-133787600D01* -X95969666Y-133794642D01* -X95958118Y-133804118D01* -X95948642Y-133815666D01* -X95941600Y-133828840D01* -X95937264Y-133843134D01* -X95935800Y-133858000D01* -X94564200Y-133858000D01* -X94562736Y-133843134D01* -X94558400Y-133828840D01* -X94551358Y-133815666D01* -X94541882Y-133804118D01* -X94530334Y-133794642D01* -X94517160Y-133787600D01* -X94502866Y-133783264D01* -X94488000Y-133781800D01* -X94107000Y-133781800D01* -X94092134Y-133783264D01* -X94077840Y-133787600D01* -X94064666Y-133794642D01* -X94053118Y-133804118D01* -X94043642Y-133815666D01* -X94036600Y-133828840D01* -X94032264Y-133843134D01* -X94030800Y-133858000D01* -X93929200Y-133858000D01* -X93927736Y-133843134D01* -X93923400Y-133828840D01* -X93916358Y-133815666D01* -X93906882Y-133804118D01* -X93895334Y-133794642D01* -X93882160Y-133787600D01* -X93867866Y-133783264D01* -X93853000Y-133781800D01* -X93472000Y-133781800D01* -X93457134Y-133783264D01* -X93442840Y-133787600D01* -X93429666Y-133794642D01* -X93418118Y-133804118D01* -X93408642Y-133815666D01* -X93401600Y-133828840D01* -X93397264Y-133843134D01* -X93395800Y-133858000D01* -X92024200Y-133858000D01* -X92022736Y-133843134D01* -X92018400Y-133828840D01* -X92011358Y-133815666D01* -X92001882Y-133804118D01* -X91990334Y-133794642D01* -X91977160Y-133787600D01* -X91962866Y-133783264D01* -X91948000Y-133781800D01* -X91567000Y-133781800D01* -X91552134Y-133783264D01* -X91537840Y-133787600D01* -X91524666Y-133794642D01* -X91513118Y-133804118D01* -X91503642Y-133815666D01* -X91496600Y-133828840D01* -X91492264Y-133843134D01* -X91490800Y-133858000D01* -X91389200Y-133858000D01* -X91387736Y-133843134D01* -X91383400Y-133828840D01* -X91376358Y-133815666D01* -X91366882Y-133804118D01* -X91355334Y-133794642D01* -X91342160Y-133787600D01* -X91327866Y-133783264D01* -X91313000Y-133781800D01* -X90932000Y-133781800D01* -X90917134Y-133783264D01* -X90902840Y-133787600D01* -X90889666Y-133794642D01* -X90878118Y-133804118D01* -X90868642Y-133815666D01* -X90861600Y-133828840D01* -X90857264Y-133843134D01* -X90855800Y-133858000D01* -X89484200Y-133858000D01* -X89482736Y-133843134D01* -X89478400Y-133828840D01* -X89471358Y-133815666D01* -X89461882Y-133804118D01* -X89450334Y-133794642D01* -X89437160Y-133787600D01* -X89422866Y-133783264D01* -X89408000Y-133781800D01* -X89027000Y-133781800D01* -X89012134Y-133783264D01* -X88997840Y-133787600D01* -X88984666Y-133794642D01* -X88973118Y-133804118D01* -X88963642Y-133815666D01* -X88956600Y-133828840D01* -X88952264Y-133843134D01* -X88950800Y-133858000D01* -X88849200Y-133858000D01* -X88847736Y-133843134D01* -X88843400Y-133828840D01* -X88836358Y-133815666D01* -X88826882Y-133804118D01* -X88815334Y-133794642D01* -X88802160Y-133787600D01* -X88787866Y-133783264D01* -X88773000Y-133781800D01* -X88392000Y-133781800D01* -X88377134Y-133783264D01* -X88362840Y-133787600D01* -X88349666Y-133794642D01* -X88338118Y-133804118D01* -X88328642Y-133815666D01* -X88321600Y-133828840D01* -X88317264Y-133843134D01* -X88315800Y-133858000D01* -X86944200Y-133858000D01* -X86942736Y-133843134D01* -X86938400Y-133828840D01* -X86931358Y-133815666D01* -X86921882Y-133804118D01* -X86910334Y-133794642D01* -X86897160Y-133787600D01* -X86882866Y-133783264D01* -X86868000Y-133781800D01* -X86487000Y-133781800D01* -X86472134Y-133783264D01* -X86457840Y-133787600D01* -X86444666Y-133794642D01* -X86433118Y-133804118D01* -X86423642Y-133815666D01* -X86416600Y-133828840D01* -X86412264Y-133843134D01* -X86410800Y-133858000D01* -X86309200Y-133858000D01* -X86307736Y-133843134D01* -X86303400Y-133828840D01* -X86296358Y-133815666D01* -X86286882Y-133804118D01* -X86275334Y-133794642D01* -X86262160Y-133787600D01* -X86247866Y-133783264D01* -X86233000Y-133781800D01* -X85852000Y-133781800D01* -X85837134Y-133783264D01* -X85822840Y-133787600D01* -X85809666Y-133794642D01* -X85798118Y-133804118D01* -X85788642Y-133815666D01* -X85781600Y-133828840D01* -X85777264Y-133843134D01* -X85775800Y-133858000D01* -X84404200Y-133858000D01* -X84402736Y-133843134D01* -X84398400Y-133828840D01* -X84391358Y-133815666D01* -X84381882Y-133804118D01* -X84370334Y-133794642D01* -X84357160Y-133787600D01* -X84342866Y-133783264D01* -X84328000Y-133781800D01* -X83947000Y-133781800D01* -X83932134Y-133783264D01* -X83917840Y-133787600D01* -X83904666Y-133794642D01* -X83893118Y-133804118D01* -X83883642Y-133815666D01* -X83876600Y-133828840D01* -X83872264Y-133843134D01* -X83870800Y-133858000D01* -X83769200Y-133858000D01* -X83767736Y-133843134D01* -X83763400Y-133828840D01* -X83756358Y-133815666D01* -X83746882Y-133804118D01* -X83735334Y-133794642D01* -X83722160Y-133787600D01* -X83707866Y-133783264D01* -X83693000Y-133781800D01* -X83312000Y-133781800D01* -X83297134Y-133783264D01* -X83282840Y-133787600D01* -X83269666Y-133794642D01* -X83258118Y-133804118D01* -X83248642Y-133815666D01* -X83241600Y-133828840D01* -X83237264Y-133843134D01* -X83235800Y-133858000D01* -X81864200Y-133858000D01* -X81862736Y-133843134D01* -X81858400Y-133828840D01* -X81851358Y-133815666D01* -X81841882Y-133804118D01* -X81830334Y-133794642D01* -X81817160Y-133787600D01* -X81802866Y-133783264D01* -X81788000Y-133781800D01* -X81407000Y-133781800D01* -X81392134Y-133783264D01* -X81377840Y-133787600D01* -X81364666Y-133794642D01* -X81353118Y-133804118D01* -X81343642Y-133815666D01* -X81336600Y-133828840D01* -X81332264Y-133843134D01* -X81330800Y-133858000D01* -X81229200Y-133858000D01* -X81227736Y-133843134D01* -X81223400Y-133828840D01* -X81216358Y-133815666D01* -X81206882Y-133804118D01* -X81195334Y-133794642D01* -X81182160Y-133787600D01* -X81167866Y-133783264D01* -X81153000Y-133781800D01* -X80772000Y-133781800D01* -X80757134Y-133783264D01* -X80742840Y-133787600D01* -X80729666Y-133794642D01* -X80718118Y-133804118D01* -X80708642Y-133815666D01* -X80701600Y-133828840D01* -X80697264Y-133843134D01* -X80695800Y-133858000D01* -X79324200Y-133858000D01* -X79322736Y-133843134D01* -X79318400Y-133828840D01* -X79311358Y-133815666D01* -X79301882Y-133804118D01* -X79290334Y-133794642D01* -X79277160Y-133787600D01* -X79262866Y-133783264D01* -X79248000Y-133781800D01* -X78867000Y-133781800D01* -X78852134Y-133783264D01* -X78837840Y-133787600D01* -X78824666Y-133794642D01* -X78813118Y-133804118D01* -X78803642Y-133815666D01* -X78796600Y-133828840D01* -X78792264Y-133843134D01* -X78790800Y-133858000D01* -X78689200Y-133858000D01* -X78687736Y-133843134D01* -X78683400Y-133828840D01* -X78676358Y-133815666D01* -X78666882Y-133804118D01* -X78655334Y-133794642D01* -X78642160Y-133787600D01* -X78627866Y-133783264D01* -X78613000Y-133781800D01* -X78232000Y-133781800D01* -X78217134Y-133783264D01* -X78202840Y-133787600D01* -X78189666Y-133794642D01* -X78178118Y-133804118D01* -X78168642Y-133815666D01* -X78161600Y-133828840D01* -X78157264Y-133843134D01* -X78155800Y-133858000D01* -X74498200Y-133858000D01* -X74498200Y-133223000D01* -X78155800Y-133223000D01* -X78155800Y-133604000D01* -X78157264Y-133618866D01* -X78161600Y-133633160D01* -X78168642Y-133646334D01* -X78178118Y-133657882D01* -X78189666Y-133667358D01* -X78202840Y-133674400D01* -X78217134Y-133678736D01* -X78232000Y-133680200D01* -X78613000Y-133680200D01* -X78627866Y-133678736D01* -X78642160Y-133674400D01* -X78655334Y-133667358D01* -X78666882Y-133657882D01* -X78676358Y-133646334D01* -X78683400Y-133633160D01* -X78687736Y-133618866D01* -X78689200Y-133604000D01* -X78689200Y-133223000D01* -X78790800Y-133223000D01* -X78790800Y-133604000D01* -X78792264Y-133618866D01* -X78796600Y-133633160D01* -X78803642Y-133646334D01* -X78813118Y-133657882D01* -X78824666Y-133667358D01* -X78837840Y-133674400D01* -X78852134Y-133678736D01* -X78867000Y-133680200D01* -X79248000Y-133680200D01* -X79262866Y-133678736D01* -X79277160Y-133674400D01* -X79290334Y-133667358D01* -X79301882Y-133657882D01* -X79311358Y-133646334D01* -X79318400Y-133633160D01* -X79322736Y-133618866D01* -X79324200Y-133604000D01* -X79324200Y-133223000D01* -X80695800Y-133223000D01* -X80695800Y-133604000D01* -X80697264Y-133618866D01* -X80701600Y-133633160D01* -X80708642Y-133646334D01* -X80718118Y-133657882D01* -X80729666Y-133667358D01* -X80742840Y-133674400D01* -X80757134Y-133678736D01* -X80772000Y-133680200D01* -X81153000Y-133680200D01* -X81167866Y-133678736D01* -X81182160Y-133674400D01* -X81195334Y-133667358D01* -X81206882Y-133657882D01* -X81216358Y-133646334D01* -X81223400Y-133633160D01* -X81227736Y-133618866D01* -X81229200Y-133604000D01* -X81229200Y-133223000D01* -X81330800Y-133223000D01* -X81330800Y-133604000D01* -X81332264Y-133618866D01* -X81336600Y-133633160D01* -X81343642Y-133646334D01* -X81353118Y-133657882D01* -X81364666Y-133667358D01* -X81377840Y-133674400D01* -X81392134Y-133678736D01* -X81407000Y-133680200D01* -X81788000Y-133680200D01* -X81802866Y-133678736D01* -X81817160Y-133674400D01* -X81830334Y-133667358D01* -X81841882Y-133657882D01* -X81851358Y-133646334D01* -X81858400Y-133633160D01* -X81862736Y-133618866D01* -X81864200Y-133604000D01* -X81864200Y-133223000D01* -X83235800Y-133223000D01* -X83235800Y-133604000D01* -X83237264Y-133618866D01* -X83241600Y-133633160D01* -X83248642Y-133646334D01* -X83258118Y-133657882D01* -X83269666Y-133667358D01* -X83282840Y-133674400D01* -X83297134Y-133678736D01* -X83312000Y-133680200D01* -X83693000Y-133680200D01* -X83707866Y-133678736D01* -X83722160Y-133674400D01* -X83735334Y-133667358D01* -X83746882Y-133657882D01* -X83756358Y-133646334D01* -X83763400Y-133633160D01* -X83767736Y-133618866D01* -X83769200Y-133604000D01* -X83769200Y-133223000D01* -X83870800Y-133223000D01* -X83870800Y-133604000D01* -X83872264Y-133618866D01* -X83876600Y-133633160D01* -X83883642Y-133646334D01* -X83893118Y-133657882D01* -X83904666Y-133667358D01* -X83917840Y-133674400D01* -X83932134Y-133678736D01* -X83947000Y-133680200D01* -X84328000Y-133680200D01* -X84342866Y-133678736D01* -X84357160Y-133674400D01* -X84370334Y-133667358D01* -X84381882Y-133657882D01* -X84391358Y-133646334D01* -X84398400Y-133633160D01* -X84402736Y-133618866D01* -X84404200Y-133604000D01* -X84404200Y-133223000D01* -X85775800Y-133223000D01* -X85775800Y-133604000D01* -X85777264Y-133618866D01* -X85781600Y-133633160D01* -X85788642Y-133646334D01* -X85798118Y-133657882D01* -X85809666Y-133667358D01* -X85822840Y-133674400D01* -X85837134Y-133678736D01* -X85852000Y-133680200D01* -X86233000Y-133680200D01* -X86247866Y-133678736D01* -X86262160Y-133674400D01* -X86275334Y-133667358D01* -X86286882Y-133657882D01* -X86296358Y-133646334D01* -X86303400Y-133633160D01* -X86307736Y-133618866D01* -X86309200Y-133604000D01* -X86309200Y-133223000D01* -X86410800Y-133223000D01* -X86410800Y-133604000D01* -X86412264Y-133618866D01* -X86416600Y-133633160D01* -X86423642Y-133646334D01* -X86433118Y-133657882D01* -X86444666Y-133667358D01* -X86457840Y-133674400D01* -X86472134Y-133678736D01* -X86487000Y-133680200D01* -X86868000Y-133680200D01* -X86882866Y-133678736D01* -X86897160Y-133674400D01* -X86910334Y-133667358D01* -X86921882Y-133657882D01* -X86931358Y-133646334D01* -X86938400Y-133633160D01* -X86942736Y-133618866D01* -X86944200Y-133604000D01* -X86944200Y-133223000D01* -X88315800Y-133223000D01* -X88315800Y-133604000D01* -X88317264Y-133618866D01* -X88321600Y-133633160D01* -X88328642Y-133646334D01* -X88338118Y-133657882D01* -X88349666Y-133667358D01* -X88362840Y-133674400D01* -X88377134Y-133678736D01* -X88392000Y-133680200D01* -X88773000Y-133680200D01* -X88787866Y-133678736D01* -X88802160Y-133674400D01* -X88815334Y-133667358D01* -X88826882Y-133657882D01* -X88836358Y-133646334D01* -X88843400Y-133633160D01* -X88847736Y-133618866D01* -X88849200Y-133604000D01* -X88849200Y-133223000D01* -X88950800Y-133223000D01* -X88950800Y-133604000D01* -X88952264Y-133618866D01* -X88956600Y-133633160D01* -X88963642Y-133646334D01* -X88973118Y-133657882D01* -X88984666Y-133667358D01* -X88997840Y-133674400D01* -X89012134Y-133678736D01* -X89027000Y-133680200D01* -X89408000Y-133680200D01* -X89422866Y-133678736D01* -X89437160Y-133674400D01* -X89450334Y-133667358D01* -X89461882Y-133657882D01* -X89471358Y-133646334D01* -X89478400Y-133633160D01* -X89482736Y-133618866D01* -X89484200Y-133604000D01* -X89484200Y-133223000D01* -X90855800Y-133223000D01* -X90855800Y-133604000D01* -X90857264Y-133618866D01* -X90861600Y-133633160D01* -X90868642Y-133646334D01* -X90878118Y-133657882D01* -X90889666Y-133667358D01* -X90902840Y-133674400D01* -X90917134Y-133678736D01* -X90932000Y-133680200D01* -X91313000Y-133680200D01* -X91327866Y-133678736D01* -X91342160Y-133674400D01* -X91355334Y-133667358D01* -X91366882Y-133657882D01* -X91376358Y-133646334D01* -X91383400Y-133633160D01* -X91387736Y-133618866D01* -X91389200Y-133604000D01* -X91389200Y-133223000D01* -X91490800Y-133223000D01* -X91490800Y-133604000D01* -X91492264Y-133618866D01* -X91496600Y-133633160D01* -X91503642Y-133646334D01* -X91513118Y-133657882D01* -X91524666Y-133667358D01* -X91537840Y-133674400D01* -X91552134Y-133678736D01* -X91567000Y-133680200D01* -X91948000Y-133680200D01* -X91962866Y-133678736D01* -X91977160Y-133674400D01* -X91990334Y-133667358D01* -X92001882Y-133657882D01* -X92011358Y-133646334D01* -X92018400Y-133633160D01* -X92022736Y-133618866D01* -X92024200Y-133604000D01* -X92024200Y-133223000D01* -X93395800Y-133223000D01* -X93395800Y-133604000D01* -X93397264Y-133618866D01* -X93401600Y-133633160D01* -X93408642Y-133646334D01* -X93418118Y-133657882D01* -X93429666Y-133667358D01* -X93442840Y-133674400D01* -X93457134Y-133678736D01* -X93472000Y-133680200D01* -X93853000Y-133680200D01* -X93867866Y-133678736D01* -X93882160Y-133674400D01* -X93895334Y-133667358D01* -X93906882Y-133657882D01* -X93916358Y-133646334D01* -X93923400Y-133633160D01* -X93927736Y-133618866D01* -X93929200Y-133604000D01* -X93929200Y-133223000D01* -X94030800Y-133223000D01* -X94030800Y-133604000D01* -X94032264Y-133618866D01* -X94036600Y-133633160D01* -X94043642Y-133646334D01* -X94053118Y-133657882D01* -X94064666Y-133667358D01* -X94077840Y-133674400D01* -X94092134Y-133678736D01* -X94107000Y-133680200D01* -X94488000Y-133680200D01* -X94502866Y-133678736D01* -X94517160Y-133674400D01* -X94530334Y-133667358D01* -X94541882Y-133657882D01* -X94551358Y-133646334D01* -X94558400Y-133633160D01* -X94562736Y-133618866D01* -X94564200Y-133604000D01* -X94564200Y-133223000D01* -X95935800Y-133223000D01* -X95935800Y-133604000D01* -X95937264Y-133618866D01* -X95941600Y-133633160D01* -X95948642Y-133646334D01* -X95958118Y-133657882D01* -X95969666Y-133667358D01* -X95982840Y-133674400D01* -X95997134Y-133678736D01* -X96012000Y-133680200D01* -X96393000Y-133680200D01* -X96407866Y-133678736D01* -X96422160Y-133674400D01* -X96435334Y-133667358D01* -X96446882Y-133657882D01* -X96456358Y-133646334D01* -X96463400Y-133633160D01* -X96467736Y-133618866D01* -X96469200Y-133604000D01* -X96469200Y-133223000D01* -X96570800Y-133223000D01* -X96570800Y-133604000D01* -X96572264Y-133618866D01* -X96576600Y-133633160D01* -X96583642Y-133646334D01* -X96593118Y-133657882D01* -X96604666Y-133667358D01* -X96617840Y-133674400D01* -X96632134Y-133678736D01* -X96647000Y-133680200D01* -X97028000Y-133680200D01* -X97042866Y-133678736D01* -X97057160Y-133674400D01* -X97070334Y-133667358D01* -X97081882Y-133657882D01* -X97091358Y-133646334D01* -X97098400Y-133633160D01* -X97102736Y-133618866D01* -X97104200Y-133604000D01* -X97104200Y-133223000D01* -X98475800Y-133223000D01* -X98475800Y-133604000D01* -X98477264Y-133618866D01* -X98481600Y-133633160D01* -X98488642Y-133646334D01* -X98498118Y-133657882D01* -X98509666Y-133667358D01* -X98522840Y-133674400D01* -X98537134Y-133678736D01* -X98552000Y-133680200D01* -X98933000Y-133680200D01* -X98947866Y-133678736D01* -X98962160Y-133674400D01* -X98975334Y-133667358D01* -X98986882Y-133657882D01* -X98996358Y-133646334D01* -X99003400Y-133633160D01* -X99007736Y-133618866D01* -X99009200Y-133604000D01* -X99009200Y-133223000D01* -X99110800Y-133223000D01* -X99110800Y-133604000D01* -X99112264Y-133618866D01* -X99116600Y-133633160D01* -X99123642Y-133646334D01* -X99133118Y-133657882D01* -X99144666Y-133667358D01* -X99157840Y-133674400D01* -X99172134Y-133678736D01* -X99187000Y-133680200D01* -X99568000Y-133680200D01* -X99582866Y-133678736D01* -X99597160Y-133674400D01* -X99610334Y-133667358D01* -X99621882Y-133657882D01* -X99631358Y-133646334D01* -X99638400Y-133633160D01* -X99642736Y-133618866D01* -X99644200Y-133604000D01* -X99644200Y-133223000D01* -X101015800Y-133223000D01* -X101015800Y-133604000D01* -X101017264Y-133618866D01* -X101021600Y-133633160D01* -X101028642Y-133646334D01* -X101038118Y-133657882D01* -X101049666Y-133667358D01* -X101062840Y-133674400D01* -X101077134Y-133678736D01* -X101092000Y-133680200D01* -X101473000Y-133680200D01* -X101487866Y-133678736D01* -X101502160Y-133674400D01* -X101515334Y-133667358D01* -X101526882Y-133657882D01* -X101536358Y-133646334D01* -X101543400Y-133633160D01* -X101547736Y-133618866D01* -X101549200Y-133604000D01* -X101549200Y-133223000D01* -X101650800Y-133223000D01* -X101650800Y-133604000D01* -X101652264Y-133618866D01* -X101656600Y-133633160D01* -X101663642Y-133646334D01* -X101673118Y-133657882D01* -X101684666Y-133667358D01* -X101697840Y-133674400D01* -X101712134Y-133678736D01* -X101727000Y-133680200D01* -X102108000Y-133680200D01* -X102122866Y-133678736D01* -X102137160Y-133674400D01* -X102150334Y-133667358D01* -X102161882Y-133657882D01* -X102171358Y-133646334D01* -X102178400Y-133633160D01* -X102182736Y-133618866D01* -X102184200Y-133604000D01* -X102184200Y-133223000D01* -X103555800Y-133223000D01* -X103555800Y-133604000D01* -X103557264Y-133618866D01* -X103561600Y-133633160D01* -X103568642Y-133646334D01* -X103578118Y-133657882D01* -X103589666Y-133667358D01* -X103602840Y-133674400D01* -X103617134Y-133678736D01* -X103632000Y-133680200D01* -X104013000Y-133680200D01* -X104027866Y-133678736D01* -X104042160Y-133674400D01* -X104055334Y-133667358D01* -X104066882Y-133657882D01* -X104076358Y-133646334D01* -X104083400Y-133633160D01* -X104087736Y-133618866D01* -X104089200Y-133604000D01* -X104089200Y-133223000D01* -X104190800Y-133223000D01* -X104190800Y-133604000D01* -X104192264Y-133618866D01* -X104196600Y-133633160D01* -X104203642Y-133646334D01* -X104213118Y-133657882D01* -X104224666Y-133667358D01* -X104237840Y-133674400D01* -X104252134Y-133678736D01* -X104267000Y-133680200D01* -X104648000Y-133680200D01* -X104662866Y-133678736D01* -X104677160Y-133674400D01* -X104690334Y-133667358D01* -X104701882Y-133657882D01* -X104711358Y-133646334D01* -X104718400Y-133633160D01* -X104722736Y-133618866D01* -X104724200Y-133604000D01* -X104724200Y-133223000D01* -X106095800Y-133223000D01* -X106095800Y-133604000D01* -X106097264Y-133618866D01* -X106101600Y-133633160D01* -X106108642Y-133646334D01* -X106118118Y-133657882D01* -X106129666Y-133667358D01* -X106142840Y-133674400D01* -X106157134Y-133678736D01* -X106172000Y-133680200D01* -X106553000Y-133680200D01* -X106567866Y-133678736D01* -X106582160Y-133674400D01* -X106595334Y-133667358D01* -X106606882Y-133657882D01* -X106616358Y-133646334D01* -X106623400Y-133633160D01* -X106627736Y-133618866D01* -X106629200Y-133604000D01* -X106629200Y-133223000D01* -X106730800Y-133223000D01* -X106730800Y-133604000D01* -X106732264Y-133618866D01* -X106736600Y-133633160D01* -X106743642Y-133646334D01* -X106753118Y-133657882D01* -X106764666Y-133667358D01* -X106777840Y-133674400D01* -X106792134Y-133678736D01* -X106807000Y-133680200D01* -X107188000Y-133680200D01* -X107202866Y-133678736D01* -X107217160Y-133674400D01* -X107230334Y-133667358D01* -X107241882Y-133657882D01* -X107251358Y-133646334D01* -X107258400Y-133633160D01* -X107262736Y-133618866D01* -X107264200Y-133604000D01* -X107264200Y-133223000D01* -X108635800Y-133223000D01* -X108635800Y-133604000D01* -X108637264Y-133618866D01* -X108641600Y-133633160D01* -X108648642Y-133646334D01* -X108658118Y-133657882D01* -X108669666Y-133667358D01* -X108682840Y-133674400D01* -X108697134Y-133678736D01* -X108712000Y-133680200D01* -X109093000Y-133680200D01* -X109107866Y-133678736D01* -X109122160Y-133674400D01* -X109135334Y-133667358D01* -X109146882Y-133657882D01* -X109156358Y-133646334D01* -X109163400Y-133633160D01* -X109167736Y-133618866D01* -X109169200Y-133604000D01* -X109169200Y-133223000D01* -X109270800Y-133223000D01* -X109270800Y-133604000D01* -X109272264Y-133618866D01* -X109276600Y-133633160D01* -X109283642Y-133646334D01* -X109293118Y-133657882D01* -X109304666Y-133667358D01* -X109317840Y-133674400D01* -X109332134Y-133678736D01* -X109347000Y-133680200D01* -X109728000Y-133680200D01* -X109742866Y-133678736D01* -X109757160Y-133674400D01* -X109770334Y-133667358D01* -X109781882Y-133657882D01* -X109791358Y-133646334D01* -X109798400Y-133633160D01* -X109802736Y-133618866D01* -X109804200Y-133604000D01* -X109804200Y-133223000D01* -X111175800Y-133223000D01* -X111175800Y-133604000D01* -X111177264Y-133618866D01* -X111181600Y-133633160D01* -X111188642Y-133646334D01* -X111198118Y-133657882D01* -X111209666Y-133667358D01* -X111222840Y-133674400D01* -X111237134Y-133678736D01* -X111252000Y-133680200D01* -X111633000Y-133680200D01* -X111647866Y-133678736D01* -X111662160Y-133674400D01* -X111675334Y-133667358D01* -X111686882Y-133657882D01* -X111696358Y-133646334D01* -X111703400Y-133633160D01* -X111707736Y-133618866D01* -X111709200Y-133604000D01* -X111709200Y-133223000D01* -X111810800Y-133223000D01* -X111810800Y-133604000D01* -X111812264Y-133618866D01* -X111816600Y-133633160D01* -X111823642Y-133646334D01* -X111833118Y-133657882D01* -X111844666Y-133667358D01* -X111857840Y-133674400D01* -X111872134Y-133678736D01* -X111887000Y-133680200D01* -X112268000Y-133680200D01* -X112282866Y-133678736D01* -X112297160Y-133674400D01* -X112310334Y-133667358D01* -X112321882Y-133657882D01* -X112331358Y-133646334D01* -X112338400Y-133633160D01* -X112342736Y-133618866D01* -X112344200Y-133604000D01* -X112344200Y-133223000D01* -X113715800Y-133223000D01* -X113715800Y-133604000D01* -X113717264Y-133618866D01* -X113721600Y-133633160D01* -X113728642Y-133646334D01* -X113738118Y-133657882D01* -X113749666Y-133667358D01* -X113762840Y-133674400D01* -X113777134Y-133678736D01* -X113792000Y-133680200D01* -X114173000Y-133680200D01* -X114187866Y-133678736D01* -X114202160Y-133674400D01* -X114215334Y-133667358D01* -X114226882Y-133657882D01* -X114236358Y-133646334D01* -X114243400Y-133633160D01* -X114247736Y-133618866D01* -X114249200Y-133604000D01* -X114249200Y-133223000D01* -X114350800Y-133223000D01* -X114350800Y-133604000D01* -X114352264Y-133618866D01* -X114356600Y-133633160D01* -X114363642Y-133646334D01* -X114373118Y-133657882D01* -X114384666Y-133667358D01* -X114397840Y-133674400D01* -X114412134Y-133678736D01* -X114427000Y-133680200D01* -X114808000Y-133680200D01* -X114822866Y-133678736D01* -X114837160Y-133674400D01* -X114850334Y-133667358D01* -X114861882Y-133657882D01* -X114871358Y-133646334D01* -X114878400Y-133633160D01* -X114882736Y-133618866D01* -X114884200Y-133604000D01* -X114884200Y-133223000D01* -X121335800Y-133223000D01* -X121335800Y-133604000D01* -X121337264Y-133618866D01* -X121341600Y-133633160D01* -X121348642Y-133646334D01* -X121358118Y-133657882D01* -X121369666Y-133667358D01* -X121382840Y-133674400D01* -X121397134Y-133678736D01* -X121412000Y-133680200D01* -X121793000Y-133680200D01* -X121807866Y-133678736D01* -X121822160Y-133674400D01* -X121835334Y-133667358D01* -X121846882Y-133657882D01* -X121856358Y-133646334D01* -X121863400Y-133633160D01* -X121867736Y-133618866D01* -X121869200Y-133604000D01* -X121869200Y-133223000D01* -X121970800Y-133223000D01* -X121970800Y-133604000D01* -X121972264Y-133618866D01* -X121976600Y-133633160D01* -X121983642Y-133646334D01* -X121993118Y-133657882D01* -X122004666Y-133667358D01* -X122017840Y-133674400D01* -X122032134Y-133678736D01* -X122047000Y-133680200D01* -X122428000Y-133680200D01* -X122442866Y-133678736D01* -X122457160Y-133674400D01* -X122470334Y-133667358D01* -X122481882Y-133657882D01* -X122491358Y-133646334D01* -X122498400Y-133633160D01* -X122502736Y-133618866D01* -X122504200Y-133604000D01* -X122504200Y-133223000D01* -X123875800Y-133223000D01* -X123875800Y-133604000D01* -X123877264Y-133618866D01* -X123881600Y-133633160D01* -X123888642Y-133646334D01* -X123898118Y-133657882D01* -X123909666Y-133667358D01* -X123922840Y-133674400D01* -X123937134Y-133678736D01* -X123952000Y-133680200D01* -X124333000Y-133680200D01* -X124347866Y-133678736D01* -X124362160Y-133674400D01* -X124375334Y-133667358D01* -X124386882Y-133657882D01* -X124396358Y-133646334D01* -X124403400Y-133633160D01* -X124407736Y-133618866D01* -X124409200Y-133604000D01* -X124409200Y-133223000D01* -X124510800Y-133223000D01* -X124510800Y-133604000D01* -X124512264Y-133618866D01* -X124516600Y-133633160D01* -X124523642Y-133646334D01* -X124533118Y-133657882D01* -X124544666Y-133667358D01* -X124557840Y-133674400D01* -X124572134Y-133678736D01* -X124587000Y-133680200D01* -X124968000Y-133680200D01* -X124982866Y-133678736D01* -X124997160Y-133674400D01* -X125010334Y-133667358D01* -X125021882Y-133657882D01* -X125031358Y-133646334D01* -X125038400Y-133633160D01* -X125042736Y-133618866D01* -X125044200Y-133604000D01* -X125044200Y-133223000D01* -X126415800Y-133223000D01* -X126415800Y-133604000D01* -X126417264Y-133618866D01* -X126421600Y-133633160D01* -X126428642Y-133646334D01* -X126438118Y-133657882D01* -X126449666Y-133667358D01* -X126462840Y-133674400D01* -X126477134Y-133678736D01* -X126492000Y-133680200D01* -X126873000Y-133680200D01* -X126887866Y-133678736D01* -X126902160Y-133674400D01* -X126915334Y-133667358D01* -X126926882Y-133657882D01* -X126936358Y-133646334D01* -X126943400Y-133633160D01* -X126947736Y-133618866D01* -X126949200Y-133604000D01* -X126949200Y-133223000D01* -X127050800Y-133223000D01* -X127050800Y-133604000D01* -X127052264Y-133618866D01* -X127056600Y-133633160D01* -X127063642Y-133646334D01* -X127073118Y-133657882D01* -X127084666Y-133667358D01* -X127097840Y-133674400D01* -X127112134Y-133678736D01* -X127127000Y-133680200D01* -X127508000Y-133680200D01* -X127522866Y-133678736D01* -X127537160Y-133674400D01* -X127550334Y-133667358D01* -X127561882Y-133657882D01* -X127571358Y-133646334D01* -X127578400Y-133633160D01* -X127582736Y-133618866D01* -X127584200Y-133604000D01* -X127584200Y-133223000D01* -X128955800Y-133223000D01* -X128955800Y-133604000D01* -X128957264Y-133618866D01* -X128961600Y-133633160D01* -X128968642Y-133646334D01* -X128978118Y-133657882D01* -X128989666Y-133667358D01* -X129002840Y-133674400D01* -X129017134Y-133678736D01* -X129032000Y-133680200D01* -X129413000Y-133680200D01* -X129427866Y-133678736D01* -X129442160Y-133674400D01* -X129455334Y-133667358D01* -X129466882Y-133657882D01* -X129476358Y-133646334D01* -X129483400Y-133633160D01* -X129487736Y-133618866D01* -X129489200Y-133604000D01* -X129489200Y-133223000D01* -X129590800Y-133223000D01* -X129590800Y-133604000D01* -X129592264Y-133618866D01* -X129596600Y-133633160D01* -X129603642Y-133646334D01* -X129613118Y-133657882D01* -X129624666Y-133667358D01* -X129637840Y-133674400D01* -X129652134Y-133678736D01* -X129667000Y-133680200D01* -X130048000Y-133680200D01* -X130062866Y-133678736D01* -X130077160Y-133674400D01* -X130090334Y-133667358D01* -X130101882Y-133657882D01* -X130111358Y-133646334D01* -X130118400Y-133633160D01* -X130122736Y-133618866D01* -X130124200Y-133604000D01* -X130124200Y-133223000D01* -X131495800Y-133223000D01* -X131495800Y-133604000D01* -X131497264Y-133618866D01* -X131501600Y-133633160D01* -X131508642Y-133646334D01* -X131518118Y-133657882D01* -X131529666Y-133667358D01* -X131542840Y-133674400D01* -X131557134Y-133678736D01* -X131572000Y-133680200D01* -X131953000Y-133680200D01* -X131967866Y-133678736D01* -X131982160Y-133674400D01* -X131995334Y-133667358D01* -X132006882Y-133657882D01* -X132016358Y-133646334D01* -X132023400Y-133633160D01* -X132027736Y-133618866D01* -X132029200Y-133604000D01* -X132029200Y-133223000D01* -X132130800Y-133223000D01* -X132130800Y-133604000D01* -X132132264Y-133618866D01* -X132136600Y-133633160D01* -X132143642Y-133646334D01* -X132153118Y-133657882D01* -X132164666Y-133667358D01* -X132177840Y-133674400D01* -X132192134Y-133678736D01* -X132207000Y-133680200D01* -X132588000Y-133680200D01* -X132602866Y-133678736D01* -X132617160Y-133674400D01* -X132630334Y-133667358D01* -X132641882Y-133657882D01* -X132651358Y-133646334D01* -X132658400Y-133633160D01* -X132662736Y-133618866D01* -X132664200Y-133604000D01* -X132664200Y-133223000D01* -X134035800Y-133223000D01* -X134035800Y-133604000D01* -X134037264Y-133618866D01* -X134041600Y-133633160D01* -X134048642Y-133646334D01* -X134058118Y-133657882D01* -X134069666Y-133667358D01* -X134082840Y-133674400D01* -X134097134Y-133678736D01* -X134112000Y-133680200D01* -X134493000Y-133680200D01* -X134507866Y-133678736D01* -X134522160Y-133674400D01* -X134535334Y-133667358D01* -X134546882Y-133657882D01* -X134556358Y-133646334D01* -X134563400Y-133633160D01* -X134567736Y-133618866D01* -X134569200Y-133604000D01* -X134569200Y-133223000D01* -X134670800Y-133223000D01* -X134670800Y-133604000D01* -X134672264Y-133618866D01* -X134676600Y-133633160D01* -X134683642Y-133646334D01* -X134693118Y-133657882D01* -X134704666Y-133667358D01* -X134717840Y-133674400D01* -X134732134Y-133678736D01* -X134747000Y-133680200D01* -X135128000Y-133680200D01* -X135142866Y-133678736D01* -X135157160Y-133674400D01* -X135170334Y-133667358D01* -X135181882Y-133657882D01* -X135191358Y-133646334D01* -X135198400Y-133633160D01* -X135202736Y-133618866D01* -X135204200Y-133604000D01* -X135204200Y-133223000D01* -X135202736Y-133208134D01* -X135198400Y-133193840D01* -X135191358Y-133180666D01* -X135181882Y-133169118D01* -X135170334Y-133159642D01* -X135157160Y-133152600D01* -X135142866Y-133148264D01* -X135128000Y-133146800D01* -X134747000Y-133146800D01* -X134732134Y-133148264D01* -X134717840Y-133152600D01* -X134704666Y-133159642D01* -X134693118Y-133169118D01* -X134683642Y-133180666D01* -X134676600Y-133193840D01* -X134672264Y-133208134D01* -X134670800Y-133223000D01* -X134569200Y-133223000D01* -X134567736Y-133208134D01* -X134563400Y-133193840D01* -X134556358Y-133180666D01* -X134546882Y-133169118D01* -X134535334Y-133159642D01* -X134522160Y-133152600D01* -X134507866Y-133148264D01* -X134493000Y-133146800D01* -X134112000Y-133146800D01* -X134097134Y-133148264D01* -X134082840Y-133152600D01* -X134069666Y-133159642D01* -X134058118Y-133169118D01* -X134048642Y-133180666D01* -X134041600Y-133193840D01* -X134037264Y-133208134D01* -X134035800Y-133223000D01* -X132664200Y-133223000D01* -X132662736Y-133208134D01* -X132658400Y-133193840D01* -X132651358Y-133180666D01* -X132641882Y-133169118D01* -X132630334Y-133159642D01* -X132617160Y-133152600D01* -X132602866Y-133148264D01* -X132588000Y-133146800D01* -X132207000Y-133146800D01* -X132192134Y-133148264D01* -X132177840Y-133152600D01* -X132164666Y-133159642D01* -X132153118Y-133169118D01* -X132143642Y-133180666D01* -X132136600Y-133193840D01* -X132132264Y-133208134D01* -X132130800Y-133223000D01* -X132029200Y-133223000D01* -X132027736Y-133208134D01* -X132023400Y-133193840D01* -X132016358Y-133180666D01* -X132006882Y-133169118D01* -X131995334Y-133159642D01* -X131982160Y-133152600D01* -X131967866Y-133148264D01* -X131953000Y-133146800D01* -X131572000Y-133146800D01* -X131557134Y-133148264D01* -X131542840Y-133152600D01* -X131529666Y-133159642D01* -X131518118Y-133169118D01* -X131508642Y-133180666D01* -X131501600Y-133193840D01* -X131497264Y-133208134D01* -X131495800Y-133223000D01* -X130124200Y-133223000D01* -X130122736Y-133208134D01* -X130118400Y-133193840D01* -X130111358Y-133180666D01* -X130101882Y-133169118D01* -X130090334Y-133159642D01* -X130077160Y-133152600D01* -X130062866Y-133148264D01* -X130048000Y-133146800D01* -X129667000Y-133146800D01* -X129652134Y-133148264D01* -X129637840Y-133152600D01* -X129624666Y-133159642D01* -X129613118Y-133169118D01* -X129603642Y-133180666D01* -X129596600Y-133193840D01* -X129592264Y-133208134D01* -X129590800Y-133223000D01* -X129489200Y-133223000D01* -X129487736Y-133208134D01* -X129483400Y-133193840D01* -X129476358Y-133180666D01* -X129466882Y-133169118D01* -X129455334Y-133159642D01* -X129442160Y-133152600D01* -X129427866Y-133148264D01* -X129413000Y-133146800D01* -X129032000Y-133146800D01* -X129017134Y-133148264D01* -X129002840Y-133152600D01* -X128989666Y-133159642D01* -X128978118Y-133169118D01* -X128968642Y-133180666D01* -X128961600Y-133193840D01* -X128957264Y-133208134D01* -X128955800Y-133223000D01* -X127584200Y-133223000D01* -X127582736Y-133208134D01* -X127578400Y-133193840D01* -X127571358Y-133180666D01* -X127561882Y-133169118D01* -X127550334Y-133159642D01* -X127537160Y-133152600D01* -X127522866Y-133148264D01* -X127508000Y-133146800D01* -X127127000Y-133146800D01* -X127112134Y-133148264D01* -X127097840Y-133152600D01* -X127084666Y-133159642D01* -X127073118Y-133169118D01* -X127063642Y-133180666D01* -X127056600Y-133193840D01* -X127052264Y-133208134D01* -X127050800Y-133223000D01* -X126949200Y-133223000D01* -X126947736Y-133208134D01* -X126943400Y-133193840D01* -X126936358Y-133180666D01* -X126926882Y-133169118D01* -X126915334Y-133159642D01* -X126902160Y-133152600D01* -X126887866Y-133148264D01* -X126873000Y-133146800D01* -X126492000Y-133146800D01* -X126477134Y-133148264D01* -X126462840Y-133152600D01* -X126449666Y-133159642D01* -X126438118Y-133169118D01* -X126428642Y-133180666D01* -X126421600Y-133193840D01* -X126417264Y-133208134D01* -X126415800Y-133223000D01* -X125044200Y-133223000D01* -X125042736Y-133208134D01* -X125038400Y-133193840D01* -X125031358Y-133180666D01* -X125021882Y-133169118D01* -X125010334Y-133159642D01* -X124997160Y-133152600D01* -X124982866Y-133148264D01* -X124968000Y-133146800D01* -X124587000Y-133146800D01* -X124572134Y-133148264D01* -X124557840Y-133152600D01* -X124544666Y-133159642D01* -X124533118Y-133169118D01* -X124523642Y-133180666D01* -X124516600Y-133193840D01* -X124512264Y-133208134D01* -X124510800Y-133223000D01* -X124409200Y-133223000D01* -X124407736Y-133208134D01* -X124403400Y-133193840D01* -X124396358Y-133180666D01* -X124386882Y-133169118D01* -X124375334Y-133159642D01* -X124362160Y-133152600D01* -X124347866Y-133148264D01* -X124333000Y-133146800D01* -X123952000Y-133146800D01* -X123937134Y-133148264D01* -X123922840Y-133152600D01* -X123909666Y-133159642D01* -X123898118Y-133169118D01* -X123888642Y-133180666D01* -X123881600Y-133193840D01* -X123877264Y-133208134D01* -X123875800Y-133223000D01* -X122504200Y-133223000D01* -X122502736Y-133208134D01* -X122498400Y-133193840D01* -X122491358Y-133180666D01* -X122481882Y-133169118D01* -X122470334Y-133159642D01* -X122457160Y-133152600D01* -X122442866Y-133148264D01* -X122428000Y-133146800D01* -X122047000Y-133146800D01* -X122032134Y-133148264D01* -X122017840Y-133152600D01* -X122004666Y-133159642D01* -X121993118Y-133169118D01* -X121983642Y-133180666D01* -X121976600Y-133193840D01* -X121972264Y-133208134D01* -X121970800Y-133223000D01* -X121869200Y-133223000D01* -X121867736Y-133208134D01* -X121863400Y-133193840D01* -X121856358Y-133180666D01* -X121846882Y-133169118D01* -X121835334Y-133159642D01* -X121822160Y-133152600D01* -X121807866Y-133148264D01* -X121793000Y-133146800D01* -X121412000Y-133146800D01* -X121397134Y-133148264D01* -X121382840Y-133152600D01* -X121369666Y-133159642D01* -X121358118Y-133169118D01* -X121348642Y-133180666D01* -X121341600Y-133193840D01* -X121337264Y-133208134D01* -X121335800Y-133223000D01* -X114884200Y-133223000D01* -X114882736Y-133208134D01* -X114878400Y-133193840D01* -X114871358Y-133180666D01* -X114861882Y-133169118D01* -X114850334Y-133159642D01* -X114837160Y-133152600D01* -X114822866Y-133148264D01* -X114808000Y-133146800D01* -X114427000Y-133146800D01* -X114412134Y-133148264D01* -X114397840Y-133152600D01* -X114384666Y-133159642D01* -X114373118Y-133169118D01* -X114363642Y-133180666D01* -X114356600Y-133193840D01* -X114352264Y-133208134D01* -X114350800Y-133223000D01* -X114249200Y-133223000D01* -X114247736Y-133208134D01* -X114243400Y-133193840D01* -X114236358Y-133180666D01* -X114226882Y-133169118D01* -X114215334Y-133159642D01* -X114202160Y-133152600D01* -X114187866Y-133148264D01* -X114173000Y-133146800D01* -X113792000Y-133146800D01* -X113777134Y-133148264D01* -X113762840Y-133152600D01* -X113749666Y-133159642D01* -X113738118Y-133169118D01* -X113728642Y-133180666D01* -X113721600Y-133193840D01* -X113717264Y-133208134D01* -X113715800Y-133223000D01* -X112344200Y-133223000D01* -X112342736Y-133208134D01* -X112338400Y-133193840D01* -X112331358Y-133180666D01* -X112321882Y-133169118D01* -X112310334Y-133159642D01* -X112297160Y-133152600D01* -X112282866Y-133148264D01* -X112268000Y-133146800D01* -X111887000Y-133146800D01* -X111872134Y-133148264D01* -X111857840Y-133152600D01* -X111844666Y-133159642D01* -X111833118Y-133169118D01* -X111823642Y-133180666D01* -X111816600Y-133193840D01* -X111812264Y-133208134D01* -X111810800Y-133223000D01* -X111709200Y-133223000D01* -X111707736Y-133208134D01* -X111703400Y-133193840D01* -X111696358Y-133180666D01* -X111686882Y-133169118D01* -X111675334Y-133159642D01* -X111662160Y-133152600D01* -X111647866Y-133148264D01* -X111633000Y-133146800D01* -X111252000Y-133146800D01* -X111237134Y-133148264D01* -X111222840Y-133152600D01* -X111209666Y-133159642D01* -X111198118Y-133169118D01* -X111188642Y-133180666D01* -X111181600Y-133193840D01* -X111177264Y-133208134D01* -X111175800Y-133223000D01* -X109804200Y-133223000D01* -X109802736Y-133208134D01* -X109798400Y-133193840D01* -X109791358Y-133180666D01* -X109781882Y-133169118D01* -X109770334Y-133159642D01* -X109757160Y-133152600D01* -X109742866Y-133148264D01* -X109728000Y-133146800D01* -X109347000Y-133146800D01* -X109332134Y-133148264D01* -X109317840Y-133152600D01* -X109304666Y-133159642D01* -X109293118Y-133169118D01* -X109283642Y-133180666D01* -X109276600Y-133193840D01* -X109272264Y-133208134D01* -X109270800Y-133223000D01* -X109169200Y-133223000D01* -X109167736Y-133208134D01* -X109163400Y-133193840D01* -X109156358Y-133180666D01* -X109146882Y-133169118D01* -X109135334Y-133159642D01* -X109122160Y-133152600D01* -X109107866Y-133148264D01* -X109093000Y-133146800D01* -X108712000Y-133146800D01* -X108697134Y-133148264D01* -X108682840Y-133152600D01* -X108669666Y-133159642D01* -X108658118Y-133169118D01* -X108648642Y-133180666D01* -X108641600Y-133193840D01* -X108637264Y-133208134D01* -X108635800Y-133223000D01* -X107264200Y-133223000D01* -X107262736Y-133208134D01* -X107258400Y-133193840D01* -X107251358Y-133180666D01* -X107241882Y-133169118D01* -X107230334Y-133159642D01* -X107217160Y-133152600D01* -X107202866Y-133148264D01* -X107188000Y-133146800D01* -X106807000Y-133146800D01* -X106792134Y-133148264D01* -X106777840Y-133152600D01* -X106764666Y-133159642D01* -X106753118Y-133169118D01* -X106743642Y-133180666D01* -X106736600Y-133193840D01* -X106732264Y-133208134D01* -X106730800Y-133223000D01* -X106629200Y-133223000D01* -X106627736Y-133208134D01* -X106623400Y-133193840D01* -X106616358Y-133180666D01* -X106606882Y-133169118D01* -X106595334Y-133159642D01* -X106582160Y-133152600D01* -X106567866Y-133148264D01* -X106553000Y-133146800D01* -X106172000Y-133146800D01* -X106157134Y-133148264D01* -X106142840Y-133152600D01* -X106129666Y-133159642D01* -X106118118Y-133169118D01* -X106108642Y-133180666D01* -X106101600Y-133193840D01* -X106097264Y-133208134D01* -X106095800Y-133223000D01* -X104724200Y-133223000D01* -X104722736Y-133208134D01* -X104718400Y-133193840D01* -X104711358Y-133180666D01* -X104701882Y-133169118D01* -X104690334Y-133159642D01* -X104677160Y-133152600D01* -X104662866Y-133148264D01* -X104648000Y-133146800D01* -X104267000Y-133146800D01* -X104252134Y-133148264D01* -X104237840Y-133152600D01* -X104224666Y-133159642D01* -X104213118Y-133169118D01* -X104203642Y-133180666D01* -X104196600Y-133193840D01* -X104192264Y-133208134D01* -X104190800Y-133223000D01* -X104089200Y-133223000D01* -X104087736Y-133208134D01* -X104083400Y-133193840D01* -X104076358Y-133180666D01* -X104066882Y-133169118D01* -X104055334Y-133159642D01* -X104042160Y-133152600D01* -X104027866Y-133148264D01* -X104013000Y-133146800D01* -X103632000Y-133146800D01* -X103617134Y-133148264D01* -X103602840Y-133152600D01* -X103589666Y-133159642D01* -X103578118Y-133169118D01* -X103568642Y-133180666D01* -X103561600Y-133193840D01* -X103557264Y-133208134D01* -X103555800Y-133223000D01* -X102184200Y-133223000D01* -X102182736Y-133208134D01* -X102178400Y-133193840D01* -X102171358Y-133180666D01* -X102161882Y-133169118D01* -X102150334Y-133159642D01* -X102137160Y-133152600D01* -X102122866Y-133148264D01* -X102108000Y-133146800D01* -X101727000Y-133146800D01* -X101712134Y-133148264D01* -X101697840Y-133152600D01* -X101684666Y-133159642D01* -X101673118Y-133169118D01* -X101663642Y-133180666D01* -X101656600Y-133193840D01* -X101652264Y-133208134D01* -X101650800Y-133223000D01* -X101549200Y-133223000D01* -X101547736Y-133208134D01* -X101543400Y-133193840D01* -X101536358Y-133180666D01* -X101526882Y-133169118D01* -X101515334Y-133159642D01* -X101502160Y-133152600D01* -X101487866Y-133148264D01* -X101473000Y-133146800D01* -X101092000Y-133146800D01* -X101077134Y-133148264D01* -X101062840Y-133152600D01* -X101049666Y-133159642D01* -X101038118Y-133169118D01* -X101028642Y-133180666D01* -X101021600Y-133193840D01* -X101017264Y-133208134D01* -X101015800Y-133223000D01* -X99644200Y-133223000D01* -X99642736Y-133208134D01* -X99638400Y-133193840D01* -X99631358Y-133180666D01* -X99621882Y-133169118D01* -X99610334Y-133159642D01* -X99597160Y-133152600D01* -X99582866Y-133148264D01* -X99568000Y-133146800D01* -X99187000Y-133146800D01* -X99172134Y-133148264D01* -X99157840Y-133152600D01* -X99144666Y-133159642D01* -X99133118Y-133169118D01* -X99123642Y-133180666D01* -X99116600Y-133193840D01* -X99112264Y-133208134D01* -X99110800Y-133223000D01* -X99009200Y-133223000D01* -X99007736Y-133208134D01* -X99003400Y-133193840D01* -X98996358Y-133180666D01* -X98986882Y-133169118D01* -X98975334Y-133159642D01* -X98962160Y-133152600D01* -X98947866Y-133148264D01* -X98933000Y-133146800D01* -X98552000Y-133146800D01* -X98537134Y-133148264D01* -X98522840Y-133152600D01* -X98509666Y-133159642D01* -X98498118Y-133169118D01* -X98488642Y-133180666D01* -X98481600Y-133193840D01* -X98477264Y-133208134D01* -X98475800Y-133223000D01* -X97104200Y-133223000D01* -X97102736Y-133208134D01* -X97098400Y-133193840D01* -X97091358Y-133180666D01* -X97081882Y-133169118D01* -X97070334Y-133159642D01* -X97057160Y-133152600D01* -X97042866Y-133148264D01* -X97028000Y-133146800D01* -X96647000Y-133146800D01* -X96632134Y-133148264D01* -X96617840Y-133152600D01* -X96604666Y-133159642D01* -X96593118Y-133169118D01* -X96583642Y-133180666D01* -X96576600Y-133193840D01* -X96572264Y-133208134D01* -X96570800Y-133223000D01* -X96469200Y-133223000D01* -X96467736Y-133208134D01* -X96463400Y-133193840D01* -X96456358Y-133180666D01* -X96446882Y-133169118D01* -X96435334Y-133159642D01* -X96422160Y-133152600D01* -X96407866Y-133148264D01* -X96393000Y-133146800D01* -X96012000Y-133146800D01* -X95997134Y-133148264D01* -X95982840Y-133152600D01* -X95969666Y-133159642D01* -X95958118Y-133169118D01* -X95948642Y-133180666D01* -X95941600Y-133193840D01* -X95937264Y-133208134D01* -X95935800Y-133223000D01* -X94564200Y-133223000D01* -X94562736Y-133208134D01* -X94558400Y-133193840D01* -X94551358Y-133180666D01* -X94541882Y-133169118D01* -X94530334Y-133159642D01* -X94517160Y-133152600D01* -X94502866Y-133148264D01* -X94488000Y-133146800D01* -X94107000Y-133146800D01* -X94092134Y-133148264D01* -X94077840Y-133152600D01* -X94064666Y-133159642D01* -X94053118Y-133169118D01* -X94043642Y-133180666D01* -X94036600Y-133193840D01* -X94032264Y-133208134D01* -X94030800Y-133223000D01* -X93929200Y-133223000D01* -X93927736Y-133208134D01* -X93923400Y-133193840D01* -X93916358Y-133180666D01* -X93906882Y-133169118D01* -X93895334Y-133159642D01* -X93882160Y-133152600D01* -X93867866Y-133148264D01* -X93853000Y-133146800D01* -X93472000Y-133146800D01* -X93457134Y-133148264D01* -X93442840Y-133152600D01* -X93429666Y-133159642D01* -X93418118Y-133169118D01* -X93408642Y-133180666D01* -X93401600Y-133193840D01* -X93397264Y-133208134D01* -X93395800Y-133223000D01* -X92024200Y-133223000D01* -X92022736Y-133208134D01* -X92018400Y-133193840D01* -X92011358Y-133180666D01* -X92001882Y-133169118D01* -X91990334Y-133159642D01* -X91977160Y-133152600D01* -X91962866Y-133148264D01* -X91948000Y-133146800D01* -X91567000Y-133146800D01* -X91552134Y-133148264D01* -X91537840Y-133152600D01* -X91524666Y-133159642D01* -X91513118Y-133169118D01* -X91503642Y-133180666D01* -X91496600Y-133193840D01* -X91492264Y-133208134D01* -X91490800Y-133223000D01* -X91389200Y-133223000D01* -X91387736Y-133208134D01* -X91383400Y-133193840D01* -X91376358Y-133180666D01* -X91366882Y-133169118D01* -X91355334Y-133159642D01* -X91342160Y-133152600D01* -X91327866Y-133148264D01* -X91313000Y-133146800D01* -X90932000Y-133146800D01* -X90917134Y-133148264D01* -X90902840Y-133152600D01* -X90889666Y-133159642D01* -X90878118Y-133169118D01* -X90868642Y-133180666D01* -X90861600Y-133193840D01* -X90857264Y-133208134D01* -X90855800Y-133223000D01* -X89484200Y-133223000D01* -X89482736Y-133208134D01* -X89478400Y-133193840D01* -X89471358Y-133180666D01* -X89461882Y-133169118D01* -X89450334Y-133159642D01* -X89437160Y-133152600D01* -X89422866Y-133148264D01* -X89408000Y-133146800D01* -X89027000Y-133146800D01* -X89012134Y-133148264D01* -X88997840Y-133152600D01* -X88984666Y-133159642D01* -X88973118Y-133169118D01* -X88963642Y-133180666D01* -X88956600Y-133193840D01* -X88952264Y-133208134D01* -X88950800Y-133223000D01* -X88849200Y-133223000D01* -X88847736Y-133208134D01* -X88843400Y-133193840D01* -X88836358Y-133180666D01* -X88826882Y-133169118D01* -X88815334Y-133159642D01* -X88802160Y-133152600D01* -X88787866Y-133148264D01* -X88773000Y-133146800D01* -X88392000Y-133146800D01* -X88377134Y-133148264D01* -X88362840Y-133152600D01* -X88349666Y-133159642D01* -X88338118Y-133169118D01* -X88328642Y-133180666D01* -X88321600Y-133193840D01* -X88317264Y-133208134D01* -X88315800Y-133223000D01* -X86944200Y-133223000D01* -X86942736Y-133208134D01* -X86938400Y-133193840D01* -X86931358Y-133180666D01* -X86921882Y-133169118D01* -X86910334Y-133159642D01* -X86897160Y-133152600D01* -X86882866Y-133148264D01* -X86868000Y-133146800D01* -X86487000Y-133146800D01* -X86472134Y-133148264D01* -X86457840Y-133152600D01* -X86444666Y-133159642D01* -X86433118Y-133169118D01* -X86423642Y-133180666D01* -X86416600Y-133193840D01* -X86412264Y-133208134D01* -X86410800Y-133223000D01* -X86309200Y-133223000D01* -X86307736Y-133208134D01* -X86303400Y-133193840D01* -X86296358Y-133180666D01* -X86286882Y-133169118D01* -X86275334Y-133159642D01* -X86262160Y-133152600D01* -X86247866Y-133148264D01* -X86233000Y-133146800D01* -X85852000Y-133146800D01* -X85837134Y-133148264D01* -X85822840Y-133152600D01* -X85809666Y-133159642D01* -X85798118Y-133169118D01* -X85788642Y-133180666D01* -X85781600Y-133193840D01* -X85777264Y-133208134D01* -X85775800Y-133223000D01* -X84404200Y-133223000D01* -X84402736Y-133208134D01* -X84398400Y-133193840D01* -X84391358Y-133180666D01* -X84381882Y-133169118D01* -X84370334Y-133159642D01* -X84357160Y-133152600D01* -X84342866Y-133148264D01* -X84328000Y-133146800D01* -X83947000Y-133146800D01* -X83932134Y-133148264D01* -X83917840Y-133152600D01* -X83904666Y-133159642D01* -X83893118Y-133169118D01* -X83883642Y-133180666D01* -X83876600Y-133193840D01* -X83872264Y-133208134D01* -X83870800Y-133223000D01* -X83769200Y-133223000D01* -X83767736Y-133208134D01* -X83763400Y-133193840D01* -X83756358Y-133180666D01* -X83746882Y-133169118D01* -X83735334Y-133159642D01* -X83722160Y-133152600D01* -X83707866Y-133148264D01* -X83693000Y-133146800D01* -X83312000Y-133146800D01* -X83297134Y-133148264D01* -X83282840Y-133152600D01* -X83269666Y-133159642D01* -X83258118Y-133169118D01* -X83248642Y-133180666D01* -X83241600Y-133193840D01* -X83237264Y-133208134D01* -X83235800Y-133223000D01* -X81864200Y-133223000D01* -X81862736Y-133208134D01* -X81858400Y-133193840D01* -X81851358Y-133180666D01* -X81841882Y-133169118D01* -X81830334Y-133159642D01* -X81817160Y-133152600D01* -X81802866Y-133148264D01* -X81788000Y-133146800D01* -X81407000Y-133146800D01* -X81392134Y-133148264D01* -X81377840Y-133152600D01* -X81364666Y-133159642D01* -X81353118Y-133169118D01* -X81343642Y-133180666D01* -X81336600Y-133193840D01* -X81332264Y-133208134D01* -X81330800Y-133223000D01* -X81229200Y-133223000D01* -X81227736Y-133208134D01* -X81223400Y-133193840D01* -X81216358Y-133180666D01* -X81206882Y-133169118D01* -X81195334Y-133159642D01* -X81182160Y-133152600D01* -X81167866Y-133148264D01* -X81153000Y-133146800D01* -X80772000Y-133146800D01* -X80757134Y-133148264D01* -X80742840Y-133152600D01* -X80729666Y-133159642D01* -X80718118Y-133169118D01* -X80708642Y-133180666D01* -X80701600Y-133193840D01* -X80697264Y-133208134D01* -X80695800Y-133223000D01* -X79324200Y-133223000D01* -X79322736Y-133208134D01* -X79318400Y-133193840D01* -X79311358Y-133180666D01* -X79301882Y-133169118D01* -X79290334Y-133159642D01* -X79277160Y-133152600D01* -X79262866Y-133148264D01* -X79248000Y-133146800D01* -X78867000Y-133146800D01* -X78852134Y-133148264D01* -X78837840Y-133152600D01* -X78824666Y-133159642D01* -X78813118Y-133169118D01* -X78803642Y-133180666D01* -X78796600Y-133193840D01* -X78792264Y-133208134D01* -X78790800Y-133223000D01* -X78689200Y-133223000D01* -X78687736Y-133208134D01* -X78683400Y-133193840D01* -X78676358Y-133180666D01* -X78666882Y-133169118D01* -X78655334Y-133159642D01* -X78642160Y-133152600D01* -X78627866Y-133148264D01* -X78613000Y-133146800D01* -X78232000Y-133146800D01* -X78217134Y-133148264D01* -X78202840Y-133152600D01* -X78189666Y-133159642D01* -X78178118Y-133169118D01* -X78168642Y-133180666D01* -X78161600Y-133193840D01* -X78157264Y-133208134D01* -X78155800Y-133223000D01* -X74498200Y-133223000D01* -X74498200Y-132588000D01* -X78155800Y-132588000D01* -X78155800Y-132969000D01* -X78157264Y-132983866D01* -X78161600Y-132998160D01* -X78168642Y-133011334D01* -X78178118Y-133022882D01* -X78189666Y-133032358D01* -X78202840Y-133039400D01* -X78217134Y-133043736D01* -X78232000Y-133045200D01* -X78613000Y-133045200D01* -X78627866Y-133043736D01* -X78642160Y-133039400D01* -X78655334Y-133032358D01* -X78666882Y-133022882D01* -X78676358Y-133011334D01* -X78683400Y-132998160D01* -X78687736Y-132983866D01* -X78689200Y-132969000D01* -X78689200Y-132588000D01* -X78790800Y-132588000D01* -X78790800Y-132969000D01* -X78792264Y-132983866D01* -X78796600Y-132998160D01* -X78803642Y-133011334D01* -X78813118Y-133022882D01* -X78824666Y-133032358D01* -X78837840Y-133039400D01* -X78852134Y-133043736D01* -X78867000Y-133045200D01* -X79248000Y-133045200D01* -X79262866Y-133043736D01* -X79277160Y-133039400D01* -X79290334Y-133032358D01* -X79301882Y-133022882D01* -X79311358Y-133011334D01* -X79318400Y-132998160D01* -X79322736Y-132983866D01* -X79324200Y-132969000D01* -X79324200Y-132588000D01* -X80695800Y-132588000D01* -X80695800Y-132969000D01* -X80697264Y-132983866D01* -X80701600Y-132998160D01* -X80708642Y-133011334D01* -X80718118Y-133022882D01* -X80729666Y-133032358D01* -X80742840Y-133039400D01* -X80757134Y-133043736D01* -X80772000Y-133045200D01* -X81153000Y-133045200D01* -X81167866Y-133043736D01* -X81182160Y-133039400D01* -X81195334Y-133032358D01* -X81206882Y-133022882D01* -X81216358Y-133011334D01* -X81223400Y-132998160D01* -X81227736Y-132983866D01* -X81229200Y-132969000D01* -X81229200Y-132588000D01* -X81330800Y-132588000D01* -X81330800Y-132969000D01* -X81332264Y-132983866D01* -X81336600Y-132998160D01* -X81343642Y-133011334D01* -X81353118Y-133022882D01* -X81364666Y-133032358D01* -X81377840Y-133039400D01* -X81392134Y-133043736D01* -X81407000Y-133045200D01* -X81788000Y-133045200D01* -X81802866Y-133043736D01* -X81817160Y-133039400D01* -X81830334Y-133032358D01* -X81841882Y-133022882D01* -X81851358Y-133011334D01* -X81858400Y-132998160D01* -X81862736Y-132983866D01* -X81864200Y-132969000D01* -X81864200Y-132588000D01* -X83235800Y-132588000D01* -X83235800Y-132969000D01* -X83237264Y-132983866D01* -X83241600Y-132998160D01* -X83248642Y-133011334D01* -X83258118Y-133022882D01* -X83269666Y-133032358D01* -X83282840Y-133039400D01* -X83297134Y-133043736D01* -X83312000Y-133045200D01* -X83693000Y-133045200D01* -X83707866Y-133043736D01* -X83722160Y-133039400D01* -X83735334Y-133032358D01* -X83746882Y-133022882D01* -X83756358Y-133011334D01* -X83763400Y-132998160D01* -X83767736Y-132983866D01* -X83769200Y-132969000D01* -X83769200Y-132588000D01* -X83870800Y-132588000D01* -X83870800Y-132969000D01* -X83872264Y-132983866D01* -X83876600Y-132998160D01* -X83883642Y-133011334D01* -X83893118Y-133022882D01* -X83904666Y-133032358D01* -X83917840Y-133039400D01* -X83932134Y-133043736D01* -X83947000Y-133045200D01* -X84328000Y-133045200D01* -X84342866Y-133043736D01* -X84357160Y-133039400D01* -X84370334Y-133032358D01* -X84381882Y-133022882D01* -X84391358Y-133011334D01* -X84398400Y-132998160D01* -X84402736Y-132983866D01* -X84404200Y-132969000D01* -X84404200Y-132588000D01* -X85775800Y-132588000D01* -X85775800Y-132969000D01* -X85777264Y-132983866D01* -X85781600Y-132998160D01* -X85788642Y-133011334D01* -X85798118Y-133022882D01* -X85809666Y-133032358D01* -X85822840Y-133039400D01* -X85837134Y-133043736D01* -X85852000Y-133045200D01* -X86233000Y-133045200D01* -X86247866Y-133043736D01* -X86262160Y-133039400D01* -X86275334Y-133032358D01* -X86286882Y-133022882D01* -X86296358Y-133011334D01* -X86303400Y-132998160D01* -X86307736Y-132983866D01* -X86309200Y-132969000D01* -X86309200Y-132588000D01* -X86410800Y-132588000D01* -X86410800Y-132969000D01* -X86412264Y-132983866D01* -X86416600Y-132998160D01* -X86423642Y-133011334D01* -X86433118Y-133022882D01* -X86444666Y-133032358D01* -X86457840Y-133039400D01* -X86472134Y-133043736D01* -X86487000Y-133045200D01* -X86868000Y-133045200D01* -X86882866Y-133043736D01* -X86897160Y-133039400D01* -X86910334Y-133032358D01* -X86921882Y-133022882D01* -X86931358Y-133011334D01* -X86938400Y-132998160D01* -X86942736Y-132983866D01* -X86944200Y-132969000D01* -X86944200Y-132588000D01* -X88315800Y-132588000D01* -X88315800Y-132969000D01* -X88317264Y-132983866D01* -X88321600Y-132998160D01* -X88328642Y-133011334D01* -X88338118Y-133022882D01* -X88349666Y-133032358D01* -X88362840Y-133039400D01* -X88377134Y-133043736D01* -X88392000Y-133045200D01* -X88773000Y-133045200D01* -X88787866Y-133043736D01* -X88802160Y-133039400D01* -X88815334Y-133032358D01* -X88826882Y-133022882D01* -X88836358Y-133011334D01* -X88843400Y-132998160D01* -X88847736Y-132983866D01* -X88849200Y-132969000D01* -X88849200Y-132588000D01* -X88950800Y-132588000D01* -X88950800Y-132969000D01* -X88952264Y-132983866D01* -X88956600Y-132998160D01* -X88963642Y-133011334D01* -X88973118Y-133022882D01* -X88984666Y-133032358D01* -X88997840Y-133039400D01* -X89012134Y-133043736D01* -X89027000Y-133045200D01* -X89408000Y-133045200D01* -X89422866Y-133043736D01* -X89437160Y-133039400D01* -X89450334Y-133032358D01* -X89461882Y-133022882D01* -X89471358Y-133011334D01* -X89478400Y-132998160D01* -X89482736Y-132983866D01* -X89484200Y-132969000D01* -X89484200Y-132588000D01* -X90855800Y-132588000D01* -X90855800Y-132969000D01* -X90857264Y-132983866D01* -X90861600Y-132998160D01* -X90868642Y-133011334D01* -X90878118Y-133022882D01* -X90889666Y-133032358D01* -X90902840Y-133039400D01* -X90917134Y-133043736D01* -X90932000Y-133045200D01* -X91313000Y-133045200D01* -X91327866Y-133043736D01* -X91342160Y-133039400D01* -X91355334Y-133032358D01* -X91366882Y-133022882D01* -X91376358Y-133011334D01* -X91383400Y-132998160D01* -X91387736Y-132983866D01* -X91389200Y-132969000D01* -X91389200Y-132588000D01* -X91490800Y-132588000D01* -X91490800Y-132969000D01* -X91492264Y-132983866D01* -X91496600Y-132998160D01* -X91503642Y-133011334D01* -X91513118Y-133022882D01* -X91524666Y-133032358D01* -X91537840Y-133039400D01* -X91552134Y-133043736D01* -X91567000Y-133045200D01* -X91948000Y-133045200D01* -X91962866Y-133043736D01* -X91977160Y-133039400D01* -X91990334Y-133032358D01* -X92001882Y-133022882D01* -X92011358Y-133011334D01* -X92018400Y-132998160D01* -X92022736Y-132983866D01* -X92024200Y-132969000D01* -X92024200Y-132588000D01* -X93395800Y-132588000D01* -X93395800Y-132969000D01* -X93397264Y-132983866D01* -X93401600Y-132998160D01* -X93408642Y-133011334D01* -X93418118Y-133022882D01* -X93429666Y-133032358D01* -X93442840Y-133039400D01* -X93457134Y-133043736D01* -X93472000Y-133045200D01* -X93853000Y-133045200D01* -X93867866Y-133043736D01* -X93882160Y-133039400D01* -X93895334Y-133032358D01* -X93906882Y-133022882D01* -X93916358Y-133011334D01* -X93923400Y-132998160D01* -X93927736Y-132983866D01* -X93929200Y-132969000D01* -X93929200Y-132588000D01* -X94030800Y-132588000D01* -X94030800Y-132969000D01* -X94032264Y-132983866D01* -X94036600Y-132998160D01* -X94043642Y-133011334D01* -X94053118Y-133022882D01* -X94064666Y-133032358D01* -X94077840Y-133039400D01* -X94092134Y-133043736D01* -X94107000Y-133045200D01* -X94488000Y-133045200D01* -X94502866Y-133043736D01* -X94517160Y-133039400D01* -X94530334Y-133032358D01* -X94541882Y-133022882D01* -X94551358Y-133011334D01* -X94558400Y-132998160D01* -X94562736Y-132983866D01* -X94564200Y-132969000D01* -X94564200Y-132588000D01* -X95935800Y-132588000D01* -X95935800Y-132969000D01* -X95937264Y-132983866D01* -X95941600Y-132998160D01* -X95948642Y-133011334D01* -X95958118Y-133022882D01* -X95969666Y-133032358D01* -X95982840Y-133039400D01* -X95997134Y-133043736D01* -X96012000Y-133045200D01* -X96393000Y-133045200D01* -X96407866Y-133043736D01* -X96422160Y-133039400D01* -X96435334Y-133032358D01* -X96446882Y-133022882D01* -X96456358Y-133011334D01* -X96463400Y-132998160D01* -X96467736Y-132983866D01* -X96469200Y-132969000D01* -X96469200Y-132588000D01* -X96570800Y-132588000D01* -X96570800Y-132969000D01* -X96572264Y-132983866D01* -X96576600Y-132998160D01* -X96583642Y-133011334D01* -X96593118Y-133022882D01* -X96604666Y-133032358D01* -X96617840Y-133039400D01* -X96632134Y-133043736D01* -X96647000Y-133045200D01* -X97028000Y-133045200D01* -X97042866Y-133043736D01* -X97057160Y-133039400D01* -X97070334Y-133032358D01* -X97081882Y-133022882D01* -X97091358Y-133011334D01* -X97098400Y-132998160D01* -X97102736Y-132983866D01* -X97104200Y-132969000D01* -X97104200Y-132588000D01* -X98475800Y-132588000D01* -X98475800Y-132969000D01* -X98477264Y-132983866D01* -X98481600Y-132998160D01* -X98488642Y-133011334D01* -X98498118Y-133022882D01* -X98509666Y-133032358D01* -X98522840Y-133039400D01* -X98537134Y-133043736D01* -X98552000Y-133045200D01* -X98933000Y-133045200D01* -X98947866Y-133043736D01* -X98962160Y-133039400D01* -X98975334Y-133032358D01* -X98986882Y-133022882D01* -X98996358Y-133011334D01* -X99003400Y-132998160D01* -X99007736Y-132983866D01* -X99009200Y-132969000D01* -X99009200Y-132588000D01* -X99110800Y-132588000D01* -X99110800Y-132969000D01* -X99112264Y-132983866D01* -X99116600Y-132998160D01* -X99123642Y-133011334D01* -X99133118Y-133022882D01* -X99144666Y-133032358D01* -X99157840Y-133039400D01* -X99172134Y-133043736D01* -X99187000Y-133045200D01* -X99568000Y-133045200D01* -X99582866Y-133043736D01* -X99597160Y-133039400D01* -X99610334Y-133032358D01* -X99621882Y-133022882D01* -X99631358Y-133011334D01* -X99638400Y-132998160D01* -X99642736Y-132983866D01* -X99644200Y-132969000D01* -X99644200Y-132588000D01* -X101015800Y-132588000D01* -X101015800Y-132969000D01* -X101017264Y-132983866D01* -X101021600Y-132998160D01* -X101028642Y-133011334D01* -X101038118Y-133022882D01* -X101049666Y-133032358D01* -X101062840Y-133039400D01* -X101077134Y-133043736D01* -X101092000Y-133045200D01* -X101473000Y-133045200D01* -X101487866Y-133043736D01* -X101502160Y-133039400D01* -X101515334Y-133032358D01* -X101526882Y-133022882D01* -X101536358Y-133011334D01* -X101543400Y-132998160D01* -X101547736Y-132983866D01* -X101549200Y-132969000D01* -X101549200Y-132588000D01* -X101650800Y-132588000D01* -X101650800Y-132969000D01* -X101652264Y-132983866D01* -X101656600Y-132998160D01* -X101663642Y-133011334D01* -X101673118Y-133022882D01* -X101684666Y-133032358D01* -X101697840Y-133039400D01* -X101712134Y-133043736D01* -X101727000Y-133045200D01* -X102108000Y-133045200D01* -X102122866Y-133043736D01* -X102137160Y-133039400D01* -X102150334Y-133032358D01* -X102161882Y-133022882D01* -X102171358Y-133011334D01* -X102178400Y-132998160D01* -X102182736Y-132983866D01* -X102184200Y-132969000D01* -X102184200Y-132588000D01* -X103555800Y-132588000D01* -X103555800Y-132969000D01* -X103557264Y-132983866D01* -X103561600Y-132998160D01* -X103568642Y-133011334D01* -X103578118Y-133022882D01* -X103589666Y-133032358D01* -X103602840Y-133039400D01* -X103617134Y-133043736D01* -X103632000Y-133045200D01* -X104013000Y-133045200D01* -X104027866Y-133043736D01* -X104042160Y-133039400D01* -X104055334Y-133032358D01* -X104066882Y-133022882D01* -X104076358Y-133011334D01* -X104083400Y-132998160D01* -X104087736Y-132983866D01* -X104089200Y-132969000D01* -X104089200Y-132588000D01* -X104190800Y-132588000D01* -X104190800Y-132969000D01* -X104192264Y-132983866D01* -X104196600Y-132998160D01* -X104203642Y-133011334D01* -X104213118Y-133022882D01* -X104224666Y-133032358D01* -X104237840Y-133039400D01* -X104252134Y-133043736D01* -X104267000Y-133045200D01* -X104648000Y-133045200D01* -X104662866Y-133043736D01* -X104677160Y-133039400D01* -X104690334Y-133032358D01* -X104701882Y-133022882D01* -X104711358Y-133011334D01* -X104718400Y-132998160D01* -X104722736Y-132983866D01* -X104724200Y-132969000D01* -X104724200Y-132588000D01* -X106095800Y-132588000D01* -X106095800Y-132969000D01* -X106097264Y-132983866D01* -X106101600Y-132998160D01* -X106108642Y-133011334D01* -X106118118Y-133022882D01* -X106129666Y-133032358D01* -X106142840Y-133039400D01* -X106157134Y-133043736D01* -X106172000Y-133045200D01* -X106553000Y-133045200D01* -X106567866Y-133043736D01* -X106582160Y-133039400D01* -X106595334Y-133032358D01* -X106606882Y-133022882D01* -X106616358Y-133011334D01* -X106623400Y-132998160D01* -X106627736Y-132983866D01* -X106629200Y-132969000D01* -X106629200Y-132588000D01* -X106730800Y-132588000D01* -X106730800Y-132969000D01* -X106732264Y-132983866D01* -X106736600Y-132998160D01* -X106743642Y-133011334D01* -X106753118Y-133022882D01* -X106764666Y-133032358D01* -X106777840Y-133039400D01* -X106792134Y-133043736D01* -X106807000Y-133045200D01* -X107188000Y-133045200D01* -X107202866Y-133043736D01* -X107217160Y-133039400D01* -X107230334Y-133032358D01* -X107241882Y-133022882D01* -X107251358Y-133011334D01* -X107258400Y-132998160D01* -X107262736Y-132983866D01* -X107264200Y-132969000D01* -X107264200Y-132588000D01* -X108635800Y-132588000D01* -X108635800Y-132969000D01* -X108637264Y-132983866D01* -X108641600Y-132998160D01* -X108648642Y-133011334D01* -X108658118Y-133022882D01* -X108669666Y-133032358D01* -X108682840Y-133039400D01* -X108697134Y-133043736D01* -X108712000Y-133045200D01* -X109093000Y-133045200D01* -X109107866Y-133043736D01* -X109122160Y-133039400D01* -X109135334Y-133032358D01* -X109146882Y-133022882D01* -X109156358Y-133011334D01* -X109163400Y-132998160D01* -X109167736Y-132983866D01* -X109169200Y-132969000D01* -X109169200Y-132588000D01* -X109270800Y-132588000D01* -X109270800Y-132969000D01* -X109272264Y-132983866D01* -X109276600Y-132998160D01* -X109283642Y-133011334D01* -X109293118Y-133022882D01* -X109304666Y-133032358D01* -X109317840Y-133039400D01* -X109332134Y-133043736D01* -X109347000Y-133045200D01* -X109728000Y-133045200D01* -X109742866Y-133043736D01* -X109757160Y-133039400D01* -X109770334Y-133032358D01* -X109781882Y-133022882D01* -X109791358Y-133011334D01* -X109798400Y-132998160D01* -X109802736Y-132983866D01* -X109804200Y-132969000D01* -X109804200Y-132588000D01* -X111175800Y-132588000D01* -X111175800Y-132969000D01* -X111177264Y-132983866D01* -X111181600Y-132998160D01* -X111188642Y-133011334D01* -X111198118Y-133022882D01* -X111209666Y-133032358D01* -X111222840Y-133039400D01* -X111237134Y-133043736D01* -X111252000Y-133045200D01* -X111633000Y-133045200D01* -X111647866Y-133043736D01* -X111662160Y-133039400D01* -X111675334Y-133032358D01* -X111686882Y-133022882D01* -X111696358Y-133011334D01* -X111703400Y-132998160D01* -X111707736Y-132983866D01* -X111709200Y-132969000D01* -X111709200Y-132588000D01* -X111810800Y-132588000D01* -X111810800Y-132969000D01* -X111812264Y-132983866D01* -X111816600Y-132998160D01* -X111823642Y-133011334D01* -X111833118Y-133022882D01* -X111844666Y-133032358D01* -X111857840Y-133039400D01* -X111872134Y-133043736D01* -X111887000Y-133045200D01* -X112268000Y-133045200D01* -X112282866Y-133043736D01* -X112297160Y-133039400D01* -X112310334Y-133032358D01* -X112321882Y-133022882D01* -X112331358Y-133011334D01* -X112338400Y-132998160D01* -X112342736Y-132983866D01* -X112344200Y-132969000D01* -X112344200Y-132588000D01* -X113715800Y-132588000D01* -X113715800Y-132969000D01* -X113717264Y-132983866D01* -X113721600Y-132998160D01* -X113728642Y-133011334D01* -X113738118Y-133022882D01* -X113749666Y-133032358D01* -X113762840Y-133039400D01* -X113777134Y-133043736D01* -X113792000Y-133045200D01* -X114173000Y-133045200D01* -X114187866Y-133043736D01* -X114202160Y-133039400D01* -X114215334Y-133032358D01* -X114226882Y-133022882D01* -X114236358Y-133011334D01* -X114243400Y-132998160D01* -X114247736Y-132983866D01* -X114249200Y-132969000D01* -X114249200Y-132588000D01* -X114350800Y-132588000D01* -X114350800Y-132969000D01* -X114352264Y-132983866D01* -X114356600Y-132998160D01* -X114363642Y-133011334D01* -X114373118Y-133022882D01* -X114384666Y-133032358D01* -X114397840Y-133039400D01* -X114412134Y-133043736D01* -X114427000Y-133045200D01* -X114808000Y-133045200D01* -X114822866Y-133043736D01* -X114837160Y-133039400D01* -X114850334Y-133032358D01* -X114861882Y-133022882D01* -X114871358Y-133011334D01* -X114878400Y-132998160D01* -X114882736Y-132983866D01* -X114884200Y-132969000D01* -X114884200Y-132588000D01* -X121335800Y-132588000D01* -X121335800Y-132969000D01* -X121337264Y-132983866D01* -X121341600Y-132998160D01* -X121348642Y-133011334D01* -X121358118Y-133022882D01* -X121369666Y-133032358D01* -X121382840Y-133039400D01* -X121397134Y-133043736D01* -X121412000Y-133045200D01* -X121793000Y-133045200D01* -X121807866Y-133043736D01* -X121822160Y-133039400D01* -X121835334Y-133032358D01* -X121846882Y-133022882D01* -X121856358Y-133011334D01* -X121863400Y-132998160D01* -X121867736Y-132983866D01* -X121869200Y-132969000D01* -X121869200Y-132588000D01* -X121970800Y-132588000D01* -X121970800Y-132969000D01* -X121972264Y-132983866D01* -X121976600Y-132998160D01* -X121983642Y-133011334D01* -X121993118Y-133022882D01* -X122004666Y-133032358D01* -X122017840Y-133039400D01* -X122032134Y-133043736D01* -X122047000Y-133045200D01* -X122428000Y-133045200D01* -X122442866Y-133043736D01* -X122457160Y-133039400D01* -X122470334Y-133032358D01* -X122481882Y-133022882D01* -X122491358Y-133011334D01* -X122498400Y-132998160D01* -X122502736Y-132983866D01* -X122504200Y-132969000D01* -X122504200Y-132588000D01* -X123875800Y-132588000D01* -X123875800Y-132969000D01* -X123877264Y-132983866D01* -X123881600Y-132998160D01* -X123888642Y-133011334D01* -X123898118Y-133022882D01* -X123909666Y-133032358D01* -X123922840Y-133039400D01* -X123937134Y-133043736D01* -X123952000Y-133045200D01* -X124333000Y-133045200D01* -X124347866Y-133043736D01* -X124362160Y-133039400D01* -X124375334Y-133032358D01* -X124386882Y-133022882D01* -X124396358Y-133011334D01* -X124403400Y-132998160D01* -X124407736Y-132983866D01* -X124409200Y-132969000D01* -X124409200Y-132588000D01* -X124510800Y-132588000D01* -X124510800Y-132969000D01* -X124512264Y-132983866D01* -X124516600Y-132998160D01* -X124523642Y-133011334D01* -X124533118Y-133022882D01* -X124544666Y-133032358D01* -X124557840Y-133039400D01* -X124572134Y-133043736D01* -X124587000Y-133045200D01* -X124968000Y-133045200D01* -X124982866Y-133043736D01* -X124997160Y-133039400D01* -X125010334Y-133032358D01* -X125021882Y-133022882D01* -X125031358Y-133011334D01* -X125038400Y-132998160D01* -X125042736Y-132983866D01* -X125044200Y-132969000D01* -X125044200Y-132588000D01* -X126415800Y-132588000D01* -X126415800Y-132969000D01* -X126417264Y-132983866D01* -X126421600Y-132998160D01* -X126428642Y-133011334D01* -X126438118Y-133022882D01* -X126449666Y-133032358D01* -X126462840Y-133039400D01* -X126477134Y-133043736D01* -X126492000Y-133045200D01* -X126873000Y-133045200D01* -X126887866Y-133043736D01* -X126902160Y-133039400D01* -X126915334Y-133032358D01* -X126926882Y-133022882D01* -X126936358Y-133011334D01* -X126943400Y-132998160D01* -X126947736Y-132983866D01* -X126949200Y-132969000D01* -X126949200Y-132588000D01* -X127050800Y-132588000D01* -X127050800Y-132969000D01* -X127052264Y-132983866D01* -X127056600Y-132998160D01* -X127063642Y-133011334D01* -X127073118Y-133022882D01* -X127084666Y-133032358D01* -X127097840Y-133039400D01* -X127112134Y-133043736D01* -X127127000Y-133045200D01* -X127508000Y-133045200D01* -X127522866Y-133043736D01* -X127537160Y-133039400D01* -X127550334Y-133032358D01* -X127561882Y-133022882D01* -X127571358Y-133011334D01* -X127578400Y-132998160D01* -X127582736Y-132983866D01* -X127584200Y-132969000D01* -X127584200Y-132588000D01* -X128955800Y-132588000D01* -X128955800Y-132969000D01* -X128957264Y-132983866D01* -X128961600Y-132998160D01* -X128968642Y-133011334D01* -X128978118Y-133022882D01* -X128989666Y-133032358D01* -X129002840Y-133039400D01* -X129017134Y-133043736D01* -X129032000Y-133045200D01* -X129413000Y-133045200D01* -X129427866Y-133043736D01* -X129442160Y-133039400D01* -X129455334Y-133032358D01* -X129466882Y-133022882D01* -X129476358Y-133011334D01* -X129483400Y-132998160D01* -X129487736Y-132983866D01* -X129489200Y-132969000D01* -X129489200Y-132588000D01* -X129590800Y-132588000D01* -X129590800Y-132969000D01* -X129592264Y-132983866D01* -X129596600Y-132998160D01* -X129603642Y-133011334D01* -X129613118Y-133022882D01* -X129624666Y-133032358D01* -X129637840Y-133039400D01* -X129652134Y-133043736D01* -X129667000Y-133045200D01* -X130048000Y-133045200D01* -X130062866Y-133043736D01* -X130077160Y-133039400D01* -X130090334Y-133032358D01* -X130101882Y-133022882D01* -X130111358Y-133011334D01* -X130118400Y-132998160D01* -X130122736Y-132983866D01* -X130124200Y-132969000D01* -X130124200Y-132588000D01* -X131495800Y-132588000D01* -X131495800Y-132969000D01* -X131497264Y-132983866D01* -X131501600Y-132998160D01* -X131508642Y-133011334D01* -X131518118Y-133022882D01* -X131529666Y-133032358D01* -X131542840Y-133039400D01* -X131557134Y-133043736D01* -X131572000Y-133045200D01* -X131953000Y-133045200D01* -X131967866Y-133043736D01* -X131982160Y-133039400D01* -X131995334Y-133032358D01* -X132006882Y-133022882D01* -X132016358Y-133011334D01* -X132023400Y-132998160D01* -X132027736Y-132983866D01* -X132029200Y-132969000D01* -X132029200Y-132588000D01* -X132130800Y-132588000D01* -X132130800Y-132969000D01* -X132132264Y-132983866D01* -X132136600Y-132998160D01* -X132143642Y-133011334D01* -X132153118Y-133022882D01* -X132164666Y-133032358D01* -X132177840Y-133039400D01* -X132192134Y-133043736D01* -X132207000Y-133045200D01* -X132588000Y-133045200D01* -X132602866Y-133043736D01* -X132617160Y-133039400D01* -X132630334Y-133032358D01* -X132641882Y-133022882D01* -X132651358Y-133011334D01* -X132658400Y-132998160D01* -X132662736Y-132983866D01* -X132664200Y-132969000D01* -X132664200Y-132588000D01* -X134035800Y-132588000D01* -X134035800Y-132969000D01* -X134037264Y-132983866D01* -X134041600Y-132998160D01* -X134048642Y-133011334D01* -X134058118Y-133022882D01* -X134069666Y-133032358D01* -X134082840Y-133039400D01* -X134097134Y-133043736D01* -X134112000Y-133045200D01* -X134493000Y-133045200D01* -X134507866Y-133043736D01* -X134522160Y-133039400D01* -X134535334Y-133032358D01* -X134546882Y-133022882D01* -X134556358Y-133011334D01* -X134563400Y-132998160D01* -X134567736Y-132983866D01* -X134569200Y-132969000D01* -X134569200Y-132588000D01* -X134670800Y-132588000D01* -X134670800Y-132969000D01* -X134672264Y-132983866D01* -X134676600Y-132998160D01* -X134683642Y-133011334D01* -X134693118Y-133022882D01* -X134704666Y-133032358D01* -X134717840Y-133039400D01* -X134732134Y-133043736D01* -X134747000Y-133045200D01* -X135128000Y-133045200D01* -X135142866Y-133043736D01* -X135157160Y-133039400D01* -X135170334Y-133032358D01* -X135181882Y-133022882D01* -X135191358Y-133011334D01* -X135198400Y-132998160D01* -X135202736Y-132983866D01* -X135204200Y-132969000D01* -X135204200Y-132588000D01* -X135202736Y-132573134D01* -X135198400Y-132558840D01* -X135191358Y-132545666D01* -X135181882Y-132534118D01* -X135170334Y-132524642D01* -X135157160Y-132517600D01* -X135142866Y-132513264D01* -X135128000Y-132511800D01* -X134747000Y-132511800D01* -X134732134Y-132513264D01* -X134717840Y-132517600D01* -X134704666Y-132524642D01* -X134693118Y-132534118D01* -X134683642Y-132545666D01* -X134676600Y-132558840D01* -X134672264Y-132573134D01* -X134670800Y-132588000D01* -X134569200Y-132588000D01* -X134567736Y-132573134D01* -X134563400Y-132558840D01* -X134556358Y-132545666D01* -X134546882Y-132534118D01* -X134535334Y-132524642D01* -X134522160Y-132517600D01* -X134507866Y-132513264D01* -X134493000Y-132511800D01* -X134112000Y-132511800D01* -X134097134Y-132513264D01* -X134082840Y-132517600D01* -X134069666Y-132524642D01* -X134058118Y-132534118D01* -X134048642Y-132545666D01* -X134041600Y-132558840D01* -X134037264Y-132573134D01* -X134035800Y-132588000D01* -X132664200Y-132588000D01* -X132662736Y-132573134D01* -X132658400Y-132558840D01* -X132651358Y-132545666D01* -X132641882Y-132534118D01* -X132630334Y-132524642D01* -X132617160Y-132517600D01* -X132602866Y-132513264D01* -X132588000Y-132511800D01* -X132207000Y-132511800D01* -X132192134Y-132513264D01* -X132177840Y-132517600D01* -X132164666Y-132524642D01* -X132153118Y-132534118D01* -X132143642Y-132545666D01* -X132136600Y-132558840D01* -X132132264Y-132573134D01* -X132130800Y-132588000D01* -X132029200Y-132588000D01* -X132027736Y-132573134D01* -X132023400Y-132558840D01* -X132016358Y-132545666D01* -X132006882Y-132534118D01* -X131995334Y-132524642D01* -X131982160Y-132517600D01* -X131967866Y-132513264D01* -X131953000Y-132511800D01* -X131572000Y-132511800D01* -X131557134Y-132513264D01* -X131542840Y-132517600D01* -X131529666Y-132524642D01* -X131518118Y-132534118D01* -X131508642Y-132545666D01* -X131501600Y-132558840D01* -X131497264Y-132573134D01* -X131495800Y-132588000D01* -X130124200Y-132588000D01* -X130122736Y-132573134D01* -X130118400Y-132558840D01* -X130111358Y-132545666D01* -X130101882Y-132534118D01* -X130090334Y-132524642D01* -X130077160Y-132517600D01* -X130062866Y-132513264D01* -X130048000Y-132511800D01* -X129667000Y-132511800D01* -X129652134Y-132513264D01* -X129637840Y-132517600D01* -X129624666Y-132524642D01* -X129613118Y-132534118D01* -X129603642Y-132545666D01* -X129596600Y-132558840D01* -X129592264Y-132573134D01* -X129590800Y-132588000D01* -X129489200Y-132588000D01* -X129487736Y-132573134D01* -X129483400Y-132558840D01* -X129476358Y-132545666D01* -X129466882Y-132534118D01* -X129455334Y-132524642D01* -X129442160Y-132517600D01* -X129427866Y-132513264D01* -X129413000Y-132511800D01* -X129032000Y-132511800D01* -X129017134Y-132513264D01* -X129002840Y-132517600D01* -X128989666Y-132524642D01* -X128978118Y-132534118D01* -X128968642Y-132545666D01* -X128961600Y-132558840D01* -X128957264Y-132573134D01* -X128955800Y-132588000D01* -X127584200Y-132588000D01* -X127582736Y-132573134D01* -X127578400Y-132558840D01* -X127571358Y-132545666D01* -X127561882Y-132534118D01* -X127550334Y-132524642D01* -X127537160Y-132517600D01* -X127522866Y-132513264D01* -X127508000Y-132511800D01* -X127127000Y-132511800D01* -X127112134Y-132513264D01* -X127097840Y-132517600D01* -X127084666Y-132524642D01* -X127073118Y-132534118D01* -X127063642Y-132545666D01* -X127056600Y-132558840D01* -X127052264Y-132573134D01* -X127050800Y-132588000D01* -X126949200Y-132588000D01* -X126947736Y-132573134D01* -X126943400Y-132558840D01* -X126936358Y-132545666D01* -X126926882Y-132534118D01* -X126915334Y-132524642D01* -X126902160Y-132517600D01* -X126887866Y-132513264D01* -X126873000Y-132511800D01* -X126492000Y-132511800D01* -X126477134Y-132513264D01* -X126462840Y-132517600D01* -X126449666Y-132524642D01* -X126438118Y-132534118D01* -X126428642Y-132545666D01* -X126421600Y-132558840D01* -X126417264Y-132573134D01* -X126415800Y-132588000D01* -X125044200Y-132588000D01* -X125042736Y-132573134D01* -X125038400Y-132558840D01* -X125031358Y-132545666D01* -X125021882Y-132534118D01* -X125010334Y-132524642D01* -X124997160Y-132517600D01* -X124982866Y-132513264D01* -X124968000Y-132511800D01* -X124587000Y-132511800D01* -X124572134Y-132513264D01* -X124557840Y-132517600D01* -X124544666Y-132524642D01* -X124533118Y-132534118D01* -X124523642Y-132545666D01* -X124516600Y-132558840D01* -X124512264Y-132573134D01* -X124510800Y-132588000D01* -X124409200Y-132588000D01* -X124407736Y-132573134D01* -X124403400Y-132558840D01* -X124396358Y-132545666D01* -X124386882Y-132534118D01* -X124375334Y-132524642D01* -X124362160Y-132517600D01* -X124347866Y-132513264D01* -X124333000Y-132511800D01* -X123952000Y-132511800D01* -X123937134Y-132513264D01* -X123922840Y-132517600D01* -X123909666Y-132524642D01* -X123898118Y-132534118D01* -X123888642Y-132545666D01* -X123881600Y-132558840D01* -X123877264Y-132573134D01* -X123875800Y-132588000D01* -X122504200Y-132588000D01* -X122502736Y-132573134D01* -X122498400Y-132558840D01* -X122491358Y-132545666D01* -X122481882Y-132534118D01* -X122470334Y-132524642D01* -X122457160Y-132517600D01* -X122442866Y-132513264D01* -X122428000Y-132511800D01* -X122047000Y-132511800D01* -X122032134Y-132513264D01* -X122017840Y-132517600D01* -X122004666Y-132524642D01* -X121993118Y-132534118D01* -X121983642Y-132545666D01* -X121976600Y-132558840D01* -X121972264Y-132573134D01* -X121970800Y-132588000D01* -X121869200Y-132588000D01* -X121867736Y-132573134D01* -X121863400Y-132558840D01* -X121856358Y-132545666D01* -X121846882Y-132534118D01* -X121835334Y-132524642D01* -X121822160Y-132517600D01* -X121807866Y-132513264D01* -X121793000Y-132511800D01* -X121412000Y-132511800D01* -X121397134Y-132513264D01* -X121382840Y-132517600D01* -X121369666Y-132524642D01* -X121358118Y-132534118D01* -X121348642Y-132545666D01* -X121341600Y-132558840D01* -X121337264Y-132573134D01* -X121335800Y-132588000D01* -X114884200Y-132588000D01* -X114882736Y-132573134D01* -X114878400Y-132558840D01* -X114871358Y-132545666D01* -X114861882Y-132534118D01* -X114850334Y-132524642D01* -X114837160Y-132517600D01* -X114822866Y-132513264D01* -X114808000Y-132511800D01* -X114427000Y-132511800D01* -X114412134Y-132513264D01* -X114397840Y-132517600D01* -X114384666Y-132524642D01* -X114373118Y-132534118D01* -X114363642Y-132545666D01* -X114356600Y-132558840D01* -X114352264Y-132573134D01* -X114350800Y-132588000D01* -X114249200Y-132588000D01* -X114247736Y-132573134D01* -X114243400Y-132558840D01* -X114236358Y-132545666D01* -X114226882Y-132534118D01* -X114215334Y-132524642D01* -X114202160Y-132517600D01* -X114187866Y-132513264D01* -X114173000Y-132511800D01* -X113792000Y-132511800D01* -X113777134Y-132513264D01* -X113762840Y-132517600D01* -X113749666Y-132524642D01* -X113738118Y-132534118D01* -X113728642Y-132545666D01* -X113721600Y-132558840D01* -X113717264Y-132573134D01* -X113715800Y-132588000D01* -X112344200Y-132588000D01* -X112342736Y-132573134D01* -X112338400Y-132558840D01* -X112331358Y-132545666D01* -X112321882Y-132534118D01* -X112310334Y-132524642D01* -X112297160Y-132517600D01* -X112282866Y-132513264D01* -X112268000Y-132511800D01* -X111887000Y-132511800D01* -X111872134Y-132513264D01* -X111857840Y-132517600D01* -X111844666Y-132524642D01* -X111833118Y-132534118D01* -X111823642Y-132545666D01* -X111816600Y-132558840D01* -X111812264Y-132573134D01* -X111810800Y-132588000D01* -X111709200Y-132588000D01* -X111707736Y-132573134D01* -X111703400Y-132558840D01* -X111696358Y-132545666D01* -X111686882Y-132534118D01* -X111675334Y-132524642D01* -X111662160Y-132517600D01* -X111647866Y-132513264D01* -X111633000Y-132511800D01* -X111252000Y-132511800D01* -X111237134Y-132513264D01* -X111222840Y-132517600D01* -X111209666Y-132524642D01* -X111198118Y-132534118D01* -X111188642Y-132545666D01* -X111181600Y-132558840D01* -X111177264Y-132573134D01* -X111175800Y-132588000D01* -X109804200Y-132588000D01* -X109802736Y-132573134D01* -X109798400Y-132558840D01* -X109791358Y-132545666D01* -X109781882Y-132534118D01* -X109770334Y-132524642D01* -X109757160Y-132517600D01* -X109742866Y-132513264D01* -X109728000Y-132511800D01* -X109347000Y-132511800D01* -X109332134Y-132513264D01* -X109317840Y-132517600D01* -X109304666Y-132524642D01* -X109293118Y-132534118D01* -X109283642Y-132545666D01* -X109276600Y-132558840D01* -X109272264Y-132573134D01* -X109270800Y-132588000D01* -X109169200Y-132588000D01* -X109167736Y-132573134D01* -X109163400Y-132558840D01* -X109156358Y-132545666D01* -X109146882Y-132534118D01* -X109135334Y-132524642D01* -X109122160Y-132517600D01* -X109107866Y-132513264D01* -X109093000Y-132511800D01* -X108712000Y-132511800D01* -X108697134Y-132513264D01* -X108682840Y-132517600D01* -X108669666Y-132524642D01* -X108658118Y-132534118D01* -X108648642Y-132545666D01* -X108641600Y-132558840D01* -X108637264Y-132573134D01* -X108635800Y-132588000D01* -X107264200Y-132588000D01* -X107262736Y-132573134D01* -X107258400Y-132558840D01* -X107251358Y-132545666D01* -X107241882Y-132534118D01* -X107230334Y-132524642D01* -X107217160Y-132517600D01* -X107202866Y-132513264D01* -X107188000Y-132511800D01* -X106807000Y-132511800D01* -X106792134Y-132513264D01* -X106777840Y-132517600D01* -X106764666Y-132524642D01* -X106753118Y-132534118D01* -X106743642Y-132545666D01* -X106736600Y-132558840D01* -X106732264Y-132573134D01* -X106730800Y-132588000D01* -X106629200Y-132588000D01* -X106627736Y-132573134D01* -X106623400Y-132558840D01* -X106616358Y-132545666D01* -X106606882Y-132534118D01* -X106595334Y-132524642D01* -X106582160Y-132517600D01* -X106567866Y-132513264D01* -X106553000Y-132511800D01* -X106172000Y-132511800D01* -X106157134Y-132513264D01* -X106142840Y-132517600D01* -X106129666Y-132524642D01* -X106118118Y-132534118D01* -X106108642Y-132545666D01* -X106101600Y-132558840D01* -X106097264Y-132573134D01* -X106095800Y-132588000D01* -X104724200Y-132588000D01* -X104722736Y-132573134D01* -X104718400Y-132558840D01* -X104711358Y-132545666D01* -X104701882Y-132534118D01* -X104690334Y-132524642D01* -X104677160Y-132517600D01* -X104662866Y-132513264D01* -X104648000Y-132511800D01* -X104267000Y-132511800D01* -X104252134Y-132513264D01* -X104237840Y-132517600D01* -X104224666Y-132524642D01* -X104213118Y-132534118D01* -X104203642Y-132545666D01* -X104196600Y-132558840D01* -X104192264Y-132573134D01* -X104190800Y-132588000D01* -X104089200Y-132588000D01* -X104087736Y-132573134D01* -X104083400Y-132558840D01* -X104076358Y-132545666D01* -X104066882Y-132534118D01* -X104055334Y-132524642D01* -X104042160Y-132517600D01* -X104027866Y-132513264D01* -X104013000Y-132511800D01* -X103632000Y-132511800D01* -X103617134Y-132513264D01* -X103602840Y-132517600D01* -X103589666Y-132524642D01* -X103578118Y-132534118D01* -X103568642Y-132545666D01* -X103561600Y-132558840D01* -X103557264Y-132573134D01* -X103555800Y-132588000D01* -X102184200Y-132588000D01* -X102182736Y-132573134D01* -X102178400Y-132558840D01* -X102171358Y-132545666D01* -X102161882Y-132534118D01* -X102150334Y-132524642D01* -X102137160Y-132517600D01* -X102122866Y-132513264D01* -X102108000Y-132511800D01* -X101727000Y-132511800D01* -X101712134Y-132513264D01* -X101697840Y-132517600D01* -X101684666Y-132524642D01* -X101673118Y-132534118D01* -X101663642Y-132545666D01* -X101656600Y-132558840D01* -X101652264Y-132573134D01* -X101650800Y-132588000D01* -X101549200Y-132588000D01* -X101547736Y-132573134D01* -X101543400Y-132558840D01* -X101536358Y-132545666D01* -X101526882Y-132534118D01* -X101515334Y-132524642D01* -X101502160Y-132517600D01* -X101487866Y-132513264D01* -X101473000Y-132511800D01* -X101092000Y-132511800D01* -X101077134Y-132513264D01* -X101062840Y-132517600D01* -X101049666Y-132524642D01* -X101038118Y-132534118D01* -X101028642Y-132545666D01* -X101021600Y-132558840D01* -X101017264Y-132573134D01* -X101015800Y-132588000D01* -X99644200Y-132588000D01* -X99642736Y-132573134D01* -X99638400Y-132558840D01* -X99631358Y-132545666D01* -X99621882Y-132534118D01* -X99610334Y-132524642D01* -X99597160Y-132517600D01* -X99582866Y-132513264D01* -X99568000Y-132511800D01* -X99187000Y-132511800D01* -X99172134Y-132513264D01* -X99157840Y-132517600D01* -X99144666Y-132524642D01* -X99133118Y-132534118D01* -X99123642Y-132545666D01* -X99116600Y-132558840D01* -X99112264Y-132573134D01* -X99110800Y-132588000D01* -X99009200Y-132588000D01* -X99007736Y-132573134D01* -X99003400Y-132558840D01* -X98996358Y-132545666D01* -X98986882Y-132534118D01* -X98975334Y-132524642D01* -X98962160Y-132517600D01* -X98947866Y-132513264D01* -X98933000Y-132511800D01* -X98552000Y-132511800D01* -X98537134Y-132513264D01* -X98522840Y-132517600D01* -X98509666Y-132524642D01* -X98498118Y-132534118D01* -X98488642Y-132545666D01* -X98481600Y-132558840D01* -X98477264Y-132573134D01* -X98475800Y-132588000D01* -X97104200Y-132588000D01* -X97102736Y-132573134D01* -X97098400Y-132558840D01* -X97091358Y-132545666D01* -X97081882Y-132534118D01* -X97070334Y-132524642D01* -X97057160Y-132517600D01* -X97042866Y-132513264D01* -X97028000Y-132511800D01* -X96647000Y-132511800D01* -X96632134Y-132513264D01* -X96617840Y-132517600D01* -X96604666Y-132524642D01* -X96593118Y-132534118D01* -X96583642Y-132545666D01* -X96576600Y-132558840D01* -X96572264Y-132573134D01* -X96570800Y-132588000D01* -X96469200Y-132588000D01* -X96467736Y-132573134D01* -X96463400Y-132558840D01* -X96456358Y-132545666D01* -X96446882Y-132534118D01* -X96435334Y-132524642D01* -X96422160Y-132517600D01* -X96407866Y-132513264D01* -X96393000Y-132511800D01* -X96012000Y-132511800D01* -X95997134Y-132513264D01* -X95982840Y-132517600D01* -X95969666Y-132524642D01* -X95958118Y-132534118D01* -X95948642Y-132545666D01* -X95941600Y-132558840D01* -X95937264Y-132573134D01* -X95935800Y-132588000D01* -X94564200Y-132588000D01* -X94562736Y-132573134D01* -X94558400Y-132558840D01* -X94551358Y-132545666D01* -X94541882Y-132534118D01* -X94530334Y-132524642D01* -X94517160Y-132517600D01* -X94502866Y-132513264D01* -X94488000Y-132511800D01* -X94107000Y-132511800D01* -X94092134Y-132513264D01* -X94077840Y-132517600D01* -X94064666Y-132524642D01* -X94053118Y-132534118D01* -X94043642Y-132545666D01* -X94036600Y-132558840D01* -X94032264Y-132573134D01* -X94030800Y-132588000D01* -X93929200Y-132588000D01* -X93927736Y-132573134D01* -X93923400Y-132558840D01* -X93916358Y-132545666D01* -X93906882Y-132534118D01* -X93895334Y-132524642D01* -X93882160Y-132517600D01* -X93867866Y-132513264D01* -X93853000Y-132511800D01* -X93472000Y-132511800D01* -X93457134Y-132513264D01* -X93442840Y-132517600D01* -X93429666Y-132524642D01* -X93418118Y-132534118D01* -X93408642Y-132545666D01* -X93401600Y-132558840D01* -X93397264Y-132573134D01* -X93395800Y-132588000D01* -X92024200Y-132588000D01* -X92022736Y-132573134D01* -X92018400Y-132558840D01* -X92011358Y-132545666D01* -X92001882Y-132534118D01* -X91990334Y-132524642D01* -X91977160Y-132517600D01* -X91962866Y-132513264D01* -X91948000Y-132511800D01* -X91567000Y-132511800D01* -X91552134Y-132513264D01* -X91537840Y-132517600D01* -X91524666Y-132524642D01* -X91513118Y-132534118D01* -X91503642Y-132545666D01* -X91496600Y-132558840D01* -X91492264Y-132573134D01* -X91490800Y-132588000D01* -X91389200Y-132588000D01* -X91387736Y-132573134D01* -X91383400Y-132558840D01* -X91376358Y-132545666D01* -X91366882Y-132534118D01* -X91355334Y-132524642D01* -X91342160Y-132517600D01* -X91327866Y-132513264D01* -X91313000Y-132511800D01* -X90932000Y-132511800D01* -X90917134Y-132513264D01* -X90902840Y-132517600D01* -X90889666Y-132524642D01* -X90878118Y-132534118D01* -X90868642Y-132545666D01* -X90861600Y-132558840D01* -X90857264Y-132573134D01* -X90855800Y-132588000D01* -X89484200Y-132588000D01* -X89482736Y-132573134D01* -X89478400Y-132558840D01* -X89471358Y-132545666D01* -X89461882Y-132534118D01* -X89450334Y-132524642D01* -X89437160Y-132517600D01* -X89422866Y-132513264D01* -X89408000Y-132511800D01* -X89027000Y-132511800D01* -X89012134Y-132513264D01* -X88997840Y-132517600D01* -X88984666Y-132524642D01* -X88973118Y-132534118D01* -X88963642Y-132545666D01* -X88956600Y-132558840D01* -X88952264Y-132573134D01* -X88950800Y-132588000D01* -X88849200Y-132588000D01* -X88847736Y-132573134D01* -X88843400Y-132558840D01* -X88836358Y-132545666D01* -X88826882Y-132534118D01* -X88815334Y-132524642D01* -X88802160Y-132517600D01* -X88787866Y-132513264D01* -X88773000Y-132511800D01* -X88392000Y-132511800D01* -X88377134Y-132513264D01* -X88362840Y-132517600D01* -X88349666Y-132524642D01* -X88338118Y-132534118D01* -X88328642Y-132545666D01* -X88321600Y-132558840D01* -X88317264Y-132573134D01* -X88315800Y-132588000D01* -X86944200Y-132588000D01* -X86942736Y-132573134D01* -X86938400Y-132558840D01* -X86931358Y-132545666D01* -X86921882Y-132534118D01* -X86910334Y-132524642D01* -X86897160Y-132517600D01* -X86882866Y-132513264D01* -X86868000Y-132511800D01* -X86487000Y-132511800D01* -X86472134Y-132513264D01* -X86457840Y-132517600D01* -X86444666Y-132524642D01* -X86433118Y-132534118D01* -X86423642Y-132545666D01* -X86416600Y-132558840D01* -X86412264Y-132573134D01* -X86410800Y-132588000D01* -X86309200Y-132588000D01* -X86307736Y-132573134D01* -X86303400Y-132558840D01* -X86296358Y-132545666D01* -X86286882Y-132534118D01* -X86275334Y-132524642D01* -X86262160Y-132517600D01* -X86247866Y-132513264D01* -X86233000Y-132511800D01* -X85852000Y-132511800D01* -X85837134Y-132513264D01* -X85822840Y-132517600D01* -X85809666Y-132524642D01* -X85798118Y-132534118D01* -X85788642Y-132545666D01* -X85781600Y-132558840D01* -X85777264Y-132573134D01* -X85775800Y-132588000D01* -X84404200Y-132588000D01* -X84402736Y-132573134D01* -X84398400Y-132558840D01* -X84391358Y-132545666D01* -X84381882Y-132534118D01* -X84370334Y-132524642D01* -X84357160Y-132517600D01* -X84342866Y-132513264D01* -X84328000Y-132511800D01* -X83947000Y-132511800D01* -X83932134Y-132513264D01* -X83917840Y-132517600D01* -X83904666Y-132524642D01* -X83893118Y-132534118D01* -X83883642Y-132545666D01* -X83876600Y-132558840D01* -X83872264Y-132573134D01* -X83870800Y-132588000D01* -X83769200Y-132588000D01* -X83767736Y-132573134D01* -X83763400Y-132558840D01* -X83756358Y-132545666D01* -X83746882Y-132534118D01* -X83735334Y-132524642D01* -X83722160Y-132517600D01* -X83707866Y-132513264D01* -X83693000Y-132511800D01* -X83312000Y-132511800D01* -X83297134Y-132513264D01* -X83282840Y-132517600D01* -X83269666Y-132524642D01* -X83258118Y-132534118D01* -X83248642Y-132545666D01* -X83241600Y-132558840D01* -X83237264Y-132573134D01* -X83235800Y-132588000D01* -X81864200Y-132588000D01* -X81862736Y-132573134D01* -X81858400Y-132558840D01* -X81851358Y-132545666D01* -X81841882Y-132534118D01* -X81830334Y-132524642D01* -X81817160Y-132517600D01* -X81802866Y-132513264D01* -X81788000Y-132511800D01* -X81407000Y-132511800D01* -X81392134Y-132513264D01* -X81377840Y-132517600D01* -X81364666Y-132524642D01* -X81353118Y-132534118D01* -X81343642Y-132545666D01* -X81336600Y-132558840D01* -X81332264Y-132573134D01* -X81330800Y-132588000D01* -X81229200Y-132588000D01* -X81227736Y-132573134D01* -X81223400Y-132558840D01* -X81216358Y-132545666D01* -X81206882Y-132534118D01* -X81195334Y-132524642D01* -X81182160Y-132517600D01* -X81167866Y-132513264D01* -X81153000Y-132511800D01* -X80772000Y-132511800D01* -X80757134Y-132513264D01* -X80742840Y-132517600D01* -X80729666Y-132524642D01* -X80718118Y-132534118D01* -X80708642Y-132545666D01* -X80701600Y-132558840D01* -X80697264Y-132573134D01* -X80695800Y-132588000D01* -X79324200Y-132588000D01* -X79322736Y-132573134D01* -X79318400Y-132558840D01* -X79311358Y-132545666D01* -X79301882Y-132534118D01* -X79290334Y-132524642D01* -X79277160Y-132517600D01* -X79262866Y-132513264D01* -X79248000Y-132511800D01* -X78867000Y-132511800D01* -X78852134Y-132513264D01* -X78837840Y-132517600D01* -X78824666Y-132524642D01* -X78813118Y-132534118D01* -X78803642Y-132545666D01* -X78796600Y-132558840D01* -X78792264Y-132573134D01* -X78790800Y-132588000D01* -X78689200Y-132588000D01* -X78687736Y-132573134D01* -X78683400Y-132558840D01* -X78676358Y-132545666D01* -X78666882Y-132534118D01* -X78655334Y-132524642D01* -X78642160Y-132517600D01* -X78627866Y-132513264D01* -X78613000Y-132511800D01* -X78232000Y-132511800D01* -X78217134Y-132513264D01* -X78202840Y-132517600D01* -X78189666Y-132524642D01* -X78178118Y-132534118D01* -X78168642Y-132545666D01* -X78161600Y-132558840D01* -X78157264Y-132573134D01* -X78155800Y-132588000D01* -X74498200Y-132588000D01* -X74498200Y-131953000D01* -X78155800Y-131953000D01* -X78155800Y-132334000D01* -X78157264Y-132348866D01* -X78161600Y-132363160D01* -X78168642Y-132376334D01* -X78178118Y-132387882D01* -X78189666Y-132397358D01* -X78202840Y-132404400D01* -X78217134Y-132408736D01* -X78232000Y-132410200D01* -X78613000Y-132410200D01* -X78627866Y-132408736D01* -X78642160Y-132404400D01* -X78655334Y-132397358D01* -X78666882Y-132387882D01* -X78676358Y-132376334D01* -X78683400Y-132363160D01* -X78687736Y-132348866D01* -X78689200Y-132334000D01* -X78689200Y-131953000D01* -X78790800Y-131953000D01* -X78790800Y-132334000D01* -X78792264Y-132348866D01* -X78796600Y-132363160D01* -X78803642Y-132376334D01* -X78813118Y-132387882D01* -X78824666Y-132397358D01* -X78837840Y-132404400D01* -X78852134Y-132408736D01* -X78867000Y-132410200D01* -X79248000Y-132410200D01* -X79262866Y-132408736D01* -X79277160Y-132404400D01* -X79290334Y-132397358D01* -X79301882Y-132387882D01* -X79311358Y-132376334D01* -X79318400Y-132363160D01* -X79322736Y-132348866D01* -X79324200Y-132334000D01* -X79324200Y-131953000D01* -X80695800Y-131953000D01* -X80695800Y-132334000D01* -X80697264Y-132348866D01* -X80701600Y-132363160D01* -X80708642Y-132376334D01* -X80718118Y-132387882D01* -X80729666Y-132397358D01* -X80742840Y-132404400D01* -X80757134Y-132408736D01* -X80772000Y-132410200D01* -X81153000Y-132410200D01* -X81167866Y-132408736D01* -X81182160Y-132404400D01* -X81195334Y-132397358D01* -X81206882Y-132387882D01* -X81216358Y-132376334D01* -X81223400Y-132363160D01* -X81227736Y-132348866D01* -X81229200Y-132334000D01* -X81229200Y-131953000D01* -X81330800Y-131953000D01* -X81330800Y-132334000D01* -X81332264Y-132348866D01* -X81336600Y-132363160D01* -X81343642Y-132376334D01* -X81353118Y-132387882D01* -X81364666Y-132397358D01* -X81377840Y-132404400D01* -X81392134Y-132408736D01* -X81407000Y-132410200D01* -X81788000Y-132410200D01* -X81802866Y-132408736D01* -X81817160Y-132404400D01* -X81830334Y-132397358D01* -X81841882Y-132387882D01* -X81851358Y-132376334D01* -X81858400Y-132363160D01* -X81862736Y-132348866D01* -X81864200Y-132334000D01* -X81864200Y-131953000D01* -X83235800Y-131953000D01* -X83235800Y-132334000D01* -X83237264Y-132348866D01* -X83241600Y-132363160D01* -X83248642Y-132376334D01* -X83258118Y-132387882D01* -X83269666Y-132397358D01* -X83282840Y-132404400D01* -X83297134Y-132408736D01* -X83312000Y-132410200D01* -X83693000Y-132410200D01* -X83707866Y-132408736D01* -X83722160Y-132404400D01* -X83735334Y-132397358D01* -X83746882Y-132387882D01* -X83756358Y-132376334D01* -X83763400Y-132363160D01* -X83767736Y-132348866D01* -X83769200Y-132334000D01* -X83769200Y-131953000D01* -X83870800Y-131953000D01* -X83870800Y-132334000D01* -X83872264Y-132348866D01* -X83876600Y-132363160D01* -X83883642Y-132376334D01* -X83893118Y-132387882D01* -X83904666Y-132397358D01* -X83917840Y-132404400D01* -X83932134Y-132408736D01* -X83947000Y-132410200D01* -X84328000Y-132410200D01* -X84342866Y-132408736D01* -X84357160Y-132404400D01* -X84370334Y-132397358D01* -X84381882Y-132387882D01* -X84391358Y-132376334D01* -X84398400Y-132363160D01* -X84402736Y-132348866D01* -X84404200Y-132334000D01* -X84404200Y-131953000D01* -X85775800Y-131953000D01* -X85775800Y-132334000D01* -X85777264Y-132348866D01* -X85781600Y-132363160D01* -X85788642Y-132376334D01* -X85798118Y-132387882D01* -X85809666Y-132397358D01* -X85822840Y-132404400D01* -X85837134Y-132408736D01* -X85852000Y-132410200D01* -X86233000Y-132410200D01* -X86247866Y-132408736D01* -X86262160Y-132404400D01* -X86275334Y-132397358D01* -X86286882Y-132387882D01* -X86296358Y-132376334D01* -X86303400Y-132363160D01* -X86307736Y-132348866D01* -X86309200Y-132334000D01* -X86309200Y-131953000D01* -X86410800Y-131953000D01* -X86410800Y-132334000D01* -X86412264Y-132348866D01* -X86416600Y-132363160D01* -X86423642Y-132376334D01* -X86433118Y-132387882D01* -X86444666Y-132397358D01* -X86457840Y-132404400D01* -X86472134Y-132408736D01* -X86487000Y-132410200D01* -X86868000Y-132410200D01* -X86882866Y-132408736D01* -X86897160Y-132404400D01* -X86910334Y-132397358D01* -X86921882Y-132387882D01* -X86931358Y-132376334D01* -X86938400Y-132363160D01* -X86942736Y-132348866D01* -X86944200Y-132334000D01* -X86944200Y-131953000D01* -X88315800Y-131953000D01* -X88315800Y-132334000D01* -X88317264Y-132348866D01* -X88321600Y-132363160D01* -X88328642Y-132376334D01* -X88338118Y-132387882D01* -X88349666Y-132397358D01* -X88362840Y-132404400D01* -X88377134Y-132408736D01* -X88392000Y-132410200D01* -X88773000Y-132410200D01* -X88787866Y-132408736D01* -X88802160Y-132404400D01* -X88815334Y-132397358D01* -X88826882Y-132387882D01* -X88836358Y-132376334D01* -X88843400Y-132363160D01* -X88847736Y-132348866D01* -X88849200Y-132334000D01* -X88849200Y-131953000D01* -X88950800Y-131953000D01* -X88950800Y-132334000D01* -X88952264Y-132348866D01* -X88956600Y-132363160D01* -X88963642Y-132376334D01* -X88973118Y-132387882D01* -X88984666Y-132397358D01* -X88997840Y-132404400D01* -X89012134Y-132408736D01* -X89027000Y-132410200D01* -X89408000Y-132410200D01* -X89422866Y-132408736D01* -X89437160Y-132404400D01* -X89450334Y-132397358D01* -X89461882Y-132387882D01* -X89471358Y-132376334D01* -X89478400Y-132363160D01* -X89482736Y-132348866D01* -X89484200Y-132334000D01* -X89484200Y-131953000D01* -X90855800Y-131953000D01* -X90855800Y-132334000D01* -X90857264Y-132348866D01* -X90861600Y-132363160D01* -X90868642Y-132376334D01* -X90878118Y-132387882D01* -X90889666Y-132397358D01* -X90902840Y-132404400D01* -X90917134Y-132408736D01* -X90932000Y-132410200D01* -X91313000Y-132410200D01* -X91327866Y-132408736D01* -X91342160Y-132404400D01* -X91355334Y-132397358D01* -X91366882Y-132387882D01* -X91376358Y-132376334D01* -X91383400Y-132363160D01* -X91387736Y-132348866D01* -X91389200Y-132334000D01* -X91389200Y-131953000D01* -X91490800Y-131953000D01* -X91490800Y-132334000D01* -X91492264Y-132348866D01* -X91496600Y-132363160D01* -X91503642Y-132376334D01* -X91513118Y-132387882D01* -X91524666Y-132397358D01* -X91537840Y-132404400D01* -X91552134Y-132408736D01* -X91567000Y-132410200D01* -X91948000Y-132410200D01* -X91962866Y-132408736D01* -X91977160Y-132404400D01* -X91990334Y-132397358D01* -X92001882Y-132387882D01* -X92011358Y-132376334D01* -X92018400Y-132363160D01* -X92022736Y-132348866D01* -X92024200Y-132334000D01* -X92024200Y-131953000D01* -X93395800Y-131953000D01* -X93395800Y-132334000D01* -X93397264Y-132348866D01* -X93401600Y-132363160D01* -X93408642Y-132376334D01* -X93418118Y-132387882D01* -X93429666Y-132397358D01* -X93442840Y-132404400D01* -X93457134Y-132408736D01* -X93472000Y-132410200D01* -X93853000Y-132410200D01* -X93867866Y-132408736D01* -X93882160Y-132404400D01* -X93895334Y-132397358D01* -X93906882Y-132387882D01* -X93916358Y-132376334D01* -X93923400Y-132363160D01* -X93927736Y-132348866D01* -X93929200Y-132334000D01* -X93929200Y-131953000D01* -X94030800Y-131953000D01* -X94030800Y-132334000D01* -X94032264Y-132348866D01* -X94036600Y-132363160D01* -X94043642Y-132376334D01* -X94053118Y-132387882D01* -X94064666Y-132397358D01* -X94077840Y-132404400D01* -X94092134Y-132408736D01* -X94107000Y-132410200D01* -X94488000Y-132410200D01* -X94502866Y-132408736D01* -X94517160Y-132404400D01* -X94530334Y-132397358D01* -X94541882Y-132387882D01* -X94551358Y-132376334D01* -X94558400Y-132363160D01* -X94562736Y-132348866D01* -X94564200Y-132334000D01* -X94564200Y-131953000D01* -X95935800Y-131953000D01* -X95935800Y-132334000D01* -X95937264Y-132348866D01* -X95941600Y-132363160D01* -X95948642Y-132376334D01* -X95958118Y-132387882D01* -X95969666Y-132397358D01* -X95982840Y-132404400D01* -X95997134Y-132408736D01* -X96012000Y-132410200D01* -X96393000Y-132410200D01* -X96407866Y-132408736D01* -X96422160Y-132404400D01* -X96435334Y-132397358D01* -X96446882Y-132387882D01* -X96456358Y-132376334D01* -X96463400Y-132363160D01* -X96467736Y-132348866D01* -X96469200Y-132334000D01* -X96469200Y-131953000D01* -X96570800Y-131953000D01* -X96570800Y-132334000D01* -X96572264Y-132348866D01* -X96576600Y-132363160D01* -X96583642Y-132376334D01* -X96593118Y-132387882D01* -X96604666Y-132397358D01* -X96617840Y-132404400D01* -X96632134Y-132408736D01* -X96647000Y-132410200D01* -X97028000Y-132410200D01* -X97042866Y-132408736D01* -X97057160Y-132404400D01* -X97070334Y-132397358D01* -X97081882Y-132387882D01* -X97091358Y-132376334D01* -X97098400Y-132363160D01* -X97102736Y-132348866D01* -X97104200Y-132334000D01* -X97104200Y-131953000D01* -X98475800Y-131953000D01* -X98475800Y-132334000D01* -X98477264Y-132348866D01* -X98481600Y-132363160D01* -X98488642Y-132376334D01* -X98498118Y-132387882D01* -X98509666Y-132397358D01* -X98522840Y-132404400D01* -X98537134Y-132408736D01* -X98552000Y-132410200D01* -X98933000Y-132410200D01* -X98947866Y-132408736D01* -X98962160Y-132404400D01* -X98975334Y-132397358D01* -X98986882Y-132387882D01* -X98996358Y-132376334D01* -X99003400Y-132363160D01* -X99007736Y-132348866D01* -X99009200Y-132334000D01* -X99009200Y-131953000D01* -X99110800Y-131953000D01* -X99110800Y-132334000D01* -X99112264Y-132348866D01* -X99116600Y-132363160D01* -X99123642Y-132376334D01* -X99133118Y-132387882D01* -X99144666Y-132397358D01* -X99157840Y-132404400D01* -X99172134Y-132408736D01* -X99187000Y-132410200D01* -X99568000Y-132410200D01* -X99582866Y-132408736D01* -X99597160Y-132404400D01* -X99610334Y-132397358D01* -X99621882Y-132387882D01* -X99631358Y-132376334D01* -X99638400Y-132363160D01* -X99642736Y-132348866D01* -X99644200Y-132334000D01* -X99644200Y-131953000D01* -X101015800Y-131953000D01* -X101015800Y-132334000D01* -X101017264Y-132348866D01* -X101021600Y-132363160D01* -X101028642Y-132376334D01* -X101038118Y-132387882D01* -X101049666Y-132397358D01* -X101062840Y-132404400D01* -X101077134Y-132408736D01* -X101092000Y-132410200D01* -X101473000Y-132410200D01* -X101487866Y-132408736D01* -X101502160Y-132404400D01* -X101515334Y-132397358D01* -X101526882Y-132387882D01* -X101536358Y-132376334D01* -X101543400Y-132363160D01* -X101547736Y-132348866D01* -X101549200Y-132334000D01* -X101549200Y-131953000D01* -X101650800Y-131953000D01* -X101650800Y-132334000D01* -X101652264Y-132348866D01* -X101656600Y-132363160D01* -X101663642Y-132376334D01* -X101673118Y-132387882D01* -X101684666Y-132397358D01* -X101697840Y-132404400D01* -X101712134Y-132408736D01* -X101727000Y-132410200D01* -X102108000Y-132410200D01* -X102122866Y-132408736D01* -X102137160Y-132404400D01* -X102150334Y-132397358D01* -X102161882Y-132387882D01* -X102171358Y-132376334D01* -X102178400Y-132363160D01* -X102182736Y-132348866D01* -X102184200Y-132334000D01* -X102184200Y-131953000D01* -X103555800Y-131953000D01* -X103555800Y-132334000D01* -X103557264Y-132348866D01* -X103561600Y-132363160D01* -X103568642Y-132376334D01* -X103578118Y-132387882D01* -X103589666Y-132397358D01* -X103602840Y-132404400D01* -X103617134Y-132408736D01* -X103632000Y-132410200D01* -X104013000Y-132410200D01* -X104027866Y-132408736D01* -X104042160Y-132404400D01* -X104055334Y-132397358D01* -X104066882Y-132387882D01* -X104076358Y-132376334D01* -X104083400Y-132363160D01* -X104087736Y-132348866D01* -X104089200Y-132334000D01* -X104089200Y-131953000D01* -X104190800Y-131953000D01* -X104190800Y-132334000D01* -X104192264Y-132348866D01* -X104196600Y-132363160D01* -X104203642Y-132376334D01* -X104213118Y-132387882D01* -X104224666Y-132397358D01* -X104237840Y-132404400D01* -X104252134Y-132408736D01* -X104267000Y-132410200D01* -X104648000Y-132410200D01* -X104662866Y-132408736D01* -X104677160Y-132404400D01* -X104690334Y-132397358D01* -X104701882Y-132387882D01* -X104711358Y-132376334D01* -X104718400Y-132363160D01* -X104722736Y-132348866D01* -X104724200Y-132334000D01* -X104724200Y-131953000D01* -X106095800Y-131953000D01* -X106095800Y-132334000D01* -X106097264Y-132348866D01* -X106101600Y-132363160D01* -X106108642Y-132376334D01* -X106118118Y-132387882D01* -X106129666Y-132397358D01* -X106142840Y-132404400D01* -X106157134Y-132408736D01* -X106172000Y-132410200D01* -X106553000Y-132410200D01* -X106567866Y-132408736D01* -X106582160Y-132404400D01* -X106595334Y-132397358D01* -X106606882Y-132387882D01* -X106616358Y-132376334D01* -X106623400Y-132363160D01* -X106627736Y-132348866D01* -X106629200Y-132334000D01* -X106629200Y-131953000D01* -X106730800Y-131953000D01* -X106730800Y-132334000D01* -X106732264Y-132348866D01* -X106736600Y-132363160D01* -X106743642Y-132376334D01* -X106753118Y-132387882D01* -X106764666Y-132397358D01* -X106777840Y-132404400D01* -X106792134Y-132408736D01* -X106807000Y-132410200D01* -X107188000Y-132410200D01* -X107202866Y-132408736D01* -X107217160Y-132404400D01* -X107230334Y-132397358D01* -X107241882Y-132387882D01* -X107251358Y-132376334D01* -X107258400Y-132363160D01* -X107262736Y-132348866D01* -X107264200Y-132334000D01* -X107264200Y-131953000D01* -X108635800Y-131953000D01* -X108635800Y-132334000D01* -X108637264Y-132348866D01* -X108641600Y-132363160D01* -X108648642Y-132376334D01* -X108658118Y-132387882D01* -X108669666Y-132397358D01* -X108682840Y-132404400D01* -X108697134Y-132408736D01* -X108712000Y-132410200D01* -X109093000Y-132410200D01* -X109107866Y-132408736D01* -X109122160Y-132404400D01* -X109135334Y-132397358D01* -X109146882Y-132387882D01* -X109156358Y-132376334D01* -X109163400Y-132363160D01* -X109167736Y-132348866D01* -X109169200Y-132334000D01* -X109169200Y-131953000D01* -X109270800Y-131953000D01* -X109270800Y-132334000D01* -X109272264Y-132348866D01* -X109276600Y-132363160D01* -X109283642Y-132376334D01* -X109293118Y-132387882D01* -X109304666Y-132397358D01* -X109317840Y-132404400D01* -X109332134Y-132408736D01* -X109347000Y-132410200D01* -X109728000Y-132410200D01* -X109742866Y-132408736D01* -X109757160Y-132404400D01* -X109770334Y-132397358D01* -X109781882Y-132387882D01* -X109791358Y-132376334D01* -X109798400Y-132363160D01* -X109802736Y-132348866D01* -X109804200Y-132334000D01* -X109804200Y-131953000D01* -X111175800Y-131953000D01* -X111175800Y-132334000D01* -X111177264Y-132348866D01* -X111181600Y-132363160D01* -X111188642Y-132376334D01* -X111198118Y-132387882D01* -X111209666Y-132397358D01* -X111222840Y-132404400D01* -X111237134Y-132408736D01* -X111252000Y-132410200D01* -X111633000Y-132410200D01* -X111647866Y-132408736D01* -X111662160Y-132404400D01* -X111675334Y-132397358D01* -X111686882Y-132387882D01* -X111696358Y-132376334D01* -X111703400Y-132363160D01* -X111707736Y-132348866D01* -X111709200Y-132334000D01* -X111709200Y-131953000D01* -X111810800Y-131953000D01* -X111810800Y-132334000D01* -X111812264Y-132348866D01* -X111816600Y-132363160D01* -X111823642Y-132376334D01* -X111833118Y-132387882D01* -X111844666Y-132397358D01* -X111857840Y-132404400D01* -X111872134Y-132408736D01* -X111887000Y-132410200D01* -X112268000Y-132410200D01* -X112282866Y-132408736D01* -X112297160Y-132404400D01* -X112310334Y-132397358D01* -X112321882Y-132387882D01* -X112331358Y-132376334D01* -X112338400Y-132363160D01* -X112342736Y-132348866D01* -X112344200Y-132334000D01* -X112344200Y-131953000D01* -X113715800Y-131953000D01* -X113715800Y-132334000D01* -X113717264Y-132348866D01* -X113721600Y-132363160D01* -X113728642Y-132376334D01* -X113738118Y-132387882D01* -X113749666Y-132397358D01* -X113762840Y-132404400D01* -X113777134Y-132408736D01* -X113792000Y-132410200D01* -X114173000Y-132410200D01* -X114187866Y-132408736D01* -X114202160Y-132404400D01* -X114215334Y-132397358D01* -X114226882Y-132387882D01* -X114236358Y-132376334D01* -X114243400Y-132363160D01* -X114247736Y-132348866D01* -X114249200Y-132334000D01* -X114249200Y-131953000D01* -X114350800Y-131953000D01* -X114350800Y-132334000D01* -X114352264Y-132348866D01* -X114356600Y-132363160D01* -X114363642Y-132376334D01* -X114373118Y-132387882D01* -X114384666Y-132397358D01* -X114397840Y-132404400D01* -X114412134Y-132408736D01* -X114427000Y-132410200D01* -X114808000Y-132410200D01* -X114822866Y-132408736D01* -X114837160Y-132404400D01* -X114850334Y-132397358D01* -X114861882Y-132387882D01* -X114871358Y-132376334D01* -X114878400Y-132363160D01* -X114882736Y-132348866D01* -X114884200Y-132334000D01* -X114884200Y-131953000D01* -X121335800Y-131953000D01* -X121335800Y-132334000D01* -X121337264Y-132348866D01* -X121341600Y-132363160D01* -X121348642Y-132376334D01* -X121358118Y-132387882D01* -X121369666Y-132397358D01* -X121382840Y-132404400D01* -X121397134Y-132408736D01* -X121412000Y-132410200D01* -X121793000Y-132410200D01* -X121807866Y-132408736D01* -X121822160Y-132404400D01* -X121835334Y-132397358D01* -X121846882Y-132387882D01* -X121856358Y-132376334D01* -X121863400Y-132363160D01* -X121867736Y-132348866D01* -X121869200Y-132334000D01* -X121869200Y-131953000D01* -X121970800Y-131953000D01* -X121970800Y-132334000D01* -X121972264Y-132348866D01* -X121976600Y-132363160D01* -X121983642Y-132376334D01* -X121993118Y-132387882D01* -X122004666Y-132397358D01* -X122017840Y-132404400D01* -X122032134Y-132408736D01* -X122047000Y-132410200D01* -X122428000Y-132410200D01* -X122442866Y-132408736D01* -X122457160Y-132404400D01* -X122470334Y-132397358D01* -X122481882Y-132387882D01* -X122491358Y-132376334D01* -X122498400Y-132363160D01* -X122502736Y-132348866D01* -X122504200Y-132334000D01* -X122504200Y-131953000D01* -X123875800Y-131953000D01* -X123875800Y-132334000D01* -X123877264Y-132348866D01* -X123881600Y-132363160D01* -X123888642Y-132376334D01* -X123898118Y-132387882D01* -X123909666Y-132397358D01* -X123922840Y-132404400D01* -X123937134Y-132408736D01* -X123952000Y-132410200D01* -X124333000Y-132410200D01* -X124347866Y-132408736D01* -X124362160Y-132404400D01* -X124375334Y-132397358D01* -X124386882Y-132387882D01* -X124396358Y-132376334D01* -X124403400Y-132363160D01* -X124407736Y-132348866D01* -X124409200Y-132334000D01* -X124409200Y-131953000D01* -X124510800Y-131953000D01* -X124510800Y-132334000D01* -X124512264Y-132348866D01* -X124516600Y-132363160D01* -X124523642Y-132376334D01* -X124533118Y-132387882D01* -X124544666Y-132397358D01* -X124557840Y-132404400D01* -X124572134Y-132408736D01* -X124587000Y-132410200D01* -X124968000Y-132410200D01* -X124982866Y-132408736D01* -X124997160Y-132404400D01* -X125010334Y-132397358D01* -X125021882Y-132387882D01* -X125031358Y-132376334D01* -X125038400Y-132363160D01* -X125042736Y-132348866D01* -X125044200Y-132334000D01* -X125044200Y-131953000D01* -X126415800Y-131953000D01* -X126415800Y-132334000D01* -X126417264Y-132348866D01* -X126421600Y-132363160D01* -X126428642Y-132376334D01* -X126438118Y-132387882D01* -X126449666Y-132397358D01* -X126462840Y-132404400D01* -X126477134Y-132408736D01* -X126492000Y-132410200D01* -X126873000Y-132410200D01* -X126887866Y-132408736D01* -X126902160Y-132404400D01* -X126915334Y-132397358D01* -X126926882Y-132387882D01* -X126936358Y-132376334D01* -X126943400Y-132363160D01* -X126947736Y-132348866D01* -X126949200Y-132334000D01* -X126949200Y-131953000D01* -X127050800Y-131953000D01* -X127050800Y-132334000D01* -X127052264Y-132348866D01* -X127056600Y-132363160D01* -X127063642Y-132376334D01* -X127073118Y-132387882D01* -X127084666Y-132397358D01* -X127097840Y-132404400D01* -X127112134Y-132408736D01* -X127127000Y-132410200D01* -X127508000Y-132410200D01* -X127522866Y-132408736D01* -X127537160Y-132404400D01* -X127550334Y-132397358D01* -X127561882Y-132387882D01* -X127571358Y-132376334D01* -X127578400Y-132363160D01* -X127582736Y-132348866D01* -X127584200Y-132334000D01* -X127584200Y-131953000D01* -X128955800Y-131953000D01* -X128955800Y-132334000D01* -X128957264Y-132348866D01* -X128961600Y-132363160D01* -X128968642Y-132376334D01* -X128978118Y-132387882D01* -X128989666Y-132397358D01* -X129002840Y-132404400D01* -X129017134Y-132408736D01* -X129032000Y-132410200D01* -X129413000Y-132410200D01* -X129427866Y-132408736D01* -X129442160Y-132404400D01* -X129455334Y-132397358D01* -X129466882Y-132387882D01* -X129476358Y-132376334D01* -X129483400Y-132363160D01* -X129487736Y-132348866D01* -X129489200Y-132334000D01* -X129489200Y-131953000D01* -X129590800Y-131953000D01* -X129590800Y-132334000D01* -X129592264Y-132348866D01* -X129596600Y-132363160D01* -X129603642Y-132376334D01* -X129613118Y-132387882D01* -X129624666Y-132397358D01* -X129637840Y-132404400D01* -X129652134Y-132408736D01* -X129667000Y-132410200D01* -X130048000Y-132410200D01* -X130062866Y-132408736D01* -X130077160Y-132404400D01* -X130090334Y-132397358D01* -X130101882Y-132387882D01* -X130111358Y-132376334D01* -X130118400Y-132363160D01* -X130122736Y-132348866D01* -X130124200Y-132334000D01* -X130124200Y-131953000D01* -X131495800Y-131953000D01* -X131495800Y-132334000D01* -X131497264Y-132348866D01* -X131501600Y-132363160D01* -X131508642Y-132376334D01* -X131518118Y-132387882D01* -X131529666Y-132397358D01* -X131542840Y-132404400D01* -X131557134Y-132408736D01* -X131572000Y-132410200D01* -X131953000Y-132410200D01* -X131967866Y-132408736D01* -X131982160Y-132404400D01* -X131995334Y-132397358D01* -X132006882Y-132387882D01* -X132016358Y-132376334D01* -X132023400Y-132363160D01* -X132027736Y-132348866D01* -X132029200Y-132334000D01* -X132029200Y-131953000D01* -X132130800Y-131953000D01* -X132130800Y-132334000D01* -X132132264Y-132348866D01* -X132136600Y-132363160D01* -X132143642Y-132376334D01* -X132153118Y-132387882D01* -X132164666Y-132397358D01* -X132177840Y-132404400D01* -X132192134Y-132408736D01* -X132207000Y-132410200D01* -X132588000Y-132410200D01* -X132602866Y-132408736D01* -X132617160Y-132404400D01* -X132630334Y-132397358D01* -X132641882Y-132387882D01* -X132651358Y-132376334D01* -X132658400Y-132363160D01* -X132662736Y-132348866D01* -X132664200Y-132334000D01* -X132664200Y-131953000D01* -X134035800Y-131953000D01* -X134035800Y-132334000D01* -X134037264Y-132348866D01* -X134041600Y-132363160D01* -X134048642Y-132376334D01* -X134058118Y-132387882D01* -X134069666Y-132397358D01* -X134082840Y-132404400D01* -X134097134Y-132408736D01* -X134112000Y-132410200D01* -X134493000Y-132410200D01* -X134507866Y-132408736D01* -X134522160Y-132404400D01* -X134535334Y-132397358D01* -X134546882Y-132387882D01* -X134556358Y-132376334D01* -X134563400Y-132363160D01* -X134567736Y-132348866D01* -X134569200Y-132334000D01* -X134569200Y-131953000D01* -X134670800Y-131953000D01* -X134670800Y-132334000D01* -X134672264Y-132348866D01* -X134676600Y-132363160D01* -X134683642Y-132376334D01* -X134693118Y-132387882D01* -X134704666Y-132397358D01* -X134717840Y-132404400D01* -X134732134Y-132408736D01* -X134747000Y-132410200D01* -X135128000Y-132410200D01* -X135142866Y-132408736D01* -X135157160Y-132404400D01* -X135170334Y-132397358D01* -X135181882Y-132387882D01* -X135191358Y-132376334D01* -X135198400Y-132363160D01* -X135202736Y-132348866D01* -X135204200Y-132334000D01* -X135204200Y-131953000D01* -X135202736Y-131938134D01* -X135198400Y-131923840D01* -X135191358Y-131910666D01* -X135181882Y-131899118D01* -X135170334Y-131889642D01* -X135157160Y-131882600D01* -X135142866Y-131878264D01* -X135128000Y-131876800D01* -X134747000Y-131876800D01* -X134732134Y-131878264D01* -X134717840Y-131882600D01* -X134704666Y-131889642D01* -X134693118Y-131899118D01* -X134683642Y-131910666D01* -X134676600Y-131923840D01* -X134672264Y-131938134D01* -X134670800Y-131953000D01* -X134569200Y-131953000D01* -X134567736Y-131938134D01* -X134563400Y-131923840D01* -X134556358Y-131910666D01* -X134546882Y-131899118D01* -X134535334Y-131889642D01* -X134522160Y-131882600D01* -X134507866Y-131878264D01* -X134493000Y-131876800D01* -X134112000Y-131876800D01* -X134097134Y-131878264D01* -X134082840Y-131882600D01* -X134069666Y-131889642D01* -X134058118Y-131899118D01* -X134048642Y-131910666D01* -X134041600Y-131923840D01* -X134037264Y-131938134D01* -X134035800Y-131953000D01* -X132664200Y-131953000D01* -X132662736Y-131938134D01* -X132658400Y-131923840D01* -X132651358Y-131910666D01* -X132641882Y-131899118D01* -X132630334Y-131889642D01* -X132617160Y-131882600D01* -X132602866Y-131878264D01* -X132588000Y-131876800D01* -X132207000Y-131876800D01* -X132192134Y-131878264D01* -X132177840Y-131882600D01* -X132164666Y-131889642D01* -X132153118Y-131899118D01* -X132143642Y-131910666D01* -X132136600Y-131923840D01* -X132132264Y-131938134D01* -X132130800Y-131953000D01* -X132029200Y-131953000D01* -X132027736Y-131938134D01* -X132023400Y-131923840D01* -X132016358Y-131910666D01* -X132006882Y-131899118D01* -X131995334Y-131889642D01* -X131982160Y-131882600D01* -X131967866Y-131878264D01* -X131953000Y-131876800D01* -X131572000Y-131876800D01* -X131557134Y-131878264D01* -X131542840Y-131882600D01* -X131529666Y-131889642D01* -X131518118Y-131899118D01* -X131508642Y-131910666D01* -X131501600Y-131923840D01* -X131497264Y-131938134D01* -X131495800Y-131953000D01* -X130124200Y-131953000D01* -X130122736Y-131938134D01* -X130118400Y-131923840D01* -X130111358Y-131910666D01* -X130101882Y-131899118D01* -X130090334Y-131889642D01* -X130077160Y-131882600D01* -X130062866Y-131878264D01* -X130048000Y-131876800D01* -X129667000Y-131876800D01* -X129652134Y-131878264D01* -X129637840Y-131882600D01* -X129624666Y-131889642D01* -X129613118Y-131899118D01* -X129603642Y-131910666D01* -X129596600Y-131923840D01* -X129592264Y-131938134D01* -X129590800Y-131953000D01* -X129489200Y-131953000D01* -X129487736Y-131938134D01* -X129483400Y-131923840D01* -X129476358Y-131910666D01* -X129466882Y-131899118D01* -X129455334Y-131889642D01* -X129442160Y-131882600D01* -X129427866Y-131878264D01* -X129413000Y-131876800D01* -X129032000Y-131876800D01* -X129017134Y-131878264D01* -X129002840Y-131882600D01* -X128989666Y-131889642D01* -X128978118Y-131899118D01* -X128968642Y-131910666D01* -X128961600Y-131923840D01* -X128957264Y-131938134D01* -X128955800Y-131953000D01* -X127584200Y-131953000D01* -X127582736Y-131938134D01* -X127578400Y-131923840D01* -X127571358Y-131910666D01* -X127561882Y-131899118D01* -X127550334Y-131889642D01* -X127537160Y-131882600D01* -X127522866Y-131878264D01* -X127508000Y-131876800D01* -X127127000Y-131876800D01* -X127112134Y-131878264D01* -X127097840Y-131882600D01* -X127084666Y-131889642D01* -X127073118Y-131899118D01* -X127063642Y-131910666D01* -X127056600Y-131923840D01* -X127052264Y-131938134D01* -X127050800Y-131953000D01* -X126949200Y-131953000D01* -X126947736Y-131938134D01* -X126943400Y-131923840D01* -X126936358Y-131910666D01* -X126926882Y-131899118D01* -X126915334Y-131889642D01* -X126902160Y-131882600D01* -X126887866Y-131878264D01* -X126873000Y-131876800D01* -X126492000Y-131876800D01* -X126477134Y-131878264D01* -X126462840Y-131882600D01* -X126449666Y-131889642D01* -X126438118Y-131899118D01* -X126428642Y-131910666D01* -X126421600Y-131923840D01* -X126417264Y-131938134D01* -X126415800Y-131953000D01* -X125044200Y-131953000D01* -X125042736Y-131938134D01* -X125038400Y-131923840D01* -X125031358Y-131910666D01* -X125021882Y-131899118D01* -X125010334Y-131889642D01* -X124997160Y-131882600D01* -X124982866Y-131878264D01* -X124968000Y-131876800D01* -X124587000Y-131876800D01* -X124572134Y-131878264D01* -X124557840Y-131882600D01* -X124544666Y-131889642D01* -X124533118Y-131899118D01* -X124523642Y-131910666D01* -X124516600Y-131923840D01* -X124512264Y-131938134D01* -X124510800Y-131953000D01* -X124409200Y-131953000D01* -X124407736Y-131938134D01* -X124403400Y-131923840D01* -X124396358Y-131910666D01* -X124386882Y-131899118D01* -X124375334Y-131889642D01* -X124362160Y-131882600D01* -X124347866Y-131878264D01* -X124333000Y-131876800D01* -X123952000Y-131876800D01* -X123937134Y-131878264D01* -X123922840Y-131882600D01* -X123909666Y-131889642D01* -X123898118Y-131899118D01* -X123888642Y-131910666D01* -X123881600Y-131923840D01* -X123877264Y-131938134D01* -X123875800Y-131953000D01* -X122504200Y-131953000D01* -X122502736Y-131938134D01* -X122498400Y-131923840D01* -X122491358Y-131910666D01* -X122481882Y-131899118D01* -X122470334Y-131889642D01* -X122457160Y-131882600D01* -X122442866Y-131878264D01* -X122428000Y-131876800D01* -X122047000Y-131876800D01* -X122032134Y-131878264D01* -X122017840Y-131882600D01* -X122004666Y-131889642D01* -X121993118Y-131899118D01* -X121983642Y-131910666D01* -X121976600Y-131923840D01* -X121972264Y-131938134D01* -X121970800Y-131953000D01* -X121869200Y-131953000D01* -X121867736Y-131938134D01* -X121863400Y-131923840D01* -X121856358Y-131910666D01* -X121846882Y-131899118D01* -X121835334Y-131889642D01* -X121822160Y-131882600D01* -X121807866Y-131878264D01* -X121793000Y-131876800D01* -X121412000Y-131876800D01* -X121397134Y-131878264D01* -X121382840Y-131882600D01* -X121369666Y-131889642D01* -X121358118Y-131899118D01* -X121348642Y-131910666D01* -X121341600Y-131923840D01* -X121337264Y-131938134D01* -X121335800Y-131953000D01* -X114884200Y-131953000D01* -X114882736Y-131938134D01* -X114878400Y-131923840D01* -X114871358Y-131910666D01* -X114861882Y-131899118D01* -X114850334Y-131889642D01* -X114837160Y-131882600D01* -X114822866Y-131878264D01* -X114808000Y-131876800D01* -X114427000Y-131876800D01* -X114412134Y-131878264D01* -X114397840Y-131882600D01* -X114384666Y-131889642D01* -X114373118Y-131899118D01* -X114363642Y-131910666D01* -X114356600Y-131923840D01* -X114352264Y-131938134D01* -X114350800Y-131953000D01* -X114249200Y-131953000D01* -X114247736Y-131938134D01* -X114243400Y-131923840D01* -X114236358Y-131910666D01* -X114226882Y-131899118D01* -X114215334Y-131889642D01* -X114202160Y-131882600D01* -X114187866Y-131878264D01* -X114173000Y-131876800D01* -X113792000Y-131876800D01* -X113777134Y-131878264D01* -X113762840Y-131882600D01* -X113749666Y-131889642D01* -X113738118Y-131899118D01* -X113728642Y-131910666D01* -X113721600Y-131923840D01* -X113717264Y-131938134D01* -X113715800Y-131953000D01* -X112344200Y-131953000D01* -X112342736Y-131938134D01* -X112338400Y-131923840D01* -X112331358Y-131910666D01* -X112321882Y-131899118D01* -X112310334Y-131889642D01* -X112297160Y-131882600D01* -X112282866Y-131878264D01* -X112268000Y-131876800D01* -X111887000Y-131876800D01* -X111872134Y-131878264D01* -X111857840Y-131882600D01* -X111844666Y-131889642D01* -X111833118Y-131899118D01* -X111823642Y-131910666D01* -X111816600Y-131923840D01* -X111812264Y-131938134D01* -X111810800Y-131953000D01* -X111709200Y-131953000D01* -X111707736Y-131938134D01* -X111703400Y-131923840D01* -X111696358Y-131910666D01* -X111686882Y-131899118D01* -X111675334Y-131889642D01* -X111662160Y-131882600D01* -X111647866Y-131878264D01* -X111633000Y-131876800D01* -X111252000Y-131876800D01* -X111237134Y-131878264D01* -X111222840Y-131882600D01* -X111209666Y-131889642D01* -X111198118Y-131899118D01* -X111188642Y-131910666D01* -X111181600Y-131923840D01* -X111177264Y-131938134D01* -X111175800Y-131953000D01* -X109804200Y-131953000D01* -X109802736Y-131938134D01* -X109798400Y-131923840D01* -X109791358Y-131910666D01* -X109781882Y-131899118D01* -X109770334Y-131889642D01* -X109757160Y-131882600D01* -X109742866Y-131878264D01* -X109728000Y-131876800D01* -X109347000Y-131876800D01* -X109332134Y-131878264D01* -X109317840Y-131882600D01* -X109304666Y-131889642D01* -X109293118Y-131899118D01* -X109283642Y-131910666D01* -X109276600Y-131923840D01* -X109272264Y-131938134D01* -X109270800Y-131953000D01* -X109169200Y-131953000D01* -X109167736Y-131938134D01* -X109163400Y-131923840D01* -X109156358Y-131910666D01* -X109146882Y-131899118D01* -X109135334Y-131889642D01* -X109122160Y-131882600D01* -X109107866Y-131878264D01* -X109093000Y-131876800D01* -X108712000Y-131876800D01* -X108697134Y-131878264D01* -X108682840Y-131882600D01* -X108669666Y-131889642D01* -X108658118Y-131899118D01* -X108648642Y-131910666D01* -X108641600Y-131923840D01* -X108637264Y-131938134D01* -X108635800Y-131953000D01* -X107264200Y-131953000D01* -X107262736Y-131938134D01* -X107258400Y-131923840D01* -X107251358Y-131910666D01* -X107241882Y-131899118D01* -X107230334Y-131889642D01* -X107217160Y-131882600D01* -X107202866Y-131878264D01* -X107188000Y-131876800D01* -X106807000Y-131876800D01* -X106792134Y-131878264D01* -X106777840Y-131882600D01* -X106764666Y-131889642D01* -X106753118Y-131899118D01* -X106743642Y-131910666D01* -X106736600Y-131923840D01* -X106732264Y-131938134D01* -X106730800Y-131953000D01* -X106629200Y-131953000D01* -X106627736Y-131938134D01* -X106623400Y-131923840D01* -X106616358Y-131910666D01* -X106606882Y-131899118D01* -X106595334Y-131889642D01* -X106582160Y-131882600D01* -X106567866Y-131878264D01* -X106553000Y-131876800D01* -X106172000Y-131876800D01* -X106157134Y-131878264D01* -X106142840Y-131882600D01* -X106129666Y-131889642D01* -X106118118Y-131899118D01* -X106108642Y-131910666D01* -X106101600Y-131923840D01* -X106097264Y-131938134D01* -X106095800Y-131953000D01* -X104724200Y-131953000D01* -X104722736Y-131938134D01* -X104718400Y-131923840D01* -X104711358Y-131910666D01* -X104701882Y-131899118D01* -X104690334Y-131889642D01* -X104677160Y-131882600D01* -X104662866Y-131878264D01* -X104648000Y-131876800D01* -X104267000Y-131876800D01* -X104252134Y-131878264D01* -X104237840Y-131882600D01* -X104224666Y-131889642D01* -X104213118Y-131899118D01* -X104203642Y-131910666D01* -X104196600Y-131923840D01* -X104192264Y-131938134D01* -X104190800Y-131953000D01* -X104089200Y-131953000D01* -X104087736Y-131938134D01* -X104083400Y-131923840D01* -X104076358Y-131910666D01* -X104066882Y-131899118D01* -X104055334Y-131889642D01* -X104042160Y-131882600D01* -X104027866Y-131878264D01* -X104013000Y-131876800D01* -X103632000Y-131876800D01* -X103617134Y-131878264D01* -X103602840Y-131882600D01* -X103589666Y-131889642D01* -X103578118Y-131899118D01* -X103568642Y-131910666D01* -X103561600Y-131923840D01* -X103557264Y-131938134D01* -X103555800Y-131953000D01* -X102184200Y-131953000D01* -X102182736Y-131938134D01* -X102178400Y-131923840D01* -X102171358Y-131910666D01* -X102161882Y-131899118D01* -X102150334Y-131889642D01* -X102137160Y-131882600D01* -X102122866Y-131878264D01* -X102108000Y-131876800D01* -X101727000Y-131876800D01* -X101712134Y-131878264D01* -X101697840Y-131882600D01* -X101684666Y-131889642D01* -X101673118Y-131899118D01* -X101663642Y-131910666D01* -X101656600Y-131923840D01* -X101652264Y-131938134D01* -X101650800Y-131953000D01* -X101549200Y-131953000D01* -X101547736Y-131938134D01* -X101543400Y-131923840D01* -X101536358Y-131910666D01* -X101526882Y-131899118D01* -X101515334Y-131889642D01* -X101502160Y-131882600D01* -X101487866Y-131878264D01* -X101473000Y-131876800D01* -X101092000Y-131876800D01* -X101077134Y-131878264D01* -X101062840Y-131882600D01* -X101049666Y-131889642D01* -X101038118Y-131899118D01* -X101028642Y-131910666D01* -X101021600Y-131923840D01* -X101017264Y-131938134D01* -X101015800Y-131953000D01* -X99644200Y-131953000D01* -X99642736Y-131938134D01* -X99638400Y-131923840D01* -X99631358Y-131910666D01* -X99621882Y-131899118D01* -X99610334Y-131889642D01* -X99597160Y-131882600D01* -X99582866Y-131878264D01* -X99568000Y-131876800D01* -X99187000Y-131876800D01* -X99172134Y-131878264D01* -X99157840Y-131882600D01* -X99144666Y-131889642D01* -X99133118Y-131899118D01* -X99123642Y-131910666D01* -X99116600Y-131923840D01* -X99112264Y-131938134D01* -X99110800Y-131953000D01* -X99009200Y-131953000D01* -X99007736Y-131938134D01* -X99003400Y-131923840D01* -X98996358Y-131910666D01* -X98986882Y-131899118D01* -X98975334Y-131889642D01* -X98962160Y-131882600D01* -X98947866Y-131878264D01* -X98933000Y-131876800D01* -X98552000Y-131876800D01* -X98537134Y-131878264D01* -X98522840Y-131882600D01* -X98509666Y-131889642D01* -X98498118Y-131899118D01* -X98488642Y-131910666D01* -X98481600Y-131923840D01* -X98477264Y-131938134D01* -X98475800Y-131953000D01* -X97104200Y-131953000D01* -X97102736Y-131938134D01* -X97098400Y-131923840D01* -X97091358Y-131910666D01* -X97081882Y-131899118D01* -X97070334Y-131889642D01* -X97057160Y-131882600D01* -X97042866Y-131878264D01* -X97028000Y-131876800D01* -X96647000Y-131876800D01* -X96632134Y-131878264D01* -X96617840Y-131882600D01* -X96604666Y-131889642D01* -X96593118Y-131899118D01* -X96583642Y-131910666D01* -X96576600Y-131923840D01* -X96572264Y-131938134D01* -X96570800Y-131953000D01* -X96469200Y-131953000D01* -X96467736Y-131938134D01* -X96463400Y-131923840D01* -X96456358Y-131910666D01* -X96446882Y-131899118D01* -X96435334Y-131889642D01* -X96422160Y-131882600D01* -X96407866Y-131878264D01* -X96393000Y-131876800D01* -X96012000Y-131876800D01* -X95997134Y-131878264D01* -X95982840Y-131882600D01* -X95969666Y-131889642D01* -X95958118Y-131899118D01* -X95948642Y-131910666D01* -X95941600Y-131923840D01* -X95937264Y-131938134D01* -X95935800Y-131953000D01* -X94564200Y-131953000D01* -X94562736Y-131938134D01* -X94558400Y-131923840D01* -X94551358Y-131910666D01* -X94541882Y-131899118D01* -X94530334Y-131889642D01* -X94517160Y-131882600D01* -X94502866Y-131878264D01* -X94488000Y-131876800D01* -X94107000Y-131876800D01* -X94092134Y-131878264D01* -X94077840Y-131882600D01* -X94064666Y-131889642D01* -X94053118Y-131899118D01* -X94043642Y-131910666D01* -X94036600Y-131923840D01* -X94032264Y-131938134D01* -X94030800Y-131953000D01* -X93929200Y-131953000D01* -X93927736Y-131938134D01* -X93923400Y-131923840D01* -X93916358Y-131910666D01* -X93906882Y-131899118D01* -X93895334Y-131889642D01* -X93882160Y-131882600D01* -X93867866Y-131878264D01* -X93853000Y-131876800D01* -X93472000Y-131876800D01* -X93457134Y-131878264D01* -X93442840Y-131882600D01* -X93429666Y-131889642D01* -X93418118Y-131899118D01* -X93408642Y-131910666D01* -X93401600Y-131923840D01* -X93397264Y-131938134D01* -X93395800Y-131953000D01* -X92024200Y-131953000D01* -X92022736Y-131938134D01* -X92018400Y-131923840D01* -X92011358Y-131910666D01* -X92001882Y-131899118D01* -X91990334Y-131889642D01* -X91977160Y-131882600D01* -X91962866Y-131878264D01* -X91948000Y-131876800D01* -X91567000Y-131876800D01* -X91552134Y-131878264D01* -X91537840Y-131882600D01* -X91524666Y-131889642D01* -X91513118Y-131899118D01* -X91503642Y-131910666D01* -X91496600Y-131923840D01* -X91492264Y-131938134D01* -X91490800Y-131953000D01* -X91389200Y-131953000D01* -X91387736Y-131938134D01* -X91383400Y-131923840D01* -X91376358Y-131910666D01* -X91366882Y-131899118D01* -X91355334Y-131889642D01* -X91342160Y-131882600D01* -X91327866Y-131878264D01* -X91313000Y-131876800D01* -X90932000Y-131876800D01* -X90917134Y-131878264D01* -X90902840Y-131882600D01* -X90889666Y-131889642D01* -X90878118Y-131899118D01* -X90868642Y-131910666D01* -X90861600Y-131923840D01* -X90857264Y-131938134D01* -X90855800Y-131953000D01* -X89484200Y-131953000D01* -X89482736Y-131938134D01* -X89478400Y-131923840D01* -X89471358Y-131910666D01* -X89461882Y-131899118D01* -X89450334Y-131889642D01* -X89437160Y-131882600D01* -X89422866Y-131878264D01* -X89408000Y-131876800D01* -X89027000Y-131876800D01* -X89012134Y-131878264D01* -X88997840Y-131882600D01* -X88984666Y-131889642D01* -X88973118Y-131899118D01* -X88963642Y-131910666D01* -X88956600Y-131923840D01* -X88952264Y-131938134D01* -X88950800Y-131953000D01* -X88849200Y-131953000D01* -X88847736Y-131938134D01* -X88843400Y-131923840D01* -X88836358Y-131910666D01* -X88826882Y-131899118D01* -X88815334Y-131889642D01* -X88802160Y-131882600D01* -X88787866Y-131878264D01* -X88773000Y-131876800D01* -X88392000Y-131876800D01* -X88377134Y-131878264D01* -X88362840Y-131882600D01* -X88349666Y-131889642D01* -X88338118Y-131899118D01* -X88328642Y-131910666D01* -X88321600Y-131923840D01* -X88317264Y-131938134D01* -X88315800Y-131953000D01* -X86944200Y-131953000D01* -X86942736Y-131938134D01* -X86938400Y-131923840D01* -X86931358Y-131910666D01* -X86921882Y-131899118D01* -X86910334Y-131889642D01* -X86897160Y-131882600D01* -X86882866Y-131878264D01* -X86868000Y-131876800D01* -X86487000Y-131876800D01* -X86472134Y-131878264D01* -X86457840Y-131882600D01* -X86444666Y-131889642D01* -X86433118Y-131899118D01* -X86423642Y-131910666D01* -X86416600Y-131923840D01* -X86412264Y-131938134D01* -X86410800Y-131953000D01* -X86309200Y-131953000D01* -X86307736Y-131938134D01* -X86303400Y-131923840D01* -X86296358Y-131910666D01* -X86286882Y-131899118D01* -X86275334Y-131889642D01* -X86262160Y-131882600D01* -X86247866Y-131878264D01* -X86233000Y-131876800D01* -X85852000Y-131876800D01* -X85837134Y-131878264D01* -X85822840Y-131882600D01* -X85809666Y-131889642D01* -X85798118Y-131899118D01* -X85788642Y-131910666D01* -X85781600Y-131923840D01* -X85777264Y-131938134D01* -X85775800Y-131953000D01* -X84404200Y-131953000D01* -X84402736Y-131938134D01* -X84398400Y-131923840D01* -X84391358Y-131910666D01* -X84381882Y-131899118D01* -X84370334Y-131889642D01* -X84357160Y-131882600D01* -X84342866Y-131878264D01* -X84328000Y-131876800D01* -X83947000Y-131876800D01* -X83932134Y-131878264D01* -X83917840Y-131882600D01* -X83904666Y-131889642D01* -X83893118Y-131899118D01* -X83883642Y-131910666D01* -X83876600Y-131923840D01* -X83872264Y-131938134D01* -X83870800Y-131953000D01* -X83769200Y-131953000D01* -X83767736Y-131938134D01* -X83763400Y-131923840D01* -X83756358Y-131910666D01* -X83746882Y-131899118D01* -X83735334Y-131889642D01* -X83722160Y-131882600D01* -X83707866Y-131878264D01* -X83693000Y-131876800D01* -X83312000Y-131876800D01* -X83297134Y-131878264D01* -X83282840Y-131882600D01* -X83269666Y-131889642D01* -X83258118Y-131899118D01* -X83248642Y-131910666D01* -X83241600Y-131923840D01* -X83237264Y-131938134D01* -X83235800Y-131953000D01* -X81864200Y-131953000D01* -X81862736Y-131938134D01* -X81858400Y-131923840D01* -X81851358Y-131910666D01* -X81841882Y-131899118D01* -X81830334Y-131889642D01* -X81817160Y-131882600D01* -X81802866Y-131878264D01* -X81788000Y-131876800D01* -X81407000Y-131876800D01* -X81392134Y-131878264D01* -X81377840Y-131882600D01* -X81364666Y-131889642D01* -X81353118Y-131899118D01* -X81343642Y-131910666D01* -X81336600Y-131923840D01* -X81332264Y-131938134D01* -X81330800Y-131953000D01* -X81229200Y-131953000D01* -X81227736Y-131938134D01* -X81223400Y-131923840D01* -X81216358Y-131910666D01* -X81206882Y-131899118D01* -X81195334Y-131889642D01* -X81182160Y-131882600D01* -X81167866Y-131878264D01* -X81153000Y-131876800D01* -X80772000Y-131876800D01* -X80757134Y-131878264D01* -X80742840Y-131882600D01* -X80729666Y-131889642D01* -X80718118Y-131899118D01* -X80708642Y-131910666D01* -X80701600Y-131923840D01* -X80697264Y-131938134D01* -X80695800Y-131953000D01* -X79324200Y-131953000D01* -X79322736Y-131938134D01* -X79318400Y-131923840D01* -X79311358Y-131910666D01* -X79301882Y-131899118D01* -X79290334Y-131889642D01* -X79277160Y-131882600D01* -X79262866Y-131878264D01* -X79248000Y-131876800D01* -X78867000Y-131876800D01* -X78852134Y-131878264D01* -X78837840Y-131882600D01* -X78824666Y-131889642D01* -X78813118Y-131899118D01* -X78803642Y-131910666D01* -X78796600Y-131923840D01* -X78792264Y-131938134D01* -X78790800Y-131953000D01* -X78689200Y-131953000D01* -X78687736Y-131938134D01* -X78683400Y-131923840D01* -X78676358Y-131910666D01* -X78666882Y-131899118D01* -X78655334Y-131889642D01* -X78642160Y-131882600D01* -X78627866Y-131878264D01* -X78613000Y-131876800D01* -X78232000Y-131876800D01* -X78217134Y-131878264D01* -X78202840Y-131882600D01* -X78189666Y-131889642D01* -X78178118Y-131899118D01* -X78168642Y-131910666D01* -X78161600Y-131923840D01* -X78157264Y-131938134D01* -X78155800Y-131953000D01* -X74498200Y-131953000D01* -X74498200Y-131572000D01* -X74496736Y-131557134D01* -X74492400Y-131542840D01* -X74485358Y-131529666D01* -X74475882Y-131518118D01* -X74464334Y-131508642D01* -X74451160Y-131501600D01* -X74436866Y-131497264D01* -X74422000Y-131495800D01* -X72199386Y-131495800D01* -X72215208Y-131457603D01* -X72233600Y-131365138D01* -X72233600Y-131270862D01* -X76991400Y-131270862D01* -X76991400Y-131365138D01* -X77009792Y-131457603D01* -X77045870Y-131544702D01* -X77098247Y-131623090D01* -X77164910Y-131689753D01* -X77243298Y-131742130D01* -X77330397Y-131778208D01* -X77422862Y-131796600D01* -X77517138Y-131796600D01* -X77609603Y-131778208D01* -X77696702Y-131742130D01* -X77775090Y-131689753D01* -X77841753Y-131623090D01* -X77894130Y-131544702D01* -X77930208Y-131457603D01* -X77948600Y-131365138D01* -X77948600Y-131270862D01* -X79531400Y-131270862D01* -X79531400Y-131365138D01* -X79549792Y-131457603D01* -X79585870Y-131544702D01* -X79638247Y-131623090D01* -X79704910Y-131689753D01* -X79783298Y-131742130D01* -X79870397Y-131778208D01* -X79962862Y-131796600D01* -X80057138Y-131796600D01* -X80149603Y-131778208D01* -X80236702Y-131742130D01* -X80315090Y-131689753D01* -X80381753Y-131623090D01* -X80434130Y-131544702D01* -X80470208Y-131457603D01* -X80488600Y-131365138D01* -X80488600Y-131270862D01* -X82071400Y-131270862D01* -X82071400Y-131365138D01* -X82089792Y-131457603D01* -X82125870Y-131544702D01* -X82178247Y-131623090D01* -X82244910Y-131689753D01* -X82323298Y-131742130D01* -X82410397Y-131778208D01* -X82502862Y-131796600D01* -X82597138Y-131796600D01* -X82689603Y-131778208D01* -X82776702Y-131742130D01* -X82855090Y-131689753D01* -X82921753Y-131623090D01* -X82974130Y-131544702D01* -X83010208Y-131457603D01* -X83028600Y-131365138D01* -X83028600Y-131270862D01* -X84611400Y-131270862D01* -X84611400Y-131365138D01* -X84629792Y-131457603D01* -X84665870Y-131544702D01* -X84718247Y-131623090D01* -X84784910Y-131689753D01* -X84863298Y-131742130D01* -X84950397Y-131778208D01* -X85042862Y-131796600D01* -X85137138Y-131796600D01* -X85229603Y-131778208D01* -X85316702Y-131742130D01* -X85395090Y-131689753D01* -X85461753Y-131623090D01* -X85514130Y-131544702D01* -X85550208Y-131457603D01* -X85568600Y-131365138D01* -X85568600Y-131270862D01* -X87151400Y-131270862D01* -X87151400Y-131365138D01* -X87169792Y-131457603D01* -X87205870Y-131544702D01* -X87258247Y-131623090D01* -X87324910Y-131689753D01* -X87403298Y-131742130D01* -X87490397Y-131778208D01* -X87582862Y-131796600D01* -X87677138Y-131796600D01* -X87769603Y-131778208D01* -X87856702Y-131742130D01* -X87935090Y-131689753D01* -X88001753Y-131623090D01* -X88054130Y-131544702D01* -X88090208Y-131457603D01* -X88108600Y-131365138D01* -X88108600Y-131270862D01* -X89691400Y-131270862D01* -X89691400Y-131365138D01* -X89709792Y-131457603D01* -X89745870Y-131544702D01* -X89798247Y-131623090D01* -X89864910Y-131689753D01* -X89943298Y-131742130D01* -X90030397Y-131778208D01* -X90122862Y-131796600D01* -X90217138Y-131796600D01* -X90309603Y-131778208D01* -X90396702Y-131742130D01* -X90475090Y-131689753D01* -X90541753Y-131623090D01* -X90594130Y-131544702D01* -X90630208Y-131457603D01* -X90648600Y-131365138D01* -X90648600Y-131270862D01* -X92231400Y-131270862D01* -X92231400Y-131365138D01* -X92249792Y-131457603D01* -X92285870Y-131544702D01* -X92338247Y-131623090D01* -X92404910Y-131689753D01* -X92483298Y-131742130D01* -X92570397Y-131778208D01* -X92662862Y-131796600D01* -X92757138Y-131796600D01* -X92849603Y-131778208D01* -X92936702Y-131742130D01* -X93015090Y-131689753D01* -X93081753Y-131623090D01* -X93134130Y-131544702D01* -X93170208Y-131457603D01* -X93188600Y-131365138D01* -X93188600Y-131270862D01* -X94771400Y-131270862D01* -X94771400Y-131365138D01* -X94789792Y-131457603D01* -X94825870Y-131544702D01* -X94878247Y-131623090D01* -X94944910Y-131689753D01* -X95023298Y-131742130D01* -X95110397Y-131778208D01* -X95202862Y-131796600D01* -X95297138Y-131796600D01* -X95389603Y-131778208D01* -X95476702Y-131742130D01* -X95555090Y-131689753D01* -X95621753Y-131623090D01* -X95674130Y-131544702D01* -X95710208Y-131457603D01* -X95728600Y-131365138D01* -X95728600Y-131270862D01* -X97311400Y-131270862D01* -X97311400Y-131365138D01* -X97329792Y-131457603D01* -X97365870Y-131544702D01* -X97418247Y-131623090D01* -X97484910Y-131689753D01* -X97563298Y-131742130D01* -X97650397Y-131778208D01* -X97742862Y-131796600D01* -X97837138Y-131796600D01* -X97929603Y-131778208D01* -X98016702Y-131742130D01* -X98095090Y-131689753D01* -X98161753Y-131623090D01* -X98214130Y-131544702D01* -X98250208Y-131457603D01* -X98268600Y-131365138D01* -X98268600Y-131270862D01* -X99851400Y-131270862D01* -X99851400Y-131365138D01* -X99869792Y-131457603D01* -X99905870Y-131544702D01* -X99958247Y-131623090D01* -X100024910Y-131689753D01* -X100103298Y-131742130D01* -X100190397Y-131778208D01* -X100282862Y-131796600D01* -X100377138Y-131796600D01* -X100469603Y-131778208D01* -X100556702Y-131742130D01* -X100635090Y-131689753D01* -X100701753Y-131623090D01* -X100754130Y-131544702D01* -X100790208Y-131457603D01* -X100808600Y-131365138D01* -X100808600Y-131270862D01* -X102391400Y-131270862D01* -X102391400Y-131365138D01* -X102409792Y-131457603D01* -X102445870Y-131544702D01* -X102498247Y-131623090D01* -X102564910Y-131689753D01* -X102643298Y-131742130D01* -X102730397Y-131778208D01* -X102822862Y-131796600D01* -X102917138Y-131796600D01* -X103009603Y-131778208D01* -X103096702Y-131742130D01* -X103175090Y-131689753D01* -X103241753Y-131623090D01* -X103294130Y-131544702D01* -X103330208Y-131457603D01* -X103348600Y-131365138D01* -X103348600Y-131270862D01* -X104931400Y-131270862D01* -X104931400Y-131365138D01* -X104949792Y-131457603D01* -X104985870Y-131544702D01* -X105038247Y-131623090D01* -X105104910Y-131689753D01* -X105183298Y-131742130D01* -X105270397Y-131778208D01* -X105362862Y-131796600D01* -X105457138Y-131796600D01* -X105549603Y-131778208D01* -X105636702Y-131742130D01* -X105715090Y-131689753D01* -X105781753Y-131623090D01* -X105834130Y-131544702D01* -X105870208Y-131457603D01* -X105888600Y-131365138D01* -X105888600Y-131270862D01* -X107471400Y-131270862D01* -X107471400Y-131365138D01* -X107489792Y-131457603D01* -X107525870Y-131544702D01* -X107578247Y-131623090D01* -X107644910Y-131689753D01* -X107723298Y-131742130D01* -X107810397Y-131778208D01* -X107902862Y-131796600D01* -X107997138Y-131796600D01* -X108089603Y-131778208D01* -X108176702Y-131742130D01* -X108255090Y-131689753D01* -X108321753Y-131623090D01* -X108374130Y-131544702D01* -X108410208Y-131457603D01* -X108428600Y-131365138D01* -X108428600Y-131270862D01* -X110011400Y-131270862D01* -X110011400Y-131365138D01* -X110029792Y-131457603D01* -X110065870Y-131544702D01* -X110118247Y-131623090D01* -X110184910Y-131689753D01* -X110263298Y-131742130D01* -X110350397Y-131778208D01* -X110442862Y-131796600D01* -X110537138Y-131796600D01* -X110629603Y-131778208D01* -X110716702Y-131742130D01* -X110795090Y-131689753D01* -X110861753Y-131623090D01* -X110914130Y-131544702D01* -X110950208Y-131457603D01* -X110968600Y-131365138D01* -X110968600Y-131270862D01* -X112551400Y-131270862D01* -X112551400Y-131365138D01* -X112569792Y-131457603D01* -X112605870Y-131544702D01* -X112658247Y-131623090D01* -X112724910Y-131689753D01* -X112803298Y-131742130D01* -X112890397Y-131778208D01* -X112982862Y-131796600D01* -X113077138Y-131796600D01* -X113169603Y-131778208D01* -X113256702Y-131742130D01* -X113335090Y-131689753D01* -X113401753Y-131623090D01* -X113454130Y-131544702D01* -X113490208Y-131457603D01* -X113508600Y-131365138D01* -X113508600Y-131270862D01* -X115091400Y-131270862D01* -X115091400Y-131365138D01* -X115109792Y-131457603D01* -X115145870Y-131544702D01* -X115198247Y-131623090D01* -X115264910Y-131689753D01* -X115343298Y-131742130D01* -X115430397Y-131778208D01* -X115522862Y-131796600D01* -X115617138Y-131796600D01* -X115709603Y-131778208D01* -X115796702Y-131742130D01* -X115875090Y-131689753D01* -X115941753Y-131623090D01* -X115994130Y-131544702D01* -X116030208Y-131457603D01* -X116048600Y-131365138D01* -X116048600Y-131270862D01* -X117631400Y-131270862D01* -X117631400Y-131365138D01* -X117649792Y-131457603D01* -X117685870Y-131544702D01* -X117738247Y-131623090D01* -X117804910Y-131689753D01* -X117883298Y-131742130D01* -X117970397Y-131778208D01* -X118062862Y-131796600D01* -X118157138Y-131796600D01* -X118249603Y-131778208D01* -X118336702Y-131742130D01* -X118415090Y-131689753D01* -X118481753Y-131623090D01* -X118534130Y-131544702D01* -X118570208Y-131457603D01* -X118588600Y-131365138D01* -X118588600Y-131270862D01* -X120171400Y-131270862D01* -X120171400Y-131365138D01* -X120189792Y-131457603D01* -X120225870Y-131544702D01* -X120278247Y-131623090D01* -X120344910Y-131689753D01* -X120423298Y-131742130D01* -X120510397Y-131778208D01* -X120602862Y-131796600D01* -X120697138Y-131796600D01* -X120789603Y-131778208D01* -X120876702Y-131742130D01* -X120955090Y-131689753D01* -X121021753Y-131623090D01* -X121074130Y-131544702D01* -X121110208Y-131457603D01* -X121128600Y-131365138D01* -X121128600Y-131270862D01* -X122711400Y-131270862D01* -X122711400Y-131365138D01* -X122729792Y-131457603D01* -X122765870Y-131544702D01* -X122818247Y-131623090D01* -X122884910Y-131689753D01* -X122963298Y-131742130D01* -X123050397Y-131778208D01* -X123142862Y-131796600D01* -X123237138Y-131796600D01* -X123329603Y-131778208D01* -X123416702Y-131742130D01* -X123495090Y-131689753D01* -X123561753Y-131623090D01* -X123614130Y-131544702D01* -X123650208Y-131457603D01* -X123668600Y-131365138D01* -X123668600Y-131270862D01* -X125251400Y-131270862D01* -X125251400Y-131365138D01* -X125269792Y-131457603D01* -X125305870Y-131544702D01* -X125358247Y-131623090D01* -X125424910Y-131689753D01* -X125503298Y-131742130D01* -X125590397Y-131778208D01* -X125682862Y-131796600D01* -X125777138Y-131796600D01* -X125869603Y-131778208D01* -X125956702Y-131742130D01* -X126035090Y-131689753D01* -X126101753Y-131623090D01* -X126154130Y-131544702D01* -X126190208Y-131457603D01* -X126208600Y-131365138D01* -X126208600Y-131270862D01* -X127791400Y-131270862D01* -X127791400Y-131365138D01* -X127809792Y-131457603D01* -X127845870Y-131544702D01* -X127898247Y-131623090D01* -X127964910Y-131689753D01* -X128043298Y-131742130D01* -X128130397Y-131778208D01* -X128222862Y-131796600D01* -X128317138Y-131796600D01* -X128409603Y-131778208D01* -X128496702Y-131742130D01* -X128575090Y-131689753D01* -X128641753Y-131623090D01* -X128694130Y-131544702D01* -X128730208Y-131457603D01* -X128748600Y-131365138D01* -X128748600Y-131270862D01* -X130331400Y-131270862D01* -X130331400Y-131365138D01* -X130349792Y-131457603D01* -X130385870Y-131544702D01* -X130438247Y-131623090D01* -X130504910Y-131689753D01* -X130583298Y-131742130D01* -X130670397Y-131778208D01* -X130762862Y-131796600D01* -X130857138Y-131796600D01* -X130949603Y-131778208D01* -X131036702Y-131742130D01* -X131115090Y-131689753D01* -X131181753Y-131623090D01* -X131234130Y-131544702D01* -X131270208Y-131457603D01* -X131288600Y-131365138D01* -X131288600Y-131270862D01* -X131270208Y-131178397D01* -X131255903Y-131143862D01* -X131601400Y-131143862D01* -X131601400Y-131238138D01* -X131619792Y-131330603D01* -X131655870Y-131417702D01* -X131708247Y-131496090D01* -X131774910Y-131562753D01* -X131853298Y-131615130D01* -X131940397Y-131651208D01* -X132032862Y-131669600D01* -X132127138Y-131669600D01* -X132219603Y-131651208D01* -X132306702Y-131615130D01* -X132385090Y-131562753D01* -X132451753Y-131496090D01* -X132504130Y-131417702D01* -X132540208Y-131330603D01* -X132552090Y-131270862D01* -X132871400Y-131270862D01* -X132871400Y-131365138D01* -X132889792Y-131457603D01* -X132925870Y-131544702D01* -X132978247Y-131623090D01* -X133044910Y-131689753D01* -X133123298Y-131742130D01* -X133210397Y-131778208D01* -X133302862Y-131796600D01* -X133397138Y-131796600D01* -X133489603Y-131778208D01* -X133576702Y-131742130D01* -X133655090Y-131689753D01* -X133721753Y-131623090D01* -X133774130Y-131544702D01* -X133810208Y-131457603D01* -X133828600Y-131365138D01* -X133828600Y-131270862D01* -X133810208Y-131178397D01* -X133795903Y-131143862D01* -X134141400Y-131143862D01* -X134141400Y-131238138D01* -X134159792Y-131330603D01* -X134195870Y-131417702D01* -X134248247Y-131496090D01* -X134314910Y-131562753D01* -X134393298Y-131615130D01* -X134480397Y-131651208D01* -X134572862Y-131669600D01* -X134667138Y-131669600D01* -X134759603Y-131651208D01* -X134846702Y-131615130D01* -X134925090Y-131562753D01* -X134991753Y-131496090D01* -X135044130Y-131417702D01* -X135080208Y-131330603D01* -X135092090Y-131270862D01* -X135411400Y-131270862D01* -X135411400Y-131365138D01* -X135429792Y-131457603D01* -X135465870Y-131544702D01* -X135518247Y-131623090D01* -X135584910Y-131689753D01* -X135663298Y-131742130D01* -X135750397Y-131778208D01* -X135842862Y-131796600D01* -X135937138Y-131796600D01* -X136029603Y-131778208D01* -X136116702Y-131742130D01* -X136195090Y-131689753D01* -X136261753Y-131623090D01* -X136314130Y-131544702D01* -X136350208Y-131457603D01* -X136368600Y-131365138D01* -X136368600Y-131270862D01* -X137951400Y-131270862D01* -X137951400Y-131365138D01* -X137969792Y-131457603D01* -X138005870Y-131544702D01* -X138058247Y-131623090D01* -X138124910Y-131689753D01* -X138203298Y-131742130D01* -X138290397Y-131778208D01* -X138382862Y-131796600D01* -X138477138Y-131796600D01* -X138569603Y-131778208D01* -X138656702Y-131742130D01* -X138735090Y-131689753D01* -X138801753Y-131623090D01* -X138854130Y-131544702D01* -X138890208Y-131457603D01* -X138908600Y-131365138D01* -X138908600Y-131270862D01* -X138890208Y-131178397D01* -X138854130Y-131091298D01* -X138801753Y-131012910D01* -X138735090Y-130946247D01* -X138656702Y-130893870D01* -X138569603Y-130857792D01* -X138477138Y-130839400D01* -X138382862Y-130839400D01* -X138290397Y-130857792D01* -X138203298Y-130893870D01* -X138124910Y-130946247D01* -X138058247Y-131012910D01* -X138005870Y-131091298D01* -X137969792Y-131178397D01* -X137951400Y-131270862D01* -X136368600Y-131270862D01* -X136350208Y-131178397D01* -X136314130Y-131091298D01* -X136261753Y-131012910D01* -X136195090Y-130946247D01* -X136116702Y-130893870D01* -X136029603Y-130857792D01* -X135937138Y-130839400D01* -X135842862Y-130839400D01* -X135750397Y-130857792D01* -X135663298Y-130893870D01* -X135584910Y-130946247D01* -X135518247Y-131012910D01* -X135465870Y-131091298D01* -X135429792Y-131178397D01* -X135411400Y-131270862D01* -X135092090Y-131270862D01* -X135098600Y-131238138D01* -X135098600Y-131143862D01* -X135080208Y-131051397D01* -X135044130Y-130964298D01* -X134991753Y-130885910D01* -X134925090Y-130819247D01* -X134846702Y-130766870D01* -X134759603Y-130730792D01* -X134667138Y-130712400D01* -X134572862Y-130712400D01* -X134480397Y-130730792D01* -X134393298Y-130766870D01* -X134314910Y-130819247D01* -X134248247Y-130885910D01* -X134195870Y-130964298D01* -X134159792Y-131051397D01* -X134141400Y-131143862D01* -X133795903Y-131143862D01* -X133774130Y-131091298D01* -X133721753Y-131012910D01* -X133655090Y-130946247D01* -X133576702Y-130893870D01* -X133489603Y-130857792D01* -X133397138Y-130839400D01* -X133302862Y-130839400D01* -X133210397Y-130857792D01* -X133123298Y-130893870D01* -X133044910Y-130946247D01* -X132978247Y-131012910D01* -X132925870Y-131091298D01* -X132889792Y-131178397D01* -X132871400Y-131270862D01* -X132552090Y-131270862D01* -X132558600Y-131238138D01* -X132558600Y-131143862D01* -X132540208Y-131051397D01* -X132504130Y-130964298D01* -X132451753Y-130885910D01* -X132385090Y-130819247D01* -X132306702Y-130766870D01* -X132219603Y-130730792D01* -X132127138Y-130712400D01* -X132032862Y-130712400D01* -X131940397Y-130730792D01* -X131853298Y-130766870D01* -X131774910Y-130819247D01* -X131708247Y-130885910D01* -X131655870Y-130964298D01* -X131619792Y-131051397D01* -X131601400Y-131143862D01* -X131255903Y-131143862D01* -X131234130Y-131091298D01* -X131181753Y-131012910D01* -X131115090Y-130946247D01* -X131036702Y-130893870D01* -X130949603Y-130857792D01* -X130857138Y-130839400D01* -X130762862Y-130839400D01* -X130670397Y-130857792D01* -X130583298Y-130893870D01* -X130504910Y-130946247D01* -X130438247Y-131012910D01* -X130385870Y-131091298D01* -X130349792Y-131178397D01* -X130331400Y-131270862D01* -X128748600Y-131270862D01* -X128730208Y-131178397D01* -X128694130Y-131091298D01* -X128641753Y-131012910D01* -X128575090Y-130946247D01* -X128496702Y-130893870D01* -X128409603Y-130857792D01* -X128317138Y-130839400D01* -X128222862Y-130839400D01* -X128130397Y-130857792D01* -X128043298Y-130893870D01* -X127964910Y-130946247D01* -X127898247Y-131012910D01* -X127845870Y-131091298D01* -X127809792Y-131178397D01* -X127791400Y-131270862D01* -X126208600Y-131270862D01* -X126190208Y-131178397D01* -X126154130Y-131091298D01* -X126101753Y-131012910D01* -X126035090Y-130946247D01* -X125956702Y-130893870D01* -X125869603Y-130857792D01* -X125777138Y-130839400D01* -X125682862Y-130839400D01* -X125590397Y-130857792D01* -X125503298Y-130893870D01* -X125424910Y-130946247D01* -X125358247Y-131012910D01* -X125305870Y-131091298D01* -X125269792Y-131178397D01* -X125251400Y-131270862D01* -X123668600Y-131270862D01* -X123650208Y-131178397D01* -X123614130Y-131091298D01* -X123561753Y-131012910D01* -X123495090Y-130946247D01* -X123416702Y-130893870D01* -X123329603Y-130857792D01* -X123237138Y-130839400D01* -X123142862Y-130839400D01* -X123050397Y-130857792D01* -X122963298Y-130893870D01* -X122884910Y-130946247D01* -X122818247Y-131012910D01* -X122765870Y-131091298D01* -X122729792Y-131178397D01* -X122711400Y-131270862D01* -X121128600Y-131270862D01* -X121110208Y-131178397D01* -X121074130Y-131091298D01* -X121021753Y-131012910D01* -X120955090Y-130946247D01* -X120876702Y-130893870D01* -X120789603Y-130857792D01* -X120697138Y-130839400D01* -X120602862Y-130839400D01* -X120510397Y-130857792D01* -X120423298Y-130893870D01* -X120344910Y-130946247D01* -X120278247Y-131012910D01* -X120225870Y-131091298D01* -X120189792Y-131178397D01* -X120171400Y-131270862D01* -X118588600Y-131270862D01* -X118570208Y-131178397D01* -X118534130Y-131091298D01* -X118481753Y-131012910D01* -X118415090Y-130946247D01* -X118336702Y-130893870D01* -X118249603Y-130857792D01* -X118157138Y-130839400D01* -X118062862Y-130839400D01* -X117970397Y-130857792D01* -X117883298Y-130893870D01* -X117804910Y-130946247D01* -X117738247Y-131012910D01* -X117685870Y-131091298D01* -X117649792Y-131178397D01* -X117631400Y-131270862D01* -X116048600Y-131270862D01* -X116030208Y-131178397D01* -X115994130Y-131091298D01* -X115941753Y-131012910D01* -X115875090Y-130946247D01* -X115796702Y-130893870D01* -X115709603Y-130857792D01* -X115617138Y-130839400D01* -X115522862Y-130839400D01* -X115430397Y-130857792D01* -X115343298Y-130893870D01* -X115264910Y-130946247D01* -X115198247Y-131012910D01* -X115145870Y-131091298D01* -X115109792Y-131178397D01* -X115091400Y-131270862D01* -X113508600Y-131270862D01* -X113490208Y-131178397D01* -X113454130Y-131091298D01* -X113401753Y-131012910D01* -X113335090Y-130946247D01* -X113256702Y-130893870D01* -X113169603Y-130857792D01* -X113077138Y-130839400D01* -X112982862Y-130839400D01* -X112890397Y-130857792D01* -X112803298Y-130893870D01* -X112724910Y-130946247D01* -X112658247Y-131012910D01* -X112605870Y-131091298D01* -X112569792Y-131178397D01* -X112551400Y-131270862D01* -X110968600Y-131270862D01* -X110950208Y-131178397D01* -X110914130Y-131091298D01* -X110861753Y-131012910D01* -X110795090Y-130946247D01* -X110716702Y-130893870D01* -X110629603Y-130857792D01* -X110537138Y-130839400D01* -X110442862Y-130839400D01* -X110350397Y-130857792D01* -X110263298Y-130893870D01* -X110184910Y-130946247D01* -X110118247Y-131012910D01* -X110065870Y-131091298D01* -X110029792Y-131178397D01* -X110011400Y-131270862D01* -X108428600Y-131270862D01* -X108410208Y-131178397D01* -X108374130Y-131091298D01* -X108321753Y-131012910D01* -X108255090Y-130946247D01* -X108176702Y-130893870D01* -X108089603Y-130857792D01* -X107997138Y-130839400D01* -X107902862Y-130839400D01* -X107810397Y-130857792D01* -X107723298Y-130893870D01* -X107644910Y-130946247D01* -X107578247Y-131012910D01* -X107525870Y-131091298D01* -X107489792Y-131178397D01* -X107471400Y-131270862D01* -X105888600Y-131270862D01* -X105870208Y-131178397D01* -X105834130Y-131091298D01* -X105781753Y-131012910D01* -X105715090Y-130946247D01* -X105636702Y-130893870D01* -X105549603Y-130857792D01* -X105457138Y-130839400D01* -X105362862Y-130839400D01* -X105270397Y-130857792D01* -X105183298Y-130893870D01* -X105104910Y-130946247D01* -X105038247Y-131012910D01* -X104985870Y-131091298D01* -X104949792Y-131178397D01* -X104931400Y-131270862D01* -X103348600Y-131270862D01* -X103330208Y-131178397D01* -X103294130Y-131091298D01* -X103241753Y-131012910D01* -X103175090Y-130946247D01* -X103096702Y-130893870D01* -X103009603Y-130857792D01* -X102917138Y-130839400D01* -X102822862Y-130839400D01* -X102730397Y-130857792D01* -X102643298Y-130893870D01* -X102564910Y-130946247D01* -X102498247Y-131012910D01* -X102445870Y-131091298D01* -X102409792Y-131178397D01* -X102391400Y-131270862D01* -X100808600Y-131270862D01* -X100790208Y-131178397D01* -X100754130Y-131091298D01* -X100701753Y-131012910D01* -X100635090Y-130946247D01* -X100556702Y-130893870D01* -X100469603Y-130857792D01* -X100377138Y-130839400D01* -X100282862Y-130839400D01* -X100190397Y-130857792D01* -X100103298Y-130893870D01* -X100024910Y-130946247D01* -X99958247Y-131012910D01* -X99905870Y-131091298D01* -X99869792Y-131178397D01* -X99851400Y-131270862D01* -X98268600Y-131270862D01* -X98250208Y-131178397D01* -X98214130Y-131091298D01* -X98161753Y-131012910D01* -X98095090Y-130946247D01* -X98016702Y-130893870D01* -X97929603Y-130857792D01* -X97837138Y-130839400D01* -X97742862Y-130839400D01* -X97650397Y-130857792D01* -X97563298Y-130893870D01* -X97484910Y-130946247D01* -X97418247Y-131012910D01* -X97365870Y-131091298D01* -X97329792Y-131178397D01* -X97311400Y-131270862D01* -X95728600Y-131270862D01* -X95710208Y-131178397D01* -X95674130Y-131091298D01* -X95621753Y-131012910D01* -X95555090Y-130946247D01* -X95476702Y-130893870D01* -X95389603Y-130857792D01* -X95297138Y-130839400D01* -X95202862Y-130839400D01* -X95110397Y-130857792D01* -X95023298Y-130893870D01* -X94944910Y-130946247D01* -X94878247Y-131012910D01* -X94825870Y-131091298D01* -X94789792Y-131178397D01* -X94771400Y-131270862D01* -X93188600Y-131270862D01* -X93170208Y-131178397D01* -X93134130Y-131091298D01* -X93081753Y-131012910D01* -X93015090Y-130946247D01* -X92936702Y-130893870D01* -X92849603Y-130857792D01* -X92757138Y-130839400D01* -X92662862Y-130839400D01* -X92570397Y-130857792D01* -X92483298Y-130893870D01* -X92404910Y-130946247D01* -X92338247Y-131012910D01* -X92285870Y-131091298D01* -X92249792Y-131178397D01* -X92231400Y-131270862D01* -X90648600Y-131270862D01* -X90630208Y-131178397D01* -X90594130Y-131091298D01* -X90541753Y-131012910D01* -X90475090Y-130946247D01* -X90396702Y-130893870D01* -X90309603Y-130857792D01* -X90217138Y-130839400D01* -X90122862Y-130839400D01* -X90030397Y-130857792D01* -X89943298Y-130893870D01* -X89864910Y-130946247D01* -X89798247Y-131012910D01* -X89745870Y-131091298D01* -X89709792Y-131178397D01* -X89691400Y-131270862D01* -X88108600Y-131270862D01* -X88090208Y-131178397D01* -X88054130Y-131091298D01* -X88001753Y-131012910D01* -X87935090Y-130946247D01* -X87856702Y-130893870D01* -X87769603Y-130857792D01* -X87677138Y-130839400D01* -X87582862Y-130839400D01* -X87490397Y-130857792D01* -X87403298Y-130893870D01* -X87324910Y-130946247D01* -X87258247Y-131012910D01* -X87205870Y-131091298D01* -X87169792Y-131178397D01* -X87151400Y-131270862D01* -X85568600Y-131270862D01* -X85550208Y-131178397D01* -X85514130Y-131091298D01* -X85461753Y-131012910D01* -X85395090Y-130946247D01* -X85316702Y-130893870D01* -X85229603Y-130857792D01* -X85137138Y-130839400D01* -X85042862Y-130839400D01* -X84950397Y-130857792D01* -X84863298Y-130893870D01* -X84784910Y-130946247D01* -X84718247Y-131012910D01* -X84665870Y-131091298D01* -X84629792Y-131178397D01* -X84611400Y-131270862D01* -X83028600Y-131270862D01* -X83010208Y-131178397D01* -X82974130Y-131091298D01* -X82921753Y-131012910D01* -X82855090Y-130946247D01* -X82776702Y-130893870D01* -X82689603Y-130857792D01* -X82597138Y-130839400D01* -X82502862Y-130839400D01* -X82410397Y-130857792D01* -X82323298Y-130893870D01* -X82244910Y-130946247D01* -X82178247Y-131012910D01* -X82125870Y-131091298D01* -X82089792Y-131178397D01* -X82071400Y-131270862D01* -X80488600Y-131270862D01* -X80470208Y-131178397D01* -X80434130Y-131091298D01* -X80381753Y-131012910D01* -X80315090Y-130946247D01* -X80236702Y-130893870D01* -X80149603Y-130857792D01* -X80057138Y-130839400D01* -X79962862Y-130839400D01* -X79870397Y-130857792D01* -X79783298Y-130893870D01* -X79704910Y-130946247D01* -X79638247Y-131012910D01* -X79585870Y-131091298D01* -X79549792Y-131178397D01* -X79531400Y-131270862D01* -X77948600Y-131270862D01* -X77930208Y-131178397D01* -X77894130Y-131091298D01* -X77841753Y-131012910D01* -X77775090Y-130946247D01* -X77696702Y-130893870D01* -X77609603Y-130857792D01* -X77517138Y-130839400D01* -X77422862Y-130839400D01* -X77330397Y-130857792D01* -X77243298Y-130893870D01* -X77164910Y-130946247D01* -X77098247Y-131012910D01* -X77045870Y-131091298D01* -X77009792Y-131178397D01* -X76991400Y-131270862D01* -X72233600Y-131270862D01* -X72215208Y-131178397D01* -X72179130Y-131091298D01* -X72126753Y-131012910D01* -X72060090Y-130946247D01* -X71981702Y-130893870D01* -X71894603Y-130857792D01* -X71802138Y-130839400D01* -X71707862Y-130839400D01* -X71615397Y-130857792D01* -X71528298Y-130893870D01* -X71449910Y-130946247D01* -X71383247Y-131012910D01* -X71330870Y-131091298D01* -X71294792Y-131178397D01* -X71276400Y-131270862D01* -X71276400Y-131365138D01* -X71294792Y-131457603D01* -X71310614Y-131495800D01* -X67119386Y-131495800D01* -X67135208Y-131457603D01* -X67153600Y-131365138D01* -X67153600Y-131270862D01* -X67135208Y-131178397D01* -X67099130Y-131091298D01* -X67046753Y-131012910D01* -X66980090Y-130946247D01* -X66901702Y-130893870D01* -X66814603Y-130857792D01* -X66722138Y-130839400D01* -X66627862Y-130839400D01* -X66535397Y-130857792D01* -X66448298Y-130893870D01* -X66369910Y-130946247D01* -X66303247Y-131012910D01* -X66250870Y-131091298D01* -X66214792Y-131178397D01* -X66196400Y-131270862D01* -X66196400Y-131365138D01* -X66214792Y-131457603D01* -X66230614Y-131495800D01* -X62039386Y-131495800D01* -X62055208Y-131457603D01* -X62073600Y-131365138D01* -X62073600Y-131270862D01* -X62055208Y-131178397D01* -X62019130Y-131091298D01* -X61966753Y-131012910D01* -X61900090Y-130946247D01* -X61821702Y-130893870D01* -X61734603Y-130857792D01* -X61642138Y-130839400D01* -X61547862Y-130839400D01* -X61455397Y-130857792D01* -X61368298Y-130893870D01* -X61289910Y-130946247D01* -X61223247Y-131012910D01* -X61170870Y-131091298D01* -X61134792Y-131178397D01* -X61116400Y-131270862D01* -X61116400Y-131365138D01* -X61134792Y-131457603D01* -X61150614Y-131495800D01* -X56959386Y-131495800D01* -X56975208Y-131457603D01* -X56993600Y-131365138D01* -X56993600Y-131270862D01* -X56975208Y-131178397D01* -X56939130Y-131091298D01* -X56886753Y-131012910D01* -X56820090Y-130946247D01* -X56741702Y-130893870D01* -X56654603Y-130857792D01* -X56562138Y-130839400D01* -X56467862Y-130839400D01* -X56375397Y-130857792D01* -X56288298Y-130893870D01* -X56209910Y-130946247D01* -X56143247Y-131012910D01* -X56090870Y-131091298D01* -X56054792Y-131178397D01* -X56036400Y-131270862D01* -X56036400Y-131365138D01* -X56054792Y-131457603D01* -X56070614Y-131495800D01* -X51879386Y-131495800D01* -X51895208Y-131457603D01* -X51913600Y-131365138D01* -X51913600Y-131270862D01* -X51895208Y-131178397D01* -X51859130Y-131091298D01* -X51806753Y-131012910D01* -X51740090Y-130946247D01* -X51661702Y-130893870D01* -X51574603Y-130857792D01* -X51482138Y-130839400D01* -X51387862Y-130839400D01* -X51295397Y-130857792D01* -X51208298Y-130893870D01* -X51129910Y-130946247D01* -X51063247Y-131012910D01* -X51010870Y-131091298D01* -X50974792Y-131178397D01* -X50956400Y-131270862D01* -X50956400Y-131365138D01* -X50974792Y-131457603D01* -X50990614Y-131495800D01* -X48577386Y-131495800D01* -X48593208Y-131457603D01* -X48611600Y-131365138D01* -X48611600Y-131270862D01* -X48593208Y-131178397D01* -X48557130Y-131091298D01* -X48504753Y-131012910D01* -X48438090Y-130946247D01* -X48359702Y-130893870D01* -X48272603Y-130857792D01* -X48180138Y-130839400D01* -X48085862Y-130839400D01* -X47993397Y-130857792D01* -X47906298Y-130893870D01* -X47827910Y-130946247D01* -X47761247Y-131012910D01* -X47708870Y-131091298D01* -X47672792Y-131178397D01* -X47654400Y-131270862D01* -X47654400Y-131365138D01* -X47661326Y-131399956D01* -X47522951Y-131372281D01* -X47035140Y-131128376D01* -X46544624Y-130637860D01* -X46300719Y-130150049D01* -X46273044Y-130011674D01* -X46307862Y-130018600D01* -X46402138Y-130018600D01* -X46494603Y-130000208D01* -X46581702Y-129964130D01* -X46660090Y-129911753D01* -X46726753Y-129845090D01* -X46779130Y-129766702D01* -X46815208Y-129679603D01* -X46833600Y-129587138D01* -X46833600Y-129492862D01* -X46830662Y-129478088D01* -X73920400Y-129478088D01* -X73920400Y-129601912D01* -X73944556Y-129723356D01* -X73991941Y-129837754D01* -X74060734Y-129940709D01* -X74148291Y-130028266D01* -X74251246Y-130097059D01* -X74365644Y-130144444D01* -X74487088Y-130168600D01* -X74610912Y-130168600D01* -X74732356Y-130144444D01* -X74846754Y-130097059D01* -X74949709Y-130028266D01* -X75037266Y-129940709D01* -X75106059Y-129837754D01* -X75153444Y-129723356D01* -X75177600Y-129601912D01* -X75177600Y-129478088D01* -X75571400Y-129478088D01* -X75571400Y-129601912D01* -X75595556Y-129723356D01* -X75642941Y-129837754D01* -X75711734Y-129940709D01* -X75799291Y-130028266D01* -X75902246Y-130097059D01* -X76016644Y-130144444D01* -X76138088Y-130168600D01* -X76261912Y-130168600D01* -X76383356Y-130144444D01* -X76497754Y-130097059D01* -X76600709Y-130028266D01* -X76688266Y-129940709D01* -X76746964Y-129852862D01* -X80271400Y-129852862D01* -X80271400Y-129947138D01* -X80289792Y-130039603D01* -X80325870Y-130126702D01* -X80378247Y-130205090D01* -X80444910Y-130271753D01* -X80523298Y-130324130D01* -X80610397Y-130360208D01* -X80702862Y-130378600D01* -X80797138Y-130378600D01* -X80889603Y-130360208D01* -X80976702Y-130324130D01* -X81055090Y-130271753D01* -X81121753Y-130205090D01* -X81174130Y-130126702D01* -X81210208Y-130039603D01* -X81228600Y-129947138D01* -X81228600Y-129902862D01* -X86371400Y-129902862D01* -X86371400Y-129997138D01* -X86389792Y-130089603D01* -X86425870Y-130176702D01* -X86478247Y-130255090D01* -X86544910Y-130321753D01* -X86623298Y-130374130D01* -X86710397Y-130410208D01* -X86802862Y-130428600D01* -X86897138Y-130428600D01* -X86989603Y-130410208D01* -X87076702Y-130374130D01* -X87155090Y-130321753D01* -X87221753Y-130255090D01* -X87274130Y-130176702D01* -X87310208Y-130089603D01* -X87328600Y-129997138D01* -X87328600Y-129902862D01* -X87310208Y-129810397D01* -X87274130Y-129723298D01* -X87221753Y-129644910D01* -X87179705Y-129602862D01* -X87571400Y-129602862D01* -X87571400Y-129697138D01* -X87589792Y-129789603D01* -X87625870Y-129876702D01* -X87678247Y-129955090D01* -X87744910Y-130021753D01* -X87823298Y-130074130D01* -X87910397Y-130110208D01* -X88002862Y-130128600D01* -X88097138Y-130128600D01* -X88189603Y-130110208D01* -X88276702Y-130074130D01* -X88355090Y-130021753D01* -X88421753Y-129955090D01* -X88474130Y-129876702D01* -X88504715Y-129802862D01* -X88921400Y-129802862D01* -X88921400Y-129897138D01* -X88939792Y-129989603D01* -X88975870Y-130076702D01* -X89028247Y-130155090D01* -X89094910Y-130221753D01* -X89173298Y-130274130D01* -X89260397Y-130310208D01* -X89352862Y-130328600D01* -X89447138Y-130328600D01* -X89539603Y-130310208D01* -X89626702Y-130274130D01* -X89705090Y-130221753D01* -X89771753Y-130155090D01* -X89824130Y-130076702D01* -X89860208Y-129989603D01* -X89878600Y-129897138D01* -X89878600Y-129802862D01* -X89860208Y-129710397D01* -X89824130Y-129623298D01* -X89771753Y-129544910D01* -X89705090Y-129478247D01* -X89626702Y-129425870D01* -X89539603Y-129389792D01* -X89447138Y-129371400D01* -X89352862Y-129371400D01* -X89260397Y-129389792D01* -X89173298Y-129425870D01* -X89094910Y-129478247D01* -X89028247Y-129544910D01* -X88975870Y-129623298D01* -X88939792Y-129710397D01* -X88921400Y-129802862D01* -X88504715Y-129802862D01* -X88510208Y-129789603D01* -X88528600Y-129697138D01* -X88528600Y-129602862D01* -X88510208Y-129510397D01* -X88474130Y-129423298D01* -X88421753Y-129344910D01* -X88379705Y-129302862D01* -X89821400Y-129302862D01* -X89821400Y-129397138D01* -X89839792Y-129489603D01* -X89875870Y-129576702D01* -X89928247Y-129655090D01* -X89994910Y-129721753D01* -X90073298Y-129774130D01* -X90160397Y-129810208D01* -X90252862Y-129828600D01* -X90347138Y-129828600D01* -X90439603Y-129810208D01* -X90457337Y-129802862D01* -X91471400Y-129802862D01* -X91471400Y-129897138D01* -X91489792Y-129989603D01* -X91525870Y-130076702D01* -X91578247Y-130155090D01* -X91644910Y-130221753D01* -X91723298Y-130274130D01* -X91810397Y-130310208D01* -X91902862Y-130328600D01* -X91997138Y-130328600D01* -X92089603Y-130310208D01* -X92176702Y-130274130D01* -X92255090Y-130221753D01* -X92321753Y-130155090D01* -X92374130Y-130076702D01* -X92410208Y-129989603D01* -X92428600Y-129897138D01* -X92428600Y-129852862D01* -X94021400Y-129852862D01* -X94021400Y-129947138D01* -X94039792Y-130039603D01* -X94075870Y-130126702D01* -X94128247Y-130205090D01* -X94194910Y-130271753D01* -X94273298Y-130324130D01* -X94360397Y-130360208D01* -X94452862Y-130378600D01* -X94547138Y-130378600D01* -X94639603Y-130360208D01* -X94726702Y-130324130D01* -X94805090Y-130271753D01* -X94871753Y-130205090D01* -X94924130Y-130126702D01* -X94960208Y-130039603D01* -X94978600Y-129947138D01* -X94978600Y-129852862D01* -X94960208Y-129760397D01* -X94924130Y-129673298D01* -X94910476Y-129652862D01* -X101621400Y-129652862D01* -X101621400Y-129747138D01* -X101639792Y-129839603D01* -X101675870Y-129926702D01* -X101728247Y-130005090D01* -X101794910Y-130071753D01* -X101873298Y-130124130D01* -X101960397Y-130160208D01* -X102052862Y-130178600D01* -X102147138Y-130178600D01* -X102239603Y-130160208D01* -X102326702Y-130124130D01* -X102405090Y-130071753D01* -X102471753Y-130005090D01* -X102524130Y-129926702D01* -X102560208Y-129839603D01* -X102578600Y-129747138D01* -X102578600Y-129652862D01* -X102560208Y-129560397D01* -X102524130Y-129473298D01* -X102471753Y-129394910D01* -X102405090Y-129328247D01* -X102326702Y-129275870D01* -X102239603Y-129239792D01* -X102147138Y-129221400D01* -X102052862Y-129221400D01* -X101960397Y-129239792D01* -X101873298Y-129275870D01* -X101794910Y-129328247D01* -X101728247Y-129394910D01* -X101675870Y-129473298D01* -X101639792Y-129560397D01* -X101621400Y-129652862D01* -X94910476Y-129652862D01* -X94871753Y-129594910D01* -X94805090Y-129528247D01* -X94726702Y-129475870D01* -X94639603Y-129439792D01* -X94547138Y-129421400D01* -X94452862Y-129421400D01* -X94360397Y-129439792D01* -X94273298Y-129475870D01* -X94194910Y-129528247D01* -X94128247Y-129594910D01* -X94075870Y-129673298D01* -X94039792Y-129760397D01* -X94021400Y-129852862D01* -X92428600Y-129852862D01* -X92428600Y-129802862D01* -X92410208Y-129710397D01* -X92374130Y-129623298D01* -X92321753Y-129544910D01* -X92255090Y-129478247D01* -X92176702Y-129425870D01* -X92089603Y-129389792D01* -X91997138Y-129371400D01* -X91902862Y-129371400D01* -X91810397Y-129389792D01* -X91723298Y-129425870D01* -X91644910Y-129478247D01* -X91578247Y-129544910D01* -X91525870Y-129623298D01* -X91489792Y-129710397D01* -X91471400Y-129802862D01* -X90457337Y-129802862D01* -X90526702Y-129774130D01* -X90605090Y-129721753D01* -X90671753Y-129655090D01* -X90724130Y-129576702D01* -X90760208Y-129489603D01* -X90778600Y-129397138D01* -X90778600Y-129302862D01* -X90760208Y-129210397D01* -X90724130Y-129123298D01* -X90671753Y-129044910D01* -X90629705Y-129002862D01* -X92071400Y-129002862D01* -X92071400Y-129097138D01* -X92089792Y-129189603D01* -X92125870Y-129276702D01* -X92178247Y-129355090D01* -X92244910Y-129421753D01* -X92323298Y-129474130D01* -X92410397Y-129510208D01* -X92502862Y-129528600D01* -X92597138Y-129528600D01* -X92689603Y-129510208D01* -X92776702Y-129474130D01* -X92855090Y-129421753D01* -X92921753Y-129355090D01* -X92974130Y-129276702D01* -X93010208Y-129189603D01* -X93028600Y-129097138D01* -X93028600Y-129052862D01* -X102671400Y-129052862D01* -X102671400Y-129147138D01* -X102689792Y-129239603D01* -X102725870Y-129326702D01* -X102778247Y-129405090D01* -X102844910Y-129471753D01* -X102923298Y-129524130D01* -X103010397Y-129560208D01* -X103102862Y-129578600D01* -X103197138Y-129578600D01* -X103289603Y-129560208D01* -X103376702Y-129524130D01* -X103445608Y-129478088D01* -X114814400Y-129478088D01* -X114814400Y-129601912D01* -X114838556Y-129723356D01* -X114885941Y-129837754D01* -X114954734Y-129940709D01* -X115042291Y-130028266D01* -X115145246Y-130097059D01* -X115259644Y-130144444D01* -X115381088Y-130168600D01* -X115504912Y-130168600D01* -X115626356Y-130144444D01* -X115740754Y-130097059D01* -X115843709Y-130028266D01* -X115931266Y-129940709D01* -X116000059Y-129837754D01* -X116047444Y-129723356D01* -X116071600Y-129601912D01* -X116071600Y-129478088D01* -X116465400Y-129478088D01* -X116465400Y-129601912D01* -X116489556Y-129723356D01* -X116536941Y-129837754D01* -X116605734Y-129940709D01* -X116693291Y-130028266D01* -X116796246Y-130097059D01* -X116910644Y-130144444D01* -X117032088Y-130168600D01* -X117155912Y-130168600D01* -X117277356Y-130144444D01* -X117391754Y-130097059D01* -X117494709Y-130028266D01* -X117582266Y-129940709D01* -X117651059Y-129837754D01* -X117698444Y-129723356D01* -X117722600Y-129601912D01* -X117722600Y-129478088D01* -X118497400Y-129478088D01* -X118497400Y-129601912D01* -X118521556Y-129723356D01* -X118568941Y-129837754D01* -X118637734Y-129940709D01* -X118725291Y-130028266D01* -X118828246Y-130097059D01* -X118942644Y-130144444D01* -X119064088Y-130168600D01* -X119187912Y-130168600D01* -X119309356Y-130144444D01* -X119423754Y-130097059D01* -X119526709Y-130028266D01* -X119614266Y-129940709D01* -X119683059Y-129837754D01* -X119730444Y-129723356D01* -X119754600Y-129601912D01* -X119754600Y-129478088D01* -X120148400Y-129478088D01* -X120148400Y-129601912D01* -X120172556Y-129723356D01* -X120219941Y-129837754D01* -X120288734Y-129940709D01* -X120376291Y-130028266D01* -X120479246Y-130097059D01* -X120593644Y-130144444D01* -X120715088Y-130168600D01* -X120838912Y-130168600D01* -X120960356Y-130144444D01* -X121074754Y-130097059D01* -X121177709Y-130028266D01* -X121265266Y-129940709D01* -X121334059Y-129837754D01* -X121381444Y-129723356D01* -X121405600Y-129601912D01* -X121405600Y-129478088D01* -X134880400Y-129478088D01* -X134880400Y-129601912D01* -X134904556Y-129723356D01* -X134951941Y-129837754D01* -X135020734Y-129940709D01* -X135108291Y-130028266D01* -X135211246Y-130097059D01* -X135325644Y-130144444D01* -X135447088Y-130168600D01* -X135570912Y-130168600D01* -X135692356Y-130144444D01* -X135806754Y-130097059D01* -X135909709Y-130028266D01* -X135997266Y-129940709D01* -X136066059Y-129837754D01* -X136113444Y-129723356D01* -X136118798Y-129696434D01* -X136169400Y-129696434D01* -X136169400Y-129891566D01* -X136207468Y-130082947D01* -X136282142Y-130263225D01* -X136390551Y-130425471D01* -X136528529Y-130563449D01* -X136690775Y-130671858D01* -X136871053Y-130746532D01* -X137062434Y-130784600D01* -X137257566Y-130784600D01* -X137448947Y-130746532D01* -X137629225Y-130671858D01* -X137791471Y-130563449D01* -X137929449Y-130425471D01* -X138037858Y-130263225D01* -X138112532Y-130082947D01* -X138150600Y-129891566D01* -X138150600Y-129696434D01* -X138112532Y-129505053D01* -X138076885Y-129418993D01* -X139233400Y-129418993D01* -X139233400Y-129661007D01* -X139280614Y-129898369D01* -X139373229Y-130121960D01* -X139507684Y-130323187D01* -X139678813Y-130494316D01* -X139880040Y-130628771D01* -X140103631Y-130721386D01* -X140340993Y-130768600D01* -X140583007Y-130768600D01* -X140820369Y-130721386D01* -X141043960Y-130628771D01* -X141245187Y-130494316D01* -X141416316Y-130323187D01* -X141550771Y-130121960D01* -X141643386Y-129898369D01* -X141690600Y-129661007D01* -X141690600Y-129418993D01* -X141643386Y-129181631D01* -X141550771Y-128958040D01* -X141416316Y-128756813D01* -X141245187Y-128585684D01* -X141043960Y-128451229D01* -X140820369Y-128358614D01* -X140583007Y-128311400D01* -X140340993Y-128311400D01* -X140103631Y-128358614D01* -X139880040Y-128451229D01* -X139678813Y-128585684D01* -X139507684Y-128756813D01* -X139373229Y-128958040D01* -X139280614Y-129181631D01* -X139233400Y-129418993D01* -X138076885Y-129418993D01* -X138037858Y-129324775D01* -X137929449Y-129162529D01* -X137791471Y-129024551D01* -X137629225Y-128916142D01* -X137448947Y-128841468D01* -X137257566Y-128803400D01* -X137062434Y-128803400D01* -X136871053Y-128841468D01* -X136690775Y-128916142D01* -X136528529Y-129024551D01* -X136390551Y-129162529D01* -X136282142Y-129324775D01* -X136207468Y-129505053D01* -X136169400Y-129696434D01* -X136118798Y-129696434D01* -X136137600Y-129601912D01* -X136137600Y-129478088D01* -X136113444Y-129356644D01* -X136066059Y-129242246D01* -X135997266Y-129139291D01* -X135909709Y-129051734D01* -X135806754Y-128982941D01* -X135692356Y-128935556D01* -X135570912Y-128911400D01* -X135447088Y-128911400D01* -X135325644Y-128935556D01* -X135211246Y-128982941D01* -X135108291Y-129051734D01* -X135020734Y-129139291D01* -X134951941Y-129242246D01* -X134904556Y-129356644D01* -X134880400Y-129478088D01* -X121405600Y-129478088D01* -X121381444Y-129356644D01* -X121334059Y-129242246D01* -X121265266Y-129139291D01* -X121177709Y-129051734D01* -X121074754Y-128982941D01* -X120960356Y-128935556D01* -X120838912Y-128911400D01* -X120715088Y-128911400D01* -X120593644Y-128935556D01* -X120479246Y-128982941D01* -X120376291Y-129051734D01* -X120288734Y-129139291D01* -X120219941Y-129242246D01* -X120172556Y-129356644D01* -X120148400Y-129478088D01* -X119754600Y-129478088D01* -X119730444Y-129356644D01* -X119683059Y-129242246D01* -X119614266Y-129139291D01* -X119526709Y-129051734D01* -X119423754Y-128982941D01* -X119309356Y-128935556D01* -X119187912Y-128911400D01* -X119064088Y-128911400D01* -X118942644Y-128935556D01* -X118828246Y-128982941D01* -X118725291Y-129051734D01* -X118637734Y-129139291D01* -X118568941Y-129242246D01* -X118521556Y-129356644D01* -X118497400Y-129478088D01* -X117722600Y-129478088D01* -X117698444Y-129356644D01* -X117651059Y-129242246D01* -X117582266Y-129139291D01* -X117494709Y-129051734D01* -X117391754Y-128982941D01* -X117277356Y-128935556D01* -X117155912Y-128911400D01* -X117032088Y-128911400D01* -X116910644Y-128935556D01* -X116796246Y-128982941D01* -X116693291Y-129051734D01* -X116605734Y-129139291D01* -X116536941Y-129242246D01* -X116489556Y-129356644D01* -X116465400Y-129478088D01* -X116071600Y-129478088D01* -X116047444Y-129356644D01* -X116000059Y-129242246D01* -X115931266Y-129139291D01* -X115843709Y-129051734D01* -X115740754Y-128982941D01* -X115626356Y-128935556D01* -X115504912Y-128911400D01* -X115381088Y-128911400D01* -X115259644Y-128935556D01* -X115145246Y-128982941D01* -X115042291Y-129051734D01* -X114954734Y-129139291D01* -X114885941Y-129242246D01* -X114838556Y-129356644D01* -X114814400Y-129478088D01* -X103445608Y-129478088D01* -X103455090Y-129471753D01* -X103521753Y-129405090D01* -X103574130Y-129326702D01* -X103610208Y-129239603D01* -X103628600Y-129147138D01* -X103628600Y-129052862D01* -X103610208Y-128960397D01* -X103574130Y-128873298D01* -X103521753Y-128794910D01* -X103455090Y-128728247D01* -X103376702Y-128675870D01* -X103321157Y-128652862D01* -X104721400Y-128652862D01* -X104721400Y-128747138D01* -X104739792Y-128839603D01* -X104775870Y-128926702D01* -X104828247Y-129005090D01* -X104894910Y-129071753D01* -X104973298Y-129124130D01* -X105060397Y-129160208D01* -X105152862Y-129178600D01* -X105247138Y-129178600D01* -X105339603Y-129160208D01* -X105426702Y-129124130D01* -X105505090Y-129071753D01* -X105571753Y-129005090D01* -X105624130Y-128926702D01* -X105660208Y-128839603D01* -X105678600Y-128747138D01* -X105678600Y-128652862D01* -X105660208Y-128560397D01* -X105624130Y-128473298D01* -X105571753Y-128394910D01* -X105529705Y-128352862D01* -X106721400Y-128352862D01* -X106721400Y-128447138D01* -X106739792Y-128539603D01* -X106775870Y-128626702D01* -X106828247Y-128705090D01* -X106894910Y-128771753D01* -X106973298Y-128824130D01* -X107060397Y-128860208D01* -X107152862Y-128878600D01* -X107247138Y-128878600D01* -X107339603Y-128860208D01* -X107426702Y-128824130D01* -X107505090Y-128771753D01* -X107571753Y-128705090D01* -X107624130Y-128626702D01* -X107660208Y-128539603D01* -X107678600Y-128447138D01* -X107678600Y-128402862D01* -X109621400Y-128402862D01* -X109621400Y-128497138D01* -X109639792Y-128589603D01* -X109675870Y-128676702D01* -X109728247Y-128755090D01* -X109794910Y-128821753D01* -X109873298Y-128874130D01* -X109960397Y-128910208D01* -X110052862Y-128928600D01* -X110147138Y-128928600D01* -X110239603Y-128910208D01* -X110326702Y-128874130D01* -X110405090Y-128821753D01* -X110471753Y-128755090D01* -X110524130Y-128676702D01* -X110560208Y-128589603D01* -X110578600Y-128497138D01* -X110578600Y-128402862D01* -X110560208Y-128310397D01* -X110524130Y-128223298D01* -X110471753Y-128144910D01* -X110405090Y-128078247D01* -X110326702Y-128025870D01* -X110239603Y-127989792D01* -X110147138Y-127971400D01* -X110052862Y-127971400D01* -X109960397Y-127989792D01* -X109873298Y-128025870D01* -X109794910Y-128078247D01* -X109728247Y-128144910D01* -X109675870Y-128223298D01* -X109639792Y-128310397D01* -X109621400Y-128402862D01* -X107678600Y-128402862D01* -X107678600Y-128352862D01* -X107660208Y-128260397D01* -X107624130Y-128173298D01* -X107571753Y-128094910D01* -X107505090Y-128028247D01* -X107426702Y-127975870D01* -X107339603Y-127939792D01* -X107247138Y-127921400D01* -X107152862Y-127921400D01* -X107060397Y-127939792D01* -X106973298Y-127975870D01* -X106894910Y-128028247D01* -X106828247Y-128094910D01* -X106775870Y-128173298D01* -X106739792Y-128260397D01* -X106721400Y-128352862D01* -X105529705Y-128352862D01* -X105505090Y-128328247D01* -X105426702Y-128275870D01* -X105339603Y-128239792D01* -X105247138Y-128221400D01* -X105152862Y-128221400D01* -X105060397Y-128239792D01* -X104973298Y-128275870D01* -X104894910Y-128328247D01* -X104828247Y-128394910D01* -X104775870Y-128473298D01* -X104739792Y-128560397D01* -X104721400Y-128652862D01* -X103321157Y-128652862D01* -X103289603Y-128639792D01* -X103197138Y-128621400D01* -X103102862Y-128621400D01* -X103010397Y-128639792D01* -X102923298Y-128675870D01* -X102844910Y-128728247D01* -X102778247Y-128794910D01* -X102725870Y-128873298D01* -X102689792Y-128960397D01* -X102671400Y-129052862D01* -X93028600Y-129052862D01* -X93028600Y-129002862D01* -X93010208Y-128910397D01* -X92974130Y-128823298D01* -X92921753Y-128744910D01* -X92855090Y-128678247D01* -X92776702Y-128625870D01* -X92689603Y-128589792D01* -X92597138Y-128571400D01* -X92502862Y-128571400D01* -X92410397Y-128589792D01* -X92323298Y-128625870D01* -X92244910Y-128678247D01* -X92178247Y-128744910D01* -X92125870Y-128823298D01* -X92089792Y-128910397D01* -X92071400Y-129002862D01* -X90629705Y-129002862D01* -X90605090Y-128978247D01* -X90526702Y-128925870D01* -X90439603Y-128889792D01* -X90347138Y-128871400D01* -X90252862Y-128871400D01* -X90160397Y-128889792D01* -X90073298Y-128925870D01* -X89994910Y-128978247D01* -X89928247Y-129044910D01* -X89875870Y-129123298D01* -X89839792Y-129210397D01* -X89821400Y-129302862D01* -X88379705Y-129302862D01* -X88355090Y-129278247D01* -X88276702Y-129225870D01* -X88189603Y-129189792D01* -X88097138Y-129171400D01* -X88002862Y-129171400D01* -X87910397Y-129189792D01* -X87823298Y-129225870D01* -X87744910Y-129278247D01* -X87678247Y-129344910D01* -X87625870Y-129423298D01* -X87589792Y-129510397D01* -X87571400Y-129602862D01* -X87179705Y-129602862D01* -X87155090Y-129578247D01* -X87076702Y-129525870D01* -X86989603Y-129489792D01* -X86897138Y-129471400D01* -X86802862Y-129471400D01* -X86710397Y-129489792D01* -X86623298Y-129525870D01* -X86544910Y-129578247D01* -X86478247Y-129644910D01* -X86425870Y-129723298D01* -X86389792Y-129810397D01* -X86371400Y-129902862D01* -X81228600Y-129902862D01* -X81228600Y-129852862D01* -X81210208Y-129760397D01* -X81174130Y-129673298D01* -X81121753Y-129594910D01* -X81055090Y-129528247D01* -X80976702Y-129475870D01* -X80889603Y-129439792D01* -X80797138Y-129421400D01* -X80702862Y-129421400D01* -X80610397Y-129439792D01* -X80523298Y-129475870D01* -X80444910Y-129528247D01* -X80378247Y-129594910D01* -X80325870Y-129673298D01* -X80289792Y-129760397D01* -X80271400Y-129852862D01* -X76746964Y-129852862D01* -X76757059Y-129837754D01* -X76804444Y-129723356D01* -X76828600Y-129601912D01* -X76828600Y-129478088D01* -X76804444Y-129356644D01* -X76781960Y-129302362D01* -X81309400Y-129302362D01* -X81309400Y-129396638D01* -X81327792Y-129489103D01* -X81363870Y-129576202D01* -X81416247Y-129654590D01* -X81482910Y-129721253D01* -X81561298Y-129773630D01* -X81648397Y-129809708D01* -X81740862Y-129828100D01* -X81835138Y-129828100D01* -X81927603Y-129809708D01* -X82014702Y-129773630D01* -X82093090Y-129721253D01* -X82159753Y-129654590D01* -X82212130Y-129576202D01* -X82248208Y-129489103D01* -X82266600Y-129396638D01* -X82266600Y-129302362D01* -X82248208Y-129209897D01* -X82212130Y-129122798D01* -X82159753Y-129044410D01* -X82093090Y-128977747D01* -X82014702Y-128925370D01* -X81927603Y-128889292D01* -X81835138Y-128870900D01* -X81740862Y-128870900D01* -X81648397Y-128889292D01* -X81561298Y-128925370D01* -X81482910Y-128977747D01* -X81416247Y-129044410D01* -X81363870Y-129122798D01* -X81327792Y-129209897D01* -X81309400Y-129302362D01* -X76781960Y-129302362D01* -X76757059Y-129242246D01* -X76688266Y-129139291D01* -X76600709Y-129051734D01* -X76497754Y-128982941D01* -X76383356Y-128935556D01* -X76261912Y-128911400D01* -X76138088Y-128911400D01* -X76016644Y-128935556D01* -X75902246Y-128982941D01* -X75799291Y-129051734D01* -X75711734Y-129139291D01* -X75642941Y-129242246D01* -X75595556Y-129356644D01* -X75571400Y-129478088D01* -X75177600Y-129478088D01* -X75153444Y-129356644D01* -X75106059Y-129242246D01* -X75037266Y-129139291D01* -X74949709Y-129051734D01* -X74846754Y-128982941D01* -X74732356Y-128935556D01* -X74610912Y-128911400D01* -X74487088Y-128911400D01* -X74365644Y-128935556D01* -X74251246Y-128982941D01* -X74148291Y-129051734D01* -X74060734Y-129139291D01* -X73991941Y-129242246D01* -X73944556Y-129356644D01* -X73920400Y-129478088D01* -X46830662Y-129478088D01* -X46815208Y-129400397D01* -X46779130Y-129313298D01* -X46726753Y-129234910D01* -X46660090Y-129168247D01* -X46581702Y-129115870D01* -X46494603Y-129079792D01* -X46402138Y-129061400D01* -X46307862Y-129061400D01* -X46215397Y-129079792D01* -X46177200Y-129095614D01* -X46177200Y-127968862D01* -X53369400Y-127968862D01* -X53369400Y-128063138D01* -X53387792Y-128155603D01* -X53423870Y-128242702D01* -X53476247Y-128321090D01* -X53542910Y-128387753D01* -X53621298Y-128440130D01* -X53708397Y-128476208D01* -X53800862Y-128494600D01* -X53895138Y-128494600D01* -X53987603Y-128476208D01* -X54074702Y-128440130D01* -X54153090Y-128387753D01* -X54219753Y-128321090D01* -X54272130Y-128242702D01* -X54308208Y-128155603D01* -X54326600Y-128063138D01* -X54326600Y-127968862D01* -X58449400Y-127968862D01* -X58449400Y-128063138D01* -X58467792Y-128155603D01* -X58503870Y-128242702D01* -X58556247Y-128321090D01* -X58622910Y-128387753D01* -X58701298Y-128440130D01* -X58788397Y-128476208D01* -X58880862Y-128494600D01* -X58975138Y-128494600D01* -X59067603Y-128476208D01* -X59154702Y-128440130D01* -X59233090Y-128387753D01* -X59299753Y-128321090D01* -X59352130Y-128242702D01* -X59388208Y-128155603D01* -X59406600Y-128063138D01* -X59406600Y-127968862D01* -X63529400Y-127968862D01* -X63529400Y-128063138D01* -X63547792Y-128155603D01* -X63583870Y-128242702D01* -X63636247Y-128321090D01* -X63702910Y-128387753D01* -X63781298Y-128440130D01* -X63868397Y-128476208D01* -X63960862Y-128494600D01* -X64055138Y-128494600D01* -X64147603Y-128476208D01* -X64234702Y-128440130D01* -X64313090Y-128387753D01* -X64379753Y-128321090D01* -X64432130Y-128242702D01* -X64468208Y-128155603D01* -X64486600Y-128063138D01* -X64486600Y-127968862D01* -X68609400Y-127968862D01* -X68609400Y-128063138D01* -X68627792Y-128155603D01* -X68663870Y-128242702D01* -X68716247Y-128321090D01* -X68782910Y-128387753D01* -X68861298Y-128440130D01* -X68948397Y-128476208D01* -X69040862Y-128494600D01* -X69135138Y-128494600D01* -X69227603Y-128476208D01* -X69261691Y-128462088D01* -X72777400Y-128462088D01* -X72777400Y-128585912D01* -X72801556Y-128707356D01* -X72848941Y-128821754D01* -X72917734Y-128924709D01* -X73005291Y-129012266D01* -X73108246Y-129081059D01* -X73222644Y-129128444D01* -X73344088Y-129152600D01* -X73467912Y-129152600D01* -X73589356Y-129128444D01* -X73703754Y-129081059D01* -X73806709Y-129012266D01* -X73894266Y-128924709D01* -X73963059Y-128821754D01* -X74010444Y-128707356D01* -X74034600Y-128585912D01* -X74034600Y-128462088D01* -X74010444Y-128340644D01* -X73963059Y-128226246D01* -X73950927Y-128208088D01* -X76714400Y-128208088D01* -X76714400Y-128331912D01* -X76738556Y-128453356D01* -X76785941Y-128567754D01* -X76854734Y-128670709D01* -X76942291Y-128758266D01* -X77045246Y-128827059D01* -X77159644Y-128874444D01* -X77281088Y-128898600D01* -X77404912Y-128898600D01* -X77526356Y-128874444D01* -X77640754Y-128827059D01* -X77743709Y-128758266D01* -X77831266Y-128670709D01* -X77900059Y-128567754D01* -X77947444Y-128453356D01* -X77971600Y-128331912D01* -X77971600Y-128302862D01* -X79471400Y-128302862D01* -X79471400Y-128397138D01* -X79489792Y-128489603D01* -X79525870Y-128576702D01* -X79578247Y-128655090D01* -X79644910Y-128721753D01* -X79723298Y-128774130D01* -X79810397Y-128810208D01* -X79902862Y-128828600D01* -X79997138Y-128828600D01* -X80089603Y-128810208D01* -X80176702Y-128774130D01* -X80255090Y-128721753D01* -X80321753Y-128655090D01* -X80374130Y-128576702D01* -X80410208Y-128489603D01* -X80428600Y-128397138D01* -X80428600Y-128302862D01* -X80410208Y-128210397D01* -X80374130Y-128123298D01* -X80321753Y-128044910D01* -X80279705Y-128002862D01* -X87921400Y-128002862D01* -X87921400Y-128097138D01* -X87939792Y-128189603D01* -X87975870Y-128276702D01* -X88028247Y-128355090D01* -X88094910Y-128421753D01* -X88173298Y-128474130D01* -X88260397Y-128510208D01* -X88352862Y-128528600D01* -X88447138Y-128528600D01* -X88539603Y-128510208D01* -X88626702Y-128474130D01* -X88705090Y-128421753D01* -X88771753Y-128355090D01* -X88824130Y-128276702D01* -X88860208Y-128189603D01* -X88878600Y-128097138D01* -X88878600Y-128002862D01* -X88860208Y-127910397D01* -X88857087Y-127902862D01* -X92071400Y-127902862D01* -X92071400Y-127997138D01* -X92089792Y-128089603D01* -X92125870Y-128176702D01* -X92178247Y-128255090D01* -X92244910Y-128321753D01* -X92323298Y-128374130D01* -X92410397Y-128410208D01* -X92502862Y-128428600D01* -X92597138Y-128428600D01* -X92689603Y-128410208D01* -X92776702Y-128374130D01* -X92855090Y-128321753D01* -X92921753Y-128255090D01* -X92974130Y-128176702D01* -X93010208Y-128089603D01* -X93028600Y-127997138D01* -X93028600Y-127902862D01* -X93018655Y-127852862D01* -X94521400Y-127852862D01* -X94521400Y-127947138D01* -X94539792Y-128039603D01* -X94575870Y-128126702D01* -X94628247Y-128205090D01* -X94694910Y-128271753D01* -X94773298Y-128324130D01* -X94860397Y-128360208D01* -X94952862Y-128378600D01* -X95047138Y-128378600D01* -X95139603Y-128360208D01* -X95226702Y-128324130D01* -X95305090Y-128271753D01* -X95371753Y-128205090D01* -X95424130Y-128126702D01* -X95460208Y-128039603D01* -X95467516Y-128002862D01* -X98071400Y-128002862D01* -X98071400Y-128097138D01* -X98089792Y-128189603D01* -X98125870Y-128276702D01* -X98178247Y-128355090D01* -X98244910Y-128421753D01* -X98323298Y-128474130D01* -X98410397Y-128510208D01* -X98502862Y-128528600D01* -X98597138Y-128528600D01* -X98689603Y-128510208D01* -X98707337Y-128502862D01* -X99071400Y-128502862D01* -X99071400Y-128597138D01* -X99089792Y-128689603D01* -X99125870Y-128776702D01* -X99178247Y-128855090D01* -X99244910Y-128921753D01* -X99323298Y-128974130D01* -X99410397Y-129010208D01* -X99502862Y-129028600D01* -X99597138Y-129028600D01* -X99689603Y-129010208D01* -X99776702Y-128974130D01* -X99855090Y-128921753D01* -X99921753Y-128855090D01* -X99974130Y-128776702D01* -X100010208Y-128689603D01* -X100028600Y-128597138D01* -X100028600Y-128502862D01* -X100010208Y-128410397D01* -X99974130Y-128323298D01* -X99921753Y-128244910D01* -X99855090Y-128178247D01* -X99776702Y-128125870D01* -X99689603Y-128089792D01* -X99597138Y-128071400D01* -X99502862Y-128071400D01* -X99410397Y-128089792D01* -X99323298Y-128125870D01* -X99244910Y-128178247D01* -X99178247Y-128244910D01* -X99125870Y-128323298D01* -X99089792Y-128410397D01* -X99071400Y-128502862D01* -X98707337Y-128502862D01* -X98776702Y-128474130D01* -X98855090Y-128421753D01* -X98921753Y-128355090D01* -X98974130Y-128276702D01* -X99010208Y-128189603D01* -X99028600Y-128097138D01* -X99028600Y-128002862D01* -X99010208Y-127910397D01* -X99007087Y-127902862D01* -X112671400Y-127902862D01* -X112671400Y-127997138D01* -X112689792Y-128089603D01* -X112725870Y-128176702D01* -X112778247Y-128255090D01* -X112844910Y-128321753D01* -X112923298Y-128374130D01* -X113010397Y-128410208D01* -X113102862Y-128428600D01* -X113197138Y-128428600D01* -X113289603Y-128410208D01* -X113376702Y-128374130D01* -X113455090Y-128321753D01* -X113521753Y-128255090D01* -X113553158Y-128208088D01* -X113671400Y-128208088D01* -X113671400Y-128331912D01* -X113695556Y-128453356D01* -X113742941Y-128567754D01* -X113811734Y-128670709D01* -X113899291Y-128758266D01* -X114002246Y-128827059D01* -X114116644Y-128874444D01* -X114238088Y-128898600D01* -X114361912Y-128898600D01* -X114483356Y-128874444D01* -X114597754Y-128827059D01* -X114700709Y-128758266D01* -X114788266Y-128670709D01* -X114857059Y-128567754D01* -X114904444Y-128453356D01* -X114928600Y-128331912D01* -X114928600Y-128208088D01* -X121227900Y-128208088D01* -X121227900Y-128331912D01* -X121252056Y-128453356D01* -X121299441Y-128567754D01* -X121368234Y-128670709D01* -X121455791Y-128758266D01* -X121558746Y-128827059D01* -X121673144Y-128874444D01* -X121794588Y-128898600D01* -X121918412Y-128898600D01* -X122039856Y-128874444D01* -X122079888Y-128857862D01* -X125124400Y-128857862D01* -X125124400Y-128952138D01* -X125142792Y-129044603D01* -X125178870Y-129131702D01* -X125231247Y-129210090D01* -X125297910Y-129276753D01* -X125376298Y-129329130D01* -X125463397Y-129365208D01* -X125555862Y-129383600D01* -X125650138Y-129383600D01* -X125742603Y-129365208D01* -X125829702Y-129329130D01* -X125908090Y-129276753D01* -X125974753Y-129210090D01* -X126027130Y-129131702D01* -X126063208Y-129044603D01* -X126081600Y-128952138D01* -X126081600Y-128857862D01* -X130204400Y-128857862D01* -X130204400Y-128952138D01* -X130222792Y-129044603D01* -X130258870Y-129131702D01* -X130311247Y-129210090D01* -X130377910Y-129276753D01* -X130456298Y-129329130D01* -X130543397Y-129365208D01* -X130635862Y-129383600D01* -X130730138Y-129383600D01* -X130822603Y-129365208D01* -X130909702Y-129329130D01* -X130988090Y-129276753D01* -X131054753Y-129210090D01* -X131107130Y-129131702D01* -X131143208Y-129044603D01* -X131161600Y-128952138D01* -X131161600Y-128857862D01* -X131143208Y-128765397D01* -X131107130Y-128678298D01* -X131054753Y-128599910D01* -X130988090Y-128533247D01* -X130909702Y-128480870D01* -X130822603Y-128444792D01* -X130730138Y-128426400D01* -X130635862Y-128426400D01* -X130543397Y-128444792D01* -X130456298Y-128480870D01* -X130377910Y-128533247D01* -X130311247Y-128599910D01* -X130258870Y-128678298D01* -X130222792Y-128765397D01* -X130204400Y-128857862D01* -X126081600Y-128857862D01* -X126063208Y-128765397D01* -X126027130Y-128678298D01* -X125974753Y-128599910D01* -X125908090Y-128533247D01* -X125829702Y-128480870D01* -X125742603Y-128444792D01* -X125650138Y-128426400D01* -X125555862Y-128426400D01* -X125463397Y-128444792D01* -X125376298Y-128480870D01* -X125297910Y-128533247D01* -X125231247Y-128599910D01* -X125178870Y-128678298D01* -X125142792Y-128765397D01* -X125124400Y-128857862D01* -X122079888Y-128857862D01* -X122154254Y-128827059D01* -X122257209Y-128758266D01* -X122344766Y-128670709D01* -X122413559Y-128567754D01* -X122460944Y-128453356D01* -X122485100Y-128331912D01* -X122485100Y-128208088D01* -X133737400Y-128208088D01* -X133737400Y-128331912D01* -X133761556Y-128453356D01* -X133808941Y-128567754D01* -X133877734Y-128670709D01* -X133965291Y-128758266D01* -X134068246Y-128827059D01* -X134182644Y-128874444D01* -X134304088Y-128898600D01* -X134427912Y-128898600D01* -X134549356Y-128874444D01* -X134663754Y-128827059D01* -X134766709Y-128758266D01* -X134854266Y-128670709D01* -X134923059Y-128567754D01* -X134970444Y-128453356D01* -X134994600Y-128331912D01* -X134994600Y-128208088D01* -X137674400Y-128208088D01* -X137674400Y-128331912D01* -X137698556Y-128453356D01* -X137745941Y-128567754D01* -X137814734Y-128670709D01* -X137902291Y-128758266D01* -X138005246Y-128827059D01* -X138119644Y-128874444D01* -X138241088Y-128898600D01* -X138364912Y-128898600D01* -X138486356Y-128874444D01* -X138600754Y-128827059D01* -X138703709Y-128758266D01* -X138791266Y-128670709D01* -X138860059Y-128567754D01* -X138907444Y-128453356D01* -X138931600Y-128331912D01* -X138931600Y-128208088D01* -X138907444Y-128086644D01* -X138860059Y-127972246D01* -X138791266Y-127869291D01* -X138703709Y-127781734D01* -X138600754Y-127712941D01* -X138486356Y-127665556D01* -X138364912Y-127641400D01* -X138241088Y-127641400D01* -X138119644Y-127665556D01* -X138005246Y-127712941D01* -X137902291Y-127781734D01* -X137814734Y-127869291D01* -X137745941Y-127972246D01* -X137698556Y-128086644D01* -X137674400Y-128208088D01* -X134994600Y-128208088D01* -X134970444Y-128086644D01* -X134923059Y-127972246D01* -X134854266Y-127869291D01* -X134766709Y-127781734D01* -X134663754Y-127712941D01* -X134549356Y-127665556D01* -X134427912Y-127641400D01* -X134304088Y-127641400D01* -X134182644Y-127665556D01* -X134068246Y-127712941D01* -X133965291Y-127781734D01* -X133877734Y-127869291D01* -X133808941Y-127972246D01* -X133761556Y-128086644D01* -X133737400Y-128208088D01* -X122485100Y-128208088D01* -X122460944Y-128086644D01* -X122413559Y-127972246D01* -X122344766Y-127869291D01* -X122257209Y-127781734D01* -X122154254Y-127712941D01* -X122039856Y-127665556D01* -X121918412Y-127641400D01* -X121794588Y-127641400D01* -X121673144Y-127665556D01* -X121558746Y-127712941D01* -X121455791Y-127781734D01* -X121368234Y-127869291D01* -X121299441Y-127972246D01* -X121252056Y-128086644D01* -X121227900Y-128208088D01* -X114928600Y-128208088D01* -X114904444Y-128086644D01* -X114857059Y-127972246D01* -X114788266Y-127869291D01* -X114700709Y-127781734D01* -X114597754Y-127712941D01* -X114483356Y-127665556D01* -X114361912Y-127641400D01* -X114238088Y-127641400D01* -X114116644Y-127665556D01* -X114002246Y-127712941D01* -X113899291Y-127781734D01* -X113811734Y-127869291D01* -X113742941Y-127972246D01* -X113695556Y-128086644D01* -X113671400Y-128208088D01* -X113553158Y-128208088D01* -X113574130Y-128176702D01* -X113610208Y-128089603D01* -X113628600Y-127997138D01* -X113628600Y-127902862D01* -X113610208Y-127810397D01* -X113574130Y-127723298D01* -X113521753Y-127644910D01* -X113455090Y-127578247D01* -X113376702Y-127525870D01* -X113289603Y-127489792D01* -X113197138Y-127471400D01* -X113102862Y-127471400D01* -X113010397Y-127489792D01* -X112923298Y-127525870D01* -X112844910Y-127578247D01* -X112778247Y-127644910D01* -X112725870Y-127723298D01* -X112689792Y-127810397D01* -X112671400Y-127902862D01* -X99007087Y-127902862D01* -X98974130Y-127823298D01* -X98921753Y-127744910D01* -X98855090Y-127678247D01* -X98776702Y-127625870D01* -X98689603Y-127589792D01* -X98597138Y-127571400D01* -X98502862Y-127571400D01* -X98410397Y-127589792D01* -X98323298Y-127625870D01* -X98244910Y-127678247D01* -X98178247Y-127744910D01* -X98125870Y-127823298D01* -X98089792Y-127910397D01* -X98071400Y-128002862D01* -X95467516Y-128002862D01* -X95478600Y-127947138D01* -X95478600Y-127852862D01* -X95460208Y-127760397D01* -X95424130Y-127673298D01* -X95371753Y-127594910D01* -X95305090Y-127528247D01* -X95226702Y-127475870D01* -X95139603Y-127439792D01* -X95047138Y-127421400D01* -X94952862Y-127421400D01* -X94860397Y-127439792D01* -X94773298Y-127475870D01* -X94694910Y-127528247D01* -X94628247Y-127594910D01* -X94575870Y-127673298D01* -X94539792Y-127760397D01* -X94521400Y-127852862D01* -X93018655Y-127852862D01* -X93010208Y-127810397D01* -X92974130Y-127723298D01* -X92921753Y-127644910D01* -X92855090Y-127578247D01* -X92776702Y-127525870D01* -X92689603Y-127489792D01* -X92597138Y-127471400D01* -X92502862Y-127471400D01* -X92410397Y-127489792D01* -X92323298Y-127525870D01* -X92244910Y-127578247D01* -X92178247Y-127644910D01* -X92125870Y-127723298D01* -X92089792Y-127810397D01* -X92071400Y-127902862D01* -X88857087Y-127902862D01* -X88824130Y-127823298D01* -X88771753Y-127744910D01* -X88705090Y-127678247D01* -X88626702Y-127625870D01* -X88539603Y-127589792D01* -X88447138Y-127571400D01* -X88352862Y-127571400D01* -X88260397Y-127589792D01* -X88173298Y-127625870D01* -X88094910Y-127678247D01* -X88028247Y-127744910D01* -X87975870Y-127823298D01* -X87939792Y-127910397D01* -X87921400Y-128002862D01* -X80279705Y-128002862D01* -X80255090Y-127978247D01* -X80176702Y-127925870D01* -X80089603Y-127889792D01* -X79997138Y-127871400D01* -X79902862Y-127871400D01* -X79810397Y-127889792D01* -X79723298Y-127925870D01* -X79644910Y-127978247D01* -X79578247Y-128044910D01* -X79525870Y-128123298D01* -X79489792Y-128210397D01* -X79471400Y-128302862D01* -X77971600Y-128302862D01* -X77971600Y-128208088D01* -X77947444Y-128086644D01* -X77900059Y-127972246D01* -X77831266Y-127869291D01* -X77743709Y-127781734D01* -X77640754Y-127712941D01* -X77526356Y-127665556D01* -X77404912Y-127641400D01* -X77281088Y-127641400D01* -X77159644Y-127665556D01* -X77045246Y-127712941D01* -X76942291Y-127781734D01* -X76854734Y-127869291D01* -X76785941Y-127972246D01* -X76738556Y-128086644D01* -X76714400Y-128208088D01* -X73950927Y-128208088D01* -X73894266Y-128123291D01* -X73806709Y-128035734D01* -X73703754Y-127966941D01* -X73589356Y-127919556D01* -X73467912Y-127895400D01* -X73344088Y-127895400D01* -X73222644Y-127919556D01* -X73108246Y-127966941D01* -X73005291Y-128035734D01* -X72917734Y-128123291D01* -X72848941Y-128226246D01* -X72801556Y-128340644D01* -X72777400Y-128462088D01* -X69261691Y-128462088D01* -X69314702Y-128440130D01* -X69393090Y-128387753D01* -X69459753Y-128321090D01* -X69512130Y-128242702D01* -X69548208Y-128155603D01* -X69566600Y-128063138D01* -X69566600Y-127968862D01* -X69548208Y-127876397D01* -X69512130Y-127789298D01* -X69459753Y-127710910D01* -X69393090Y-127644247D01* -X69314702Y-127591870D01* -X69227603Y-127555792D01* -X69135138Y-127537400D01* -X69040862Y-127537400D01* -X68948397Y-127555792D01* -X68861298Y-127591870D01* -X68782910Y-127644247D01* -X68716247Y-127710910D01* -X68663870Y-127789298D01* -X68627792Y-127876397D01* -X68609400Y-127968862D01* -X64486600Y-127968862D01* -X64468208Y-127876397D01* -X64432130Y-127789298D01* -X64379753Y-127710910D01* -X64313090Y-127644247D01* -X64234702Y-127591870D01* -X64147603Y-127555792D01* -X64055138Y-127537400D01* -X63960862Y-127537400D01* -X63868397Y-127555792D01* -X63781298Y-127591870D01* -X63702910Y-127644247D01* -X63636247Y-127710910D01* -X63583870Y-127789298D01* -X63547792Y-127876397D01* -X63529400Y-127968862D01* -X59406600Y-127968862D01* -X59388208Y-127876397D01* -X59352130Y-127789298D01* -X59299753Y-127710910D01* -X59233090Y-127644247D01* -X59154702Y-127591870D01* -X59067603Y-127555792D01* -X58975138Y-127537400D01* -X58880862Y-127537400D01* -X58788397Y-127555792D01* -X58701298Y-127591870D01* -X58622910Y-127644247D01* -X58556247Y-127710910D01* -X58503870Y-127789298D01* -X58467792Y-127876397D01* -X58449400Y-127968862D01* -X54326600Y-127968862D01* -X54308208Y-127876397D01* -X54272130Y-127789298D01* -X54219753Y-127710910D01* -X54153090Y-127644247D01* -X54074702Y-127591870D01* -X53987603Y-127555792D01* -X53895138Y-127537400D01* -X53800862Y-127537400D01* -X53708397Y-127555792D01* -X53621298Y-127591870D01* -X53542910Y-127644247D01* -X53476247Y-127710910D01* -X53423870Y-127789298D01* -X53387792Y-127876397D01* -X53369400Y-127968862D01* -X46177200Y-127968862D01* -X46177200Y-126920754D01* -X47328400Y-126920754D01* -X47328400Y-127079246D01* -X47359320Y-127234693D01* -X47419973Y-127381121D01* -X47508026Y-127512903D01* -X47620097Y-127624974D01* -X47751879Y-127713027D01* -X47898307Y-127773680D01* -X48053754Y-127804600D01* -X48212246Y-127804600D01* -X48367693Y-127773680D01* -X48514121Y-127713027D01* -X48645903Y-127624974D01* -X48757974Y-127512903D01* -X48846027Y-127381121D01* -X48906680Y-127234693D01* -X48922957Y-127152862D01* -X77371400Y-127152862D01* -X77371400Y-127247138D01* -X77389792Y-127339603D01* -X77425870Y-127426702D01* -X77478247Y-127505090D01* -X77544910Y-127571753D01* -X77623298Y-127624130D01* -X77710397Y-127660208D01* -X77802862Y-127678600D01* -X77897138Y-127678600D01* -X77989603Y-127660208D01* -X78076702Y-127624130D01* -X78155090Y-127571753D01* -X78221753Y-127505090D01* -X78274130Y-127426702D01* -X78310208Y-127339603D01* -X78311349Y-127333862D01* -X84721400Y-127333862D01* -X84721400Y-127428138D01* -X84739792Y-127520603D01* -X84775870Y-127607702D01* -X84828247Y-127686090D01* -X84894910Y-127752753D01* -X84973298Y-127805130D01* -X85060397Y-127841208D01* -X85152862Y-127859600D01* -X85247138Y-127859600D01* -X85339603Y-127841208D01* -X85426702Y-127805130D01* -X85505090Y-127752753D01* -X85571753Y-127686090D01* -X85624130Y-127607702D01* -X85660208Y-127520603D01* -X85678600Y-127428138D01* -X85678600Y-127333862D01* -X85660208Y-127241397D01* -X85624130Y-127154298D01* -X85571753Y-127075910D01* -X85505090Y-127009247D01* -X85426702Y-126956870D01* -X85339603Y-126920792D01* -X85247138Y-126902400D01* -X85152862Y-126902400D01* -X85060397Y-126920792D01* -X84973298Y-126956870D01* -X84894910Y-127009247D01* -X84828247Y-127075910D01* -X84775870Y-127154298D01* -X84739792Y-127241397D01* -X84721400Y-127333862D01* -X78311349Y-127333862D01* -X78328600Y-127247138D01* -X78328600Y-127152862D01* -X78310208Y-127060397D01* -X78274130Y-126973298D01* -X78221753Y-126894910D01* -X78155090Y-126828247D01* -X78117099Y-126802862D01* -X78921400Y-126802862D01* -X78921400Y-126897138D01* -X78939792Y-126989603D01* -X78975870Y-127076702D01* -X79028247Y-127155090D01* -X79094910Y-127221753D01* -X79173298Y-127274130D01* -X79260397Y-127310208D01* -X79352862Y-127328600D01* -X79447138Y-127328600D01* -X79539603Y-127310208D01* -X79626702Y-127274130D01* -X79705090Y-127221753D01* -X79771753Y-127155090D01* -X79824130Y-127076702D01* -X79860208Y-126989603D01* -X79878600Y-126897138D01* -X79878600Y-126802862D01* -X80221400Y-126802862D01* -X80221400Y-126897138D01* -X80239792Y-126989603D01* -X80275870Y-127076702D01* -X80328247Y-127155090D01* -X80394910Y-127221753D01* -X80473298Y-127274130D01* -X80560397Y-127310208D01* -X80652862Y-127328600D01* -X80747138Y-127328600D01* -X80839603Y-127310208D01* -X80926702Y-127274130D01* -X81005090Y-127221753D01* -X81071753Y-127155090D01* -X81124130Y-127076702D01* -X81160208Y-126989603D01* -X81178600Y-126897138D01* -X81178600Y-126802862D01* -X81521400Y-126802862D01* -X81521400Y-126897138D01* -X81539792Y-126989603D01* -X81575870Y-127076702D01* -X81628247Y-127155090D01* -X81694910Y-127221753D01* -X81773298Y-127274130D01* -X81860397Y-127310208D01* -X81952862Y-127328600D01* -X82047138Y-127328600D01* -X82139603Y-127310208D01* -X82226702Y-127274130D01* -X82305090Y-127221753D01* -X82371753Y-127155090D01* -X82424130Y-127076702D01* -X82460208Y-126989603D01* -X82478600Y-126897138D01* -X82478600Y-126802862D01* -X82821400Y-126802862D01* -X82821400Y-126897138D01* -X82839792Y-126989603D01* -X82875870Y-127076702D01* -X82928247Y-127155090D01* -X82994910Y-127221753D01* -X83073298Y-127274130D01* -X83160397Y-127310208D01* -X83252862Y-127328600D01* -X83347138Y-127328600D01* -X83439603Y-127310208D01* -X83526702Y-127274130D01* -X83605090Y-127221753D01* -X83671753Y-127155090D01* -X83724130Y-127076702D01* -X83760208Y-126989603D01* -X83778600Y-126897138D01* -X83778600Y-126802862D01* -X83760208Y-126710397D01* -X83724130Y-126623298D01* -X83671753Y-126544910D01* -X83605090Y-126478247D01* -X83526702Y-126425870D01* -X83439603Y-126389792D01* -X83347138Y-126371400D01* -X83252862Y-126371400D01* -X83160397Y-126389792D01* -X83073298Y-126425870D01* -X82994910Y-126478247D01* -X82928247Y-126544910D01* -X82875870Y-126623298D01* -X82839792Y-126710397D01* -X82821400Y-126802862D01* -X82478600Y-126802862D01* -X82460208Y-126710397D01* -X82424130Y-126623298D01* -X82371753Y-126544910D01* -X82305090Y-126478247D01* -X82226702Y-126425870D01* -X82139603Y-126389792D01* -X82047138Y-126371400D01* -X81952862Y-126371400D01* -X81860397Y-126389792D01* -X81773298Y-126425870D01* -X81694910Y-126478247D01* -X81628247Y-126544910D01* -X81575870Y-126623298D01* -X81539792Y-126710397D01* -X81521400Y-126802862D01* -X81178600Y-126802862D01* -X81160208Y-126710397D01* -X81124130Y-126623298D01* -X81071753Y-126544910D01* -X81005090Y-126478247D01* -X80926702Y-126425870D01* -X80839603Y-126389792D01* -X80747138Y-126371400D01* -X80652862Y-126371400D01* -X80560397Y-126389792D01* -X80473298Y-126425870D01* -X80394910Y-126478247D01* -X80328247Y-126544910D01* -X80275870Y-126623298D01* -X80239792Y-126710397D01* -X80221400Y-126802862D01* -X79878600Y-126802862D01* -X79860208Y-126710397D01* -X79824130Y-126623298D01* -X79771753Y-126544910D01* -X79705090Y-126478247D01* -X79626702Y-126425870D01* -X79539603Y-126389792D01* -X79447138Y-126371400D01* -X79352862Y-126371400D01* -X79260397Y-126389792D01* -X79173298Y-126425870D01* -X79094910Y-126478247D01* -X79028247Y-126544910D01* -X78975870Y-126623298D01* -X78939792Y-126710397D01* -X78921400Y-126802862D01* -X78117099Y-126802862D01* -X78076702Y-126775870D01* -X77989603Y-126739792D01* -X77897138Y-126721400D01* -X77802862Y-126721400D01* -X77710397Y-126739792D01* -X77623298Y-126775870D01* -X77544910Y-126828247D01* -X77478247Y-126894910D01* -X77425870Y-126973298D01* -X77389792Y-127060397D01* -X77371400Y-127152862D01* -X48922957Y-127152862D01* -X48937600Y-127079246D01* -X48937600Y-126920754D01* -X48906680Y-126765307D01* -X48846027Y-126618879D01* -X48757974Y-126487097D01* -X48645903Y-126375026D01* -X48514121Y-126286973D01* -X48367693Y-126226320D01* -X48212246Y-126195400D01* -X48053754Y-126195400D01* -X47898307Y-126226320D01* -X47751879Y-126286973D01* -X47620097Y-126375026D01* -X47508026Y-126487097D01* -X47419973Y-126618879D01* -X47359320Y-126765307D01* -X47328400Y-126920754D01* -X46177200Y-126920754D01* -X46177200Y-126052862D01* -X78271400Y-126052862D01* -X78271400Y-126147138D01* -X78289792Y-126239603D01* -X78325870Y-126326702D01* -X78378247Y-126405090D01* -X78444910Y-126471753D01* -X78523298Y-126524130D01* -X78610397Y-126560208D01* -X78702862Y-126578600D01* -X78797138Y-126578600D01* -X78889603Y-126560208D01* -X78976702Y-126524130D01* -X79055090Y-126471753D01* -X79121753Y-126405090D01* -X79174130Y-126326702D01* -X79210208Y-126239603D01* -X79228600Y-126147138D01* -X79228600Y-126052862D01* -X79571400Y-126052862D01* -X79571400Y-126147138D01* -X79589792Y-126239603D01* -X79625870Y-126326702D01* -X79678247Y-126405090D01* -X79744910Y-126471753D01* -X79823298Y-126524130D01* -X79910397Y-126560208D01* -X80002862Y-126578600D01* -X80097138Y-126578600D01* -X80189603Y-126560208D01* -X80276702Y-126524130D01* -X80355090Y-126471753D01* -X80421753Y-126405090D01* -X80474130Y-126326702D01* -X80510208Y-126239603D01* -X80528600Y-126147138D01* -X80528600Y-126052862D01* -X80871400Y-126052862D01* -X80871400Y-126147138D01* -X80889792Y-126239603D01* -X80925870Y-126326702D01* -X80978247Y-126405090D01* -X81044910Y-126471753D01* -X81123298Y-126524130D01* -X81210397Y-126560208D01* -X81302862Y-126578600D01* -X81397138Y-126578600D01* -X81489603Y-126560208D01* -X81576702Y-126524130D01* -X81655090Y-126471753D01* -X81721753Y-126405090D01* -X81774130Y-126326702D01* -X81810208Y-126239603D01* -X81828600Y-126147138D01* -X81828600Y-126052862D01* -X82171400Y-126052862D01* -X82171400Y-126147138D01* -X82189792Y-126239603D01* -X82225870Y-126326702D01* -X82278247Y-126405090D01* -X82344910Y-126471753D01* -X82423298Y-126524130D01* -X82510397Y-126560208D01* -X82602862Y-126578600D01* -X82697138Y-126578600D01* -X82789603Y-126560208D01* -X82876702Y-126524130D01* -X82955090Y-126471753D01* -X83021753Y-126405090D01* -X83074130Y-126326702D01* -X83110208Y-126239603D01* -X83128600Y-126147138D01* -X83128600Y-126052862D01* -X83471400Y-126052862D01* -X83471400Y-126147138D01* -X83489792Y-126239603D01* -X83525870Y-126326702D01* -X83578247Y-126405090D01* -X83644910Y-126471753D01* -X83723298Y-126524130D01* -X83810397Y-126560208D01* -X83902862Y-126578600D01* -X83997138Y-126578600D01* -X84089603Y-126560208D01* -X84176702Y-126524130D01* -X84255090Y-126471753D01* -X84321753Y-126405090D01* -X84374130Y-126326702D01* -X84410208Y-126239603D01* -X84427461Y-126152862D01* -X86421400Y-126152862D01* -X86421400Y-126247138D01* -X86439792Y-126339603D01* -X86475870Y-126426702D01* -X86528247Y-126505090D01* -X86594910Y-126571753D01* -X86673298Y-126624130D01* -X86760397Y-126660208D01* -X86852862Y-126678600D01* -X86947138Y-126678600D01* -X87039603Y-126660208D01* -X87126702Y-126624130D01* -X87205090Y-126571753D01* -X87271753Y-126505090D01* -X87324130Y-126426702D01* -X87360208Y-126339603D01* -X87377461Y-126252862D01* -X90421400Y-126252862D01* -X90421400Y-126347138D01* -X90439792Y-126439603D01* -X90475870Y-126526702D01* -X90528247Y-126605090D01* -X90594910Y-126671753D01* -X90673298Y-126724130D01* -X90760397Y-126760208D01* -X90852862Y-126778600D01* -X90947138Y-126778600D01* -X91039603Y-126760208D01* -X91126702Y-126724130D01* -X91158531Y-126702862D01* -X91471400Y-126702862D01* -X91471400Y-126797138D01* -X91489792Y-126889603D01* -X91525870Y-126976702D01* -X91578247Y-127055090D01* -X91644910Y-127121753D01* -X91723298Y-127174130D01* -X91810397Y-127210208D01* -X91902862Y-127228600D01* -X91997138Y-127228600D01* -X92089603Y-127210208D01* -X92176702Y-127174130D01* -X92255090Y-127121753D01* -X92321753Y-127055090D01* -X92374130Y-126976702D01* -X92410208Y-126889603D01* -X92417516Y-126852862D01* -X96971400Y-126852862D01* -X96971400Y-126947138D01* -X96989792Y-127039603D01* -X97025870Y-127126702D01* -X97078247Y-127205090D01* -X97144910Y-127271753D01* -X97223298Y-127324130D01* -X97310397Y-127360208D01* -X97402862Y-127378600D01* -X97497138Y-127378600D01* -X97589603Y-127360208D01* -X97676702Y-127324130D01* -X97755090Y-127271753D01* -X97821753Y-127205090D01* -X97874130Y-127126702D01* -X97910208Y-127039603D01* -X97928600Y-126947138D01* -X97928600Y-126852862D01* -X97910208Y-126760397D01* -X97907087Y-126752862D01* -X106421400Y-126752862D01* -X106421400Y-126847138D01* -X106439792Y-126939603D01* -X106475870Y-127026702D01* -X106528247Y-127105090D01* -X106594910Y-127171753D01* -X106673298Y-127224130D01* -X106760397Y-127260208D01* -X106852862Y-127278600D01* -X106947138Y-127278600D01* -X107039603Y-127260208D01* -X107126702Y-127224130D01* -X107205090Y-127171753D01* -X107271753Y-127105090D01* -X107324130Y-127026702D01* -X107360208Y-126939603D01* -X107378600Y-126847138D01* -X107378600Y-126752862D01* -X108421400Y-126752862D01* -X108421400Y-126847138D01* -X108439792Y-126939603D01* -X108475870Y-127026702D01* -X108528247Y-127105090D01* -X108594910Y-127171753D01* -X108673298Y-127224130D01* -X108760397Y-127260208D01* -X108852862Y-127278600D01* -X108947138Y-127278600D01* -X109039603Y-127260208D01* -X109126702Y-127224130D01* -X109205090Y-127171753D01* -X109271753Y-127105090D01* -X109324130Y-127026702D01* -X109360208Y-126939603D01* -X109360509Y-126938088D01* -X134880400Y-126938088D01* -X134880400Y-127061912D01* -X134904556Y-127183356D01* -X134951941Y-127297754D01* -X135020734Y-127400709D01* -X135108291Y-127488266D01* -X135211246Y-127557059D01* -X135325644Y-127604444D01* -X135447088Y-127628600D01* -X135570912Y-127628600D01* -X135692356Y-127604444D01* -X135806754Y-127557059D01* -X135909709Y-127488266D01* -X135997266Y-127400709D01* -X136066059Y-127297754D01* -X136113444Y-127183356D01* -X136137600Y-127061912D01* -X136137600Y-126938088D01* -X136531400Y-126938088D01* -X136531400Y-127061912D01* -X136555556Y-127183356D01* -X136602941Y-127297754D01* -X136671734Y-127400709D01* -X136759291Y-127488266D01* -X136862246Y-127557059D01* -X136976644Y-127604444D01* -X137098088Y-127628600D01* -X137221912Y-127628600D01* -X137343356Y-127604444D01* -X137457754Y-127557059D01* -X137560709Y-127488266D01* -X137648266Y-127400709D01* -X137717059Y-127297754D01* -X137764444Y-127183356D01* -X137788600Y-127061912D01* -X137788600Y-126938088D01* -X137785153Y-126920754D01* -X142197400Y-126920754D01* -X142197400Y-127079246D01* -X142228320Y-127234693D01* -X142288973Y-127381121D01* -X142377026Y-127512903D01* -X142489097Y-127624974D01* -X142620879Y-127713027D01* -X142767307Y-127773680D01* -X142922754Y-127804600D01* -X143081246Y-127804600D01* -X143236693Y-127773680D01* -X143383121Y-127713027D01* -X143514903Y-127624974D01* -X143626974Y-127512903D01* -X143715027Y-127381121D01* -X143775680Y-127234693D01* -X143806600Y-127079246D01* -X143806600Y-126920754D01* -X143775680Y-126765307D01* -X143715027Y-126618879D01* -X143626974Y-126487097D01* -X143514903Y-126375026D01* -X143383121Y-126286973D01* -X143236693Y-126226320D01* -X143081246Y-126195400D01* -X142922754Y-126195400D01* -X142767307Y-126226320D01* -X142620879Y-126286973D01* -X142489097Y-126375026D01* -X142377026Y-126487097D01* -X142288973Y-126618879D01* -X142228320Y-126765307D01* -X142197400Y-126920754D01* -X137785153Y-126920754D01* -X137764444Y-126816644D01* -X137717059Y-126702246D01* -X137648266Y-126599291D01* -X137560709Y-126511734D01* -X137457754Y-126442941D01* -X137343356Y-126395556D01* -X137221912Y-126371400D01* -X137098088Y-126371400D01* -X136976644Y-126395556D01* -X136862246Y-126442941D01* -X136759291Y-126511734D01* -X136671734Y-126599291D01* -X136602941Y-126702246D01* -X136555556Y-126816644D01* -X136531400Y-126938088D01* -X136137600Y-126938088D01* -X136113444Y-126816644D01* -X136066059Y-126702246D01* -X135997266Y-126599291D01* -X135909709Y-126511734D01* -X135806754Y-126442941D01* -X135692356Y-126395556D01* -X135570912Y-126371400D01* -X135447088Y-126371400D01* -X135325644Y-126395556D01* -X135211246Y-126442941D01* -X135108291Y-126511734D01* -X135020734Y-126599291D01* -X134951941Y-126702246D01* -X134904556Y-126816644D01* -X134880400Y-126938088D01* -X109360509Y-126938088D01* -X109378600Y-126847138D01* -X109378600Y-126752862D01* -X109360208Y-126660397D01* -X109324130Y-126573298D01* -X109271753Y-126494910D01* -X109205090Y-126428247D01* -X109126702Y-126375870D01* -X109039603Y-126339792D01* -X108947138Y-126321400D01* -X108852862Y-126321400D01* -X108760397Y-126339792D01* -X108673298Y-126375870D01* -X108594910Y-126428247D01* -X108528247Y-126494910D01* -X108475870Y-126573298D01* -X108439792Y-126660397D01* -X108421400Y-126752862D01* -X107378600Y-126752862D01* -X107360208Y-126660397D01* -X107324130Y-126573298D01* -X107271753Y-126494910D01* -X107205090Y-126428247D01* -X107126702Y-126375870D01* -X107039603Y-126339792D01* -X106947138Y-126321400D01* -X106852862Y-126321400D01* -X106760397Y-126339792D01* -X106673298Y-126375870D01* -X106594910Y-126428247D01* -X106528247Y-126494910D01* -X106475870Y-126573298D01* -X106439792Y-126660397D01* -X106421400Y-126752862D01* -X97907087Y-126752862D01* -X97874130Y-126673298D01* -X97821753Y-126594910D01* -X97755090Y-126528247D01* -X97676702Y-126475870D01* -X97589603Y-126439792D01* -X97497138Y-126421400D01* -X97402862Y-126421400D01* -X97310397Y-126439792D01* -X97223298Y-126475870D01* -X97144910Y-126528247D01* -X97078247Y-126594910D01* -X97025870Y-126673298D01* -X96989792Y-126760397D01* -X96971400Y-126852862D01* -X92417516Y-126852862D01* -X92428600Y-126797138D01* -X92428600Y-126702862D01* -X92410208Y-126610397D01* -X92374130Y-126523298D01* -X92321753Y-126444910D01* -X92255090Y-126378247D01* -X92176702Y-126325870D01* -X92089603Y-126289792D01* -X91997138Y-126271400D01* -X91902862Y-126271400D01* -X91810397Y-126289792D01* -X91723298Y-126325870D01* -X91644910Y-126378247D01* -X91578247Y-126444910D01* -X91525870Y-126523298D01* -X91489792Y-126610397D01* -X91471400Y-126702862D01* -X91158531Y-126702862D01* -X91205090Y-126671753D01* -X91271753Y-126605090D01* -X91324130Y-126526702D01* -X91360208Y-126439603D01* -X91378600Y-126347138D01* -X91378600Y-126252862D01* -X91360208Y-126160397D01* -X91324130Y-126073298D01* -X91310476Y-126052862D01* -X92671400Y-126052862D01* -X92671400Y-126147138D01* -X92689792Y-126239603D01* -X92725870Y-126326702D01* -X92778247Y-126405090D01* -X92844910Y-126471753D01* -X92923298Y-126524130D01* -X93010397Y-126560208D01* -X93102862Y-126578600D01* -X93197138Y-126578600D01* -X93289603Y-126560208D01* -X93376702Y-126524130D01* -X93455090Y-126471753D01* -X93521753Y-126405090D01* -X93574130Y-126326702D01* -X93610208Y-126239603D01* -X93628600Y-126147138D01* -X93628600Y-126052862D01* -X101871400Y-126052862D01* -X101871400Y-126147138D01* -X101889792Y-126239603D01* -X101925870Y-126326702D01* -X101978247Y-126405090D01* -X102044910Y-126471753D01* -X102123298Y-126524130D01* -X102210397Y-126560208D01* -X102302862Y-126578600D01* -X102397138Y-126578600D01* -X102489603Y-126560208D01* -X102576702Y-126524130D01* -X102655090Y-126471753D01* -X102721753Y-126405090D01* -X102774130Y-126326702D01* -X102810208Y-126239603D01* -X102828600Y-126147138D01* -X102828600Y-126052862D01* -X109771400Y-126052862D01* -X109771400Y-126147138D01* -X109789792Y-126239603D01* -X109825870Y-126326702D01* -X109878247Y-126405090D01* -X109944910Y-126471753D01* -X110023298Y-126524130D01* -X110110397Y-126560208D01* -X110202862Y-126578600D01* -X110297138Y-126578600D01* -X110389603Y-126560208D01* -X110476702Y-126524130D01* -X110555090Y-126471753D01* -X110621753Y-126405090D01* -X110674130Y-126326702D01* -X110710208Y-126239603D01* -X110728600Y-126147138D01* -X110728600Y-126052862D01* -X111071400Y-126052862D01* -X111071400Y-126147138D01* -X111089792Y-126239603D01* -X111125870Y-126326702D01* -X111178247Y-126405090D01* -X111244910Y-126471753D01* -X111323298Y-126524130D01* -X111410397Y-126560208D01* -X111502862Y-126578600D01* -X111597138Y-126578600D01* -X111689603Y-126560208D01* -X111776702Y-126524130D01* -X111855090Y-126471753D01* -X111921753Y-126405090D01* -X111974130Y-126326702D01* -X111977791Y-126317862D01* -X122584400Y-126317862D01* -X122584400Y-126412138D01* -X122602792Y-126504603D01* -X122638870Y-126591702D01* -X122691247Y-126670090D01* -X122757910Y-126736753D01* -X122836298Y-126789130D01* -X122923397Y-126825208D01* -X123015862Y-126843600D01* -X123110138Y-126843600D01* -X123202603Y-126825208D01* -X123289702Y-126789130D01* -X123368090Y-126736753D01* -X123434753Y-126670090D01* -X123487130Y-126591702D01* -X123523208Y-126504603D01* -X123541600Y-126412138D01* -X123541600Y-126317862D01* -X127664400Y-126317862D01* -X127664400Y-126412138D01* -X127682792Y-126504603D01* -X127718870Y-126591702D01* -X127771247Y-126670090D01* -X127837910Y-126736753D01* -X127916298Y-126789130D01* -X128003397Y-126825208D01* -X128095862Y-126843600D01* -X128190138Y-126843600D01* -X128282603Y-126825208D01* -X128369702Y-126789130D01* -X128448090Y-126736753D01* -X128514753Y-126670090D01* -X128567130Y-126591702D01* -X128603208Y-126504603D01* -X128621600Y-126412138D01* -X128621600Y-126317862D01* -X128603208Y-126225397D01* -X128567130Y-126138298D01* -X128514753Y-126059910D01* -X128448090Y-125993247D01* -X128369702Y-125940870D01* -X128282603Y-125904792D01* -X128190138Y-125886400D01* -X128095862Y-125886400D01* -X128003397Y-125904792D01* -X127916298Y-125940870D01* -X127837910Y-125993247D01* -X127771247Y-126059910D01* -X127718870Y-126138298D01* -X127682792Y-126225397D01* -X127664400Y-126317862D01* -X123541600Y-126317862D01* -X123523208Y-126225397D01* -X123487130Y-126138298D01* -X123434753Y-126059910D01* -X123368090Y-125993247D01* -X123289702Y-125940870D01* -X123202603Y-125904792D01* -X123110138Y-125886400D01* -X123015862Y-125886400D01* -X122923397Y-125904792D01* -X122836298Y-125940870D01* -X122757910Y-125993247D01* -X122691247Y-126059910D01* -X122638870Y-126138298D01* -X122602792Y-126225397D01* -X122584400Y-126317862D01* -X111977791Y-126317862D01* -X112010208Y-126239603D01* -X112028600Y-126147138D01* -X112028600Y-126052862D01* -X112010208Y-125960397D01* -X111974130Y-125873298D01* -X111921753Y-125794910D01* -X111855090Y-125728247D01* -X111776702Y-125675870D01* -X111689603Y-125639792D01* -X111597138Y-125621400D01* -X111502862Y-125621400D01* -X111410397Y-125639792D01* -X111323298Y-125675870D01* -X111244910Y-125728247D01* -X111178247Y-125794910D01* -X111125870Y-125873298D01* -X111089792Y-125960397D01* -X111071400Y-126052862D01* -X110728600Y-126052862D01* -X110710208Y-125960397D01* -X110674130Y-125873298D01* -X110621753Y-125794910D01* -X110555090Y-125728247D01* -X110476702Y-125675870D01* -X110389603Y-125639792D01* -X110297138Y-125621400D01* -X110202862Y-125621400D01* -X110110397Y-125639792D01* -X110023298Y-125675870D01* -X109944910Y-125728247D01* -X109878247Y-125794910D01* -X109825870Y-125873298D01* -X109789792Y-125960397D01* -X109771400Y-126052862D01* -X102828600Y-126052862D01* -X102810208Y-125960397D01* -X102774130Y-125873298D01* -X102721753Y-125794910D01* -X102655090Y-125728247D01* -X102576702Y-125675870D01* -X102489603Y-125639792D01* -X102397138Y-125621400D01* -X102302862Y-125621400D01* -X102210397Y-125639792D01* -X102123298Y-125675870D01* -X102044910Y-125728247D01* -X101978247Y-125794910D01* -X101925870Y-125873298D01* -X101889792Y-125960397D01* -X101871400Y-126052862D01* -X93628600Y-126052862D01* -X93610208Y-125960397D01* -X93574130Y-125873298D01* -X93521753Y-125794910D01* -X93455090Y-125728247D01* -X93376702Y-125675870D01* -X93289603Y-125639792D01* -X93197138Y-125621400D01* -X93102862Y-125621400D01* -X93010397Y-125639792D01* -X92923298Y-125675870D01* -X92844910Y-125728247D01* -X92778247Y-125794910D01* -X92725870Y-125873298D01* -X92689792Y-125960397D01* -X92671400Y-126052862D01* -X91310476Y-126052862D01* -X91271753Y-125994910D01* -X91205090Y-125928247D01* -X91126702Y-125875870D01* -X91039603Y-125839792D01* -X90947138Y-125821400D01* -X90852862Y-125821400D01* -X90760397Y-125839792D01* -X90673298Y-125875870D01* -X90594910Y-125928247D01* -X90528247Y-125994910D01* -X90475870Y-126073298D01* -X90439792Y-126160397D01* -X90421400Y-126252862D01* -X87377461Y-126252862D01* -X87378600Y-126247138D01* -X87378600Y-126152862D01* -X87360208Y-126060397D01* -X87324130Y-125973298D01* -X87271753Y-125894910D01* -X87205090Y-125828247D01* -X87126702Y-125775870D01* -X87039603Y-125739792D01* -X86947138Y-125721400D01* -X86852862Y-125721400D01* -X86760397Y-125739792D01* -X86673298Y-125775870D01* -X86594910Y-125828247D01* -X86528247Y-125894910D01* -X86475870Y-125973298D01* -X86439792Y-126060397D01* -X86421400Y-126152862D01* -X84427461Y-126152862D01* -X84428600Y-126147138D01* -X84428600Y-126052862D01* -X84410208Y-125960397D01* -X84374130Y-125873298D01* -X84321753Y-125794910D01* -X84255090Y-125728247D01* -X84176702Y-125675870D01* -X84089603Y-125639792D01* -X83997138Y-125621400D01* -X83902862Y-125621400D01* -X83810397Y-125639792D01* -X83723298Y-125675870D01* -X83644910Y-125728247D01* -X83578247Y-125794910D01* -X83525870Y-125873298D01* -X83489792Y-125960397D01* -X83471400Y-126052862D01* -X83128600Y-126052862D01* -X83110208Y-125960397D01* -X83074130Y-125873298D01* -X83021753Y-125794910D01* -X82955090Y-125728247D01* -X82876702Y-125675870D01* -X82789603Y-125639792D01* -X82697138Y-125621400D01* -X82602862Y-125621400D01* -X82510397Y-125639792D01* -X82423298Y-125675870D01* -X82344910Y-125728247D01* -X82278247Y-125794910D01* -X82225870Y-125873298D01* -X82189792Y-125960397D01* -X82171400Y-126052862D01* -X81828600Y-126052862D01* -X81810208Y-125960397D01* -X81774130Y-125873298D01* -X81721753Y-125794910D01* -X81655090Y-125728247D01* -X81576702Y-125675870D01* -X81489603Y-125639792D01* -X81397138Y-125621400D01* -X81302862Y-125621400D01* -X81210397Y-125639792D01* -X81123298Y-125675870D01* -X81044910Y-125728247D01* -X80978247Y-125794910D01* -X80925870Y-125873298D01* -X80889792Y-125960397D01* -X80871400Y-126052862D01* -X80528600Y-126052862D01* -X80510208Y-125960397D01* -X80474130Y-125873298D01* -X80421753Y-125794910D01* -X80355090Y-125728247D01* -X80276702Y-125675870D01* -X80189603Y-125639792D01* -X80097138Y-125621400D01* -X80002862Y-125621400D01* -X79910397Y-125639792D01* -X79823298Y-125675870D01* -X79744910Y-125728247D01* -X79678247Y-125794910D01* -X79625870Y-125873298D01* -X79589792Y-125960397D01* -X79571400Y-126052862D01* -X79228600Y-126052862D01* -X79210208Y-125960397D01* -X79174130Y-125873298D01* -X79121753Y-125794910D01* -X79055090Y-125728247D01* -X78976702Y-125675870D01* -X78889603Y-125639792D01* -X78797138Y-125621400D01* -X78702862Y-125621400D01* -X78610397Y-125639792D01* -X78523298Y-125675870D01* -X78444910Y-125728247D01* -X78378247Y-125794910D01* -X78325870Y-125873298D01* -X78289792Y-125960397D01* -X78271400Y-126052862D01* -X46177200Y-126052862D01* -X46177200Y-125285386D01* -X46215397Y-125301208D01* -X46307862Y-125319600D01* -X46402138Y-125319600D01* -X46494603Y-125301208D01* -X46581702Y-125265130D01* -X46660090Y-125212753D01* -X46726753Y-125146090D01* -X46779130Y-125067702D01* -X46815208Y-124980603D01* -X46833600Y-124888138D01* -X46833600Y-124793862D01* -X50956400Y-124793862D01* -X50956400Y-124888138D01* -X50974792Y-124980603D01* -X51010870Y-125067702D01* -X51063247Y-125146090D01* -X51129910Y-125212753D01* -X51208298Y-125265130D01* -X51295397Y-125301208D01* -X51387862Y-125319600D01* -X51482138Y-125319600D01* -X51574603Y-125301208D01* -X51661702Y-125265130D01* -X51740090Y-125212753D01* -X51806753Y-125146090D01* -X51859130Y-125067702D01* -X51895208Y-124980603D01* -X51913600Y-124888138D01* -X51913600Y-124793862D01* -X55909400Y-124793862D01* -X55909400Y-124888138D01* -X55927792Y-124980603D01* -X55963870Y-125067702D01* -X56016247Y-125146090D01* -X56082910Y-125212753D01* -X56161298Y-125265130D01* -X56248397Y-125301208D01* -X56340862Y-125319600D01* -X56435138Y-125319600D01* -X56527603Y-125301208D01* -X56614702Y-125265130D01* -X56693090Y-125212753D01* -X56759753Y-125146090D01* -X56812130Y-125067702D01* -X56848208Y-124980603D01* -X56866600Y-124888138D01* -X56866600Y-124793862D01* -X56848208Y-124701397D01* -X56833903Y-124666862D01* -X61052900Y-124666862D01* -X61052900Y-124761138D01* -X61071292Y-124853603D01* -X61107370Y-124940702D01* -X61159747Y-125019090D01* -X61226410Y-125085753D01* -X61304798Y-125138130D01* -X61391897Y-125174208D01* -X61484362Y-125192600D01* -X61578638Y-125192600D01* -X61671103Y-125174208D01* -X61758202Y-125138130D01* -X61836590Y-125085753D01* -X61903253Y-125019090D01* -X61955630Y-124940702D01* -X61991708Y-124853603D01* -X62010100Y-124761138D01* -X62010100Y-124666862D01* -X65624900Y-124666862D01* -X65624900Y-124761138D01* -X65643292Y-124853603D01* -X65679370Y-124940702D01* -X65731747Y-125019090D01* -X65798410Y-125085753D01* -X65876798Y-125138130D01* -X65963897Y-125174208D01* -X66056362Y-125192600D01* -X66150638Y-125192600D01* -X66243103Y-125174208D01* -X66330202Y-125138130D01* -X66408590Y-125085753D01* -X66475253Y-125019090D01* -X66527630Y-124940702D01* -X66563708Y-124853603D01* -X66575590Y-124793862D01* -X71276400Y-124793862D01* -X71276400Y-124888138D01* -X71294792Y-124980603D01* -X71330870Y-125067702D01* -X71383247Y-125146090D01* -X71449910Y-125212753D01* -X71528298Y-125265130D01* -X71615397Y-125301208D01* -X71707862Y-125319600D01* -X71802138Y-125319600D01* -X71894603Y-125301208D01* -X71981702Y-125265130D01* -X72060090Y-125212753D01* -X72126753Y-125146090D01* -X72179130Y-125067702D01* -X72215208Y-124980603D01* -X72230671Y-124902862D01* -X84121400Y-124902862D01* -X84121400Y-124997138D01* -X84139792Y-125089603D01* -X84175870Y-125176702D01* -X84228247Y-125255090D01* -X84294910Y-125321753D01* -X84373298Y-125374130D01* -X84460397Y-125410208D01* -X84552862Y-125428600D01* -X84647138Y-125428600D01* -X84739603Y-125410208D01* -X84826702Y-125374130D01* -X84905090Y-125321753D01* -X84971753Y-125255090D01* -X85024130Y-125176702D01* -X85060208Y-125089603D01* -X85078600Y-124997138D01* -X85078600Y-124902862D01* -X93321400Y-124902862D01* -X93321400Y-124997138D01* -X93339792Y-125089603D01* -X93375870Y-125176702D01* -X93428247Y-125255090D01* -X93494910Y-125321753D01* -X93573298Y-125374130D01* -X93660397Y-125410208D01* -X93752862Y-125428600D01* -X93847138Y-125428600D01* -X93939603Y-125410208D01* -X94026702Y-125374130D01* -X94105090Y-125321753D01* -X94171753Y-125255090D01* -X94224130Y-125176702D01* -X94260208Y-125089603D01* -X94278600Y-124997138D01* -X94278600Y-124902862D01* -X102521400Y-124902862D01* -X102521400Y-124997138D01* -X102539792Y-125089603D01* -X102575870Y-125176702D01* -X102628247Y-125255090D01* -X102694910Y-125321753D01* -X102773298Y-125374130D01* -X102860397Y-125410208D01* -X102952862Y-125428600D01* -X103047138Y-125428600D01* -X103139603Y-125410208D01* -X103226702Y-125374130D01* -X103305090Y-125321753D01* -X103371753Y-125255090D01* -X103424130Y-125176702D01* -X103460208Y-125089603D01* -X103478600Y-124997138D01* -X103478600Y-124902862D01* -X111721400Y-124902862D01* -X111721400Y-124997138D01* -X111739792Y-125089603D01* -X111775870Y-125176702D01* -X111828247Y-125255090D01* -X111894910Y-125321753D01* -X111973298Y-125374130D01* -X112060397Y-125410208D01* -X112152862Y-125428600D01* -X112247138Y-125428600D01* -X112339603Y-125410208D01* -X112426702Y-125374130D01* -X112458531Y-125352862D01* -X116121400Y-125352862D01* -X116121400Y-125447138D01* -X116139792Y-125539603D01* -X116175870Y-125626702D01* -X116228247Y-125705090D01* -X116294910Y-125771753D01* -X116373298Y-125824130D01* -X116460397Y-125860208D01* -X116552862Y-125878600D01* -X116647138Y-125878600D01* -X116739603Y-125860208D01* -X116826702Y-125824130D01* -X116905090Y-125771753D01* -X116971753Y-125705090D01* -X117024130Y-125626702D01* -X117060208Y-125539603D01* -X117078600Y-125447138D01* -X117078600Y-125352862D01* -X117060208Y-125260397D01* -X117024130Y-125173298D01* -X116971753Y-125094910D01* -X116905090Y-125028247D01* -X116826702Y-124975870D01* -X116739603Y-124939792D01* -X116647138Y-124921400D01* -X116552862Y-124921400D01* -X116460397Y-124939792D01* -X116373298Y-124975870D01* -X116294910Y-125028247D01* -X116228247Y-125094910D01* -X116175870Y-125173298D01* -X116139792Y-125260397D01* -X116121400Y-125352862D01* -X112458531Y-125352862D01* -X112505090Y-125321753D01* -X112571753Y-125255090D01* -X112624130Y-125176702D01* -X112660208Y-125089603D01* -X112678600Y-124997138D01* -X112678600Y-124902862D01* -X112660208Y-124810397D01* -X112624130Y-124723298D01* -X112571753Y-124644910D01* -X112505090Y-124578247D01* -X112426702Y-124525870D01* -X112339603Y-124489792D01* -X112247138Y-124471400D01* -X112152862Y-124471400D01* -X112060397Y-124489792D01* -X111973298Y-124525870D01* -X111894910Y-124578247D01* -X111828247Y-124644910D01* -X111775870Y-124723298D01* -X111739792Y-124810397D01* -X111721400Y-124902862D01* -X103478600Y-124902862D01* -X103460208Y-124810397D01* -X103424130Y-124723298D01* -X103371753Y-124644910D01* -X103305090Y-124578247D01* -X103226702Y-124525870D01* -X103139603Y-124489792D01* -X103047138Y-124471400D01* -X102952862Y-124471400D01* -X102860397Y-124489792D01* -X102773298Y-124525870D01* -X102694910Y-124578247D01* -X102628247Y-124644910D01* -X102575870Y-124723298D01* -X102539792Y-124810397D01* -X102521400Y-124902862D01* -X94278600Y-124902862D01* -X94260208Y-124810397D01* -X94224130Y-124723298D01* -X94171753Y-124644910D01* -X94105090Y-124578247D01* -X94026702Y-124525870D01* -X93939603Y-124489792D01* -X93847138Y-124471400D01* -X93752862Y-124471400D01* -X93660397Y-124489792D01* -X93573298Y-124525870D01* -X93494910Y-124578247D01* -X93428247Y-124644910D01* -X93375870Y-124723298D01* -X93339792Y-124810397D01* -X93321400Y-124902862D01* -X85078600Y-124902862D01* -X85060208Y-124810397D01* -X85024130Y-124723298D01* -X84971753Y-124644910D01* -X84905090Y-124578247D01* -X84826702Y-124525870D01* -X84739603Y-124489792D01* -X84647138Y-124471400D01* -X84552862Y-124471400D01* -X84460397Y-124489792D01* -X84373298Y-124525870D01* -X84294910Y-124578247D01* -X84228247Y-124644910D01* -X84175870Y-124723298D01* -X84139792Y-124810397D01* -X84121400Y-124902862D01* -X72230671Y-124902862D01* -X72233600Y-124888138D01* -X72233600Y-124793862D01* -X72215208Y-124701397D01* -X72179130Y-124614298D01* -X72126753Y-124535910D01* -X72060090Y-124469247D01* -X71981702Y-124416870D01* -X71894603Y-124380792D01* -X71802138Y-124362400D01* -X71707862Y-124362400D01* -X71615397Y-124380792D01* -X71528298Y-124416870D01* -X71449910Y-124469247D01* -X71383247Y-124535910D01* -X71330870Y-124614298D01* -X71294792Y-124701397D01* -X71276400Y-124793862D01* -X66575590Y-124793862D01* -X66582100Y-124761138D01* -X66582100Y-124666862D01* -X66563708Y-124574397D01* -X66527630Y-124487298D01* -X66475253Y-124408910D01* -X66408590Y-124342247D01* -X66330202Y-124289870D01* -X66243103Y-124253792D01* -X66150638Y-124235400D01* -X66056362Y-124235400D01* -X65963897Y-124253792D01* -X65876798Y-124289870D01* -X65798410Y-124342247D01* -X65731747Y-124408910D01* -X65679370Y-124487298D01* -X65643292Y-124574397D01* -X65624900Y-124666862D01* -X62010100Y-124666862D01* -X61991708Y-124574397D01* -X61955630Y-124487298D01* -X61903253Y-124408910D01* -X61836590Y-124342247D01* -X61758202Y-124289870D01* -X61671103Y-124253792D01* -X61578638Y-124235400D01* -X61484362Y-124235400D01* -X61391897Y-124253792D01* -X61304798Y-124289870D01* -X61226410Y-124342247D01* -X61159747Y-124408910D01* -X61107370Y-124487298D01* -X61071292Y-124574397D01* -X61052900Y-124666862D01* -X56833903Y-124666862D01* -X56812130Y-124614298D01* -X56759753Y-124535910D01* -X56693090Y-124469247D01* -X56614702Y-124416870D01* -X56527603Y-124380792D01* -X56435138Y-124362400D01* -X56340862Y-124362400D01* -X56248397Y-124380792D01* -X56161298Y-124416870D01* -X56082910Y-124469247D01* -X56016247Y-124535910D01* -X55963870Y-124614298D01* -X55927792Y-124701397D01* -X55909400Y-124793862D01* -X51913600Y-124793862D01* -X51895208Y-124701397D01* -X51859130Y-124614298D01* -X51806753Y-124535910D01* -X51740090Y-124469247D01* -X51661702Y-124416870D01* -X51574603Y-124380792D01* -X51482138Y-124362400D01* -X51387862Y-124362400D01* -X51295397Y-124380792D01* -X51208298Y-124416870D01* -X51129910Y-124469247D01* -X51063247Y-124535910D01* -X51010870Y-124614298D01* -X50974792Y-124701397D01* -X50956400Y-124793862D01* -X46833600Y-124793862D01* -X46815208Y-124701397D01* -X46779130Y-124614298D01* -X46726753Y-124535910D01* -X46660090Y-124469247D01* -X46581702Y-124416870D01* -X46494603Y-124380792D01* -X46402138Y-124362400D01* -X46307862Y-124362400D01* -X46215397Y-124380792D01* -X46177200Y-124396614D01* -X46177200Y-123852862D01* -X56921400Y-123852862D01* -X56921400Y-123947138D01* -X56939792Y-124039603D01* -X56975870Y-124126702D01* -X57028247Y-124205090D01* -X57094910Y-124271753D01* -X57173298Y-124324130D01* -X57260397Y-124360208D01* -X57352862Y-124378600D01* -X57447138Y-124378600D01* -X57539603Y-124360208D01* -X57626702Y-124324130D01* -X57705090Y-124271753D01* -X57771753Y-124205090D01* -X57824130Y-124126702D01* -X57860208Y-124039603D01* -X57878600Y-123947138D01* -X57878600Y-123852862D01* -X57868655Y-123802862D01* -X58271400Y-123802862D01* -X58271400Y-123897138D01* -X58289792Y-123989603D01* -X58325870Y-124076702D01* -X58378247Y-124155090D01* -X58444910Y-124221753D01* -X58523298Y-124274130D01* -X58610397Y-124310208D01* -X58702862Y-124328600D01* -X58797138Y-124328600D01* -X58889603Y-124310208D01* -X58976702Y-124274130D01* -X59055090Y-124221753D01* -X59121753Y-124155090D01* -X59174130Y-124076702D01* -X59210208Y-123989603D01* -X59228600Y-123897138D01* -X59228600Y-123802862D01* -X59218655Y-123752862D01* -X77621400Y-123752862D01* -X77621400Y-123847138D01* -X77639792Y-123939603D01* -X77675870Y-124026702D01* -X77728247Y-124105090D01* -X77794910Y-124171753D01* -X77873298Y-124224130D01* -X77960397Y-124260208D01* -X78052862Y-124278600D01* -X78147138Y-124278600D01* -X78239603Y-124260208D01* -X78326702Y-124224130D01* -X78405090Y-124171753D01* -X78471753Y-124105090D01* -X78524130Y-124026702D01* -X78560208Y-123939603D01* -X78578600Y-123847138D01* -X78578600Y-123752862D01* -X83471400Y-123752862D01* -X83471400Y-123847138D01* -X83489792Y-123939603D01* -X83525870Y-124026702D01* -X83578247Y-124105090D01* -X83644910Y-124171753D01* -X83723298Y-124224130D01* -X83810397Y-124260208D01* -X83902862Y-124278600D01* -X83997138Y-124278600D01* -X84089603Y-124260208D01* -X84176702Y-124224130D01* -X84255090Y-124171753D01* -X84321753Y-124105090D01* -X84374130Y-124026702D01* -X84410208Y-123939603D01* -X84428600Y-123847138D01* -X84428600Y-123752862D01* -X92671400Y-123752862D01* -X92671400Y-123847138D01* -X92689792Y-123939603D01* -X92725870Y-124026702D01* -X92778247Y-124105090D01* -X92844910Y-124171753D01* -X92923298Y-124224130D01* -X93010397Y-124260208D01* -X93102862Y-124278600D01* -X93197138Y-124278600D01* -X93289603Y-124260208D01* -X93376702Y-124224130D01* -X93455090Y-124171753D01* -X93521753Y-124105090D01* -X93574130Y-124026702D01* -X93610208Y-123939603D01* -X93628600Y-123847138D01* -X93628600Y-123752862D01* -X101871400Y-123752862D01* -X101871400Y-123847138D01* -X101889792Y-123939603D01* -X101925870Y-124026702D01* -X101978247Y-124105090D01* -X102044910Y-124171753D01* -X102123298Y-124224130D01* -X102210397Y-124260208D01* -X102302862Y-124278600D01* -X102397138Y-124278600D01* -X102489603Y-124260208D01* -X102576702Y-124224130D01* -X102655090Y-124171753D01* -X102721753Y-124105090D01* -X102774130Y-124026702D01* -X102810208Y-123939603D01* -X102828600Y-123847138D01* -X102828600Y-123752862D01* -X106521400Y-123752862D01* -X106521400Y-123847138D01* -X106539792Y-123939603D01* -X106575870Y-124026702D01* -X106628247Y-124105090D01* -X106694910Y-124171753D01* -X106773298Y-124224130D01* -X106860397Y-124260208D01* -X106952862Y-124278600D01* -X107047138Y-124278600D01* -X107139603Y-124260208D01* -X107226702Y-124224130D01* -X107305090Y-124171753D01* -X107371753Y-124105090D01* -X107424130Y-124026702D01* -X107460208Y-123939603D01* -X107478600Y-123847138D01* -X107478600Y-123752862D01* -X107821400Y-123752862D01* -X107821400Y-123847138D01* -X107839792Y-123939603D01* -X107875870Y-124026702D01* -X107928247Y-124105090D01* -X107994910Y-124171753D01* -X108073298Y-124224130D01* -X108160397Y-124260208D01* -X108252862Y-124278600D01* -X108347138Y-124278600D01* -X108439603Y-124260208D01* -X108526702Y-124224130D01* -X108605090Y-124171753D01* -X108671753Y-124105090D01* -X108724130Y-124026702D01* -X108760208Y-123939603D01* -X108778600Y-123847138D01* -X108778600Y-123752862D01* -X111071400Y-123752862D01* -X111071400Y-123847138D01* -X111089792Y-123939603D01* -X111125870Y-124026702D01* -X111178247Y-124105090D01* -X111244910Y-124171753D01* -X111323298Y-124224130D01* -X111410397Y-124260208D01* -X111502862Y-124278600D01* -X111597138Y-124278600D01* -X111689603Y-124260208D01* -X111776702Y-124224130D01* -X111855090Y-124171753D01* -X111921753Y-124105090D01* -X111974130Y-124026702D01* -X112010208Y-123939603D01* -X112028600Y-123847138D01* -X112028600Y-123777862D01* -X112678400Y-123777862D01* -X112678400Y-123872138D01* -X112696792Y-123964603D01* -X112732870Y-124051702D01* -X112785247Y-124130090D01* -X112851910Y-124196753D01* -X112930298Y-124249130D01* -X113017397Y-124285208D01* -X113109862Y-124303600D01* -X113204138Y-124303600D01* -X113296603Y-124285208D01* -X113383702Y-124249130D01* -X113462090Y-124196753D01* -X113528753Y-124130090D01* -X113581130Y-124051702D01* -X113617208Y-123964603D01* -X113635600Y-123872138D01* -X113635600Y-123777862D01* -X120044400Y-123777862D01* -X120044400Y-123872138D01* -X120062792Y-123964603D01* -X120098870Y-124051702D01* -X120151247Y-124130090D01* -X120217910Y-124196753D01* -X120296298Y-124249130D01* -X120383397Y-124285208D01* -X120475862Y-124303600D01* -X120570138Y-124303600D01* -X120662603Y-124285208D01* -X120749702Y-124249130D01* -X120828090Y-124196753D01* -X120894753Y-124130090D01* -X120947130Y-124051702D01* -X120983208Y-123964603D01* -X121001600Y-123872138D01* -X121001600Y-123777862D01* -X125124400Y-123777862D01* -X125124400Y-123872138D01* -X125142792Y-123964603D01* -X125178870Y-124051702D01* -X125231247Y-124130090D01* -X125297910Y-124196753D01* -X125376298Y-124249130D01* -X125463397Y-124285208D01* -X125555862Y-124303600D01* -X125650138Y-124303600D01* -X125742603Y-124285208D01* -X125829702Y-124249130D01* -X125908090Y-124196753D01* -X125974753Y-124130090D01* -X126027130Y-124051702D01* -X126063208Y-123964603D01* -X126081600Y-123872138D01* -X126081600Y-123777862D01* -X126063208Y-123685397D01* -X126027130Y-123598298D01* -X125974753Y-123519910D01* -X125908090Y-123453247D01* -X125829702Y-123400870D01* -X125742603Y-123364792D01* -X125650138Y-123346400D01* -X125555862Y-123346400D01* -X125463397Y-123364792D01* -X125376298Y-123400870D01* -X125297910Y-123453247D01* -X125231247Y-123519910D01* -X125178870Y-123598298D01* -X125142792Y-123685397D01* -X125124400Y-123777862D01* -X121001600Y-123777862D01* -X120983208Y-123685397D01* -X120947130Y-123598298D01* -X120894753Y-123519910D01* -X120828090Y-123453247D01* -X120749702Y-123400870D01* -X120662603Y-123364792D01* -X120570138Y-123346400D01* -X120475862Y-123346400D01* -X120383397Y-123364792D01* -X120296298Y-123400870D01* -X120217910Y-123453247D01* -X120151247Y-123519910D01* -X120098870Y-123598298D01* -X120062792Y-123685397D01* -X120044400Y-123777862D01* -X113635600Y-123777862D01* -X113617208Y-123685397D01* -X113581130Y-123598298D01* -X113528753Y-123519910D01* -X113462090Y-123453247D01* -X113383702Y-123400870D01* -X113296603Y-123364792D01* -X113204138Y-123346400D01* -X113109862Y-123346400D01* -X113017397Y-123364792D01* -X112930298Y-123400870D01* -X112851910Y-123453247D01* -X112785247Y-123519910D01* -X112732870Y-123598298D01* -X112696792Y-123685397D01* -X112678400Y-123777862D01* -X112028600Y-123777862D01* -X112028600Y-123752862D01* -X112010208Y-123660397D01* -X111974130Y-123573298D01* -X111921753Y-123494910D01* -X111855090Y-123428247D01* -X111776702Y-123375870D01* -X111689603Y-123339792D01* -X111597138Y-123321400D01* -X111502862Y-123321400D01* -X111410397Y-123339792D01* -X111323298Y-123375870D01* -X111244910Y-123428247D01* -X111178247Y-123494910D01* -X111125870Y-123573298D01* -X111089792Y-123660397D01* -X111071400Y-123752862D01* -X108778600Y-123752862D01* -X108760208Y-123660397D01* -X108724130Y-123573298D01* -X108671753Y-123494910D01* -X108605090Y-123428247D01* -X108526702Y-123375870D01* -X108439603Y-123339792D01* -X108347138Y-123321400D01* -X108252862Y-123321400D01* -X108160397Y-123339792D01* -X108073298Y-123375870D01* -X107994910Y-123428247D01* -X107928247Y-123494910D01* -X107875870Y-123573298D01* -X107839792Y-123660397D01* -X107821400Y-123752862D01* -X107478600Y-123752862D01* -X107460208Y-123660397D01* -X107424130Y-123573298D01* -X107371753Y-123494910D01* -X107305090Y-123428247D01* -X107226702Y-123375870D01* -X107139603Y-123339792D01* -X107047138Y-123321400D01* -X106952862Y-123321400D01* -X106860397Y-123339792D01* -X106773298Y-123375870D01* -X106694910Y-123428247D01* -X106628247Y-123494910D01* -X106575870Y-123573298D01* -X106539792Y-123660397D01* -X106521400Y-123752862D01* -X102828600Y-123752862D01* -X102810208Y-123660397D01* -X102774130Y-123573298D01* -X102721753Y-123494910D01* -X102655090Y-123428247D01* -X102576702Y-123375870D01* -X102489603Y-123339792D01* -X102397138Y-123321400D01* -X102302862Y-123321400D01* -X102210397Y-123339792D01* -X102123298Y-123375870D01* -X102044910Y-123428247D01* -X101978247Y-123494910D01* -X101925870Y-123573298D01* -X101889792Y-123660397D01* -X101871400Y-123752862D01* -X93628600Y-123752862D01* -X93610208Y-123660397D01* -X93574130Y-123573298D01* -X93521753Y-123494910D01* -X93455090Y-123428247D01* -X93376702Y-123375870D01* -X93289603Y-123339792D01* -X93197138Y-123321400D01* -X93102862Y-123321400D01* -X93010397Y-123339792D01* -X92923298Y-123375870D01* -X92844910Y-123428247D01* -X92778247Y-123494910D01* -X92725870Y-123573298D01* -X92689792Y-123660397D01* -X92671400Y-123752862D01* -X84428600Y-123752862D01* -X84410208Y-123660397D01* -X84374130Y-123573298D01* -X84321753Y-123494910D01* -X84255090Y-123428247D01* -X84176702Y-123375870D01* -X84089603Y-123339792D01* -X83997138Y-123321400D01* -X83902862Y-123321400D01* -X83810397Y-123339792D01* -X83723298Y-123375870D01* -X83644910Y-123428247D01* -X83578247Y-123494910D01* -X83525870Y-123573298D01* -X83489792Y-123660397D01* -X83471400Y-123752862D01* -X78578600Y-123752862D01* -X78560208Y-123660397D01* -X78524130Y-123573298D01* -X78471753Y-123494910D01* -X78405090Y-123428247D01* -X78326702Y-123375870D01* -X78239603Y-123339792D01* -X78147138Y-123321400D01* -X78052862Y-123321400D01* -X77960397Y-123339792D01* -X77873298Y-123375870D01* -X77794910Y-123428247D01* -X77728247Y-123494910D01* -X77675870Y-123573298D01* -X77639792Y-123660397D01* -X77621400Y-123752862D01* -X59218655Y-123752862D01* -X59210208Y-123710397D01* -X59174130Y-123623298D01* -X59121753Y-123544910D01* -X59055090Y-123478247D01* -X58976702Y-123425870D01* -X58889603Y-123389792D01* -X58797138Y-123371400D01* -X58702862Y-123371400D01* -X58610397Y-123389792D01* -X58523298Y-123425870D01* -X58444910Y-123478247D01* -X58378247Y-123544910D01* -X58325870Y-123623298D01* -X58289792Y-123710397D01* -X58271400Y-123802862D01* -X57868655Y-123802862D01* -X57860208Y-123760397D01* -X57824130Y-123673298D01* -X57771753Y-123594910D01* -X57705090Y-123528247D01* -X57626702Y-123475870D01* -X57539603Y-123439792D01* -X57447138Y-123421400D01* -X57352862Y-123421400D01* -X57260397Y-123439792D01* -X57173298Y-123475870D01* -X57094910Y-123528247D01* -X57028247Y-123594910D01* -X56975870Y-123673298D01* -X56939792Y-123760397D01* -X56921400Y-123852862D01* -X46177200Y-123852862D01* -X46177200Y-122253862D01* -X48416400Y-122253862D01* -X48416400Y-122348138D01* -X48434792Y-122440603D01* -X48470870Y-122527702D01* -X48523247Y-122606090D01* -X48589910Y-122672753D01* -X48668298Y-122725130D01* -X48755397Y-122761208D01* -X48847862Y-122779600D01* -X48942138Y-122779600D01* -X49034603Y-122761208D01* -X49121702Y-122725130D01* -X49200090Y-122672753D01* -X49266753Y-122606090D01* -X49319130Y-122527702D01* -X49355208Y-122440603D01* -X49373600Y-122348138D01* -X49373600Y-122253862D01* -X53496400Y-122253862D01* -X53496400Y-122348138D01* -X53514792Y-122440603D01* -X53550870Y-122527702D01* -X53603247Y-122606090D01* -X53669910Y-122672753D01* -X53748298Y-122725130D01* -X53835397Y-122761208D01* -X53927862Y-122779600D01* -X54022138Y-122779600D01* -X54114603Y-122761208D01* -X54201702Y-122725130D01* -X54280090Y-122672753D01* -X54346753Y-122606090D01* -X54399130Y-122527702D01* -X54435208Y-122440603D01* -X54453600Y-122348138D01* -X54453600Y-122253862D01* -X54435208Y-122161397D01* -X54399130Y-122074298D01* -X54346753Y-121995910D01* -X54280090Y-121929247D01* -X54201702Y-121876870D01* -X54114603Y-121840792D01* -X54022138Y-121822400D01* -X53927862Y-121822400D01* -X53835397Y-121840792D01* -X53748298Y-121876870D01* -X53669910Y-121929247D01* -X53603247Y-121995910D01* -X53550870Y-122074298D01* -X53514792Y-122161397D01* -X53496400Y-122253862D01* -X49373600Y-122253862D01* -X49355208Y-122161397D01* -X49319130Y-122074298D01* -X49266753Y-121995910D01* -X49200090Y-121929247D01* -X49121702Y-121876870D01* -X49034603Y-121840792D01* -X48942138Y-121822400D01* -X48847862Y-121822400D01* -X48755397Y-121840792D01* -X48668298Y-121876870D01* -X48589910Y-121929247D01* -X48523247Y-121995910D01* -X48470870Y-122074298D01* -X48434792Y-122161397D01* -X48416400Y-122253862D01* -X46177200Y-122253862D01* -X46177200Y-121653531D01* -X58654950Y-121653531D01* -X58654950Y-121932469D01* -X58709368Y-122206047D01* -X58816112Y-122463751D01* -X58971082Y-122695679D01* -X59168321Y-122892918D01* -X59400249Y-123047888D01* -X59657953Y-123154632D01* -X59931531Y-123209050D01* -X60210469Y-123209050D01* -X60484047Y-123154632D01* -X60741751Y-123047888D01* -X60973679Y-122892918D01* -X61170918Y-122695679D01* -X61325888Y-122463751D01* -X61360221Y-122380862D01* -X63656400Y-122380862D01* -X63656400Y-122475138D01* -X63674792Y-122567603D01* -X63710870Y-122654702D01* -X63763247Y-122733090D01* -X63829910Y-122799753D01* -X63908298Y-122852130D01* -X63995397Y-122888208D01* -X64087862Y-122906600D01* -X64182138Y-122906600D01* -X64274603Y-122888208D01* -X64361702Y-122852130D01* -X64440090Y-122799753D01* -X64506753Y-122733090D01* -X64559130Y-122654702D01* -X64595208Y-122567603D01* -X64596423Y-122561495D01* -X64686082Y-122695679D01* -X64883321Y-122892918D01* -X65115249Y-123047888D01* -X65372953Y-123154632D01* -X65646531Y-123209050D01* -X65925469Y-123209050D01* -X66199047Y-123154632D01* -X66456751Y-123047888D01* -X66524136Y-123002862D01* -X105871400Y-123002862D01* -X105871400Y-123097138D01* -X105889792Y-123189603D01* -X105925870Y-123276702D01* -X105978247Y-123355090D01* -X106044910Y-123421753D01* -X106123298Y-123474130D01* -X106210397Y-123510208D01* -X106302862Y-123528600D01* -X106397138Y-123528600D01* -X106489603Y-123510208D01* -X106576702Y-123474130D01* -X106655090Y-123421753D01* -X106721753Y-123355090D01* -X106774130Y-123276702D01* -X106810208Y-123189603D01* -X106828600Y-123097138D01* -X106828600Y-123002862D01* -X107171400Y-123002862D01* -X107171400Y-123097138D01* -X107189792Y-123189603D01* -X107225870Y-123276702D01* -X107278247Y-123355090D01* -X107344910Y-123421753D01* -X107423298Y-123474130D01* -X107510397Y-123510208D01* -X107602862Y-123528600D01* -X107697138Y-123528600D01* -X107789603Y-123510208D01* -X107876702Y-123474130D01* -X107955090Y-123421753D01* -X108021753Y-123355090D01* -X108074130Y-123276702D01* -X108110208Y-123189603D01* -X108128600Y-123097138D01* -X108128600Y-123002862D01* -X108471400Y-123002862D01* -X108471400Y-123097138D01* -X108489792Y-123189603D01* -X108525870Y-123276702D01* -X108578247Y-123355090D01* -X108644910Y-123421753D01* -X108723298Y-123474130D01* -X108810397Y-123510208D01* -X108902862Y-123528600D01* -X108997138Y-123528600D01* -X109089603Y-123510208D01* -X109176702Y-123474130D01* -X109255090Y-123421753D01* -X109321753Y-123355090D01* -X109366522Y-123288088D01* -X128521400Y-123288088D01* -X128521400Y-123411912D01* -X128545556Y-123533356D01* -X128592941Y-123647754D01* -X128661734Y-123750709D01* -X128749291Y-123838266D01* -X128852246Y-123907059D01* -X128966644Y-123954444D01* -X129088088Y-123978600D01* -X129211912Y-123978600D01* -X129333356Y-123954444D01* -X129447754Y-123907059D01* -X129550709Y-123838266D01* -X129638266Y-123750709D01* -X129707059Y-123647754D01* -X129754444Y-123533356D01* -X129763448Y-123488088D01* -X130921400Y-123488088D01* -X130921400Y-123611912D01* -X130945556Y-123733356D01* -X130992941Y-123847754D01* -X131061734Y-123950709D01* -X131149291Y-124038266D01* -X131252246Y-124107059D01* -X131366644Y-124154444D01* -X131488088Y-124178600D01* -X131611912Y-124178600D01* -X131733356Y-124154444D01* -X131847754Y-124107059D01* -X131950709Y-124038266D01* -X132038266Y-123950709D01* -X132107059Y-123847754D01* -X132154444Y-123733356D01* -X132178600Y-123611912D01* -X132178600Y-123488088D01* -X132168655Y-123438088D01* -X133371400Y-123438088D01* -X133371400Y-123561912D01* -X133395556Y-123683356D01* -X133442941Y-123797754D01* -X133511734Y-123900709D01* -X133599291Y-123988266D01* -X133702246Y-124057059D01* -X133816644Y-124104444D01* -X133938088Y-124128600D01* -X134061912Y-124128600D01* -X134183356Y-124104444D01* -X134297754Y-124057059D01* -X134400709Y-123988266D01* -X134488266Y-123900709D01* -X134557059Y-123797754D01* -X134604444Y-123683356D01* -X134628600Y-123561912D01* -X134628600Y-123438088D01* -X134618655Y-123388088D01* -X138271400Y-123388088D01* -X138271400Y-123511912D01* -X138295556Y-123633356D01* -X138342941Y-123747754D01* -X138411734Y-123850709D01* -X138499291Y-123938266D01* -X138602246Y-124007059D01* -X138716644Y-124054444D01* -X138838088Y-124078600D01* -X138961912Y-124078600D01* -X139083356Y-124054444D01* -X139197754Y-124007059D01* -X139300709Y-123938266D01* -X139388266Y-123850709D01* -X139457059Y-123747754D01* -X139504444Y-123633356D01* -X139528600Y-123511912D01* -X139528600Y-123488088D01* -X140671400Y-123488088D01* -X140671400Y-123611912D01* -X140695556Y-123733356D01* -X140742941Y-123847754D01* -X140811734Y-123950709D01* -X140899291Y-124038266D01* -X141002246Y-124107059D01* -X141116644Y-124154444D01* -X141238088Y-124178600D01* -X141361912Y-124178600D01* -X141483356Y-124154444D01* -X141597754Y-124107059D01* -X141700709Y-124038266D01* -X141788266Y-123950709D01* -X141857059Y-123847754D01* -X141904444Y-123733356D01* -X141928600Y-123611912D01* -X141928600Y-123488088D01* -X141904444Y-123366644D01* -X141857059Y-123252246D01* -X141788266Y-123149291D01* -X141700709Y-123061734D01* -X141597754Y-122992941D01* -X141483356Y-122945556D01* -X141361912Y-122921400D01* -X141238088Y-122921400D01* -X141116644Y-122945556D01* -X141002246Y-122992941D01* -X140899291Y-123061734D01* -X140811734Y-123149291D01* -X140742941Y-123252246D01* -X140695556Y-123366644D01* -X140671400Y-123488088D01* -X139528600Y-123488088D01* -X139528600Y-123388088D01* -X139504444Y-123266644D01* -X139457059Y-123152246D01* -X139388266Y-123049291D01* -X139300709Y-122961734D01* -X139197754Y-122892941D01* -X139083356Y-122845556D01* -X138961912Y-122821400D01* -X138838088Y-122821400D01* -X138716644Y-122845556D01* -X138602246Y-122892941D01* -X138499291Y-122961734D01* -X138411734Y-123049291D01* -X138342941Y-123152246D01* -X138295556Y-123266644D01* -X138271400Y-123388088D01* -X134618655Y-123388088D01* -X134604444Y-123316644D01* -X134557059Y-123202246D01* -X134488266Y-123099291D01* -X134400709Y-123011734D01* -X134297754Y-122942941D01* -X134183356Y-122895556D01* -X134061912Y-122871400D01* -X133938088Y-122871400D01* -X133816644Y-122895556D01* -X133702246Y-122942941D01* -X133599291Y-123011734D01* -X133511734Y-123099291D01* -X133442941Y-123202246D01* -X133395556Y-123316644D01* -X133371400Y-123438088D01* -X132168655Y-123438088D01* -X132154444Y-123366644D01* -X132107059Y-123252246D01* -X132038266Y-123149291D01* -X131950709Y-123061734D01* -X131847754Y-122992941D01* -X131733356Y-122945556D01* -X131611912Y-122921400D01* -X131488088Y-122921400D01* -X131366644Y-122945556D01* -X131252246Y-122992941D01* -X131149291Y-123061734D01* -X131061734Y-123149291D01* -X130992941Y-123252246D01* -X130945556Y-123366644D01* -X130921400Y-123488088D01* -X129763448Y-123488088D01* -X129778600Y-123411912D01* -X129778600Y-123288088D01* -X129754444Y-123166644D01* -X129707059Y-123052246D01* -X129638266Y-122949291D01* -X129550709Y-122861734D01* -X129447754Y-122792941D01* -X129333356Y-122745556D01* -X129211912Y-122721400D01* -X129088088Y-122721400D01* -X128966644Y-122745556D01* -X128852246Y-122792941D01* -X128749291Y-122861734D01* -X128661734Y-122949291D01* -X128592941Y-123052246D01* -X128545556Y-123166644D01* -X128521400Y-123288088D01* -X109366522Y-123288088D01* -X109374130Y-123276702D01* -X109410208Y-123189603D01* -X109428600Y-123097138D01* -X109428600Y-123002862D01* -X109410208Y-122910397D01* -X109374130Y-122823298D01* -X109321753Y-122744910D01* -X109255090Y-122678247D01* -X109176702Y-122625870D01* -X109089603Y-122589792D01* -X108997138Y-122571400D01* -X108902862Y-122571400D01* -X108810397Y-122589792D01* -X108723298Y-122625870D01* -X108644910Y-122678247D01* -X108578247Y-122744910D01* -X108525870Y-122823298D01* -X108489792Y-122910397D01* -X108471400Y-123002862D01* -X108128600Y-123002862D01* -X108110208Y-122910397D01* -X108074130Y-122823298D01* -X108021753Y-122744910D01* -X107955090Y-122678247D01* -X107876702Y-122625870D01* -X107789603Y-122589792D01* -X107697138Y-122571400D01* -X107602862Y-122571400D01* -X107510397Y-122589792D01* -X107423298Y-122625870D01* -X107344910Y-122678247D01* -X107278247Y-122744910D01* -X107225870Y-122823298D01* -X107189792Y-122910397D01* -X107171400Y-123002862D01* -X106828600Y-123002862D01* -X106810208Y-122910397D01* -X106774130Y-122823298D01* -X106721753Y-122744910D01* -X106655090Y-122678247D01* -X106576702Y-122625870D01* -X106489603Y-122589792D01* -X106397138Y-122571400D01* -X106302862Y-122571400D01* -X106210397Y-122589792D01* -X106123298Y-122625870D01* -X106044910Y-122678247D01* -X105978247Y-122744910D01* -X105925870Y-122823298D01* -X105889792Y-122910397D01* -X105871400Y-123002862D01* -X66524136Y-123002862D01* -X66688679Y-122892918D01* -X66885918Y-122695679D01* -X67040888Y-122463751D01* -X67127826Y-122253862D01* -X68736400Y-122253862D01* -X68736400Y-122348138D01* -X68754792Y-122440603D01* -X68790870Y-122527702D01* -X68843247Y-122606090D01* -X68909910Y-122672753D01* -X68988298Y-122725130D01* -X69075397Y-122761208D01* -X69167862Y-122779600D01* -X69262138Y-122779600D01* -X69354603Y-122761208D01* -X69441702Y-122725130D01* -X69520090Y-122672753D01* -X69586753Y-122606090D01* -X69639130Y-122527702D01* -X69675208Y-122440603D01* -X69693600Y-122348138D01* -X69693600Y-122253862D01* -X73816400Y-122253862D01* -X73816400Y-122348138D01* -X73834792Y-122440603D01* -X73870870Y-122527702D01* -X73923247Y-122606090D01* -X73989910Y-122672753D01* -X74068298Y-122725130D01* -X74155397Y-122761208D01* -X74247862Y-122779600D01* -X74342138Y-122779600D01* -X74434603Y-122761208D01* -X74521702Y-122725130D01* -X74600090Y-122672753D01* -X74666753Y-122606090D01* -X74719130Y-122527702D01* -X74755208Y-122440603D01* -X74773600Y-122348138D01* -X74773600Y-122253862D01* -X74770463Y-122238088D01* -X129721400Y-122238088D01* -X129721400Y-122361912D01* -X129745556Y-122483356D01* -X129792941Y-122597754D01* -X129861734Y-122700709D01* -X129949291Y-122788266D01* -X130052246Y-122857059D01* -X130166644Y-122904444D01* -X130288088Y-122928600D01* -X130411912Y-122928600D01* -X130533356Y-122904444D01* -X130647754Y-122857059D01* -X130750709Y-122788266D01* -X130838266Y-122700709D01* -X130907059Y-122597754D01* -X130954444Y-122483356D01* -X130978600Y-122361912D01* -X130978600Y-122238088D01* -X132121400Y-122238088D01* -X132121400Y-122361912D01* -X132145556Y-122483356D01* -X132192941Y-122597754D01* -X132261734Y-122700709D01* -X132349291Y-122788266D01* -X132452246Y-122857059D01* -X132566644Y-122904444D01* -X132688088Y-122928600D01* -X132811912Y-122928600D01* -X132933356Y-122904444D01* -X133047754Y-122857059D01* -X133150709Y-122788266D01* -X133238266Y-122700709D01* -X133307059Y-122597754D01* -X133354444Y-122483356D01* -X133378600Y-122361912D01* -X133378600Y-122238088D01* -X134571400Y-122238088D01* -X134571400Y-122361912D01* -X134595556Y-122483356D01* -X134642941Y-122597754D01* -X134711734Y-122700709D01* -X134799291Y-122788266D01* -X134902246Y-122857059D01* -X135016644Y-122904444D01* -X135138088Y-122928600D01* -X135261912Y-122928600D01* -X135383356Y-122904444D01* -X135497754Y-122857059D01* -X135600709Y-122788266D01* -X135688266Y-122700709D01* -X135757059Y-122597754D01* -X135804444Y-122483356D01* -X135828600Y-122361912D01* -X135828600Y-122238088D01* -X139471400Y-122238088D01* -X139471400Y-122361912D01* -X139495556Y-122483356D01* -X139542941Y-122597754D01* -X139611734Y-122700709D01* -X139699291Y-122788266D01* -X139802246Y-122857059D01* -X139916644Y-122904444D01* -X140038088Y-122928600D01* -X140161912Y-122928600D01* -X140283356Y-122904444D01* -X140397754Y-122857059D01* -X140500709Y-122788266D01* -X140588266Y-122700709D01* -X140657059Y-122597754D01* -X140704444Y-122483356D01* -X140728600Y-122361912D01* -X140728600Y-122238088D01* -X140704444Y-122116644D01* -X140657059Y-122002246D01* -X140588266Y-121899291D01* -X140500709Y-121811734D01* -X140397754Y-121742941D01* -X140283356Y-121695556D01* -X140161912Y-121671400D01* -X140038088Y-121671400D01* -X139916644Y-121695556D01* -X139802246Y-121742941D01* -X139699291Y-121811734D01* -X139611734Y-121899291D01* -X139542941Y-122002246D01* -X139495556Y-122116644D01* -X139471400Y-122238088D01* -X135828600Y-122238088D01* -X135804444Y-122116644D01* -X135757059Y-122002246D01* -X135688266Y-121899291D01* -X135600709Y-121811734D01* -X135497754Y-121742941D01* -X135383356Y-121695556D01* -X135261912Y-121671400D01* -X135138088Y-121671400D01* -X135016644Y-121695556D01* -X134902246Y-121742941D01* -X134799291Y-121811734D01* -X134711734Y-121899291D01* -X134642941Y-122002246D01* -X134595556Y-122116644D01* -X134571400Y-122238088D01* -X133378600Y-122238088D01* -X133354444Y-122116644D01* -X133307059Y-122002246D01* -X133238266Y-121899291D01* -X133150709Y-121811734D01* -X133047754Y-121742941D01* -X132933356Y-121695556D01* -X132811912Y-121671400D01* -X132688088Y-121671400D01* -X132566644Y-121695556D01* -X132452246Y-121742941D01* -X132349291Y-121811734D01* -X132261734Y-121899291D01* -X132192941Y-122002246D01* -X132145556Y-122116644D01* -X132121400Y-122238088D01* -X130978600Y-122238088D01* -X130954444Y-122116644D01* -X130907059Y-122002246D01* -X130838266Y-121899291D01* -X130750709Y-121811734D01* -X130647754Y-121742941D01* -X130533356Y-121695556D01* -X130411912Y-121671400D01* -X130288088Y-121671400D01* -X130166644Y-121695556D01* -X130052246Y-121742941D01* -X129949291Y-121811734D01* -X129861734Y-121899291D01* -X129792941Y-122002246D01* -X129745556Y-122116644D01* -X129721400Y-122238088D01* -X74770463Y-122238088D01* -X74755208Y-122161397D01* -X74719130Y-122074298D01* -X74666753Y-121995910D01* -X74600090Y-121929247D01* -X74521702Y-121876870D01* -X74434603Y-121840792D01* -X74342138Y-121822400D01* -X74247862Y-121822400D01* -X74155397Y-121840792D01* -X74068298Y-121876870D01* -X73989910Y-121929247D01* -X73923247Y-121995910D01* -X73870870Y-122074298D01* -X73834792Y-122161397D01* -X73816400Y-122253862D01* -X69693600Y-122253862D01* -X69675208Y-122161397D01* -X69639130Y-122074298D01* -X69586753Y-121995910D01* -X69520090Y-121929247D01* -X69441702Y-121876870D01* -X69354603Y-121840792D01* -X69262138Y-121822400D01* -X69167862Y-121822400D01* -X69075397Y-121840792D01* -X68988298Y-121876870D01* -X68909910Y-121929247D01* -X68843247Y-121995910D01* -X68790870Y-122074298D01* -X68754792Y-122161397D01* -X68736400Y-122253862D01* -X67127826Y-122253862D01* -X67147632Y-122206047D01* -X67202050Y-121932469D01* -X67202050Y-121653531D01* -X67147632Y-121379953D01* -X67134371Y-121347937D01* -X76071400Y-121347937D01* -X76071400Y-121452063D01* -X76091713Y-121554187D01* -X76131560Y-121650386D01* -X76189409Y-121736963D01* -X76263037Y-121810591D01* -X76349614Y-121868440D01* -X76445813Y-121908287D01* -X76547937Y-121928600D01* -X76652063Y-121928600D01* -X76754187Y-121908287D01* -X76850386Y-121868440D01* -X76936963Y-121810591D01* -X77010591Y-121736963D01* -X77068440Y-121650386D01* -X77108287Y-121554187D01* -X77128600Y-121452063D01* -X77128600Y-121347937D01* -X85271400Y-121347937D01* -X85271400Y-121452063D01* -X85291713Y-121554187D01* -X85331560Y-121650386D01* -X85389409Y-121736963D01* -X85463037Y-121810591D01* -X85549614Y-121868440D01* -X85645813Y-121908287D01* -X85747937Y-121928600D01* -X85852063Y-121928600D01* -X85954187Y-121908287D01* -X86050386Y-121868440D01* -X86136963Y-121810591D01* -X86210591Y-121736963D01* -X86268440Y-121650386D01* -X86308287Y-121554187D01* -X86328600Y-121452063D01* -X86328600Y-121347937D01* -X94471400Y-121347937D01* -X94471400Y-121452063D01* -X94491713Y-121554187D01* -X94531560Y-121650386D01* -X94589409Y-121736963D01* -X94663037Y-121810591D01* -X94749614Y-121868440D01* -X94845813Y-121908287D01* -X94947937Y-121928600D01* -X95052063Y-121928600D01* -X95154187Y-121908287D01* -X95250386Y-121868440D01* -X95336963Y-121810591D01* -X95410591Y-121736963D01* -X95468440Y-121650386D01* -X95508287Y-121554187D01* -X95528600Y-121452063D01* -X95528600Y-121347937D01* -X103671400Y-121347937D01* -X103671400Y-121452063D01* -X103691713Y-121554187D01* -X103731560Y-121650386D01* -X103789409Y-121736963D01* -X103863037Y-121810591D01* -X103949614Y-121868440D01* -X104045813Y-121908287D01* -X104147937Y-121928600D01* -X104252063Y-121928600D01* -X104354187Y-121908287D01* -X104450386Y-121868440D01* -X104536963Y-121810591D01* -X104610591Y-121736963D01* -X104668440Y-121650386D01* -X104708287Y-121554187D01* -X104728600Y-121452063D01* -X104728600Y-121347937D01* -X104708287Y-121245813D01* -X104668440Y-121149614D01* -X104610591Y-121063037D01* -X104536963Y-120989409D01* -X104450386Y-120931560D01* -X104354187Y-120891713D01* -X104252063Y-120871400D01* -X104147937Y-120871400D01* -X104045813Y-120891713D01* -X103949614Y-120931560D01* -X103863037Y-120989409D01* -X103789409Y-121063037D01* -X103731560Y-121149614D01* -X103691713Y-121245813D01* -X103671400Y-121347937D01* -X95528600Y-121347937D01* -X95508287Y-121245813D01* -X95468440Y-121149614D01* -X95410591Y-121063037D01* -X95336963Y-120989409D01* -X95250386Y-120931560D01* -X95154187Y-120891713D01* -X95052063Y-120871400D01* -X94947937Y-120871400D01* -X94845813Y-120891713D01* -X94749614Y-120931560D01* -X94663037Y-120989409D01* -X94589409Y-121063037D01* -X94531560Y-121149614D01* -X94491713Y-121245813D01* -X94471400Y-121347937D01* -X86328600Y-121347937D01* -X86308287Y-121245813D01* -X86268440Y-121149614D01* -X86210591Y-121063037D01* -X86136963Y-120989409D01* -X86050386Y-120931560D01* -X85954187Y-120891713D01* -X85852063Y-120871400D01* -X85747937Y-120871400D01* -X85645813Y-120891713D01* -X85549614Y-120931560D01* -X85463037Y-120989409D01* -X85389409Y-121063037D01* -X85331560Y-121149614D01* -X85291713Y-121245813D01* -X85271400Y-121347937D01* -X77128600Y-121347937D01* -X77108287Y-121245813D01* -X77068440Y-121149614D01* -X77010591Y-121063037D01* -X76936963Y-120989409D01* -X76850386Y-120931560D01* -X76754187Y-120891713D01* -X76652063Y-120871400D01* -X76547937Y-120871400D01* -X76445813Y-120891713D01* -X76349614Y-120931560D01* -X76263037Y-120989409D01* -X76189409Y-121063037D01* -X76131560Y-121149614D01* -X76091713Y-121245813D01* -X76071400Y-121347937D01* -X67134371Y-121347937D01* -X67040888Y-121122249D01* -X66885918Y-120890321D01* -X66688679Y-120693082D01* -X66456751Y-120538112D01* -X66199047Y-120431368D01* -X65925469Y-120376950D01* -X65646531Y-120376950D01* -X65372953Y-120431368D01* -X65115249Y-120538112D01* -X64883321Y-120693082D01* -X64686082Y-120890321D01* -X64531112Y-121122249D01* -X64424368Y-121379953D01* -X64369950Y-121653531D01* -X64369950Y-121932469D01* -X64387594Y-122021170D01* -X64361702Y-122003870D01* -X64274603Y-121967792D01* -X64182138Y-121949400D01* -X64087862Y-121949400D01* -X63995397Y-121967792D01* -X63908298Y-122003870D01* -X63829910Y-122056247D01* -X63763247Y-122122910D01* -X63710870Y-122201298D01* -X63674792Y-122288397D01* -X63656400Y-122380862D01* -X61360221Y-122380862D01* -X61432632Y-122206047D01* -X61487050Y-121932469D01* -X61487050Y-121653531D01* -X61432632Y-121379953D01* -X61325888Y-121122249D01* -X61170918Y-120890321D01* -X60973679Y-120693082D01* -X60933690Y-120666362D01* -X62132400Y-120666362D01* -X62132400Y-120760638D01* -X62150792Y-120853103D01* -X62186870Y-120940202D01* -X62239247Y-121018590D01* -X62305910Y-121085253D01* -X62384298Y-121137630D01* -X62471397Y-121173708D01* -X62563862Y-121192100D01* -X62658138Y-121192100D01* -X62750603Y-121173708D01* -X62837702Y-121137630D01* -X62916090Y-121085253D01* -X62982753Y-121018590D01* -X63035130Y-120940202D01* -X63071208Y-120853103D01* -X63089600Y-120760638D01* -X63089600Y-120666362D01* -X63071208Y-120573897D01* -X63035130Y-120486798D01* -X62982753Y-120408410D01* -X62916090Y-120341747D01* -X62837702Y-120289370D01* -X62750603Y-120253292D01* -X62658138Y-120234900D01* -X62563862Y-120234900D01* -X62471397Y-120253292D01* -X62384298Y-120289370D01* -X62305910Y-120341747D01* -X62239247Y-120408410D01* -X62186870Y-120486798D01* -X62150792Y-120573897D01* -X62132400Y-120666362D01* -X60933690Y-120666362D01* -X60741751Y-120538112D01* -X60484047Y-120431368D01* -X60210469Y-120376950D01* -X59931531Y-120376950D01* -X59657953Y-120431368D01* -X59400249Y-120538112D01* -X59168321Y-120693082D01* -X58971082Y-120890321D01* -X58816112Y-121122249D01* -X58709368Y-121379953D01* -X58654950Y-121653531D01* -X46177200Y-121653531D01* -X46177200Y-120205386D01* -X46215397Y-120221208D01* -X46307862Y-120239600D01* -X46402138Y-120239600D01* -X46494603Y-120221208D01* -X46581702Y-120185130D01* -X46660090Y-120132753D01* -X46726753Y-120066090D01* -X46779130Y-119987702D01* -X46815208Y-119900603D01* -X46833600Y-119808138D01* -X46833600Y-119713862D01* -X50956400Y-119713862D01* -X50956400Y-119808138D01* -X50974792Y-119900603D01* -X51010870Y-119987702D01* -X51063247Y-120066090D01* -X51129910Y-120132753D01* -X51208298Y-120185130D01* -X51295397Y-120221208D01* -X51387862Y-120239600D01* -X51482138Y-120239600D01* -X51574603Y-120221208D01* -X51661702Y-120185130D01* -X51740090Y-120132753D01* -X51806753Y-120066090D01* -X51859130Y-119987702D01* -X51895208Y-119900603D01* -X51913600Y-119808138D01* -X51913600Y-119713862D01* -X55909400Y-119713862D01* -X55909400Y-119808138D01* -X55927792Y-119900603D01* -X55963870Y-119987702D01* -X56016247Y-120066090D01* -X56082910Y-120132753D01* -X56161298Y-120185130D01* -X56248397Y-120221208D01* -X56340862Y-120239600D01* -X56435138Y-120239600D01* -X56527603Y-120221208D01* -X56584350Y-120197702D01* -X66967100Y-120197702D01* -X66967100Y-120340298D01* -X66994919Y-120480154D01* -X67049488Y-120611895D01* -X67128710Y-120730460D01* -X67229540Y-120831290D01* -X67348105Y-120910512D01* -X67479846Y-120965081D01* -X67619702Y-120992900D01* -X67762298Y-120992900D01* -X67902154Y-120965081D01* -X68033895Y-120910512D01* -X68152460Y-120831290D01* -X68253290Y-120730460D01* -X68332512Y-120611895D01* -X68387081Y-120480154D01* -X68413380Y-120347937D01* -X75171400Y-120347937D01* -X75171400Y-120452063D01* -X75191713Y-120554187D01* -X75231560Y-120650386D01* -X75289409Y-120736963D01* -X75363037Y-120810591D01* -X75449614Y-120868440D01* -X75545813Y-120908287D01* -X75647937Y-120928600D01* -X75752063Y-120928600D01* -X75854187Y-120908287D01* -X75950386Y-120868440D01* -X76036963Y-120810591D01* -X76110591Y-120736963D01* -X76168440Y-120650386D01* -X76208287Y-120554187D01* -X76218495Y-120502862D01* -X76996400Y-120502862D01* -X76996400Y-120597138D01* -X77014792Y-120689603D01* -X77050870Y-120776702D01* -X77103247Y-120855090D01* -X77169910Y-120921753D01* -X77248298Y-120974130D01* -X77335397Y-121010208D01* -X77427862Y-121028600D01* -X77522138Y-121028600D01* -X77614603Y-121010208D01* -X77701702Y-120974130D01* -X77780090Y-120921753D01* -X77846753Y-120855090D01* -X77899130Y-120776702D01* -X77935208Y-120689603D01* -X77953600Y-120597138D01* -X77953600Y-120502862D01* -X77935208Y-120410397D01* -X77909336Y-120347937D01* -X84371400Y-120347937D01* -X84371400Y-120452063D01* -X84391713Y-120554187D01* -X84431560Y-120650386D01* -X84489409Y-120736963D01* -X84563037Y-120810591D01* -X84649614Y-120868440D01* -X84745813Y-120908287D01* -X84847937Y-120928600D01* -X84952063Y-120928600D01* -X85054187Y-120908287D01* -X85150386Y-120868440D01* -X85236963Y-120810591D01* -X85310591Y-120736963D01* -X85368440Y-120650386D01* -X85408287Y-120554187D01* -X85418495Y-120502862D01* -X86171400Y-120502862D01* -X86171400Y-120597138D01* -X86189792Y-120689603D01* -X86225870Y-120776702D01* -X86278247Y-120855090D01* -X86344910Y-120921753D01* -X86423298Y-120974130D01* -X86510397Y-121010208D01* -X86602862Y-121028600D01* -X86697138Y-121028600D01* -X86789603Y-121010208D01* -X86876702Y-120974130D01* -X86955090Y-120921753D01* -X87021753Y-120855090D01* -X87074130Y-120776702D01* -X87110208Y-120689603D01* -X87128600Y-120597138D01* -X87128600Y-120502862D01* -X87110208Y-120410397D01* -X87084336Y-120347937D01* -X93571400Y-120347937D01* -X93571400Y-120452063D01* -X93591713Y-120554187D01* -X93631560Y-120650386D01* -X93689409Y-120736963D01* -X93763037Y-120810591D01* -X93849614Y-120868440D01* -X93945813Y-120908287D01* -X94047937Y-120928600D01* -X94152063Y-120928600D01* -X94254187Y-120908287D01* -X94350386Y-120868440D01* -X94436963Y-120810591D01* -X94510591Y-120736963D01* -X94568440Y-120650386D01* -X94608287Y-120554187D01* -X94618495Y-120502862D01* -X95396400Y-120502862D01* -X95396400Y-120597138D01* -X95414792Y-120689603D01* -X95450870Y-120776702D01* -X95503247Y-120855090D01* -X95569910Y-120921753D01* -X95648298Y-120974130D01* -X95735397Y-121010208D01* -X95827862Y-121028600D01* -X95922138Y-121028600D01* -X96014603Y-121010208D01* -X96101702Y-120974130D01* -X96180090Y-120921753D01* -X96246753Y-120855090D01* -X96299130Y-120776702D01* -X96335208Y-120689603D01* -X96353600Y-120597138D01* -X96353600Y-120502862D01* -X96335208Y-120410397D01* -X96309336Y-120347937D01* -X102771400Y-120347937D01* -X102771400Y-120452063D01* -X102791713Y-120554187D01* -X102831560Y-120650386D01* -X102889409Y-120736963D01* -X102963037Y-120810591D01* -X103049614Y-120868440D01* -X103145813Y-120908287D01* -X103247937Y-120928600D01* -X103352063Y-120928600D01* -X103454187Y-120908287D01* -X103550386Y-120868440D01* -X103636963Y-120810591D01* -X103710591Y-120736963D01* -X103768440Y-120650386D01* -X103808287Y-120554187D01* -X103818495Y-120502862D01* -X104571400Y-120502862D01* -X104571400Y-120597138D01* -X104589792Y-120689603D01* -X104625870Y-120776702D01* -X104678247Y-120855090D01* -X104744910Y-120921753D01* -X104823298Y-120974130D01* -X104910397Y-121010208D01* -X105002862Y-121028600D01* -X105097138Y-121028600D01* -X105189603Y-121010208D01* -X105276702Y-120974130D01* -X105308531Y-120952862D01* -X113921400Y-120952862D01* -X113921400Y-121047138D01* -X113939792Y-121139603D01* -X113975870Y-121226702D01* -X114028247Y-121305090D01* -X114094910Y-121371753D01* -X114173298Y-121424130D01* -X114260397Y-121460208D01* -X114352862Y-121478600D01* -X114447138Y-121478600D01* -X114539603Y-121460208D01* -X114557337Y-121452862D01* -X116471400Y-121452862D01* -X116471400Y-121547138D01* -X116489792Y-121639603D01* -X116525870Y-121726702D01* -X116578247Y-121805090D01* -X116644910Y-121871753D01* -X116723298Y-121924130D01* -X116810397Y-121960208D01* -X116902862Y-121978600D01* -X116997138Y-121978600D01* -X117089603Y-121960208D01* -X117176702Y-121924130D01* -X117255090Y-121871753D01* -X117321753Y-121805090D01* -X117374130Y-121726702D01* -X117410208Y-121639603D01* -X117428600Y-121547138D01* -X117428600Y-121452862D01* -X118171400Y-121452862D01* -X118171400Y-121547138D01* -X118189792Y-121639603D01* -X118225870Y-121726702D01* -X118278247Y-121805090D01* -X118344910Y-121871753D01* -X118423298Y-121924130D01* -X118510397Y-121960208D01* -X118602862Y-121978600D01* -X118697138Y-121978600D01* -X118789603Y-121960208D01* -X118876702Y-121924130D01* -X118955090Y-121871753D01* -X119021753Y-121805090D01* -X119074130Y-121726702D01* -X119110208Y-121639603D01* -X119128600Y-121547138D01* -X119128600Y-121452862D01* -X119110208Y-121360397D01* -X119074130Y-121273298D01* -X119050453Y-121237862D01* -X122584400Y-121237862D01* -X122584400Y-121332138D01* -X122602792Y-121424603D01* -X122638870Y-121511702D01* -X122691247Y-121590090D01* -X122757910Y-121656753D01* -X122836298Y-121709130D01* -X122923397Y-121745208D01* -X123015862Y-121763600D01* -X123110138Y-121763600D01* -X123202603Y-121745208D01* -X123289702Y-121709130D01* -X123368090Y-121656753D01* -X123434753Y-121590090D01* -X123487130Y-121511702D01* -X123523208Y-121424603D01* -X123541600Y-121332138D01* -X123541600Y-121237862D01* -X127664400Y-121237862D01* -X127664400Y-121332138D01* -X127682792Y-121424603D01* -X127718870Y-121511702D01* -X127771247Y-121590090D01* -X127837910Y-121656753D01* -X127916298Y-121709130D01* -X128003397Y-121745208D01* -X128095862Y-121763600D01* -X128190138Y-121763600D01* -X128282603Y-121745208D01* -X128369702Y-121709130D01* -X128448090Y-121656753D01* -X128514753Y-121590090D01* -X128567130Y-121511702D01* -X128603208Y-121424603D01* -X128621600Y-121332138D01* -X128621600Y-121237862D01* -X137824400Y-121237862D01* -X137824400Y-121332138D01* -X137842792Y-121424603D01* -X137878870Y-121511702D01* -X137931247Y-121590090D01* -X137997910Y-121656753D01* -X138076298Y-121709130D01* -X138163397Y-121745208D01* -X138255862Y-121763600D01* -X138350138Y-121763600D01* -X138442603Y-121745208D01* -X138529702Y-121709130D01* -X138608090Y-121656753D01* -X138674753Y-121590090D01* -X138727130Y-121511702D01* -X138763208Y-121424603D01* -X138781600Y-121332138D01* -X138781600Y-121237862D01* -X142904400Y-121237862D01* -X142904400Y-121332138D01* -X142922792Y-121424603D01* -X142958870Y-121511702D01* -X143011247Y-121590090D01* -X143077910Y-121656753D01* -X143156298Y-121709130D01* -X143243397Y-121745208D01* -X143335862Y-121763600D01* -X143430138Y-121763600D01* -X143522603Y-121745208D01* -X143609702Y-121709130D01* -X143688090Y-121656753D01* -X143754753Y-121590090D01* -X143807130Y-121511702D01* -X143843208Y-121424603D01* -X143861600Y-121332138D01* -X143861600Y-121237862D01* -X143843208Y-121145397D01* -X143807130Y-121058298D01* -X143754753Y-120979910D01* -X143688090Y-120913247D01* -X143609702Y-120860870D01* -X143522603Y-120824792D01* -X143430138Y-120806400D01* -X143335862Y-120806400D01* -X143243397Y-120824792D01* -X143156298Y-120860870D01* -X143077910Y-120913247D01* -X143011247Y-120979910D01* -X142958870Y-121058298D01* -X142922792Y-121145397D01* -X142904400Y-121237862D01* -X138781600Y-121237862D01* -X138763208Y-121145397D01* -X138727130Y-121058298D01* -X138674753Y-120979910D01* -X138608090Y-120913247D01* -X138529702Y-120860870D01* -X138442603Y-120824792D01* -X138350138Y-120806400D01* -X138255862Y-120806400D01* -X138163397Y-120824792D01* -X138076298Y-120860870D01* -X137997910Y-120913247D01* -X137931247Y-120979910D01* -X137878870Y-121058298D01* -X137842792Y-121145397D01* -X137824400Y-121237862D01* -X128621600Y-121237862D01* -X128603208Y-121145397D01* -X128567130Y-121058298D01* -X128514753Y-120979910D01* -X128448090Y-120913247D01* -X128369702Y-120860870D01* -X128282603Y-120824792D01* -X128190138Y-120806400D01* -X128095862Y-120806400D01* -X128003397Y-120824792D01* -X127916298Y-120860870D01* -X127837910Y-120913247D01* -X127771247Y-120979910D01* -X127718870Y-121058298D01* -X127682792Y-121145397D01* -X127664400Y-121237862D01* -X123541600Y-121237862D01* -X123523208Y-121145397D01* -X123487130Y-121058298D01* -X123434753Y-120979910D01* -X123368090Y-120913247D01* -X123289702Y-120860870D01* -X123202603Y-120824792D01* -X123110138Y-120806400D01* -X123015862Y-120806400D01* -X122923397Y-120824792D01* -X122836298Y-120860870D01* -X122757910Y-120913247D01* -X122691247Y-120979910D01* -X122638870Y-121058298D01* -X122602792Y-121145397D01* -X122584400Y-121237862D01* -X119050453Y-121237862D01* -X119021753Y-121194910D01* -X118955090Y-121128247D01* -X118876702Y-121075870D01* -X118789603Y-121039792D01* -X118697138Y-121021400D01* -X118602862Y-121021400D01* -X118510397Y-121039792D01* -X118423298Y-121075870D01* -X118344910Y-121128247D01* -X118278247Y-121194910D01* -X118225870Y-121273298D01* -X118189792Y-121360397D01* -X118171400Y-121452862D01* -X117428600Y-121452862D01* -X117410208Y-121360397D01* -X117374130Y-121273298D01* -X117321753Y-121194910D01* -X117255090Y-121128247D01* -X117176702Y-121075870D01* -X117089603Y-121039792D01* -X116997138Y-121021400D01* -X116902862Y-121021400D01* -X116810397Y-121039792D01* -X116723298Y-121075870D01* -X116644910Y-121128247D01* -X116578247Y-121194910D01* -X116525870Y-121273298D01* -X116489792Y-121360397D01* -X116471400Y-121452862D01* -X114557337Y-121452862D01* -X114626702Y-121424130D01* -X114705090Y-121371753D01* -X114771753Y-121305090D01* -X114824130Y-121226702D01* -X114860208Y-121139603D01* -X114878600Y-121047138D01* -X114878600Y-120952862D01* -X114860208Y-120860397D01* -X114824130Y-120773298D01* -X114771753Y-120694910D01* -X114705090Y-120628247D01* -X114626702Y-120575870D01* -X114539603Y-120539792D01* -X114447138Y-120521400D01* -X114352862Y-120521400D01* -X114260397Y-120539792D01* -X114173298Y-120575870D01* -X114094910Y-120628247D01* -X114028247Y-120694910D01* -X113975870Y-120773298D01* -X113939792Y-120860397D01* -X113921400Y-120952862D01* -X105308531Y-120952862D01* -X105355090Y-120921753D01* -X105421753Y-120855090D01* -X105474130Y-120776702D01* -X105510208Y-120689603D01* -X105528600Y-120597138D01* -X105528600Y-120502862D01* -X105510208Y-120410397D01* -X105474130Y-120323298D01* -X105421753Y-120244910D01* -X105355090Y-120178247D01* -X105276702Y-120125870D01* -X105221157Y-120102862D01* -X105871400Y-120102862D01* -X105871400Y-120197138D01* -X105889792Y-120289603D01* -X105925870Y-120376702D01* -X105978247Y-120455090D01* -X106044910Y-120521753D01* -X106123298Y-120574130D01* -X106210397Y-120610208D01* -X106302862Y-120628600D01* -X106397138Y-120628600D01* -X106489603Y-120610208D01* -X106576702Y-120574130D01* -X106655090Y-120521753D01* -X106721753Y-120455090D01* -X106774130Y-120376702D01* -X106810208Y-120289603D01* -X106828600Y-120197138D01* -X106828600Y-120102862D01* -X106818655Y-120052862D01* -X114521400Y-120052862D01* -X114521400Y-120147138D01* -X114539792Y-120239603D01* -X114575870Y-120326702D01* -X114628247Y-120405090D01* -X114694910Y-120471753D01* -X114773298Y-120524130D01* -X114860397Y-120560208D01* -X114952862Y-120578600D01* -X115047138Y-120578600D01* -X115139603Y-120560208D01* -X115226702Y-120524130D01* -X115305090Y-120471753D01* -X115371753Y-120405090D01* -X115424130Y-120326702D01* -X115460208Y-120239603D01* -X115478600Y-120147138D01* -X115478600Y-120052862D01* -X115821400Y-120052862D01* -X115821400Y-120147138D01* -X115839792Y-120239603D01* -X115875870Y-120326702D01* -X115928247Y-120405090D01* -X115994910Y-120471753D01* -X116073298Y-120524130D01* -X116160397Y-120560208D01* -X116252862Y-120578600D01* -X116347138Y-120578600D01* -X116439603Y-120560208D01* -X116526702Y-120524130D01* -X116558531Y-120502862D01* -X117321400Y-120502862D01* -X117321400Y-120597138D01* -X117339792Y-120689603D01* -X117375870Y-120776702D01* -X117428247Y-120855090D01* -X117494910Y-120921753D01* -X117573298Y-120974130D01* -X117660397Y-121010208D01* -X117752862Y-121028600D01* -X117847138Y-121028600D01* -X117939603Y-121010208D01* -X118026702Y-120974130D01* -X118105090Y-120921753D01* -X118171753Y-120855090D01* -X118224130Y-120776702D01* -X118260208Y-120689603D01* -X118278600Y-120597138D01* -X118278600Y-120502862D01* -X118260208Y-120410397D01* -X118224130Y-120323298D01* -X118171753Y-120244910D01* -X118105090Y-120178247D01* -X118026702Y-120125870D01* -X117939603Y-120089792D01* -X117847138Y-120071400D01* -X117752862Y-120071400D01* -X117660397Y-120089792D01* -X117573298Y-120125870D01* -X117494910Y-120178247D01* -X117428247Y-120244910D01* -X117375870Y-120323298D01* -X117339792Y-120410397D01* -X117321400Y-120502862D01* -X116558531Y-120502862D01* -X116605090Y-120471753D01* -X116671753Y-120405090D01* -X116724130Y-120326702D01* -X116760208Y-120239603D01* -X116778600Y-120147138D01* -X116778600Y-120052862D01* -X116760208Y-119960397D01* -X116724130Y-119873298D01* -X116671753Y-119794910D01* -X116605090Y-119728247D01* -X116526702Y-119675870D01* -X116439603Y-119639792D01* -X116347138Y-119621400D01* -X116252862Y-119621400D01* -X116160397Y-119639792D01* -X116073298Y-119675870D01* -X115994910Y-119728247D01* -X115928247Y-119794910D01* -X115875870Y-119873298D01* -X115839792Y-119960397D01* -X115821400Y-120052862D01* -X115478600Y-120052862D01* -X115460208Y-119960397D01* -X115424130Y-119873298D01* -X115371753Y-119794910D01* -X115305090Y-119728247D01* -X115226702Y-119675870D01* -X115139603Y-119639792D01* -X115047138Y-119621400D01* -X114952862Y-119621400D01* -X114860397Y-119639792D01* -X114773298Y-119675870D01* -X114694910Y-119728247D01* -X114628247Y-119794910D01* -X114575870Y-119873298D01* -X114539792Y-119960397D01* -X114521400Y-120052862D01* -X106818655Y-120052862D01* -X106810208Y-120010397D01* -X106774130Y-119923298D01* -X106721753Y-119844910D01* -X106655090Y-119778247D01* -X106576702Y-119725870D01* -X106489603Y-119689792D01* -X106397138Y-119671400D01* -X106302862Y-119671400D01* -X106210397Y-119689792D01* -X106123298Y-119725870D01* -X106044910Y-119778247D01* -X105978247Y-119844910D01* -X105925870Y-119923298D01* -X105889792Y-120010397D01* -X105871400Y-120102862D01* -X105221157Y-120102862D01* -X105189603Y-120089792D01* -X105097138Y-120071400D01* -X105002862Y-120071400D01* -X104910397Y-120089792D01* -X104823298Y-120125870D01* -X104744910Y-120178247D01* -X104678247Y-120244910D01* -X104625870Y-120323298D01* -X104589792Y-120410397D01* -X104571400Y-120502862D01* -X103818495Y-120502862D01* -X103828600Y-120452063D01* -X103828600Y-120347937D01* -X103808287Y-120245813D01* -X103768440Y-120149614D01* -X103710591Y-120063037D01* -X103636963Y-119989409D01* -X103550386Y-119931560D01* -X103454187Y-119891713D01* -X103352063Y-119871400D01* -X103247937Y-119871400D01* -X103145813Y-119891713D01* -X103049614Y-119931560D01* -X102963037Y-119989409D01* -X102889409Y-120063037D01* -X102831560Y-120149614D01* -X102791713Y-120245813D01* -X102771400Y-120347937D01* -X96309336Y-120347937D01* -X96299130Y-120323298D01* -X96246753Y-120244910D01* -X96180090Y-120178247D01* -X96101702Y-120125870D01* -X96014603Y-120089792D01* -X95922138Y-120071400D01* -X95827862Y-120071400D01* -X95735397Y-120089792D01* -X95648298Y-120125870D01* -X95569910Y-120178247D01* -X95503247Y-120244910D01* -X95450870Y-120323298D01* -X95414792Y-120410397D01* -X95396400Y-120502862D01* -X94618495Y-120502862D01* -X94628600Y-120452063D01* -X94628600Y-120347937D01* -X94608287Y-120245813D01* -X94568440Y-120149614D01* -X94510591Y-120063037D01* -X94436963Y-119989409D01* -X94350386Y-119931560D01* -X94254187Y-119891713D01* -X94152063Y-119871400D01* -X94047937Y-119871400D01* -X93945813Y-119891713D01* -X93849614Y-119931560D01* -X93763037Y-119989409D01* -X93689409Y-120063037D01* -X93631560Y-120149614D01* -X93591713Y-120245813D01* -X93571400Y-120347937D01* -X87084336Y-120347937D01* -X87074130Y-120323298D01* -X87021753Y-120244910D01* -X86955090Y-120178247D01* -X86876702Y-120125870D01* -X86789603Y-120089792D01* -X86697138Y-120071400D01* -X86602862Y-120071400D01* -X86510397Y-120089792D01* -X86423298Y-120125870D01* -X86344910Y-120178247D01* -X86278247Y-120244910D01* -X86225870Y-120323298D01* -X86189792Y-120410397D01* -X86171400Y-120502862D01* -X85418495Y-120502862D01* -X85428600Y-120452063D01* -X85428600Y-120347937D01* -X85408287Y-120245813D01* -X85368440Y-120149614D01* -X85310591Y-120063037D01* -X85236963Y-119989409D01* -X85150386Y-119931560D01* -X85054187Y-119891713D01* -X84952063Y-119871400D01* -X84847937Y-119871400D01* -X84745813Y-119891713D01* -X84649614Y-119931560D01* -X84563037Y-119989409D01* -X84489409Y-120063037D01* -X84431560Y-120149614D01* -X84391713Y-120245813D01* -X84371400Y-120347937D01* -X77909336Y-120347937D01* -X77899130Y-120323298D01* -X77846753Y-120244910D01* -X77780090Y-120178247D01* -X77701702Y-120125870D01* -X77614603Y-120089792D01* -X77522138Y-120071400D01* -X77427862Y-120071400D01* -X77335397Y-120089792D01* -X77248298Y-120125870D01* -X77169910Y-120178247D01* -X77103247Y-120244910D01* -X77050870Y-120323298D01* -X77014792Y-120410397D01* -X76996400Y-120502862D01* -X76218495Y-120502862D01* -X76228600Y-120452063D01* -X76228600Y-120347937D01* -X76208287Y-120245813D01* -X76168440Y-120149614D01* -X76110591Y-120063037D01* -X76036963Y-119989409D01* -X75950386Y-119931560D01* -X75854187Y-119891713D01* -X75752063Y-119871400D01* -X75647937Y-119871400D01* -X75545813Y-119891713D01* -X75449614Y-119931560D01* -X75363037Y-119989409D01* -X75289409Y-120063037D01* -X75231560Y-120149614D01* -X75191713Y-120245813D01* -X75171400Y-120347937D01* -X68413380Y-120347937D01* -X68414900Y-120340298D01* -X68414900Y-120197702D01* -X68387081Y-120057846D01* -X68332512Y-119926105D01* -X68253290Y-119807540D01* -X68159612Y-119713862D01* -X71276400Y-119713862D01* -X71276400Y-119808138D01* -X71294792Y-119900603D01* -X71330870Y-119987702D01* -X71383247Y-120066090D01* -X71449910Y-120132753D01* -X71528298Y-120185130D01* -X71615397Y-120221208D01* -X71707862Y-120239600D01* -X71802138Y-120239600D01* -X71894603Y-120221208D01* -X71981702Y-120185130D01* -X72060090Y-120132753D01* -X72126753Y-120066090D01* -X72179130Y-119987702D01* -X72215208Y-119900603D01* -X72233600Y-119808138D01* -X72233600Y-119713862D01* -X72215208Y-119621397D01* -X72179130Y-119534298D01* -X72126753Y-119455910D01* -X72060090Y-119389247D01* -X71981702Y-119336870D01* -X71894603Y-119300792D01* -X71802138Y-119282400D01* -X71707862Y-119282400D01* -X71615397Y-119300792D01* -X71528298Y-119336870D01* -X71449910Y-119389247D01* -X71383247Y-119455910D01* -X71330870Y-119534298D01* -X71294792Y-119621397D01* -X71276400Y-119713862D01* -X68159612Y-119713862D01* -X68152460Y-119706710D01* -X68033895Y-119627488D01* -X67902154Y-119572919D01* -X67762298Y-119545100D01* -X67619702Y-119545100D01* -X67479846Y-119572919D01* -X67348105Y-119627488D01* -X67229540Y-119706710D01* -X67128710Y-119807540D01* -X67049488Y-119926105D01* -X66994919Y-120057846D01* -X66967100Y-120197702D01* -X56584350Y-120197702D01* -X56614702Y-120185130D01* -X56693090Y-120132753D01* -X56759753Y-120066090D01* -X56812130Y-119987702D01* -X56848208Y-119900603D01* -X56866600Y-119808138D01* -X56866600Y-119713862D01* -X56848208Y-119621397D01* -X56812130Y-119534298D01* -X56759753Y-119455910D01* -X56693090Y-119389247D01* -X56614702Y-119336870D01* -X56527603Y-119300792D01* -X56435138Y-119282400D01* -X56340862Y-119282400D01* -X56248397Y-119300792D01* -X56161298Y-119336870D01* -X56082910Y-119389247D01* -X56016247Y-119455910D01* -X55963870Y-119534298D01* -X55927792Y-119621397D01* -X55909400Y-119713862D01* -X51913600Y-119713862D01* -X51895208Y-119621397D01* -X51859130Y-119534298D01* -X51806753Y-119455910D01* -X51740090Y-119389247D01* -X51661702Y-119336870D01* -X51574603Y-119300792D01* -X51482138Y-119282400D01* -X51387862Y-119282400D01* -X51295397Y-119300792D01* -X51208298Y-119336870D01* -X51129910Y-119389247D01* -X51063247Y-119455910D01* -X51010870Y-119534298D01* -X50974792Y-119621397D01* -X50956400Y-119713862D01* -X46833600Y-119713862D01* -X46815208Y-119621397D01* -X46779130Y-119534298D01* -X46726753Y-119455910D01* -X46660090Y-119389247D01* -X46581702Y-119336870D01* -X46494603Y-119300792D01* -X46402138Y-119282400D01* -X46307862Y-119282400D01* -X46215397Y-119300792D01* -X46177200Y-119316614D01* -X46177200Y-119181702D01* -X59347100Y-119181702D01* -X59347100Y-119324298D01* -X59374919Y-119464154D01* -X59429488Y-119595895D01* -X59508710Y-119714460D01* -X59609540Y-119815290D01* -X59728105Y-119894512D01* -X59859846Y-119949081D01* -X59999702Y-119976900D01* -X60142298Y-119976900D01* -X60282154Y-119949081D01* -X60413895Y-119894512D01* -X60532460Y-119815290D01* -X60633290Y-119714460D01* -X60712512Y-119595895D01* -X60767081Y-119464154D01* -X60794900Y-119324298D01* -X60794900Y-119181702D01* -X60767081Y-119041846D01* -X60712512Y-118910105D01* -X60633290Y-118791540D01* -X60532460Y-118690710D01* -X60413895Y-118611488D01* -X60282154Y-118556919D01* -X60142298Y-118529100D01* -X59999702Y-118529100D01* -X59859846Y-118556919D01* -X59728105Y-118611488D01* -X59609540Y-118690710D01* -X59508710Y-118791540D01* -X59429488Y-118910105D01* -X59374919Y-119041846D01* -X59347100Y-119181702D01* -X46177200Y-119181702D01* -X46177200Y-117173862D01* -X48416400Y-117173862D01* -X48416400Y-117268138D01* -X48434792Y-117360603D01* -X48470870Y-117447702D01* -X48523247Y-117526090D01* -X48589910Y-117592753D01* -X48668298Y-117645130D01* -X48755397Y-117681208D01* -X48847862Y-117699600D01* -X48942138Y-117699600D01* -X49034603Y-117681208D01* -X49121702Y-117645130D01* -X49200090Y-117592753D01* -X49266753Y-117526090D01* -X49319130Y-117447702D01* -X49355208Y-117360603D01* -X49373600Y-117268138D01* -X49373600Y-117173862D01* -X53496400Y-117173862D01* -X53496400Y-117268138D01* -X53514792Y-117360603D01* -X53550870Y-117447702D01* -X53603247Y-117526090D01* -X53669910Y-117592753D01* -X53748298Y-117645130D01* -X53835397Y-117681208D01* -X53927862Y-117699600D01* -X54022138Y-117699600D01* -X54114603Y-117681208D01* -X54201702Y-117645130D01* -X54280090Y-117592753D01* -X54346753Y-117526090D01* -X54399130Y-117447702D01* -X54435208Y-117360603D01* -X54453600Y-117268138D01* -X54453600Y-117173862D01* -X54435208Y-117081397D01* -X54399130Y-116994298D01* -X54346753Y-116915910D01* -X54280090Y-116849247D01* -X54201702Y-116796870D01* -X54114603Y-116760792D01* -X54022138Y-116742400D01* -X53927862Y-116742400D01* -X53835397Y-116760792D01* -X53748298Y-116796870D01* -X53669910Y-116849247D01* -X53603247Y-116915910D01* -X53550870Y-116994298D01* -X53514792Y-117081397D01* -X53496400Y-117173862D01* -X49373600Y-117173862D01* -X49355208Y-117081397D01* -X49319130Y-116994298D01* -X49266753Y-116915910D01* -X49200090Y-116849247D01* -X49121702Y-116796870D01* -X49034603Y-116760792D01* -X48942138Y-116742400D01* -X48847862Y-116742400D01* -X48755397Y-116760792D01* -X48668298Y-116796870D01* -X48589910Y-116849247D01* -X48523247Y-116915910D01* -X48470870Y-116994298D01* -X48434792Y-117081397D01* -X48416400Y-117173862D01* -X46177200Y-117173862D01* -X46177200Y-116573531D01* -X58654950Y-116573531D01* -X58654950Y-116852469D01* -X58709368Y-117126047D01* -X58816112Y-117383751D01* -X58971082Y-117615679D01* -X59168321Y-117812918D01* -X59400249Y-117967888D01* -X59657953Y-118074632D01* -X59931531Y-118129050D01* -X60210469Y-118129050D01* -X60484047Y-118074632D01* -X60741751Y-117967888D01* -X60870763Y-117881684D01* -X60880792Y-117932103D01* -X60916870Y-118019202D01* -X60969247Y-118097590D01* -X61035910Y-118164253D01* -X61114298Y-118216630D01* -X61201397Y-118252708D01* -X61293862Y-118271100D01* -X61388138Y-118271100D01* -X61480603Y-118252708D01* -X61567702Y-118216630D01* -X61643921Y-118165702D01* -X66967100Y-118165702D01* -X66967100Y-118308298D01* -X66994919Y-118448154D01* -X67049488Y-118579895D01* -X67128710Y-118698460D01* -X67229540Y-118799290D01* -X67348105Y-118878512D01* -X67479846Y-118933081D01* -X67619702Y-118960900D01* -X67762298Y-118960900D01* -X67902154Y-118933081D01* -X68033895Y-118878512D01* -X68152460Y-118799290D01* -X68253290Y-118698460D01* -X68253689Y-118697862D01* -X115218400Y-118697862D01* -X115218400Y-118792138D01* -X115236792Y-118884603D01* -X115272870Y-118971702D01* -X115325247Y-119050090D01* -X115391910Y-119116753D01* -X115470298Y-119169130D01* -X115557397Y-119205208D01* -X115649862Y-119223600D01* -X115744138Y-119223600D01* -X115836603Y-119205208D01* -X115923702Y-119169130D01* -X116002090Y-119116753D01* -X116068753Y-119050090D01* -X116121130Y-118971702D01* -X116157208Y-118884603D01* -X116175600Y-118792138D01* -X116175600Y-118697862D01* -X120044400Y-118697862D01* -X120044400Y-118792138D01* -X120062792Y-118884603D01* -X120098870Y-118971702D01* -X120151247Y-119050090D01* -X120217910Y-119116753D01* -X120296298Y-119169130D01* -X120383397Y-119205208D01* -X120475862Y-119223600D01* -X120570138Y-119223600D01* -X120662603Y-119205208D01* -X120749702Y-119169130D01* -X120828090Y-119116753D01* -X120894753Y-119050090D01* -X120947130Y-118971702D01* -X120983208Y-118884603D01* -X121001600Y-118792138D01* -X121001600Y-118697862D01* -X125124400Y-118697862D01* -X125124400Y-118792138D01* -X125142792Y-118884603D01* -X125178870Y-118971702D01* -X125231247Y-119050090D01* -X125297910Y-119116753D01* -X125376298Y-119169130D01* -X125463397Y-119205208D01* -X125555862Y-119223600D01* -X125650138Y-119223600D01* -X125742603Y-119205208D01* -X125829702Y-119169130D01* -X125908090Y-119116753D01* -X125974753Y-119050090D01* -X126027130Y-118971702D01* -X126063208Y-118884603D01* -X126081600Y-118792138D01* -X126081600Y-118697862D01* -X130204400Y-118697862D01* -X130204400Y-118792138D01* -X130222792Y-118884603D01* -X130258870Y-118971702D01* -X130311247Y-119050090D01* -X130377910Y-119116753D01* -X130456298Y-119169130D01* -X130543397Y-119205208D01* -X130635862Y-119223600D01* -X130730138Y-119223600D01* -X130822603Y-119205208D01* -X130909702Y-119169130D01* -X130988090Y-119116753D01* -X131054753Y-119050090D01* -X131107130Y-118971702D01* -X131143208Y-118884603D01* -X131161600Y-118792138D01* -X131161600Y-118697862D01* -X135284400Y-118697862D01* -X135284400Y-118792138D01* -X135302792Y-118884603D01* -X135338870Y-118971702D01* -X135391247Y-119050090D01* -X135457910Y-119116753D01* -X135536298Y-119169130D01* -X135623397Y-119205208D01* -X135715862Y-119223600D01* -X135810138Y-119223600D01* -X135902603Y-119205208D01* -X135989702Y-119169130D01* -X136068090Y-119116753D01* -X136134753Y-119050090D01* -X136187130Y-118971702D01* -X136223208Y-118884603D01* -X136241600Y-118792138D01* -X136241600Y-118697862D01* -X140364400Y-118697862D01* -X140364400Y-118792138D01* -X140382792Y-118884603D01* -X140418870Y-118971702D01* -X140471247Y-119050090D01* -X140537910Y-119116753D01* -X140616298Y-119169130D01* -X140703397Y-119205208D01* -X140795862Y-119223600D01* -X140890138Y-119223600D01* -X140982603Y-119205208D01* -X141069702Y-119169130D01* -X141148090Y-119116753D01* -X141214753Y-119050090D01* -X141267130Y-118971702D01* -X141303208Y-118884603D01* -X141321600Y-118792138D01* -X141321600Y-118697862D01* -X141303208Y-118605397D01* -X141267130Y-118518298D01* -X141214753Y-118439910D01* -X141148090Y-118373247D01* -X141069702Y-118320870D01* -X140982603Y-118284792D01* -X140890138Y-118266400D01* -X140795862Y-118266400D01* -X140703397Y-118284792D01* -X140616298Y-118320870D01* -X140537910Y-118373247D01* -X140471247Y-118439910D01* -X140418870Y-118518298D01* -X140382792Y-118605397D01* -X140364400Y-118697862D01* -X136241600Y-118697862D01* -X136223208Y-118605397D01* -X136187130Y-118518298D01* -X136134753Y-118439910D01* -X136068090Y-118373247D01* -X135989702Y-118320870D01* -X135902603Y-118284792D01* -X135810138Y-118266400D01* -X135715862Y-118266400D01* -X135623397Y-118284792D01* -X135536298Y-118320870D01* -X135457910Y-118373247D01* -X135391247Y-118439910D01* -X135338870Y-118518298D01* -X135302792Y-118605397D01* -X135284400Y-118697862D01* -X131161600Y-118697862D01* -X131143208Y-118605397D01* -X131107130Y-118518298D01* -X131054753Y-118439910D01* -X130988090Y-118373247D01* -X130909702Y-118320870D01* -X130822603Y-118284792D01* -X130730138Y-118266400D01* -X130635862Y-118266400D01* -X130543397Y-118284792D01* -X130456298Y-118320870D01* -X130377910Y-118373247D01* -X130311247Y-118439910D01* -X130258870Y-118518298D01* -X130222792Y-118605397D01* -X130204400Y-118697862D01* -X126081600Y-118697862D01* -X126063208Y-118605397D01* -X126027130Y-118518298D01* -X125974753Y-118439910D01* -X125908090Y-118373247D01* -X125829702Y-118320870D01* -X125742603Y-118284792D01* -X125650138Y-118266400D01* -X125555862Y-118266400D01* -X125463397Y-118284792D01* -X125376298Y-118320870D01* -X125297910Y-118373247D01* -X125231247Y-118439910D01* -X125178870Y-118518298D01* -X125142792Y-118605397D01* -X125124400Y-118697862D01* -X121001600Y-118697862D01* -X120983208Y-118605397D01* -X120947130Y-118518298D01* -X120894753Y-118439910D01* -X120828090Y-118373247D01* -X120749702Y-118320870D01* -X120662603Y-118284792D01* -X120570138Y-118266400D01* -X120475862Y-118266400D01* -X120383397Y-118284792D01* -X120296298Y-118320870D01* -X120217910Y-118373247D01* -X120151247Y-118439910D01* -X120098870Y-118518298D01* -X120062792Y-118605397D01* -X120044400Y-118697862D01* -X116175600Y-118697862D01* -X116157208Y-118605397D01* -X116121130Y-118518298D01* -X116068753Y-118439910D01* -X116002090Y-118373247D01* -X115923702Y-118320870D01* -X115836603Y-118284792D01* -X115744138Y-118266400D01* -X115649862Y-118266400D01* -X115557397Y-118284792D01* -X115470298Y-118320870D01* -X115391910Y-118373247D01* -X115325247Y-118439910D01* -X115272870Y-118518298D01* -X115236792Y-118605397D01* -X115218400Y-118697862D01* -X68253689Y-118697862D01* -X68332512Y-118579895D01* -X68387081Y-118448154D01* -X68414900Y-118308298D01* -X68414900Y-118165702D01* -X68387081Y-118025846D01* -X68336140Y-117902862D01* -X78321400Y-117902862D01* -X78321400Y-117997138D01* -X78339792Y-118089603D01* -X78375870Y-118176702D01* -X78428247Y-118255090D01* -X78494910Y-118321753D01* -X78573298Y-118374130D01* -X78660397Y-118410208D01* -X78752862Y-118428600D01* -X78847138Y-118428600D01* -X78939603Y-118410208D01* -X79026702Y-118374130D01* -X79105090Y-118321753D01* -X79171753Y-118255090D01* -X79224130Y-118176702D01* -X79260208Y-118089603D01* -X79278600Y-117997138D01* -X79278600Y-117902862D01* -X87521400Y-117902862D01* -X87521400Y-117997138D01* -X87539792Y-118089603D01* -X87575870Y-118176702D01* -X87628247Y-118255090D01* -X87694910Y-118321753D01* -X87773298Y-118374130D01* -X87860397Y-118410208D01* -X87952862Y-118428600D01* -X88047138Y-118428600D01* -X88139603Y-118410208D01* -X88226702Y-118374130D01* -X88305090Y-118321753D01* -X88371753Y-118255090D01* -X88424130Y-118176702D01* -X88460208Y-118089603D01* -X88478600Y-117997138D01* -X88478600Y-117902862D01* -X96721400Y-117902862D01* -X96721400Y-117997138D01* -X96739792Y-118089603D01* -X96775870Y-118176702D01* -X96828247Y-118255090D01* -X96894910Y-118321753D01* -X96973298Y-118374130D01* -X97060397Y-118410208D01* -X97152862Y-118428600D01* -X97247138Y-118428600D01* -X97339603Y-118410208D01* -X97426702Y-118374130D01* -X97505090Y-118321753D01* -X97571753Y-118255090D01* -X97624130Y-118176702D01* -X97660208Y-118089603D01* -X97678600Y-117997138D01* -X97678600Y-117902862D01* -X97660208Y-117810397D01* -X97624130Y-117723298D01* -X97571753Y-117644910D01* -X97505090Y-117578247D01* -X97467099Y-117552862D01* -X102021400Y-117552862D01* -X102021400Y-117647138D01* -X102039792Y-117739603D01* -X102075870Y-117826702D01* -X102128247Y-117905090D01* -X102194910Y-117971753D01* -X102273298Y-118024130D01* -X102360397Y-118060208D01* -X102452862Y-118078600D01* -X102547138Y-118078600D01* -X102639603Y-118060208D01* -X102726702Y-118024130D01* -X102805090Y-117971753D01* -X102871753Y-117905090D01* -X102873241Y-117902862D01* -X105921400Y-117902862D01* -X105921400Y-117997138D01* -X105939792Y-118089603D01* -X105975870Y-118176702D01* -X106028247Y-118255090D01* -X106094910Y-118321753D01* -X106173298Y-118374130D01* -X106260397Y-118410208D01* -X106352862Y-118428600D01* -X106447138Y-118428600D01* -X106539603Y-118410208D01* -X106626702Y-118374130D01* -X106705090Y-118321753D01* -X106771753Y-118255090D01* -X106824130Y-118176702D01* -X106860208Y-118089603D01* -X106878600Y-117997138D01* -X106878600Y-117902862D01* -X106860208Y-117810397D01* -X106824130Y-117723298D01* -X106771753Y-117644910D01* -X106705090Y-117578247D01* -X106626702Y-117525870D01* -X106539603Y-117489792D01* -X106447138Y-117471400D01* -X106352862Y-117471400D01* -X106260397Y-117489792D01* -X106173298Y-117525870D01* -X106094910Y-117578247D01* -X106028247Y-117644910D01* -X105975870Y-117723298D01* -X105939792Y-117810397D01* -X105921400Y-117902862D01* -X102873241Y-117902862D01* -X102924130Y-117826702D01* -X102960208Y-117739603D01* -X102978600Y-117647138D01* -X102978600Y-117552862D01* -X102960208Y-117460397D01* -X102924130Y-117373298D01* -X102871753Y-117294910D01* -X102805090Y-117228247D01* -X102726702Y-117175870D01* -X102639603Y-117139792D01* -X102547138Y-117121400D01* -X102452862Y-117121400D01* -X102360397Y-117139792D01* -X102273298Y-117175870D01* -X102194910Y-117228247D01* -X102128247Y-117294910D01* -X102075870Y-117373298D01* -X102039792Y-117460397D01* -X102021400Y-117552862D01* -X97467099Y-117552862D01* -X97426702Y-117525870D01* -X97339603Y-117489792D01* -X97247138Y-117471400D01* -X97152862Y-117471400D01* -X97060397Y-117489792D01* -X96973298Y-117525870D01* -X96894910Y-117578247D01* -X96828247Y-117644910D01* -X96775870Y-117723298D01* -X96739792Y-117810397D01* -X96721400Y-117902862D01* -X88478600Y-117902862D01* -X88460208Y-117810397D01* -X88424130Y-117723298D01* -X88371753Y-117644910D01* -X88305090Y-117578247D01* -X88226702Y-117525870D01* -X88139603Y-117489792D01* -X88047138Y-117471400D01* -X87952862Y-117471400D01* -X87860397Y-117489792D01* -X87773298Y-117525870D01* -X87694910Y-117578247D01* -X87628247Y-117644910D01* -X87575870Y-117723298D01* -X87539792Y-117810397D01* -X87521400Y-117902862D01* -X79278600Y-117902862D01* -X79260208Y-117810397D01* -X79224130Y-117723298D01* -X79171753Y-117644910D01* -X79105090Y-117578247D01* -X79026702Y-117525870D01* -X78939603Y-117489792D01* -X78847138Y-117471400D01* -X78752862Y-117471400D01* -X78660397Y-117489792D01* -X78573298Y-117525870D01* -X78494910Y-117578247D01* -X78428247Y-117644910D01* -X78375870Y-117723298D01* -X78339792Y-117810397D01* -X78321400Y-117902862D01* -X68336140Y-117902862D01* -X68332512Y-117894105D01* -X68253290Y-117775540D01* -X68152460Y-117674710D01* -X68033895Y-117595488D01* -X67902154Y-117540919D01* -X67762298Y-117513100D01* -X67619702Y-117513100D01* -X67479846Y-117540919D01* -X67348105Y-117595488D01* -X67229540Y-117674710D01* -X67128710Y-117775540D01* -X67049488Y-117894105D01* -X66994919Y-118025846D01* -X66967100Y-118165702D01* -X61643921Y-118165702D01* -X61646090Y-118164253D01* -X61712753Y-118097590D01* -X61765130Y-118019202D01* -X61801208Y-117932103D01* -X61819600Y-117839638D01* -X61819600Y-117745362D01* -X61801208Y-117652897D01* -X61786903Y-117618362D01* -X62894400Y-117618362D01* -X62894400Y-117712638D01* -X62912792Y-117805103D01* -X62948870Y-117892202D01* -X63001247Y-117970590D01* -X63067910Y-118037253D01* -X63146298Y-118089630D01* -X63233397Y-118125708D01* -X63325862Y-118144100D01* -X63420138Y-118144100D01* -X63512603Y-118125708D01* -X63599702Y-118089630D01* -X63678090Y-118037253D01* -X63744753Y-117970590D01* -X63797130Y-117892202D01* -X63833208Y-117805103D01* -X63851600Y-117712638D01* -X63851600Y-117618362D01* -X63833208Y-117525897D01* -X63797130Y-117438798D01* -X63744753Y-117360410D01* -X63678090Y-117293747D01* -X63599702Y-117241370D01* -X63512603Y-117205292D01* -X63420138Y-117186900D01* -X63325862Y-117186900D01* -X63233397Y-117205292D01* -X63146298Y-117241370D01* -X63067910Y-117293747D01* -X63001247Y-117360410D01* -X62948870Y-117438798D01* -X62912792Y-117525897D01* -X62894400Y-117618362D01* -X61786903Y-117618362D01* -X61765130Y-117565798D01* -X61712753Y-117487410D01* -X61646090Y-117420747D01* -X61567702Y-117368370D01* -X61480603Y-117332292D01* -X61388138Y-117313900D01* -X61354821Y-117313900D01* -X61432632Y-117126047D01* -X61487050Y-116852469D01* -X61487050Y-116573531D01* -X61432632Y-116299953D01* -X61350995Y-116102862D01* -X62621400Y-116102862D01* -X62621400Y-116197138D01* -X62639792Y-116289603D01* -X62675870Y-116376702D01* -X62728247Y-116455090D01* -X62794910Y-116521753D01* -X62873298Y-116574130D01* -X62960397Y-116610208D01* -X63052862Y-116628600D01* -X63147138Y-116628600D01* -X63239603Y-116610208D01* -X63326702Y-116574130D01* -X63327598Y-116573531D01* -X64369950Y-116573531D01* -X64369950Y-116852469D01* -X64424368Y-117126047D01* -X64531112Y-117383751D01* -X64686082Y-117615679D01* -X64883321Y-117812918D01* -X65115249Y-117967888D01* -X65372953Y-118074632D01* -X65646531Y-118129050D01* -X65925469Y-118129050D01* -X66199047Y-118074632D01* -X66456751Y-117967888D01* -X66688679Y-117812918D01* -X66885918Y-117615679D01* -X67040888Y-117383751D01* -X67127826Y-117173862D01* -X68736400Y-117173862D01* -X68736400Y-117268138D01* -X68754792Y-117360603D01* -X68790870Y-117447702D01* -X68843247Y-117526090D01* -X68909910Y-117592753D01* -X68988298Y-117645130D01* -X69075397Y-117681208D01* -X69167862Y-117699600D01* -X69262138Y-117699600D01* -X69354603Y-117681208D01* -X69441702Y-117645130D01* -X69520090Y-117592753D01* -X69586753Y-117526090D01* -X69639130Y-117447702D01* -X69675208Y-117360603D01* -X69693600Y-117268138D01* -X69693600Y-117173862D01* -X73816400Y-117173862D01* -X73816400Y-117268138D01* -X73834792Y-117360603D01* -X73870870Y-117447702D01* -X73923247Y-117526090D01* -X73989910Y-117592753D01* -X74068298Y-117645130D01* -X74155397Y-117681208D01* -X74247862Y-117699600D01* -X74342138Y-117699600D01* -X74434603Y-117681208D01* -X74521702Y-117645130D01* -X74600090Y-117592753D01* -X74666753Y-117526090D01* -X74719130Y-117447702D01* -X74755208Y-117360603D01* -X74773600Y-117268138D01* -X74773600Y-117173862D01* -X74755208Y-117081397D01* -X74719130Y-116994298D01* -X74666753Y-116915910D01* -X74600090Y-116849247D01* -X74521702Y-116796870D01* -X74434603Y-116760792D01* -X74342138Y-116742400D01* -X74247862Y-116742400D01* -X74155397Y-116760792D01* -X74068298Y-116796870D01* -X73989910Y-116849247D01* -X73923247Y-116915910D01* -X73870870Y-116994298D01* -X73834792Y-117081397D01* -X73816400Y-117173862D01* -X69693600Y-117173862D01* -X69675208Y-117081397D01* -X69639130Y-116994298D01* -X69586753Y-116915910D01* -X69520090Y-116849247D01* -X69441702Y-116796870D01* -X69354603Y-116760792D01* -X69262138Y-116742400D01* -X69167862Y-116742400D01* -X69075397Y-116760792D01* -X68988298Y-116796870D01* -X68909910Y-116849247D01* -X68843247Y-116915910D01* -X68790870Y-116994298D01* -X68754792Y-117081397D01* -X68736400Y-117173862D01* -X67127826Y-117173862D01* -X67147632Y-117126047D01* -X67202050Y-116852469D01* -X67202050Y-116573531D01* -X67147632Y-116299953D01* -X67105376Y-116197937D01* -X112521400Y-116197937D01* -X112521400Y-116302063D01* -X112541713Y-116404187D01* -X112581560Y-116500386D01* -X112639409Y-116586963D01* -X112713037Y-116660591D01* -X112799614Y-116718440D01* -X112895813Y-116758287D01* -X112997937Y-116778600D01* -X113102063Y-116778600D01* -X113204187Y-116758287D01* -X113300386Y-116718440D01* -X113386963Y-116660591D01* -X113460591Y-116586963D01* -X113518440Y-116500386D01* -X113558287Y-116404187D01* -X113578600Y-116302063D01* -X113578600Y-116197937D01* -X113570629Y-116157862D01* -X117504400Y-116157862D01* -X117504400Y-116252138D01* -X117522792Y-116344603D01* -X117558870Y-116431702D01* -X117611247Y-116510090D01* -X117677910Y-116576753D01* -X117756298Y-116629130D01* -X117843397Y-116665208D01* -X117935862Y-116683600D01* -X118030138Y-116683600D01* -X118122603Y-116665208D01* -X118209702Y-116629130D01* -X118288090Y-116576753D01* -X118354753Y-116510090D01* -X118407130Y-116431702D01* -X118443208Y-116344603D01* -X118461600Y-116252138D01* -X118461600Y-116197937D01* -X122521400Y-116197937D01* -X122521400Y-116302063D01* -X122541713Y-116404187D01* -X122581560Y-116500386D01* -X122639409Y-116586963D01* -X122713037Y-116660591D01* -X122799614Y-116718440D01* -X122895813Y-116758287D01* -X122997937Y-116778600D01* -X123102063Y-116778600D01* -X123204187Y-116758287D01* -X123300386Y-116718440D01* -X123386963Y-116660591D01* -X123460591Y-116586963D01* -X123518440Y-116500386D01* -X123558287Y-116404187D01* -X123578600Y-116302063D01* -X123578600Y-116197937D01* -X123570629Y-116157862D01* -X127664400Y-116157862D01* -X127664400Y-116252138D01* -X127682792Y-116344603D01* -X127718870Y-116431702D01* -X127771247Y-116510090D01* -X127837910Y-116576753D01* -X127916298Y-116629130D01* -X128003397Y-116665208D01* -X128095862Y-116683600D01* -X128190138Y-116683600D01* -X128282603Y-116665208D01* -X128369702Y-116629130D01* -X128448090Y-116576753D01* -X128514753Y-116510090D01* -X128567130Y-116431702D01* -X128603208Y-116344603D01* -X128621600Y-116252138D01* -X128621600Y-116157862D01* -X132744400Y-116157862D01* -X132744400Y-116252138D01* -X132762792Y-116344603D01* -X132798870Y-116431702D01* -X132851247Y-116510090D01* -X132917910Y-116576753D01* -X132996298Y-116629130D01* -X133083397Y-116665208D01* -X133175862Y-116683600D01* -X133270138Y-116683600D01* -X133362603Y-116665208D01* -X133449702Y-116629130D01* -X133528090Y-116576753D01* -X133594753Y-116510090D01* -X133647130Y-116431702D01* -X133683208Y-116344603D01* -X133701600Y-116252138D01* -X133701600Y-116157862D01* -X137824400Y-116157862D01* -X137824400Y-116252138D01* -X137842792Y-116344603D01* -X137878870Y-116431702D01* -X137931247Y-116510090D01* -X137997910Y-116576753D01* -X138076298Y-116629130D01* -X138163397Y-116665208D01* -X138255862Y-116683600D01* -X138350138Y-116683600D01* -X138442603Y-116665208D01* -X138529702Y-116629130D01* -X138608090Y-116576753D01* -X138674753Y-116510090D01* -X138727130Y-116431702D01* -X138763208Y-116344603D01* -X138781600Y-116252138D01* -X138781600Y-116157862D01* -X142904400Y-116157862D01* -X142904400Y-116252138D01* -X142922792Y-116344603D01* -X142958870Y-116431702D01* -X143011247Y-116510090D01* -X143077910Y-116576753D01* -X143156298Y-116629130D01* -X143243397Y-116665208D01* -X143335862Y-116683600D01* -X143430138Y-116683600D01* -X143522603Y-116665208D01* -X143609702Y-116629130D01* -X143688090Y-116576753D01* -X143754753Y-116510090D01* -X143807130Y-116431702D01* -X143843208Y-116344603D01* -X143861600Y-116252138D01* -X143861600Y-116157862D01* -X143843208Y-116065397D01* -X143807130Y-115978298D01* -X143754753Y-115899910D01* -X143688090Y-115833247D01* -X143609702Y-115780870D01* -X143522603Y-115744792D01* -X143430138Y-115726400D01* -X143335862Y-115726400D01* -X143243397Y-115744792D01* -X143156298Y-115780870D01* -X143077910Y-115833247D01* -X143011247Y-115899910D01* -X142958870Y-115978298D01* -X142922792Y-116065397D01* -X142904400Y-116157862D01* -X138781600Y-116157862D01* -X138763208Y-116065397D01* -X138727130Y-115978298D01* -X138674753Y-115899910D01* -X138608090Y-115833247D01* -X138529702Y-115780870D01* -X138442603Y-115744792D01* -X138350138Y-115726400D01* -X138255862Y-115726400D01* -X138163397Y-115744792D01* -X138076298Y-115780870D01* -X137997910Y-115833247D01* -X137931247Y-115899910D01* -X137878870Y-115978298D01* -X137842792Y-116065397D01* -X137824400Y-116157862D01* -X133701600Y-116157862D01* -X133683208Y-116065397D01* -X133647130Y-115978298D01* -X133594753Y-115899910D01* -X133528090Y-115833247D01* -X133449702Y-115780870D01* -X133362603Y-115744792D01* -X133270138Y-115726400D01* -X133175862Y-115726400D01* -X133083397Y-115744792D01* -X132996298Y-115780870D01* -X132917910Y-115833247D01* -X132851247Y-115899910D01* -X132798870Y-115978298D01* -X132762792Y-116065397D01* -X132744400Y-116157862D01* -X128621600Y-116157862D01* -X128603208Y-116065397D01* -X128567130Y-115978298D01* -X128514753Y-115899910D01* -X128448090Y-115833247D01* -X128369702Y-115780870D01* -X128282603Y-115744792D01* -X128190138Y-115726400D01* -X128095862Y-115726400D01* -X128003397Y-115744792D01* -X127916298Y-115780870D01* -X127837910Y-115833247D01* -X127771247Y-115899910D01* -X127718870Y-115978298D01* -X127682792Y-116065397D01* -X127664400Y-116157862D01* -X123570629Y-116157862D01* -X123558287Y-116095813D01* -X123518440Y-115999614D01* -X123460591Y-115913037D01* -X123386963Y-115839409D01* -X123300386Y-115781560D01* -X123204187Y-115741713D01* -X123102063Y-115721400D01* -X122997937Y-115721400D01* -X122895813Y-115741713D01* -X122799614Y-115781560D01* -X122713037Y-115839409D01* -X122639409Y-115913037D01* -X122581560Y-115999614D01* -X122541713Y-116095813D01* -X122521400Y-116197937D01* -X118461600Y-116197937D01* -X118461600Y-116157862D01* -X118443208Y-116065397D01* -X118407130Y-115978298D01* -X118354753Y-115899910D01* -X118288090Y-115833247D01* -X118209702Y-115780870D01* -X118122603Y-115744792D01* -X118030138Y-115726400D01* -X117935862Y-115726400D01* -X117843397Y-115744792D01* -X117756298Y-115780870D01* -X117677910Y-115833247D01* -X117611247Y-115899910D01* -X117558870Y-115978298D01* -X117522792Y-116065397D01* -X117504400Y-116157862D01* -X113570629Y-116157862D01* -X113558287Y-116095813D01* -X113518440Y-115999614D01* -X113460591Y-115913037D01* -X113386963Y-115839409D01* -X113300386Y-115781560D01* -X113204187Y-115741713D01* -X113102063Y-115721400D01* -X112997937Y-115721400D01* -X112895813Y-115741713D01* -X112799614Y-115781560D01* -X112713037Y-115839409D01* -X112639409Y-115913037D01* -X112581560Y-115999614D01* -X112541713Y-116095813D01* -X112521400Y-116197937D01* -X67105376Y-116197937D01* -X67040888Y-116042249D01* -X66885918Y-115810321D01* -X66688679Y-115613082D01* -X66456751Y-115458112D01* -X66199047Y-115351368D01* -X65925469Y-115296950D01* -X65646531Y-115296950D01* -X65372953Y-115351368D01* -X65115249Y-115458112D01* -X64883321Y-115613082D01* -X64686082Y-115810321D01* -X64531112Y-116042249D01* -X64424368Y-116299953D01* -X64369950Y-116573531D01* -X63327598Y-116573531D01* -X63405090Y-116521753D01* -X63471753Y-116455090D01* -X63524130Y-116376702D01* -X63560208Y-116289603D01* -X63578600Y-116197138D01* -X63578600Y-116102862D01* -X63560208Y-116010397D01* -X63524130Y-115923298D01* -X63471753Y-115844910D01* -X63405090Y-115778247D01* -X63326702Y-115725870D01* -X63239603Y-115689792D01* -X63147138Y-115671400D01* -X63052862Y-115671400D01* -X62960397Y-115689792D01* -X62873298Y-115725870D01* -X62794910Y-115778247D01* -X62728247Y-115844910D01* -X62675870Y-115923298D01* -X62639792Y-116010397D01* -X62621400Y-116102862D01* -X61350995Y-116102862D01* -X61325888Y-116042249D01* -X61170918Y-115810321D01* -X60973679Y-115613082D01* -X60741751Y-115458112D01* -X60484047Y-115351368D01* -X60210469Y-115296950D01* -X59931531Y-115296950D01* -X59657953Y-115351368D01* -X59400249Y-115458112D01* -X59168321Y-115613082D01* -X58971082Y-115810321D01* -X58816112Y-116042249D01* -X58709368Y-116299953D01* -X58654950Y-116573531D01* -X46177200Y-116573531D01* -X46177200Y-115125386D01* -X46215397Y-115141208D01* -X46307862Y-115159600D01* -X46402138Y-115159600D01* -X46494603Y-115141208D01* -X46581702Y-115105130D01* -X46660090Y-115052753D01* -X46726753Y-114986090D01* -X46779130Y-114907702D01* -X46815208Y-114820603D01* -X46833600Y-114728138D01* -X46833600Y-114633862D01* -X50956400Y-114633862D01* -X50956400Y-114728138D01* -X50974792Y-114820603D01* -X51010870Y-114907702D01* -X51063247Y-114986090D01* -X51129910Y-115052753D01* -X51208298Y-115105130D01* -X51295397Y-115141208D01* -X51387862Y-115159600D01* -X51482138Y-115159600D01* -X51574603Y-115141208D01* -X51661702Y-115105130D01* -X51740090Y-115052753D01* -X51806753Y-114986090D01* -X51859130Y-114907702D01* -X51895208Y-114820603D01* -X51913600Y-114728138D01* -X51913600Y-114633862D01* -X55909400Y-114633862D01* -X55909400Y-114728138D01* -X55927792Y-114820603D01* -X55963870Y-114907702D01* -X56016247Y-114986090D01* -X56082910Y-115052753D01* -X56161298Y-115105130D01* -X56248397Y-115141208D01* -X56340862Y-115159600D01* -X56435138Y-115159600D01* -X56469013Y-115152862D01* -X57571400Y-115152862D01* -X57571400Y-115247138D01* -X57589792Y-115339603D01* -X57625870Y-115426702D01* -X57678247Y-115505090D01* -X57744910Y-115571753D01* -X57823298Y-115624130D01* -X57910397Y-115660208D01* -X58002862Y-115678600D01* -X58097138Y-115678600D01* -X58189603Y-115660208D01* -X58276702Y-115624130D01* -X58355090Y-115571753D01* -X58421753Y-115505090D01* -X58474130Y-115426702D01* -X58510208Y-115339603D01* -X58528600Y-115247138D01* -X58528600Y-115152862D01* -X58510208Y-115060397D01* -X58491347Y-115014862D01* -X63211900Y-115014862D01* -X63211900Y-115109138D01* -X63230292Y-115201603D01* -X63266370Y-115288702D01* -X63318747Y-115367090D01* -X63385410Y-115433753D01* -X63463798Y-115486130D01* -X63550897Y-115522208D01* -X63643362Y-115540600D01* -X63737638Y-115540600D01* -X63830103Y-115522208D01* -X63917202Y-115486130D01* -X63995590Y-115433753D01* -X64062253Y-115367090D01* -X64114630Y-115288702D01* -X64150708Y-115201603D01* -X64169100Y-115109138D01* -X64169100Y-115014862D01* -X64150708Y-114922397D01* -X64114630Y-114835298D01* -X64062253Y-114756910D01* -X63995590Y-114690247D01* -X63917202Y-114637870D01* -X63830103Y-114601792D01* -X63737638Y-114583400D01* -X63643362Y-114583400D01* -X63550897Y-114601792D01* -X63463798Y-114637870D01* -X63385410Y-114690247D01* -X63318747Y-114756910D01* -X63266370Y-114835298D01* -X63230292Y-114922397D01* -X63211900Y-115014862D01* -X58491347Y-115014862D01* -X58474130Y-114973298D01* -X58421753Y-114894910D01* -X58355090Y-114828247D01* -X58276702Y-114775870D01* -X58189603Y-114739792D01* -X58097138Y-114721400D01* -X58002862Y-114721400D01* -X57910397Y-114739792D01* -X57823298Y-114775870D01* -X57744910Y-114828247D01* -X57678247Y-114894910D01* -X57625870Y-114973298D01* -X57589792Y-115060397D01* -X57571400Y-115152862D01* -X56469013Y-115152862D01* -X56527603Y-115141208D01* -X56614702Y-115105130D01* -X56693090Y-115052753D01* -X56759753Y-114986090D01* -X56812130Y-114907702D01* -X56848208Y-114820603D01* -X56866600Y-114728138D01* -X56866600Y-114633862D01* -X56848208Y-114541397D01* -X56812130Y-114454298D01* -X56759753Y-114375910D01* -X56693090Y-114309247D01* -X56614702Y-114256870D01* -X56527603Y-114220792D01* -X56437461Y-114202862D01* -X64121400Y-114202862D01* -X64121400Y-114297138D01* -X64139792Y-114389603D01* -X64175870Y-114476702D01* -X64228247Y-114555090D01* -X64294910Y-114621753D01* -X64373298Y-114674130D01* -X64460397Y-114710208D01* -X64552862Y-114728600D01* -X64647138Y-114728600D01* -X64739603Y-114710208D01* -X64826702Y-114674130D01* -X64886967Y-114633862D01* -X71276400Y-114633862D01* -X71276400Y-114728138D01* -X71294792Y-114820603D01* -X71330870Y-114907702D01* -X71383247Y-114986090D01* -X71449910Y-115052753D01* -X71528298Y-115105130D01* -X71615397Y-115141208D01* -X71707862Y-115159600D01* -X71802138Y-115159600D01* -X71894603Y-115141208D01* -X71981702Y-115105130D01* -X72060090Y-115052753D01* -X72126753Y-114986090D01* -X72179130Y-114907702D01* -X72215208Y-114820603D01* -X72233600Y-114728138D01* -X72233600Y-114633862D01* -X76356400Y-114633862D01* -X76356400Y-114728138D01* -X76374792Y-114820603D01* -X76410870Y-114907702D01* -X76463247Y-114986090D01* -X76529910Y-115052753D01* -X76608298Y-115105130D01* -X76695397Y-115141208D01* -X76787862Y-115159600D01* -X76882138Y-115159600D01* -X76974603Y-115141208D01* -X77061702Y-115105130D01* -X77140090Y-115052753D01* -X77206753Y-114986090D01* -X77259130Y-114907702D01* -X77295208Y-114820603D01* -X77313600Y-114728138D01* -X77313600Y-114633862D01* -X77295208Y-114541397D01* -X77259130Y-114454298D01* -X77224762Y-114402862D01* -X87321400Y-114402862D01* -X87321400Y-114497138D01* -X87339792Y-114589603D01* -X87375870Y-114676702D01* -X87428247Y-114755090D01* -X87494910Y-114821753D01* -X87573298Y-114874130D01* -X87660397Y-114910208D01* -X87752862Y-114928600D01* -X87847138Y-114928600D01* -X87939603Y-114910208D01* -X88026702Y-114874130D01* -X88105090Y-114821753D01* -X88123981Y-114802862D01* -X99271400Y-114802862D01* -X99271400Y-114897138D01* -X99289792Y-114989603D01* -X99325870Y-115076702D01* -X99378247Y-115155090D01* -X99444910Y-115221753D01* -X99523298Y-115274130D01* -X99610397Y-115310208D01* -X99702862Y-115328600D01* -X99797138Y-115328600D01* -X99889603Y-115310208D01* -X99919227Y-115297937D01* -X111521400Y-115297937D01* -X111521400Y-115402063D01* -X111541713Y-115504187D01* -X111581560Y-115600386D01* -X111639409Y-115686963D01* -X111713037Y-115760591D01* -X111799614Y-115818440D01* -X111895813Y-115858287D01* -X111997937Y-115878600D01* -X112102063Y-115878600D01* -X112204187Y-115858287D01* -X112300386Y-115818440D01* -X112386963Y-115760591D01* -X112460591Y-115686963D01* -X112518440Y-115600386D01* -X112558287Y-115504187D01* -X112578600Y-115402063D01* -X112578600Y-115297937D01* -X121521400Y-115297937D01* -X121521400Y-115402063D01* -X121541713Y-115504187D01* -X121581560Y-115600386D01* -X121639409Y-115686963D01* -X121713037Y-115760591D01* -X121799614Y-115818440D01* -X121895813Y-115858287D01* -X121997937Y-115878600D01* -X122102063Y-115878600D01* -X122204187Y-115858287D01* -X122300386Y-115818440D01* -X122386963Y-115760591D01* -X122460591Y-115686963D01* -X122518440Y-115600386D01* -X122558287Y-115504187D01* -X122578600Y-115402063D01* -X122578600Y-115297937D01* -X122558287Y-115195813D01* -X122518440Y-115099614D01* -X122460591Y-115013037D01* -X122386963Y-114939409D01* -X122300386Y-114881560D01* -X122204187Y-114841713D01* -X122102063Y-114821400D01* -X121997937Y-114821400D01* -X121895813Y-114841713D01* -X121799614Y-114881560D01* -X121713037Y-114939409D01* -X121639409Y-115013037D01* -X121581560Y-115099614D01* -X121541713Y-115195813D01* -X121521400Y-115297937D01* -X112578600Y-115297937D01* -X112558287Y-115195813D01* -X112518440Y-115099614D01* -X112460591Y-115013037D01* -X112386963Y-114939409D01* -X112300386Y-114881560D01* -X112204187Y-114841713D01* -X112102063Y-114821400D01* -X111997937Y-114821400D01* -X111895813Y-114841713D01* -X111799614Y-114881560D01* -X111713037Y-114939409D01* -X111639409Y-115013037D01* -X111581560Y-115099614D01* -X111541713Y-115195813D01* -X111521400Y-115297937D01* -X99919227Y-115297937D01* -X99976702Y-115274130D01* -X100055090Y-115221753D01* -X100121753Y-115155090D01* -X100174130Y-115076702D01* -X100210208Y-114989603D01* -X100228600Y-114897138D01* -X100228600Y-114802862D01* -X100210208Y-114710397D01* -X100174130Y-114623298D01* -X100121753Y-114544910D01* -X100055090Y-114478247D01* -X100017099Y-114452862D01* -X103471400Y-114452862D01* -X103471400Y-114547138D01* -X103489792Y-114639603D01* -X103525870Y-114726702D01* -X103578247Y-114805090D01* -X103644910Y-114871753D01* -X103723298Y-114924130D01* -X103810397Y-114960208D01* -X103902862Y-114978600D01* -X103997138Y-114978600D01* -X104089603Y-114960208D01* -X104176702Y-114924130D01* -X104255090Y-114871753D01* -X104321753Y-114805090D01* -X104374130Y-114726702D01* -X104410208Y-114639603D01* -X104428600Y-114547138D01* -X104428600Y-114452862D01* -X104410208Y-114360397D01* -X104374130Y-114273298D01* -X104321753Y-114194910D01* -X104255090Y-114128247D01* -X104176702Y-114075870D01* -X104089603Y-114039792D01* -X103997138Y-114021400D01* -X103902862Y-114021400D01* -X103810397Y-114039792D01* -X103723298Y-114075870D01* -X103644910Y-114128247D01* -X103578247Y-114194910D01* -X103525870Y-114273298D01* -X103489792Y-114360397D01* -X103471400Y-114452862D01* -X100017099Y-114452862D01* -X99976702Y-114425870D01* -X99889603Y-114389792D01* -X99797138Y-114371400D01* -X99702862Y-114371400D01* -X99610397Y-114389792D01* -X99523298Y-114425870D01* -X99444910Y-114478247D01* -X99378247Y-114544910D01* -X99325870Y-114623298D01* -X99289792Y-114710397D01* -X99271400Y-114802862D01* -X88123981Y-114802862D01* -X88171753Y-114755090D01* -X88224130Y-114676702D01* -X88260208Y-114589603D01* -X88278600Y-114497138D01* -X88278600Y-114402862D01* -X88260208Y-114310397D01* -X88224130Y-114223298D01* -X88171753Y-114144910D01* -X88105090Y-114078247D01* -X88026702Y-114025870D01* -X87971157Y-114002862D01* -X112421400Y-114002862D01* -X112421400Y-114097138D01* -X112439792Y-114189603D01* -X112475870Y-114276702D01* -X112528247Y-114355090D01* -X112594910Y-114421753D01* -X112673298Y-114474130D01* -X112760397Y-114510208D01* -X112852862Y-114528600D01* -X112947138Y-114528600D01* -X113039603Y-114510208D01* -X113126702Y-114474130D01* -X113205090Y-114421753D01* -X113228906Y-114397937D01* -X122521400Y-114397937D01* -X122521400Y-114502063D01* -X122541713Y-114604187D01* -X122581560Y-114700386D01* -X122639409Y-114786963D01* -X122713037Y-114860591D01* -X122799614Y-114918440D01* -X122895813Y-114958287D01* -X122997937Y-114978600D01* -X123102063Y-114978600D01* -X123204187Y-114958287D01* -X123300386Y-114918440D01* -X123386963Y-114860591D01* -X123460591Y-114786963D01* -X123518440Y-114700386D01* -X123558287Y-114604187D01* -X123578600Y-114502063D01* -X123578600Y-114397937D01* -X123558287Y-114295813D01* -X123534377Y-114238088D01* -X125821400Y-114238088D01* -X125821400Y-114361912D01* -X125845556Y-114483356D01* -X125892941Y-114597754D01* -X125961734Y-114700709D01* -X126049291Y-114788266D01* -X126152246Y-114857059D01* -X126266644Y-114904444D01* -X126388088Y-114928600D01* -X126511912Y-114928600D01* -X126633356Y-114904444D01* -X126747754Y-114857059D01* -X126850709Y-114788266D01* -X126938266Y-114700709D01* -X127007059Y-114597754D01* -X127054444Y-114483356D01* -X127078600Y-114361912D01* -X127078600Y-114238088D01* -X127054444Y-114116644D01* -X127007059Y-114002246D01* -X126938266Y-113899291D01* -X126850709Y-113811734D01* -X126747754Y-113742941D01* -X126633356Y-113695556D01* -X126511912Y-113671400D01* -X126388088Y-113671400D01* -X126266644Y-113695556D01* -X126152246Y-113742941D01* -X126049291Y-113811734D01* -X125961734Y-113899291D01* -X125892941Y-114002246D01* -X125845556Y-114116644D01* -X125821400Y-114238088D01* -X123534377Y-114238088D01* -X123518440Y-114199614D01* -X123460591Y-114113037D01* -X123386963Y-114039409D01* -X123300386Y-113981560D01* -X123204187Y-113941713D01* -X123102063Y-113921400D01* -X122997937Y-113921400D01* -X122895813Y-113941713D01* -X122799614Y-113981560D01* -X122713037Y-114039409D01* -X122639409Y-114113037D01* -X122581560Y-114199614D01* -X122541713Y-114295813D01* -X122521400Y-114397937D01* -X113228906Y-114397937D01* -X113271753Y-114355090D01* -X113324130Y-114276702D01* -X113360208Y-114189603D01* -X113378600Y-114097138D01* -X113378600Y-114002862D01* -X113360208Y-113910397D01* -X113324130Y-113823298D01* -X113271753Y-113744910D01* -X113205090Y-113678247D01* -X113126702Y-113625870D01* -X113039603Y-113589792D01* -X112947138Y-113571400D01* -X112852862Y-113571400D01* -X112760397Y-113589792D01* -X112673298Y-113625870D01* -X112594910Y-113678247D01* -X112528247Y-113744910D01* -X112475870Y-113823298D01* -X112439792Y-113910397D01* -X112421400Y-114002862D01* -X87971157Y-114002862D01* -X87939603Y-113989792D01* -X87847138Y-113971400D01* -X87752862Y-113971400D01* -X87660397Y-113989792D01* -X87573298Y-114025870D01* -X87494910Y-114078247D01* -X87428247Y-114144910D01* -X87375870Y-114223298D01* -X87339792Y-114310397D01* -X87321400Y-114402862D01* -X77224762Y-114402862D01* -X77206753Y-114375910D01* -X77140090Y-114309247D01* -X77061702Y-114256870D01* -X76974603Y-114220792D01* -X76882138Y-114202400D01* -X76787862Y-114202400D01* -X76695397Y-114220792D01* -X76608298Y-114256870D01* -X76529910Y-114309247D01* -X76463247Y-114375910D01* -X76410870Y-114454298D01* -X76374792Y-114541397D01* -X76356400Y-114633862D01* -X72233600Y-114633862D01* -X72215208Y-114541397D01* -X72179130Y-114454298D01* -X72126753Y-114375910D01* -X72060090Y-114309247D01* -X71981702Y-114256870D01* -X71894603Y-114220792D01* -X71802138Y-114202400D01* -X71707862Y-114202400D01* -X71615397Y-114220792D01* -X71528298Y-114256870D01* -X71449910Y-114309247D01* -X71383247Y-114375910D01* -X71330870Y-114454298D01* -X71294792Y-114541397D01* -X71276400Y-114633862D01* -X64886967Y-114633862D01* -X64905090Y-114621753D01* -X64971753Y-114555090D01* -X65024130Y-114476702D01* -X65060208Y-114389603D01* -X65078600Y-114297138D01* -X65078600Y-114202862D01* -X65060208Y-114110397D01* -X65024130Y-114023298D01* -X64971753Y-113944910D01* -X64905090Y-113878247D01* -X64826702Y-113825870D01* -X64739603Y-113789792D01* -X64647138Y-113771400D01* -X64552862Y-113771400D01* -X64460397Y-113789792D01* -X64373298Y-113825870D01* -X64294910Y-113878247D01* -X64228247Y-113944910D01* -X64175870Y-114023298D01* -X64139792Y-114110397D01* -X64121400Y-114202862D01* -X56437461Y-114202862D01* -X56435138Y-114202400D01* -X56340862Y-114202400D01* -X56248397Y-114220792D01* -X56161298Y-114256870D01* -X56082910Y-114309247D01* -X56016247Y-114375910D01* -X55963870Y-114454298D01* -X55927792Y-114541397D01* -X55909400Y-114633862D01* -X51913600Y-114633862D01* -X51895208Y-114541397D01* -X51859130Y-114454298D01* -X51806753Y-114375910D01* -X51740090Y-114309247D01* -X51661702Y-114256870D01* -X51574603Y-114220792D01* -X51482138Y-114202400D01* -X51387862Y-114202400D01* -X51295397Y-114220792D01* -X51208298Y-114256870D01* -X51129910Y-114309247D01* -X51063247Y-114375910D01* -X51010870Y-114454298D01* -X50974792Y-114541397D01* -X50956400Y-114633862D01* -X46833600Y-114633862D01* -X46815208Y-114541397D01* -X46779130Y-114454298D01* -X46726753Y-114375910D01* -X46660090Y-114309247D01* -X46581702Y-114256870D01* -X46494603Y-114220792D01* -X46402138Y-114202400D01* -X46307862Y-114202400D01* -X46215397Y-114220792D01* -X46177200Y-114236614D01* -X46177200Y-113702862D01* -X84021400Y-113702862D01* -X84021400Y-113797138D01* -X84039792Y-113889603D01* -X84075870Y-113976702D01* -X84128247Y-114055090D01* -X84194910Y-114121753D01* -X84273298Y-114174130D01* -X84360397Y-114210208D01* -X84452862Y-114228600D01* -X84547138Y-114228600D01* -X84639603Y-114210208D01* -X84726702Y-114174130D01* -X84805090Y-114121753D01* -X84871753Y-114055090D01* -X84924130Y-113976702D01* -X84960208Y-113889603D01* -X84978600Y-113797138D01* -X84978600Y-113702862D01* -X84960208Y-113610397D01* -X84924130Y-113523298D01* -X84871753Y-113444910D01* -X84805090Y-113378247D01* -X84726702Y-113325870D01* -X84639603Y-113289792D01* -X84547138Y-113271400D01* -X84452862Y-113271400D01* -X84360397Y-113289792D01* -X84273298Y-113325870D01* -X84194910Y-113378247D01* -X84128247Y-113444910D01* -X84075870Y-113523298D01* -X84039792Y-113610397D01* -X84021400Y-113702862D01* -X46177200Y-113702862D01* -X46177200Y-112902862D01* -X69271400Y-112902862D01* -X69271400Y-112997138D01* -X69289792Y-113089603D01* -X69325870Y-113176702D01* -X69378247Y-113255090D01* -X69444910Y-113321753D01* -X69523298Y-113374130D01* -X69610397Y-113410208D01* -X69702862Y-113428600D01* -X69797138Y-113428600D01* -X69889603Y-113410208D01* -X69976702Y-113374130D01* -X70055090Y-113321753D01* -X70121753Y-113255090D01* -X70174130Y-113176702D01* -X70210208Y-113089603D01* -X70228600Y-112997138D01* -X70228600Y-112902862D01* -X70218655Y-112852862D01* -X91971400Y-112852862D01* -X91971400Y-112947138D01* -X91989792Y-113039603D01* -X92025870Y-113126702D01* -X92078247Y-113205090D01* -X92144910Y-113271753D01* -X92223298Y-113324130D01* -X92310397Y-113360208D01* -X92402862Y-113378600D01* -X92497138Y-113378600D01* -X92589603Y-113360208D01* -X92676702Y-113324130D01* -X92755090Y-113271753D01* -X92821753Y-113205090D01* -X92874130Y-113126702D01* -X92910208Y-113039603D01* -X92928600Y-112947138D01* -X92928600Y-112852862D01* -X99571400Y-112852862D01* -X99571400Y-112947138D01* -X99589792Y-113039603D01* -X99625870Y-113126702D01* -X99678247Y-113205090D01* -X99744910Y-113271753D01* -X99823298Y-113324130D01* -X99910397Y-113360208D01* -X100002862Y-113378600D01* -X100097138Y-113378600D01* -X100189603Y-113360208D01* -X100276702Y-113324130D01* -X100355090Y-113271753D01* -X100421753Y-113205090D01* -X100474130Y-113126702D01* -X100504715Y-113052862D01* -X104921400Y-113052862D01* -X104921400Y-113147138D01* -X104939792Y-113239603D01* -X104975870Y-113326702D01* -X105028247Y-113405090D01* -X105094910Y-113471753D01* -X105173298Y-113524130D01* -X105260397Y-113560208D01* -X105352862Y-113578600D01* -X105447138Y-113578600D01* -X105539603Y-113560208D01* -X105626702Y-113524130D01* -X105705090Y-113471753D01* -X105771753Y-113405090D01* -X105806650Y-113352862D01* -X108421400Y-113352862D01* -X108421400Y-113447138D01* -X108439792Y-113539603D01* -X108475870Y-113626702D01* -X108528247Y-113705090D01* -X108594910Y-113771753D01* -X108673298Y-113824130D01* -X108760397Y-113860208D01* -X108852862Y-113878600D01* -X108947138Y-113878600D01* -X109039603Y-113860208D01* -X109126702Y-113824130D01* -X109205090Y-113771753D01* -X109271753Y-113705090D01* -X109324130Y-113626702D01* -X109360208Y-113539603D01* -X109378600Y-113447138D01* -X109378600Y-113402862D01* -X111271400Y-113402862D01* -X111271400Y-113497138D01* -X111289792Y-113589603D01* -X111325870Y-113676702D01* -X111378247Y-113755090D01* -X111444910Y-113821753D01* -X111523298Y-113874130D01* -X111610397Y-113910208D01* -X111702862Y-113928600D01* -X111797138Y-113928600D01* -X111889603Y-113910208D01* -X111976702Y-113874130D01* -X112055090Y-113821753D01* -X112121753Y-113755090D01* -X112174130Y-113676702D01* -X112210208Y-113589603D01* -X112228600Y-113497138D01* -X112228600Y-113402862D01* -X113571400Y-113402862D01* -X113571400Y-113497138D01* -X113589792Y-113589603D01* -X113625870Y-113676702D01* -X113678247Y-113755090D01* -X113744910Y-113821753D01* -X113823298Y-113874130D01* -X113910397Y-113910208D01* -X114002862Y-113928600D01* -X114097138Y-113928600D01* -X114189603Y-113910208D01* -X114276702Y-113874130D01* -X114355090Y-113821753D01* -X114421753Y-113755090D01* -X114474130Y-113676702D01* -X114510208Y-113589603D01* -X114528600Y-113497138D01* -X114528600Y-113402862D01* -X118171400Y-113402862D01* -X118171400Y-113497138D01* -X118189792Y-113589603D01* -X118225870Y-113676702D01* -X118278247Y-113755090D01* -X118344910Y-113821753D01* -X118423298Y-113874130D01* -X118510397Y-113910208D01* -X118602862Y-113928600D01* -X118697138Y-113928600D01* -X118789603Y-113910208D01* -X118876702Y-113874130D01* -X118955090Y-113821753D01* -X119021753Y-113755090D01* -X119074130Y-113676702D01* -X119110208Y-113589603D01* -X119128600Y-113497138D01* -X119128600Y-113402862D01* -X119110208Y-113310397D01* -X119074130Y-113223298D01* -X119021753Y-113144910D01* -X118955090Y-113078247D01* -X118876702Y-113025870D01* -X118789603Y-112989792D01* -X118697138Y-112971400D01* -X118602862Y-112971400D01* -X118510397Y-112989792D01* -X118423298Y-113025870D01* -X118344910Y-113078247D01* -X118278247Y-113144910D01* -X118225870Y-113223298D01* -X118189792Y-113310397D01* -X118171400Y-113402862D01* -X114528600Y-113402862D01* -X114510208Y-113310397D01* -X114474130Y-113223298D01* -X114421753Y-113144910D01* -X114355090Y-113078247D01* -X114276702Y-113025870D01* -X114189603Y-112989792D01* -X114097138Y-112971400D01* -X114002862Y-112971400D01* -X113910397Y-112989792D01* -X113823298Y-113025870D01* -X113744910Y-113078247D01* -X113678247Y-113144910D01* -X113625870Y-113223298D01* -X113589792Y-113310397D01* -X113571400Y-113402862D01* -X112228600Y-113402862D01* -X112210208Y-113310397D01* -X112174130Y-113223298D01* -X112121753Y-113144910D01* -X112055090Y-113078247D01* -X111976702Y-113025870D01* -X111889603Y-112989792D01* -X111797138Y-112971400D01* -X111702862Y-112971400D01* -X111610397Y-112989792D01* -X111523298Y-113025870D01* -X111444910Y-113078247D01* -X111378247Y-113144910D01* -X111325870Y-113223298D01* -X111289792Y-113310397D01* -X111271400Y-113402862D01* -X109378600Y-113402862D01* -X109378600Y-113352862D01* -X109360208Y-113260397D01* -X109324130Y-113173298D01* -X109271753Y-113094910D01* -X109205090Y-113028247D01* -X109126702Y-112975870D01* -X109039603Y-112939792D01* -X108947138Y-112921400D01* -X108852862Y-112921400D01* -X108760397Y-112939792D01* -X108673298Y-112975870D01* -X108594910Y-113028247D01* -X108528247Y-113094910D01* -X108475870Y-113173298D01* -X108439792Y-113260397D01* -X108421400Y-113352862D01* -X105806650Y-113352862D01* -X105824130Y-113326702D01* -X105860208Y-113239603D01* -X105878600Y-113147138D01* -X105878600Y-113052862D01* -X105860208Y-112960397D01* -X105824130Y-112873298D01* -X105771753Y-112794910D01* -X105705090Y-112728247D01* -X105626702Y-112675870D01* -X105539603Y-112639792D01* -X105447138Y-112621400D01* -X105352862Y-112621400D01* -X105260397Y-112639792D01* -X105173298Y-112675870D01* -X105094910Y-112728247D01* -X105028247Y-112794910D01* -X104975870Y-112873298D01* -X104939792Y-112960397D01* -X104921400Y-113052862D01* -X100504715Y-113052862D01* -X100510208Y-113039603D01* -X100528600Y-112947138D01* -X100528600Y-112852862D01* -X100510208Y-112760397D01* -X100474130Y-112673298D01* -X100421753Y-112594910D01* -X100355090Y-112528247D01* -X100276702Y-112475870D01* -X100189603Y-112439792D01* -X100097138Y-112421400D01* -X100002862Y-112421400D01* -X99910397Y-112439792D01* -X99823298Y-112475870D01* -X99744910Y-112528247D01* -X99678247Y-112594910D01* -X99625870Y-112673298D01* -X99589792Y-112760397D01* -X99571400Y-112852862D01* -X92928600Y-112852862D01* -X92910208Y-112760397D01* -X92874130Y-112673298D01* -X92821753Y-112594910D01* -X92755090Y-112528247D01* -X92676702Y-112475870D01* -X92589603Y-112439792D01* -X92497138Y-112421400D01* -X92402862Y-112421400D01* -X92310397Y-112439792D01* -X92223298Y-112475870D01* -X92144910Y-112528247D01* -X92078247Y-112594910D01* -X92025870Y-112673298D01* -X91989792Y-112760397D01* -X91971400Y-112852862D01* -X70218655Y-112852862D01* -X70210208Y-112810397D01* -X70174130Y-112723298D01* -X70121753Y-112644910D01* -X70055090Y-112578247D01* -X69976702Y-112525870D01* -X69889603Y-112489792D01* -X69797138Y-112471400D01* -X69702862Y-112471400D01* -X69610397Y-112489792D01* -X69523298Y-112525870D01* -X69444910Y-112578247D01* -X69378247Y-112644910D01* -X69325870Y-112723298D01* -X69289792Y-112810397D01* -X69271400Y-112902862D01* -X46177200Y-112902862D01* -X46177200Y-112093862D01* -X48416400Y-112093862D01* -X48416400Y-112188138D01* -X48434792Y-112280603D01* -X48470870Y-112367702D01* -X48523247Y-112446090D01* -X48589910Y-112512753D01* -X48668298Y-112565130D01* -X48755397Y-112601208D01* -X48847862Y-112619600D01* -X48942138Y-112619600D01* -X49034603Y-112601208D01* -X49121702Y-112565130D01* -X49200090Y-112512753D01* -X49266753Y-112446090D01* -X49319130Y-112367702D01* -X49355208Y-112280603D01* -X49373600Y-112188138D01* -X49373600Y-112093862D01* -X53496400Y-112093862D01* -X53496400Y-112188138D01* -X53514792Y-112280603D01* -X53550870Y-112367702D01* -X53603247Y-112446090D01* -X53669910Y-112512753D01* -X53748298Y-112565130D01* -X53835397Y-112601208D01* -X53927862Y-112619600D01* -X54022138Y-112619600D01* -X54114603Y-112601208D01* -X54201702Y-112565130D01* -X54280090Y-112512753D01* -X54346753Y-112446090D01* -X54399130Y-112367702D01* -X54435208Y-112280603D01* -X54453600Y-112188138D01* -X54453600Y-112093862D01* -X54435208Y-112001397D01* -X54399130Y-111914298D01* -X54346753Y-111835910D01* -X54280090Y-111769247D01* -X54201702Y-111716870D01* -X54114603Y-111680792D01* -X54022138Y-111662400D01* -X53927862Y-111662400D01* -X53835397Y-111680792D01* -X53748298Y-111716870D01* -X53669910Y-111769247D01* -X53603247Y-111835910D01* -X53550870Y-111914298D01* -X53514792Y-112001397D01* -X53496400Y-112093862D01* -X49373600Y-112093862D01* -X49355208Y-112001397D01* -X49319130Y-111914298D01* -X49266753Y-111835910D01* -X49200090Y-111769247D01* -X49121702Y-111716870D01* -X49034603Y-111680792D01* -X48942138Y-111662400D01* -X48847862Y-111662400D01* -X48755397Y-111680792D01* -X48668298Y-111716870D01* -X48589910Y-111769247D01* -X48523247Y-111835910D01* -X48470870Y-111914298D01* -X48434792Y-112001397D01* -X48416400Y-112093862D01* -X46177200Y-112093862D01* -X46177200Y-111552862D01* -X67871400Y-111552862D01* -X67871400Y-111647138D01* -X67889792Y-111739603D01* -X67925870Y-111826702D01* -X67978247Y-111905090D01* -X68044910Y-111971753D01* -X68123298Y-112024130D01* -X68210397Y-112060208D01* -X68302862Y-112078600D01* -X68397138Y-112078600D01* -X68489603Y-112060208D01* -X68507337Y-112052862D01* -X71621400Y-112052862D01* -X71621400Y-112147138D01* -X71639792Y-112239603D01* -X71675870Y-112326702D01* -X71728247Y-112405090D01* -X71794910Y-112471753D01* -X71873298Y-112524130D01* -X71960397Y-112560208D01* -X72052862Y-112578600D01* -X72147138Y-112578600D01* -X72239603Y-112560208D01* -X72326702Y-112524130D01* -X72405090Y-112471753D01* -X72471753Y-112405090D01* -X72524130Y-112326702D01* -X72560208Y-112239603D01* -X72578600Y-112147138D01* -X72578600Y-112052862D01* -X72560208Y-111960397D01* -X72524130Y-111873298D01* -X72471753Y-111794910D01* -X72405090Y-111728247D01* -X72367099Y-111702862D01* -X86921400Y-111702862D01* -X86921400Y-111797138D01* -X86939792Y-111889603D01* -X86975870Y-111976702D01* -X87028247Y-112055090D01* -X87094910Y-112121753D01* -X87173298Y-112174130D01* -X87260397Y-112210208D01* -X87352862Y-112228600D01* -X87447138Y-112228600D01* -X87539603Y-112210208D01* -X87626702Y-112174130D01* -X87705090Y-112121753D01* -X87771753Y-112055090D01* -X87824130Y-111976702D01* -X87836044Y-111947937D01* -X90871400Y-111947937D01* -X90871400Y-112052063D01* -X90891713Y-112154187D01* -X90931560Y-112250386D01* -X90989409Y-112336963D01* -X91063037Y-112410591D01* -X91149614Y-112468440D01* -X91245813Y-112508287D01* -X91347937Y-112528600D01* -X91452063Y-112528600D01* -X91554187Y-112508287D01* -X91650386Y-112468440D01* -X91736963Y-112410591D01* -X91810591Y-112336963D01* -X91868440Y-112250386D01* -X91908287Y-112154187D01* -X91928600Y-112052063D01* -X91928600Y-111947937D01* -X91908287Y-111845813D01* -X91890497Y-111802862D01* -X95671400Y-111802862D01* -X95671400Y-111897138D01* -X95689792Y-111989603D01* -X95725870Y-112076702D01* -X95778247Y-112155090D01* -X95844910Y-112221753D01* -X95923298Y-112274130D01* -X96010397Y-112310208D01* -X96102862Y-112328600D01* -X96197138Y-112328600D01* -X96289603Y-112310208D01* -X96376702Y-112274130D01* -X96455090Y-112221753D01* -X96521753Y-112155090D01* -X96574130Y-112076702D01* -X96610208Y-111989603D01* -X96618495Y-111947937D01* -X97871400Y-111947937D01* -X97871400Y-112052063D01* -X97891713Y-112154187D01* -X97931560Y-112250386D01* -X97989409Y-112336963D01* -X98063037Y-112410591D01* -X98149614Y-112468440D01* -X98245813Y-112508287D01* -X98347937Y-112528600D01* -X98452063Y-112528600D01* -X98554187Y-112508287D01* -X98650386Y-112468440D01* -X98736963Y-112410591D01* -X98810591Y-112336963D01* -X98868440Y-112250386D01* -X98888125Y-112202862D01* -X105871400Y-112202862D01* -X105871400Y-112297138D01* -X105889792Y-112389603D01* -X105925870Y-112476702D01* -X105978247Y-112555090D01* -X106044910Y-112621753D01* -X106123298Y-112674130D01* -X106210397Y-112710208D01* -X106302862Y-112728600D01* -X106397138Y-112728600D01* -X106489603Y-112710208D01* -X106576702Y-112674130D01* -X106655090Y-112621753D01* -X106674375Y-112602468D01* -X114267400Y-112602468D01* -X114267400Y-112697532D01* -X114285946Y-112790769D01* -X114322326Y-112878597D01* -X114375140Y-112957640D01* -X114442360Y-113024860D01* -X114521403Y-113077674D01* -X114609231Y-113114054D01* -X114702468Y-113132600D01* -X114797532Y-113132600D01* -X114890769Y-113114054D01* -X114978597Y-113077674D01* -X115057640Y-113024860D01* -X115124860Y-112957640D01* -X115177674Y-112878597D01* -X115214054Y-112790769D01* -X115232600Y-112697532D01* -X115232600Y-112602468D01* -X122067400Y-112602468D01* -X122067400Y-112697532D01* -X122085946Y-112790769D01* -X122122326Y-112878597D01* -X122175140Y-112957640D01* -X122242360Y-113024860D01* -X122321403Y-113077674D01* -X122409231Y-113114054D01* -X122502468Y-113132600D01* -X122597532Y-113132600D01* -X122690769Y-113114054D01* -X122778597Y-113077674D01* -X122857640Y-113024860D01* -X122879638Y-113002862D01* -X125071400Y-113002862D01* -X125071400Y-113097138D01* -X125089792Y-113189603D01* -X125125870Y-113276702D01* -X125178247Y-113355090D01* -X125244910Y-113421753D01* -X125323298Y-113474130D01* -X125410397Y-113510208D01* -X125502862Y-113528600D01* -X125597138Y-113528600D01* -X125689603Y-113510208D01* -X125776702Y-113474130D01* -X125855090Y-113421753D01* -X125921753Y-113355090D01* -X125974130Y-113276702D01* -X126010208Y-113189603D01* -X126018495Y-113147937D01* -X126821400Y-113147937D01* -X126821400Y-113252063D01* -X126841713Y-113354187D01* -X126881560Y-113450386D01* -X126939409Y-113536963D01* -X127013037Y-113610591D01* -X127099614Y-113668440D01* -X127195813Y-113708287D01* -X127297937Y-113728600D01* -X127402063Y-113728600D01* -X127504187Y-113708287D01* -X127600386Y-113668440D01* -X127676081Y-113617862D01* -X130204400Y-113617862D01* -X130204400Y-113712138D01* -X130222792Y-113804603D01* -X130258870Y-113891702D01* -X130311247Y-113970090D01* -X130377910Y-114036753D01* -X130456298Y-114089130D01* -X130543397Y-114125208D01* -X130635862Y-114143600D01* -X130730138Y-114143600D01* -X130822603Y-114125208D01* -X130909702Y-114089130D01* -X130988090Y-114036753D01* -X131054753Y-113970090D01* -X131107130Y-113891702D01* -X131143208Y-113804603D01* -X131161600Y-113712138D01* -X131161600Y-113617862D01* -X135284400Y-113617862D01* -X135284400Y-113712138D01* -X135302792Y-113804603D01* -X135338870Y-113891702D01* -X135391247Y-113970090D01* -X135457910Y-114036753D01* -X135536298Y-114089130D01* -X135623397Y-114125208D01* -X135715862Y-114143600D01* -X135810138Y-114143600D01* -X135902603Y-114125208D01* -X135989702Y-114089130D01* -X136068090Y-114036753D01* -X136134753Y-113970090D01* -X136187130Y-113891702D01* -X136223208Y-113804603D01* -X136241600Y-113712138D01* -X136241600Y-113617862D01* -X140364400Y-113617862D01* -X140364400Y-113712138D01* -X140382792Y-113804603D01* -X140418870Y-113891702D01* -X140471247Y-113970090D01* -X140537910Y-114036753D01* -X140616298Y-114089130D01* -X140703397Y-114125208D01* -X140795862Y-114143600D01* -X140890138Y-114143600D01* -X140982603Y-114125208D01* -X141069702Y-114089130D01* -X141148090Y-114036753D01* -X141214753Y-113970090D01* -X141267130Y-113891702D01* -X141303208Y-113804603D01* -X141321600Y-113712138D01* -X141321600Y-113617862D01* -X141303208Y-113525397D01* -X141267130Y-113438298D01* -X141214753Y-113359910D01* -X141148090Y-113293247D01* -X141069702Y-113240870D01* -X140982603Y-113204792D01* -X140890138Y-113186400D01* -X140795862Y-113186400D01* -X140703397Y-113204792D01* -X140616298Y-113240870D01* -X140537910Y-113293247D01* -X140471247Y-113359910D01* -X140418870Y-113438298D01* -X140382792Y-113525397D01* -X140364400Y-113617862D01* -X136241600Y-113617862D01* -X136223208Y-113525397D01* -X136187130Y-113438298D01* -X136134753Y-113359910D01* -X136068090Y-113293247D01* -X135989702Y-113240870D01* -X135902603Y-113204792D01* -X135810138Y-113186400D01* -X135715862Y-113186400D01* -X135623397Y-113204792D01* -X135536298Y-113240870D01* -X135457910Y-113293247D01* -X135391247Y-113359910D01* -X135338870Y-113438298D01* -X135302792Y-113525397D01* -X135284400Y-113617862D01* -X131161600Y-113617862D01* -X131143208Y-113525397D01* -X131107130Y-113438298D01* -X131054753Y-113359910D01* -X130988090Y-113293247D01* -X130909702Y-113240870D01* -X130822603Y-113204792D01* -X130730138Y-113186400D01* -X130635862Y-113186400D01* -X130543397Y-113204792D01* -X130456298Y-113240870D01* -X130377910Y-113293247D01* -X130311247Y-113359910D01* -X130258870Y-113438298D01* -X130222792Y-113525397D01* -X130204400Y-113617862D01* -X127676081Y-113617862D01* -X127686963Y-113610591D01* -X127760591Y-113536963D01* -X127818440Y-113450386D01* -X127858287Y-113354187D01* -X127878600Y-113252063D01* -X127878600Y-113147937D01* -X127858287Y-113045813D01* -X127818440Y-112949614D01* -X127760591Y-112863037D01* -X127686963Y-112789409D01* -X127600386Y-112731560D01* -X127504187Y-112691713D01* -X127402063Y-112671400D01* -X127297937Y-112671400D01* -X127195813Y-112691713D01* -X127099614Y-112731560D01* -X127013037Y-112789409D01* -X126939409Y-112863037D01* -X126881560Y-112949614D01* -X126841713Y-113045813D01* -X126821400Y-113147937D01* -X126018495Y-113147937D01* -X126028600Y-113097138D01* -X126028600Y-113002862D01* -X126010208Y-112910397D01* -X125974130Y-112823298D01* -X125921753Y-112744910D01* -X125855090Y-112678247D01* -X125776702Y-112625870D01* -X125689603Y-112589792D01* -X125597138Y-112571400D01* -X125502862Y-112571400D01* -X125410397Y-112589792D01* -X125323298Y-112625870D01* -X125244910Y-112678247D01* -X125178247Y-112744910D01* -X125125870Y-112823298D01* -X125089792Y-112910397D01* -X125071400Y-113002862D01* -X122879638Y-113002862D01* -X122924860Y-112957640D01* -X122977674Y-112878597D01* -X123014054Y-112790769D01* -X123032600Y-112697532D01* -X123032600Y-112602468D01* -X123014054Y-112509231D01* -X122977674Y-112421403D01* -X122924860Y-112342360D01* -X122857640Y-112275140D01* -X122778597Y-112222326D01* -X122690769Y-112185946D01* -X122597532Y-112167400D01* -X122502468Y-112167400D01* -X122409231Y-112185946D01* -X122321403Y-112222326D01* -X122242360Y-112275140D01* -X122175140Y-112342360D01* -X122122326Y-112421403D01* -X122085946Y-112509231D01* -X122067400Y-112602468D01* -X115232600Y-112602468D01* -X115214054Y-112509231D01* -X115177674Y-112421403D01* -X115124860Y-112342360D01* -X115057640Y-112275140D01* -X114978597Y-112222326D01* -X114890769Y-112185946D01* -X114797532Y-112167400D01* -X114702468Y-112167400D01* -X114609231Y-112185946D01* -X114521403Y-112222326D01* -X114442360Y-112275140D01* -X114375140Y-112342360D01* -X114322326Y-112421403D01* -X114285946Y-112509231D01* -X114267400Y-112602468D01* -X106674375Y-112602468D01* -X106721753Y-112555090D01* -X106774130Y-112476702D01* -X106810208Y-112389603D01* -X106828600Y-112297138D01* -X106828600Y-112202862D01* -X106810208Y-112110397D01* -X106774130Y-112023298D01* -X106760476Y-112002862D01* -X108471400Y-112002862D01* -X108471400Y-112097138D01* -X108489792Y-112189603D01* -X108525870Y-112276702D01* -X108578247Y-112355090D01* -X108644910Y-112421753D01* -X108723298Y-112474130D01* -X108810397Y-112510208D01* -X108902862Y-112528600D01* -X108997138Y-112528600D01* -X109089603Y-112510208D01* -X109176702Y-112474130D01* -X109255090Y-112421753D01* -X109321753Y-112355090D01* -X109374130Y-112276702D01* -X109410208Y-112189603D01* -X109428600Y-112097138D01* -X109428600Y-112002862D01* -X109410208Y-111910397D01* -X109374130Y-111823298D01* -X109360476Y-111802862D01* -X111271400Y-111802862D01* -X111271400Y-111897138D01* -X111289792Y-111989603D01* -X111325870Y-112076702D01* -X111378247Y-112155090D01* -X111444910Y-112221753D01* -X111523298Y-112274130D01* -X111610397Y-112310208D01* -X111702862Y-112328600D01* -X111797138Y-112328600D01* -X111889603Y-112310208D01* -X111976702Y-112274130D01* -X112055090Y-112221753D01* -X112121753Y-112155090D01* -X112174130Y-112076702D01* -X112210208Y-111989603D01* -X112228600Y-111897138D01* -X112228600Y-111802862D01* -X113571400Y-111802862D01* -X113571400Y-111897138D01* -X113589792Y-111989603D01* -X113625870Y-112076702D01* -X113678247Y-112155090D01* -X113744910Y-112221753D01* -X113823298Y-112274130D01* -X113910397Y-112310208D01* -X114002862Y-112328600D01* -X114097138Y-112328600D01* -X114189603Y-112310208D01* -X114276702Y-112274130D01* -X114355090Y-112221753D01* -X114421753Y-112155090D01* -X114474130Y-112076702D01* -X114510208Y-111989603D01* -X114528600Y-111897138D01* -X114528600Y-111802862D01* -X118171400Y-111802862D01* -X118171400Y-111897138D01* -X118189792Y-111989603D01* -X118225870Y-112076702D01* -X118278247Y-112155090D01* -X118344910Y-112221753D01* -X118423298Y-112274130D01* -X118510397Y-112310208D01* -X118602862Y-112328600D01* -X118697138Y-112328600D01* -X118789603Y-112310208D01* -X118876702Y-112274130D01* -X118955090Y-112221753D01* -X119021753Y-112155090D01* -X119074130Y-112076702D01* -X119110208Y-111989603D01* -X119128600Y-111897138D01* -X119128600Y-111802862D01* -X119110208Y-111710397D01* -X119074130Y-111623298D01* -X119021753Y-111544910D01* -X118955090Y-111478247D01* -X118876702Y-111425870D01* -X118789603Y-111389792D01* -X118697138Y-111371400D01* -X118602862Y-111371400D01* -X118510397Y-111389792D01* -X118423298Y-111425870D01* -X118344910Y-111478247D01* -X118278247Y-111544910D01* -X118225870Y-111623298D01* -X118189792Y-111710397D01* -X118171400Y-111802862D01* -X114528600Y-111802862D01* -X114510208Y-111710397D01* -X114474130Y-111623298D01* -X114421753Y-111544910D01* -X114355090Y-111478247D01* -X114276702Y-111425870D01* -X114189603Y-111389792D01* -X114097138Y-111371400D01* -X114002862Y-111371400D01* -X113910397Y-111389792D01* -X113823298Y-111425870D01* -X113744910Y-111478247D01* -X113678247Y-111544910D01* -X113625870Y-111623298D01* -X113589792Y-111710397D01* -X113571400Y-111802862D01* -X112228600Y-111802862D01* -X112210208Y-111710397D01* -X112174130Y-111623298D01* -X112121753Y-111544910D01* -X112055090Y-111478247D01* -X111976702Y-111425870D01* -X111889603Y-111389792D01* -X111797138Y-111371400D01* -X111702862Y-111371400D01* -X111610397Y-111389792D01* -X111523298Y-111425870D01* -X111444910Y-111478247D01* -X111378247Y-111544910D01* -X111325870Y-111623298D01* -X111289792Y-111710397D01* -X111271400Y-111802862D01* -X109360476Y-111802862D01* -X109321753Y-111744910D01* -X109255090Y-111678247D01* -X109176702Y-111625870D01* -X109089603Y-111589792D01* -X108997138Y-111571400D01* -X108902862Y-111571400D01* -X108810397Y-111589792D01* -X108723298Y-111625870D01* -X108644910Y-111678247D01* -X108578247Y-111744910D01* -X108525870Y-111823298D01* -X108489792Y-111910397D01* -X108471400Y-112002862D01* -X106760476Y-112002862D01* -X106721753Y-111944910D01* -X106655090Y-111878247D01* -X106576702Y-111825870D01* -X106489603Y-111789792D01* -X106397138Y-111771400D01* -X106302862Y-111771400D01* -X106210397Y-111789792D01* -X106123298Y-111825870D01* -X106044910Y-111878247D01* -X105978247Y-111944910D01* -X105925870Y-112023298D01* -X105889792Y-112110397D01* -X105871400Y-112202862D01* -X98888125Y-112202862D01* -X98908287Y-112154187D01* -X98928600Y-112052063D01* -X98928600Y-111947937D01* -X98908287Y-111845813D01* -X98868440Y-111749614D01* -X98810591Y-111663037D01* -X98736963Y-111589409D01* -X98650386Y-111531560D01* -X98554187Y-111491713D01* -X98452063Y-111471400D01* -X98347937Y-111471400D01* -X98245813Y-111491713D01* -X98149614Y-111531560D01* -X98063037Y-111589409D01* -X97989409Y-111663037D01* -X97931560Y-111749614D01* -X97891713Y-111845813D01* -X97871400Y-111947937D01* -X96618495Y-111947937D01* -X96628600Y-111897138D01* -X96628600Y-111802862D01* -X96610208Y-111710397D01* -X96574130Y-111623298D01* -X96521753Y-111544910D01* -X96455090Y-111478247D01* -X96376702Y-111425870D01* -X96289603Y-111389792D01* -X96197138Y-111371400D01* -X96102862Y-111371400D01* -X96010397Y-111389792D01* -X95923298Y-111425870D01* -X95844910Y-111478247D01* -X95778247Y-111544910D01* -X95725870Y-111623298D01* -X95689792Y-111710397D01* -X95671400Y-111802862D01* -X91890497Y-111802862D01* -X91868440Y-111749614D01* -X91810591Y-111663037D01* -X91736963Y-111589409D01* -X91650386Y-111531560D01* -X91554187Y-111491713D01* -X91452063Y-111471400D01* -X91347937Y-111471400D01* -X91245813Y-111491713D01* -X91149614Y-111531560D01* -X91063037Y-111589409D01* -X90989409Y-111663037D01* -X90931560Y-111749614D01* -X90891713Y-111845813D01* -X90871400Y-111947937D01* -X87836044Y-111947937D01* -X87860208Y-111889603D01* -X87878600Y-111797138D01* -X87878600Y-111702862D01* -X87860208Y-111610397D01* -X87824130Y-111523298D01* -X87771753Y-111444910D01* -X87705090Y-111378247D01* -X87626702Y-111325870D01* -X87539603Y-111289792D01* -X87447138Y-111271400D01* -X87352862Y-111271400D01* -X87260397Y-111289792D01* -X87173298Y-111325870D01* -X87094910Y-111378247D01* -X87028247Y-111444910D01* -X86975870Y-111523298D01* -X86939792Y-111610397D01* -X86921400Y-111702862D01* -X72367099Y-111702862D01* -X72326702Y-111675870D01* -X72239603Y-111639792D01* -X72147138Y-111621400D01* -X72052862Y-111621400D01* -X71960397Y-111639792D01* -X71873298Y-111675870D01* -X71794910Y-111728247D01* -X71728247Y-111794910D01* -X71675870Y-111873298D01* -X71639792Y-111960397D01* -X71621400Y-112052862D01* -X68507337Y-112052862D01* -X68576702Y-112024130D01* -X68655090Y-111971753D01* -X68721753Y-111905090D01* -X68774130Y-111826702D01* -X68810208Y-111739603D01* -X68828600Y-111647138D01* -X68828600Y-111552862D01* -X68810208Y-111460397D01* -X68774130Y-111373298D01* -X68721753Y-111294910D01* -X68655090Y-111228247D01* -X68576702Y-111175870D01* -X68489603Y-111139792D01* -X68397138Y-111121400D01* -X68302862Y-111121400D01* -X68210397Y-111139792D01* -X68123298Y-111175870D01* -X68044910Y-111228247D01* -X67978247Y-111294910D01* -X67925870Y-111373298D01* -X67889792Y-111460397D01* -X67871400Y-111552862D01* -X46177200Y-111552862D01* -X46177200Y-110045386D01* -X46215397Y-110061208D01* -X46307862Y-110079600D01* -X46402138Y-110079600D01* -X46494603Y-110061208D01* -X46581702Y-110025130D01* -X46660090Y-109972753D01* -X46726753Y-109906090D01* -X46779130Y-109827702D01* -X46815208Y-109740603D01* -X46833600Y-109648138D01* -X46833600Y-109553862D01* -X50956400Y-109553862D01* -X50956400Y-109648138D01* -X50974792Y-109740603D01* -X51010870Y-109827702D01* -X51063247Y-109906090D01* -X51129910Y-109972753D01* -X51208298Y-110025130D01* -X51295397Y-110061208D01* -X51387862Y-110079600D01* -X51482138Y-110079600D01* -X51574603Y-110061208D01* -X51661702Y-110025130D01* -X51740090Y-109972753D01* -X51806753Y-109906090D01* -X51859130Y-109827702D01* -X51895208Y-109740603D01* -X51913600Y-109648138D01* -X51913600Y-109553862D01* -X51913402Y-109552862D01* -X55921400Y-109552862D01* -X55921400Y-109647138D01* -X55939792Y-109739603D01* -X55975870Y-109826702D01* -X56028247Y-109905090D01* -X56094910Y-109971753D01* -X56173298Y-110024130D01* -X56260397Y-110060208D01* -X56352862Y-110078600D01* -X56447138Y-110078600D01* -X56539603Y-110060208D01* -X56626702Y-110024130D01* -X56658531Y-110002862D01* -X56921400Y-110002862D01* -X56921400Y-110097138D01* -X56939792Y-110189603D01* -X56975870Y-110276702D01* -X57028247Y-110355090D01* -X57094910Y-110421753D01* -X57173298Y-110474130D01* -X57260397Y-110510208D01* -X57352862Y-110528600D01* -X57447138Y-110528600D01* -X57539603Y-110510208D01* -X57626702Y-110474130D01* -X57705090Y-110421753D01* -X57771753Y-110355090D01* -X57824130Y-110276702D01* -X57860208Y-110189603D01* -X57878600Y-110097138D01* -X57878600Y-110002862D01* -X66321400Y-110002862D01* -X66321400Y-110097138D01* -X66339792Y-110189603D01* -X66375870Y-110276702D01* -X66428247Y-110355090D01* -X66494910Y-110421753D01* -X66573298Y-110474130D01* -X66660397Y-110510208D01* -X66752862Y-110528600D01* -X66847138Y-110528600D01* -X66939603Y-110510208D01* -X67026702Y-110474130D01* -X67058531Y-110452862D01* -X68171400Y-110452862D01* -X68171400Y-110547138D01* -X68189792Y-110639603D01* -X68225870Y-110726702D01* -X68278247Y-110805090D01* -X68344910Y-110871753D01* -X68423298Y-110924130D01* -X68510397Y-110960208D01* -X68602862Y-110978600D01* -X68697138Y-110978600D01* -X68789603Y-110960208D01* -X68876702Y-110924130D01* -X68955090Y-110871753D01* -X69021753Y-110805090D01* -X69074130Y-110726702D01* -X69110208Y-110639603D01* -X69117516Y-110602862D01* -X71571400Y-110602862D01* -X71571400Y-110697138D01* -X71589792Y-110789603D01* -X71625870Y-110876702D01* -X71678247Y-110955090D01* -X71744910Y-111021753D01* -X71823298Y-111074130D01* -X71910397Y-111110208D01* -X72002862Y-111128600D01* -X72097138Y-111128600D01* -X72189603Y-111110208D01* -X72276702Y-111074130D01* -X72315902Y-111047937D01* -X91871400Y-111047937D01* -X91871400Y-111152063D01* -X91891713Y-111254187D01* -X91931560Y-111350386D01* -X91989409Y-111436963D01* -X92063037Y-111510591D01* -X92149614Y-111568440D01* -X92245813Y-111608287D01* -X92347937Y-111628600D01* -X92452063Y-111628600D01* -X92554187Y-111608287D01* -X92650386Y-111568440D01* -X92736963Y-111510591D01* -X92810591Y-111436963D01* -X92868440Y-111350386D01* -X92908287Y-111254187D01* -X92928600Y-111152063D01* -X92928600Y-111047937D01* -X98871400Y-111047937D01* -X98871400Y-111152063D01* -X98891713Y-111254187D01* -X98931560Y-111350386D01* -X98989409Y-111436963D01* -X99063037Y-111510591D01* -X99149614Y-111568440D01* -X99245813Y-111608287D01* -X99347937Y-111628600D01* -X99452063Y-111628600D01* -X99554187Y-111608287D01* -X99650386Y-111568440D01* -X99736963Y-111510591D01* -X99744692Y-111502862D01* -X100521400Y-111502862D01* -X100521400Y-111597138D01* -X100539792Y-111689603D01* -X100575870Y-111776702D01* -X100628247Y-111855090D01* -X100694910Y-111921753D01* -X100773298Y-111974130D01* -X100860397Y-112010208D01* -X100952862Y-112028600D01* -X101047138Y-112028600D01* -X101139603Y-112010208D01* -X101226702Y-111974130D01* -X101305090Y-111921753D01* -X101371753Y-111855090D01* -X101424130Y-111776702D01* -X101460208Y-111689603D01* -X101478600Y-111597138D01* -X101478600Y-111502862D01* -X101460208Y-111410397D01* -X101436376Y-111352862D01* -X104921400Y-111352862D01* -X104921400Y-111447138D01* -X104939792Y-111539603D01* -X104975870Y-111626702D01* -X105028247Y-111705090D01* -X105094910Y-111771753D01* -X105173298Y-111824130D01* -X105260397Y-111860208D01* -X105352862Y-111878600D01* -X105447138Y-111878600D01* -X105539603Y-111860208D01* -X105626702Y-111824130D01* -X105705090Y-111771753D01* -X105771753Y-111705090D01* -X105824130Y-111626702D01* -X105860208Y-111539603D01* -X105878600Y-111447138D01* -X105878600Y-111352862D01* -X105860208Y-111260397D01* -X105824130Y-111173298D01* -X105777067Y-111102862D01* -X109221400Y-111102862D01* -X109221400Y-111197138D01* -X109239792Y-111289603D01* -X109275870Y-111376702D01* -X109328247Y-111455090D01* -X109394910Y-111521753D01* -X109473298Y-111574130D01* -X109560397Y-111610208D01* -X109652862Y-111628600D01* -X109747138Y-111628600D01* -X109839603Y-111610208D01* -X109926702Y-111574130D01* -X110005090Y-111521753D01* -X110071753Y-111455090D01* -X110124130Y-111376702D01* -X110160208Y-111289603D01* -X110178600Y-111197138D01* -X110178600Y-111102862D01* -X110178522Y-111102468D01* -X114267400Y-111102468D01* -X114267400Y-111197532D01* -X114285946Y-111290769D01* -X114322326Y-111378597D01* -X114375140Y-111457640D01* -X114442360Y-111524860D01* -X114521403Y-111577674D01* -X114609231Y-111614054D01* -X114702468Y-111632600D01* -X114797532Y-111632600D01* -X114890769Y-111614054D01* -X114978597Y-111577674D01* -X115057640Y-111524860D01* -X115124860Y-111457640D01* -X115177674Y-111378597D01* -X115214054Y-111290769D01* -X115232600Y-111197532D01* -X115232600Y-111102468D01* -X115214054Y-111009231D01* -X115177674Y-110921403D01* -X115124860Y-110842360D01* -X115057640Y-110775140D01* -X114978597Y-110722326D01* -X114890769Y-110685946D01* -X114797532Y-110667400D01* -X114702468Y-110667400D01* -X114609231Y-110685946D01* -X114521403Y-110722326D01* -X114442360Y-110775140D01* -X114375140Y-110842360D01* -X114322326Y-110921403D01* -X114285946Y-111009231D01* -X114267400Y-111102468D01* -X110178522Y-111102468D01* -X110160208Y-111010397D01* -X110124130Y-110923298D01* -X110071753Y-110844910D01* -X110005090Y-110778247D01* -X109926702Y-110725870D01* -X109839603Y-110689792D01* -X109747138Y-110671400D01* -X109652862Y-110671400D01* -X109560397Y-110689792D01* -X109473298Y-110725870D01* -X109394910Y-110778247D01* -X109328247Y-110844910D01* -X109275870Y-110923298D01* -X109239792Y-111010397D01* -X109221400Y-111102862D01* -X105777067Y-111102862D01* -X105771753Y-111094910D01* -X105705090Y-111028247D01* -X105626702Y-110975870D01* -X105539603Y-110939792D01* -X105447138Y-110921400D01* -X105352862Y-110921400D01* -X105260397Y-110939792D01* -X105173298Y-110975870D01* -X105094910Y-111028247D01* -X105028247Y-111094910D01* -X104975870Y-111173298D01* -X104939792Y-111260397D01* -X104921400Y-111352862D01* -X101436376Y-111352862D01* -X101424130Y-111323298D01* -X101371753Y-111244910D01* -X101305090Y-111178247D01* -X101226702Y-111125870D01* -X101139603Y-111089792D01* -X101047138Y-111071400D01* -X100952862Y-111071400D01* -X100860397Y-111089792D01* -X100773298Y-111125870D01* -X100694910Y-111178247D01* -X100628247Y-111244910D01* -X100575870Y-111323298D01* -X100539792Y-111410397D01* -X100521400Y-111502862D01* -X99744692Y-111502862D01* -X99810591Y-111436963D01* -X99868440Y-111350386D01* -X99908287Y-111254187D01* -X99928600Y-111152063D01* -X99928600Y-111047937D01* -X99908287Y-110945813D01* -X99868440Y-110849614D01* -X99810591Y-110763037D01* -X99736963Y-110689409D01* -X99650386Y-110631560D01* -X99554187Y-110591713D01* -X99452063Y-110571400D01* -X99347937Y-110571400D01* -X99245813Y-110591713D01* -X99149614Y-110631560D01* -X99063037Y-110689409D01* -X98989409Y-110763037D01* -X98931560Y-110849614D01* -X98891713Y-110945813D01* -X98871400Y-111047937D01* -X92928600Y-111047937D01* -X92908287Y-110945813D01* -X92868440Y-110849614D01* -X92810591Y-110763037D01* -X92736963Y-110689409D01* -X92650386Y-110631560D01* -X92554187Y-110591713D01* -X92452063Y-110571400D01* -X92347937Y-110571400D01* -X92245813Y-110591713D01* -X92149614Y-110631560D01* -X92063037Y-110689409D01* -X91989409Y-110763037D01* -X91931560Y-110849614D01* -X91891713Y-110945813D01* -X91871400Y-111047937D01* -X72315902Y-111047937D01* -X72355090Y-111021753D01* -X72421753Y-110955090D01* -X72474130Y-110876702D01* -X72510208Y-110789603D01* -X72528600Y-110697138D01* -X72528600Y-110602862D01* -X72510208Y-110510397D01* -X72474130Y-110423298D01* -X72421753Y-110344910D01* -X72355090Y-110278247D01* -X72276702Y-110225870D01* -X72189603Y-110189792D01* -X72097138Y-110171400D01* -X72002862Y-110171400D01* -X71910397Y-110189792D01* -X71823298Y-110225870D01* -X71744910Y-110278247D01* -X71678247Y-110344910D01* -X71625870Y-110423298D01* -X71589792Y-110510397D01* -X71571400Y-110602862D01* -X69117516Y-110602862D01* -X69128600Y-110547138D01* -X69128600Y-110452862D01* -X69110208Y-110360397D01* -X69074130Y-110273298D01* -X69021753Y-110194910D01* -X68955090Y-110128247D01* -X68876702Y-110075870D01* -X68789603Y-110039792D01* -X68697138Y-110021400D01* -X68602862Y-110021400D01* -X68510397Y-110039792D01* -X68423298Y-110075870D01* -X68344910Y-110128247D01* -X68278247Y-110194910D01* -X68225870Y-110273298D01* -X68189792Y-110360397D01* -X68171400Y-110452862D01* -X67058531Y-110452862D01* -X67105090Y-110421753D01* -X67171753Y-110355090D01* -X67224130Y-110276702D01* -X67260208Y-110189603D01* -X67278600Y-110097138D01* -X67278600Y-110002862D01* -X67260208Y-109910397D01* -X67224130Y-109823298D01* -X67177067Y-109752862D01* -X85921400Y-109752862D01* -X85921400Y-109847138D01* -X85939792Y-109939603D01* -X85975870Y-110026702D01* -X86028247Y-110105090D01* -X86094910Y-110171753D01* -X86173298Y-110224130D01* -X86260397Y-110260208D01* -X86352862Y-110278600D01* -X86447138Y-110278600D01* -X86539603Y-110260208D01* -X86626702Y-110224130D01* -X86705090Y-110171753D01* -X86723981Y-110152862D01* -X90721400Y-110152862D01* -X90721400Y-110247138D01* -X90739792Y-110339603D01* -X90775870Y-110426702D01* -X90828247Y-110505090D01* -X90894910Y-110571753D01* -X90973298Y-110624130D01* -X91060397Y-110660208D01* -X91152862Y-110678600D01* -X91247138Y-110678600D01* -X91339603Y-110660208D01* -X91426702Y-110624130D01* -X91505090Y-110571753D01* -X91571753Y-110505090D01* -X91624130Y-110426702D01* -X91660208Y-110339603D01* -X91678600Y-110247138D01* -X91678600Y-110152862D01* -X97721400Y-110152862D01* -X97721400Y-110247138D01* -X97739792Y-110339603D01* -X97775870Y-110426702D01* -X97828247Y-110505090D01* -X97894910Y-110571753D01* -X97973298Y-110624130D01* -X98060397Y-110660208D01* -X98152862Y-110678600D01* -X98247138Y-110678600D01* -X98339603Y-110660208D01* -X98426702Y-110624130D01* -X98505090Y-110571753D01* -X98571753Y-110505090D01* -X98624130Y-110426702D01* -X98660208Y-110339603D01* -X98678600Y-110247138D01* -X98678600Y-110152862D01* -X98660208Y-110060397D01* -X98657087Y-110052862D01* -X104771400Y-110052862D01* -X104771400Y-110147138D01* -X104789792Y-110239603D01* -X104825870Y-110326702D01* -X104878247Y-110405090D01* -X104944910Y-110471753D01* -X105023298Y-110524130D01* -X105110397Y-110560208D01* -X105202862Y-110578600D01* -X105297138Y-110578600D01* -X105389603Y-110560208D01* -X105476702Y-110524130D01* -X105555090Y-110471753D01* -X105621753Y-110405090D01* -X105674130Y-110326702D01* -X105710208Y-110239603D01* -X105728600Y-110147138D01* -X105728600Y-110102862D01* -X109221400Y-110102862D01* -X109221400Y-110197138D01* -X109239792Y-110289603D01* -X109275870Y-110376702D01* -X109328247Y-110455090D01* -X109394910Y-110521753D01* -X109473298Y-110574130D01* -X109560397Y-110610208D01* -X109652862Y-110628600D01* -X109747138Y-110628600D01* -X109839603Y-110610208D01* -X109926702Y-110574130D01* -X110005090Y-110521753D01* -X110071753Y-110455090D01* -X110124130Y-110376702D01* -X110160208Y-110289603D01* -X110178600Y-110197138D01* -X110178600Y-110102862D01* -X114271400Y-110102862D01* -X114271400Y-110197138D01* -X114289792Y-110289603D01* -X114325870Y-110376702D01* -X114378247Y-110455090D01* -X114444910Y-110521753D01* -X114523298Y-110574130D01* -X114610397Y-110610208D01* -X114702862Y-110628600D01* -X114797138Y-110628600D01* -X114889603Y-110610208D01* -X114907337Y-110602862D01* -X118171400Y-110602862D01* -X118171400Y-110697138D01* -X118189792Y-110789603D01* -X118225870Y-110876702D01* -X118278247Y-110955090D01* -X118344910Y-111021753D01* -X118423298Y-111074130D01* -X118510397Y-111110208D01* -X118602862Y-111128600D01* -X118697138Y-111128600D01* -X118789603Y-111110208D01* -X118808288Y-111102468D01* -X122067400Y-111102468D01* -X122067400Y-111197532D01* -X122085946Y-111290769D01* -X122122326Y-111378597D01* -X122175140Y-111457640D01* -X122242360Y-111524860D01* -X122321403Y-111577674D01* -X122409231Y-111614054D01* -X122502468Y-111632600D01* -X122597532Y-111632600D01* -X122690769Y-111614054D01* -X122778597Y-111577674D01* -X122857640Y-111524860D01* -X122924860Y-111457640D01* -X122977674Y-111378597D01* -X123014054Y-111290769D01* -X123032600Y-111197532D01* -X123032600Y-111102468D01* -X123014054Y-111009231D01* -X122977674Y-110921403D01* -X122924860Y-110842360D01* -X122857640Y-110775140D01* -X122778597Y-110722326D01* -X122690769Y-110685946D01* -X122597532Y-110667400D01* -X122502468Y-110667400D01* -X122409231Y-110685946D01* -X122321403Y-110722326D01* -X122242360Y-110775140D01* -X122175140Y-110842360D01* -X122122326Y-110921403D01* -X122085946Y-111009231D01* -X122067400Y-111102468D01* -X118808288Y-111102468D01* -X118876702Y-111074130D01* -X118955090Y-111021753D01* -X119021753Y-110955090D01* -X119074130Y-110876702D01* -X119110208Y-110789603D01* -X119128600Y-110697138D01* -X119128600Y-110602862D01* -X119110208Y-110510397D01* -X119074130Y-110423298D01* -X119021753Y-110344910D01* -X118955090Y-110278247D01* -X118876702Y-110225870D01* -X118789603Y-110189792D01* -X118697138Y-110171400D01* -X118602862Y-110171400D01* -X118510397Y-110189792D01* -X118423298Y-110225870D01* -X118344910Y-110278247D01* -X118278247Y-110344910D01* -X118225870Y-110423298D01* -X118189792Y-110510397D01* -X118171400Y-110602862D01* -X114907337Y-110602862D01* -X114976702Y-110574130D01* -X115055090Y-110521753D01* -X115121753Y-110455090D01* -X115174130Y-110376702D01* -X115210208Y-110289603D01* -X115228600Y-110197138D01* -X115228600Y-110102862D01* -X122071400Y-110102862D01* -X122071400Y-110197138D01* -X122089792Y-110289603D01* -X122125870Y-110376702D01* -X122178247Y-110455090D01* -X122244910Y-110521753D01* -X122323298Y-110574130D01* -X122410397Y-110610208D01* -X122502862Y-110628600D01* -X122597138Y-110628600D01* -X122689603Y-110610208D01* -X122776702Y-110574130D01* -X122855090Y-110521753D01* -X122921753Y-110455090D01* -X122974130Y-110376702D01* -X123010208Y-110289603D01* -X123028600Y-110197138D01* -X123028600Y-110102862D01* -X123010208Y-110010397D01* -X122974130Y-109923298D01* -X122921753Y-109844910D01* -X122855090Y-109778247D01* -X122776702Y-109725870D01* -X122689603Y-109689792D01* -X122597138Y-109671400D01* -X122502862Y-109671400D01* -X122410397Y-109689792D01* -X122323298Y-109725870D01* -X122244910Y-109778247D01* -X122178247Y-109844910D01* -X122125870Y-109923298D01* -X122089792Y-110010397D01* -X122071400Y-110102862D01* -X115228600Y-110102862D01* -X115210208Y-110010397D01* -X115174130Y-109923298D01* -X115121753Y-109844910D01* -X115055090Y-109778247D01* -X114976702Y-109725870D01* -X114889603Y-109689792D01* -X114797138Y-109671400D01* -X114702862Y-109671400D01* -X114610397Y-109689792D01* -X114523298Y-109725870D01* -X114444910Y-109778247D01* -X114378247Y-109844910D01* -X114325870Y-109923298D01* -X114289792Y-110010397D01* -X114271400Y-110102862D01* -X110178600Y-110102862D01* -X110160208Y-110010397D01* -X110124130Y-109923298D01* -X110071753Y-109844910D01* -X110005090Y-109778247D01* -X109926702Y-109725870D01* -X109839603Y-109689792D01* -X109747138Y-109671400D01* -X109652862Y-109671400D01* -X109560397Y-109689792D01* -X109473298Y-109725870D01* -X109394910Y-109778247D01* -X109328247Y-109844910D01* -X109275870Y-109923298D01* -X109239792Y-110010397D01* -X109221400Y-110102862D01* -X105728600Y-110102862D01* -X105728600Y-110052862D01* -X105710208Y-109960397D01* -X105674130Y-109873298D01* -X105621753Y-109794910D01* -X105555090Y-109728247D01* -X105476702Y-109675870D01* -X105389603Y-109639792D01* -X105297138Y-109621400D01* -X105202862Y-109621400D01* -X105110397Y-109639792D01* -X105023298Y-109675870D01* -X104944910Y-109728247D01* -X104878247Y-109794910D01* -X104825870Y-109873298D01* -X104789792Y-109960397D01* -X104771400Y-110052862D01* -X98657087Y-110052862D01* -X98624130Y-109973298D01* -X98571753Y-109894910D01* -X98505090Y-109828247D01* -X98426702Y-109775870D01* -X98339603Y-109739792D01* -X98247138Y-109721400D01* -X98152862Y-109721400D01* -X98060397Y-109739792D01* -X97973298Y-109775870D01* -X97894910Y-109828247D01* -X97828247Y-109894910D01* -X97775870Y-109973298D01* -X97739792Y-110060397D01* -X97721400Y-110152862D01* -X91678600Y-110152862D01* -X91660208Y-110060397D01* -X91624130Y-109973298D01* -X91571753Y-109894910D01* -X91505090Y-109828247D01* -X91426702Y-109775870D01* -X91339603Y-109739792D01* -X91247138Y-109721400D01* -X91152862Y-109721400D01* -X91060397Y-109739792D01* -X90973298Y-109775870D01* -X90894910Y-109828247D01* -X90828247Y-109894910D01* -X90775870Y-109973298D01* -X90739792Y-110060397D01* -X90721400Y-110152862D01* -X86723981Y-110152862D01* -X86771753Y-110105090D01* -X86824130Y-110026702D01* -X86860208Y-109939603D01* -X86878600Y-109847138D01* -X86878600Y-109752862D01* -X86860208Y-109660397D01* -X86824130Y-109573298D01* -X86771753Y-109494910D01* -X86705090Y-109428247D01* -X86626702Y-109375870D01* -X86571157Y-109352862D01* -X101771400Y-109352862D01* -X101771400Y-109447138D01* -X101789792Y-109539603D01* -X101825870Y-109626702D01* -X101878247Y-109705090D01* -X101944910Y-109771753D01* -X102023298Y-109824130D01* -X102110397Y-109860208D01* -X102202862Y-109878600D01* -X102297138Y-109878600D01* -X102389603Y-109860208D01* -X102476702Y-109824130D01* -X102555090Y-109771753D01* -X102621753Y-109705090D01* -X102674130Y-109626702D01* -X102710208Y-109539603D01* -X102728600Y-109447138D01* -X102728600Y-109352862D01* -X102710208Y-109260397D01* -X102686376Y-109202862D01* -X108471400Y-109202862D01* -X108471400Y-109297138D01* -X108489792Y-109389603D01* -X108525870Y-109476702D01* -X108578247Y-109555090D01* -X108644910Y-109621753D01* -X108723298Y-109674130D01* -X108810397Y-109710208D01* -X108902862Y-109728600D01* -X108997138Y-109728600D01* -X109089603Y-109710208D01* -X109176702Y-109674130D01* -X109255090Y-109621753D01* -X109321753Y-109555090D01* -X109374130Y-109476702D01* -X109404715Y-109402862D01* -X118171400Y-109402862D01* -X118171400Y-109497138D01* -X118189792Y-109589603D01* -X118225870Y-109676702D01* -X118278247Y-109755090D01* -X118344910Y-109821753D01* -X118423298Y-109874130D01* -X118510397Y-109910208D01* -X118602862Y-109928600D01* -X118697138Y-109928600D01* -X118789603Y-109910208D01* -X118876702Y-109874130D01* -X118955090Y-109821753D01* -X119021753Y-109755090D01* -X119074130Y-109676702D01* -X119110208Y-109589603D01* -X119128600Y-109497138D01* -X119128600Y-109402862D01* -X122771400Y-109402862D01* -X122771400Y-109497138D01* -X122789792Y-109589603D01* -X122825870Y-109676702D01* -X122878247Y-109755090D01* -X122944910Y-109821753D01* -X123023298Y-109874130D01* -X123110397Y-109910208D01* -X123202862Y-109928600D01* -X123297138Y-109928600D01* -X123389603Y-109910208D01* -X123476702Y-109874130D01* -X123555090Y-109821753D01* -X123621753Y-109755090D01* -X123674130Y-109676702D01* -X123710208Y-109589603D01* -X123728600Y-109497138D01* -X123728600Y-109439960D01* -X125840400Y-109439960D01* -X125840400Y-109560040D01* -X125863826Y-109677814D01* -X125909779Y-109788754D01* -X125976492Y-109888598D01* -X126061402Y-109973508D01* -X126161246Y-110040221D01* -X126272186Y-110086174D01* -X126389960Y-110109600D01* -X126510040Y-110109600D01* -X126627814Y-110086174D01* -X126738754Y-110040221D01* -X126838598Y-109973508D01* -X126923508Y-109888598D01* -X126990221Y-109788754D01* -X127036174Y-109677814D01* -X127059600Y-109560040D01* -X127059600Y-109439960D01* -X127036174Y-109322186D01* -X126990221Y-109211246D01* -X126923508Y-109111402D01* -X126838598Y-109026492D01* -X126738754Y-108959779D01* -X126627814Y-108913826D01* -X126510040Y-108890400D01* -X126389960Y-108890400D01* -X126272186Y-108913826D01* -X126161246Y-108959779D01* -X126061402Y-109026492D01* -X125976492Y-109111402D01* -X125909779Y-109211246D01* -X125863826Y-109322186D01* -X125840400Y-109439960D01* -X123728600Y-109439960D01* -X123728600Y-109402862D01* -X123710208Y-109310397D01* -X123674130Y-109223298D01* -X123621753Y-109144910D01* -X123555090Y-109078247D01* -X123476702Y-109025870D01* -X123389603Y-108989792D01* -X123297138Y-108971400D01* -X123202862Y-108971400D01* -X123110397Y-108989792D01* -X123023298Y-109025870D01* -X122944910Y-109078247D01* -X122878247Y-109144910D01* -X122825870Y-109223298D01* -X122789792Y-109310397D01* -X122771400Y-109402862D01* -X119128600Y-109402862D01* -X119110208Y-109310397D01* -X119074130Y-109223298D01* -X119021753Y-109144910D01* -X118955090Y-109078247D01* -X118876702Y-109025870D01* -X118789603Y-108989792D01* -X118697138Y-108971400D01* -X118602862Y-108971400D01* -X118510397Y-108989792D01* -X118423298Y-109025870D01* -X118344910Y-109078247D01* -X118278247Y-109144910D01* -X118225870Y-109223298D01* -X118189792Y-109310397D01* -X118171400Y-109402862D01* -X109404715Y-109402862D01* -X109410208Y-109389603D01* -X109428600Y-109297138D01* -X109428600Y-109202862D01* -X109410208Y-109110397D01* -X109374130Y-109023298D01* -X109321753Y-108944910D01* -X109255090Y-108878247D01* -X109176702Y-108825870D01* -X109089603Y-108789792D01* -X108997138Y-108771400D01* -X108902862Y-108771400D01* -X108810397Y-108789792D01* -X108723298Y-108825870D01* -X108644910Y-108878247D01* -X108578247Y-108944910D01* -X108525870Y-109023298D01* -X108489792Y-109110397D01* -X108471400Y-109202862D01* -X102686376Y-109202862D01* -X102674130Y-109173298D01* -X102621753Y-109094910D01* -X102555090Y-109028247D01* -X102476702Y-108975870D01* -X102389603Y-108939792D01* -X102297138Y-108921400D01* -X102202862Y-108921400D01* -X102110397Y-108939792D01* -X102023298Y-108975870D01* -X101944910Y-109028247D01* -X101878247Y-109094910D01* -X101825870Y-109173298D01* -X101789792Y-109260397D01* -X101771400Y-109352862D01* -X86571157Y-109352862D01* -X86539603Y-109339792D01* -X86447138Y-109321400D01* -X86352862Y-109321400D01* -X86260397Y-109339792D01* -X86173298Y-109375870D01* -X86094910Y-109428247D01* -X86028247Y-109494910D01* -X85975870Y-109573298D01* -X85939792Y-109660397D01* -X85921400Y-109752862D01* -X67177067Y-109752862D01* -X67171753Y-109744910D01* -X67105090Y-109678247D01* -X67026702Y-109625870D01* -X66939603Y-109589792D01* -X66847138Y-109571400D01* -X66752862Y-109571400D01* -X66660397Y-109589792D01* -X66573298Y-109625870D01* -X66494910Y-109678247D01* -X66428247Y-109744910D01* -X66375870Y-109823298D01* -X66339792Y-109910397D01* -X66321400Y-110002862D01* -X57878600Y-110002862D01* -X57860208Y-109910397D01* -X57824130Y-109823298D01* -X57771753Y-109744910D01* -X57705090Y-109678247D01* -X57626702Y-109625870D01* -X57539603Y-109589792D01* -X57447138Y-109571400D01* -X57352862Y-109571400D01* -X57260397Y-109589792D01* -X57173298Y-109625870D01* -X57094910Y-109678247D01* -X57028247Y-109744910D01* -X56975870Y-109823298D01* -X56939792Y-109910397D01* -X56921400Y-110002862D01* -X56658531Y-110002862D01* -X56705090Y-109971753D01* -X56771753Y-109905090D01* -X56824130Y-109826702D01* -X56860208Y-109739603D01* -X56878600Y-109647138D01* -X56878600Y-109552862D01* -X56860208Y-109460397D01* -X56824130Y-109373298D01* -X56771753Y-109294910D01* -X56705090Y-109228247D01* -X56626702Y-109175870D01* -X56539603Y-109139792D01* -X56447138Y-109121400D01* -X56352862Y-109121400D01* -X56260397Y-109139792D01* -X56173298Y-109175870D01* -X56094910Y-109228247D01* -X56028247Y-109294910D01* -X55975870Y-109373298D01* -X55939792Y-109460397D01* -X55921400Y-109552862D01* -X51913402Y-109552862D01* -X51895208Y-109461397D01* -X51859130Y-109374298D01* -X51806753Y-109295910D01* -X51740090Y-109229247D01* -X51661702Y-109176870D01* -X51574603Y-109140792D01* -X51482138Y-109122400D01* -X51387862Y-109122400D01* -X51295397Y-109140792D01* -X51208298Y-109176870D01* -X51129910Y-109229247D01* -X51063247Y-109295910D01* -X51010870Y-109374298D01* -X50974792Y-109461397D01* -X50956400Y-109553862D01* -X46833600Y-109553862D01* -X46815208Y-109461397D01* -X46779130Y-109374298D01* -X46726753Y-109295910D01* -X46660090Y-109229247D01* -X46581702Y-109176870D01* -X46494603Y-109140792D01* -X46402138Y-109122400D01* -X46307862Y-109122400D01* -X46215397Y-109140792D01* -X46177200Y-109156614D01* -X46177200Y-108096428D01* -X60502800Y-108096428D01* -X60502800Y-108311572D01* -X60544772Y-108522583D01* -X60627105Y-108721351D01* -X60746633Y-108900237D01* -X60898763Y-109052367D01* -X61077649Y-109171895D01* -X61276417Y-109254228D01* -X61487428Y-109296200D01* -X61702572Y-109296200D01* -X61913583Y-109254228D01* -X62112351Y-109171895D01* -X62291237Y-109052367D01* -X62443367Y-108900237D01* -X62562895Y-108721351D01* -X62645228Y-108522583D01* -X62687200Y-108311572D01* -X62687200Y-108096428D01* -X62645228Y-107885417D01* -X62562895Y-107686649D01* -X62443367Y-107507763D01* -X62291237Y-107355633D01* -X62268440Y-107340400D01* -X63041694Y-107340400D01* -X63041694Y-109067600D01* -X63046108Y-109112413D01* -X63059179Y-109155505D01* -X63080406Y-109195218D01* -X63108973Y-109230027D01* -X63143782Y-109258594D01* -X63183495Y-109279821D01* -X63226587Y-109292892D01* -X63271400Y-109297306D01* -X64998600Y-109297306D01* -X65043413Y-109292892D01* -X65086505Y-109279821D01* -X65126218Y-109258594D01* -X65161027Y-109230027D01* -X65189594Y-109195218D01* -X65210821Y-109155505D01* -X65223892Y-109112413D01* -X65228306Y-109067600D01* -X65228306Y-109052862D01* -X68171400Y-109052862D01* -X68171400Y-109147138D01* -X68189792Y-109239603D01* -X68225870Y-109326702D01* -X68278247Y-109405090D01* -X68344910Y-109471753D01* -X68423298Y-109524130D01* -X68510397Y-109560208D01* -X68602862Y-109578600D01* -X68697138Y-109578600D01* -X68789603Y-109560208D01* -X68876702Y-109524130D01* -X68955090Y-109471753D01* -X69021753Y-109405090D01* -X69074130Y-109326702D01* -X69110208Y-109239603D01* -X69127461Y-109152862D01* -X81721400Y-109152862D01* -X81721400Y-109247138D01* -X81739792Y-109339603D01* -X81775870Y-109426702D01* -X81828247Y-109505090D01* -X81894910Y-109571753D01* -X81973298Y-109624130D01* -X82060397Y-109660208D01* -X82152862Y-109678600D01* -X82247138Y-109678600D01* -X82339603Y-109660208D01* -X82426702Y-109624130D01* -X82505090Y-109571753D01* -X82571753Y-109505090D01* -X82624130Y-109426702D01* -X82660208Y-109339603D01* -X82678600Y-109247138D01* -X82678600Y-109152862D01* -X82721400Y-109152862D01* -X82721400Y-109247138D01* -X82739792Y-109339603D01* -X82775870Y-109426702D01* -X82828247Y-109505090D01* -X82894910Y-109571753D01* -X82973298Y-109624130D01* -X83060397Y-109660208D01* -X83152862Y-109678600D01* -X83247138Y-109678600D01* -X83339603Y-109660208D01* -X83426702Y-109624130D01* -X83505090Y-109571753D01* -X83571753Y-109505090D01* -X83624130Y-109426702D01* -X83660208Y-109339603D01* -X83678600Y-109247138D01* -X83678600Y-109152862D01* -X83660208Y-109060397D01* -X83624130Y-108973298D01* -X83571753Y-108894910D01* -X83505090Y-108828247D01* -X83426702Y-108775870D01* -X83339603Y-108739792D01* -X83247138Y-108721400D01* -X83152862Y-108721400D01* -X83060397Y-108739792D01* -X82973298Y-108775870D01* -X82894910Y-108828247D01* -X82828247Y-108894910D01* -X82775870Y-108973298D01* -X82739792Y-109060397D01* -X82721400Y-109152862D01* -X82678600Y-109152862D01* -X82660208Y-109060397D01* -X82624130Y-108973298D01* -X82571753Y-108894910D01* -X82505090Y-108828247D01* -X82426702Y-108775870D01* -X82339603Y-108739792D01* -X82247138Y-108721400D01* -X82152862Y-108721400D01* -X82060397Y-108739792D01* -X81973298Y-108775870D01* -X81894910Y-108828247D01* -X81828247Y-108894910D01* -X81775870Y-108973298D01* -X81739792Y-109060397D01* -X81721400Y-109152862D01* -X69127461Y-109152862D01* -X69128600Y-109147138D01* -X69128600Y-109052862D01* -X69110208Y-108960397D01* -X69074130Y-108873298D01* -X69021753Y-108794910D01* -X68955090Y-108728247D01* -X68876702Y-108675870D01* -X68789603Y-108639792D01* -X68697138Y-108621400D01* -X68602862Y-108621400D01* -X68510397Y-108639792D01* -X68423298Y-108675870D01* -X68344910Y-108728247D01* -X68278247Y-108794910D01* -X68225870Y-108873298D01* -X68189792Y-108960397D01* -X68171400Y-109052862D01* -X65228306Y-109052862D01* -X65228306Y-108602862D01* -X79321400Y-108602862D01* -X79321400Y-108697138D01* -X79339792Y-108789603D01* -X79375870Y-108876702D01* -X79428247Y-108955090D01* -X79494910Y-109021753D01* -X79573298Y-109074130D01* -X79660397Y-109110208D01* -X79752862Y-109128600D01* -X79847138Y-109128600D01* -X79939603Y-109110208D01* -X80026702Y-109074130D01* -X80105090Y-109021753D01* -X80171753Y-108955090D01* -X80224130Y-108876702D01* -X80260208Y-108789603D01* -X80278600Y-108697138D01* -X80278600Y-108602862D01* -X80260208Y-108510397D01* -X80224130Y-108423298D01* -X80171753Y-108344910D01* -X80105090Y-108278247D01* -X80067099Y-108252862D01* -X82221400Y-108252862D01* -X82221400Y-108347138D01* -X82239792Y-108439603D01* -X82275870Y-108526702D01* -X82328247Y-108605090D01* -X82394910Y-108671753D01* -X82473298Y-108724130D01* -X82560397Y-108760208D01* -X82652862Y-108778600D01* -X82747138Y-108778600D01* -X82839603Y-108760208D01* -X82926702Y-108724130D01* -X83005090Y-108671753D01* -X83071753Y-108605090D01* -X83124130Y-108526702D01* -X83160208Y-108439603D01* -X83177461Y-108352862D01* -X83671400Y-108352862D01* -X83671400Y-108447138D01* -X83689792Y-108539603D01* -X83725870Y-108626702D01* -X83778247Y-108705090D01* -X83844910Y-108771753D01* -X83923298Y-108824130D01* -X84010397Y-108860208D01* -X84102862Y-108878600D01* -X84197138Y-108878600D01* -X84289603Y-108860208D01* -X84376702Y-108824130D01* -X84455090Y-108771753D01* -X84521753Y-108705090D01* -X84574130Y-108626702D01* -X84610208Y-108539603D01* -X84617516Y-108502862D01* -X84971400Y-108502862D01* -X84971400Y-108597138D01* -X84989792Y-108689603D01* -X85025870Y-108776702D01* -X85078247Y-108855090D01* -X85144910Y-108921753D01* -X85223298Y-108974130D01* -X85310397Y-109010208D01* -X85402862Y-109028600D01* -X85497138Y-109028600D01* -X85589603Y-109010208D01* -X85676702Y-108974130D01* -X85755090Y-108921753D01* -X85821753Y-108855090D01* -X85874130Y-108776702D01* -X85910208Y-108689603D01* -X85928600Y-108597138D01* -X85928600Y-108502862D01* -X85910208Y-108410397D01* -X85874130Y-108323298D01* -X85821753Y-108244910D01* -X85755090Y-108178247D01* -X85676702Y-108125870D01* -X85589603Y-108089792D01* -X85497138Y-108071400D01* -X85402862Y-108071400D01* -X85310397Y-108089792D01* -X85223298Y-108125870D01* -X85144910Y-108178247D01* -X85078247Y-108244910D01* -X85025870Y-108323298D01* -X84989792Y-108410397D01* -X84971400Y-108502862D01* -X84617516Y-108502862D01* -X84628600Y-108447138D01* -X84628600Y-108352862D01* -X84610208Y-108260397D01* -X84574130Y-108173298D01* -X84521753Y-108094910D01* -X84455090Y-108028247D01* -X84376702Y-107975870D01* -X84289603Y-107939792D01* -X84197138Y-107921400D01* -X84102862Y-107921400D01* -X84010397Y-107939792D01* -X83923298Y-107975870D01* -X83844910Y-108028247D01* -X83778247Y-108094910D01* -X83725870Y-108173298D01* -X83689792Y-108260397D01* -X83671400Y-108352862D01* -X83177461Y-108352862D01* -X83178600Y-108347138D01* -X83178600Y-108252862D01* -X83160208Y-108160397D01* -X83124130Y-108073298D01* -X83071753Y-107994910D01* -X83005090Y-107928247D01* -X82926702Y-107875870D01* -X82839603Y-107839792D01* -X82747138Y-107821400D01* -X82652862Y-107821400D01* -X82560397Y-107839792D01* -X82473298Y-107875870D01* -X82394910Y-107928247D01* -X82328247Y-107994910D01* -X82275870Y-108073298D01* -X82239792Y-108160397D01* -X82221400Y-108252862D01* -X80067099Y-108252862D01* -X80026702Y-108225870D01* -X79939603Y-108189792D01* -X79847138Y-108171400D01* -X79752862Y-108171400D01* -X79660397Y-108189792D01* -X79573298Y-108225870D01* -X79494910Y-108278247D01* -X79428247Y-108344910D01* -X79375870Y-108423298D01* -X79339792Y-108510397D01* -X79321400Y-108602862D01* -X65228306Y-108602862D01* -X65228306Y-107648862D01* -X65307400Y-107648862D01* -X65307400Y-107743138D01* -X65325792Y-107835603D01* -X65361870Y-107922702D01* -X65414247Y-108001090D01* -X65480910Y-108067753D01* -X65559298Y-108120130D01* -X65646397Y-108156208D01* -X65738862Y-108174600D01* -X65833138Y-108174600D01* -X65925603Y-108156208D01* -X66012702Y-108120130D01* -X66091090Y-108067753D01* -X66157753Y-108001090D01* -X66210130Y-107922702D01* -X66246208Y-107835603D01* -X66264600Y-107743138D01* -X66264600Y-107648862D01* -X66246208Y-107556397D01* -X66210130Y-107469298D01* -X66157753Y-107390910D01* -X66091090Y-107324247D01* -X66012702Y-107271870D01* -X65925603Y-107235792D01* -X65833138Y-107217400D01* -X65738862Y-107217400D01* -X65646397Y-107235792D01* -X65559298Y-107271870D01* -X65480910Y-107324247D01* -X65414247Y-107390910D01* -X65361870Y-107469298D01* -X65325792Y-107556397D01* -X65307400Y-107648862D01* -X65228306Y-107648862D01* -X65228306Y-107340400D01* -X65223892Y-107295587D01* -X65210821Y-107252495D01* -X65189594Y-107212782D01* -X65181453Y-107202862D01* -X77521400Y-107202862D01* -X77521400Y-107297138D01* -X77539792Y-107389603D01* -X77575870Y-107476702D01* -X77628247Y-107555090D01* -X77694910Y-107621753D01* -X77773298Y-107674130D01* -X77860397Y-107710208D01* -X77952862Y-107728600D01* -X78047138Y-107728600D01* -X78139603Y-107710208D01* -X78226702Y-107674130D01* -X78305090Y-107621753D01* -X78323981Y-107602862D01* -X79571400Y-107602862D01* -X79571400Y-107697138D01* -X79589792Y-107789603D01* -X79625870Y-107876702D01* -X79678247Y-107955090D01* -X79744910Y-108021753D01* -X79823298Y-108074130D01* -X79910397Y-108110208D01* -X80002862Y-108128600D01* -X80097138Y-108128600D01* -X80189603Y-108110208D01* -X80276702Y-108074130D01* -X80355090Y-108021753D01* -X80421753Y-107955090D01* -X80474130Y-107876702D01* -X80510208Y-107789603D01* -X80528600Y-107697138D01* -X80528600Y-107602862D01* -X80510208Y-107510397D01* -X80474130Y-107423298D01* -X80427067Y-107352862D01* -X83871400Y-107352862D01* -X83871400Y-107447138D01* -X83889792Y-107539603D01* -X83925870Y-107626702D01* -X83978247Y-107705090D01* -X84044910Y-107771753D01* -X84123298Y-107824130D01* -X84210397Y-107860208D01* -X84302862Y-107878600D01* -X84397138Y-107878600D01* -X84489603Y-107860208D01* -X84507337Y-107852862D01* -X90671400Y-107852862D01* -X90671400Y-107947138D01* -X90689792Y-108039603D01* -X90725870Y-108126702D01* -X90778247Y-108205090D01* -X90844910Y-108271753D01* -X90923298Y-108324130D01* -X91010397Y-108360208D01* -X91102862Y-108378600D01* -X91197138Y-108378600D01* -X91289603Y-108360208D01* -X91376702Y-108324130D01* -X91455090Y-108271753D01* -X91521753Y-108205090D01* -X91574130Y-108126702D01* -X91610208Y-108039603D01* -X91628600Y-107947138D01* -X91628600Y-107852862D01* -X97671400Y-107852862D01* -X97671400Y-107947138D01* -X97689792Y-108039603D01* -X97725870Y-108126702D01* -X97778247Y-108205090D01* -X97844910Y-108271753D01* -X97923298Y-108324130D01* -X98010397Y-108360208D01* -X98102862Y-108378600D01* -X98197138Y-108378600D01* -X98289603Y-108360208D01* -X98376702Y-108324130D01* -X98455090Y-108271753D01* -X98521753Y-108205090D01* -X98574130Y-108126702D01* -X98610208Y-108039603D01* -X98612543Y-108027862D01* -X103521400Y-108027862D01* -X103521400Y-108122138D01* -X103539792Y-108214603D01* -X103575870Y-108301702D01* -X103628247Y-108380090D01* -X103694910Y-108446753D01* -X103773298Y-108499130D01* -X103860397Y-108535208D01* -X103952862Y-108553600D01* -X104047138Y-108553600D01* -X104139603Y-108535208D01* -X104226702Y-108499130D01* -X104305090Y-108446753D01* -X104348981Y-108402862D01* -X107621400Y-108402862D01* -X107621400Y-108497138D01* -X107639792Y-108589603D01* -X107675870Y-108676702D01* -X107728247Y-108755090D01* -X107794910Y-108821753D01* -X107873298Y-108874130D01* -X107960397Y-108910208D01* -X108052862Y-108928600D01* -X108147138Y-108928600D01* -X108239603Y-108910208D01* -X108326702Y-108874130D01* -X108405090Y-108821753D01* -X108471753Y-108755090D01* -X108506650Y-108702862D01* -X114271400Y-108702862D01* -X114271400Y-108797138D01* -X114289792Y-108889603D01* -X114325870Y-108976702D01* -X114378247Y-109055090D01* -X114444910Y-109121753D01* -X114523298Y-109174130D01* -X114610397Y-109210208D01* -X114702862Y-109228600D01* -X114797138Y-109228600D01* -X114889603Y-109210208D01* -X114976702Y-109174130D01* -X115055090Y-109121753D01* -X115121753Y-109055090D01* -X115174130Y-108976702D01* -X115210208Y-108889603D01* -X115228600Y-108797138D01* -X115228600Y-108702862D01* -X115210208Y-108610397D01* -X115174130Y-108523298D01* -X115121753Y-108444910D01* -X115055090Y-108378247D01* -X114976702Y-108325870D01* -X114889603Y-108289792D01* -X114797138Y-108271400D01* -X114702862Y-108271400D01* -X114610397Y-108289792D01* -X114523298Y-108325870D01* -X114444910Y-108378247D01* -X114378247Y-108444910D01* -X114325870Y-108523298D01* -X114289792Y-108610397D01* -X114271400Y-108702862D01* -X108506650Y-108702862D01* -X108524130Y-108676702D01* -X108560208Y-108589603D01* -X108578600Y-108497138D01* -X108578600Y-108402862D01* -X108560208Y-108310397D01* -X108524130Y-108223298D01* -X108471753Y-108144910D01* -X108405090Y-108078247D01* -X108326702Y-108025870D01* -X108239603Y-107989792D01* -X108147138Y-107971400D01* -X108052862Y-107971400D01* -X107960397Y-107989792D01* -X107873298Y-108025870D01* -X107794910Y-108078247D01* -X107728247Y-108144910D01* -X107675870Y-108223298D01* -X107639792Y-108310397D01* -X107621400Y-108402862D01* -X104348981Y-108402862D01* -X104371753Y-108380090D01* -X104424130Y-108301702D01* -X104460208Y-108214603D01* -X104478600Y-108122138D01* -X104478600Y-108027862D01* -X104460208Y-107935397D01* -X104424130Y-107848298D01* -X104371753Y-107769910D01* -X104305090Y-107703247D01* -X104226702Y-107650870D01* -X104139603Y-107614792D01* -X104079626Y-107602862D01* -X108471400Y-107602862D01* -X108471400Y-107697138D01* -X108489792Y-107789603D01* -X108525870Y-107876702D01* -X108578247Y-107955090D01* -X108644910Y-108021753D01* -X108723298Y-108074130D01* -X108810397Y-108110208D01* -X108902862Y-108128600D01* -X108997138Y-108128600D01* -X109089603Y-108110208D01* -X109176702Y-108074130D01* -X109255090Y-108021753D01* -X109321753Y-107955090D01* -X109356650Y-107902862D01* -X109471400Y-107902862D01* -X109471400Y-107997138D01* -X109489792Y-108089603D01* -X109525870Y-108176702D01* -X109578247Y-108255090D01* -X109644910Y-108321753D01* -X109723298Y-108374130D01* -X109810397Y-108410208D01* -X109902862Y-108428600D01* -X109997138Y-108428600D01* -X110089603Y-108410208D01* -X110176702Y-108374130D01* -X110255090Y-108321753D01* -X110321753Y-108255090D01* -X110374130Y-108176702D01* -X110410208Y-108089603D01* -X110428600Y-107997138D01* -X110428600Y-107902862D01* -X110410208Y-107810397D01* -X110374130Y-107723298D01* -X110360476Y-107702862D01* -X114271400Y-107702862D01* -X114271400Y-107797138D01* -X114289792Y-107889603D01* -X114325870Y-107976702D01* -X114378247Y-108055090D01* -X114444910Y-108121753D01* -X114523298Y-108174130D01* -X114610397Y-108210208D01* -X114702862Y-108228600D01* -X114797138Y-108228600D01* -X114889603Y-108210208D01* -X114907337Y-108202862D01* -X118171400Y-108202862D01* -X118171400Y-108297138D01* -X118189792Y-108389603D01* -X118225870Y-108476702D01* -X118278247Y-108555090D01* -X118344910Y-108621753D01* -X118423298Y-108674130D01* -X118510397Y-108710208D01* -X118602862Y-108728600D01* -X118697138Y-108728600D01* -X118789603Y-108710208D01* -X118808288Y-108702468D01* -X122067400Y-108702468D01* -X122067400Y-108797532D01* -X122085946Y-108890769D01* -X122122326Y-108978597D01* -X122175140Y-109057640D01* -X122242360Y-109124860D01* -X122321403Y-109177674D01* -X122409231Y-109214054D01* -X122502468Y-109232600D01* -X122597532Y-109232600D01* -X122690769Y-109214054D01* -X122778597Y-109177674D01* -X122857640Y-109124860D01* -X122924860Y-109057640D01* -X122977674Y-108978597D01* -X123014054Y-108890769D01* -X123032600Y-108797532D01* -X123032600Y-108702468D01* -X123014054Y-108609231D01* -X122977674Y-108521403D01* -X122924860Y-108442360D01* -X122857640Y-108375140D01* -X122778597Y-108322326D01* -X122690769Y-108285946D01* -X122597532Y-108267400D01* -X122502468Y-108267400D01* -X122409231Y-108285946D01* -X122321403Y-108322326D01* -X122242360Y-108375140D01* -X122175140Y-108442360D01* -X122122326Y-108521403D01* -X122085946Y-108609231D01* -X122067400Y-108702468D01* -X118808288Y-108702468D01* -X118876702Y-108674130D01* -X118955090Y-108621753D01* -X119021753Y-108555090D01* -X119074130Y-108476702D01* -X119110208Y-108389603D01* -X119128600Y-108297138D01* -X119128600Y-108238088D01* -X126821400Y-108238088D01* -X126821400Y-108361912D01* -X126845556Y-108483356D01* -X126892941Y-108597754D01* -X126961734Y-108700709D01* -X127049291Y-108788266D01* -X127152246Y-108857059D01* -X127266644Y-108904444D01* -X127388088Y-108928600D01* -X127511912Y-108928600D01* -X127633356Y-108904444D01* -X127747754Y-108857059D01* -X127850709Y-108788266D01* -X127938266Y-108700709D01* -X128007059Y-108597754D01* -X128054444Y-108483356D01* -X128078600Y-108361912D01* -X128078600Y-108238088D01* -X128054444Y-108116644D01* -X128007059Y-108002246D01* -X127938266Y-107899291D01* -X127850709Y-107811734D01* -X127747754Y-107742941D01* -X127633356Y-107695556D01* -X127511912Y-107671400D01* -X127388088Y-107671400D01* -X127266644Y-107695556D01* -X127152246Y-107742941D01* -X127049291Y-107811734D01* -X126961734Y-107899291D01* -X126892941Y-108002246D01* -X126845556Y-108116644D01* -X126821400Y-108238088D01* -X119128600Y-108238088D01* -X119128600Y-108202862D01* -X119110208Y-108110397D01* -X119074130Y-108023298D01* -X119021753Y-107944910D01* -X118955090Y-107878247D01* -X118876702Y-107825870D01* -X118789603Y-107789792D01* -X118697138Y-107771400D01* -X118602862Y-107771400D01* -X118510397Y-107789792D01* -X118423298Y-107825870D01* -X118344910Y-107878247D01* -X118278247Y-107944910D01* -X118225870Y-108023298D01* -X118189792Y-108110397D01* -X118171400Y-108202862D01* -X114907337Y-108202862D01* -X114976702Y-108174130D01* -X115055090Y-108121753D01* -X115121753Y-108055090D01* -X115174130Y-107976702D01* -X115210208Y-107889603D01* -X115228600Y-107797138D01* -X115228600Y-107702862D01* -X122071400Y-107702862D01* -X122071400Y-107797138D01* -X122089792Y-107889603D01* -X122125870Y-107976702D01* -X122178247Y-108055090D01* -X122244910Y-108121753D01* -X122323298Y-108174130D01* -X122410397Y-108210208D01* -X122502862Y-108228600D01* -X122597138Y-108228600D01* -X122689603Y-108210208D01* -X122776702Y-108174130D01* -X122855090Y-108121753D01* -X122921753Y-108055090D01* -X122974130Y-107976702D01* -X123010208Y-107889603D01* -X123028600Y-107797138D01* -X123028600Y-107702862D01* -X123010208Y-107610397D01* -X122974130Y-107523298D01* -X122921753Y-107444910D01* -X122855090Y-107378247D01* -X122776702Y-107325870D01* -X122689603Y-107289792D01* -X122597138Y-107271400D01* -X122502862Y-107271400D01* -X122410397Y-107289792D01* -X122323298Y-107325870D01* -X122244910Y-107378247D01* -X122178247Y-107444910D01* -X122125870Y-107523298D01* -X122089792Y-107610397D01* -X122071400Y-107702862D01* -X115228600Y-107702862D01* -X115210208Y-107610397D01* -X115174130Y-107523298D01* -X115121753Y-107444910D01* -X115055090Y-107378247D01* -X114976702Y-107325870D01* -X114889603Y-107289792D01* -X114797138Y-107271400D01* -X114702862Y-107271400D01* -X114610397Y-107289792D01* -X114523298Y-107325870D01* -X114444910Y-107378247D01* -X114378247Y-107444910D01* -X114325870Y-107523298D01* -X114289792Y-107610397D01* -X114271400Y-107702862D01* -X110360476Y-107702862D01* -X110321753Y-107644910D01* -X110255090Y-107578247D01* -X110176702Y-107525870D01* -X110089603Y-107489792D01* -X109997138Y-107471400D01* -X109902862Y-107471400D01* -X109810397Y-107489792D01* -X109723298Y-107525870D01* -X109644910Y-107578247D01* -X109578247Y-107644910D01* -X109525870Y-107723298D01* -X109489792Y-107810397D01* -X109471400Y-107902862D01* -X109356650Y-107902862D01* -X109374130Y-107876702D01* -X109410208Y-107789603D01* -X109428600Y-107697138D01* -X109428600Y-107602862D01* -X109410208Y-107510397D01* -X109374130Y-107423298D01* -X109321753Y-107344910D01* -X109255090Y-107278247D01* -X109176702Y-107225870D01* -X109089603Y-107189792D01* -X108997138Y-107171400D01* -X108902862Y-107171400D01* -X108810397Y-107189792D01* -X108723298Y-107225870D01* -X108644910Y-107278247D01* -X108578247Y-107344910D01* -X108525870Y-107423298D01* -X108489792Y-107510397D01* -X108471400Y-107602862D01* -X104079626Y-107602862D01* -X104047138Y-107596400D01* -X103952862Y-107596400D01* -X103860397Y-107614792D01* -X103773298Y-107650870D01* -X103694910Y-107703247D01* -X103628247Y-107769910D01* -X103575870Y-107848298D01* -X103539792Y-107935397D01* -X103521400Y-108027862D01* -X98612543Y-108027862D01* -X98628600Y-107947138D01* -X98628600Y-107852862D01* -X98610208Y-107760397D01* -X98574130Y-107673298D01* -X98521753Y-107594910D01* -X98455090Y-107528247D01* -X98376702Y-107475870D01* -X98289603Y-107439792D01* -X98197138Y-107421400D01* -X98102862Y-107421400D01* -X98010397Y-107439792D01* -X97923298Y-107475870D01* -X97844910Y-107528247D01* -X97778247Y-107594910D01* -X97725870Y-107673298D01* -X97689792Y-107760397D01* -X97671400Y-107852862D01* -X91628600Y-107852862D01* -X91610208Y-107760397D01* -X91574130Y-107673298D01* -X91521753Y-107594910D01* -X91455090Y-107528247D01* -X91376702Y-107475870D01* -X91289603Y-107439792D01* -X91197138Y-107421400D01* -X91102862Y-107421400D01* -X91010397Y-107439792D01* -X90923298Y-107475870D01* -X90844910Y-107528247D01* -X90778247Y-107594910D01* -X90725870Y-107673298D01* -X90689792Y-107760397D01* -X90671400Y-107852862D01* -X84507337Y-107852862D01* -X84576702Y-107824130D01* -X84655090Y-107771753D01* -X84721753Y-107705090D01* -X84774130Y-107626702D01* -X84810208Y-107539603D01* -X84828600Y-107447138D01* -X84828600Y-107352862D01* -X84810208Y-107260397D01* -X84774130Y-107173298D01* -X84721753Y-107094910D01* -X84655090Y-107028247D01* -X84576702Y-106975870D01* -X84489603Y-106939792D01* -X84397138Y-106921400D01* -X84302862Y-106921400D01* -X84210397Y-106939792D01* -X84123298Y-106975870D01* -X84044910Y-107028247D01* -X83978247Y-107094910D01* -X83925870Y-107173298D01* -X83889792Y-107260397D01* -X83871400Y-107352862D01* -X80427067Y-107352862D01* -X80421753Y-107344910D01* -X80355090Y-107278247D01* -X80276702Y-107225870D01* -X80189603Y-107189792D01* -X80097138Y-107171400D01* -X80002862Y-107171400D01* -X79910397Y-107189792D01* -X79823298Y-107225870D01* -X79744910Y-107278247D01* -X79678247Y-107344910D01* -X79625870Y-107423298D01* -X79589792Y-107510397D01* -X79571400Y-107602862D01* -X78323981Y-107602862D01* -X78371753Y-107555090D01* -X78424130Y-107476702D01* -X78460208Y-107389603D01* -X78478600Y-107297138D01* -X78478600Y-107202862D01* -X78460208Y-107110397D01* -X78424130Y-107023298D01* -X78371753Y-106944910D01* -X78305090Y-106878247D01* -X78267099Y-106852862D01* -X84771400Y-106852862D01* -X84771400Y-106947138D01* -X84789792Y-107039603D01* -X84825870Y-107126702D01* -X84878247Y-107205090D01* -X84944910Y-107271753D01* -X85023298Y-107324130D01* -X85110397Y-107360208D01* -X85202862Y-107378600D01* -X85297138Y-107378600D01* -X85389603Y-107360208D01* -X85476702Y-107324130D01* -X85555090Y-107271753D01* -X85621753Y-107205090D01* -X85674130Y-107126702D01* -X85710208Y-107039603D01* -X85728600Y-106947138D01* -X85728600Y-106852862D01* -X85710208Y-106760397D01* -X85674130Y-106673298D01* -X85621753Y-106594910D01* -X85555090Y-106528247D01* -X85476702Y-106475870D01* -X85389603Y-106439792D01* -X85297138Y-106421400D01* -X85202862Y-106421400D01* -X85110397Y-106439792D01* -X85023298Y-106475870D01* -X84944910Y-106528247D01* -X84878247Y-106594910D01* -X84825870Y-106673298D01* -X84789792Y-106760397D01* -X84771400Y-106852862D01* -X78267099Y-106852862D01* -X78226702Y-106825870D01* -X78139603Y-106789792D01* -X78047138Y-106771400D01* -X77952862Y-106771400D01* -X77860397Y-106789792D01* -X77773298Y-106825870D01* -X77694910Y-106878247D01* -X77628247Y-106944910D01* -X77575870Y-107023298D01* -X77539792Y-107110397D01* -X77521400Y-107202862D01* -X65181453Y-107202862D01* -X65161027Y-107177973D01* -X65126218Y-107149406D01* -X65086505Y-107128179D01* -X65043413Y-107115108D01* -X64998600Y-107110694D01* -X63271400Y-107110694D01* -X63226587Y-107115108D01* -X63183495Y-107128179D01* -X63143782Y-107149406D01* -X63108973Y-107177973D01* -X63080406Y-107212782D01* -X63059179Y-107252495D01* -X63046108Y-107295587D01* -X63041694Y-107340400D01* -X62268440Y-107340400D01* -X62112351Y-107236105D01* -X61913583Y-107153772D01* -X61702572Y-107111800D01* -X61487428Y-107111800D01* -X61276417Y-107153772D01* -X61077649Y-107236105D01* -X60898763Y-107355633D01* -X60746633Y-107507763D01* -X60627105Y-107686649D01* -X60544772Y-107885417D01* -X60502800Y-108096428D01* -X46177200Y-108096428D01* -X46177200Y-107013862D01* -X48416400Y-107013862D01* -X48416400Y-107108138D01* -X48434792Y-107200603D01* -X48470870Y-107287702D01* -X48523247Y-107366090D01* -X48589910Y-107432753D01* -X48668298Y-107485130D01* -X48755397Y-107521208D01* -X48847862Y-107539600D01* -X48942138Y-107539600D01* -X49034603Y-107521208D01* -X49121702Y-107485130D01* -X49200090Y-107432753D01* -X49266753Y-107366090D01* -X49319130Y-107287702D01* -X49355208Y-107200603D01* -X49373600Y-107108138D01* -X49373600Y-107013862D01* -X53496400Y-107013862D01* -X53496400Y-107108138D01* -X53514792Y-107200603D01* -X53550870Y-107287702D01* -X53603247Y-107366090D01* -X53669910Y-107432753D01* -X53748298Y-107485130D01* -X53835397Y-107521208D01* -X53927862Y-107539600D01* -X54022138Y-107539600D01* -X54114603Y-107521208D01* -X54201702Y-107485130D01* -X54280090Y-107432753D01* -X54346753Y-107366090D01* -X54399130Y-107287702D01* -X54435208Y-107200603D01* -X54453600Y-107108138D01* -X54453600Y-107013862D01* -X54435208Y-106921397D01* -X54399130Y-106834298D01* -X54378126Y-106802862D01* -X59721400Y-106802862D01* -X59721400Y-106897138D01* -X59739792Y-106989603D01* -X59775870Y-107076702D01* -X59828247Y-107155090D01* -X59894910Y-107221753D01* -X59973298Y-107274130D01* -X60060397Y-107310208D01* -X60152862Y-107328600D01* -X60247138Y-107328600D01* -X60339603Y-107310208D01* -X60426702Y-107274130D01* -X60505090Y-107221753D01* -X60571753Y-107155090D01* -X60624130Y-107076702D01* -X60660208Y-106989603D01* -X60678600Y-106897138D01* -X60678600Y-106802862D01* -X60660208Y-106710397D01* -X60624130Y-106623298D01* -X60571753Y-106544910D01* -X60505090Y-106478247D01* -X60426702Y-106425870D01* -X60339603Y-106389792D01* -X60247138Y-106371400D01* -X60152862Y-106371400D01* -X60060397Y-106389792D01* -X59973298Y-106425870D01* -X59894910Y-106478247D01* -X59828247Y-106544910D01* -X59775870Y-106623298D01* -X59739792Y-106710397D01* -X59721400Y-106802862D01* -X54378126Y-106802862D01* -X54346753Y-106755910D01* -X54280090Y-106689247D01* -X54201702Y-106636870D01* -X54114603Y-106600792D01* -X54022138Y-106582400D01* -X53927862Y-106582400D01* -X53835397Y-106600792D01* -X53748298Y-106636870D01* -X53669910Y-106689247D01* -X53603247Y-106755910D01* -X53550870Y-106834298D01* -X53514792Y-106921397D01* -X53496400Y-107013862D01* -X49373600Y-107013862D01* -X49355208Y-106921397D01* -X49319130Y-106834298D01* -X49266753Y-106755910D01* -X49200090Y-106689247D01* -X49121702Y-106636870D01* -X49034603Y-106600792D01* -X48942138Y-106582400D01* -X48847862Y-106582400D01* -X48755397Y-106600792D01* -X48668298Y-106636870D01* -X48589910Y-106689247D01* -X48523247Y-106755910D01* -X48470870Y-106834298D01* -X48434792Y-106921397D01* -X48416400Y-107013862D01* -X46177200Y-107013862D01* -X46177200Y-105907359D01* -X60530257Y-105907359D01* -X60574492Y-106053195D01* -X60670029Y-106244808D01* -X60801112Y-106414101D01* -X60962704Y-106554568D01* -X61148595Y-106660811D01* -X61351641Y-106728747D01* -X61521200Y-106696558D01* -X61521200Y-105737800D01* -X61668800Y-105737800D01* -X61668800Y-106696558D01* -X61838359Y-106728747D01* -X62041405Y-106660811D01* -X62227296Y-106554568D01* -X62388888Y-106414101D01* -X62519971Y-106244808D01* -X62615508Y-106053195D01* -X62659743Y-105907359D01* -X62627416Y-105737800D01* -X61668800Y-105737800D01* -X61521200Y-105737800D01* -X60562584Y-105737800D01* -X60530257Y-105907359D01* -X46177200Y-105907359D01* -X46177200Y-105420641D01* -X60530257Y-105420641D01* -X60562584Y-105590200D01* -X61521200Y-105590200D01* -X61521200Y-104631442D01* -X61668800Y-104631442D01* -X61668800Y-105590200D01* -X62627416Y-105590200D01* -X62633854Y-105556428D01* -X63042800Y-105556428D01* -X63042800Y-105771572D01* -X63084772Y-105982583D01* -X63167105Y-106181351D01* -X63286633Y-106360237D01* -X63438763Y-106512367D01* -X63617649Y-106631895D01* -X63816417Y-106714228D01* -X64027428Y-106756200D01* -X64242572Y-106756200D01* -X64453583Y-106714228D01* -X64652351Y-106631895D01* -X64831237Y-106512367D01* -X64983367Y-106360237D01* -X65055780Y-106251862D01* -X65307400Y-106251862D01* -X65307400Y-106346138D01* -X65325792Y-106438603D01* -X65361870Y-106525702D01* -X65414247Y-106604090D01* -X65480910Y-106670753D01* -X65559298Y-106723130D01* -X65646397Y-106759208D01* -X65738862Y-106777600D01* -X65833138Y-106777600D01* -X65925603Y-106759208D01* -X66012702Y-106723130D01* -X66091090Y-106670753D01* -X66157753Y-106604090D01* -X66210130Y-106525702D01* -X66246208Y-106438603D01* -X66264600Y-106346138D01* -X66264600Y-106251862D01* -X66246208Y-106159397D01* -X66210130Y-106072298D01* -X66157753Y-105993910D01* -X66091090Y-105927247D01* -X66054596Y-105902862D01* -X77721400Y-105902862D01* -X77721400Y-105997138D01* -X77739792Y-106089603D01* -X77775870Y-106176702D01* -X77828247Y-106255090D01* -X77894910Y-106321753D01* -X77973298Y-106374130D01* -X78060397Y-106410208D01* -X78152862Y-106428600D01* -X78247138Y-106428600D01* -X78339603Y-106410208D01* -X78426702Y-106374130D01* -X78505090Y-106321753D01* -X78571753Y-106255090D01* -X78624130Y-106176702D01* -X78660208Y-106089603D01* -X78678600Y-105997138D01* -X78678600Y-105902862D01* -X78668655Y-105852862D01* -X84771400Y-105852862D01* -X84771400Y-105947138D01* -X84789792Y-106039603D01* -X84825870Y-106126702D01* -X84878247Y-106205090D01* -X84944910Y-106271753D01* -X85023298Y-106324130D01* -X85110397Y-106360208D01* -X85202862Y-106378600D01* -X85297138Y-106378600D01* -X85389603Y-106360208D01* -X85407337Y-106352862D01* -X87321400Y-106352862D01* -X87321400Y-106447138D01* -X87339792Y-106539603D01* -X87375870Y-106626702D01* -X87428247Y-106705090D01* -X87494910Y-106771753D01* -X87573298Y-106824130D01* -X87660397Y-106860208D01* -X87752862Y-106878600D01* -X87847138Y-106878600D01* -X87939603Y-106860208D01* -X87957337Y-106852862D01* -X89571400Y-106852862D01* -X89571400Y-106947138D01* -X89589792Y-107039603D01* -X89625870Y-107126702D01* -X89678247Y-107205090D01* -X89744910Y-107271753D01* -X89823298Y-107324130D01* -X89910397Y-107360208D01* -X90002862Y-107378600D01* -X90097138Y-107378600D01* -X90189603Y-107360208D01* -X90276702Y-107324130D01* -X90355090Y-107271753D01* -X90421753Y-107205090D01* -X90474130Y-107126702D01* -X90510208Y-107039603D01* -X90528600Y-106947138D01* -X90528600Y-106902862D01* -X97321400Y-106902862D01* -X97321400Y-106997138D01* -X97339792Y-107089603D01* -X97375870Y-107176702D01* -X97428247Y-107255090D01* -X97494910Y-107321753D01* -X97573298Y-107374130D01* -X97660397Y-107410208D01* -X97752862Y-107428600D01* -X97847138Y-107428600D01* -X97939603Y-107410208D01* -X98026702Y-107374130D01* -X98105090Y-107321753D01* -X98123981Y-107302862D01* -X99271400Y-107302862D01* -X99271400Y-107397138D01* -X99289792Y-107489603D01* -X99325870Y-107576702D01* -X99378247Y-107655090D01* -X99444910Y-107721753D01* -X99523298Y-107774130D01* -X99610397Y-107810208D01* -X99702862Y-107828600D01* -X99797138Y-107828600D01* -X99889603Y-107810208D01* -X99976702Y-107774130D01* -X100055090Y-107721753D01* -X100121753Y-107655090D01* -X100174130Y-107576702D01* -X100210208Y-107489603D01* -X100228600Y-107397138D01* -X100228600Y-107302862D01* -X100210208Y-107210397D01* -X100174130Y-107123298D01* -X100121753Y-107044910D01* -X100055090Y-106978247D01* -X99976702Y-106925870D01* -X99889603Y-106889792D01* -X99797138Y-106871400D01* -X99702862Y-106871400D01* -X99610397Y-106889792D01* -X99523298Y-106925870D01* -X99444910Y-106978247D01* -X99378247Y-107044910D01* -X99325870Y-107123298D01* -X99289792Y-107210397D01* -X99271400Y-107302862D01* -X98123981Y-107302862D01* -X98171753Y-107255090D01* -X98224130Y-107176702D01* -X98260208Y-107089603D01* -X98278600Y-106997138D01* -X98278600Y-106902862D01* -X98260208Y-106810397D01* -X98224130Y-106723298D01* -X98210476Y-106702862D01* -X109221400Y-106702862D01* -X109221400Y-106797138D01* -X109239792Y-106889603D01* -X109275870Y-106976702D01* -X109328247Y-107055090D01* -X109394910Y-107121753D01* -X109473298Y-107174130D01* -X109560397Y-107210208D01* -X109652862Y-107228600D01* -X109747138Y-107228600D01* -X109839603Y-107210208D01* -X109926702Y-107174130D01* -X110005090Y-107121753D01* -X110071753Y-107055090D01* -X110124130Y-106976702D01* -X110140124Y-106938088D01* -X110221400Y-106938088D01* -X110221400Y-107061912D01* -X110245556Y-107183356D01* -X110292941Y-107297754D01* -X110361734Y-107400709D01* -X110449291Y-107488266D01* -X110552246Y-107557059D01* -X110666644Y-107604444D01* -X110788088Y-107628600D01* -X110911912Y-107628600D01* -X111033356Y-107604444D01* -X111147754Y-107557059D01* -X111250709Y-107488266D01* -X111338266Y-107400709D01* -X111407059Y-107297754D01* -X111454444Y-107183356D01* -X111478600Y-107061912D01* -X111478600Y-107002862D01* -X113571400Y-107002862D01* -X113571400Y-107097138D01* -X113589792Y-107189603D01* -X113625870Y-107276702D01* -X113678247Y-107355090D01* -X113744910Y-107421753D01* -X113823298Y-107474130D01* -X113910397Y-107510208D01* -X114002862Y-107528600D01* -X114097138Y-107528600D01* -X114189603Y-107510208D01* -X114276702Y-107474130D01* -X114355090Y-107421753D01* -X114421753Y-107355090D01* -X114474130Y-107276702D01* -X114510208Y-107189603D01* -X114528600Y-107097138D01* -X114528600Y-107002862D01* -X118171400Y-107002862D01* -X118171400Y-107097138D01* -X118189792Y-107189603D01* -X118225870Y-107276702D01* -X118278247Y-107355090D01* -X118344910Y-107421753D01* -X118423298Y-107474130D01* -X118510397Y-107510208D01* -X118602862Y-107528600D01* -X118697138Y-107528600D01* -X118789603Y-107510208D01* -X118876702Y-107474130D01* -X118955090Y-107421753D01* -X119021753Y-107355090D01* -X119074130Y-107276702D01* -X119110208Y-107189603D01* -X119128600Y-107097138D01* -X119128600Y-107002862D01* -X119110208Y-106910397D01* -X119074130Y-106823298D01* -X119021753Y-106744910D01* -X118955090Y-106678247D01* -X118876702Y-106625870D01* -X118789603Y-106589792D01* -X118697138Y-106571400D01* -X118602862Y-106571400D01* -X118510397Y-106589792D01* -X118423298Y-106625870D01* -X118344910Y-106678247D01* -X118278247Y-106744910D01* -X118225870Y-106823298D01* -X118189792Y-106910397D01* -X118171400Y-107002862D01* -X114528600Y-107002862D01* -X114510208Y-106910397D01* -X114474130Y-106823298D01* -X114421753Y-106744910D01* -X114355090Y-106678247D01* -X114276702Y-106625870D01* -X114189603Y-106589792D01* -X114097138Y-106571400D01* -X114002862Y-106571400D01* -X113910397Y-106589792D01* -X113823298Y-106625870D01* -X113744910Y-106678247D01* -X113678247Y-106744910D01* -X113625870Y-106823298D01* -X113589792Y-106910397D01* -X113571400Y-107002862D01* -X111478600Y-107002862D01* -X111478600Y-106938088D01* -X111454444Y-106816644D01* -X111407059Y-106702246D01* -X111338266Y-106599291D01* -X111250709Y-106511734D01* -X111147754Y-106442941D01* -X111033356Y-106395556D01* -X110911912Y-106371400D01* -X110788088Y-106371400D01* -X110666644Y-106395556D01* -X110552246Y-106442941D01* -X110449291Y-106511734D01* -X110361734Y-106599291D01* -X110292941Y-106702246D01* -X110245556Y-106816644D01* -X110221400Y-106938088D01* -X110140124Y-106938088D01* -X110160208Y-106889603D01* -X110178600Y-106797138D01* -X110178600Y-106702862D01* -X110160208Y-106610397D01* -X110124130Y-106523298D01* -X110071753Y-106444910D01* -X110005090Y-106378247D01* -X109926702Y-106325870D01* -X109871157Y-106302862D01* -X114271400Y-106302862D01* -X114271400Y-106397138D01* -X114289792Y-106489603D01* -X114325870Y-106576702D01* -X114378247Y-106655090D01* -X114444910Y-106721753D01* -X114523298Y-106774130D01* -X114610397Y-106810208D01* -X114702862Y-106828600D01* -X114797138Y-106828600D01* -X114889603Y-106810208D01* -X114976702Y-106774130D01* -X115055090Y-106721753D01* -X115121753Y-106655090D01* -X115174130Y-106576702D01* -X115210208Y-106489603D01* -X115228600Y-106397138D01* -X115228600Y-106302862D01* -X115210208Y-106210397D01* -X115174130Y-106123298D01* -X115121753Y-106044910D01* -X115055090Y-105978247D01* -X114976702Y-105925870D01* -X114889603Y-105889792D01* -X114797138Y-105871400D01* -X114702862Y-105871400D01* -X114610397Y-105889792D01* -X114523298Y-105925870D01* -X114444910Y-105978247D01* -X114378247Y-106044910D01* -X114325870Y-106123298D01* -X114289792Y-106210397D01* -X114271400Y-106302862D01* -X109871157Y-106302862D01* -X109839603Y-106289792D01* -X109747138Y-106271400D01* -X109652862Y-106271400D01* -X109560397Y-106289792D01* -X109473298Y-106325870D01* -X109394910Y-106378247D01* -X109328247Y-106444910D01* -X109275870Y-106523298D01* -X109239792Y-106610397D01* -X109221400Y-106702862D01* -X98210476Y-106702862D01* -X98171753Y-106644910D01* -X98105090Y-106578247D01* -X98026702Y-106525870D01* -X97939603Y-106489792D01* -X97847138Y-106471400D01* -X97752862Y-106471400D01* -X97660397Y-106489792D01* -X97573298Y-106525870D01* -X97494910Y-106578247D01* -X97428247Y-106644910D01* -X97375870Y-106723298D01* -X97339792Y-106810397D01* -X97321400Y-106902862D01* -X90528600Y-106902862D01* -X90528600Y-106852862D01* -X90510208Y-106760397D01* -X90474130Y-106673298D01* -X90421753Y-106594910D01* -X90355090Y-106528247D01* -X90276702Y-106475870D01* -X90189603Y-106439792D01* -X90097138Y-106421400D01* -X90002862Y-106421400D01* -X89910397Y-106439792D01* -X89823298Y-106475870D01* -X89744910Y-106528247D01* -X89678247Y-106594910D01* -X89625870Y-106673298D01* -X89589792Y-106760397D01* -X89571400Y-106852862D01* -X87957337Y-106852862D01* -X88026702Y-106824130D01* -X88105090Y-106771753D01* -X88171753Y-106705090D01* -X88224130Y-106626702D01* -X88260208Y-106539603D01* -X88278600Y-106447138D01* -X88278600Y-106352862D01* -X88260208Y-106260397D01* -X88224130Y-106173298D01* -X88171753Y-106094910D01* -X88105090Y-106028247D01* -X88026702Y-105975870D01* -X87939603Y-105939792D01* -X87847138Y-105921400D01* -X87752862Y-105921400D01* -X87660397Y-105939792D01* -X87573298Y-105975870D01* -X87494910Y-106028247D01* -X87428247Y-106094910D01* -X87375870Y-106173298D01* -X87339792Y-106260397D01* -X87321400Y-106352862D01* -X85407337Y-106352862D01* -X85476702Y-106324130D01* -X85555090Y-106271753D01* -X85621753Y-106205090D01* -X85674130Y-106126702D01* -X85710208Y-106039603D01* -X85728600Y-105947138D01* -X85728600Y-105852862D01* -X85718655Y-105802862D01* -X103271400Y-105802862D01* -X103271400Y-105897138D01* -X103289792Y-105989603D01* -X103325870Y-106076702D01* -X103378247Y-106155090D01* -X103444910Y-106221753D01* -X103523298Y-106274130D01* -X103610397Y-106310208D01* -X103702862Y-106328600D01* -X103797138Y-106328600D01* -X103889603Y-106310208D01* -X103976702Y-106274130D01* -X104055090Y-106221753D01* -X104121753Y-106155090D01* -X104174130Y-106076702D01* -X104210208Y-105989603D01* -X104228600Y-105897138D01* -X104228600Y-105802862D01* -X104210208Y-105710397D01* -X104174130Y-105623298D01* -X104121753Y-105544910D01* -X104055090Y-105478247D01* -X103976702Y-105425870D01* -X103889603Y-105389792D01* -X103797138Y-105371400D01* -X103702862Y-105371400D01* -X103610397Y-105389792D01* -X103523298Y-105425870D01* -X103444910Y-105478247D01* -X103378247Y-105544910D01* -X103325870Y-105623298D01* -X103289792Y-105710397D01* -X103271400Y-105802862D01* -X85718655Y-105802862D01* -X85710208Y-105760397D01* -X85674130Y-105673298D01* -X85621753Y-105594910D01* -X85555090Y-105528247D01* -X85476702Y-105475870D01* -X85389603Y-105439792D01* -X85297138Y-105421400D01* -X85202862Y-105421400D01* -X85110397Y-105439792D01* -X85023298Y-105475870D01* -X84944910Y-105528247D01* -X84878247Y-105594910D01* -X84825870Y-105673298D01* -X84789792Y-105760397D01* -X84771400Y-105852862D01* -X78668655Y-105852862D01* -X78660208Y-105810397D01* -X78624130Y-105723298D01* -X78571753Y-105644910D01* -X78505090Y-105578247D01* -X78426702Y-105525870D01* -X78339603Y-105489792D01* -X78247138Y-105471400D01* -X78152862Y-105471400D01* -X78060397Y-105489792D01* -X77973298Y-105525870D01* -X77894910Y-105578247D01* -X77828247Y-105644910D01* -X77775870Y-105723298D01* -X77739792Y-105810397D01* -X77721400Y-105902862D01* -X66054596Y-105902862D01* -X66012702Y-105874870D01* -X65925603Y-105838792D01* -X65833138Y-105820400D01* -X65738862Y-105820400D01* -X65646397Y-105838792D01* -X65559298Y-105874870D01* -X65480910Y-105927247D01* -X65414247Y-105993910D01* -X65361870Y-106072298D01* -X65325792Y-106159397D01* -X65307400Y-106251862D01* -X65055780Y-106251862D01* -X65102895Y-106181351D01* -X65185228Y-105982583D01* -X65227200Y-105771572D01* -X65227200Y-105556428D01* -X65185228Y-105345417D01* -X65102895Y-105146649D01* -X64983367Y-104967763D01* -X64831237Y-104815633D01* -X64652351Y-104696105D01* -X64547954Y-104652862D01* -X75871400Y-104652862D01* -X75871400Y-104747138D01* -X75889792Y-104839603D01* -X75925870Y-104926702D01* -X75978247Y-105005090D01* -X76044910Y-105071753D01* -X76123298Y-105124130D01* -X76210397Y-105160208D01* -X76302862Y-105178600D01* -X76397138Y-105178600D01* -X76489603Y-105160208D01* -X76576702Y-105124130D01* -X76655090Y-105071753D01* -X76721753Y-105005090D01* -X76774130Y-104926702D01* -X76810208Y-104839603D01* -X76828600Y-104747138D01* -X76828600Y-104652862D01* -X76818655Y-104602862D01* -X84671400Y-104602862D01* -X84671400Y-104697138D01* -X84689792Y-104789603D01* -X84725870Y-104876702D01* -X84778247Y-104955090D01* -X84844910Y-105021753D01* -X84923298Y-105074130D01* -X85010397Y-105110208D01* -X85102862Y-105128600D01* -X85197138Y-105128600D01* -X85289603Y-105110208D01* -X85307337Y-105102862D01* -X102396400Y-105102862D01* -X102396400Y-105197138D01* -X102414792Y-105289603D01* -X102450870Y-105376702D01* -X102503247Y-105455090D01* -X102569910Y-105521753D01* -X102648298Y-105574130D01* -X102735397Y-105610208D01* -X102827862Y-105628600D01* -X102922138Y-105628600D01* -X103014603Y-105610208D01* -X103101702Y-105574130D01* -X103180090Y-105521753D01* -X103246753Y-105455090D01* -X103299130Y-105376702D01* -X103335208Y-105289603D01* -X103353600Y-105197138D01* -X103353600Y-105102862D01* -X103335208Y-105010397D01* -X103330047Y-104997937D01* -X104121400Y-104997937D01* -X104121400Y-105102063D01* -X104141713Y-105204187D01* -X104181560Y-105300386D01* -X104239409Y-105386963D01* -X104313037Y-105460591D01* -X104399614Y-105518440D01* -X104495813Y-105558287D01* -X104597937Y-105578600D01* -X104702063Y-105578600D01* -X104804187Y-105558287D01* -X104900386Y-105518440D01* -X104923700Y-105502862D01* -X106021400Y-105502862D01* -X106021400Y-105597138D01* -X106039792Y-105689603D01* -X106075870Y-105776702D01* -X106128247Y-105855090D01* -X106194910Y-105921753D01* -X106273298Y-105974130D01* -X106360397Y-106010208D01* -X106452862Y-106028600D01* -X106547138Y-106028600D01* -X106639603Y-106010208D01* -X106726702Y-105974130D01* -X106805090Y-105921753D01* -X106871753Y-105855090D01* -X106924130Y-105776702D01* -X106954715Y-105702862D01* -X109221400Y-105702862D01* -X109221400Y-105797138D01* -X109239792Y-105889603D01* -X109275870Y-105976702D01* -X109328247Y-106055090D01* -X109394910Y-106121753D01* -X109473298Y-106174130D01* -X109560397Y-106210208D01* -X109652862Y-106228600D01* -X109747138Y-106228600D01* -X109839603Y-106210208D01* -X109926702Y-106174130D01* -X110005090Y-106121753D01* -X110071753Y-106055090D01* -X110124130Y-105976702D01* -X110160208Y-105889603D01* -X110178600Y-105797138D01* -X110178600Y-105702862D01* -X110160208Y-105610397D01* -X110124130Y-105523298D01* -X110071753Y-105444910D01* -X110005090Y-105378247D01* -X109926702Y-105325870D01* -X109871157Y-105302862D01* -X114271400Y-105302862D01* -X114271400Y-105397138D01* -X114289792Y-105489603D01* -X114325870Y-105576702D01* -X114378247Y-105655090D01* -X114444910Y-105721753D01* -X114523298Y-105774130D01* -X114610397Y-105810208D01* -X114702862Y-105828600D01* -X114797138Y-105828600D01* -X114889603Y-105810208D01* -X114907337Y-105802862D01* -X118171400Y-105802862D01* -X118171400Y-105897138D01* -X118189792Y-105989603D01* -X118225870Y-106076702D01* -X118278247Y-106155090D01* -X118344910Y-106221753D01* -X118423298Y-106274130D01* -X118510397Y-106310208D01* -X118602862Y-106328600D01* -X118697138Y-106328600D01* -X118789603Y-106310208D01* -X118808288Y-106302468D01* -X122067400Y-106302468D01* -X122067400Y-106397532D01* -X122085946Y-106490769D01* -X122122326Y-106578597D01* -X122175140Y-106657640D01* -X122242360Y-106724860D01* -X122321403Y-106777674D01* -X122409231Y-106814054D01* -X122502468Y-106832600D01* -X122597532Y-106832600D01* -X122690769Y-106814054D01* -X122778597Y-106777674D01* -X122857640Y-106724860D01* -X122924860Y-106657640D01* -X122977674Y-106578597D01* -X123014054Y-106490769D01* -X123032600Y-106397532D01* -X123032600Y-106302468D01* -X123014054Y-106209231D01* -X122977674Y-106121403D01* -X122924860Y-106042360D01* -X122880362Y-105997862D01* -X142904400Y-105997862D01* -X142904400Y-106092138D01* -X142922792Y-106184603D01* -X142958870Y-106271702D01* -X143011247Y-106350090D01* -X143077910Y-106416753D01* -X143156298Y-106469130D01* -X143243397Y-106505208D01* -X143335862Y-106523600D01* -X143430138Y-106523600D01* -X143522603Y-106505208D01* -X143609702Y-106469130D01* -X143688090Y-106416753D01* -X143754753Y-106350090D01* -X143807130Y-106271702D01* -X143843208Y-106184603D01* -X143861600Y-106092138D01* -X143861600Y-105997862D01* -X143843208Y-105905397D01* -X143807130Y-105818298D01* -X143754753Y-105739910D01* -X143688090Y-105673247D01* -X143609702Y-105620870D01* -X143522603Y-105584792D01* -X143430138Y-105566400D01* -X143335862Y-105566400D01* -X143243397Y-105584792D01* -X143156298Y-105620870D01* -X143077910Y-105673247D01* -X143011247Y-105739910D01* -X142958870Y-105818298D01* -X142922792Y-105905397D01* -X142904400Y-105997862D01* -X122880362Y-105997862D01* -X122857640Y-105975140D01* -X122778597Y-105922326D01* -X122690769Y-105885946D01* -X122597532Y-105867400D01* -X122502468Y-105867400D01* -X122409231Y-105885946D01* -X122321403Y-105922326D01* -X122242360Y-105975140D01* -X122175140Y-106042360D01* -X122122326Y-106121403D01* -X122085946Y-106209231D01* -X122067400Y-106302468D01* -X118808288Y-106302468D01* -X118876702Y-106274130D01* -X118955090Y-106221753D01* -X119021753Y-106155090D01* -X119074130Y-106076702D01* -X119110208Y-105989603D01* -X119128600Y-105897138D01* -X119128600Y-105802862D01* -X119110208Y-105710397D01* -X119074130Y-105623298D01* -X119021753Y-105544910D01* -X118955090Y-105478247D01* -X118876702Y-105425870D01* -X118789603Y-105389792D01* -X118697138Y-105371400D01* -X118602862Y-105371400D01* -X118510397Y-105389792D01* -X118423298Y-105425870D01* -X118344910Y-105478247D01* -X118278247Y-105544910D01* -X118225870Y-105623298D01* -X118189792Y-105710397D01* -X118171400Y-105802862D01* -X114907337Y-105802862D01* -X114976702Y-105774130D01* -X115055090Y-105721753D01* -X115121753Y-105655090D01* -X115174130Y-105576702D01* -X115210208Y-105489603D01* -X115228600Y-105397138D01* -X115228600Y-105302862D01* -X122071400Y-105302862D01* -X122071400Y-105397138D01* -X122089792Y-105489603D01* -X122125870Y-105576702D01* -X122178247Y-105655090D01* -X122244910Y-105721753D01* -X122323298Y-105774130D01* -X122410397Y-105810208D01* -X122502862Y-105828600D01* -X122597138Y-105828600D01* -X122689603Y-105810208D01* -X122776702Y-105774130D01* -X122855090Y-105721753D01* -X122921753Y-105655090D01* -X122974130Y-105576702D01* -X123010208Y-105489603D01* -X123028600Y-105397138D01* -X123028600Y-105347937D01* -X125921400Y-105347937D01* -X125921400Y-105452063D01* -X125941713Y-105554187D01* -X125981560Y-105650386D01* -X126039409Y-105736963D01* -X126113037Y-105810591D01* -X126199614Y-105868440D01* -X126295813Y-105908287D01* -X126397937Y-105928600D01* -X126502063Y-105928600D01* -X126604187Y-105908287D01* -X126700386Y-105868440D01* -X126786963Y-105810591D01* -X126860591Y-105736963D01* -X126918440Y-105650386D01* -X126958287Y-105554187D01* -X126978600Y-105452063D01* -X126978600Y-105347937D01* -X126958287Y-105245813D01* -X126918440Y-105149614D01* -X126860591Y-105063037D01* -X126786963Y-104989409D01* -X126700386Y-104931560D01* -X126604187Y-104891713D01* -X126502063Y-104871400D01* -X126397937Y-104871400D01* -X126295813Y-104891713D01* -X126199614Y-104931560D01* -X126113037Y-104989409D01* -X126039409Y-105063037D01* -X125981560Y-105149614D01* -X125941713Y-105245813D01* -X125921400Y-105347937D01* -X123028600Y-105347937D01* -X123028600Y-105302862D01* -X123010208Y-105210397D01* -X122974130Y-105123298D01* -X122921753Y-105044910D01* -X122855090Y-104978247D01* -X122776702Y-104925870D01* -X122689603Y-104889792D01* -X122597138Y-104871400D01* -X122502862Y-104871400D01* -X122410397Y-104889792D01* -X122323298Y-104925870D01* -X122244910Y-104978247D01* -X122178247Y-105044910D01* -X122125870Y-105123298D01* -X122089792Y-105210397D01* -X122071400Y-105302862D01* -X115228600Y-105302862D01* -X115210208Y-105210397D01* -X115174130Y-105123298D01* -X115121753Y-105044910D01* -X115055090Y-104978247D01* -X114976702Y-104925870D01* -X114889603Y-104889792D01* -X114797138Y-104871400D01* -X114702862Y-104871400D01* -X114610397Y-104889792D01* -X114523298Y-104925870D01* -X114444910Y-104978247D01* -X114378247Y-105044910D01* -X114325870Y-105123298D01* -X114289792Y-105210397D01* -X114271400Y-105302862D01* -X109871157Y-105302862D01* -X109839603Y-105289792D01* -X109747138Y-105271400D01* -X109652862Y-105271400D01* -X109560397Y-105289792D01* -X109473298Y-105325870D01* -X109394910Y-105378247D01* -X109328247Y-105444910D01* -X109275870Y-105523298D01* -X109239792Y-105610397D01* -X109221400Y-105702862D01* -X106954715Y-105702862D01* -X106960208Y-105689603D01* -X106978600Y-105597138D01* -X106978600Y-105502862D01* -X106960208Y-105410397D01* -X106924130Y-105323298D01* -X106871753Y-105244910D01* -X106805090Y-105178247D01* -X106726702Y-105125870D01* -X106639603Y-105089792D01* -X106547138Y-105071400D01* -X106452862Y-105071400D01* -X106360397Y-105089792D01* -X106273298Y-105125870D01* -X106194910Y-105178247D01* -X106128247Y-105244910D01* -X106075870Y-105323298D01* -X106039792Y-105410397D01* -X106021400Y-105502862D01* -X104923700Y-105502862D01* -X104986963Y-105460591D01* -X105060591Y-105386963D01* -X105118440Y-105300386D01* -X105158287Y-105204187D01* -X105178600Y-105102063D01* -X105178600Y-104997937D01* -X105158287Y-104895813D01* -X105118440Y-104799614D01* -X105060591Y-104713037D01* -X104986963Y-104639409D01* -X104900386Y-104581560D01* -X104804187Y-104541713D01* -X104702063Y-104521400D01* -X104597937Y-104521400D01* -X104495813Y-104541713D01* -X104399614Y-104581560D01* -X104313037Y-104639409D01* -X104239409Y-104713037D01* -X104181560Y-104799614D01* -X104141713Y-104895813D01* -X104121400Y-104997937D01* -X103330047Y-104997937D01* -X103299130Y-104923298D01* -X103246753Y-104844910D01* -X103180090Y-104778247D01* -X103101702Y-104725870D01* -X103014603Y-104689792D01* -X102922138Y-104671400D01* -X102827862Y-104671400D01* -X102735397Y-104689792D01* -X102648298Y-104725870D01* -X102569910Y-104778247D01* -X102503247Y-104844910D01* -X102450870Y-104923298D01* -X102414792Y-105010397D01* -X102396400Y-105102862D01* -X85307337Y-105102862D01* -X85376702Y-105074130D01* -X85455090Y-105021753D01* -X85521753Y-104955090D01* -X85574130Y-104876702D01* -X85610208Y-104789603D01* -X85628600Y-104697138D01* -X85628600Y-104602862D01* -X85610208Y-104510397D01* -X85574130Y-104423298D01* -X85521753Y-104344910D01* -X85455090Y-104278247D01* -X85376702Y-104225870D01* -X85289603Y-104189792D01* -X85197138Y-104171400D01* -X85102862Y-104171400D01* -X85010397Y-104189792D01* -X84923298Y-104225870D01* -X84844910Y-104278247D01* -X84778247Y-104344910D01* -X84725870Y-104423298D01* -X84689792Y-104510397D01* -X84671400Y-104602862D01* -X76818655Y-104602862D01* -X76810208Y-104560397D01* -X76774130Y-104473298D01* -X76721753Y-104394910D01* -X76655090Y-104328247D01* -X76576702Y-104275870D01* -X76489603Y-104239792D01* -X76397138Y-104221400D01* -X76302862Y-104221400D01* -X76210397Y-104239792D01* -X76123298Y-104275870D01* -X76044910Y-104328247D01* -X75978247Y-104394910D01* -X75925870Y-104473298D01* -X75889792Y-104560397D01* -X75871400Y-104652862D01* -X64547954Y-104652862D01* -X64453583Y-104613772D01* -X64242572Y-104571800D01* -X64027428Y-104571800D01* -X63816417Y-104613772D01* -X63617649Y-104696105D01* -X63438763Y-104815633D01* -X63286633Y-104967763D01* -X63167105Y-105146649D01* -X63084772Y-105345417D01* -X63042800Y-105556428D01* -X62633854Y-105556428D01* -X62659743Y-105420641D01* -X62615508Y-105274805D01* -X62519971Y-105083192D01* -X62388888Y-104913899D01* -X62227296Y-104773432D01* -X62041405Y-104667189D01* -X61838359Y-104599253D01* -X61668800Y-104631442D01* -X61521200Y-104631442D01* -X61351641Y-104599253D01* -X61148595Y-104667189D01* -X60962704Y-104773432D01* -X60801112Y-104913899D01* -X60670029Y-105083192D01* -X60574492Y-105274805D01* -X60530257Y-105420641D01* -X46177200Y-105420641D01* -X46177200Y-104965386D01* -X46215397Y-104981208D01* -X46307862Y-104999600D01* -X46402138Y-104999600D01* -X46494603Y-104981208D01* -X46581702Y-104945130D01* -X46660090Y-104892753D01* -X46726753Y-104826090D01* -X46779130Y-104747702D01* -X46815208Y-104660603D01* -X46833600Y-104568138D01* -X46833600Y-104473862D01* -X50956400Y-104473862D01* -X50956400Y-104568138D01* -X50974792Y-104660603D01* -X51010870Y-104747702D01* -X51063247Y-104826090D01* -X51129910Y-104892753D01* -X51208298Y-104945130D01* -X51295397Y-104981208D01* -X51387862Y-104999600D01* -X51482138Y-104999600D01* -X51574603Y-104981208D01* -X51661702Y-104945130D01* -X51740090Y-104892753D01* -X51806753Y-104826090D01* -X51859130Y-104747702D01* -X51895208Y-104660603D01* -X51913600Y-104568138D01* -X51913600Y-104473862D01* -X55921400Y-104473862D01* -X55921400Y-104568138D01* -X55939792Y-104660603D01* -X55975870Y-104747702D01* -X56028247Y-104826090D01* -X56094910Y-104892753D01* -X56173298Y-104945130D01* -X56260397Y-104981208D01* -X56352862Y-104999600D01* -X56447138Y-104999600D01* -X56539603Y-104981208D01* -X56626702Y-104945130D01* -X56705090Y-104892753D01* -X56771753Y-104826090D01* -X56824130Y-104747702D01* -X56860208Y-104660603D01* -X56878600Y-104568138D01* -X56878600Y-104473862D01* -X56860208Y-104381397D01* -X56824130Y-104294298D01* -X56771753Y-104215910D01* -X56705090Y-104149247D01* -X56626702Y-104096870D01* -X56539603Y-104060792D01* -X56447138Y-104042400D01* -X56352862Y-104042400D01* -X56260397Y-104060792D01* -X56173298Y-104096870D01* -X56094910Y-104149247D01* -X56028247Y-104215910D01* -X55975870Y-104294298D01* -X55939792Y-104381397D01* -X55921400Y-104473862D01* -X51913600Y-104473862D01* -X51895208Y-104381397D01* -X51859130Y-104294298D01* -X51806753Y-104215910D01* -X51740090Y-104149247D01* -X51661702Y-104096870D01* -X51574603Y-104060792D01* -X51482138Y-104042400D01* -X51387862Y-104042400D01* -X51295397Y-104060792D01* -X51208298Y-104096870D01* -X51129910Y-104149247D01* -X51063247Y-104215910D01* -X51010870Y-104294298D01* -X50974792Y-104381397D01* -X50956400Y-104473862D01* -X46833600Y-104473862D01* -X46815208Y-104381397D01* -X46779130Y-104294298D01* -X46726753Y-104215910D01* -X46660090Y-104149247D01* -X46581702Y-104096870D01* -X46494603Y-104060792D01* -X46402138Y-104042400D01* -X46307862Y-104042400D01* -X46215397Y-104060792D01* -X46177200Y-104076614D01* -X46177200Y-103016428D01* -X60502800Y-103016428D01* -X60502800Y-103231572D01* -X60544772Y-103442583D01* -X60627105Y-103641351D01* -X60746633Y-103820237D01* -X60898763Y-103972367D01* -X61077649Y-104091895D01* -X61276417Y-104174228D01* -X61487428Y-104216200D01* -X61702572Y-104216200D01* -X61913583Y-104174228D01* -X62112351Y-104091895D01* -X62291237Y-103972367D01* -X62443367Y-103820237D01* -X62562895Y-103641351D01* -X62645228Y-103442583D01* -X62687200Y-103231572D01* -X62687200Y-103016428D01* -X63042800Y-103016428D01* -X63042800Y-103231572D01* -X63084772Y-103442583D01* -X63167105Y-103641351D01* -X63286633Y-103820237D01* -X63438763Y-103972367D01* -X63617649Y-104091895D01* -X63816417Y-104174228D01* -X64027428Y-104216200D01* -X64242572Y-104216200D01* -X64453583Y-104174228D01* -X64652351Y-104091895D01* -X64710767Y-104052862D01* -X88971400Y-104052862D01* -X88971400Y-104147138D01* -X88989792Y-104239603D01* -X89025870Y-104326702D01* -X89078247Y-104405090D01* -X89144910Y-104471753D01* -X89223298Y-104524130D01* -X89310397Y-104560208D01* -X89402862Y-104578600D01* -X89497138Y-104578600D01* -X89589603Y-104560208D01* -X89676702Y-104524130D01* -X89755090Y-104471753D01* -X89821753Y-104405090D01* -X89874130Y-104326702D01* -X89910208Y-104239603D01* -X89928600Y-104147138D01* -X89928600Y-104052862D01* -X89910208Y-103960397D01* -X89907087Y-103952862D01* -X99121400Y-103952862D01* -X99121400Y-104047138D01* -X99139792Y-104139603D01* -X99175870Y-104226702D01* -X99228247Y-104305090D01* -X99294910Y-104371753D01* -X99373298Y-104424130D01* -X99460397Y-104460208D01* -X99552862Y-104478600D01* -X99647138Y-104478600D01* -X99739603Y-104460208D01* -X99757337Y-104452862D01* -X100021400Y-104452862D01* -X100021400Y-104547138D01* -X100039792Y-104639603D01* -X100075870Y-104726702D01* -X100128247Y-104805090D01* -X100194910Y-104871753D01* -X100273298Y-104924130D01* -X100360397Y-104960208D01* -X100452862Y-104978600D01* -X100547138Y-104978600D01* -X100639603Y-104960208D01* -X100726702Y-104924130D01* -X100805090Y-104871753D01* -X100871753Y-104805090D01* -X100924130Y-104726702D01* -X100960208Y-104639603D01* -X100978600Y-104547138D01* -X100978600Y-104452862D01* -X100960208Y-104360397D01* -X100936376Y-104302862D01* -X107571400Y-104302862D01* -X107571400Y-104397138D01* -X107589792Y-104489603D01* -X107625870Y-104576702D01* -X107678247Y-104655090D01* -X107744910Y-104721753D01* -X107823298Y-104774130D01* -X107910397Y-104810208D01* -X108002862Y-104828600D01* -X108097138Y-104828600D01* -X108189603Y-104810208D01* -X108207337Y-104802862D01* -X108471400Y-104802862D01* -X108471400Y-104897138D01* -X108489792Y-104989603D01* -X108525870Y-105076702D01* -X108578247Y-105155090D01* -X108644910Y-105221753D01* -X108723298Y-105274130D01* -X108810397Y-105310208D01* -X108902862Y-105328600D01* -X108997138Y-105328600D01* -X109089603Y-105310208D01* -X109176702Y-105274130D01* -X109255090Y-105221753D01* -X109321753Y-105155090D01* -X109374130Y-105076702D01* -X109410208Y-104989603D01* -X109428600Y-104897138D01* -X109428600Y-104802862D01* -X109410208Y-104710397D01* -X109374130Y-104623298D01* -X109360476Y-104602862D01* -X118171400Y-104602862D01* -X118171400Y-104697138D01* -X118189792Y-104789603D01* -X118225870Y-104876702D01* -X118278247Y-104955090D01* -X118344910Y-105021753D01* -X118423298Y-105074130D01* -X118510397Y-105110208D01* -X118602862Y-105128600D01* -X118697138Y-105128600D01* -X118789603Y-105110208D01* -X118876702Y-105074130D01* -X118955090Y-105021753D01* -X119021753Y-104955090D01* -X119074130Y-104876702D01* -X119110208Y-104789603D01* -X119128600Y-104697138D01* -X119128600Y-104602862D01* -X122771400Y-104602862D01* -X122771400Y-104697138D01* -X122789792Y-104789603D01* -X122825870Y-104876702D01* -X122878247Y-104955090D01* -X122944910Y-105021753D01* -X123023298Y-105074130D01* -X123110397Y-105110208D01* -X123202862Y-105128600D01* -X123297138Y-105128600D01* -X123389603Y-105110208D01* -X123476702Y-105074130D01* -X123555090Y-105021753D01* -X123621753Y-104955090D01* -X123674130Y-104876702D01* -X123710208Y-104789603D01* -X123728600Y-104697138D01* -X123728600Y-104602862D01* -X125071400Y-104602862D01* -X125071400Y-104697138D01* -X125089792Y-104789603D01* -X125125870Y-104876702D01* -X125178247Y-104955090D01* -X125244910Y-105021753D01* -X125323298Y-105074130D01* -X125410397Y-105110208D01* -X125502862Y-105128600D01* -X125597138Y-105128600D01* -X125689603Y-105110208D01* -X125776702Y-105074130D01* -X125855090Y-105021753D01* -X125921753Y-104955090D01* -X125974130Y-104876702D01* -X126010208Y-104789603D01* -X126028600Y-104697138D01* -X126028600Y-104602862D01* -X126010208Y-104510397D01* -X125974130Y-104423298D01* -X125921753Y-104344910D01* -X125855090Y-104278247D01* -X125797790Y-104239960D01* -X126840400Y-104239960D01* -X126840400Y-104360040D01* -X126863826Y-104477814D01* -X126909779Y-104588754D01* -X126976492Y-104688598D01* -X127061402Y-104773508D01* -X127161246Y-104840221D01* -X127272186Y-104886174D01* -X127389960Y-104909600D01* -X127510040Y-104909600D01* -X127627814Y-104886174D01* -X127738754Y-104840221D01* -X127838598Y-104773508D01* -X127923508Y-104688598D01* -X127990221Y-104588754D01* -X128036174Y-104477814D01* -X128059600Y-104360040D01* -X128059600Y-104239960D01* -X128036174Y-104122186D01* -X127990221Y-104011246D01* -X127923508Y-103911402D01* -X127838598Y-103826492D01* -X127738754Y-103759779D01* -X127627814Y-103713826D01* -X127510040Y-103690400D01* -X127389960Y-103690400D01* -X127272186Y-103713826D01* -X127161246Y-103759779D01* -X127061402Y-103826492D01* -X126976492Y-103911402D01* -X126909779Y-104011246D01* -X126863826Y-104122186D01* -X126840400Y-104239960D01* -X125797790Y-104239960D01* -X125776702Y-104225870D01* -X125689603Y-104189792D01* -X125597138Y-104171400D01* -X125502862Y-104171400D01* -X125410397Y-104189792D01* -X125323298Y-104225870D01* -X125244910Y-104278247D01* -X125178247Y-104344910D01* -X125125870Y-104423298D01* -X125089792Y-104510397D01* -X125071400Y-104602862D01* -X123728600Y-104602862D01* -X123710208Y-104510397D01* -X123674130Y-104423298D01* -X123621753Y-104344910D01* -X123555090Y-104278247D01* -X123476702Y-104225870D01* -X123389603Y-104189792D01* -X123297138Y-104171400D01* -X123202862Y-104171400D01* -X123110397Y-104189792D01* -X123023298Y-104225870D01* -X122944910Y-104278247D01* -X122878247Y-104344910D01* -X122825870Y-104423298D01* -X122789792Y-104510397D01* -X122771400Y-104602862D01* -X119128600Y-104602862D01* -X119110208Y-104510397D01* -X119074130Y-104423298D01* -X119021753Y-104344910D01* -X118955090Y-104278247D01* -X118876702Y-104225870D01* -X118789603Y-104189792D01* -X118697138Y-104171400D01* -X118602862Y-104171400D01* -X118510397Y-104189792D01* -X118423298Y-104225870D01* -X118344910Y-104278247D01* -X118278247Y-104344910D01* -X118225870Y-104423298D01* -X118189792Y-104510397D01* -X118171400Y-104602862D01* -X109360476Y-104602862D01* -X109321753Y-104544910D01* -X109255090Y-104478247D01* -X109176702Y-104425870D01* -X109089603Y-104389792D01* -X108997138Y-104371400D01* -X108902862Y-104371400D01* -X108810397Y-104389792D01* -X108723298Y-104425870D01* -X108644910Y-104478247D01* -X108578247Y-104544910D01* -X108525870Y-104623298D01* -X108489792Y-104710397D01* -X108471400Y-104802862D01* -X108207337Y-104802862D01* -X108276702Y-104774130D01* -X108355090Y-104721753D01* -X108421753Y-104655090D01* -X108474130Y-104576702D01* -X108510208Y-104489603D01* -X108528600Y-104397138D01* -X108528600Y-104302862D01* -X108510208Y-104210397D01* -X108474130Y-104123298D01* -X108421753Y-104044910D01* -X108355090Y-103978247D01* -X108276702Y-103925870D01* -X108189603Y-103889792D01* -X108097138Y-103871400D01* -X108002862Y-103871400D01* -X107910397Y-103889792D01* -X107823298Y-103925870D01* -X107744910Y-103978247D01* -X107678247Y-104044910D01* -X107625870Y-104123298D01* -X107589792Y-104210397D01* -X107571400Y-104302862D01* -X100936376Y-104302862D01* -X100924130Y-104273298D01* -X100871753Y-104194910D01* -X100805090Y-104128247D01* -X100726702Y-104075870D01* -X100639603Y-104039792D01* -X100547138Y-104021400D01* -X100452862Y-104021400D01* -X100360397Y-104039792D01* -X100273298Y-104075870D01* -X100194910Y-104128247D01* -X100128247Y-104194910D01* -X100075870Y-104273298D01* -X100039792Y-104360397D01* -X100021400Y-104452862D01* -X99757337Y-104452862D01* -X99826702Y-104424130D01* -X99905090Y-104371753D01* -X99971753Y-104305090D01* -X100024130Y-104226702D01* -X100060208Y-104139603D01* -X100078600Y-104047138D01* -X100078600Y-103952862D01* -X100060208Y-103860397D01* -X100024130Y-103773298D01* -X99971753Y-103694910D01* -X99905090Y-103628247D01* -X99826702Y-103575870D01* -X99739603Y-103539792D01* -X99647138Y-103521400D01* -X99552862Y-103521400D01* -X99460397Y-103539792D01* -X99373298Y-103575870D01* -X99294910Y-103628247D01* -X99228247Y-103694910D01* -X99175870Y-103773298D01* -X99139792Y-103860397D01* -X99121400Y-103952862D01* -X89907087Y-103952862D01* -X89874130Y-103873298D01* -X89821753Y-103794910D01* -X89755090Y-103728247D01* -X89676702Y-103675870D01* -X89589603Y-103639792D01* -X89497138Y-103621400D01* -X89402862Y-103621400D01* -X89310397Y-103639792D01* -X89223298Y-103675870D01* -X89144910Y-103728247D01* -X89078247Y-103794910D01* -X89025870Y-103873298D01* -X88989792Y-103960397D01* -X88971400Y-104052862D01* -X64710767Y-104052862D01* -X64831237Y-103972367D01* -X64983367Y-103820237D01* -X65102895Y-103641351D01* -X65185228Y-103442583D01* -X65227200Y-103231572D01* -X65227200Y-103076862D01* -X76737400Y-103076862D01* -X76737400Y-103171138D01* -X76755792Y-103263603D01* -X76791870Y-103350702D01* -X76844247Y-103429090D01* -X76910910Y-103495753D01* -X76989298Y-103548130D01* -X77076397Y-103584208D01* -X77168862Y-103602600D01* -X77263138Y-103602600D01* -X77355603Y-103584208D01* -X77442702Y-103548130D01* -X77521090Y-103495753D01* -X77587753Y-103429090D01* -X77640130Y-103350702D01* -X77676208Y-103263603D01* -X77694600Y-103171138D01* -X77694600Y-103076862D01* -X78007400Y-103076862D01* -X78007400Y-103171138D01* -X78025792Y-103263603D01* -X78061870Y-103350702D01* -X78114247Y-103429090D01* -X78180910Y-103495753D01* -X78259298Y-103548130D01* -X78346397Y-103584208D01* -X78438862Y-103602600D01* -X78533138Y-103602600D01* -X78625603Y-103584208D01* -X78712702Y-103548130D01* -X78791090Y-103495753D01* -X78857753Y-103429090D01* -X78910130Y-103350702D01* -X78946208Y-103263603D01* -X78964600Y-103171138D01* -X78964600Y-103076862D01* -X79277400Y-103076862D01* -X79277400Y-103171138D01* -X79295792Y-103263603D01* -X79331870Y-103350702D01* -X79384247Y-103429090D01* -X79450910Y-103495753D01* -X79529298Y-103548130D01* -X79616397Y-103584208D01* -X79708862Y-103602600D01* -X79803138Y-103602600D01* -X79895603Y-103584208D01* -X79982702Y-103548130D01* -X80061090Y-103495753D01* -X80127753Y-103429090D01* -X80178686Y-103352862D01* -X87071400Y-103352862D01* -X87071400Y-103447138D01* -X87089792Y-103539603D01* -X87125870Y-103626702D01* -X87178247Y-103705090D01* -X87244910Y-103771753D01* -X87323298Y-103824130D01* -X87410397Y-103860208D01* -X87502862Y-103878600D01* -X87597138Y-103878600D01* -X87689603Y-103860208D01* -X87776702Y-103824130D01* -X87855090Y-103771753D01* -X87921753Y-103705090D01* -X87974130Y-103626702D01* -X88010208Y-103539603D01* -X88028600Y-103447138D01* -X88028600Y-103352862D01* -X88015716Y-103288088D01* -X108821400Y-103288088D01* -X108821400Y-103411912D01* -X108845556Y-103533356D01* -X108892941Y-103647754D01* -X108961734Y-103750709D01* -X109049291Y-103838266D01* -X109152246Y-103907059D01* -X109266644Y-103954444D01* -X109388088Y-103978600D01* -X109511912Y-103978600D01* -X109633356Y-103954444D01* -X109747754Y-103907059D01* -X109850709Y-103838266D01* -X109886113Y-103802862D01* -X114271400Y-103802862D01* -X114271400Y-103897138D01* -X114289792Y-103989603D01* -X114325870Y-104076702D01* -X114378247Y-104155090D01* -X114444910Y-104221753D01* -X114523298Y-104274130D01* -X114610397Y-104310208D01* -X114702862Y-104328600D01* -X114797138Y-104328600D01* -X114889603Y-104310208D01* -X114976702Y-104274130D01* -X115055090Y-104221753D01* -X115121753Y-104155090D01* -X115174130Y-104076702D01* -X115210208Y-103989603D01* -X115228600Y-103897138D01* -X115228600Y-103802862D01* -X115228522Y-103802468D01* -X122067400Y-103802468D01* -X122067400Y-103897532D01* -X122085946Y-103990769D01* -X122122326Y-104078597D01* -X122175140Y-104157640D01* -X122242360Y-104224860D01* -X122321403Y-104277674D01* -X122409231Y-104314054D01* -X122502468Y-104332600D01* -X122597532Y-104332600D01* -X122690769Y-104314054D01* -X122778597Y-104277674D01* -X122857640Y-104224860D01* -X122924860Y-104157640D01* -X122977674Y-104078597D01* -X123014054Y-103990769D01* -X123032600Y-103897532D01* -X123032600Y-103802468D01* -X123014054Y-103709231D01* -X122977674Y-103621403D01* -X122924860Y-103542360D01* -X122857640Y-103475140D01* -X122778597Y-103422326D01* -X122690769Y-103385946D01* -X122597532Y-103367400D01* -X122502468Y-103367400D01* -X122409231Y-103385946D01* -X122321403Y-103422326D01* -X122242360Y-103475140D01* -X122175140Y-103542360D01* -X122122326Y-103621403D01* -X122085946Y-103709231D01* -X122067400Y-103802468D01* -X115228522Y-103802468D01* -X115210208Y-103710397D01* -X115174130Y-103623298D01* -X115121753Y-103544910D01* -X115055090Y-103478247D01* -X114976702Y-103425870D01* -X114889603Y-103389792D01* -X114797138Y-103371400D01* -X114702862Y-103371400D01* -X114610397Y-103389792D01* -X114523298Y-103425870D01* -X114444910Y-103478247D01* -X114378247Y-103544910D01* -X114325870Y-103623298D01* -X114289792Y-103710397D01* -X114271400Y-103802862D01* -X109886113Y-103802862D01* -X109938266Y-103750709D01* -X110007059Y-103647754D01* -X110054444Y-103533356D01* -X110078600Y-103411912D01* -X110078600Y-103288088D01* -X110054444Y-103166644D01* -X110007059Y-103052246D01* -X109974062Y-103002862D01* -X111271400Y-103002862D01* -X111271400Y-103097138D01* -X111289792Y-103189603D01* -X111325870Y-103276702D01* -X111378247Y-103355090D01* -X111444910Y-103421753D01* -X111523298Y-103474130D01* -X111610397Y-103510208D01* -X111702862Y-103528600D01* -X111797138Y-103528600D01* -X111889603Y-103510208D01* -X111976702Y-103474130D01* -X112055090Y-103421753D01* -X112121753Y-103355090D01* -X112174130Y-103276702D01* -X112210208Y-103189603D01* -X112228600Y-103097138D01* -X112228600Y-103002862D01* -X113571400Y-103002862D01* -X113571400Y-103097138D01* -X113589792Y-103189603D01* -X113625870Y-103276702D01* -X113678247Y-103355090D01* -X113744910Y-103421753D01* -X113823298Y-103474130D01* -X113910397Y-103510208D01* -X114002862Y-103528600D01* -X114097138Y-103528600D01* -X114189603Y-103510208D01* -X114276702Y-103474130D01* -X114355090Y-103421753D01* -X114421753Y-103355090D01* -X114474130Y-103276702D01* -X114510208Y-103189603D01* -X114528600Y-103097138D01* -X114528600Y-103002862D01* -X116971400Y-103002862D01* -X116971400Y-103097138D01* -X116989792Y-103189603D01* -X117025870Y-103276702D01* -X117078247Y-103355090D01* -X117144910Y-103421753D01* -X117223298Y-103474130D01* -X117310397Y-103510208D01* -X117402862Y-103528600D01* -X117497138Y-103528600D01* -X117589603Y-103510208D01* -X117676702Y-103474130D01* -X117755090Y-103421753D01* -X117821753Y-103355090D01* -X117874130Y-103276702D01* -X117910208Y-103189603D01* -X117928600Y-103097138D01* -X117928600Y-103002862D01* -X119371400Y-103002862D01* -X119371400Y-103097138D01* -X119389792Y-103189603D01* -X119425870Y-103276702D01* -X119478247Y-103355090D01* -X119544910Y-103421753D01* -X119623298Y-103474130D01* -X119710397Y-103510208D01* -X119802862Y-103528600D01* -X119897138Y-103528600D01* -X119989603Y-103510208D01* -X120076702Y-103474130D01* -X120155090Y-103421753D01* -X120221753Y-103355090D01* -X120274130Y-103276702D01* -X120310208Y-103189603D01* -X120328600Y-103097138D01* -X120328600Y-103002862D01* -X120310208Y-102910397D01* -X120274130Y-102823298D01* -X120221753Y-102744910D01* -X120155090Y-102678247D01* -X120076702Y-102625870D01* -X119989603Y-102589792D01* -X119897138Y-102571400D01* -X119802862Y-102571400D01* -X119710397Y-102589792D01* -X119623298Y-102625870D01* -X119544910Y-102678247D01* -X119478247Y-102744910D01* -X119425870Y-102823298D01* -X119389792Y-102910397D01* -X119371400Y-103002862D01* -X117928600Y-103002862D01* -X117910208Y-102910397D01* -X117874130Y-102823298D01* -X117821753Y-102744910D01* -X117755090Y-102678247D01* -X117676702Y-102625870D01* -X117589603Y-102589792D01* -X117497138Y-102571400D01* -X117402862Y-102571400D01* -X117310397Y-102589792D01* -X117223298Y-102625870D01* -X117144910Y-102678247D01* -X117078247Y-102744910D01* -X117025870Y-102823298D01* -X116989792Y-102910397D01* -X116971400Y-103002862D01* -X114528600Y-103002862D01* -X114510208Y-102910397D01* -X114474130Y-102823298D01* -X114421753Y-102744910D01* -X114355090Y-102678247D01* -X114276702Y-102625870D01* -X114189603Y-102589792D01* -X114097138Y-102571400D01* -X114002862Y-102571400D01* -X113910397Y-102589792D01* -X113823298Y-102625870D01* -X113744910Y-102678247D01* -X113678247Y-102744910D01* -X113625870Y-102823298D01* -X113589792Y-102910397D01* -X113571400Y-103002862D01* -X112228600Y-103002862D01* -X112210208Y-102910397D01* -X112174130Y-102823298D01* -X112121753Y-102744910D01* -X112055090Y-102678247D01* -X111976702Y-102625870D01* -X111889603Y-102589792D01* -X111797138Y-102571400D01* -X111702862Y-102571400D01* -X111610397Y-102589792D01* -X111523298Y-102625870D01* -X111444910Y-102678247D01* -X111378247Y-102744910D01* -X111325870Y-102823298D01* -X111289792Y-102910397D01* -X111271400Y-103002862D01* -X109974062Y-103002862D01* -X109938266Y-102949291D01* -X109850709Y-102861734D01* -X109747754Y-102792941D01* -X109633356Y-102745556D01* -X109511912Y-102721400D01* -X109388088Y-102721400D01* -X109266644Y-102745556D01* -X109152246Y-102792941D01* -X109049291Y-102861734D01* -X108961734Y-102949291D01* -X108892941Y-103052246D01* -X108845556Y-103166644D01* -X108821400Y-103288088D01* -X88015716Y-103288088D01* -X88010208Y-103260397D01* -X87974130Y-103173298D01* -X87921753Y-103094910D01* -X87855090Y-103028247D01* -X87776702Y-102975870D01* -X87689603Y-102939792D01* -X87597138Y-102921400D01* -X87502862Y-102921400D01* -X87410397Y-102939792D01* -X87323298Y-102975870D01* -X87244910Y-103028247D01* -X87178247Y-103094910D01* -X87125870Y-103173298D01* -X87089792Y-103260397D01* -X87071400Y-103352862D01* -X80178686Y-103352862D01* -X80180130Y-103350702D01* -X80216208Y-103263603D01* -X80234600Y-103171138D01* -X80234600Y-103076862D01* -X80216208Y-102984397D01* -X80180130Y-102897298D01* -X80127753Y-102818910D01* -X80061705Y-102752862D01* -X81471400Y-102752862D01* -X81471400Y-102847138D01* -X81489792Y-102939603D01* -X81525870Y-103026702D01* -X81578247Y-103105090D01* -X81644910Y-103171753D01* -X81723298Y-103224130D01* -X81810397Y-103260208D01* -X81902862Y-103278600D01* -X81997138Y-103278600D01* -X82089603Y-103260208D01* -X82176702Y-103224130D01* -X82255090Y-103171753D01* -X82321753Y-103105090D01* -X82374130Y-103026702D01* -X82410208Y-102939603D01* -X82428600Y-102847138D01* -X82428600Y-102752862D01* -X83171400Y-102752862D01* -X83171400Y-102847138D01* -X83189792Y-102939603D01* -X83225870Y-103026702D01* -X83278247Y-103105090D01* -X83344910Y-103171753D01* -X83423298Y-103224130D01* -X83510397Y-103260208D01* -X83602862Y-103278600D01* -X83697138Y-103278600D01* -X83789603Y-103260208D01* -X83876702Y-103224130D01* -X83955090Y-103171753D01* -X84021753Y-103105090D01* -X84074130Y-103026702D01* -X84110208Y-102939603D01* -X84128600Y-102847138D01* -X84128600Y-102752862D01* -X84110208Y-102660397D01* -X84074130Y-102573298D01* -X84021753Y-102494910D01* -X83955090Y-102428247D01* -X83917099Y-102402862D01* -X99121400Y-102402862D01* -X99121400Y-102497138D01* -X99139792Y-102589603D01* -X99175870Y-102676702D01* -X99228247Y-102755090D01* -X99294910Y-102821753D01* -X99373298Y-102874130D01* -X99460397Y-102910208D01* -X99552862Y-102928600D01* -X99647138Y-102928600D01* -X99739603Y-102910208D01* -X99826702Y-102874130D01* -X99905090Y-102821753D01* -X99971753Y-102755090D01* -X99973241Y-102752862D01* -X100071400Y-102752862D01* -X100071400Y-102847138D01* -X100089792Y-102939603D01* -X100125870Y-103026702D01* -X100178247Y-103105090D01* -X100244910Y-103171753D01* -X100323298Y-103224130D01* -X100410397Y-103260208D01* -X100502862Y-103278600D01* -X100597138Y-103278600D01* -X100689603Y-103260208D01* -X100776702Y-103224130D01* -X100855090Y-103171753D01* -X100921753Y-103105090D01* -X100974130Y-103026702D01* -X101010208Y-102939603D01* -X101028600Y-102847138D01* -X101028600Y-102752862D01* -X101018655Y-102702862D01* -X102371400Y-102702862D01* -X102371400Y-102797138D01* -X102389792Y-102889603D01* -X102425870Y-102976702D01* -X102478247Y-103055090D01* -X102544910Y-103121753D01* -X102623298Y-103174130D01* -X102710397Y-103210208D01* -X102802862Y-103228600D01* -X102897138Y-103228600D01* -X102989603Y-103210208D01* -X103076702Y-103174130D01* -X103155090Y-103121753D01* -X103221753Y-103055090D01* -X103274130Y-102976702D01* -X103310208Y-102889603D01* -X103328600Y-102797138D01* -X103328600Y-102702862D01* -X103310208Y-102610397D01* -X103286376Y-102552862D01* -X104271400Y-102552862D01* -X104271400Y-102647138D01* -X104289792Y-102739603D01* -X104325870Y-102826702D01* -X104378247Y-102905090D01* -X104444910Y-102971753D01* -X104523298Y-103024130D01* -X104610397Y-103060208D01* -X104702862Y-103078600D01* -X104797138Y-103078600D01* -X104889603Y-103060208D01* -X104976702Y-103024130D01* -X105055090Y-102971753D01* -X105121753Y-102905090D01* -X105174130Y-102826702D01* -X105210208Y-102739603D01* -X105228600Y-102647138D01* -X105228600Y-102552862D01* -X105210208Y-102460397D01* -X105184336Y-102397937D01* -X110271400Y-102397937D01* -X110271400Y-102502063D01* -X110291713Y-102604187D01* -X110331560Y-102700386D01* -X110389409Y-102786963D01* -X110463037Y-102860591D01* -X110549614Y-102918440D01* -X110645813Y-102958287D01* -X110747937Y-102978600D01* -X110852063Y-102978600D01* -X110954187Y-102958287D01* -X111050386Y-102918440D01* -X111136963Y-102860591D01* -X111210591Y-102786963D01* -X111268440Y-102700386D01* -X111308287Y-102604187D01* -X111328600Y-102502063D01* -X111328600Y-102397937D01* -X111308287Y-102295813D01* -X111269786Y-102202862D01* -X122121400Y-102202862D01* -X122121400Y-102297138D01* -X122139792Y-102389603D01* -X122175870Y-102476702D01* -X122228247Y-102555090D01* -X122294910Y-102621753D01* -X122373298Y-102674130D01* -X122460397Y-102710208D01* -X122552862Y-102728600D01* -X122647138Y-102728600D01* -X122739603Y-102710208D01* -X122826702Y-102674130D01* -X122905090Y-102621753D01* -X122971753Y-102555090D01* -X123024130Y-102476702D01* -X123060208Y-102389603D01* -X123078600Y-102297138D01* -X123078600Y-102202862D01* -X123060208Y-102110397D01* -X123024130Y-102023298D01* -X122971753Y-101944910D01* -X122905090Y-101878247D01* -X122826702Y-101825870D01* -X122739603Y-101789792D01* -X122647138Y-101771400D01* -X122552862Y-101771400D01* -X122460397Y-101789792D01* -X122373298Y-101825870D01* -X122294910Y-101878247D01* -X122228247Y-101944910D01* -X122175870Y-102023298D01* -X122139792Y-102110397D01* -X122121400Y-102202862D01* -X111269786Y-102202862D01* -X111268440Y-102199614D01* -X111210591Y-102113037D01* -X111136963Y-102039409D01* -X111050386Y-101981560D01* -X110954187Y-101941713D01* -X110852063Y-101921400D01* -X110747937Y-101921400D01* -X110645813Y-101941713D01* -X110549614Y-101981560D01* -X110463037Y-102039409D01* -X110389409Y-102113037D01* -X110331560Y-102199614D01* -X110291713Y-102295813D01* -X110271400Y-102397937D01* -X105184336Y-102397937D01* -X105174130Y-102373298D01* -X105121753Y-102294910D01* -X105055090Y-102228247D01* -X104976702Y-102175870D01* -X104889603Y-102139792D01* -X104797138Y-102121400D01* -X104702862Y-102121400D01* -X104610397Y-102139792D01* -X104523298Y-102175870D01* -X104444910Y-102228247D01* -X104378247Y-102294910D01* -X104325870Y-102373298D01* -X104289792Y-102460397D01* -X104271400Y-102552862D01* -X103286376Y-102552862D01* -X103274130Y-102523298D01* -X103221753Y-102444910D01* -X103155090Y-102378247D01* -X103076702Y-102325870D01* -X102989603Y-102289792D01* -X102897138Y-102271400D01* -X102802862Y-102271400D01* -X102710397Y-102289792D01* -X102623298Y-102325870D01* -X102544910Y-102378247D01* -X102478247Y-102444910D01* -X102425870Y-102523298D01* -X102389792Y-102610397D01* -X102371400Y-102702862D01* -X101018655Y-102702862D01* -X101010208Y-102660397D01* -X100974130Y-102573298D01* -X100921753Y-102494910D01* -X100855090Y-102428247D01* -X100776702Y-102375870D01* -X100689603Y-102339792D01* -X100597138Y-102321400D01* -X100502862Y-102321400D01* -X100410397Y-102339792D01* -X100323298Y-102375870D01* -X100244910Y-102428247D01* -X100178247Y-102494910D01* -X100125870Y-102573298D01* -X100089792Y-102660397D01* -X100071400Y-102752862D01* -X99973241Y-102752862D01* -X100024130Y-102676702D01* -X100060208Y-102589603D01* -X100078600Y-102497138D01* -X100078600Y-102402862D01* -X100060208Y-102310397D01* -X100024130Y-102223298D01* -X99971753Y-102144910D01* -X99905090Y-102078247D01* -X99826702Y-102025870D01* -X99739603Y-101989792D01* -X99647138Y-101971400D01* -X99552862Y-101971400D01* -X99460397Y-101989792D01* -X99373298Y-102025870D01* -X99294910Y-102078247D01* -X99228247Y-102144910D01* -X99175870Y-102223298D01* -X99139792Y-102310397D01* -X99121400Y-102402862D01* -X83917099Y-102402862D01* -X83876702Y-102375870D01* -X83789603Y-102339792D01* -X83697138Y-102321400D01* -X83602862Y-102321400D01* -X83510397Y-102339792D01* -X83423298Y-102375870D01* -X83344910Y-102428247D01* -X83278247Y-102494910D01* -X83225870Y-102573298D01* -X83189792Y-102660397D01* -X83171400Y-102752862D01* -X82428600Y-102752862D01* -X82410208Y-102660397D01* -X82374130Y-102573298D01* -X82321753Y-102494910D01* -X82255090Y-102428247D01* -X82176702Y-102375870D01* -X82089603Y-102339792D01* -X81997138Y-102321400D01* -X81902862Y-102321400D01* -X81810397Y-102339792D01* -X81723298Y-102375870D01* -X81644910Y-102428247D01* -X81578247Y-102494910D01* -X81525870Y-102573298D01* -X81489792Y-102660397D01* -X81471400Y-102752862D01* -X80061705Y-102752862D01* -X80061090Y-102752247D01* -X79982702Y-102699870D01* -X79895603Y-102663792D01* -X79803138Y-102645400D01* -X79708862Y-102645400D01* -X79616397Y-102663792D01* -X79529298Y-102699870D01* -X79450910Y-102752247D01* -X79384247Y-102818910D01* -X79331870Y-102897298D01* -X79295792Y-102984397D01* -X79277400Y-103076862D01* -X78964600Y-103076862D01* -X78946208Y-102984397D01* -X78910130Y-102897298D01* -X78857753Y-102818910D01* -X78791090Y-102752247D01* -X78712702Y-102699870D01* -X78625603Y-102663792D01* -X78533138Y-102645400D01* -X78438862Y-102645400D01* -X78346397Y-102663792D01* -X78259298Y-102699870D01* -X78180910Y-102752247D01* -X78114247Y-102818910D01* -X78061870Y-102897298D01* -X78025792Y-102984397D01* -X78007400Y-103076862D01* -X77694600Y-103076862D01* -X77676208Y-102984397D01* -X77640130Y-102897298D01* -X77587753Y-102818910D01* -X77521090Y-102752247D01* -X77442702Y-102699870D01* -X77355603Y-102663792D01* -X77263138Y-102645400D01* -X77168862Y-102645400D01* -X77076397Y-102663792D01* -X76989298Y-102699870D01* -X76910910Y-102752247D01* -X76844247Y-102818910D01* -X76791870Y-102897298D01* -X76755792Y-102984397D01* -X76737400Y-103076862D01* -X65227200Y-103076862D01* -X65227200Y-103016428D01* -X65185228Y-102805417D01* -X65102895Y-102606649D01* -X64983367Y-102427763D01* -X64831237Y-102275633D01* -X64652351Y-102156105D01* -X64644522Y-102152862D01* -X68521400Y-102152862D01* -X68521400Y-102247138D01* -X68539792Y-102339603D01* -X68575870Y-102426702D01* -X68628247Y-102505090D01* -X68694910Y-102571753D01* -X68773298Y-102624130D01* -X68860397Y-102660208D01* -X68952862Y-102678600D01* -X69047138Y-102678600D01* -X69139603Y-102660208D01* -X69226702Y-102624130D01* -X69305090Y-102571753D01* -X69371753Y-102505090D01* -X69424130Y-102426702D01* -X69460208Y-102339603D01* -X69478600Y-102247138D01* -X69478600Y-102152862D01* -X69460208Y-102060397D01* -X69424130Y-101973298D01* -X69371753Y-101894910D01* -X69305090Y-101828247D01* -X69226702Y-101775870D01* -X69139603Y-101739792D01* -X69047138Y-101721400D01* -X68952862Y-101721400D01* -X68860397Y-101739792D01* -X68773298Y-101775870D01* -X68694910Y-101828247D01* -X68628247Y-101894910D01* -X68575870Y-101973298D01* -X68539792Y-102060397D01* -X68521400Y-102152862D01* -X64644522Y-102152862D01* -X64453583Y-102073772D01* -X64242572Y-102031800D01* -X64027428Y-102031800D01* -X63816417Y-102073772D01* -X63617649Y-102156105D01* -X63438763Y-102275633D01* -X63286633Y-102427763D01* -X63167105Y-102606649D01* -X63084772Y-102805417D01* -X63042800Y-103016428D01* -X62687200Y-103016428D01* -X62645228Y-102805417D01* -X62562895Y-102606649D01* -X62443367Y-102427763D01* -X62291237Y-102275633D01* -X62112351Y-102156105D01* -X61913583Y-102073772D01* -X61702572Y-102031800D01* -X61487428Y-102031800D01* -X61276417Y-102073772D01* -X61077649Y-102156105D01* -X60898763Y-102275633D01* -X60746633Y-102427763D01* -X60627105Y-102606649D01* -X60544772Y-102805417D01* -X60502800Y-103016428D01* -X46177200Y-103016428D01* -X46177200Y-101933862D01* -X48416400Y-101933862D01* -X48416400Y-102028138D01* -X48434792Y-102120603D01* -X48470870Y-102207702D01* -X48523247Y-102286090D01* -X48589910Y-102352753D01* -X48668298Y-102405130D01* -X48755397Y-102441208D01* -X48847862Y-102459600D01* -X48942138Y-102459600D01* -X49034603Y-102441208D01* -X49121702Y-102405130D01* -X49200090Y-102352753D01* -X49266753Y-102286090D01* -X49319130Y-102207702D01* -X49355208Y-102120603D01* -X49373600Y-102028138D01* -X49373600Y-101933862D01* -X53496400Y-101933862D01* -X53496400Y-102028138D01* -X53514792Y-102120603D01* -X53550870Y-102207702D01* -X53603247Y-102286090D01* -X53669910Y-102352753D01* -X53748298Y-102405130D01* -X53835397Y-102441208D01* -X53927862Y-102459600D01* -X54022138Y-102459600D01* -X54114603Y-102441208D01* -X54201702Y-102405130D01* -X54280090Y-102352753D01* -X54346753Y-102286090D01* -X54399130Y-102207702D01* -X54435208Y-102120603D01* -X54453600Y-102028138D01* -X54453600Y-101933862D01* -X54435208Y-101841397D01* -X54399130Y-101754298D01* -X54346753Y-101675910D01* -X54280090Y-101609247D01* -X54201702Y-101556870D01* -X54114603Y-101520792D01* -X54022138Y-101502400D01* -X53927862Y-101502400D01* -X53835397Y-101520792D01* -X53748298Y-101556870D01* -X53669910Y-101609247D01* -X53603247Y-101675910D01* -X53550870Y-101754298D01* -X53514792Y-101841397D01* -X53496400Y-101933862D01* -X49373600Y-101933862D01* -X49355208Y-101841397D01* -X49319130Y-101754298D01* -X49266753Y-101675910D01* -X49200090Y-101609247D01* -X49121702Y-101556870D01* -X49034603Y-101520792D01* -X48942138Y-101502400D01* -X48847862Y-101502400D01* -X48755397Y-101520792D01* -X48668298Y-101556870D01* -X48589910Y-101609247D01* -X48523247Y-101675910D01* -X48470870Y-101754298D01* -X48434792Y-101841397D01* -X48416400Y-101933862D01* -X46177200Y-101933862D01* -X46177200Y-100476428D01* -X60502800Y-100476428D01* -X60502800Y-100691572D01* -X60544772Y-100902583D01* -X60627105Y-101101351D01* -X60746633Y-101280237D01* -X60898763Y-101432367D01* -X61077649Y-101551895D01* -X61276417Y-101634228D01* -X61487428Y-101676200D01* -X61702572Y-101676200D01* -X61913583Y-101634228D01* -X62112351Y-101551895D01* -X62291237Y-101432367D01* -X62443367Y-101280237D01* -X62562895Y-101101351D01* -X62645228Y-100902583D01* -X62687200Y-100691572D01* -X62687200Y-100476428D01* -X63042800Y-100476428D01* -X63042800Y-100691572D01* -X63084772Y-100902583D01* -X63167105Y-101101351D01* -X63286633Y-101280237D01* -X63438763Y-101432367D01* -X63617649Y-101551895D01* -X63816417Y-101634228D01* -X64027428Y-101676200D01* -X64242572Y-101676200D01* -X64453583Y-101634228D01* -X64652351Y-101551895D01* -X64831237Y-101432367D01* -X64910742Y-101352862D01* -X66321400Y-101352862D01* -X66321400Y-101447138D01* -X66339792Y-101539603D01* -X66375870Y-101626702D01* -X66428247Y-101705090D01* -X66494910Y-101771753D01* -X66573298Y-101824130D01* -X66660397Y-101860208D01* -X66752862Y-101878600D01* -X66847138Y-101878600D01* -X66939603Y-101860208D01* -X67026702Y-101824130D01* -X67105090Y-101771753D01* -X67171753Y-101705090D01* -X67224130Y-101626702D01* -X67260208Y-101539603D01* -X67278600Y-101447138D01* -X67278600Y-101352862D01* -X67260208Y-101260397D01* -X67236376Y-101202862D01* -X67671400Y-101202862D01* -X67671400Y-101297138D01* -X67689792Y-101389603D01* -X67725870Y-101476702D01* -X67778247Y-101555090D01* -X67844910Y-101621753D01* -X67923298Y-101674130D01* -X68010397Y-101710208D01* -X68102862Y-101728600D01* -X68197138Y-101728600D01* -X68289603Y-101710208D01* -X68376702Y-101674130D01* -X68455090Y-101621753D01* -X68521753Y-101555090D01* -X68574130Y-101476702D01* -X68610208Y-101389603D01* -X68628600Y-101297138D01* -X68628600Y-101202862D01* -X69371400Y-101202862D01* -X69371400Y-101297138D01* -X69389792Y-101389603D01* -X69425870Y-101476702D01* -X69478247Y-101555090D01* -X69544910Y-101621753D01* -X69623298Y-101674130D01* -X69710397Y-101710208D01* -X69802862Y-101728600D01* -X69897138Y-101728600D01* -X69989603Y-101710208D01* -X70076702Y-101674130D01* -X70155090Y-101621753D01* -X70173981Y-101602862D01* -X75221400Y-101602862D01* -X75221400Y-101697138D01* -X75239792Y-101789603D01* -X75275870Y-101876702D01* -X75328247Y-101955090D01* -X75394910Y-102021753D01* -X75473298Y-102074130D01* -X75560397Y-102110208D01* -X75652862Y-102128600D01* -X75747138Y-102128600D01* -X75839603Y-102110208D01* -X75926702Y-102074130D01* -X76005090Y-102021753D01* -X76071753Y-101955090D01* -X76073241Y-101952862D01* -X82321400Y-101952862D01* -X82321400Y-102047138D01* -X82339792Y-102139603D01* -X82375870Y-102226702D01* -X82428247Y-102305090D01* -X82494910Y-102371753D01* -X82573298Y-102424130D01* -X82660397Y-102460208D01* -X82752862Y-102478600D01* -X82847138Y-102478600D01* -X82939603Y-102460208D01* -X83026702Y-102424130D01* -X83105090Y-102371753D01* -X83171753Y-102305090D01* -X83224130Y-102226702D01* -X83260208Y-102139603D01* -X83278600Y-102047138D01* -X83278600Y-101952862D01* -X83260208Y-101860397D01* -X83257087Y-101852862D01* -X87971400Y-101852862D01* -X87971400Y-101947138D01* -X87989792Y-102039603D01* -X88025870Y-102126702D01* -X88078247Y-102205090D01* -X88144910Y-102271753D01* -X88223298Y-102324130D01* -X88310397Y-102360208D01* -X88402862Y-102378600D01* -X88497138Y-102378600D01* -X88589603Y-102360208D01* -X88676702Y-102324130D01* -X88755090Y-102271753D01* -X88821753Y-102205090D01* -X88874130Y-102126702D01* -X88910208Y-102039603D01* -X88928600Y-101947138D01* -X88928600Y-101852862D01* -X88910208Y-101760397D01* -X88874130Y-101673298D01* -X88821753Y-101594910D01* -X88755090Y-101528247D01* -X88676702Y-101475870D01* -X88589603Y-101439792D01* -X88497138Y-101421400D01* -X88402862Y-101421400D01* -X88310397Y-101439792D01* -X88223298Y-101475870D01* -X88144910Y-101528247D01* -X88078247Y-101594910D01* -X88025870Y-101673298D01* -X87989792Y-101760397D01* -X87971400Y-101852862D01* -X83257087Y-101852862D01* -X83224130Y-101773298D01* -X83171753Y-101694910D01* -X83105090Y-101628247D01* -X83026702Y-101575870D01* -X82939603Y-101539792D01* -X82847138Y-101521400D01* -X82752862Y-101521400D01* -X82660397Y-101539792D01* -X82573298Y-101575870D01* -X82494910Y-101628247D01* -X82428247Y-101694910D01* -X82375870Y-101773298D01* -X82339792Y-101860397D01* -X82321400Y-101952862D01* -X76073241Y-101952862D01* -X76124130Y-101876702D01* -X76160208Y-101789603D01* -X76178600Y-101697138D01* -X76178600Y-101602862D01* -X76160208Y-101510397D01* -X76124130Y-101423298D01* -X76110476Y-101402862D01* -X113571400Y-101402862D01* -X113571400Y-101497138D01* -X113589792Y-101589603D01* -X113625870Y-101676702D01* -X113678247Y-101755090D01* -X113744910Y-101821753D01* -X113823298Y-101874130D01* -X113910397Y-101910208D01* -X114002862Y-101928600D01* -X114097138Y-101928600D01* -X114189603Y-101910208D01* -X114276702Y-101874130D01* -X114355090Y-101821753D01* -X114421753Y-101755090D01* -X114474130Y-101676702D01* -X114510208Y-101589603D01* -X114528600Y-101497138D01* -X114528600Y-101402862D01* -X122771400Y-101402862D01* -X122771400Y-101497138D01* -X122789792Y-101589603D01* -X122825870Y-101676702D01* -X122878247Y-101755090D01* -X122944910Y-101821753D01* -X123023298Y-101874130D01* -X123110397Y-101910208D01* -X123202862Y-101928600D01* -X123297138Y-101928600D01* -X123389603Y-101910208D01* -X123476702Y-101874130D01* -X123555090Y-101821753D01* -X123621753Y-101755090D01* -X123674130Y-101676702D01* -X123710208Y-101589603D01* -X123728600Y-101497138D01* -X123728600Y-101402862D01* -X123710208Y-101310397D01* -X123674130Y-101223298D01* -X123621753Y-101144910D01* -X123555090Y-101078247D01* -X123476702Y-101025870D01* -X123389603Y-100989792D01* -X123297138Y-100971400D01* -X123202862Y-100971400D01* -X123110397Y-100989792D01* -X123023298Y-101025870D01* -X122944910Y-101078247D01* -X122878247Y-101144910D01* -X122825870Y-101223298D01* -X122789792Y-101310397D01* -X122771400Y-101402862D01* -X114528600Y-101402862D01* -X114510208Y-101310397D01* -X114474130Y-101223298D01* -X114421753Y-101144910D01* -X114355090Y-101078247D01* -X114276702Y-101025870D01* -X114189603Y-100989792D01* -X114097138Y-100971400D01* -X114002862Y-100971400D01* -X113910397Y-100989792D01* -X113823298Y-101025870D01* -X113744910Y-101078247D01* -X113678247Y-101144910D01* -X113625870Y-101223298D01* -X113589792Y-101310397D01* -X113571400Y-101402862D01* -X76110476Y-101402862D01* -X76071753Y-101344910D01* -X76005090Y-101278247D01* -X75926702Y-101225870D01* -X75839603Y-101189792D01* -X75747138Y-101171400D01* -X75652862Y-101171400D01* -X75560397Y-101189792D01* -X75473298Y-101225870D01* -X75394910Y-101278247D01* -X75328247Y-101344910D01* -X75275870Y-101423298D01* -X75239792Y-101510397D01* -X75221400Y-101602862D01* -X70173981Y-101602862D01* -X70221753Y-101555090D01* -X70274130Y-101476702D01* -X70310208Y-101389603D01* -X70328600Y-101297138D01* -X70328600Y-101202862D01* -X70310208Y-101110397D01* -X70274130Y-101023298D01* -X70221753Y-100944910D01* -X70155090Y-100878247D01* -X70117099Y-100852862D01* -X99171400Y-100852862D01* -X99171400Y-100947138D01* -X99189792Y-101039603D01* -X99225870Y-101126702D01* -X99278247Y-101205090D01* -X99344910Y-101271753D01* -X99423298Y-101324130D01* -X99510397Y-101360208D01* -X99602862Y-101378600D01* -X99697138Y-101378600D01* -X99789603Y-101360208D01* -X99876702Y-101324130D01* -X99955090Y-101271753D01* -X100021753Y-101205090D01* -X100074130Y-101126702D01* -X100110208Y-101039603D01* -X100128600Y-100947138D01* -X100128600Y-100852862D01* -X100110208Y-100760397D01* -X100074130Y-100673298D01* -X100021753Y-100594910D01* -X99955090Y-100528247D01* -X99876702Y-100475870D01* -X99789603Y-100439792D01* -X99697138Y-100421400D01* -X99602862Y-100421400D01* -X99510397Y-100439792D01* -X99423298Y-100475870D01* -X99344910Y-100528247D01* -X99278247Y-100594910D01* -X99225870Y-100673298D01* -X99189792Y-100760397D01* -X99171400Y-100852862D01* -X70117099Y-100852862D01* -X70076702Y-100825870D01* -X69989603Y-100789792D01* -X69897138Y-100771400D01* -X69802862Y-100771400D01* -X69710397Y-100789792D01* -X69623298Y-100825870D01* -X69544910Y-100878247D01* -X69478247Y-100944910D01* -X69425870Y-101023298D01* -X69389792Y-101110397D01* -X69371400Y-101202862D01* -X68628600Y-101202862D01* -X68610208Y-101110397D01* -X68574130Y-101023298D01* -X68521753Y-100944910D01* -X68455090Y-100878247D01* -X68376702Y-100825870D01* -X68289603Y-100789792D01* -X68197138Y-100771400D01* -X68102862Y-100771400D01* -X68010397Y-100789792D01* -X67923298Y-100825870D01* -X67844910Y-100878247D01* -X67778247Y-100944910D01* -X67725870Y-101023298D01* -X67689792Y-101110397D01* -X67671400Y-101202862D01* -X67236376Y-101202862D01* -X67224130Y-101173298D01* -X67171753Y-101094910D01* -X67105090Y-101028247D01* -X67026702Y-100975870D01* -X66939603Y-100939792D01* -X66847138Y-100921400D01* -X66752862Y-100921400D01* -X66660397Y-100939792D01* -X66573298Y-100975870D01* -X66494910Y-101028247D01* -X66428247Y-101094910D01* -X66375870Y-101173298D01* -X66339792Y-101260397D01* -X66321400Y-101352862D01* -X64910742Y-101352862D01* -X64983367Y-101280237D01* -X65102895Y-101101351D01* -X65185228Y-100902583D01* -X65227200Y-100691572D01* -X65227200Y-100476428D01* -X65185228Y-100265417D01* -X65180028Y-100252862D01* -X83021400Y-100252862D01* -X83021400Y-100347138D01* -X83039792Y-100439603D01* -X83075870Y-100526702D01* -X83128247Y-100605090D01* -X83194910Y-100671753D01* -X83273298Y-100724130D01* -X83360397Y-100760208D01* -X83452862Y-100778600D01* -X83547138Y-100778600D01* -X83639603Y-100760208D01* -X83726702Y-100724130D01* -X83805090Y-100671753D01* -X83871753Y-100605090D01* -X83924130Y-100526702D01* -X83960208Y-100439603D01* -X83978600Y-100347138D01* -X83978600Y-100252862D01* -X83960208Y-100160397D01* -X83936376Y-100102862D01* -X84771400Y-100102862D01* -X84771400Y-100197138D01* -X84789792Y-100289603D01* -X84825870Y-100376702D01* -X84878247Y-100455090D01* -X84944910Y-100521753D01* -X85023298Y-100574130D01* -X85110397Y-100610208D01* -X85202862Y-100628600D01* -X85297138Y-100628600D01* -X85389603Y-100610208D01* -X85476702Y-100574130D01* -X85555090Y-100521753D01* -X85621753Y-100455090D01* -X85674130Y-100376702D01* -X85710208Y-100289603D01* -X85728600Y-100197138D01* -X85728600Y-100102862D01* -X87021400Y-100102862D01* -X87021400Y-100197138D01* -X87039792Y-100289603D01* -X87075870Y-100376702D01* -X87128247Y-100455090D01* -X87194910Y-100521753D01* -X87273298Y-100574130D01* -X87360397Y-100610208D01* -X87452862Y-100628600D01* -X87547138Y-100628600D01* -X87639603Y-100610208D01* -X87726702Y-100574130D01* -X87805090Y-100521753D01* -X87871753Y-100455090D01* -X87924130Y-100376702D01* -X87960208Y-100289603D01* -X87978600Y-100197138D01* -X87978600Y-100102862D01* -X87960208Y-100010397D01* -X87924130Y-99923298D01* -X87871753Y-99844910D01* -X87805090Y-99778247D01* -X87726702Y-99725870D01* -X87639603Y-99689792D01* -X87547138Y-99671400D01* -X87452862Y-99671400D01* -X87360397Y-99689792D01* -X87273298Y-99725870D01* -X87194910Y-99778247D01* -X87128247Y-99844910D01* -X87075870Y-99923298D01* -X87039792Y-100010397D01* -X87021400Y-100102862D01* -X85728600Y-100102862D01* -X85710208Y-100010397D01* -X85674130Y-99923298D01* -X85621753Y-99844910D01* -X85555090Y-99778247D01* -X85476702Y-99725870D01* -X85389603Y-99689792D01* -X85297138Y-99671400D01* -X85202862Y-99671400D01* -X85110397Y-99689792D01* -X85023298Y-99725870D01* -X84944910Y-99778247D01* -X84878247Y-99844910D01* -X84825870Y-99923298D01* -X84789792Y-100010397D01* -X84771400Y-100102862D01* -X83936376Y-100102862D01* -X83924130Y-100073298D01* -X83871753Y-99994910D01* -X83805090Y-99928247D01* -X83726702Y-99875870D01* -X83639603Y-99839792D01* -X83547138Y-99821400D01* -X83452862Y-99821400D01* -X83360397Y-99839792D01* -X83273298Y-99875870D01* -X83194910Y-99928247D01* -X83128247Y-99994910D01* -X83075870Y-100073298D01* -X83039792Y-100160397D01* -X83021400Y-100252862D01* -X65180028Y-100252862D01* -X65102895Y-100066649D01* -X64983367Y-99887763D01* -X64831237Y-99735633D01* -X64652351Y-99616105D01* -X64453583Y-99533772D01* -X64242572Y-99491800D01* -X64027428Y-99491800D01* -X63816417Y-99533772D01* -X63617649Y-99616105D01* -X63438763Y-99735633D01* -X63286633Y-99887763D01* -X63167105Y-100066649D01* -X63084772Y-100265417D01* -X63042800Y-100476428D01* -X62687200Y-100476428D01* -X62645228Y-100265417D01* -X62562895Y-100066649D01* -X62443367Y-99887763D01* -X62291237Y-99735633D01* -X62112351Y-99616105D01* -X61913583Y-99533772D01* -X61702572Y-99491800D01* -X61487428Y-99491800D01* -X61276417Y-99533772D01* -X61077649Y-99616105D01* -X60898763Y-99735633D01* -X60746633Y-99887763D01* -X60627105Y-100066649D01* -X60544772Y-100265417D01* -X60502800Y-100476428D01* -X46177200Y-100476428D01* -X46177200Y-99885386D01* -X46215397Y-99901208D01* -X46307862Y-99919600D01* -X46402138Y-99919600D01* -X46494603Y-99901208D01* -X46581702Y-99865130D01* -X46660090Y-99812753D01* -X46726753Y-99746090D01* -X46779130Y-99667702D01* -X46815208Y-99580603D01* -X46833600Y-99488138D01* -X46833600Y-99393862D01* -X50956400Y-99393862D01* -X50956400Y-99488138D01* -X50974792Y-99580603D01* -X51010870Y-99667702D01* -X51063247Y-99746090D01* -X51129910Y-99812753D01* -X51208298Y-99865130D01* -X51295397Y-99901208D01* -X51387862Y-99919600D01* -X51482138Y-99919600D01* -X51574603Y-99901208D01* -X51661702Y-99865130D01* -X51740090Y-99812753D01* -X51806753Y-99746090D01* -X51859130Y-99667702D01* -X51895208Y-99580603D01* -X51913600Y-99488138D01* -X51913600Y-99393862D01* -X55921400Y-99393862D01* -X55921400Y-99488138D01* -X55939792Y-99580603D01* -X55975870Y-99667702D01* -X56028247Y-99746090D01* -X56094910Y-99812753D01* -X56173298Y-99865130D01* -X56260397Y-99901208D01* -X56352862Y-99919600D01* -X56447138Y-99919600D01* -X56539603Y-99901208D01* -X56626702Y-99865130D01* -X56705090Y-99812753D01* -X56771753Y-99746090D01* -X56824130Y-99667702D01* -X56860208Y-99580603D01* -X56878600Y-99488138D01* -X56878600Y-99402862D01* -X82471400Y-99402862D01* -X82471400Y-99497138D01* -X82489792Y-99589603D01* -X82525870Y-99676702D01* -X82578247Y-99755090D01* -X82644910Y-99821753D01* -X82723298Y-99874130D01* -X82810397Y-99910208D01* -X82902862Y-99928600D01* -X82997138Y-99928600D01* -X83089603Y-99910208D01* -X83176702Y-99874130D01* -X83255090Y-99821753D01* -X83321753Y-99755090D01* -X83374130Y-99676702D01* -X83404715Y-99602862D01* -X88021400Y-99602862D01* -X88021400Y-99697138D01* -X88039792Y-99789603D01* -X88075870Y-99876702D01* -X88128247Y-99955090D01* -X88194910Y-100021753D01* -X88273298Y-100074130D01* -X88360397Y-100110208D01* -X88452862Y-100128600D01* -X88547138Y-100128600D01* -X88639603Y-100110208D01* -X88726702Y-100074130D01* -X88805090Y-100021753D01* -X88871753Y-99955090D01* -X88924130Y-99876702D01* -X88934004Y-99852862D01* -X99171400Y-99852862D01* -X99171400Y-99947138D01* -X99189792Y-100039603D01* -X99225870Y-100126702D01* -X99278247Y-100205090D01* -X99344910Y-100271753D01* -X99423298Y-100324130D01* -X99510397Y-100360208D01* -X99602862Y-100378600D01* -X99697138Y-100378600D01* -X99789603Y-100360208D01* -X99807337Y-100352862D01* -X100071400Y-100352862D01* -X100071400Y-100447138D01* -X100089792Y-100539603D01* -X100125870Y-100626702D01* -X100178247Y-100705090D01* -X100244910Y-100771753D01* -X100323298Y-100824130D01* -X100410397Y-100860208D01* -X100502862Y-100878600D01* -X100597138Y-100878600D01* -X100689603Y-100860208D01* -X100776702Y-100824130D01* -X100855090Y-100771753D01* -X100921753Y-100705090D01* -X100974130Y-100626702D01* -X101010208Y-100539603D01* -X101028600Y-100447138D01* -X101028600Y-100352862D01* -X102371400Y-100352862D01* -X102371400Y-100447138D01* -X102389792Y-100539603D01* -X102425870Y-100626702D01* -X102478247Y-100705090D01* -X102544910Y-100771753D01* -X102623298Y-100824130D01* -X102710397Y-100860208D01* -X102802862Y-100878600D01* -X102897138Y-100878600D01* -X102989603Y-100860208D01* -X103076702Y-100824130D01* -X103155090Y-100771753D01* -X103221753Y-100705090D01* -X103274130Y-100626702D01* -X103310208Y-100539603D01* -X103328600Y-100447138D01* -X103328600Y-100352862D01* -X103310208Y-100260397D01* -X103274130Y-100173298D01* -X103260476Y-100152862D01* -X104221400Y-100152862D01* -X104221400Y-100247138D01* -X104239792Y-100339603D01* -X104275870Y-100426702D01* -X104328247Y-100505090D01* -X104394910Y-100571753D01* -X104473298Y-100624130D01* -X104560397Y-100660208D01* -X104652862Y-100678600D01* -X104747138Y-100678600D01* -X104839603Y-100660208D01* -X104926702Y-100624130D01* -X105005090Y-100571753D01* -X105071753Y-100505090D01* -X105124130Y-100426702D01* -X105160208Y-100339603D01* -X105177461Y-100252862D01* -X105521400Y-100252862D01* -X105521400Y-100347138D01* -X105539792Y-100439603D01* -X105575870Y-100526702D01* -X105628247Y-100605090D01* -X105694910Y-100671753D01* -X105773298Y-100724130D01* -X105860397Y-100760208D01* -X105952862Y-100778600D01* -X106047138Y-100778600D01* -X106139603Y-100760208D01* -X106226702Y-100724130D01* -X106305090Y-100671753D01* -X106371753Y-100605090D01* -X106424130Y-100526702D01* -X106434004Y-100502862D01* -X107071400Y-100502862D01* -X107071400Y-100597138D01* -X107089792Y-100689603D01* -X107125870Y-100776702D01* -X107178247Y-100855090D01* -X107244910Y-100921753D01* -X107323298Y-100974130D01* -X107410397Y-101010208D01* -X107502862Y-101028600D01* -X107597138Y-101028600D01* -X107689603Y-101010208D01* -X107776702Y-100974130D01* -X107855090Y-100921753D01* -X107921753Y-100855090D01* -X107974130Y-100776702D01* -X108010208Y-100689603D01* -X108028600Y-100597138D01* -X108028600Y-100502862D01* -X108371400Y-100502862D01* -X108371400Y-100597138D01* -X108389792Y-100689603D01* -X108425870Y-100776702D01* -X108478247Y-100855090D01* -X108544910Y-100921753D01* -X108623298Y-100974130D01* -X108710397Y-101010208D01* -X108802862Y-101028600D01* -X108897138Y-101028600D01* -X108989603Y-101010208D01* -X109076702Y-100974130D01* -X109155090Y-100921753D01* -X109221753Y-100855090D01* -X109274130Y-100776702D01* -X109310208Y-100689603D01* -X109327461Y-100602862D01* -X122121400Y-100602862D01* -X122121400Y-100697138D01* -X122139792Y-100789603D01* -X122175870Y-100876702D01* -X122228247Y-100955090D01* -X122294910Y-101021753D01* -X122373298Y-101074130D01* -X122460397Y-101110208D01* -X122552862Y-101128600D01* -X122647138Y-101128600D01* -X122739603Y-101110208D01* -X122826702Y-101074130D01* -X122905090Y-101021753D01* -X122971753Y-100955090D01* -X122996627Y-100917862D01* -X127664400Y-100917862D01* -X127664400Y-101012138D01* -X127682792Y-101104603D01* -X127718870Y-101191702D01* -X127771247Y-101270090D01* -X127837910Y-101336753D01* -X127916298Y-101389130D01* -X128003397Y-101425208D01* -X128095862Y-101443600D01* -X128190138Y-101443600D01* -X128282603Y-101425208D01* -X128369702Y-101389130D01* -X128448090Y-101336753D01* -X128514753Y-101270090D01* -X128567130Y-101191702D01* -X128603208Y-101104603D01* -X128621600Y-101012138D01* -X128621600Y-100917862D01* -X132744400Y-100917862D01* -X132744400Y-101012138D01* -X132762792Y-101104603D01* -X132798870Y-101191702D01* -X132851247Y-101270090D01* -X132917910Y-101336753D01* -X132996298Y-101389130D01* -X133083397Y-101425208D01* -X133175862Y-101443600D01* -X133270138Y-101443600D01* -X133362603Y-101425208D01* -X133449702Y-101389130D01* -X133528090Y-101336753D01* -X133594753Y-101270090D01* -X133647130Y-101191702D01* -X133683208Y-101104603D01* -X133701600Y-101012138D01* -X133701600Y-100917862D01* -X133683208Y-100825397D01* -X133668903Y-100790862D01* -X134649400Y-100790862D01* -X134649400Y-100885138D01* -X134667792Y-100977603D01* -X134703870Y-101064702D01* -X134756247Y-101143090D01* -X134822910Y-101209753D01* -X134901298Y-101262130D01* -X134988397Y-101298208D01* -X135080862Y-101316600D01* -X135175138Y-101316600D01* -X135267603Y-101298208D01* -X135354702Y-101262130D01* -X135433090Y-101209753D01* -X135499753Y-101143090D01* -X135552130Y-101064702D01* -X135588208Y-100977603D01* -X135606600Y-100885138D01* -X135606600Y-100790862D01* -X135919400Y-100790862D01* -X135919400Y-100885138D01* -X135937792Y-100977603D01* -X135973870Y-101064702D01* -X136026247Y-101143090D01* -X136092910Y-101209753D01* -X136171298Y-101262130D01* -X136258397Y-101298208D01* -X136350862Y-101316600D01* -X136445138Y-101316600D01* -X136537603Y-101298208D01* -X136624702Y-101262130D01* -X136703090Y-101209753D01* -X136769753Y-101143090D01* -X136822130Y-101064702D01* -X136858208Y-100977603D01* -X136870090Y-100917862D01* -X137824400Y-100917862D01* -X137824400Y-101012138D01* -X137842792Y-101104603D01* -X137878870Y-101191702D01* -X137931247Y-101270090D01* -X137997910Y-101336753D01* -X138076298Y-101389130D01* -X138163397Y-101425208D01* -X138255862Y-101443600D01* -X138350138Y-101443600D01* -X138442603Y-101425208D01* -X138529702Y-101389130D01* -X138608090Y-101336753D01* -X138674753Y-101270090D01* -X138727130Y-101191702D01* -X138763208Y-101104603D01* -X138781600Y-101012138D01* -X138781600Y-100917862D01* -X142904400Y-100917862D01* -X142904400Y-101012138D01* -X142922792Y-101104603D01* -X142958870Y-101191702D01* -X143011247Y-101270090D01* -X143077910Y-101336753D01* -X143156298Y-101389130D01* -X143243397Y-101425208D01* -X143335862Y-101443600D01* -X143430138Y-101443600D01* -X143522603Y-101425208D01* -X143609702Y-101389130D01* -X143688090Y-101336753D01* -X143754753Y-101270090D01* -X143807130Y-101191702D01* -X143843208Y-101104603D01* -X143861600Y-101012138D01* -X143861600Y-100917862D01* -X143843208Y-100825397D01* -X143807130Y-100738298D01* -X143754753Y-100659910D01* -X143688090Y-100593247D01* -X143609702Y-100540870D01* -X143522603Y-100504792D01* -X143430138Y-100486400D01* -X143335862Y-100486400D01* -X143243397Y-100504792D01* -X143156298Y-100540870D01* -X143077910Y-100593247D01* -X143011247Y-100659910D01* -X142958870Y-100738298D01* -X142922792Y-100825397D01* -X142904400Y-100917862D01* -X138781600Y-100917862D01* -X138763208Y-100825397D01* -X138727130Y-100738298D01* -X138674753Y-100659910D01* -X138608090Y-100593247D01* -X138529702Y-100540870D01* -X138442603Y-100504792D01* -X138350138Y-100486400D01* -X138255862Y-100486400D01* -X138163397Y-100504792D01* -X138076298Y-100540870D01* -X137997910Y-100593247D01* -X137931247Y-100659910D01* -X137878870Y-100738298D01* -X137842792Y-100825397D01* -X137824400Y-100917862D01* -X136870090Y-100917862D01* -X136876600Y-100885138D01* -X136876600Y-100790862D01* -X136858208Y-100698397D01* -X136822130Y-100611298D01* -X136769753Y-100532910D01* -X136703090Y-100466247D01* -X136624702Y-100413870D01* -X136537603Y-100377792D01* -X136445138Y-100359400D01* -X136350862Y-100359400D01* -X136258397Y-100377792D01* -X136171298Y-100413870D01* -X136092910Y-100466247D01* -X136026247Y-100532910D01* -X135973870Y-100611298D01* -X135937792Y-100698397D01* -X135919400Y-100790862D01* -X135606600Y-100790862D01* -X135588208Y-100698397D01* -X135552130Y-100611298D01* -X135499753Y-100532910D01* -X135433090Y-100466247D01* -X135354702Y-100413870D01* -X135267603Y-100377792D01* -X135175138Y-100359400D01* -X135080862Y-100359400D01* -X134988397Y-100377792D01* -X134901298Y-100413870D01* -X134822910Y-100466247D01* -X134756247Y-100532910D01* -X134703870Y-100611298D01* -X134667792Y-100698397D01* -X134649400Y-100790862D01* -X133668903Y-100790862D01* -X133647130Y-100738298D01* -X133594753Y-100659910D01* -X133528090Y-100593247D01* -X133449702Y-100540870D01* -X133362603Y-100504792D01* -X133270138Y-100486400D01* -X133175862Y-100486400D01* -X133083397Y-100504792D01* -X132996298Y-100540870D01* -X132917910Y-100593247D01* -X132851247Y-100659910D01* -X132798870Y-100738298D01* -X132762792Y-100825397D01* -X132744400Y-100917862D01* -X128621600Y-100917862D01* -X128603208Y-100825397D01* -X128567130Y-100738298D01* -X128514753Y-100659910D01* -X128448090Y-100593247D01* -X128369702Y-100540870D01* -X128282603Y-100504792D01* -X128190138Y-100486400D01* -X128095862Y-100486400D01* -X128003397Y-100504792D01* -X127916298Y-100540870D01* -X127837910Y-100593247D01* -X127771247Y-100659910D01* -X127718870Y-100738298D01* -X127682792Y-100825397D01* -X127664400Y-100917862D01* -X122996627Y-100917862D01* -X123024130Y-100876702D01* -X123060208Y-100789603D01* -X123078600Y-100697138D01* -X123078600Y-100602862D01* -X123060208Y-100510397D01* -X123024130Y-100423298D01* -X122971753Y-100344910D01* -X122905090Y-100278247D01* -X122826702Y-100225870D01* -X122739603Y-100189792D01* -X122647138Y-100171400D01* -X122552862Y-100171400D01* -X122460397Y-100189792D01* -X122373298Y-100225870D01* -X122294910Y-100278247D01* -X122228247Y-100344910D01* -X122175870Y-100423298D01* -X122139792Y-100510397D01* -X122121400Y-100602862D01* -X109327461Y-100602862D01* -X109328600Y-100597138D01* -X109328600Y-100502862D01* -X109310208Y-100410397D01* -X109274130Y-100323298D01* -X109221753Y-100244910D01* -X109155090Y-100178247D01* -X109076702Y-100125870D01* -X108989603Y-100089792D01* -X108897138Y-100071400D01* -X108802862Y-100071400D01* -X108710397Y-100089792D01* -X108623298Y-100125870D01* -X108544910Y-100178247D01* -X108478247Y-100244910D01* -X108425870Y-100323298D01* -X108389792Y-100410397D01* -X108371400Y-100502862D01* -X108028600Y-100502862D01* -X108010208Y-100410397D01* -X107974130Y-100323298D01* -X107921753Y-100244910D01* -X107855090Y-100178247D01* -X107776702Y-100125870D01* -X107689603Y-100089792D01* -X107597138Y-100071400D01* -X107502862Y-100071400D01* -X107410397Y-100089792D01* -X107323298Y-100125870D01* -X107244910Y-100178247D01* -X107178247Y-100244910D01* -X107125870Y-100323298D01* -X107089792Y-100410397D01* -X107071400Y-100502862D01* -X106434004Y-100502862D01* -X106460208Y-100439603D01* -X106478600Y-100347138D01* -X106478600Y-100252862D01* -X106460208Y-100160397D01* -X106424130Y-100073298D01* -X106371753Y-99994910D01* -X106305090Y-99928247D01* -X106226702Y-99875870D01* -X106139603Y-99839792D01* -X106047138Y-99821400D01* -X105952862Y-99821400D01* -X105860397Y-99839792D01* -X105773298Y-99875870D01* -X105694910Y-99928247D01* -X105628247Y-99994910D01* -X105575870Y-100073298D01* -X105539792Y-100160397D01* -X105521400Y-100252862D01* -X105177461Y-100252862D01* -X105178600Y-100247138D01* -X105178600Y-100152862D01* -X105160208Y-100060397D01* -X105124130Y-99973298D01* -X105071753Y-99894910D01* -X105005090Y-99828247D01* -X104926702Y-99775870D01* -X104839603Y-99739792D01* -X104747138Y-99721400D01* -X104652862Y-99721400D01* -X104560397Y-99739792D01* -X104473298Y-99775870D01* -X104394910Y-99828247D01* -X104328247Y-99894910D01* -X104275870Y-99973298D01* -X104239792Y-100060397D01* -X104221400Y-100152862D01* -X103260476Y-100152862D01* -X103221753Y-100094910D01* -X103155090Y-100028247D01* -X103076702Y-99975870D01* -X102989603Y-99939792D01* -X102897138Y-99921400D01* -X102802862Y-99921400D01* -X102710397Y-99939792D01* -X102623298Y-99975870D01* -X102544910Y-100028247D01* -X102478247Y-100094910D01* -X102425870Y-100173298D01* -X102389792Y-100260397D01* -X102371400Y-100352862D01* -X101028600Y-100352862D01* -X101010208Y-100260397D01* -X100974130Y-100173298D01* -X100921753Y-100094910D01* -X100855090Y-100028247D01* -X100776702Y-99975870D01* -X100689603Y-99939792D01* -X100597138Y-99921400D01* -X100502862Y-99921400D01* -X100410397Y-99939792D01* -X100323298Y-99975870D01* -X100244910Y-100028247D01* -X100178247Y-100094910D01* -X100125870Y-100173298D01* -X100089792Y-100260397D01* -X100071400Y-100352862D01* -X99807337Y-100352862D01* -X99876702Y-100324130D01* -X99955090Y-100271753D01* -X100021753Y-100205090D01* -X100074130Y-100126702D01* -X100110208Y-100039603D01* -X100128600Y-99947138D01* -X100128600Y-99852862D01* -X100110208Y-99760397D01* -X100074130Y-99673298D01* -X100021753Y-99594910D01* -X99955090Y-99528247D01* -X99876702Y-99475870D01* -X99789603Y-99439792D01* -X99697138Y-99421400D01* -X99602862Y-99421400D01* -X99510397Y-99439792D01* -X99423298Y-99475870D01* -X99344910Y-99528247D01* -X99278247Y-99594910D01* -X99225870Y-99673298D01* -X99189792Y-99760397D01* -X99171400Y-99852862D01* -X88934004Y-99852862D01* -X88960208Y-99789603D01* -X88978600Y-99697138D01* -X88978600Y-99602862D01* -X88960208Y-99510397D01* -X88924130Y-99423298D01* -X88871753Y-99344910D01* -X88805090Y-99278247D01* -X88726702Y-99225870D01* -X88639603Y-99189792D01* -X88547138Y-99171400D01* -X88452862Y-99171400D01* -X88360397Y-99189792D01* -X88273298Y-99225870D01* -X88194910Y-99278247D01* -X88128247Y-99344910D01* -X88075870Y-99423298D01* -X88039792Y-99510397D01* -X88021400Y-99602862D01* -X83404715Y-99602862D01* -X83410208Y-99589603D01* -X83428600Y-99497138D01* -X83428600Y-99402862D01* -X83410208Y-99310397D01* -X83374130Y-99223298D01* -X83321753Y-99144910D01* -X83255090Y-99078247D01* -X83176702Y-99025870D01* -X83089603Y-98989792D01* -X82997138Y-98971400D01* -X82902862Y-98971400D01* -X82810397Y-98989792D01* -X82723298Y-99025870D01* -X82644910Y-99078247D01* -X82578247Y-99144910D01* -X82525870Y-99223298D01* -X82489792Y-99310397D01* -X82471400Y-99402862D01* -X56878600Y-99402862D01* -X56878600Y-99393862D01* -X56860208Y-99301397D01* -X56824130Y-99214298D01* -X56771753Y-99135910D01* -X56705090Y-99069247D01* -X56626702Y-99016870D01* -X56539603Y-98980792D01* -X56447138Y-98962400D01* -X56352862Y-98962400D01* -X56260397Y-98980792D01* -X56173298Y-99016870D01* -X56094910Y-99069247D01* -X56028247Y-99135910D01* -X55975870Y-99214298D01* -X55939792Y-99301397D01* -X55921400Y-99393862D01* -X51913600Y-99393862D01* -X51895208Y-99301397D01* -X51859130Y-99214298D01* -X51806753Y-99135910D01* -X51740090Y-99069247D01* -X51661702Y-99016870D01* -X51574603Y-98980792D01* -X51482138Y-98962400D01* -X51387862Y-98962400D01* -X51295397Y-98980792D01* -X51208298Y-99016870D01* -X51129910Y-99069247D01* -X51063247Y-99135910D01* -X51010870Y-99214298D01* -X50974792Y-99301397D01* -X50956400Y-99393862D01* -X46833600Y-99393862D01* -X46815208Y-99301397D01* -X46779130Y-99214298D01* -X46726753Y-99135910D01* -X46660090Y-99069247D01* -X46581702Y-99016870D01* -X46494603Y-98980792D01* -X46402138Y-98962400D01* -X46307862Y-98962400D01* -X46215397Y-98980792D01* -X46177200Y-98996614D01* -X46177200Y-97936428D01* -X60502800Y-97936428D01* -X60502800Y-98151572D01* -X60544772Y-98362583D01* -X60627105Y-98561351D01* -X60746633Y-98740237D01* -X60898763Y-98892367D01* -X61077649Y-99011895D01* -X61276417Y-99094228D01* -X61487428Y-99136200D01* -X61702572Y-99136200D01* -X61913583Y-99094228D01* -X62112351Y-99011895D01* -X62291237Y-98892367D01* -X62443367Y-98740237D01* -X62562895Y-98561351D01* -X62645228Y-98362583D01* -X62687200Y-98151572D01* -X62687200Y-97936428D01* -X63042800Y-97936428D01* -X63042800Y-98151572D01* -X63084772Y-98362583D01* -X63167105Y-98561351D01* -X63286633Y-98740237D01* -X63438763Y-98892367D01* -X63617649Y-99011895D01* -X63816417Y-99094228D01* -X64027428Y-99136200D01* -X64242572Y-99136200D01* -X64453583Y-99094228D01* -X64652351Y-99011895D01* -X64831237Y-98892367D01* -X64983367Y-98740237D01* -X65102895Y-98561351D01* -X65185228Y-98362583D01* -X65227200Y-98151572D01* -X65227200Y-97936428D01* -X65200633Y-97802862D01* -X69121400Y-97802862D01* -X69121400Y-97897138D01* -X69139792Y-97989603D01* -X69175870Y-98076702D01* -X69228247Y-98155090D01* -X69294910Y-98221753D01* -X69373298Y-98274130D01* -X69460397Y-98310208D01* -X69552862Y-98328600D01* -X69647138Y-98328600D01* -X69739603Y-98310208D01* -X69826702Y-98274130D01* -X69861525Y-98250862D01* -X76737400Y-98250862D01* -X76737400Y-98345138D01* -X76755792Y-98437603D01* -X76791870Y-98524702D01* -X76844247Y-98603090D01* -X76910910Y-98669753D01* -X76989298Y-98722130D01* -X77076397Y-98758208D01* -X77168862Y-98776600D01* -X77263138Y-98776600D01* -X77355603Y-98758208D01* -X77442702Y-98722130D01* -X77521090Y-98669753D01* -X77587753Y-98603090D01* -X77640130Y-98524702D01* -X77676208Y-98437603D01* -X77693063Y-98352862D01* -X87971400Y-98352862D01* -X87971400Y-98447138D01* -X87989792Y-98539603D01* -X88025870Y-98626702D01* -X88078247Y-98705090D01* -X88144910Y-98771753D01* -X88223298Y-98824130D01* -X88310397Y-98860208D01* -X88402862Y-98878600D01* -X88497138Y-98878600D01* -X88589603Y-98860208D01* -X88607337Y-98852862D01* -X100071400Y-98852862D01* -X100071400Y-98947138D01* -X100089792Y-99039603D01* -X100125870Y-99126702D01* -X100178247Y-99205090D01* -X100244910Y-99271753D01* -X100323298Y-99324130D01* -X100410397Y-99360208D01* -X100502862Y-99378600D01* -X100597138Y-99378600D01* -X100689603Y-99360208D01* -X100707337Y-99352862D01* -X102371400Y-99352862D01* -X102371400Y-99447138D01* -X102389792Y-99539603D01* -X102425870Y-99626702D01* -X102478247Y-99705090D01* -X102544910Y-99771753D01* -X102623298Y-99824130D01* -X102710397Y-99860208D01* -X102802862Y-99878600D01* -X102897138Y-99878600D01* -X102989603Y-99860208D01* -X103076702Y-99824130D01* -X103155090Y-99771753D01* -X103221753Y-99705090D01* -X103274130Y-99626702D01* -X103310208Y-99539603D01* -X103327461Y-99452862D01* -X106371400Y-99452862D01* -X106371400Y-99547138D01* -X106389792Y-99639603D01* -X106425870Y-99726702D01* -X106478247Y-99805090D01* -X106544910Y-99871753D01* -X106623298Y-99924130D01* -X106710397Y-99960208D01* -X106802862Y-99978600D01* -X106897138Y-99978600D01* -X106989603Y-99960208D01* -X107076702Y-99924130D01* -X107155090Y-99871753D01* -X107221753Y-99805090D01* -X107274130Y-99726702D01* -X107304715Y-99652862D01* -X108921400Y-99652862D01* -X108921400Y-99747138D01* -X108939792Y-99839603D01* -X108975870Y-99926702D01* -X109028247Y-100005090D01* -X109094910Y-100071753D01* -X109173298Y-100124130D01* -X109260397Y-100160208D01* -X109352862Y-100178600D01* -X109447138Y-100178600D01* -X109539603Y-100160208D01* -X109626702Y-100124130D01* -X109705090Y-100071753D01* -X109771753Y-100005090D01* -X109824130Y-99926702D01* -X109860208Y-99839603D01* -X109877461Y-99752862D01* -X110421400Y-99752862D01* -X110421400Y-99847138D01* -X110439792Y-99939603D01* -X110475870Y-100026702D01* -X110528247Y-100105090D01* -X110594910Y-100171753D01* -X110673298Y-100224130D01* -X110760397Y-100260208D01* -X110852862Y-100278600D01* -X110947138Y-100278600D01* -X111039603Y-100260208D01* -X111126702Y-100224130D01* -X111205090Y-100171753D01* -X111271753Y-100105090D01* -X111324130Y-100026702D01* -X111360208Y-99939603D01* -X111378600Y-99847138D01* -X111378600Y-99802862D01* -X114221400Y-99802862D01* -X114221400Y-99897138D01* -X114239792Y-99989603D01* -X114275870Y-100076702D01* -X114328247Y-100155090D01* -X114394910Y-100221753D01* -X114473298Y-100274130D01* -X114560397Y-100310208D01* -X114652862Y-100328600D01* -X114747138Y-100328600D01* -X114839603Y-100310208D01* -X114926702Y-100274130D01* -X115005090Y-100221753D01* -X115071753Y-100155090D01* -X115124130Y-100076702D01* -X115160208Y-99989603D01* -X115178600Y-99897138D01* -X115178600Y-99802862D01* -X122771400Y-99802862D01* -X122771400Y-99897138D01* -X122789792Y-99989603D01* -X122825870Y-100076702D01* -X122878247Y-100155090D01* -X122944910Y-100221753D01* -X123023298Y-100274130D01* -X123110397Y-100310208D01* -X123202862Y-100328600D01* -X123297138Y-100328600D01* -X123389603Y-100310208D01* -X123476702Y-100274130D01* -X123555090Y-100221753D01* -X123621753Y-100155090D01* -X123674130Y-100076702D01* -X123710208Y-99989603D01* -X123728600Y-99897138D01* -X123728600Y-99802862D01* -X123710208Y-99710397D01* -X123674130Y-99623298D01* -X123621753Y-99544910D01* -X123555090Y-99478247D01* -X123476702Y-99425870D01* -X123389603Y-99389792D01* -X123297138Y-99371400D01* -X123202862Y-99371400D01* -X123110397Y-99389792D01* -X123023298Y-99425870D01* -X122944910Y-99478247D01* -X122878247Y-99544910D01* -X122825870Y-99623298D01* -X122789792Y-99710397D01* -X122771400Y-99802862D01* -X115178600Y-99802862D01* -X115160208Y-99710397D01* -X115124130Y-99623298D01* -X115071753Y-99544910D01* -X115005090Y-99478247D01* -X114926702Y-99425870D01* -X114839603Y-99389792D01* -X114747138Y-99371400D01* -X114652862Y-99371400D01* -X114560397Y-99389792D01* -X114473298Y-99425870D01* -X114394910Y-99478247D01* -X114328247Y-99544910D01* -X114275870Y-99623298D01* -X114239792Y-99710397D01* -X114221400Y-99802862D01* -X111378600Y-99802862D01* -X111378600Y-99752862D01* -X111360208Y-99660397D01* -X111324130Y-99573298D01* -X111271753Y-99494910D01* -X111205090Y-99428247D01* -X111126702Y-99375870D01* -X111039603Y-99339792D01* -X110947138Y-99321400D01* -X110852862Y-99321400D01* -X110760397Y-99339792D01* -X110673298Y-99375870D01* -X110594910Y-99428247D01* -X110528247Y-99494910D01* -X110475870Y-99573298D01* -X110439792Y-99660397D01* -X110421400Y-99752862D01* -X109877461Y-99752862D01* -X109878600Y-99747138D01* -X109878600Y-99652862D01* -X109860208Y-99560397D01* -X109824130Y-99473298D01* -X109771753Y-99394910D01* -X109705090Y-99328247D01* -X109626702Y-99275870D01* -X109539603Y-99239792D01* -X109447138Y-99221400D01* -X109352862Y-99221400D01* -X109260397Y-99239792D01* -X109173298Y-99275870D01* -X109094910Y-99328247D01* -X109028247Y-99394910D01* -X108975870Y-99473298D01* -X108939792Y-99560397D01* -X108921400Y-99652862D01* -X107304715Y-99652862D01* -X107310208Y-99639603D01* -X107328600Y-99547138D01* -X107328600Y-99452862D01* -X107310208Y-99360397D01* -X107274130Y-99273298D01* -X107221753Y-99194910D01* -X107155090Y-99128247D01* -X107076702Y-99075870D01* -X106989603Y-99039792D01* -X106897138Y-99021400D01* -X106802862Y-99021400D01* -X106710397Y-99039792D01* -X106623298Y-99075870D01* -X106544910Y-99128247D01* -X106478247Y-99194910D01* -X106425870Y-99273298D01* -X106389792Y-99360397D01* -X106371400Y-99452862D01* -X103327461Y-99452862D01* -X103328600Y-99447138D01* -X103328600Y-99352862D01* -X103310208Y-99260397D01* -X103274130Y-99173298D01* -X103221753Y-99094910D01* -X103155090Y-99028247D01* -X103076702Y-98975870D01* -X102989603Y-98939792D01* -X102897138Y-98921400D01* -X102802862Y-98921400D01* -X102710397Y-98939792D01* -X102623298Y-98975870D01* -X102544910Y-99028247D01* -X102478247Y-99094910D01* -X102425870Y-99173298D01* -X102389792Y-99260397D01* -X102371400Y-99352862D01* -X100707337Y-99352862D01* -X100776702Y-99324130D01* -X100855090Y-99271753D01* -X100921753Y-99205090D01* -X100974130Y-99126702D01* -X101010208Y-99039603D01* -X101028600Y-98947138D01* -X101028600Y-98902862D01* -X104571400Y-98902862D01* -X104571400Y-98997138D01* -X104589792Y-99089603D01* -X104625870Y-99176702D01* -X104678247Y-99255090D01* -X104744910Y-99321753D01* -X104823298Y-99374130D01* -X104910397Y-99410208D01* -X105002862Y-99428600D01* -X105097138Y-99428600D01* -X105189603Y-99410208D01* -X105276702Y-99374130D01* -X105355090Y-99321753D01* -X105421753Y-99255090D01* -X105474130Y-99176702D01* -X105510208Y-99089603D01* -X105527461Y-99002862D01* -X113571400Y-99002862D01* -X113571400Y-99097138D01* -X113589792Y-99189603D01* -X113625870Y-99276702D01* -X113678247Y-99355090D01* -X113744910Y-99421753D01* -X113823298Y-99474130D01* -X113910397Y-99510208D01* -X114002862Y-99528600D01* -X114097138Y-99528600D01* -X114189603Y-99510208D01* -X114276702Y-99474130D01* -X114355090Y-99421753D01* -X114421753Y-99355090D01* -X114474130Y-99276702D01* -X114510208Y-99189603D01* -X114528600Y-99097138D01* -X114528600Y-99002862D01* -X122121400Y-99002862D01* -X122121400Y-99097138D01* -X122139792Y-99189603D01* -X122175870Y-99276702D01* -X122228247Y-99355090D01* -X122294910Y-99421753D01* -X122373298Y-99474130D01* -X122460397Y-99510208D01* -X122552862Y-99528600D01* -X122647138Y-99528600D01* -X122739603Y-99510208D01* -X122826702Y-99474130D01* -X122905090Y-99421753D01* -X122971753Y-99355090D01* -X123024130Y-99276702D01* -X123060208Y-99189603D01* -X123078600Y-99097138D01* -X123078600Y-99002862D01* -X123060208Y-98910397D01* -X123024130Y-98823298D01* -X122971753Y-98744910D01* -X122905090Y-98678247D01* -X122826702Y-98625870D01* -X122739603Y-98589792D01* -X122647138Y-98571400D01* -X122552862Y-98571400D01* -X122460397Y-98589792D01* -X122373298Y-98625870D01* -X122294910Y-98678247D01* -X122228247Y-98744910D01* -X122175870Y-98823298D01* -X122139792Y-98910397D01* -X122121400Y-99002862D01* -X114528600Y-99002862D01* -X114510208Y-98910397D01* -X114474130Y-98823298D01* -X114421753Y-98744910D01* -X114355090Y-98678247D01* -X114276702Y-98625870D01* -X114189603Y-98589792D01* -X114097138Y-98571400D01* -X114002862Y-98571400D01* -X113910397Y-98589792D01* -X113823298Y-98625870D01* -X113744910Y-98678247D01* -X113678247Y-98744910D01* -X113625870Y-98823298D01* -X113589792Y-98910397D01* -X113571400Y-99002862D01* -X105527461Y-99002862D01* -X105528600Y-98997138D01* -X105528600Y-98902862D01* -X105510208Y-98810397D01* -X105474130Y-98723298D01* -X105421753Y-98644910D01* -X105355090Y-98578247D01* -X105276702Y-98525870D01* -X105189603Y-98489792D01* -X105097138Y-98471400D01* -X105002862Y-98471400D01* -X104910397Y-98489792D01* -X104823298Y-98525870D01* -X104744910Y-98578247D01* -X104678247Y-98644910D01* -X104625870Y-98723298D01* -X104589792Y-98810397D01* -X104571400Y-98902862D01* -X101028600Y-98902862D01* -X101028600Y-98852862D01* -X101010208Y-98760397D01* -X100974130Y-98673298D01* -X100921753Y-98594910D01* -X100855090Y-98528247D01* -X100776702Y-98475870D01* -X100689603Y-98439792D01* -X100597138Y-98421400D01* -X100502862Y-98421400D01* -X100410397Y-98439792D01* -X100323298Y-98475870D01* -X100244910Y-98528247D01* -X100178247Y-98594910D01* -X100125870Y-98673298D01* -X100089792Y-98760397D01* -X100071400Y-98852862D01* -X88607337Y-98852862D01* -X88676702Y-98824130D01* -X88755090Y-98771753D01* -X88821753Y-98705090D01* -X88874130Y-98626702D01* -X88910208Y-98539603D01* -X88928600Y-98447138D01* -X88928600Y-98352862D01* -X88910208Y-98260397D01* -X88874130Y-98173298D01* -X88821753Y-98094910D01* -X88755090Y-98028247D01* -X88676702Y-97975870D01* -X88589603Y-97939792D01* -X88497138Y-97921400D01* -X88402862Y-97921400D01* -X88310397Y-97939792D01* -X88223298Y-97975870D01* -X88144910Y-98028247D01* -X88078247Y-98094910D01* -X88025870Y-98173298D01* -X87989792Y-98260397D01* -X87971400Y-98352862D01* -X77693063Y-98352862D01* -X77694600Y-98345138D01* -X77694600Y-98250862D01* -X77676208Y-98158397D01* -X77640130Y-98071298D01* -X77587753Y-97992910D01* -X77521090Y-97926247D01* -X77442702Y-97873870D01* -X77355603Y-97837792D01* -X77263138Y-97819400D01* -X77168862Y-97819400D01* -X77076397Y-97837792D01* -X76989298Y-97873870D01* -X76910910Y-97926247D01* -X76844247Y-97992910D01* -X76791870Y-98071298D01* -X76755792Y-98158397D01* -X76737400Y-98250862D01* -X69861525Y-98250862D01* -X69905090Y-98221753D01* -X69971753Y-98155090D01* -X70024130Y-98076702D01* -X70060208Y-97989603D01* -X70078600Y-97897138D01* -X70078600Y-97802862D01* -X70068655Y-97752862D01* -X82021400Y-97752862D01* -X82021400Y-97847138D01* -X82039792Y-97939603D01* -X82075870Y-98026702D01* -X82128247Y-98105090D01* -X82194910Y-98171753D01* -X82273298Y-98224130D01* -X82360397Y-98260208D01* -X82452862Y-98278600D01* -X82547138Y-98278600D01* -X82639603Y-98260208D01* -X82726702Y-98224130D01* -X82805090Y-98171753D01* -X82871753Y-98105090D01* -X82924130Y-98026702D01* -X82960208Y-97939603D01* -X82978600Y-97847138D01* -X82978600Y-97752862D01* -X82960208Y-97660397D01* -X82924130Y-97573298D01* -X82871753Y-97494910D01* -X82805090Y-97428247D01* -X82726702Y-97375870D01* -X82671157Y-97352862D01* -X83021400Y-97352862D01* -X83021400Y-97447138D01* -X83039792Y-97539603D01* -X83075870Y-97626702D01* -X83128247Y-97705090D01* -X83194910Y-97771753D01* -X83273298Y-97824130D01* -X83360397Y-97860208D01* -X83452862Y-97878600D01* -X83547138Y-97878600D01* -X83639603Y-97860208D01* -X83726702Y-97824130D01* -X83805090Y-97771753D01* -X83871753Y-97705090D01* -X83924130Y-97626702D01* -X83960208Y-97539603D01* -X83978600Y-97447138D01* -X83978600Y-97352862D01* -X83960208Y-97260397D01* -X83924130Y-97173298D01* -X83877067Y-97102862D01* -X84721400Y-97102862D01* -X84721400Y-97197138D01* -X84739792Y-97289603D01* -X84775870Y-97376702D01* -X84828247Y-97455090D01* -X84894910Y-97521753D01* -X84973298Y-97574130D01* -X85060397Y-97610208D01* -X85152862Y-97628600D01* -X85247138Y-97628600D01* -X85339603Y-97610208D01* -X85426702Y-97574130D01* -X85505090Y-97521753D01* -X85571753Y-97455090D01* -X85624130Y-97376702D01* -X85634004Y-97352862D01* -X87971400Y-97352862D01* -X87971400Y-97447138D01* -X87989792Y-97539603D01* -X88025870Y-97626702D01* -X88078247Y-97705090D01* -X88144910Y-97771753D01* -X88223298Y-97824130D01* -X88310397Y-97860208D01* -X88402862Y-97878600D01* -X88497138Y-97878600D01* -X88589603Y-97860208D01* -X88607337Y-97852862D01* -X100071400Y-97852862D01* -X100071400Y-97947138D01* -X100089792Y-98039603D01* -X100125870Y-98126702D01* -X100178247Y-98205090D01* -X100244910Y-98271753D01* -X100323298Y-98324130D01* -X100410397Y-98360208D01* -X100502862Y-98378600D01* -X100597138Y-98378600D01* -X100689603Y-98360208D01* -X100707337Y-98352862D01* -X102371400Y-98352862D01* -X102371400Y-98447138D01* -X102389792Y-98539603D01* -X102425870Y-98626702D01* -X102478247Y-98705090D01* -X102544910Y-98771753D01* -X102623298Y-98824130D01* -X102710397Y-98860208D01* -X102802862Y-98878600D01* -X102897138Y-98878600D01* -X102989603Y-98860208D01* -X103076702Y-98824130D01* -X103155090Y-98771753D01* -X103221753Y-98705090D01* -X103274130Y-98626702D01* -X103310208Y-98539603D01* -X103328600Y-98447138D01* -X103328600Y-98352862D01* -X103310208Y-98260397D01* -X103274130Y-98173298D01* -X103221753Y-98094910D01* -X103155090Y-98028247D01* -X103076702Y-97975870D01* -X102989603Y-97939792D01* -X102897138Y-97921400D01* -X102802862Y-97921400D01* -X102710397Y-97939792D01* -X102623298Y-97975870D01* -X102544910Y-98028247D01* -X102478247Y-98094910D01* -X102425870Y-98173298D01* -X102389792Y-98260397D01* -X102371400Y-98352862D01* -X100707337Y-98352862D01* -X100776702Y-98324130D01* -X100855090Y-98271753D01* -X100921753Y-98205090D01* -X100974130Y-98126702D01* -X101010208Y-98039603D01* -X101028600Y-97947138D01* -X101028600Y-97852862D01* -X101010208Y-97760397D01* -X100974130Y-97673298D01* -X100921753Y-97594910D01* -X100855090Y-97528247D01* -X100776702Y-97475870D01* -X100689603Y-97439792D01* -X100597138Y-97421400D01* -X100502862Y-97421400D01* -X100410397Y-97439792D01* -X100323298Y-97475870D01* -X100244910Y-97528247D01* -X100178247Y-97594910D01* -X100125870Y-97673298D01* -X100089792Y-97760397D01* -X100071400Y-97852862D01* -X88607337Y-97852862D01* -X88676702Y-97824130D01* -X88755090Y-97771753D01* -X88821753Y-97705090D01* -X88874130Y-97626702D01* -X88910208Y-97539603D01* -X88928600Y-97447138D01* -X88928600Y-97352862D01* -X88910208Y-97260397D01* -X88874130Y-97173298D01* -X88821753Y-97094910D01* -X88755090Y-97028247D01* -X88676702Y-96975870D01* -X88589603Y-96939792D01* -X88497138Y-96921400D01* -X88402862Y-96921400D01* -X88310397Y-96939792D01* -X88223298Y-96975870D01* -X88144910Y-97028247D01* -X88078247Y-97094910D01* -X88025870Y-97173298D01* -X87989792Y-97260397D01* -X87971400Y-97352862D01* -X85634004Y-97352862D01* -X85660208Y-97289603D01* -X85678600Y-97197138D01* -X85678600Y-97102862D01* -X85660208Y-97010397D01* -X85624130Y-96923298D01* -X85577067Y-96852862D01* -X96721400Y-96852862D01* -X96721400Y-96947138D01* -X96739792Y-97039603D01* -X96775870Y-97126702D01* -X96828247Y-97205090D01* -X96894910Y-97271753D01* -X96973298Y-97324130D01* -X97060397Y-97360208D01* -X97152862Y-97378600D01* -X97247138Y-97378600D01* -X97339603Y-97360208D01* -X97426702Y-97324130D01* -X97505090Y-97271753D01* -X97571753Y-97205090D01* -X97624130Y-97126702D01* -X97660208Y-97039603D01* -X97678600Y-96947138D01* -X97678600Y-96852862D01* -X97660208Y-96760397D01* -X97624130Y-96673298D01* -X97571753Y-96594910D01* -X97505090Y-96528247D01* -X97426702Y-96475870D01* -X97339603Y-96439792D01* -X97247138Y-96421400D01* -X97152862Y-96421400D01* -X97060397Y-96439792D01* -X96973298Y-96475870D01* -X96894910Y-96528247D01* -X96828247Y-96594910D01* -X96775870Y-96673298D01* -X96739792Y-96760397D01* -X96721400Y-96852862D01* -X85577067Y-96852862D01* -X85571753Y-96844910D01* -X85505090Y-96778247D01* -X85426702Y-96725870D01* -X85339603Y-96689792D01* -X85247138Y-96671400D01* -X85152862Y-96671400D01* -X85060397Y-96689792D01* -X84973298Y-96725870D01* -X84894910Y-96778247D01* -X84828247Y-96844910D01* -X84775870Y-96923298D01* -X84739792Y-97010397D01* -X84721400Y-97102862D01* -X83877067Y-97102862D01* -X83871753Y-97094910D01* -X83805090Y-97028247D01* -X83726702Y-96975870D01* -X83639603Y-96939792D01* -X83547138Y-96921400D01* -X83452862Y-96921400D01* -X83360397Y-96939792D01* -X83273298Y-96975870D01* -X83194910Y-97028247D01* -X83128247Y-97094910D01* -X83075870Y-97173298D01* -X83039792Y-97260397D01* -X83021400Y-97352862D01* -X82671157Y-97352862D01* -X82639603Y-97339792D01* -X82547138Y-97321400D01* -X82452862Y-97321400D01* -X82360397Y-97339792D01* -X82273298Y-97375870D01* -X82194910Y-97428247D01* -X82128247Y-97494910D01* -X82075870Y-97573298D01* -X82039792Y-97660397D01* -X82021400Y-97752862D01* -X70068655Y-97752862D01* -X70060208Y-97710397D01* -X70024130Y-97623298D01* -X69971753Y-97544910D01* -X69905090Y-97478247D01* -X69826702Y-97425870D01* -X69739603Y-97389792D01* -X69647138Y-97371400D01* -X69552862Y-97371400D01* -X69460397Y-97389792D01* -X69373298Y-97425870D01* -X69294910Y-97478247D01* -X69228247Y-97544910D01* -X69175870Y-97623298D01* -X69139792Y-97710397D01* -X69121400Y-97802862D01* -X65200633Y-97802862D01* -X65185228Y-97725417D01* -X65102895Y-97526649D01* -X64983367Y-97347763D01* -X64831237Y-97195633D01* -X64652351Y-97076105D01* -X64575718Y-97044362D01* -X75975400Y-97044362D01* -X75975400Y-97138638D01* -X75993792Y-97231103D01* -X76029870Y-97318202D01* -X76082247Y-97396590D01* -X76148910Y-97463253D01* -X76227298Y-97515630D01* -X76314397Y-97551708D01* -X76406862Y-97570100D01* -X76501138Y-97570100D01* -X76593603Y-97551708D01* -X76680702Y-97515630D01* -X76759090Y-97463253D01* -X76825753Y-97396590D01* -X76878130Y-97318202D01* -X76914208Y-97231103D01* -X76932600Y-97138638D01* -X76932600Y-97044362D01* -X76914208Y-96951897D01* -X76878130Y-96864798D01* -X76825753Y-96786410D01* -X76759090Y-96719747D01* -X76680702Y-96667370D01* -X76593603Y-96631292D01* -X76501138Y-96612900D01* -X76406862Y-96612900D01* -X76314397Y-96631292D01* -X76227298Y-96667370D01* -X76148910Y-96719747D01* -X76082247Y-96786410D01* -X76029870Y-96864798D01* -X75993792Y-96951897D01* -X75975400Y-97044362D01* -X64575718Y-97044362D01* -X64453583Y-96993772D01* -X64242572Y-96951800D01* -X64027428Y-96951800D01* -X63816417Y-96993772D01* -X63617649Y-97076105D01* -X63438763Y-97195633D01* -X63286633Y-97347763D01* -X63167105Y-97526649D01* -X63084772Y-97725417D01* -X63042800Y-97936428D01* -X62687200Y-97936428D01* -X62645228Y-97725417D01* -X62562895Y-97526649D01* -X62443367Y-97347763D01* -X62291237Y-97195633D01* -X62112351Y-97076105D01* -X61913583Y-96993772D01* -X61702572Y-96951800D01* -X61487428Y-96951800D01* -X61276417Y-96993772D01* -X61077649Y-97076105D01* -X60898763Y-97195633D01* -X60746633Y-97347763D01* -X60627105Y-97526649D01* -X60544772Y-97725417D01* -X60502800Y-97936428D01* -X46177200Y-97936428D01* -X46177200Y-96059754D01* -X47328400Y-96059754D01* -X47328400Y-96218246D01* -X47359320Y-96373693D01* -X47419973Y-96520121D01* -X47508026Y-96651903D01* -X47620097Y-96763974D01* -X47751879Y-96852027D01* -X47898307Y-96912680D01* -X48053754Y-96943600D01* -X48212246Y-96943600D01* -X48367693Y-96912680D01* -X48509690Y-96853862D01* -X53496400Y-96853862D01* -X53496400Y-96948138D01* -X53514792Y-97040603D01* -X53550870Y-97127702D01* -X53603247Y-97206090D01* -X53669910Y-97272753D01* -X53748298Y-97325130D01* -X53835397Y-97361208D01* -X53927862Y-97379600D01* -X54022138Y-97379600D01* -X54114603Y-97361208D01* -X54201702Y-97325130D01* -X54280090Y-97272753D01* -X54346753Y-97206090D01* -X54399130Y-97127702D01* -X54435208Y-97040603D01* -X54453600Y-96948138D01* -X54453600Y-96853862D01* -X54435208Y-96761397D01* -X54399130Y-96674298D01* -X54346753Y-96595910D01* -X54280090Y-96529247D01* -X54201702Y-96476870D01* -X54114603Y-96440792D01* -X54022138Y-96422400D01* -X53927862Y-96422400D01* -X53835397Y-96440792D01* -X53748298Y-96476870D01* -X53669910Y-96529247D01* -X53603247Y-96595910D01* -X53550870Y-96674298D01* -X53514792Y-96761397D01* -X53496400Y-96853862D01* -X48509690Y-96853862D01* -X48514121Y-96852027D01* -X48645903Y-96763974D01* -X48757974Y-96651903D01* -X48846027Y-96520121D01* -X48906680Y-96373693D01* -X48937600Y-96218246D01* -X48937600Y-96059754D01* -X48906680Y-95904307D01* -X48852855Y-95774362D01* -X76737400Y-95774362D01* -X76737400Y-95868638D01* -X76755792Y-95961103D01* -X76791870Y-96048202D01* -X76844247Y-96126590D01* -X76910910Y-96193253D01* -X76989298Y-96245630D01* -X77076397Y-96281708D01* -X77168862Y-96300100D01* -X77263138Y-96300100D01* -X77355603Y-96281708D01* -X77442702Y-96245630D01* -X77521090Y-96193253D01* -X77587753Y-96126590D01* -X77640130Y-96048202D01* -X77676208Y-95961103D01* -X77694600Y-95868638D01* -X77694600Y-95774362D01* -X77681970Y-95710862D01* -X77816900Y-95710862D01* -X77816900Y-95805138D01* -X77835292Y-95897603D01* -X77871370Y-95984702D01* -X77923747Y-96063090D01* -X77990410Y-96129753D01* -X78068798Y-96182130D01* -X78155897Y-96218208D01* -X78248362Y-96236600D01* -X78342638Y-96236600D01* -X78435103Y-96218208D01* -X78522202Y-96182130D01* -X78600590Y-96129753D01* -X78667253Y-96063090D01* -X78719630Y-95984702D01* -X78755708Y-95897603D01* -X78774100Y-95805138D01* -X78774100Y-95710862D01* -X79467900Y-95710862D01* -X79467900Y-95805138D01* -X79486292Y-95897603D01* -X79522370Y-95984702D01* -X79574747Y-96063090D01* -X79641410Y-96129753D01* -X79719798Y-96182130D01* -X79806897Y-96218208D01* -X79899362Y-96236600D01* -X79993638Y-96236600D01* -X80086103Y-96218208D01* -X80173202Y-96182130D01* -X80251590Y-96129753D01* -X80318253Y-96063090D01* -X80370630Y-95984702D01* -X80406708Y-95897603D01* -X80425100Y-95805138D01* -X80425100Y-95710862D01* -X80547400Y-95710862D01* -X80547400Y-95805138D01* -X80565792Y-95897603D01* -X80601870Y-95984702D01* -X80654247Y-96063090D01* -X80720910Y-96129753D01* -X80799298Y-96182130D01* -X80886397Y-96218208D01* -X80978862Y-96236600D01* -X81073138Y-96236600D01* -X81165603Y-96218208D01* -X81252702Y-96182130D01* -X81331090Y-96129753D01* -X81397753Y-96063090D01* -X81450130Y-95984702D01* -X81486208Y-95897603D01* -X81504600Y-95805138D01* -X81504600Y-95710862D01* -X81486208Y-95618397D01* -X81459063Y-95552862D01* -X88521400Y-95552862D01* -X88521400Y-95647138D01* -X88539792Y-95739603D01* -X88575870Y-95826702D01* -X88628247Y-95905090D01* -X88694910Y-95971753D01* -X88773298Y-96024130D01* -X88860397Y-96060208D01* -X88952862Y-96078600D01* -X89047138Y-96078600D01* -X89139603Y-96060208D01* -X89226702Y-96024130D01* -X89305090Y-95971753D01* -X89371753Y-95905090D01* -X89373241Y-95902862D01* -X96221400Y-95902862D01* -X96221400Y-95997138D01* -X96239792Y-96089603D01* -X96275870Y-96176702D01* -X96328247Y-96255090D01* -X96394910Y-96321753D01* -X96473298Y-96374130D01* -X96560397Y-96410208D01* -X96652862Y-96428600D01* -X96747138Y-96428600D01* -X96839603Y-96410208D01* -X96926702Y-96374130D01* -X96958531Y-96352862D01* -X97621400Y-96352862D01* -X97621400Y-96447138D01* -X97639792Y-96539603D01* -X97675870Y-96626702D01* -X97728247Y-96705090D01* -X97794910Y-96771753D01* -X97873298Y-96824130D01* -X97960397Y-96860208D01* -X98052862Y-96878600D01* -X98147138Y-96878600D01* -X98239603Y-96860208D01* -X98257337Y-96852862D01* -X100071400Y-96852862D01* -X100071400Y-96947138D01* -X100089792Y-97039603D01* -X100125870Y-97126702D01* -X100178247Y-97205090D01* -X100244910Y-97271753D01* -X100323298Y-97324130D01* -X100410397Y-97360208D01* -X100502862Y-97378600D01* -X100597138Y-97378600D01* -X100689603Y-97360208D01* -X100707337Y-97352862D01* -X102371400Y-97352862D01* -X102371400Y-97447138D01* -X102389792Y-97539603D01* -X102425870Y-97626702D01* -X102478247Y-97705090D01* -X102544910Y-97771753D01* -X102623298Y-97824130D01* -X102710397Y-97860208D01* -X102802862Y-97878600D01* -X102897138Y-97878600D01* -X102989603Y-97860208D01* -X103076702Y-97824130D01* -X103155090Y-97771753D01* -X103221753Y-97705090D01* -X103223241Y-97702862D01* -X107721400Y-97702862D01* -X107721400Y-97797138D01* -X107739792Y-97889603D01* -X107775870Y-97976702D01* -X107828247Y-98055090D01* -X107894910Y-98121753D01* -X107973298Y-98174130D01* -X108060397Y-98210208D01* -X108152862Y-98228600D01* -X108247138Y-98228600D01* -X108339603Y-98210208D01* -X108357337Y-98202862D01* -X114221400Y-98202862D01* -X114221400Y-98297138D01* -X114239792Y-98389603D01* -X114275870Y-98476702D01* -X114328247Y-98555090D01* -X114394910Y-98621753D01* -X114473298Y-98674130D01* -X114560397Y-98710208D01* -X114652862Y-98728600D01* -X114747138Y-98728600D01* -X114839603Y-98710208D01* -X114926702Y-98674130D01* -X115005090Y-98621753D01* -X115071753Y-98555090D01* -X115124130Y-98476702D01* -X115160208Y-98389603D01* -X115178600Y-98297138D01* -X115178600Y-98202862D01* -X122771400Y-98202862D01* -X122771400Y-98297138D01* -X122789792Y-98389603D01* -X122825870Y-98476702D01* -X122878247Y-98555090D01* -X122944910Y-98621753D01* -X123023298Y-98674130D01* -X123110397Y-98710208D01* -X123202862Y-98728600D01* -X123297138Y-98728600D01* -X123389603Y-98710208D01* -X123476702Y-98674130D01* -X123555090Y-98621753D01* -X123621753Y-98555090D01* -X123674130Y-98476702D01* -X123710208Y-98389603D01* -X123712543Y-98377862D01* -X130204400Y-98377862D01* -X130204400Y-98472138D01* -X130222792Y-98564603D01* -X130258870Y-98651702D01* -X130311247Y-98730090D01* -X130377910Y-98796753D01* -X130456298Y-98849130D01* -X130543397Y-98885208D01* -X130635862Y-98903600D01* -X130730138Y-98903600D01* -X130822603Y-98885208D01* -X130909702Y-98849130D01* -X130988090Y-98796753D01* -X131054753Y-98730090D01* -X131107130Y-98651702D01* -X131143208Y-98564603D01* -X131161600Y-98472138D01* -X131161600Y-98377862D01* -X135284400Y-98377862D01* -X135284400Y-98472138D01* -X135302792Y-98564603D01* -X135338870Y-98651702D01* -X135391247Y-98730090D01* -X135457910Y-98796753D01* -X135536298Y-98849130D01* -X135623397Y-98885208D01* -X135715862Y-98903600D01* -X135810138Y-98903600D01* -X135902603Y-98885208D01* -X135989702Y-98849130D01* -X136068090Y-98796753D01* -X136134753Y-98730090D01* -X136187130Y-98651702D01* -X136223208Y-98564603D01* -X136241600Y-98472138D01* -X136241600Y-98377862D01* -X140364400Y-98377862D01* -X140364400Y-98472138D01* -X140382792Y-98564603D01* -X140418870Y-98651702D01* -X140471247Y-98730090D01* -X140537910Y-98796753D01* -X140616298Y-98849130D01* -X140703397Y-98885208D01* -X140795862Y-98903600D01* -X140890138Y-98903600D01* -X140982603Y-98885208D01* -X141069702Y-98849130D01* -X141148090Y-98796753D01* -X141214753Y-98730090D01* -X141267130Y-98651702D01* -X141303208Y-98564603D01* -X141321600Y-98472138D01* -X141321600Y-98377862D01* -X141303208Y-98285397D01* -X141267130Y-98198298D01* -X141214753Y-98119910D01* -X141148090Y-98053247D01* -X141069702Y-98000870D01* -X140982603Y-97964792D01* -X140890138Y-97946400D01* -X140795862Y-97946400D01* -X140703397Y-97964792D01* -X140616298Y-98000870D01* -X140537910Y-98053247D01* -X140471247Y-98119910D01* -X140418870Y-98198298D01* -X140382792Y-98285397D01* -X140364400Y-98377862D01* -X136241600Y-98377862D01* -X136223208Y-98285397D01* -X136187130Y-98198298D01* -X136134753Y-98119910D01* -X136068090Y-98053247D01* -X135989702Y-98000870D01* -X135902603Y-97964792D01* -X135810138Y-97946400D01* -X135715862Y-97946400D01* -X135623397Y-97964792D01* -X135536298Y-98000870D01* -X135457910Y-98053247D01* -X135391247Y-98119910D01* -X135338870Y-98198298D01* -X135302792Y-98285397D01* -X135284400Y-98377862D01* -X131161600Y-98377862D01* -X131143208Y-98285397D01* -X131107130Y-98198298D01* -X131054753Y-98119910D01* -X130988090Y-98053247D01* -X130909702Y-98000870D01* -X130822603Y-97964792D01* -X130730138Y-97946400D01* -X130635862Y-97946400D01* -X130543397Y-97964792D01* -X130456298Y-98000870D01* -X130377910Y-98053247D01* -X130311247Y-98119910D01* -X130258870Y-98198298D01* -X130222792Y-98285397D01* -X130204400Y-98377862D01* -X123712543Y-98377862D01* -X123728600Y-98297138D01* -X123728600Y-98202862D01* -X123710208Y-98110397D01* -X123674130Y-98023298D01* -X123621753Y-97944910D01* -X123555090Y-97878247D01* -X123476702Y-97825870D01* -X123389603Y-97789792D01* -X123297138Y-97771400D01* -X123202862Y-97771400D01* -X123110397Y-97789792D01* -X123023298Y-97825870D01* -X122944910Y-97878247D01* -X122878247Y-97944910D01* -X122825870Y-98023298D01* -X122789792Y-98110397D01* -X122771400Y-98202862D01* -X115178600Y-98202862D01* -X115160208Y-98110397D01* -X115124130Y-98023298D01* -X115071753Y-97944910D01* -X115005090Y-97878247D01* -X114926702Y-97825870D01* -X114839603Y-97789792D01* -X114747138Y-97771400D01* -X114652862Y-97771400D01* -X114560397Y-97789792D01* -X114473298Y-97825870D01* -X114394910Y-97878247D01* -X114328247Y-97944910D01* -X114275870Y-98023298D01* -X114239792Y-98110397D01* -X114221400Y-98202862D01* -X108357337Y-98202862D01* -X108426702Y-98174130D01* -X108505090Y-98121753D01* -X108571753Y-98055090D01* -X108624130Y-97976702D01* -X108660208Y-97889603D01* -X108678600Y-97797138D01* -X108678600Y-97702862D01* -X108660208Y-97610397D01* -X108624130Y-97523298D01* -X108571753Y-97444910D01* -X108529705Y-97402862D01* -X113571400Y-97402862D01* -X113571400Y-97497138D01* -X113589792Y-97589603D01* -X113625870Y-97676702D01* -X113678247Y-97755090D01* -X113744910Y-97821753D01* -X113823298Y-97874130D01* -X113910397Y-97910208D01* -X114002862Y-97928600D01* -X114097138Y-97928600D01* -X114189603Y-97910208D01* -X114276702Y-97874130D01* -X114355090Y-97821753D01* -X114421753Y-97755090D01* -X114474130Y-97676702D01* -X114510208Y-97589603D01* -X114528600Y-97497138D01* -X114528600Y-97402862D01* -X122121400Y-97402862D01* -X122121400Y-97497138D01* -X122139792Y-97589603D01* -X122175870Y-97676702D01* -X122228247Y-97755090D01* -X122294910Y-97821753D01* -X122373298Y-97874130D01* -X122460397Y-97910208D01* -X122552862Y-97928600D01* -X122647138Y-97928600D01* -X122739603Y-97910208D01* -X122826702Y-97874130D01* -X122905090Y-97821753D01* -X122971753Y-97755090D01* -X123024130Y-97676702D01* -X123060208Y-97589603D01* -X123078600Y-97497138D01* -X123078600Y-97402862D01* -X123060208Y-97310397D01* -X123024130Y-97223298D01* -X122971753Y-97144910D01* -X122905090Y-97078247D01* -X122826702Y-97025870D01* -X122739603Y-96989792D01* -X122647138Y-96971400D01* -X122552862Y-96971400D01* -X122460397Y-96989792D01* -X122373298Y-97025870D01* -X122294910Y-97078247D01* -X122228247Y-97144910D01* -X122175870Y-97223298D01* -X122139792Y-97310397D01* -X122121400Y-97402862D01* -X114528600Y-97402862D01* -X114510208Y-97310397D01* -X114474130Y-97223298D01* -X114421753Y-97144910D01* -X114355090Y-97078247D01* -X114276702Y-97025870D01* -X114189603Y-96989792D01* -X114097138Y-96971400D01* -X114002862Y-96971400D01* -X113910397Y-96989792D01* -X113823298Y-97025870D01* -X113744910Y-97078247D01* -X113678247Y-97144910D01* -X113625870Y-97223298D01* -X113589792Y-97310397D01* -X113571400Y-97402862D01* -X108529705Y-97402862D01* -X108505090Y-97378247D01* -X108426702Y-97325870D01* -X108339603Y-97289792D01* -X108247138Y-97271400D01* -X108152862Y-97271400D01* -X108060397Y-97289792D01* -X107973298Y-97325870D01* -X107894910Y-97378247D01* -X107828247Y-97444910D01* -X107775870Y-97523298D01* -X107739792Y-97610397D01* -X107721400Y-97702862D01* -X103223241Y-97702862D01* -X103274130Y-97626702D01* -X103310208Y-97539603D01* -X103328600Y-97447138D01* -X103328600Y-97352862D01* -X103310208Y-97260397D01* -X103274130Y-97173298D01* -X103221753Y-97094910D01* -X103155090Y-97028247D01* -X103076702Y-96975870D01* -X103021157Y-96952862D01* -X106271400Y-96952862D01* -X106271400Y-97047138D01* -X106289792Y-97139603D01* -X106325870Y-97226702D01* -X106378247Y-97305090D01* -X106444910Y-97371753D01* -X106523298Y-97424130D01* -X106610397Y-97460208D01* -X106702862Y-97478600D01* -X106797138Y-97478600D01* -X106889603Y-97460208D01* -X106976702Y-97424130D01* -X107055090Y-97371753D01* -X107121753Y-97305090D01* -X107174130Y-97226702D01* -X107210208Y-97139603D01* -X107228600Y-97047138D01* -X107228600Y-96952862D01* -X107210208Y-96860397D01* -X107174130Y-96773298D01* -X107121753Y-96694910D01* -X107055090Y-96628247D01* -X106976702Y-96575870D01* -X106889603Y-96539792D01* -X106797138Y-96521400D01* -X106702862Y-96521400D01* -X106610397Y-96539792D01* -X106523298Y-96575870D01* -X106444910Y-96628247D01* -X106378247Y-96694910D01* -X106325870Y-96773298D01* -X106289792Y-96860397D01* -X106271400Y-96952862D01* -X103021157Y-96952862D01* -X102989603Y-96939792D01* -X102897138Y-96921400D01* -X102802862Y-96921400D01* -X102710397Y-96939792D01* -X102623298Y-96975870D01* -X102544910Y-97028247D01* -X102478247Y-97094910D01* -X102425870Y-97173298D01* -X102389792Y-97260397D01* -X102371400Y-97352862D01* -X100707337Y-97352862D01* -X100776702Y-97324130D01* -X100855090Y-97271753D01* -X100921753Y-97205090D01* -X100974130Y-97126702D01* -X101010208Y-97039603D01* -X101028600Y-96947138D01* -X101028600Y-96852862D01* -X101010208Y-96760397D01* -X100974130Y-96673298D01* -X100921753Y-96594910D01* -X100855090Y-96528247D01* -X100776702Y-96475870D01* -X100689603Y-96439792D01* -X100597138Y-96421400D01* -X100502862Y-96421400D01* -X100410397Y-96439792D01* -X100323298Y-96475870D01* -X100244910Y-96528247D01* -X100178247Y-96594910D01* -X100125870Y-96673298D01* -X100089792Y-96760397D01* -X100071400Y-96852862D01* -X98257337Y-96852862D01* -X98326702Y-96824130D01* -X98405090Y-96771753D01* -X98471753Y-96705090D01* -X98524130Y-96626702D01* -X98560208Y-96539603D01* -X98578600Y-96447138D01* -X98578600Y-96352862D01* -X98560208Y-96260397D01* -X98557087Y-96252862D01* -X98671400Y-96252862D01* -X98671400Y-96347138D01* -X98689792Y-96439603D01* -X98725870Y-96526702D01* -X98778247Y-96605090D01* -X98844910Y-96671753D01* -X98923298Y-96724130D01* -X99010397Y-96760208D01* -X99102862Y-96778600D01* -X99197138Y-96778600D01* -X99289603Y-96760208D01* -X99376702Y-96724130D01* -X99455090Y-96671753D01* -X99521753Y-96605090D01* -X99574130Y-96526702D01* -X99610208Y-96439603D01* -X99628600Y-96347138D01* -X99628600Y-96252862D01* -X99610208Y-96160397D01* -X99574130Y-96073298D01* -X99521753Y-95994910D01* -X99455090Y-95928247D01* -X99376702Y-95875870D01* -X99321157Y-95852862D01* -X100071400Y-95852862D01* -X100071400Y-95947138D01* -X100089792Y-96039603D01* -X100125870Y-96126702D01* -X100178247Y-96205090D01* -X100244910Y-96271753D01* -X100323298Y-96324130D01* -X100410397Y-96360208D01* -X100502862Y-96378600D01* -X100597138Y-96378600D01* -X100689603Y-96360208D01* -X100707337Y-96352862D01* -X102371400Y-96352862D01* -X102371400Y-96447138D01* -X102389792Y-96539603D01* -X102425870Y-96626702D01* -X102478247Y-96705090D01* -X102544910Y-96771753D01* -X102623298Y-96824130D01* -X102710397Y-96860208D01* -X102802862Y-96878600D01* -X102897138Y-96878600D01* -X102989603Y-96860208D01* -X103076702Y-96824130D01* -X103155090Y-96771753D01* -X103221753Y-96705090D01* -X103274130Y-96626702D01* -X103310208Y-96539603D01* -X103328600Y-96447138D01* -X103328600Y-96402862D01* -X110271400Y-96402862D01* -X110271400Y-96497138D01* -X110289792Y-96589603D01* -X110325870Y-96676702D01* -X110378247Y-96755090D01* -X110444910Y-96821753D01* -X110523298Y-96874130D01* -X110610397Y-96910208D01* -X110702862Y-96928600D01* -X110797138Y-96928600D01* -X110889603Y-96910208D01* -X110976702Y-96874130D01* -X111055090Y-96821753D01* -X111121753Y-96755090D01* -X111174130Y-96676702D01* -X111204715Y-96602862D01* -X114221400Y-96602862D01* -X114221400Y-96697138D01* -X114239792Y-96789603D01* -X114275870Y-96876702D01* -X114328247Y-96955090D01* -X114394910Y-97021753D01* -X114473298Y-97074130D01* -X114560397Y-97110208D01* -X114652862Y-97128600D01* -X114747138Y-97128600D01* -X114839603Y-97110208D01* -X114926702Y-97074130D01* -X115005090Y-97021753D01* -X115071753Y-96955090D01* -X115124130Y-96876702D01* -X115160208Y-96789603D01* -X115178600Y-96697138D01* -X115178600Y-96602862D01* -X122771400Y-96602862D01* -X122771400Y-96697138D01* -X122789792Y-96789603D01* -X122825870Y-96876702D01* -X122878247Y-96955090D01* -X122944910Y-97021753D01* -X123023298Y-97074130D01* -X123110397Y-97110208D01* -X123202862Y-97128600D01* -X123297138Y-97128600D01* -X123389603Y-97110208D01* -X123476702Y-97074130D01* -X123555090Y-97021753D01* -X123621753Y-96955090D01* -X123674130Y-96876702D01* -X123710208Y-96789603D01* -X123728600Y-96697138D01* -X123728600Y-96602862D01* -X123710208Y-96510397D01* -X123674130Y-96423298D01* -X123621753Y-96344910D01* -X123555090Y-96278247D01* -X123476702Y-96225870D01* -X123389603Y-96189792D01* -X123297138Y-96171400D01* -X123202862Y-96171400D01* -X123110397Y-96189792D01* -X123023298Y-96225870D01* -X122944910Y-96278247D01* -X122878247Y-96344910D01* -X122825870Y-96423298D01* -X122789792Y-96510397D01* -X122771400Y-96602862D01* -X115178600Y-96602862D01* -X115160208Y-96510397D01* -X115124130Y-96423298D01* -X115071753Y-96344910D01* -X115005090Y-96278247D01* -X114926702Y-96225870D01* -X114839603Y-96189792D01* -X114747138Y-96171400D01* -X114652862Y-96171400D01* -X114560397Y-96189792D01* -X114473298Y-96225870D01* -X114394910Y-96278247D01* -X114328247Y-96344910D01* -X114275870Y-96423298D01* -X114239792Y-96510397D01* -X114221400Y-96602862D01* -X111204715Y-96602862D01* -X111210208Y-96589603D01* -X111228600Y-96497138D01* -X111228600Y-96402862D01* -X111210208Y-96310397D01* -X111174130Y-96223298D01* -X111121753Y-96144910D01* -X111055090Y-96078247D01* -X110976702Y-96025870D01* -X110889603Y-95989792D01* -X110797138Y-95971400D01* -X110702862Y-95971400D01* -X110610397Y-95989792D01* -X110523298Y-96025870D01* -X110444910Y-96078247D01* -X110378247Y-96144910D01* -X110325870Y-96223298D01* -X110289792Y-96310397D01* -X110271400Y-96402862D01* -X103328600Y-96402862D01* -X103328600Y-96352862D01* -X103310208Y-96260397D01* -X103274130Y-96173298D01* -X103221753Y-96094910D01* -X103155090Y-96028247D01* -X103076702Y-95975870D01* -X102989603Y-95939792D01* -X102897138Y-95921400D01* -X102802862Y-95921400D01* -X102710397Y-95939792D01* -X102623298Y-95975870D01* -X102544910Y-96028247D01* -X102478247Y-96094910D01* -X102425870Y-96173298D01* -X102389792Y-96260397D01* -X102371400Y-96352862D01* -X100707337Y-96352862D01* -X100776702Y-96324130D01* -X100855090Y-96271753D01* -X100921753Y-96205090D01* -X100974130Y-96126702D01* -X101010208Y-96039603D01* -X101028600Y-95947138D01* -X101028600Y-95852862D01* -X101010208Y-95760397D01* -X100974130Y-95673298D01* -X100921753Y-95594910D01* -X100855090Y-95528247D01* -X100776702Y-95475870D01* -X100689603Y-95439792D01* -X100597138Y-95421400D01* -X100502862Y-95421400D01* -X100410397Y-95439792D01* -X100323298Y-95475870D01* -X100244910Y-95528247D01* -X100178247Y-95594910D01* -X100125870Y-95673298D01* -X100089792Y-95760397D01* -X100071400Y-95852862D01* -X99321157Y-95852862D01* -X99289603Y-95839792D01* -X99197138Y-95821400D01* -X99102862Y-95821400D01* -X99010397Y-95839792D01* -X98923298Y-95875870D01* -X98844910Y-95928247D01* -X98778247Y-95994910D01* -X98725870Y-96073298D01* -X98689792Y-96160397D01* -X98671400Y-96252862D01* -X98557087Y-96252862D01* -X98524130Y-96173298D01* -X98471753Y-96094910D01* -X98405090Y-96028247D01* -X98326702Y-95975870D01* -X98239603Y-95939792D01* -X98147138Y-95921400D01* -X98052862Y-95921400D01* -X97960397Y-95939792D01* -X97873298Y-95975870D01* -X97794910Y-96028247D01* -X97728247Y-96094910D01* -X97675870Y-96173298D01* -X97639792Y-96260397D01* -X97621400Y-96352862D01* -X96958531Y-96352862D01* -X97005090Y-96321753D01* -X97071753Y-96255090D01* -X97124130Y-96176702D01* -X97160208Y-96089603D01* -X97178600Y-95997138D01* -X97178600Y-95902862D01* -X97160208Y-95810397D01* -X97124130Y-95723298D01* -X97071753Y-95644910D01* -X97005090Y-95578247D01* -X96926702Y-95525870D01* -X96839603Y-95489792D01* -X96747138Y-95471400D01* -X96652862Y-95471400D01* -X96560397Y-95489792D01* -X96473298Y-95525870D01* -X96394910Y-95578247D01* -X96328247Y-95644910D01* -X96275870Y-95723298D01* -X96239792Y-95810397D01* -X96221400Y-95902862D01* -X89373241Y-95902862D01* -X89424130Y-95826702D01* -X89460208Y-95739603D01* -X89478600Y-95647138D01* -X89478600Y-95552862D01* -X89460208Y-95460397D01* -X89424130Y-95373298D01* -X89377067Y-95302862D01* -X89571400Y-95302862D01* -X89571400Y-95397138D01* -X89589792Y-95489603D01* -X89625870Y-95576702D01* -X89678247Y-95655090D01* -X89744910Y-95721753D01* -X89823298Y-95774130D01* -X89910397Y-95810208D01* -X90002862Y-95828600D01* -X90097138Y-95828600D01* -X90189603Y-95810208D01* -X90276702Y-95774130D01* -X90355090Y-95721753D01* -X90421753Y-95655090D01* -X90474130Y-95576702D01* -X90510208Y-95489603D01* -X90528600Y-95397138D01* -X90528600Y-95302862D01* -X90510208Y-95210397D01* -X90474130Y-95123298D01* -X90421753Y-95044910D01* -X90355090Y-94978247D01* -X90276702Y-94925870D01* -X90189603Y-94889792D01* -X90097138Y-94871400D01* -X90002862Y-94871400D01* -X89910397Y-94889792D01* -X89823298Y-94925870D01* -X89744910Y-94978247D01* -X89678247Y-95044910D01* -X89625870Y-95123298D01* -X89589792Y-95210397D01* -X89571400Y-95302862D01* -X89377067Y-95302862D01* -X89371753Y-95294910D01* -X89305090Y-95228247D01* -X89226702Y-95175870D01* -X89139603Y-95139792D01* -X89047138Y-95121400D01* -X88952862Y-95121400D01* -X88860397Y-95139792D01* -X88773298Y-95175870D01* -X88694910Y-95228247D01* -X88628247Y-95294910D01* -X88575870Y-95373298D01* -X88539792Y-95460397D01* -X88521400Y-95552862D01* -X81459063Y-95552862D01* -X81450130Y-95531298D01* -X81397753Y-95452910D01* -X81331090Y-95386247D01* -X81252702Y-95333870D01* -X81165603Y-95297792D01* -X81073138Y-95279400D01* -X80978862Y-95279400D01* -X80886397Y-95297792D01* -X80799298Y-95333870D01* -X80720910Y-95386247D01* -X80654247Y-95452910D01* -X80601870Y-95531298D01* -X80565792Y-95618397D01* -X80547400Y-95710862D01* -X80425100Y-95710862D01* -X80406708Y-95618397D01* -X80370630Y-95531298D01* -X80318253Y-95452910D01* -X80251590Y-95386247D01* -X80173202Y-95333870D01* -X80086103Y-95297792D01* -X79993638Y-95279400D01* -X79899362Y-95279400D01* -X79806897Y-95297792D01* -X79719798Y-95333870D01* -X79641410Y-95386247D01* -X79574747Y-95452910D01* -X79522370Y-95531298D01* -X79486292Y-95618397D01* -X79467900Y-95710862D01* -X78774100Y-95710862D01* -X78755708Y-95618397D01* -X78719630Y-95531298D01* -X78667253Y-95452910D01* -X78600590Y-95386247D01* -X78522202Y-95333870D01* -X78435103Y-95297792D01* -X78342638Y-95279400D01* -X78248362Y-95279400D01* -X78155897Y-95297792D01* -X78068798Y-95333870D01* -X77990410Y-95386247D01* -X77923747Y-95452910D01* -X77871370Y-95531298D01* -X77835292Y-95618397D01* -X77816900Y-95710862D01* -X77681970Y-95710862D01* -X77676208Y-95681897D01* -X77640130Y-95594798D01* -X77587753Y-95516410D01* -X77521090Y-95449747D01* -X77442702Y-95397370D01* -X77355603Y-95361292D01* -X77263138Y-95342900D01* -X77168862Y-95342900D01* -X77076397Y-95361292D01* -X76989298Y-95397370D01* -X76910910Y-95449747D01* -X76844247Y-95516410D01* -X76791870Y-95594798D01* -X76755792Y-95681897D01* -X76737400Y-95774362D01* -X48852855Y-95774362D01* -X48846027Y-95757879D01* -X48757974Y-95626097D01* -X48645903Y-95514026D01* -X48514121Y-95425973D01* -X48367693Y-95365320D01* -X48212246Y-95334400D01* -X48053754Y-95334400D01* -X47898307Y-95365320D01* -X47751879Y-95425973D01* -X47620097Y-95514026D01* -X47508026Y-95626097D01* -X47419973Y-95757879D01* -X47359320Y-95904307D01* -X47328400Y-96059754D01* -X46177200Y-96059754D01* -X46177200Y-95002862D01* -X69121400Y-95002862D01* -X69121400Y-95097138D01* -X69139792Y-95189603D01* -X69175870Y-95276702D01* -X69228247Y-95355090D01* -X69294910Y-95421753D01* -X69373298Y-95474130D01* -X69460397Y-95510208D01* -X69552862Y-95528600D01* -X69647138Y-95528600D01* -X69739603Y-95510208D01* -X69826702Y-95474130D01* -X69905090Y-95421753D01* -X69971753Y-95355090D01* -X70024130Y-95276702D01* -X70060208Y-95189603D01* -X70078600Y-95097138D01* -X70078600Y-95002862D01* -X70060208Y-94910397D01* -X70024130Y-94823298D01* -X69971753Y-94744910D01* -X69905090Y-94678247D01* -X69826702Y-94625870D01* -X69739603Y-94589792D01* -X69647138Y-94571400D01* -X69552862Y-94571400D01* -X69460397Y-94589792D01* -X69373298Y-94625870D01* -X69294910Y-94678247D01* -X69228247Y-94744910D01* -X69175870Y-94823298D01* -X69139792Y-94910397D01* -X69121400Y-95002862D01* -X46177200Y-95002862D01* -X46177200Y-94313862D01* -X50956400Y-94313862D01* -X50956400Y-94408138D01* -X50974792Y-94500603D01* -X51010870Y-94587702D01* -X51063247Y-94666090D01* -X51129910Y-94732753D01* -X51208298Y-94785130D01* -X51295397Y-94821208D01* -X51387862Y-94839600D01* -X51482138Y-94839600D01* -X51574603Y-94821208D01* -X51661702Y-94785130D01* -X51740090Y-94732753D01* -X51806753Y-94666090D01* -X51859130Y-94587702D01* -X51895208Y-94500603D01* -X51913600Y-94408138D01* -X51913600Y-94313862D01* -X56036400Y-94313862D01* -X56036400Y-94408138D01* -X56054792Y-94500603D01* -X56090870Y-94587702D01* -X56143247Y-94666090D01* -X56209910Y-94732753D01* -X56288298Y-94785130D01* -X56375397Y-94821208D01* -X56467862Y-94839600D01* -X56562138Y-94839600D01* -X56654603Y-94821208D01* -X56741702Y-94785130D01* -X56820090Y-94732753D01* -X56886753Y-94666090D01* -X56939130Y-94587702D01* -X56975208Y-94500603D01* -X56993600Y-94408138D01* -X56993600Y-94402862D01* -X85921400Y-94402862D01* -X85921400Y-94497138D01* -X85939792Y-94589603D01* -X85975870Y-94676702D01* -X86028247Y-94755090D01* -X86094910Y-94821753D01* -X86173298Y-94874130D01* -X86260397Y-94910208D01* -X86352862Y-94928600D01* -X86447138Y-94928600D01* -X86539603Y-94910208D01* -X86626702Y-94874130D01* -X86705090Y-94821753D01* -X86723981Y-94802862D01* -X91221400Y-94802862D01* -X91221400Y-94897138D01* -X91239792Y-94989603D01* -X91275870Y-95076702D01* -X91328247Y-95155090D01* -X91394910Y-95221753D01* -X91473298Y-95274130D01* -X91560397Y-95310208D01* -X91652862Y-95328600D01* -X91747138Y-95328600D01* -X91839603Y-95310208D01* -X91926702Y-95274130D01* -X92005090Y-95221753D01* -X92023981Y-95202862D01* -X95471400Y-95202862D01* -X95471400Y-95297138D01* -X95489792Y-95389603D01* -X95525870Y-95476702D01* -X95578247Y-95555090D01* -X95644910Y-95621753D01* -X95723298Y-95674130D01* -X95810397Y-95710208D01* -X95902862Y-95728600D01* -X95997138Y-95728600D01* -X96089603Y-95710208D01* -X96176702Y-95674130D01* -X96255090Y-95621753D01* -X96321753Y-95555090D01* -X96374130Y-95476702D01* -X96410208Y-95389603D01* -X96428600Y-95297138D01* -X96428600Y-95202862D01* -X96410208Y-95110397D01* -X96374130Y-95023298D01* -X96327067Y-94952862D01* -X96471400Y-94952862D01* -X96471400Y-95047138D01* -X96489792Y-95139603D01* -X96525870Y-95226702D01* -X96578247Y-95305090D01* -X96644910Y-95371753D01* -X96723298Y-95424130D01* -X96810397Y-95460208D01* -X96902862Y-95478600D01* -X96997138Y-95478600D01* -X97089603Y-95460208D01* -X97176702Y-95424130D01* -X97255090Y-95371753D01* -X97321753Y-95305090D01* -X97374130Y-95226702D01* -X97410208Y-95139603D01* -X97428600Y-95047138D01* -X97428600Y-94952862D01* -X97410208Y-94860397D01* -X97407087Y-94852862D01* -X98171400Y-94852862D01* -X98171400Y-94947138D01* -X98189792Y-95039603D01* -X98225870Y-95126702D01* -X98278247Y-95205090D01* -X98344910Y-95271753D01* -X98423298Y-95324130D01* -X98510397Y-95360208D01* -X98602862Y-95378600D01* -X98697138Y-95378600D01* -X98789603Y-95360208D01* -X98876702Y-95324130D01* -X98955090Y-95271753D01* -X99021753Y-95205090D01* -X99074130Y-95126702D01* -X99110208Y-95039603D01* -X99128600Y-94947138D01* -X99128600Y-94852862D01* -X99171400Y-94852862D01* -X99171400Y-94947138D01* -X99189792Y-95039603D01* -X99225870Y-95126702D01* -X99278247Y-95205090D01* -X99344910Y-95271753D01* -X99423298Y-95324130D01* -X99510397Y-95360208D01* -X99602862Y-95378600D01* -X99697138Y-95378600D01* -X99789603Y-95360208D01* -X99807337Y-95352862D01* -X102371400Y-95352862D01* -X102371400Y-95447138D01* -X102389792Y-95539603D01* -X102425870Y-95626702D01* -X102478247Y-95705090D01* -X102544910Y-95771753D01* -X102623298Y-95824130D01* -X102710397Y-95860208D01* -X102802862Y-95878600D01* -X102897138Y-95878600D01* -X102989603Y-95860208D01* -X103076702Y-95824130D01* -X103155090Y-95771753D01* -X103221753Y-95705090D01* -X103274130Y-95626702D01* -X103310208Y-95539603D01* -X103327461Y-95452862D01* -X109321400Y-95452862D01* -X109321400Y-95547138D01* -X109339792Y-95639603D01* -X109375870Y-95726702D01* -X109428247Y-95805090D01* -X109494910Y-95871753D01* -X109573298Y-95924130D01* -X109660397Y-95960208D01* -X109752862Y-95978600D01* -X109847138Y-95978600D01* -X109939603Y-95960208D01* -X110026702Y-95924130D01* -X110105090Y-95871753D01* -X110171753Y-95805090D01* -X110173241Y-95802862D01* -X113571400Y-95802862D01* -X113571400Y-95897138D01* -X113589792Y-95989603D01* -X113625870Y-96076702D01* -X113678247Y-96155090D01* -X113744910Y-96221753D01* -X113823298Y-96274130D01* -X113910397Y-96310208D01* -X114002862Y-96328600D01* -X114097138Y-96328600D01* -X114189603Y-96310208D01* -X114276702Y-96274130D01* -X114355090Y-96221753D01* -X114421753Y-96155090D01* -X114474130Y-96076702D01* -X114510208Y-95989603D01* -X114528600Y-95897138D01* -X114528600Y-95802862D01* -X122121400Y-95802862D01* -X122121400Y-95897138D01* -X122139792Y-95989603D01* -X122175870Y-96076702D01* -X122228247Y-96155090D01* -X122294910Y-96221753D01* -X122373298Y-96274130D01* -X122460397Y-96310208D01* -X122552862Y-96328600D01* -X122647138Y-96328600D01* -X122739603Y-96310208D01* -X122826702Y-96274130D01* -X122905090Y-96221753D01* -X122971753Y-96155090D01* -X123024130Y-96076702D01* -X123060208Y-95989603D01* -X123078600Y-95897138D01* -X123078600Y-95837862D01* -X127664400Y-95837862D01* -X127664400Y-95932138D01* -X127682792Y-96024603D01* -X127718870Y-96111702D01* -X127771247Y-96190090D01* -X127837910Y-96256753D01* -X127916298Y-96309130D01* -X128003397Y-96345208D01* -X128095862Y-96363600D01* -X128190138Y-96363600D01* -X128282603Y-96345208D01* -X128369702Y-96309130D01* -X128448090Y-96256753D01* -X128514753Y-96190090D01* -X128567130Y-96111702D01* -X128603208Y-96024603D01* -X128621600Y-95932138D01* -X128621600Y-95837862D01* -X132744400Y-95837862D01* -X132744400Y-95932138D01* -X132762792Y-96024603D01* -X132798870Y-96111702D01* -X132851247Y-96190090D01* -X132917910Y-96256753D01* -X132996298Y-96309130D01* -X133083397Y-96345208D01* -X133175862Y-96363600D01* -X133270138Y-96363600D01* -X133362603Y-96345208D01* -X133449702Y-96309130D01* -X133528090Y-96256753D01* -X133594753Y-96190090D01* -X133647130Y-96111702D01* -X133683208Y-96024603D01* -X133701600Y-95932138D01* -X133701600Y-95837862D01* -X137824400Y-95837862D01* -X137824400Y-95932138D01* -X137842792Y-96024603D01* -X137878870Y-96111702D01* -X137931247Y-96190090D01* -X137997910Y-96256753D01* -X138076298Y-96309130D01* -X138163397Y-96345208D01* -X138255862Y-96363600D01* -X138350138Y-96363600D01* -X138442603Y-96345208D01* -X138529702Y-96309130D01* -X138608090Y-96256753D01* -X138674753Y-96190090D01* -X138727130Y-96111702D01* -X138763208Y-96024603D01* -X138781600Y-95932138D01* -X138781600Y-95837862D01* -X142904400Y-95837862D01* -X142904400Y-95932138D01* -X142922792Y-96024603D01* -X142958870Y-96111702D01* -X143011247Y-96190090D01* -X143077910Y-96256753D01* -X143156298Y-96309130D01* -X143243397Y-96345208D01* -X143335862Y-96363600D01* -X143430138Y-96363600D01* -X143522603Y-96345208D01* -X143609702Y-96309130D01* -X143688090Y-96256753D01* -X143754753Y-96190090D01* -X143807130Y-96111702D01* -X143843208Y-96024603D01* -X143861600Y-95932138D01* -X143861600Y-95837862D01* -X143843208Y-95745397D01* -X143807130Y-95658298D01* -X143754753Y-95579910D01* -X143688090Y-95513247D01* -X143609702Y-95460870D01* -X143522603Y-95424792D01* -X143430138Y-95406400D01* -X143335862Y-95406400D01* -X143243397Y-95424792D01* -X143156298Y-95460870D01* -X143077910Y-95513247D01* -X143011247Y-95579910D01* -X142958870Y-95658298D01* -X142922792Y-95745397D01* -X142904400Y-95837862D01* -X138781600Y-95837862D01* -X138763208Y-95745397D01* -X138727130Y-95658298D01* -X138674753Y-95579910D01* -X138608090Y-95513247D01* -X138529702Y-95460870D01* -X138442603Y-95424792D01* -X138350138Y-95406400D01* -X138255862Y-95406400D01* -X138163397Y-95424792D01* -X138076298Y-95460870D01* -X137997910Y-95513247D01* -X137931247Y-95579910D01* -X137878870Y-95658298D01* -X137842792Y-95745397D01* -X137824400Y-95837862D01* -X133701600Y-95837862D01* -X133683208Y-95745397D01* -X133647130Y-95658298D01* -X133594753Y-95579910D01* -X133528090Y-95513247D01* -X133449702Y-95460870D01* -X133362603Y-95424792D01* -X133270138Y-95406400D01* -X133175862Y-95406400D01* -X133083397Y-95424792D01* -X132996298Y-95460870D01* -X132917910Y-95513247D01* -X132851247Y-95579910D01* -X132798870Y-95658298D01* -X132762792Y-95745397D01* -X132744400Y-95837862D01* -X128621600Y-95837862D01* -X128603208Y-95745397D01* -X128567130Y-95658298D01* -X128514753Y-95579910D01* -X128448090Y-95513247D01* -X128369702Y-95460870D01* -X128282603Y-95424792D01* -X128190138Y-95406400D01* -X128095862Y-95406400D01* -X128003397Y-95424792D01* -X127916298Y-95460870D01* -X127837910Y-95513247D01* -X127771247Y-95579910D01* -X127718870Y-95658298D01* -X127682792Y-95745397D01* -X127664400Y-95837862D01* -X123078600Y-95837862D01* -X123078600Y-95802862D01* -X123060208Y-95710397D01* -X123024130Y-95623298D01* -X122971753Y-95544910D01* -X122905090Y-95478247D01* -X122826702Y-95425870D01* -X122739603Y-95389792D01* -X122647138Y-95371400D01* -X122552862Y-95371400D01* -X122460397Y-95389792D01* -X122373298Y-95425870D01* -X122294910Y-95478247D01* -X122228247Y-95544910D01* -X122175870Y-95623298D01* -X122139792Y-95710397D01* -X122121400Y-95802862D01* -X114528600Y-95802862D01* -X114510208Y-95710397D01* -X114474130Y-95623298D01* -X114421753Y-95544910D01* -X114355090Y-95478247D01* -X114276702Y-95425870D01* -X114189603Y-95389792D01* -X114097138Y-95371400D01* -X114002862Y-95371400D01* -X113910397Y-95389792D01* -X113823298Y-95425870D01* -X113744910Y-95478247D01* -X113678247Y-95544910D01* -X113625870Y-95623298D01* -X113589792Y-95710397D01* -X113571400Y-95802862D01* -X110173241Y-95802862D01* -X110224130Y-95726702D01* -X110260208Y-95639603D01* -X110278600Y-95547138D01* -X110278600Y-95452862D01* -X110260208Y-95360397D01* -X110224130Y-95273298D01* -X110171753Y-95194910D01* -X110105090Y-95128247D01* -X110026702Y-95075870D01* -X109939603Y-95039792D01* -X109847138Y-95021400D01* -X109752862Y-95021400D01* -X109660397Y-95039792D01* -X109573298Y-95075870D01* -X109494910Y-95128247D01* -X109428247Y-95194910D01* -X109375870Y-95273298D01* -X109339792Y-95360397D01* -X109321400Y-95452862D01* -X103327461Y-95452862D01* -X103328600Y-95447138D01* -X103328600Y-95352862D01* -X103310208Y-95260397D01* -X103274130Y-95173298D01* -X103221753Y-95094910D01* -X103155090Y-95028247D01* -X103117099Y-95002862D01* -X114221400Y-95002862D01* -X114221400Y-95097138D01* -X114239792Y-95189603D01* -X114275870Y-95276702D01* -X114328247Y-95355090D01* -X114394910Y-95421753D01* -X114473298Y-95474130D01* -X114560397Y-95510208D01* -X114652862Y-95528600D01* -X114747138Y-95528600D01* -X114839603Y-95510208D01* -X114926702Y-95474130D01* -X115005090Y-95421753D01* -X115071753Y-95355090D01* -X115124130Y-95276702D01* -X115160208Y-95189603D01* -X115178600Y-95097138D01* -X115178600Y-95002862D01* -X122771400Y-95002862D01* -X122771400Y-95097138D01* -X122789792Y-95189603D01* -X122825870Y-95276702D01* -X122878247Y-95355090D01* -X122944910Y-95421753D01* -X123023298Y-95474130D01* -X123110397Y-95510208D01* -X123202862Y-95528600D01* -X123297138Y-95528600D01* -X123389603Y-95510208D01* -X123476702Y-95474130D01* -X123555090Y-95421753D01* -X123621753Y-95355090D01* -X123674130Y-95276702D01* -X123710208Y-95189603D01* -X123728600Y-95097138D01* -X123728600Y-95038088D01* -X125821400Y-95038088D01* -X125821400Y-95161912D01* -X125845556Y-95283356D01* -X125892941Y-95397754D01* -X125961734Y-95500709D01* -X126049291Y-95588266D01* -X126152246Y-95657059D01* -X126266644Y-95704444D01* -X126388088Y-95728600D01* -X126511912Y-95728600D01* -X126633356Y-95704444D01* -X126747754Y-95657059D01* -X126850709Y-95588266D01* -X126938266Y-95500709D01* -X127007059Y-95397754D01* -X127054444Y-95283356D01* -X127078600Y-95161912D01* -X127078600Y-95038088D01* -X127054444Y-94916644D01* -X127007059Y-94802246D01* -X126938266Y-94699291D01* -X126850709Y-94611734D01* -X126747754Y-94542941D01* -X126633356Y-94495556D01* -X126511912Y-94471400D01* -X126388088Y-94471400D01* -X126266644Y-94495556D01* -X126152246Y-94542941D01* -X126049291Y-94611734D01* -X125961734Y-94699291D01* -X125892941Y-94802246D01* -X125845556Y-94916644D01* -X125821400Y-95038088D01* -X123728600Y-95038088D01* -X123728600Y-95002862D01* -X123710208Y-94910397D01* -X123674130Y-94823298D01* -X123621753Y-94744910D01* -X123555090Y-94678247D01* -X123476702Y-94625870D01* -X123389603Y-94589792D01* -X123297138Y-94571400D01* -X123202862Y-94571400D01* -X123110397Y-94589792D01* -X123023298Y-94625870D01* -X122944910Y-94678247D01* -X122878247Y-94744910D01* -X122825870Y-94823298D01* -X122789792Y-94910397D01* -X122771400Y-95002862D01* -X115178600Y-95002862D01* -X115160208Y-94910397D01* -X115124130Y-94823298D01* -X115071753Y-94744910D01* -X115005090Y-94678247D01* -X114926702Y-94625870D01* -X114839603Y-94589792D01* -X114747138Y-94571400D01* -X114652862Y-94571400D01* -X114560397Y-94589792D01* -X114473298Y-94625870D01* -X114394910Y-94678247D01* -X114328247Y-94744910D01* -X114275870Y-94823298D01* -X114239792Y-94910397D01* -X114221400Y-95002862D01* -X103117099Y-95002862D01* -X103076702Y-94975870D01* -X102989603Y-94939792D01* -X102897138Y-94921400D01* -X102802862Y-94921400D01* -X102710397Y-94939792D01* -X102623298Y-94975870D01* -X102544910Y-95028247D01* -X102478247Y-95094910D01* -X102425870Y-95173298D01* -X102389792Y-95260397D01* -X102371400Y-95352862D01* -X99807337Y-95352862D01* -X99876702Y-95324130D01* -X99955090Y-95271753D01* -X100021753Y-95205090D01* -X100074130Y-95126702D01* -X100110208Y-95039603D01* -X100128600Y-94947138D01* -X100128600Y-94852862D01* -X100110208Y-94760397D01* -X100074130Y-94673298D01* -X100021753Y-94594910D01* -X99955090Y-94528247D01* -X99876702Y-94475870D01* -X99789603Y-94439792D01* -X99697138Y-94421400D01* -X99602862Y-94421400D01* -X99510397Y-94439792D01* -X99423298Y-94475870D01* -X99344910Y-94528247D01* -X99278247Y-94594910D01* -X99225870Y-94673298D01* -X99189792Y-94760397D01* -X99171400Y-94852862D01* -X99128600Y-94852862D01* -X99110208Y-94760397D01* -X99074130Y-94673298D01* -X99021753Y-94594910D01* -X98955090Y-94528247D01* -X98876702Y-94475870D01* -X98789603Y-94439792D01* -X98697138Y-94421400D01* -X98602862Y-94421400D01* -X98510397Y-94439792D01* -X98423298Y-94475870D01* -X98344910Y-94528247D01* -X98278247Y-94594910D01* -X98225870Y-94673298D01* -X98189792Y-94760397D01* -X98171400Y-94852862D01* -X97407087Y-94852862D01* -X97374130Y-94773298D01* -X97321753Y-94694910D01* -X97255090Y-94628247D01* -X97176702Y-94575870D01* -X97089603Y-94539792D01* -X96997138Y-94521400D01* -X96902862Y-94521400D01* -X96810397Y-94539792D01* -X96723298Y-94575870D01* -X96644910Y-94628247D01* -X96578247Y-94694910D01* -X96525870Y-94773298D01* -X96489792Y-94860397D01* -X96471400Y-94952862D01* -X96327067Y-94952862D01* -X96321753Y-94944910D01* -X96255090Y-94878247D01* -X96176702Y-94825870D01* -X96089603Y-94789792D01* -X95997138Y-94771400D01* -X95902862Y-94771400D01* -X95810397Y-94789792D01* -X95723298Y-94825870D01* -X95644910Y-94878247D01* -X95578247Y-94944910D01* -X95525870Y-95023298D01* -X95489792Y-95110397D01* -X95471400Y-95202862D01* -X92023981Y-95202862D01* -X92071753Y-95155090D01* -X92124130Y-95076702D01* -X92160208Y-94989603D01* -X92178600Y-94897138D01* -X92178600Y-94802862D01* -X92160208Y-94710397D01* -X92124130Y-94623298D01* -X92071753Y-94544910D01* -X92005090Y-94478247D01* -X91926702Y-94425870D01* -X91839603Y-94389792D01* -X91747138Y-94371400D01* -X91652862Y-94371400D01* -X91560397Y-94389792D01* -X91473298Y-94425870D01* -X91394910Y-94478247D01* -X91328247Y-94544910D01* -X91275870Y-94623298D01* -X91239792Y-94710397D01* -X91221400Y-94802862D01* -X86723981Y-94802862D01* -X86771753Y-94755090D01* -X86824130Y-94676702D01* -X86860208Y-94589603D01* -X86878600Y-94497138D01* -X86878600Y-94402862D01* -X86860208Y-94310397D01* -X86824130Y-94223298D01* -X86810476Y-94202862D01* -X113571400Y-94202862D01* -X113571400Y-94297138D01* -X113589792Y-94389603D01* -X113625870Y-94476702D01* -X113678247Y-94555090D01* -X113744910Y-94621753D01* -X113823298Y-94674130D01* -X113910397Y-94710208D01* -X114002862Y-94728600D01* -X114097138Y-94728600D01* -X114189603Y-94710208D01* -X114276702Y-94674130D01* -X114355090Y-94621753D01* -X114421753Y-94555090D01* -X114474130Y-94476702D01* -X114510208Y-94389603D01* -X114528600Y-94297138D01* -X114528600Y-94202862D01* -X122121400Y-94202862D01* -X122121400Y-94297138D01* -X122139792Y-94389603D01* -X122175870Y-94476702D01* -X122228247Y-94555090D01* -X122294910Y-94621753D01* -X122373298Y-94674130D01* -X122460397Y-94710208D01* -X122552862Y-94728600D01* -X122647138Y-94728600D01* -X122739603Y-94710208D01* -X122826702Y-94674130D01* -X122905090Y-94621753D01* -X122971753Y-94555090D01* -X123024130Y-94476702D01* -X123060208Y-94389603D01* -X123078600Y-94297138D01* -X123078600Y-94202862D01* -X123060208Y-94110397D01* -X123057087Y-94102862D01* -X125121400Y-94102862D01* -X125121400Y-94197138D01* -X125139792Y-94289603D01* -X125175870Y-94376702D01* -X125228247Y-94455090D01* -X125294910Y-94521753D01* -X125373298Y-94574130D01* -X125460397Y-94610208D01* -X125552862Y-94628600D01* -X125647138Y-94628600D01* -X125739603Y-94610208D01* -X125826702Y-94574130D01* -X125905090Y-94521753D01* -X125971753Y-94455090D01* -X126024130Y-94376702D01* -X126060208Y-94289603D01* -X126078600Y-94197138D01* -X126078600Y-94102862D01* -X126060208Y-94010397D01* -X126024130Y-93923298D01* -X125971753Y-93844910D01* -X125964931Y-93838088D01* -X126821400Y-93838088D01* -X126821400Y-93961912D01* -X126845556Y-94083356D01* -X126892941Y-94197754D01* -X126961734Y-94300709D01* -X127049291Y-94388266D01* -X127152246Y-94457059D01* -X127266644Y-94504444D01* -X127388088Y-94528600D01* -X127511912Y-94528600D01* -X127633356Y-94504444D01* -X127747754Y-94457059D01* -X127850709Y-94388266D01* -X127938266Y-94300709D01* -X128007059Y-94197754D01* -X128054444Y-94083356D01* -X128078600Y-93961912D01* -X128078600Y-93838088D01* -X128054444Y-93716644D01* -X128007059Y-93602246D01* -X127938266Y-93499291D01* -X127850709Y-93411734D01* -X127747754Y-93342941D01* -X127638924Y-93297862D01* -X130204400Y-93297862D01* -X130204400Y-93392138D01* -X130222792Y-93484603D01* -X130258870Y-93571702D01* -X130311247Y-93650090D01* -X130377910Y-93716753D01* -X130456298Y-93769130D01* -X130543397Y-93805208D01* -X130635862Y-93823600D01* -X130730138Y-93823600D01* -X130822603Y-93805208D01* -X130909702Y-93769130D01* -X130988090Y-93716753D01* -X131054753Y-93650090D01* -X131107130Y-93571702D01* -X131143208Y-93484603D01* -X131161600Y-93392138D01* -X131161600Y-93297862D01* -X135284400Y-93297862D01* -X135284400Y-93392138D01* -X135302792Y-93484603D01* -X135338870Y-93571702D01* -X135391247Y-93650090D01* -X135457910Y-93716753D01* -X135536298Y-93769130D01* -X135623397Y-93805208D01* -X135715862Y-93823600D01* -X135810138Y-93823600D01* -X135902603Y-93805208D01* -X135989702Y-93769130D01* -X136068090Y-93716753D01* -X136134753Y-93650090D01* -X136187130Y-93571702D01* -X136223208Y-93484603D01* -X136241600Y-93392138D01* -X136241600Y-93297862D01* -X140364400Y-93297862D01* -X140364400Y-93392138D01* -X140382792Y-93484603D01* -X140418870Y-93571702D01* -X140471247Y-93650090D01* -X140537910Y-93716753D01* -X140616298Y-93769130D01* -X140703397Y-93805208D01* -X140795862Y-93823600D01* -X140890138Y-93823600D01* -X140982603Y-93805208D01* -X141069702Y-93769130D01* -X141148090Y-93716753D01* -X141214753Y-93650090D01* -X141267130Y-93571702D01* -X141303208Y-93484603D01* -X141321600Y-93392138D01* -X141321600Y-93297862D01* -X141303208Y-93205397D01* -X141267130Y-93118298D01* -X141214753Y-93039910D01* -X141148090Y-92973247D01* -X141069702Y-92920870D01* -X140982603Y-92884792D01* -X140890138Y-92866400D01* -X140795862Y-92866400D01* -X140703397Y-92884792D01* -X140616298Y-92920870D01* -X140537910Y-92973247D01* -X140471247Y-93039910D01* -X140418870Y-93118298D01* -X140382792Y-93205397D01* -X140364400Y-93297862D01* -X136241600Y-93297862D01* -X136223208Y-93205397D01* -X136187130Y-93118298D01* -X136134753Y-93039910D01* -X136068090Y-92973247D01* -X135989702Y-92920870D01* -X135902603Y-92884792D01* -X135810138Y-92866400D01* -X135715862Y-92866400D01* -X135623397Y-92884792D01* -X135536298Y-92920870D01* -X135457910Y-92973247D01* -X135391247Y-93039910D01* -X135338870Y-93118298D01* -X135302792Y-93205397D01* -X135284400Y-93297862D01* -X131161600Y-93297862D01* -X131143208Y-93205397D01* -X131107130Y-93118298D01* -X131054753Y-93039910D01* -X130988090Y-92973247D01* -X130909702Y-92920870D01* -X130822603Y-92884792D01* -X130730138Y-92866400D01* -X130635862Y-92866400D01* -X130543397Y-92884792D01* -X130456298Y-92920870D01* -X130377910Y-92973247D01* -X130311247Y-93039910D01* -X130258870Y-93118298D01* -X130222792Y-93205397D01* -X130204400Y-93297862D01* -X127638924Y-93297862D01* -X127633356Y-93295556D01* -X127511912Y-93271400D01* -X127388088Y-93271400D01* -X127266644Y-93295556D01* -X127152246Y-93342941D01* -X127049291Y-93411734D01* -X126961734Y-93499291D01* -X126892941Y-93602246D01* -X126845556Y-93716644D01* -X126821400Y-93838088D01* -X125964931Y-93838088D01* -X125905090Y-93778247D01* -X125826702Y-93725870D01* -X125739603Y-93689792D01* -X125647138Y-93671400D01* -X125552862Y-93671400D01* -X125460397Y-93689792D01* -X125373298Y-93725870D01* -X125294910Y-93778247D01* -X125228247Y-93844910D01* -X125175870Y-93923298D01* -X125139792Y-94010397D01* -X125121400Y-94102862D01* -X123057087Y-94102862D01* -X123024130Y-94023298D01* -X122971753Y-93944910D01* -X122905090Y-93878247D01* -X122826702Y-93825870D01* -X122739603Y-93789792D01* -X122647138Y-93771400D01* -X122552862Y-93771400D01* -X122460397Y-93789792D01* -X122373298Y-93825870D01* -X122294910Y-93878247D01* -X122228247Y-93944910D01* -X122175870Y-94023298D01* -X122139792Y-94110397D01* -X122121400Y-94202862D01* -X114528600Y-94202862D01* -X114510208Y-94110397D01* -X114474130Y-94023298D01* -X114421753Y-93944910D01* -X114355090Y-93878247D01* -X114276702Y-93825870D01* -X114189603Y-93789792D01* -X114097138Y-93771400D01* -X114002862Y-93771400D01* -X113910397Y-93789792D01* -X113823298Y-93825870D01* -X113744910Y-93878247D01* -X113678247Y-93944910D01* -X113625870Y-94023298D01* -X113589792Y-94110397D01* -X113571400Y-94202862D01* -X86810476Y-94202862D01* -X86771753Y-94144910D01* -X86705090Y-94078247D01* -X86626702Y-94025870D01* -X86539603Y-93989792D01* -X86447138Y-93971400D01* -X86352862Y-93971400D01* -X86260397Y-93989792D01* -X86173298Y-94025870D01* -X86094910Y-94078247D01* -X86028247Y-94144910D01* -X85975870Y-94223298D01* -X85939792Y-94310397D01* -X85921400Y-94402862D01* -X56993600Y-94402862D01* -X56993600Y-94313862D01* -X56975208Y-94221397D01* -X56939130Y-94134298D01* -X56886753Y-94055910D01* -X56820090Y-93989247D01* -X56741702Y-93936870D01* -X56654603Y-93900792D01* -X56562138Y-93882400D01* -X56467862Y-93882400D01* -X56375397Y-93900792D01* -X56288298Y-93936870D01* -X56209910Y-93989247D01* -X56143247Y-94055910D01* -X56090870Y-94134298D01* -X56054792Y-94221397D01* -X56036400Y-94313862D01* -X51913600Y-94313862D01* -X51895208Y-94221397D01* -X51859130Y-94134298D01* -X51806753Y-94055910D01* -X51740090Y-93989247D01* -X51661702Y-93936870D01* -X51574603Y-93900792D01* -X51482138Y-93882400D01* -X51387862Y-93882400D01* -X51295397Y-93900792D01* -X51208298Y-93936870D01* -X51129910Y-93989247D01* -X51063247Y-94055910D01* -X51010870Y-94134298D01* -X50974792Y-94221397D01* -X50956400Y-94313862D01* -X46177200Y-94313862D01* -X46177200Y-93916386D01* -X46215397Y-93932208D01* -X46307862Y-93950600D01* -X46402138Y-93950600D01* -X46494603Y-93932208D01* -X46581702Y-93896130D01* -X46660090Y-93843753D01* -X46726753Y-93777090D01* -X46779130Y-93698702D01* -X46815208Y-93611603D01* -X46833600Y-93519138D01* -X46833600Y-93424862D01* -X46815208Y-93332397D01* -X46800903Y-93297862D01* -X59084400Y-93297862D01* -X59084400Y-93392138D01* -X59102792Y-93484603D01* -X59138870Y-93571702D01* -X59191247Y-93650090D01* -X59257910Y-93716753D01* -X59336298Y-93769130D01* -X59423397Y-93805208D01* -X59515862Y-93823600D01* -X59610138Y-93823600D01* -X59702603Y-93805208D01* -X59789702Y-93769130D01* -X59868090Y-93716753D01* -X59934753Y-93650090D01* -X59987130Y-93571702D01* -X60023208Y-93484603D01* -X60041600Y-93392138D01* -X60041600Y-93297862D01* -X64164400Y-93297862D01* -X64164400Y-93392138D01* -X64182792Y-93484603D01* -X64218870Y-93571702D01* -X64271247Y-93650090D01* -X64337910Y-93716753D01* -X64416298Y-93769130D01* -X64503397Y-93805208D01* -X64595862Y-93823600D01* -X64690138Y-93823600D01* -X64782603Y-93805208D01* -X64869702Y-93769130D01* -X64948090Y-93716753D01* -X65014753Y-93650090D01* -X65067130Y-93571702D01* -X65103208Y-93484603D01* -X65121600Y-93392138D01* -X65121600Y-93297862D01* -X69244400Y-93297862D01* -X69244400Y-93392138D01* -X69262792Y-93484603D01* -X69298870Y-93571702D01* -X69351247Y-93650090D01* -X69417910Y-93716753D01* -X69496298Y-93769130D01* -X69583397Y-93805208D01* -X69675862Y-93823600D01* -X69770138Y-93823600D01* -X69862603Y-93805208D01* -X69949702Y-93769130D01* -X69974048Y-93752862D01* -X82671400Y-93752862D01* -X82671400Y-93847138D01* -X82689792Y-93939603D01* -X82725870Y-94026702D01* -X82778247Y-94105090D01* -X82844910Y-94171753D01* -X82923298Y-94224130D01* -X83010397Y-94260208D01* -X83102862Y-94278600D01* -X83197138Y-94278600D01* -X83289603Y-94260208D01* -X83376702Y-94224130D01* -X83455090Y-94171753D01* -X83521753Y-94105090D01* -X83574130Y-94026702D01* -X83610208Y-93939603D01* -X83628600Y-93847138D01* -X83628600Y-93752862D01* -X83610208Y-93660397D01* -X83574130Y-93573298D01* -X83521753Y-93494910D01* -X83455090Y-93428247D01* -X83376702Y-93375870D01* -X83289603Y-93339792D01* -X83197138Y-93321400D01* -X83102862Y-93321400D01* -X83010397Y-93339792D01* -X82923298Y-93375870D01* -X82844910Y-93428247D01* -X82778247Y-93494910D01* -X82725870Y-93573298D01* -X82689792Y-93660397D01* -X82671400Y-93752862D01* -X69974048Y-93752862D01* -X70028090Y-93716753D01* -X70094753Y-93650090D01* -X70147130Y-93571702D01* -X70183208Y-93484603D01* -X70201600Y-93392138D01* -X70201600Y-93297862D01* -X70183208Y-93205397D01* -X70147130Y-93118298D01* -X70094753Y-93039910D01* -X70028090Y-92973247D01* -X69949702Y-92920870D01* -X69862603Y-92884792D01* -X69770138Y-92866400D01* -X69675862Y-92866400D01* -X69583397Y-92884792D01* -X69496298Y-92920870D01* -X69417910Y-92973247D01* -X69351247Y-93039910D01* -X69298870Y-93118298D01* -X69262792Y-93205397D01* -X69244400Y-93297862D01* -X65121600Y-93297862D01* -X65103208Y-93205397D01* -X65067130Y-93118298D01* -X65014753Y-93039910D01* -X64948090Y-92973247D01* -X64869702Y-92920870D01* -X64782603Y-92884792D01* -X64690138Y-92866400D01* -X64595862Y-92866400D01* -X64503397Y-92884792D01* -X64416298Y-92920870D01* -X64337910Y-92973247D01* -X64271247Y-93039910D01* -X64218870Y-93118298D01* -X64182792Y-93205397D01* -X64164400Y-93297862D01* -X60041600Y-93297862D01* -X60023208Y-93205397D01* -X59987130Y-93118298D01* -X59934753Y-93039910D01* -X59868090Y-92973247D01* -X59789702Y-92920870D01* -X59702603Y-92884792D01* -X59610138Y-92866400D01* -X59515862Y-92866400D01* -X59423397Y-92884792D01* -X59336298Y-92920870D01* -X59257910Y-92973247D01* -X59191247Y-93039910D01* -X59138870Y-93118298D01* -X59102792Y-93205397D01* -X59084400Y-93297862D01* -X46800903Y-93297862D01* -X46779130Y-93245298D01* -X46726753Y-93166910D01* -X46660090Y-93100247D01* -X46581702Y-93047870D01* -X46494603Y-93011792D01* -X46402138Y-92993400D01* -X46307862Y-92993400D01* -X46299496Y-92995064D01* -X46300719Y-92988951D01* -X46368763Y-92852862D01* -X86671400Y-92852862D01* -X86671400Y-92947138D01* -X86689792Y-93039603D01* -X86725870Y-93126702D01* -X86778247Y-93205090D01* -X86844910Y-93271753D01* -X86923298Y-93324130D01* -X87010397Y-93360208D01* -X87102862Y-93378600D01* -X87197138Y-93378600D01* -X87289603Y-93360208D01* -X87376702Y-93324130D01* -X87455090Y-93271753D01* -X87521753Y-93205090D01* -X87574130Y-93126702D01* -X87610208Y-93039603D01* -X87628600Y-92947138D01* -X87628600Y-92852862D01* -X87610208Y-92760397D01* -X87574130Y-92673298D01* -X87521753Y-92594910D01* -X87455090Y-92528247D01* -X87417099Y-92502862D01* -X88821400Y-92502862D01* -X88821400Y-92597138D01* -X88839792Y-92689603D01* -X88875870Y-92776702D01* -X88928247Y-92855090D01* -X88994910Y-92921753D01* -X89073298Y-92974130D01* -X89160397Y-93010208D01* -X89252862Y-93028600D01* -X89347138Y-93028600D01* -X89439603Y-93010208D01* -X89526702Y-92974130D01* -X89605090Y-92921753D01* -X89671753Y-92855090D01* -X89724130Y-92776702D01* -X89760208Y-92689603D01* -X89778600Y-92597138D01* -X89778600Y-92552862D01* -X91121400Y-92552862D01* -X91121400Y-92647138D01* -X91139792Y-92739603D01* -X91175870Y-92826702D01* -X91228247Y-92905090D01* -X91294910Y-92971753D01* -X91373298Y-93024130D01* -X91460397Y-93060208D01* -X91552862Y-93078600D01* -X91647138Y-93078600D01* -X91739603Y-93060208D01* -X91826702Y-93024130D01* -X91905090Y-92971753D01* -X91971753Y-92905090D01* -X92024130Y-92826702D01* -X92060208Y-92739603D01* -X92078600Y-92647138D01* -X92078600Y-92552862D01* -X92078599Y-92552852D01* -X95821400Y-92552852D01* -X95821400Y-92647128D01* -X95839792Y-92739593D01* -X95875870Y-92826692D01* -X95928247Y-92905080D01* -X95994910Y-92971743D01* -X96073298Y-93024120D01* -X96160397Y-93060198D01* -X96252862Y-93078590D01* -X96347138Y-93078590D01* -X96439603Y-93060198D01* -X96526702Y-93024120D01* -X96605090Y-92971743D01* -X96671753Y-92905080D01* -X96724130Y-92826692D01* -X96760208Y-92739593D01* -X96778600Y-92647128D01* -X96778600Y-92552862D01* -X98221400Y-92552862D01* -X98221400Y-92647138D01* -X98239792Y-92739603D01* -X98275870Y-92826702D01* -X98328247Y-92905090D01* -X98394910Y-92971753D01* -X98473298Y-93024130D01* -X98560397Y-93060208D01* -X98652862Y-93078600D01* -X98747138Y-93078600D01* -X98839603Y-93060208D01* -X98926702Y-93024130D01* -X99005090Y-92971753D01* -X99071753Y-92905090D01* -X99124130Y-92826702D01* -X99160208Y-92739603D01* -X99178600Y-92647138D01* -X99178600Y-92552862D01* -X99571400Y-92552862D01* -X99571400Y-92647138D01* -X99589792Y-92739603D01* -X99625870Y-92826702D01* -X99678247Y-92905090D01* -X99744910Y-92971753D01* -X99823298Y-93024130D01* -X99910397Y-93060208D01* -X100002862Y-93078600D01* -X100097138Y-93078600D01* -X100189603Y-93060208D01* -X100276702Y-93024130D01* -X100355090Y-92971753D01* -X100373981Y-92952862D01* -X100671400Y-92952862D01* -X100671400Y-93047138D01* -X100689792Y-93139603D01* -X100725870Y-93226702D01* -X100778247Y-93305090D01* -X100844910Y-93371753D01* -X100923298Y-93424130D01* -X101010397Y-93460208D01* -X101102862Y-93478600D01* -X101197138Y-93478600D01* -X101289603Y-93460208D01* -X101376702Y-93424130D01* -X101408531Y-93402862D01* -X114221400Y-93402862D01* -X114221400Y-93497138D01* -X114239792Y-93589603D01* -X114275870Y-93676702D01* -X114328247Y-93755090D01* -X114394910Y-93821753D01* -X114473298Y-93874130D01* -X114560397Y-93910208D01* -X114652862Y-93928600D01* -X114747138Y-93928600D01* -X114839603Y-93910208D01* -X114926702Y-93874130D01* -X115005090Y-93821753D01* -X115071753Y-93755090D01* -X115124130Y-93676702D01* -X115160208Y-93589603D01* -X115178600Y-93497138D01* -X115178600Y-93402862D01* -X121471400Y-93402862D01* -X121471400Y-93497138D01* -X121489792Y-93589603D01* -X121525870Y-93676702D01* -X121578247Y-93755090D01* -X121644910Y-93821753D01* -X121723298Y-93874130D01* -X121810397Y-93910208D01* -X121902862Y-93928600D01* -X121997138Y-93928600D01* -X122089603Y-93910208D01* -X122176702Y-93874130D01* -X122255090Y-93821753D01* -X122321753Y-93755090D01* -X122374130Y-93676702D01* -X122410208Y-93589603D01* -X122428600Y-93497138D01* -X122428600Y-93402862D01* -X122410208Y-93310397D01* -X122374130Y-93223298D01* -X122321753Y-93144910D01* -X122255090Y-93078247D01* -X122176702Y-93025870D01* -X122089603Y-92989792D01* -X121997138Y-92971400D01* -X121902862Y-92971400D01* -X121810397Y-92989792D01* -X121723298Y-93025870D01* -X121644910Y-93078247D01* -X121578247Y-93144910D01* -X121525870Y-93223298D01* -X121489792Y-93310397D01* -X121471400Y-93402862D01* -X115178600Y-93402862D01* -X115160208Y-93310397D01* -X115124130Y-93223298D01* -X115071753Y-93144910D01* -X115005090Y-93078247D01* -X114926702Y-93025870D01* -X114839603Y-92989792D01* -X114747138Y-92971400D01* -X114652862Y-92971400D01* -X114560397Y-92989792D01* -X114473298Y-93025870D01* -X114394910Y-93078247D01* -X114328247Y-93144910D01* -X114275870Y-93223298D01* -X114239792Y-93310397D01* -X114221400Y-93402862D01* -X101408531Y-93402862D01* -X101455090Y-93371753D01* -X101521753Y-93305090D01* -X101574130Y-93226702D01* -X101610208Y-93139603D01* -X101628600Y-93047138D01* -X101628600Y-92952862D01* -X101610208Y-92860397D01* -X101574130Y-92773298D01* -X101521753Y-92694910D01* -X101455090Y-92628247D01* -X101376702Y-92575870D01* -X101289603Y-92539792D01* -X101197138Y-92521400D01* -X101102862Y-92521400D01* -X101010397Y-92539792D01* -X100923298Y-92575870D01* -X100844910Y-92628247D01* -X100778247Y-92694910D01* -X100725870Y-92773298D01* -X100689792Y-92860397D01* -X100671400Y-92952862D01* -X100373981Y-92952862D01* -X100421753Y-92905090D01* -X100474130Y-92826702D01* -X100510208Y-92739603D01* -X100528600Y-92647138D01* -X100528600Y-92552862D01* -X100510208Y-92460397D01* -X100507087Y-92452862D01* -X111271400Y-92452862D01* -X111271400Y-92547138D01* -X111289792Y-92639603D01* -X111325870Y-92726702D01* -X111378247Y-92805090D01* -X111444910Y-92871753D01* -X111523298Y-92924130D01* -X111610397Y-92960208D01* -X111702862Y-92978600D01* -X111797138Y-92978600D01* -X111889603Y-92960208D01* -X111976702Y-92924130D01* -X112055090Y-92871753D01* -X112121753Y-92805090D01* -X112174130Y-92726702D01* -X112210208Y-92639603D01* -X112217516Y-92602862D01* -X113571400Y-92602862D01* -X113571400Y-92697138D01* -X113589792Y-92789603D01* -X113625870Y-92876702D01* -X113678247Y-92955090D01* -X113744910Y-93021753D01* -X113823298Y-93074130D01* -X113910397Y-93110208D01* -X114002862Y-93128600D01* -X114097138Y-93128600D01* -X114189603Y-93110208D01* -X114276702Y-93074130D01* -X114355090Y-93021753D01* -X114421753Y-92955090D01* -X114474130Y-92876702D01* -X114510208Y-92789603D01* -X114528600Y-92697138D01* -X114528600Y-92602862D01* -X114510208Y-92510397D01* -X114474130Y-92423298D01* -X114421753Y-92344910D01* -X114355090Y-92278247D01* -X114276702Y-92225870D01* -X114189603Y-92189792D01* -X114097138Y-92171400D01* -X114002862Y-92171400D01* -X113910397Y-92189792D01* -X113823298Y-92225870D01* -X113744910Y-92278247D01* -X113678247Y-92344910D01* -X113625870Y-92423298D01* -X113589792Y-92510397D01* -X113571400Y-92602862D01* -X112217516Y-92602862D01* -X112228600Y-92547138D01* -X112228600Y-92452862D01* -X112210208Y-92360397D01* -X112174130Y-92273298D01* -X112121753Y-92194910D01* -X112055090Y-92128247D01* -X111976702Y-92075870D01* -X111889603Y-92039792D01* -X111797138Y-92021400D01* -X111702862Y-92021400D01* -X111610397Y-92039792D01* -X111523298Y-92075870D01* -X111444910Y-92128247D01* -X111378247Y-92194910D01* -X111325870Y-92273298D01* -X111289792Y-92360397D01* -X111271400Y-92452862D01* -X100507087Y-92452862D01* -X100474130Y-92373298D01* -X100421753Y-92294910D01* -X100355090Y-92228247D01* -X100276702Y-92175870D01* -X100189603Y-92139792D01* -X100097138Y-92121400D01* -X100002862Y-92121400D01* -X99910397Y-92139792D01* -X99823298Y-92175870D01* -X99744910Y-92228247D01* -X99678247Y-92294910D01* -X99625870Y-92373298D01* -X99589792Y-92460397D01* -X99571400Y-92552862D01* -X99178600Y-92552862D01* -X99160208Y-92460397D01* -X99124130Y-92373298D01* -X99071753Y-92294910D01* -X99005090Y-92228247D01* -X98926702Y-92175870D01* -X98839603Y-92139792D01* -X98747138Y-92121400D01* -X98652862Y-92121400D01* -X98560397Y-92139792D01* -X98473298Y-92175870D01* -X98394910Y-92228247D01* -X98328247Y-92294910D01* -X98275870Y-92373298D01* -X98239792Y-92460397D01* -X98221400Y-92552862D01* -X96778600Y-92552862D01* -X96778600Y-92552852D01* -X96760208Y-92460387D01* -X96724130Y-92373288D01* -X96671753Y-92294900D01* -X96605090Y-92228237D01* -X96526702Y-92175860D01* -X96439603Y-92139782D01* -X96347138Y-92121390D01* -X96252862Y-92121390D01* -X96160397Y-92139782D01* -X96073298Y-92175860D01* -X95994910Y-92228237D01* -X95928247Y-92294900D01* -X95875870Y-92373288D01* -X95839792Y-92460387D01* -X95821400Y-92552852D01* -X92078599Y-92552852D01* -X92060208Y-92460397D01* -X92024130Y-92373298D01* -X91971753Y-92294910D01* -X91905090Y-92228247D01* -X91826702Y-92175870D01* -X91739603Y-92139792D01* -X91647138Y-92121400D01* -X91552862Y-92121400D01* -X91460397Y-92139792D01* -X91373298Y-92175870D01* -X91294910Y-92228247D01* -X91228247Y-92294910D01* -X91175870Y-92373298D01* -X91139792Y-92460397D01* -X91121400Y-92552862D01* -X89778600Y-92552862D01* -X89778600Y-92502862D01* -X89760208Y-92410397D01* -X89724130Y-92323298D01* -X89671753Y-92244910D01* -X89605090Y-92178247D01* -X89526702Y-92125870D01* -X89439603Y-92089792D01* -X89347138Y-92071400D01* -X89252862Y-92071400D01* -X89160397Y-92089792D01* -X89073298Y-92125870D01* -X88994910Y-92178247D01* -X88928247Y-92244910D01* -X88875870Y-92323298D01* -X88839792Y-92410397D01* -X88821400Y-92502862D01* -X87417099Y-92502862D01* -X87376702Y-92475870D01* -X87289603Y-92439792D01* -X87197138Y-92421400D01* -X87102862Y-92421400D01* -X87010397Y-92439792D01* -X86923298Y-92475870D01* -X86844910Y-92528247D01* -X86778247Y-92594910D01* -X86725870Y-92673298D01* -X86689792Y-92760397D01* -X86671400Y-92852862D01* -X46368763Y-92852862D01* -X46544624Y-92501140D01* -X47271902Y-91773862D01* -X53496400Y-91773862D01* -X53496400Y-91868138D01* -X53514792Y-91960603D01* -X53550870Y-92047702D01* -X53603247Y-92126090D01* -X53669910Y-92192753D01* -X53748298Y-92245130D01* -X53835397Y-92281208D01* -X53927862Y-92299600D01* -X54022138Y-92299600D01* -X54114603Y-92281208D01* -X54201702Y-92245130D01* -X54280090Y-92192753D01* -X54346753Y-92126090D01* -X54399130Y-92047702D01* -X54435208Y-91960603D01* -X54453600Y-91868138D01* -X54453600Y-91773862D01* -X54435208Y-91681397D01* -X54421349Y-91647937D01* -X88021400Y-91647937D01* -X88021400Y-91752063D01* -X88041713Y-91854187D01* -X88081560Y-91950386D01* -X88139409Y-92036963D01* -X88213037Y-92110591D01* -X88299614Y-92168440D01* -X88395813Y-92208287D01* -X88497937Y-92228600D01* -X88602063Y-92228600D01* -X88704187Y-92208287D01* -X88800386Y-92168440D01* -X88886963Y-92110591D01* -X88960591Y-92036963D01* -X89018440Y-91950386D01* -X89058287Y-91854187D01* -X89078600Y-91752063D01* -X89078600Y-91647937D01* -X95021400Y-91647937D01* -X95021400Y-91752063D01* -X95041713Y-91854187D01* -X95081560Y-91950386D01* -X95139409Y-92036963D01* -X95213037Y-92110591D01* -X95299614Y-92168440D01* -X95395813Y-92208287D01* -X95497937Y-92228600D01* -X95602063Y-92228600D01* -X95704187Y-92208287D01* -X95800386Y-92168440D01* -X95886963Y-92110591D01* -X95960591Y-92036963D01* -X96018440Y-91950386D01* -X96040165Y-91897937D01* -X112371400Y-91897937D01* -X112371400Y-92002063D01* -X112391713Y-92104187D01* -X112431560Y-92200386D01* -X112489409Y-92286963D01* -X112563037Y-92360591D01* -X112649614Y-92418440D01* -X112745813Y-92458287D01* -X112847937Y-92478600D01* -X112952063Y-92478600D01* -X113054187Y-92458287D01* -X113150386Y-92418440D01* -X113236963Y-92360591D01* -X113310591Y-92286963D01* -X113368440Y-92200386D01* -X113408287Y-92104187D01* -X113428600Y-92002063D01* -X113428600Y-91897937D01* -X113408287Y-91795813D01* -X113368440Y-91699614D01* -X113310591Y-91613037D01* -X113295491Y-91597937D01* -X122521400Y-91597937D01* -X122521400Y-91702063D01* -X122541713Y-91804187D01* -X122581560Y-91900386D01* -X122639409Y-91986963D01* -X122713037Y-92060591D01* -X122799614Y-92118440D01* -X122895813Y-92158287D01* -X122997937Y-92178600D01* -X123102063Y-92178600D01* -X123204187Y-92158287D01* -X123300386Y-92118440D01* -X123386963Y-92060591D01* -X123460591Y-91986963D01* -X123518440Y-91900386D01* -X123558287Y-91804187D01* -X123578600Y-91702063D01* -X123578600Y-91597937D01* -X123558287Y-91495813D01* -X123518440Y-91399614D01* -X123460591Y-91313037D01* -X123386963Y-91239409D01* -X123300386Y-91181560D01* -X123204187Y-91141713D01* -X123102063Y-91121400D01* -X122997937Y-91121400D01* -X122895813Y-91141713D01* -X122799614Y-91181560D01* -X122713037Y-91239409D01* -X122639409Y-91313037D01* -X122581560Y-91399614D01* -X122541713Y-91495813D01* -X122521400Y-91597937D01* -X113295491Y-91597937D01* -X113236963Y-91539409D01* -X113150386Y-91481560D01* -X113054187Y-91441713D01* -X112952063Y-91421400D01* -X112847937Y-91421400D01* -X112745813Y-91441713D01* -X112649614Y-91481560D01* -X112563037Y-91539409D01* -X112489409Y-91613037D01* -X112431560Y-91699614D01* -X112391713Y-91795813D01* -X112371400Y-91897937D01* -X96040165Y-91897937D01* -X96058287Y-91854187D01* -X96078600Y-91752063D01* -X96078600Y-91647937D01* -X96058287Y-91545813D01* -X96018440Y-91449614D01* -X95960591Y-91363037D01* -X95886963Y-91289409D01* -X95800386Y-91231560D01* -X95704187Y-91191713D01* -X95602063Y-91171400D01* -X95497937Y-91171400D01* -X95395813Y-91191713D01* -X95299614Y-91231560D01* -X95213037Y-91289409D01* -X95139409Y-91363037D01* -X95081560Y-91449614D01* -X95041713Y-91545813D01* -X95021400Y-91647937D01* -X89078600Y-91647937D01* -X89058287Y-91545813D01* -X89018440Y-91449614D01* -X88960591Y-91363037D01* -X88886963Y-91289409D01* -X88800386Y-91231560D01* -X88704187Y-91191713D01* -X88602063Y-91171400D01* -X88497937Y-91171400D01* -X88395813Y-91191713D01* -X88299614Y-91231560D01* -X88213037Y-91289409D01* -X88139409Y-91363037D01* -X88081560Y-91449614D01* -X88041713Y-91545813D01* -X88021400Y-91647937D01* -X54421349Y-91647937D01* -X54399130Y-91594298D01* -X54346753Y-91515910D01* -X54280090Y-91449247D01* -X54201702Y-91396870D01* -X54114603Y-91360792D01* -X54022138Y-91342400D01* -X53927862Y-91342400D01* -X53835397Y-91360792D01* -X53748298Y-91396870D01* -X53669910Y-91449247D01* -X53603247Y-91515910D01* -X53550870Y-91594298D01* -X53514792Y-91681397D01* -X53496400Y-91773862D01* -X47271902Y-91773862D01* -X48477724Y-90568040D01* -X48470870Y-90578298D01* -X48434792Y-90665397D01* -X48416400Y-90757862D01* -X48416400Y-90852138D01* -X48434792Y-90944603D01* -X48470870Y-91031702D01* -X48523247Y-91110090D01* -X48589910Y-91176753D01* -X48668298Y-91229130D01* -X48755397Y-91265208D01* -X48847862Y-91283600D01* -X48942138Y-91283600D01* -X49034603Y-91265208D01* -X49121702Y-91229130D01* -X49200090Y-91176753D01* -X49266753Y-91110090D01* -X49319130Y-91031702D01* -X49327348Y-91011862D01* -X57687400Y-91011862D01* -X57687400Y-91106138D01* -X57705792Y-91198603D01* -X57741870Y-91285702D01* -X57794247Y-91364090D01* -X57860910Y-91430753D01* -X57939298Y-91483130D01* -X58026397Y-91519208D01* -X58118862Y-91537600D01* -X58213138Y-91537600D01* -X58305603Y-91519208D01* -X58392702Y-91483130D01* -X58471090Y-91430753D01* -X58537753Y-91364090D01* -X58590130Y-91285702D01* -X58626208Y-91198603D01* -X58644600Y-91106138D01* -X58644600Y-91011862D01* -X58626208Y-90919397D01* -X58590130Y-90832298D01* -X58540394Y-90757862D01* -X61624400Y-90757862D01* -X61624400Y-90852138D01* -X61642792Y-90944603D01* -X61678870Y-91031702D01* -X61731247Y-91110090D01* -X61797910Y-91176753D01* -X61876298Y-91229130D01* -X61963397Y-91265208D01* -X62055862Y-91283600D01* -X62150138Y-91283600D01* -X62242603Y-91265208D01* -X62329702Y-91229130D01* -X62408090Y-91176753D01* -X62474753Y-91110090D01* -X62527130Y-91031702D01* -X62563208Y-90944603D01* -X62581600Y-90852138D01* -X62581600Y-90757862D01* -X66704400Y-90757862D01* -X66704400Y-90852138D01* -X66722792Y-90944603D01* -X66758870Y-91031702D01* -X66811247Y-91110090D01* -X66877910Y-91176753D01* -X66956298Y-91229130D01* -X67043397Y-91265208D01* -X67135862Y-91283600D01* -X67230138Y-91283600D01* -X67322603Y-91265208D01* -X67409702Y-91229130D01* -X67488090Y-91176753D01* -X67554753Y-91110090D01* -X67607130Y-91031702D01* -X67643208Y-90944603D01* -X67661600Y-90852138D01* -X67661600Y-90757862D01* -X71784400Y-90757862D01* -X71784400Y-90852138D01* -X71802792Y-90944603D01* -X71838870Y-91031702D01* -X71891247Y-91110090D01* -X71957910Y-91176753D01* -X72036298Y-91229130D01* -X72123397Y-91265208D01* -X72215862Y-91283600D01* -X72310138Y-91283600D01* -X72402603Y-91265208D01* -X72489702Y-91229130D01* -X72568090Y-91176753D01* -X72634753Y-91110090D01* -X72687130Y-91031702D01* -X72723208Y-90944603D01* -X72741600Y-90852138D01* -X72741600Y-90757862D01* -X72739626Y-90747937D01* -X89021400Y-90747937D01* -X89021400Y-90852063D01* -X89041713Y-90954187D01* -X89081560Y-91050386D01* -X89139409Y-91136963D01* -X89213037Y-91210591D01* -X89299614Y-91268440D01* -X89395813Y-91308287D01* -X89497937Y-91328600D01* -X89602063Y-91328600D01* -X89704187Y-91308287D01* -X89800386Y-91268440D01* -X89886963Y-91210591D01* -X89960591Y-91136963D01* -X90018440Y-91050386D01* -X90058287Y-90954187D01* -X90078600Y-90852063D01* -X90078600Y-90747937D01* -X96021400Y-90747937D01* -X96021400Y-90852063D01* -X96041713Y-90954187D01* -X96081560Y-91050386D01* -X96139409Y-91136963D01* -X96213037Y-91210591D01* -X96299614Y-91268440D01* -X96395813Y-91308287D01* -X96497937Y-91328600D01* -X96602063Y-91328600D01* -X96704187Y-91308287D01* -X96800386Y-91268440D01* -X96886963Y-91210591D01* -X96960591Y-91136963D01* -X97018440Y-91050386D01* -X97058287Y-90954187D01* -X97078600Y-90852063D01* -X97078600Y-90757862D01* -X102264400Y-90757862D01* -X102264400Y-90852138D01* -X102282792Y-90944603D01* -X102318870Y-91031702D01* -X102371247Y-91110090D01* -X102437910Y-91176753D01* -X102516298Y-91229130D01* -X102603397Y-91265208D01* -X102695862Y-91283600D01* -X102790138Y-91283600D01* -X102882603Y-91265208D01* -X102969702Y-91229130D01* -X103048090Y-91176753D01* -X103114753Y-91110090D01* -X103167130Y-91031702D01* -X103203208Y-90944603D01* -X103221600Y-90852138D01* -X103221600Y-90757862D01* -X107344400Y-90757862D01* -X107344400Y-90852138D01* -X107362792Y-90944603D01* -X107398870Y-91031702D01* -X107451247Y-91110090D01* -X107517910Y-91176753D01* -X107596298Y-91229130D01* -X107683397Y-91265208D01* -X107775862Y-91283600D01* -X107870138Y-91283600D01* -X107962603Y-91265208D01* -X108049702Y-91229130D01* -X108128090Y-91176753D01* -X108194753Y-91110090D01* -X108247130Y-91031702D01* -X108283208Y-90944603D01* -X108301600Y-90852138D01* -X108301600Y-90757862D01* -X108289681Y-90697937D01* -X111521400Y-90697937D01* -X111521400Y-90802063D01* -X111541713Y-90904187D01* -X111581560Y-91000386D01* -X111639409Y-91086963D01* -X111713037Y-91160591D01* -X111799614Y-91218440D01* -X111895813Y-91258287D01* -X111997937Y-91278600D01* -X112102063Y-91278600D01* -X112204187Y-91258287D01* -X112300386Y-91218440D01* -X112386963Y-91160591D01* -X112460591Y-91086963D01* -X112518440Y-91000386D01* -X112558287Y-90904187D01* -X112578600Y-90802063D01* -X112578600Y-90757862D01* -X117504400Y-90757862D01* -X117504400Y-90852138D01* -X117522792Y-90944603D01* -X117558870Y-91031702D01* -X117611247Y-91110090D01* -X117677910Y-91176753D01* -X117756298Y-91229130D01* -X117843397Y-91265208D01* -X117935862Y-91283600D01* -X118030138Y-91283600D01* -X118122603Y-91265208D01* -X118209702Y-91229130D01* -X118288090Y-91176753D01* -X118354753Y-91110090D01* -X118407130Y-91031702D01* -X118443208Y-90944603D01* -X118461600Y-90852138D01* -X118461600Y-90757862D01* -X118449681Y-90697937D01* -X121521400Y-90697937D01* -X121521400Y-90802063D01* -X121541713Y-90904187D01* -X121581560Y-91000386D01* -X121639409Y-91086963D01* -X121713037Y-91160591D01* -X121799614Y-91218440D01* -X121895813Y-91258287D01* -X121997937Y-91278600D01* -X122102063Y-91278600D01* -X122204187Y-91258287D01* -X122300386Y-91218440D01* -X122386963Y-91160591D01* -X122460591Y-91086963D01* -X122518440Y-91000386D01* -X122558287Y-90904187D01* -X122578600Y-90802063D01* -X122578600Y-90757862D01* -X127664400Y-90757862D01* -X127664400Y-90852138D01* -X127682792Y-90944603D01* -X127718870Y-91031702D01* -X127771247Y-91110090D01* -X127837910Y-91176753D01* -X127916298Y-91229130D01* -X128003397Y-91265208D01* -X128095862Y-91283600D01* -X128190138Y-91283600D01* -X128282603Y-91265208D01* -X128369702Y-91229130D01* -X128448090Y-91176753D01* -X128514753Y-91110090D01* -X128567130Y-91031702D01* -X128603208Y-90944603D01* -X128621600Y-90852138D01* -X128621600Y-90757862D01* -X132744400Y-90757862D01* -X132744400Y-90852138D01* -X132762792Y-90944603D01* -X132798870Y-91031702D01* -X132851247Y-91110090D01* -X132917910Y-91176753D01* -X132996298Y-91229130D01* -X133083397Y-91265208D01* -X133175862Y-91283600D01* -X133270138Y-91283600D01* -X133362603Y-91265208D01* -X133449702Y-91229130D01* -X133528090Y-91176753D01* -X133594753Y-91110090D01* -X133647130Y-91031702D01* -X133683208Y-90944603D01* -X133695090Y-90884862D01* -X134649400Y-90884862D01* -X134649400Y-90979138D01* -X134667792Y-91071603D01* -X134703870Y-91158702D01* -X134756247Y-91237090D01* -X134822910Y-91303753D01* -X134901298Y-91356130D01* -X134988397Y-91392208D01* -X135080862Y-91410600D01* -X135175138Y-91410600D01* -X135267603Y-91392208D01* -X135354702Y-91356130D01* -X135433090Y-91303753D01* -X135499753Y-91237090D01* -X135552130Y-91158702D01* -X135588208Y-91071603D01* -X135606600Y-90979138D01* -X135606600Y-90884862D01* -X135919400Y-90884862D01* -X135919400Y-90979138D01* -X135937792Y-91071603D01* -X135973870Y-91158702D01* -X136026247Y-91237090D01* -X136092910Y-91303753D01* -X136171298Y-91356130D01* -X136258397Y-91392208D01* -X136350862Y-91410600D01* -X136445138Y-91410600D01* -X136537603Y-91392208D01* -X136624702Y-91356130D01* -X136703090Y-91303753D01* -X136769753Y-91237090D01* -X136822130Y-91158702D01* -X136858208Y-91071603D01* -X136876600Y-90979138D01* -X136876600Y-90884862D01* -X136858208Y-90792397D01* -X136843903Y-90757862D01* -X137824400Y-90757862D01* -X137824400Y-90852138D01* -X137842792Y-90944603D01* -X137878870Y-91031702D01* -X137931247Y-91110090D01* -X137997910Y-91176753D01* -X138076298Y-91229130D01* -X138163397Y-91265208D01* -X138255862Y-91283600D01* -X138350138Y-91283600D01* -X138442603Y-91265208D01* -X138529702Y-91229130D01* -X138608090Y-91176753D01* -X138674753Y-91110090D01* -X138727130Y-91031702D01* -X138763208Y-90944603D01* -X138781600Y-90852138D01* -X138781600Y-90757862D01* -X142904400Y-90757862D01* -X142904400Y-90852138D01* -X142922792Y-90944603D01* -X142958870Y-91031702D01* -X143011247Y-91110090D01* -X143077910Y-91176753D01* -X143156298Y-91229130D01* -X143243397Y-91265208D01* -X143335862Y-91283600D01* -X143430138Y-91283600D01* -X143522603Y-91265208D01* -X143609702Y-91229130D01* -X143688090Y-91176753D01* -X143754753Y-91110090D01* -X143807130Y-91031702D01* -X143843208Y-90944603D01* -X143861600Y-90852138D01* -X143861600Y-90757862D01* -X143843208Y-90665397D01* -X143807130Y-90578298D01* -X143754753Y-90499910D01* -X143688090Y-90433247D01* -X143609702Y-90380870D01* -X143522603Y-90344792D01* -X143430138Y-90326400D01* -X143335862Y-90326400D01* -X143243397Y-90344792D01* -X143156298Y-90380870D01* -X143077910Y-90433247D01* -X143011247Y-90499910D01* -X142958870Y-90578298D01* -X142922792Y-90665397D01* -X142904400Y-90757862D01* -X138781600Y-90757862D01* -X138763208Y-90665397D01* -X138727130Y-90578298D01* -X138674753Y-90499910D01* -X138608090Y-90433247D01* -X138529702Y-90380870D01* -X138442603Y-90344792D01* -X138350138Y-90326400D01* -X138255862Y-90326400D01* -X138163397Y-90344792D01* -X138076298Y-90380870D01* -X137997910Y-90433247D01* -X137931247Y-90499910D01* -X137878870Y-90578298D01* -X137842792Y-90665397D01* -X137824400Y-90757862D01* -X136843903Y-90757862D01* -X136822130Y-90705298D01* -X136769753Y-90626910D01* -X136703090Y-90560247D01* -X136624702Y-90507870D01* -X136537603Y-90471792D01* -X136445138Y-90453400D01* -X136350862Y-90453400D01* -X136258397Y-90471792D01* -X136171298Y-90507870D01* -X136092910Y-90560247D01* -X136026247Y-90626910D01* -X135973870Y-90705298D01* -X135937792Y-90792397D01* -X135919400Y-90884862D01* -X135606600Y-90884862D01* -X135588208Y-90792397D01* -X135552130Y-90705298D01* -X135499753Y-90626910D01* -X135433090Y-90560247D01* -X135354702Y-90507870D01* -X135267603Y-90471792D01* -X135175138Y-90453400D01* -X135080862Y-90453400D01* -X134988397Y-90471792D01* -X134901298Y-90507870D01* -X134822910Y-90560247D01* -X134756247Y-90626910D01* -X134703870Y-90705298D01* -X134667792Y-90792397D01* -X134649400Y-90884862D01* -X133695090Y-90884862D01* -X133701600Y-90852138D01* -X133701600Y-90757862D01* -X133683208Y-90665397D01* -X133647130Y-90578298D01* -X133594753Y-90499910D01* -X133528090Y-90433247D01* -X133449702Y-90380870D01* -X133362603Y-90344792D01* -X133270138Y-90326400D01* -X133175862Y-90326400D01* -X133083397Y-90344792D01* -X132996298Y-90380870D01* -X132917910Y-90433247D01* -X132851247Y-90499910D01* -X132798870Y-90578298D01* -X132762792Y-90665397D01* -X132744400Y-90757862D01* -X128621600Y-90757862D01* -X128603208Y-90665397D01* -X128567130Y-90578298D01* -X128514753Y-90499910D01* -X128448090Y-90433247D01* -X128369702Y-90380870D01* -X128282603Y-90344792D01* -X128190138Y-90326400D01* -X128095862Y-90326400D01* -X128003397Y-90344792D01* -X127916298Y-90380870D01* -X127837910Y-90433247D01* -X127771247Y-90499910D01* -X127718870Y-90578298D01* -X127682792Y-90665397D01* -X127664400Y-90757862D01* -X122578600Y-90757862D01* -X122578600Y-90697937D01* -X122558287Y-90595813D01* -X122518440Y-90499614D01* -X122460591Y-90413037D01* -X122386963Y-90339409D01* -X122300386Y-90281560D01* -X122204187Y-90241713D01* -X122102063Y-90221400D01* -X121997937Y-90221400D01* -X121895813Y-90241713D01* -X121799614Y-90281560D01* -X121713037Y-90339409D01* -X121639409Y-90413037D01* -X121581560Y-90499614D01* -X121541713Y-90595813D01* -X121521400Y-90697937D01* -X118449681Y-90697937D01* -X118443208Y-90665397D01* -X118407130Y-90578298D01* -X118354753Y-90499910D01* -X118288090Y-90433247D01* -X118209702Y-90380870D01* -X118122603Y-90344792D01* -X118030138Y-90326400D01* -X117935862Y-90326400D01* -X117843397Y-90344792D01* -X117756298Y-90380870D01* -X117677910Y-90433247D01* -X117611247Y-90499910D01* -X117558870Y-90578298D01* -X117522792Y-90665397D01* -X117504400Y-90757862D01* -X112578600Y-90757862D01* -X112578600Y-90697937D01* -X112558287Y-90595813D01* -X112518440Y-90499614D01* -X112460591Y-90413037D01* -X112386963Y-90339409D01* -X112300386Y-90281560D01* -X112204187Y-90241713D01* -X112102063Y-90221400D01* -X111997937Y-90221400D01* -X111895813Y-90241713D01* -X111799614Y-90281560D01* -X111713037Y-90339409D01* -X111639409Y-90413037D01* -X111581560Y-90499614D01* -X111541713Y-90595813D01* -X111521400Y-90697937D01* -X108289681Y-90697937D01* -X108283208Y-90665397D01* -X108247130Y-90578298D01* -X108194753Y-90499910D01* -X108128090Y-90433247D01* -X108049702Y-90380870D01* -X107962603Y-90344792D01* -X107870138Y-90326400D01* -X107775862Y-90326400D01* -X107683397Y-90344792D01* -X107596298Y-90380870D01* -X107517910Y-90433247D01* -X107451247Y-90499910D01* -X107398870Y-90578298D01* -X107362792Y-90665397D01* -X107344400Y-90757862D01* -X103221600Y-90757862D01* -X103203208Y-90665397D01* -X103167130Y-90578298D01* -X103114753Y-90499910D01* -X103048090Y-90433247D01* -X102969702Y-90380870D01* -X102882603Y-90344792D01* -X102790138Y-90326400D01* -X102695862Y-90326400D01* -X102603397Y-90344792D01* -X102516298Y-90380870D01* -X102437910Y-90433247D01* -X102371247Y-90499910D01* -X102318870Y-90578298D01* -X102282792Y-90665397D01* -X102264400Y-90757862D01* -X97078600Y-90757862D01* -X97078600Y-90747937D01* -X97058287Y-90645813D01* -X97018440Y-90549614D01* -X96960591Y-90463037D01* -X96886963Y-90389409D01* -X96800386Y-90331560D01* -X96704187Y-90291713D01* -X96602063Y-90271400D01* -X96497937Y-90271400D01* -X96395813Y-90291713D01* -X96299614Y-90331560D01* -X96213037Y-90389409D01* -X96139409Y-90463037D01* -X96081560Y-90549614D01* -X96041713Y-90645813D01* -X96021400Y-90747937D01* -X90078600Y-90747937D01* -X90058287Y-90645813D01* -X90018440Y-90549614D01* -X89960591Y-90463037D01* -X89886963Y-90389409D01* -X89800386Y-90331560D01* -X89704187Y-90291713D01* -X89602063Y-90271400D01* -X89497937Y-90271400D01* -X89395813Y-90291713D01* -X89299614Y-90331560D01* -X89213037Y-90389409D01* -X89139409Y-90463037D01* -X89081560Y-90549614D01* -X89041713Y-90645813D01* -X89021400Y-90747937D01* -X72739626Y-90747937D01* -X72723208Y-90665397D01* -X72687130Y-90578298D01* -X72634753Y-90499910D01* -X72568090Y-90433247D01* -X72489702Y-90380870D01* -X72402603Y-90344792D01* -X72310138Y-90326400D01* -X72215862Y-90326400D01* -X72123397Y-90344792D01* -X72036298Y-90380870D01* -X71957910Y-90433247D01* -X71891247Y-90499910D01* -X71838870Y-90578298D01* -X71802792Y-90665397D01* -X71784400Y-90757862D01* -X67661600Y-90757862D01* -X67643208Y-90665397D01* -X67607130Y-90578298D01* -X67554753Y-90499910D01* -X67488090Y-90433247D01* -X67409702Y-90380870D01* -X67322603Y-90344792D01* -X67230138Y-90326400D01* -X67135862Y-90326400D01* -X67043397Y-90344792D01* -X66956298Y-90380870D01* -X66877910Y-90433247D01* -X66811247Y-90499910D01* -X66758870Y-90578298D01* -X66722792Y-90665397D01* -X66704400Y-90757862D01* -X62581600Y-90757862D01* -X62563208Y-90665397D01* -X62527130Y-90578298D01* -X62474753Y-90499910D01* -X62408090Y-90433247D01* -X62329702Y-90380870D01* -X62242603Y-90344792D01* -X62150138Y-90326400D01* -X62055862Y-90326400D01* -X61963397Y-90344792D01* -X61876298Y-90380870D01* -X61797910Y-90433247D01* -X61731247Y-90499910D01* -X61678870Y-90578298D01* -X61642792Y-90665397D01* -X61624400Y-90757862D01* -X58540394Y-90757862D01* -X58537753Y-90753910D01* -X58471090Y-90687247D01* -X58392702Y-90634870D01* -X58305603Y-90598792D01* -X58213138Y-90580400D01* -X58118862Y-90580400D01* -X58026397Y-90598792D01* -X57939298Y-90634870D01* -X57860910Y-90687247D01* -X57794247Y-90753910D01* -X57741870Y-90832298D01* -X57705792Y-90919397D01* -X57687400Y-91011862D01* -X49327348Y-91011862D01* -X49355208Y-90944603D01* -X49373600Y-90852138D01* -X49373600Y-90757862D01* -X49355208Y-90665397D01* -X49319130Y-90578298D01* -X49266753Y-90499910D01* -X49200090Y-90433247D01* -X49121702Y-90380870D01* -X49034603Y-90344792D01* -X48942138Y-90326400D01* -X48847862Y-90326400D01* -X48755397Y-90344792D01* -X48668298Y-90380870D01* -X48658040Y-90387724D01* -X49247827Y-89797937D01* -X112521400Y-89797937D01* -X112521400Y-89902063D01* -X112541713Y-90004187D01* -X112581560Y-90100386D01* -X112639409Y-90186963D01* -X112713037Y-90260591D01* -X112799614Y-90318440D01* -X112895813Y-90358287D01* -X112997937Y-90378600D01* -X113102063Y-90378600D01* -X113204187Y-90358287D01* -X113300386Y-90318440D01* -X113386963Y-90260591D01* -X113460591Y-90186963D01* -X113518440Y-90100386D01* -X113558287Y-90004187D01* -X113578600Y-89902063D01* -X113578600Y-89797937D01* -X122521400Y-89797937D01* -X122521400Y-89902063D01* -X122541713Y-90004187D01* -X122581560Y-90100386D01* -X122639409Y-90186963D01* -X122713037Y-90260591D01* -X122799614Y-90318440D01* -X122895813Y-90358287D01* -X122997937Y-90378600D01* -X123102063Y-90378600D01* -X123204187Y-90358287D01* -X123300386Y-90318440D01* -X123386963Y-90260591D01* -X123460591Y-90186963D01* -X123518440Y-90100386D01* -X123558287Y-90004187D01* -X123578600Y-89902063D01* -X123578600Y-89797937D01* -X123558287Y-89695813D01* -X123518440Y-89599614D01* -X123460591Y-89513037D01* -X123386963Y-89439409D01* -X123300386Y-89381560D01* -X123204187Y-89341713D01* -X123102063Y-89321400D01* -X122997937Y-89321400D01* -X122895813Y-89341713D01* -X122799614Y-89381560D01* -X122713037Y-89439409D01* -X122639409Y-89513037D01* -X122581560Y-89599614D01* -X122541713Y-89695813D01* -X122521400Y-89797937D01* -X113578600Y-89797937D01* -X113558287Y-89695813D01* -X113518440Y-89599614D01* -X113460591Y-89513037D01* -X113386963Y-89439409D01* -X113300386Y-89381560D01* -X113204187Y-89341713D01* -X113102063Y-89321400D01* -X112997937Y-89321400D01* -X112895813Y-89341713D01* -X112799614Y-89381560D01* -X112713037Y-89439409D01* -X112639409Y-89513037D01* -X112581560Y-89599614D01* -X112541713Y-89695813D01* -X112521400Y-89797937D01* -X49247827Y-89797937D01* -X51017724Y-88028040D01* -X51010870Y-88038298D01* -X50974792Y-88125397D01* -X50956400Y-88217862D01* -X50956400Y-88312138D01* -X50974792Y-88404603D01* -X51010870Y-88491702D01* -X51063247Y-88570090D01* -X51129910Y-88636753D01* -X51208298Y-88689130D01* -X51295397Y-88725208D01* -X51387862Y-88743600D01* -X51482138Y-88743600D01* -X51574603Y-88725208D01* -X51661702Y-88689130D01* -X51740090Y-88636753D01* -X51806753Y-88570090D01* -X51859130Y-88491702D01* -X51867348Y-88471862D01* -X55401400Y-88471862D01* -X55401400Y-88566138D01* -X55419792Y-88658603D01* -X55455870Y-88745702D01* -X55508247Y-88824090D01* -X55574910Y-88890753D01* -X55653298Y-88943130D01* -X55740397Y-88979208D01* -X55832862Y-88997600D01* -X55927138Y-88997600D01* -X56019603Y-88979208D01* -X56106702Y-88943130D01* -X56185090Y-88890753D01* -X56251753Y-88824090D01* -X56304130Y-88745702D01* -X56340208Y-88658603D01* -X56358600Y-88566138D01* -X56358600Y-88471862D01* -X56340208Y-88379397D01* -X56304130Y-88292298D01* -X56254394Y-88217862D01* -X59084400Y-88217862D01* -X59084400Y-88312138D01* -X59102792Y-88404603D01* -X59138870Y-88491702D01* -X59191247Y-88570090D01* -X59257910Y-88636753D01* -X59336298Y-88689130D01* -X59423397Y-88725208D01* -X59515862Y-88743600D01* -X59610138Y-88743600D01* -X59702603Y-88725208D01* -X59789702Y-88689130D01* -X59868090Y-88636753D01* -X59934753Y-88570090D01* -X59987130Y-88491702D01* -X60023208Y-88404603D01* -X60041600Y-88312138D01* -X60041600Y-88217862D01* -X64164400Y-88217862D01* -X64164400Y-88312138D01* -X64182792Y-88404603D01* -X64218870Y-88491702D01* -X64271247Y-88570090D01* -X64337910Y-88636753D01* -X64416298Y-88689130D01* -X64503397Y-88725208D01* -X64595862Y-88743600D01* -X64690138Y-88743600D01* -X64782603Y-88725208D01* -X64869702Y-88689130D01* -X64948090Y-88636753D01* -X65014753Y-88570090D01* -X65067130Y-88491702D01* -X65103208Y-88404603D01* -X65121600Y-88312138D01* -X65121600Y-88217862D01* -X69244400Y-88217862D01* -X69244400Y-88312138D01* -X69262792Y-88404603D01* -X69298870Y-88491702D01* -X69351247Y-88570090D01* -X69417910Y-88636753D01* -X69496298Y-88689130D01* -X69583397Y-88725208D01* -X69675862Y-88743600D01* -X69770138Y-88743600D01* -X69862603Y-88725208D01* -X69949702Y-88689130D01* -X70028090Y-88636753D01* -X70094753Y-88570090D01* -X70147130Y-88491702D01* -X70183208Y-88404603D01* -X70201600Y-88312138D01* -X70201600Y-88217862D01* -X74324400Y-88217862D01* -X74324400Y-88312138D01* -X74342792Y-88404603D01* -X74378870Y-88491702D01* -X74431247Y-88570090D01* -X74497910Y-88636753D01* -X74576298Y-88689130D01* -X74663397Y-88725208D01* -X74755862Y-88743600D01* -X74850138Y-88743600D01* -X74942603Y-88725208D01* -X75029702Y-88689130D01* -X75108090Y-88636753D01* -X75174753Y-88570090D01* -X75227130Y-88491702D01* -X75263208Y-88404603D01* -X75281600Y-88312138D01* -X75281600Y-88217862D01* -X79404400Y-88217862D01* -X79404400Y-88312138D01* -X79422792Y-88404603D01* -X79458870Y-88491702D01* -X79511247Y-88570090D01* -X79577910Y-88636753D01* -X79656298Y-88689130D01* -X79743397Y-88725208D01* -X79835862Y-88743600D01* -X79930138Y-88743600D01* -X80022603Y-88725208D01* -X80109702Y-88689130D01* -X80188090Y-88636753D01* -X80254753Y-88570090D01* -X80307130Y-88491702D01* -X80343208Y-88404603D01* -X80355090Y-88344862D01* -X84484400Y-88344862D01* -X84484400Y-88439138D01* -X84502792Y-88531603D01* -X84538870Y-88618702D01* -X84591247Y-88697090D01* -X84657910Y-88763753D01* -X84736298Y-88816130D01* -X84823397Y-88852208D01* -X84915862Y-88870600D01* -X85010138Y-88870600D01* -X85102603Y-88852208D01* -X85189702Y-88816130D01* -X85268090Y-88763753D01* -X85334753Y-88697090D01* -X85387130Y-88618702D01* -X85423208Y-88531603D01* -X85441600Y-88439138D01* -X85441600Y-88344862D01* -X85423208Y-88252397D01* -X85408903Y-88217862D01* -X94644400Y-88217862D01* -X94644400Y-88312138D01* -X94662792Y-88404603D01* -X94698870Y-88491702D01* -X94751247Y-88570090D01* -X94817910Y-88636753D01* -X94896298Y-88689130D01* -X94983397Y-88725208D01* -X95075862Y-88743600D01* -X95170138Y-88743600D01* -X95262603Y-88725208D01* -X95349702Y-88689130D01* -X95428090Y-88636753D01* -X95494753Y-88570090D01* -X95547130Y-88491702D01* -X95583208Y-88404603D01* -X95601600Y-88312138D01* -X95601600Y-88217862D01* -X99724400Y-88217862D01* -X99724400Y-88312138D01* -X99742792Y-88404603D01* -X99778870Y-88491702D01* -X99831247Y-88570090D01* -X99897910Y-88636753D01* -X99976298Y-88689130D01* -X100063397Y-88725208D01* -X100155862Y-88743600D01* -X100250138Y-88743600D01* -X100342603Y-88725208D01* -X100429702Y-88689130D01* -X100508090Y-88636753D01* -X100574753Y-88570090D01* -X100627130Y-88491702D01* -X100663208Y-88404603D01* -X100681600Y-88312138D01* -X100681600Y-88217862D01* -X104804400Y-88217862D01* -X104804400Y-88312138D01* -X104822792Y-88404603D01* -X104858870Y-88491702D01* -X104911247Y-88570090D01* -X104977910Y-88636753D01* -X105056298Y-88689130D01* -X105143397Y-88725208D01* -X105235862Y-88743600D01* -X105330138Y-88743600D01* -X105422603Y-88725208D01* -X105509702Y-88689130D01* -X105588090Y-88636753D01* -X105654753Y-88570090D01* -X105707130Y-88491702D01* -X105743208Y-88404603D01* -X105761600Y-88312138D01* -X105761600Y-88217862D01* -X109884400Y-88217862D01* -X109884400Y-88312138D01* -X109902792Y-88404603D01* -X109938870Y-88491702D01* -X109991247Y-88570090D01* -X110057910Y-88636753D01* -X110136298Y-88689130D01* -X110223397Y-88725208D01* -X110315862Y-88743600D01* -X110410138Y-88743600D01* -X110502603Y-88725208D01* -X110589702Y-88689130D01* -X110668090Y-88636753D01* -X110734753Y-88570090D01* -X110787130Y-88491702D01* -X110823208Y-88404603D01* -X110841600Y-88312138D01* -X110841600Y-88217862D01* -X114964400Y-88217862D01* -X114964400Y-88312138D01* -X114982792Y-88404603D01* -X115018870Y-88491702D01* -X115071247Y-88570090D01* -X115137910Y-88636753D01* -X115216298Y-88689130D01* -X115303397Y-88725208D01* -X115395862Y-88743600D01* -X115490138Y-88743600D01* -X115582603Y-88725208D01* -X115669702Y-88689130D01* -X115748090Y-88636753D01* -X115814753Y-88570090D01* -X115867130Y-88491702D01* -X115903208Y-88404603D01* -X115921600Y-88312138D01* -X115921600Y-88217862D01* -X120044400Y-88217862D01* -X120044400Y-88312138D01* -X120062792Y-88404603D01* -X120098870Y-88491702D01* -X120151247Y-88570090D01* -X120217910Y-88636753D01* -X120296298Y-88689130D01* -X120383397Y-88725208D01* -X120475862Y-88743600D01* -X120570138Y-88743600D01* -X120662603Y-88725208D01* -X120749702Y-88689130D01* -X120828090Y-88636753D01* -X120894753Y-88570090D01* -X120947130Y-88491702D01* -X120983208Y-88404603D01* -X121001600Y-88312138D01* -X121001600Y-88217862D01* -X125124400Y-88217862D01* -X125124400Y-88312138D01* -X125142792Y-88404603D01* -X125178870Y-88491702D01* -X125231247Y-88570090D01* -X125297910Y-88636753D01* -X125376298Y-88689130D01* -X125463397Y-88725208D01* -X125555862Y-88743600D01* -X125650138Y-88743600D01* -X125742603Y-88725208D01* -X125829702Y-88689130D01* -X125908090Y-88636753D01* -X125974753Y-88570090D01* -X126027130Y-88491702D01* -X126063208Y-88404603D01* -X126081600Y-88312138D01* -X126081600Y-88217862D01* -X130204400Y-88217862D01* -X130204400Y-88312138D01* -X130222792Y-88404603D01* -X130258870Y-88491702D01* -X130311247Y-88570090D01* -X130377910Y-88636753D01* -X130456298Y-88689130D01* -X130543397Y-88725208D01* -X130635862Y-88743600D01* -X130730138Y-88743600D01* -X130822603Y-88725208D01* -X130909702Y-88689130D01* -X130988090Y-88636753D01* -X131054753Y-88570090D01* -X131107130Y-88491702D01* -X131143208Y-88404603D01* -X131161600Y-88312138D01* -X131161600Y-88217862D01* -X135284400Y-88217862D01* -X135284400Y-88312138D01* -X135302792Y-88404603D01* -X135338870Y-88491702D01* -X135391247Y-88570090D01* -X135457910Y-88636753D01* -X135536298Y-88689130D01* -X135623397Y-88725208D01* -X135715862Y-88743600D01* -X135810138Y-88743600D01* -X135902603Y-88725208D01* -X135989702Y-88689130D01* -X136068090Y-88636753D01* -X136134753Y-88570090D01* -X136187130Y-88491702D01* -X136223208Y-88404603D01* -X136241600Y-88312138D01* -X136241600Y-88217862D01* -X140364400Y-88217862D01* -X140364400Y-88312138D01* -X140382792Y-88404603D01* -X140418870Y-88491702D01* -X140471247Y-88570090D01* -X140537910Y-88636753D01* -X140616298Y-88689130D01* -X140703397Y-88725208D01* -X140795862Y-88743600D01* -X140890138Y-88743600D01* -X140982603Y-88725208D01* -X141069702Y-88689130D01* -X141148090Y-88636753D01* -X141214753Y-88570090D01* -X141267130Y-88491702D01* -X141303208Y-88404603D01* -X141321600Y-88312138D01* -X141321600Y-88217862D01* -X141303208Y-88125397D01* -X141267130Y-88038298D01* -X141214753Y-87959910D01* -X141148090Y-87893247D01* -X141069702Y-87840870D01* -X140982603Y-87804792D01* -X140890138Y-87786400D01* -X140795862Y-87786400D01* -X140703397Y-87804792D01* -X140616298Y-87840870D01* -X140537910Y-87893247D01* -X140471247Y-87959910D01* -X140418870Y-88038298D01* -X140382792Y-88125397D01* -X140364400Y-88217862D01* -X136241600Y-88217862D01* -X136223208Y-88125397D01* -X136187130Y-88038298D01* -X136134753Y-87959910D01* -X136068090Y-87893247D01* -X135989702Y-87840870D01* -X135902603Y-87804792D01* -X135810138Y-87786400D01* -X135715862Y-87786400D01* -X135623397Y-87804792D01* -X135536298Y-87840870D01* -X135457910Y-87893247D01* -X135391247Y-87959910D01* -X135338870Y-88038298D01* -X135302792Y-88125397D01* -X135284400Y-88217862D01* -X131161600Y-88217862D01* -X131143208Y-88125397D01* -X131107130Y-88038298D01* -X131054753Y-87959910D01* -X130988090Y-87893247D01* -X130909702Y-87840870D01* -X130822603Y-87804792D01* -X130730138Y-87786400D01* -X130635862Y-87786400D01* -X130543397Y-87804792D01* -X130456298Y-87840870D01* -X130377910Y-87893247D01* -X130311247Y-87959910D01* -X130258870Y-88038298D01* -X130222792Y-88125397D01* -X130204400Y-88217862D01* -X126081600Y-88217862D01* -X126063208Y-88125397D01* -X126027130Y-88038298D01* -X125974753Y-87959910D01* -X125908090Y-87893247D01* -X125829702Y-87840870D01* -X125742603Y-87804792D01* -X125650138Y-87786400D01* -X125555862Y-87786400D01* -X125463397Y-87804792D01* -X125376298Y-87840870D01* -X125297910Y-87893247D01* -X125231247Y-87959910D01* -X125178870Y-88038298D01* -X125142792Y-88125397D01* -X125124400Y-88217862D01* -X121001600Y-88217862D01* -X120983208Y-88125397D01* -X120947130Y-88038298D01* -X120894753Y-87959910D01* -X120828090Y-87893247D01* -X120749702Y-87840870D01* -X120662603Y-87804792D01* -X120570138Y-87786400D01* -X120475862Y-87786400D01* -X120383397Y-87804792D01* -X120296298Y-87840870D01* -X120217910Y-87893247D01* -X120151247Y-87959910D01* -X120098870Y-88038298D01* -X120062792Y-88125397D01* -X120044400Y-88217862D01* -X115921600Y-88217862D01* -X115903208Y-88125397D01* -X115867130Y-88038298D01* -X115814753Y-87959910D01* -X115748090Y-87893247D01* -X115669702Y-87840870D01* -X115582603Y-87804792D01* -X115490138Y-87786400D01* -X115395862Y-87786400D01* -X115303397Y-87804792D01* -X115216298Y-87840870D01* -X115137910Y-87893247D01* -X115071247Y-87959910D01* -X115018870Y-88038298D01* -X114982792Y-88125397D01* -X114964400Y-88217862D01* -X110841600Y-88217862D01* -X110823208Y-88125397D01* -X110787130Y-88038298D01* -X110734753Y-87959910D01* -X110668090Y-87893247D01* -X110589702Y-87840870D01* -X110502603Y-87804792D01* -X110410138Y-87786400D01* -X110315862Y-87786400D01* -X110223397Y-87804792D01* -X110136298Y-87840870D01* -X110057910Y-87893247D01* -X109991247Y-87959910D01* -X109938870Y-88038298D01* -X109902792Y-88125397D01* -X109884400Y-88217862D01* -X105761600Y-88217862D01* -X105743208Y-88125397D01* -X105707130Y-88038298D01* -X105654753Y-87959910D01* -X105588090Y-87893247D01* -X105509702Y-87840870D01* -X105422603Y-87804792D01* -X105330138Y-87786400D01* -X105235862Y-87786400D01* -X105143397Y-87804792D01* -X105056298Y-87840870D01* -X104977910Y-87893247D01* -X104911247Y-87959910D01* -X104858870Y-88038298D01* -X104822792Y-88125397D01* -X104804400Y-88217862D01* -X100681600Y-88217862D01* -X100663208Y-88125397D01* -X100627130Y-88038298D01* -X100574753Y-87959910D01* -X100508090Y-87893247D01* -X100429702Y-87840870D01* -X100342603Y-87804792D01* -X100250138Y-87786400D01* -X100155862Y-87786400D01* -X100063397Y-87804792D01* -X99976298Y-87840870D01* -X99897910Y-87893247D01* -X99831247Y-87959910D01* -X99778870Y-88038298D01* -X99742792Y-88125397D01* -X99724400Y-88217862D01* -X95601600Y-88217862D01* -X95583208Y-88125397D01* -X95547130Y-88038298D01* -X95494753Y-87959910D01* -X95428090Y-87893247D01* -X95349702Y-87840870D01* -X95262603Y-87804792D01* -X95170138Y-87786400D01* -X95075862Y-87786400D01* -X94983397Y-87804792D01* -X94896298Y-87840870D01* -X94817910Y-87893247D01* -X94751247Y-87959910D01* -X94698870Y-88038298D01* -X94662792Y-88125397D01* -X94644400Y-88217862D01* -X85408903Y-88217862D01* -X85387130Y-88165298D01* -X85334753Y-88086910D01* -X85268090Y-88020247D01* -X85189702Y-87967870D01* -X85102603Y-87931792D01* -X85010138Y-87913400D01* -X84915862Y-87913400D01* -X84823397Y-87931792D01* -X84736298Y-87967870D01* -X84657910Y-88020247D01* -X84591247Y-88086910D01* -X84538870Y-88165298D01* -X84502792Y-88252397D01* -X84484400Y-88344862D01* -X80355090Y-88344862D01* -X80361600Y-88312138D01* -X80361600Y-88217862D01* -X80343208Y-88125397D01* -X80307130Y-88038298D01* -X80254753Y-87959910D01* -X80188090Y-87893247D01* -X80109702Y-87840870D01* -X80022603Y-87804792D01* -X79930138Y-87786400D01* -X79835862Y-87786400D01* -X79743397Y-87804792D01* -X79656298Y-87840870D01* -X79577910Y-87893247D01* -X79511247Y-87959910D01* -X79458870Y-88038298D01* -X79422792Y-88125397D01* -X79404400Y-88217862D01* -X75281600Y-88217862D01* -X75263208Y-88125397D01* -X75227130Y-88038298D01* -X75174753Y-87959910D01* -X75108090Y-87893247D01* -X75029702Y-87840870D01* -X74942603Y-87804792D01* -X74850138Y-87786400D01* -X74755862Y-87786400D01* -X74663397Y-87804792D01* -X74576298Y-87840870D01* -X74497910Y-87893247D01* -X74431247Y-87959910D01* -X74378870Y-88038298D01* -X74342792Y-88125397D01* -X74324400Y-88217862D01* -X70201600Y-88217862D01* -X70183208Y-88125397D01* -X70147130Y-88038298D01* -X70094753Y-87959910D01* -X70028090Y-87893247D01* -X69949702Y-87840870D01* -X69862603Y-87804792D01* -X69770138Y-87786400D01* -X69675862Y-87786400D01* -X69583397Y-87804792D01* -X69496298Y-87840870D01* -X69417910Y-87893247D01* -X69351247Y-87959910D01* -X69298870Y-88038298D01* -X69262792Y-88125397D01* -X69244400Y-88217862D01* -X65121600Y-88217862D01* -X65103208Y-88125397D01* -X65067130Y-88038298D01* -X65014753Y-87959910D01* -X64948090Y-87893247D01* -X64869702Y-87840870D01* -X64782603Y-87804792D01* -X64690138Y-87786400D01* -X64595862Y-87786400D01* -X64503397Y-87804792D01* -X64416298Y-87840870D01* -X64337910Y-87893247D01* -X64271247Y-87959910D01* -X64218870Y-88038298D01* -X64182792Y-88125397D01* -X64164400Y-88217862D01* -X60041600Y-88217862D01* -X60023208Y-88125397D01* -X59987130Y-88038298D01* -X59934753Y-87959910D01* -X59868090Y-87893247D01* -X59789702Y-87840870D01* -X59702603Y-87804792D01* -X59610138Y-87786400D01* -X59515862Y-87786400D01* -X59423397Y-87804792D01* -X59336298Y-87840870D01* -X59257910Y-87893247D01* -X59191247Y-87959910D01* -X59138870Y-88038298D01* -X59102792Y-88125397D01* -X59084400Y-88217862D01* -X56254394Y-88217862D01* -X56251753Y-88213910D01* -X56185090Y-88147247D01* -X56106702Y-88094870D01* -X56019603Y-88058792D01* -X55927138Y-88040400D01* -X55832862Y-88040400D01* -X55740397Y-88058792D01* -X55653298Y-88094870D01* -X55574910Y-88147247D01* -X55508247Y-88213910D01* -X55455870Y-88292298D01* -X55419792Y-88379397D01* -X55401400Y-88471862D01* -X51867348Y-88471862D01* -X51895208Y-88404603D01* -X51913600Y-88312138D01* -X51913600Y-88217862D01* -X51895208Y-88125397D01* -X51859130Y-88038298D01* -X51806753Y-87959910D01* -X51740090Y-87893247D01* -X51661702Y-87840870D01* -X51574603Y-87804792D01* -X51482138Y-87786400D01* -X51387862Y-87786400D01* -X51295397Y-87804792D01* -X51208298Y-87840870D01* -X51198040Y-87847724D01* -X52732902Y-86312862D01* -X57433400Y-86312862D01* -X57433400Y-86407138D01* -X57451792Y-86499603D01* -X57487870Y-86586702D01* -X57540247Y-86665090D01* -X57606910Y-86731753D01* -X57685298Y-86784130D01* -X57772397Y-86820208D01* -X57864862Y-86838600D01* -X57959138Y-86838600D01* -X58051603Y-86820208D01* -X58138702Y-86784130D01* -X58217090Y-86731753D01* -X58283753Y-86665090D01* -X58336130Y-86586702D01* -X58372208Y-86499603D01* -X58384090Y-86439862D01* -X87024400Y-86439862D01* -X87024400Y-86534138D01* -X87042792Y-86626603D01* -X87078870Y-86713702D01* -X87131247Y-86792090D01* -X87197910Y-86858753D01* -X87276298Y-86911130D01* -X87363397Y-86947208D01* -X87455862Y-86965600D01* -X87550138Y-86965600D01* -X87642603Y-86947208D01* -X87729702Y-86911130D01* -X87808090Y-86858753D01* -X87874753Y-86792090D01* -X87927130Y-86713702D01* -X87963208Y-86626603D01* -X87981600Y-86534138D01* -X87981600Y-86439862D01* -X92104400Y-86439862D01* -X92104400Y-86534138D01* -X92122792Y-86626603D01* -X92158870Y-86713702D01* -X92211247Y-86792090D01* -X92277910Y-86858753D01* -X92356298Y-86911130D01* -X92443397Y-86947208D01* -X92535862Y-86965600D01* -X92630138Y-86965600D01* -X92722603Y-86947208D01* -X92809702Y-86911130D01* -X92888090Y-86858753D01* -X92954753Y-86792090D01* -X93007130Y-86713702D01* -X93043208Y-86626603D01* -X93061600Y-86534138D01* -X93061600Y-86439862D01* -X97184400Y-86439862D01* -X97184400Y-86534138D01* -X97202792Y-86626603D01* -X97238870Y-86713702D01* -X97291247Y-86792090D01* -X97357910Y-86858753D01* -X97436298Y-86911130D01* -X97523397Y-86947208D01* -X97615862Y-86965600D01* -X97710138Y-86965600D01* -X97802603Y-86947208D01* -X97889702Y-86911130D01* -X97968090Y-86858753D01* -X98034753Y-86792090D01* -X98087130Y-86713702D01* -X98123208Y-86626603D01* -X98141600Y-86534138D01* -X98141600Y-86439862D01* -X102264400Y-86439862D01* -X102264400Y-86534138D01* -X102282792Y-86626603D01* -X102318870Y-86713702D01* -X102371247Y-86792090D01* -X102437910Y-86858753D01* -X102516298Y-86911130D01* -X102603397Y-86947208D01* -X102695862Y-86965600D01* -X102790138Y-86965600D01* -X102882603Y-86947208D01* -X102969702Y-86911130D01* -X103048090Y-86858753D01* -X103114753Y-86792090D01* -X103167130Y-86713702D01* -X103203208Y-86626603D01* -X103221600Y-86534138D01* -X103221600Y-86439862D01* -X107344400Y-86439862D01* -X107344400Y-86534138D01* -X107362792Y-86626603D01* -X107398870Y-86713702D01* -X107451247Y-86792090D01* -X107517910Y-86858753D01* -X107596298Y-86911130D01* -X107683397Y-86947208D01* -X107775862Y-86965600D01* -X107870138Y-86965600D01* -X107962603Y-86947208D01* -X108049702Y-86911130D01* -X108128090Y-86858753D01* -X108194753Y-86792090D01* -X108247130Y-86713702D01* -X108283208Y-86626603D01* -X108301600Y-86534138D01* -X108301600Y-86439862D01* -X112424400Y-86439862D01* -X112424400Y-86534138D01* -X112442792Y-86626603D01* -X112478870Y-86713702D01* -X112531247Y-86792090D01* -X112597910Y-86858753D01* -X112676298Y-86911130D01* -X112763397Y-86947208D01* -X112855862Y-86965600D01* -X112950138Y-86965600D01* -X113042603Y-86947208D01* -X113129702Y-86911130D01* -X113208090Y-86858753D01* -X113274753Y-86792090D01* -X113327130Y-86713702D01* -X113363208Y-86626603D01* -X113381600Y-86534138D01* -X113381600Y-86439862D01* -X117504400Y-86439862D01* -X117504400Y-86534138D01* -X117522792Y-86626603D01* -X117558870Y-86713702D01* -X117611247Y-86792090D01* -X117677910Y-86858753D01* -X117756298Y-86911130D01* -X117843397Y-86947208D01* -X117935862Y-86965600D01* -X118030138Y-86965600D01* -X118122603Y-86947208D01* -X118209702Y-86911130D01* -X118288090Y-86858753D01* -X118354753Y-86792090D01* -X118407130Y-86713702D01* -X118443208Y-86626603D01* -X118461600Y-86534138D01* -X118461600Y-86439862D01* -X118443208Y-86347397D01* -X118407130Y-86260298D01* -X118354753Y-86181910D01* -X118288090Y-86115247D01* -X118209702Y-86062870D01* -X118122603Y-86026792D01* -X118030138Y-86008400D01* -X117935862Y-86008400D01* -X117843397Y-86026792D01* -X117756298Y-86062870D01* -X117677910Y-86115247D01* -X117611247Y-86181910D01* -X117558870Y-86260298D01* -X117522792Y-86347397D01* -X117504400Y-86439862D01* -X113381600Y-86439862D01* -X113363208Y-86347397D01* -X113327130Y-86260298D01* -X113274753Y-86181910D01* -X113208090Y-86115247D01* -X113129702Y-86062870D01* -X113042603Y-86026792D01* -X112950138Y-86008400D01* -X112855862Y-86008400D01* -X112763397Y-86026792D01* -X112676298Y-86062870D01* -X112597910Y-86115247D01* -X112531247Y-86181910D01* -X112478870Y-86260298D01* -X112442792Y-86347397D01* -X112424400Y-86439862D01* -X108301600Y-86439862D01* -X108283208Y-86347397D01* -X108247130Y-86260298D01* -X108194753Y-86181910D01* -X108128090Y-86115247D01* -X108049702Y-86062870D01* -X107962603Y-86026792D01* -X107870138Y-86008400D01* -X107775862Y-86008400D01* -X107683397Y-86026792D01* -X107596298Y-86062870D01* -X107517910Y-86115247D01* -X107451247Y-86181910D01* -X107398870Y-86260298D01* -X107362792Y-86347397D01* -X107344400Y-86439862D01* -X103221600Y-86439862D01* -X103203208Y-86347397D01* -X103167130Y-86260298D01* -X103114753Y-86181910D01* -X103048090Y-86115247D01* -X102969702Y-86062870D01* -X102882603Y-86026792D01* -X102790138Y-86008400D01* -X102695862Y-86008400D01* -X102603397Y-86026792D01* -X102516298Y-86062870D01* -X102437910Y-86115247D01* -X102371247Y-86181910D01* -X102318870Y-86260298D01* -X102282792Y-86347397D01* -X102264400Y-86439862D01* -X98141600Y-86439862D01* -X98123208Y-86347397D01* -X98087130Y-86260298D01* -X98034753Y-86181910D01* -X97968090Y-86115247D01* -X97889702Y-86062870D01* -X97802603Y-86026792D01* -X97710138Y-86008400D01* -X97615862Y-86008400D01* -X97523397Y-86026792D01* -X97436298Y-86062870D01* -X97357910Y-86115247D01* -X97291247Y-86181910D01* -X97238870Y-86260298D01* -X97202792Y-86347397D01* -X97184400Y-86439862D01* -X93061600Y-86439862D01* -X93043208Y-86347397D01* -X93007130Y-86260298D01* -X92954753Y-86181910D01* -X92888090Y-86115247D01* -X92809702Y-86062870D01* -X92722603Y-86026792D01* -X92630138Y-86008400D01* -X92535862Y-86008400D01* -X92443397Y-86026792D01* -X92356298Y-86062870D01* -X92277910Y-86115247D01* -X92211247Y-86181910D01* -X92158870Y-86260298D01* -X92122792Y-86347397D01* -X92104400Y-86439862D01* -X87981600Y-86439862D01* -X87963208Y-86347397D01* -X87927130Y-86260298D01* -X87874753Y-86181910D01* -X87808090Y-86115247D01* -X87729702Y-86062870D01* -X87642603Y-86026792D01* -X87550138Y-86008400D01* -X87455862Y-86008400D01* -X87363397Y-86026792D01* -X87276298Y-86062870D01* -X87197910Y-86115247D01* -X87131247Y-86181910D01* -X87078870Y-86260298D01* -X87042792Y-86347397D01* -X87024400Y-86439862D01* -X58384090Y-86439862D01* -X58390600Y-86407138D01* -X58390600Y-86312862D01* -X58372208Y-86220397D01* -X58336130Y-86133298D01* -X58283753Y-86054910D01* -X58217090Y-85988247D01* -X58138702Y-85935870D01* -X58051603Y-85899792D01* -X57959138Y-85881400D01* -X57864862Y-85881400D01* -X57772397Y-85899792D01* -X57685298Y-85935870D01* -X57606910Y-85988247D01* -X57540247Y-86054910D01* -X57487870Y-86133298D01* -X57451792Y-86220397D01* -X57433400Y-86312862D01* -X52732902Y-86312862D01* -X53557724Y-85488040D01* -X53550870Y-85498298D01* -X53514792Y-85585397D01* -X53496400Y-85677862D01* -X53496400Y-85772138D01* -X53514792Y-85864603D01* -X53550870Y-85951702D01* -X53603247Y-86030090D01* -X53669910Y-86096753D01* -X53748298Y-86149130D01* -X53835397Y-86185208D01* -X53927862Y-86203600D01* -X54022138Y-86203600D01* -X54114603Y-86185208D01* -X54201702Y-86149130D01* -X54280090Y-86096753D01* -X54346753Y-86030090D01* -X54399130Y-85951702D01* -X54435208Y-85864603D01* -X54453600Y-85772138D01* -X54453600Y-85677862D01* -X61624400Y-85677862D01* -X61624400Y-85772138D01* -X61642792Y-85864603D01* -X61678870Y-85951702D01* -X61731247Y-86030090D01* -X61797910Y-86096753D01* -X61876298Y-86149130D01* -X61963397Y-86185208D01* -X62055862Y-86203600D01* -X62150138Y-86203600D01* -X62242603Y-86185208D01* -X62329702Y-86149130D01* -X62408090Y-86096753D01* -X62474753Y-86030090D01* -X62527130Y-85951702D01* -X62563208Y-85864603D01* -X62581600Y-85772138D01* -X62581600Y-85677862D01* -X66704400Y-85677862D01* -X66704400Y-85772138D01* -X66722792Y-85864603D01* -X66758870Y-85951702D01* -X66811247Y-86030090D01* -X66877910Y-86096753D01* -X66956298Y-86149130D01* -X67043397Y-86185208D01* -X67135862Y-86203600D01* -X67230138Y-86203600D01* -X67322603Y-86185208D01* -X67409702Y-86149130D01* -X67488090Y-86096753D01* -X67554753Y-86030090D01* -X67607130Y-85951702D01* -X67643208Y-85864603D01* -X67661600Y-85772138D01* -X67661600Y-85677862D01* -X71784400Y-85677862D01* -X71784400Y-85772138D01* -X71802792Y-85864603D01* -X71838870Y-85951702D01* -X71891247Y-86030090D01* -X71957910Y-86096753D01* -X72036298Y-86149130D01* -X72123397Y-86185208D01* -X72215862Y-86203600D01* -X72310138Y-86203600D01* -X72402603Y-86185208D01* -X72489702Y-86149130D01* -X72568090Y-86096753D01* -X72634753Y-86030090D01* -X72687130Y-85951702D01* -X72723208Y-85864603D01* -X72741600Y-85772138D01* -X72741600Y-85677862D01* -X76864400Y-85677862D01* -X76864400Y-85772138D01* -X76882792Y-85864603D01* -X76918870Y-85951702D01* -X76971247Y-86030090D01* -X77037910Y-86096753D01* -X77116298Y-86149130D01* -X77203397Y-86185208D01* -X77295862Y-86203600D01* -X77390138Y-86203600D01* -X77482603Y-86185208D01* -X77569702Y-86149130D01* -X77648090Y-86096753D01* -X77714753Y-86030090D01* -X77767130Y-85951702D01* -X77803208Y-85864603D01* -X77821600Y-85772138D01* -X77821600Y-85677862D01* -X81944400Y-85677862D01* -X81944400Y-85772138D01* -X81962792Y-85864603D01* -X81998870Y-85951702D01* -X82051247Y-86030090D01* -X82117910Y-86096753D01* -X82196298Y-86149130D01* -X82283397Y-86185208D01* -X82375862Y-86203600D01* -X82470138Y-86203600D01* -X82562603Y-86185208D01* -X82649702Y-86149130D01* -X82728090Y-86096753D01* -X82794753Y-86030090D01* -X82847130Y-85951702D01* -X82883208Y-85864603D01* -X82901600Y-85772138D01* -X82901600Y-85677862D01* -X122584400Y-85677862D01* -X122584400Y-85772138D01* -X122602792Y-85864603D01* -X122638870Y-85951702D01* -X122691247Y-86030090D01* -X122757910Y-86096753D01* -X122836298Y-86149130D01* -X122923397Y-86185208D01* -X123015862Y-86203600D01* -X123110138Y-86203600D01* -X123202603Y-86185208D01* -X123289702Y-86149130D01* -X123368090Y-86096753D01* -X123434753Y-86030090D01* -X123487130Y-85951702D01* -X123523208Y-85864603D01* -X123541600Y-85772138D01* -X123541600Y-85677862D01* -X127664400Y-85677862D01* -X127664400Y-85772138D01* -X127682792Y-85864603D01* -X127718870Y-85951702D01* -X127771247Y-86030090D01* -X127837910Y-86096753D01* -X127916298Y-86149130D01* -X128003397Y-86185208D01* -X128095862Y-86203600D01* -X128190138Y-86203600D01* -X128282603Y-86185208D01* -X128369702Y-86149130D01* -X128448090Y-86096753D01* -X128514753Y-86030090D01* -X128567130Y-85951702D01* -X128603208Y-85864603D01* -X128621600Y-85772138D01* -X128621600Y-85677862D01* -X132744400Y-85677862D01* -X132744400Y-85772138D01* -X132762792Y-85864603D01* -X132798870Y-85951702D01* -X132851247Y-86030090D01* -X132917910Y-86096753D01* -X132996298Y-86149130D01* -X133083397Y-86185208D01* -X133175862Y-86203600D01* -X133270138Y-86203600D01* -X133362603Y-86185208D01* -X133449702Y-86149130D01* -X133528090Y-86096753D01* -X133594753Y-86030090D01* -X133647130Y-85951702D01* -X133683208Y-85864603D01* -X133701600Y-85772138D01* -X133701600Y-85677862D01* -X137824400Y-85677862D01* -X137824400Y-85772138D01* -X137842792Y-85864603D01* -X137878870Y-85951702D01* -X137931247Y-86030090D01* -X137997910Y-86096753D01* -X138076298Y-86149130D01* -X138163397Y-86185208D01* -X138255862Y-86203600D01* -X138350138Y-86203600D01* -X138442603Y-86185208D01* -X138529702Y-86149130D01* -X138608090Y-86096753D01* -X138674753Y-86030090D01* -X138727130Y-85951702D01* -X138763208Y-85864603D01* -X138781600Y-85772138D01* -X138781600Y-85677862D01* -X142904400Y-85677862D01* -X142904400Y-85772138D01* -X142922792Y-85864603D01* -X142958870Y-85951702D01* -X143011247Y-86030090D01* -X143077910Y-86096753D01* -X143156298Y-86149130D01* -X143243397Y-86185208D01* -X143335862Y-86203600D01* -X143430138Y-86203600D01* -X143522603Y-86185208D01* -X143609702Y-86149130D01* -X143688090Y-86096753D01* -X143754753Y-86030090D01* -X143807130Y-85951702D01* -X143843208Y-85864603D01* -X143861600Y-85772138D01* -X143861600Y-85677862D01* -X143843208Y-85585397D01* -X143807130Y-85498298D01* -X143754753Y-85419910D01* -X143688090Y-85353247D01* -X143609702Y-85300870D01* -X143522603Y-85264792D01* -X143430138Y-85246400D01* -X143335862Y-85246400D01* -X143243397Y-85264792D01* -X143156298Y-85300870D01* -X143077910Y-85353247D01* -X143011247Y-85419910D01* -X142958870Y-85498298D01* -X142922792Y-85585397D01* -X142904400Y-85677862D01* -X138781600Y-85677862D01* -X138763208Y-85585397D01* -X138727130Y-85498298D01* -X138674753Y-85419910D01* -X138608090Y-85353247D01* -X138529702Y-85300870D01* -X138442603Y-85264792D01* -X138350138Y-85246400D01* -X138255862Y-85246400D01* -X138163397Y-85264792D01* -X138076298Y-85300870D01* -X137997910Y-85353247D01* -X137931247Y-85419910D01* -X137878870Y-85498298D01* -X137842792Y-85585397D01* -X137824400Y-85677862D01* -X133701600Y-85677862D01* -X133683208Y-85585397D01* -X133647130Y-85498298D01* -X133594753Y-85419910D01* -X133528090Y-85353247D01* -X133449702Y-85300870D01* -X133362603Y-85264792D01* -X133270138Y-85246400D01* -X133175862Y-85246400D01* -X133083397Y-85264792D01* -X132996298Y-85300870D01* -X132917910Y-85353247D01* -X132851247Y-85419910D01* -X132798870Y-85498298D01* -X132762792Y-85585397D01* -X132744400Y-85677862D01* -X128621600Y-85677862D01* -X128603208Y-85585397D01* -X128567130Y-85498298D01* -X128514753Y-85419910D01* -X128448090Y-85353247D01* -X128369702Y-85300870D01* -X128282603Y-85264792D01* -X128190138Y-85246400D01* -X128095862Y-85246400D01* -X128003397Y-85264792D01* -X127916298Y-85300870D01* -X127837910Y-85353247D01* -X127771247Y-85419910D01* -X127718870Y-85498298D01* -X127682792Y-85585397D01* -X127664400Y-85677862D01* -X123541600Y-85677862D01* -X123523208Y-85585397D01* -X123487130Y-85498298D01* -X123434753Y-85419910D01* -X123368090Y-85353247D01* -X123289702Y-85300870D01* -X123202603Y-85264792D01* -X123110138Y-85246400D01* -X123015862Y-85246400D01* -X122923397Y-85264792D01* -X122836298Y-85300870D01* -X122757910Y-85353247D01* -X122691247Y-85419910D01* -X122638870Y-85498298D01* -X122602792Y-85585397D01* -X122584400Y-85677862D01* -X82901600Y-85677862D01* -X82883208Y-85585397D01* -X82847130Y-85498298D01* -X82794753Y-85419910D01* -X82728090Y-85353247D01* -X82649702Y-85300870D01* -X82562603Y-85264792D01* -X82470138Y-85246400D01* -X82375862Y-85246400D01* -X82283397Y-85264792D01* -X82196298Y-85300870D01* -X82117910Y-85353247D01* -X82051247Y-85419910D01* -X81998870Y-85498298D01* -X81962792Y-85585397D01* -X81944400Y-85677862D01* -X77821600Y-85677862D01* -X77803208Y-85585397D01* -X77767130Y-85498298D01* -X77714753Y-85419910D01* -X77648090Y-85353247D01* -X77569702Y-85300870D01* -X77482603Y-85264792D01* -X77390138Y-85246400D01* -X77295862Y-85246400D01* -X77203397Y-85264792D01* -X77116298Y-85300870D01* -X77037910Y-85353247D01* -X76971247Y-85419910D01* -X76918870Y-85498298D01* -X76882792Y-85585397D01* -X76864400Y-85677862D01* -X72741600Y-85677862D01* -X72723208Y-85585397D01* -X72687130Y-85498298D01* -X72634753Y-85419910D01* -X72568090Y-85353247D01* -X72489702Y-85300870D01* -X72402603Y-85264792D01* -X72310138Y-85246400D01* -X72215862Y-85246400D01* -X72123397Y-85264792D01* -X72036298Y-85300870D01* -X71957910Y-85353247D01* -X71891247Y-85419910D01* -X71838870Y-85498298D01* -X71802792Y-85585397D01* -X71784400Y-85677862D01* -X67661600Y-85677862D01* -X67643208Y-85585397D01* -X67607130Y-85498298D01* -X67554753Y-85419910D01* -X67488090Y-85353247D01* -X67409702Y-85300870D01* -X67322603Y-85264792D01* -X67230138Y-85246400D01* -X67135862Y-85246400D01* -X67043397Y-85264792D01* -X66956298Y-85300870D01* -X66877910Y-85353247D01* -X66811247Y-85419910D01* -X66758870Y-85498298D01* -X66722792Y-85585397D01* -X66704400Y-85677862D01* -X62581600Y-85677862D01* -X62563208Y-85585397D01* -X62527130Y-85498298D01* -X62474753Y-85419910D01* -X62408090Y-85353247D01* -X62329702Y-85300870D01* -X62242603Y-85264792D01* -X62150138Y-85246400D01* -X62055862Y-85246400D01* -X61963397Y-85264792D01* -X61876298Y-85300870D01* -X61797910Y-85353247D01* -X61731247Y-85419910D01* -X61678870Y-85498298D01* -X61642792Y-85585397D01* -X61624400Y-85677862D01* -X54453600Y-85677862D01* -X54435208Y-85585397D01* -X54399130Y-85498298D01* -X54346753Y-85419910D01* -X54280090Y-85353247D01* -X54201702Y-85300870D01* -X54114603Y-85264792D01* -X54022138Y-85246400D01* -X53927862Y-85246400D01* -X53835397Y-85264792D01* -X53748298Y-85300870D01* -X53738040Y-85307724D01* -X54416010Y-84629754D01* -X56218400Y-84629754D01* -X56218400Y-84788246D01* -X56249320Y-84943693D01* -X56309973Y-85090121D01* -X56398026Y-85221903D01* -X56510097Y-85333974D01* -X56641879Y-85422027D01* -X56788307Y-85482680D01* -X56943754Y-85513600D01* -X57102246Y-85513600D01* -X57257693Y-85482680D01* -X57404121Y-85422027D01* -X57535903Y-85333974D01* -X57647974Y-85221903D01* -X57736027Y-85090121D01* -X57796680Y-84943693D01* -X57827600Y-84788246D01* -X57827600Y-84629754D01* -X57796680Y-84474307D01* -X57736027Y-84327879D01* -X57704612Y-84280862D01* -X59465400Y-84280862D01* -X59465400Y-84375138D01* -X59483792Y-84467603D01* -X59519870Y-84554702D01* -X59572247Y-84633090D01* -X59638910Y-84699753D01* -X59717298Y-84752130D01* -X59804397Y-84788208D01* -X59896862Y-84806600D01* -X59991138Y-84806600D01* -X60083603Y-84788208D01* -X60170702Y-84752130D01* -X60249090Y-84699753D01* -X60315753Y-84633090D01* -X60368130Y-84554702D01* -X60404208Y-84467603D01* -X60422600Y-84375138D01* -X60422600Y-84280862D01* -X60404208Y-84188397D01* -X60368130Y-84101298D01* -X60318394Y-84026862D01* -X84484400Y-84026862D01* -X84484400Y-84121138D01* -X84502792Y-84213603D01* -X84538870Y-84300702D01* -X84591247Y-84379090D01* -X84657910Y-84445753D01* -X84736298Y-84498130D01* -X84823397Y-84534208D01* -X84915862Y-84552600D01* -X85010138Y-84552600D01* -X85102603Y-84534208D01* -X85189702Y-84498130D01* -X85268090Y-84445753D01* -X85334753Y-84379090D01* -X85387130Y-84300702D01* -X85423208Y-84213603D01* -X85441600Y-84121138D01* -X85441600Y-84026862D01* -X85423208Y-83934397D01* -X85387130Y-83847298D01* -X85337394Y-83772862D01* -X89564400Y-83772862D01* -X89564400Y-83867138D01* -X89582792Y-83959603D01* -X89618870Y-84046702D01* -X89671247Y-84125090D01* -X89737910Y-84191753D01* -X89816298Y-84244130D01* -X89903397Y-84280208D01* -X89995862Y-84298600D01* -X90090138Y-84298600D01* -X90182603Y-84280208D01* -X90269702Y-84244130D01* -X90348090Y-84191753D01* -X90414753Y-84125090D01* -X90467130Y-84046702D01* -X90503208Y-83959603D01* -X90521600Y-83867138D01* -X90521600Y-83772862D01* -X94644400Y-83772862D01* -X94644400Y-83867138D01* -X94662792Y-83959603D01* -X94698870Y-84046702D01* -X94751247Y-84125090D01* -X94817910Y-84191753D01* -X94896298Y-84244130D01* -X94983397Y-84280208D01* -X95075862Y-84298600D01* -X95170138Y-84298600D01* -X95262603Y-84280208D01* -X95349702Y-84244130D01* -X95428090Y-84191753D01* -X95494753Y-84125090D01* -X95547130Y-84046702D01* -X95583208Y-83959603D01* -X95595090Y-83899862D01* -X99724400Y-83899862D01* -X99724400Y-83994138D01* -X99742792Y-84086603D01* -X99778870Y-84173702D01* -X99831247Y-84252090D01* -X99897910Y-84318753D01* -X99976298Y-84371130D01* -X100063397Y-84407208D01* -X100155862Y-84425600D01* -X100250138Y-84425600D01* -X100342603Y-84407208D01* -X100429702Y-84371130D01* -X100508090Y-84318753D01* -X100574753Y-84252090D01* -X100627130Y-84173702D01* -X100663208Y-84086603D01* -X100681600Y-83994138D01* -X100681600Y-83899862D01* -X104804400Y-83899862D01* -X104804400Y-83994138D01* -X104822792Y-84086603D01* -X104858870Y-84173702D01* -X104911247Y-84252090D01* -X104977910Y-84318753D01* -X105056298Y-84371130D01* -X105143397Y-84407208D01* -X105235862Y-84425600D01* -X105330138Y-84425600D01* -X105422603Y-84407208D01* -X105509702Y-84371130D01* -X105588090Y-84318753D01* -X105654753Y-84252090D01* -X105707130Y-84173702D01* -X105743208Y-84086603D01* -X105761600Y-83994138D01* -X105761600Y-83899862D01* -X109884400Y-83899862D01* -X109884400Y-83994138D01* -X109902792Y-84086603D01* -X109938870Y-84173702D01* -X109991247Y-84252090D01* -X110057910Y-84318753D01* -X110136298Y-84371130D01* -X110223397Y-84407208D01* -X110315862Y-84425600D01* -X110410138Y-84425600D01* -X110502603Y-84407208D01* -X110589702Y-84371130D01* -X110668090Y-84318753D01* -X110734753Y-84252090D01* -X110787130Y-84173702D01* -X110823208Y-84086603D01* -X110841600Y-83994138D01* -X110841600Y-83899862D01* -X114964400Y-83899862D01* -X114964400Y-83994138D01* -X114982792Y-84086603D01* -X115018870Y-84173702D01* -X115071247Y-84252090D01* -X115137910Y-84318753D01* -X115216298Y-84371130D01* -X115303397Y-84407208D01* -X115395862Y-84425600D01* -X115490138Y-84425600D01* -X115582603Y-84407208D01* -X115669702Y-84371130D01* -X115748090Y-84318753D01* -X115814753Y-84252090D01* -X115867130Y-84173702D01* -X115903208Y-84086603D01* -X115921600Y-83994138D01* -X115921600Y-83899862D01* -X115903208Y-83807397D01* -X115867130Y-83720298D01* -X115814753Y-83641910D01* -X115748090Y-83575247D01* -X115669702Y-83522870D01* -X115582603Y-83486792D01* -X115490138Y-83468400D01* -X115395862Y-83468400D01* -X115303397Y-83486792D01* -X115216298Y-83522870D01* -X115137910Y-83575247D01* -X115071247Y-83641910D01* -X115018870Y-83720298D01* -X114982792Y-83807397D01* -X114964400Y-83899862D01* -X110841600Y-83899862D01* -X110823208Y-83807397D01* -X110787130Y-83720298D01* -X110734753Y-83641910D01* -X110668090Y-83575247D01* -X110589702Y-83522870D01* -X110502603Y-83486792D01* -X110410138Y-83468400D01* -X110315862Y-83468400D01* -X110223397Y-83486792D01* -X110136298Y-83522870D01* -X110057910Y-83575247D01* -X109991247Y-83641910D01* -X109938870Y-83720298D01* -X109902792Y-83807397D01* -X109884400Y-83899862D01* -X105761600Y-83899862D01* -X105743208Y-83807397D01* -X105707130Y-83720298D01* -X105654753Y-83641910D01* -X105588090Y-83575247D01* -X105509702Y-83522870D01* -X105422603Y-83486792D01* -X105330138Y-83468400D01* -X105235862Y-83468400D01* -X105143397Y-83486792D01* -X105056298Y-83522870D01* -X104977910Y-83575247D01* -X104911247Y-83641910D01* -X104858870Y-83720298D01* -X104822792Y-83807397D01* -X104804400Y-83899862D01* -X100681600Y-83899862D01* -X100663208Y-83807397D01* -X100627130Y-83720298D01* -X100574753Y-83641910D01* -X100508090Y-83575247D01* -X100429702Y-83522870D01* -X100342603Y-83486792D01* -X100250138Y-83468400D01* -X100155862Y-83468400D01* -X100063397Y-83486792D01* -X99976298Y-83522870D01* -X99897910Y-83575247D01* -X99831247Y-83641910D01* -X99778870Y-83720298D01* -X99742792Y-83807397D01* -X99724400Y-83899862D01* -X95595090Y-83899862D01* -X95601600Y-83867138D01* -X95601600Y-83772862D01* -X95583208Y-83680397D01* -X95547130Y-83593298D01* -X95494753Y-83514910D01* -X95428090Y-83448247D01* -X95349702Y-83395870D01* -X95262603Y-83359792D01* -X95170138Y-83341400D01* -X95075862Y-83341400D01* -X94983397Y-83359792D01* -X94896298Y-83395870D01* -X94817910Y-83448247D01* -X94751247Y-83514910D01* -X94698870Y-83593298D01* -X94662792Y-83680397D01* -X94644400Y-83772862D01* -X90521600Y-83772862D01* -X90503208Y-83680397D01* -X90467130Y-83593298D01* -X90414753Y-83514910D01* -X90348090Y-83448247D01* -X90269702Y-83395870D01* -X90182603Y-83359792D01* -X90090138Y-83341400D01* -X89995862Y-83341400D01* -X89903397Y-83359792D01* -X89816298Y-83395870D01* -X89737910Y-83448247D01* -X89671247Y-83514910D01* -X89618870Y-83593298D01* -X89582792Y-83680397D01* -X89564400Y-83772862D01* -X85337394Y-83772862D01* -X85334753Y-83768910D01* -X85268090Y-83702247D01* -X85189702Y-83649870D01* -X85102603Y-83613792D01* -X85010138Y-83595400D01* -X84915862Y-83595400D01* -X84823397Y-83613792D01* -X84736298Y-83649870D01* -X84657910Y-83702247D01* -X84591247Y-83768910D01* -X84538870Y-83847298D01* -X84502792Y-83934397D01* -X84484400Y-84026862D01* -X60318394Y-84026862D01* -X60315753Y-84022910D01* -X60249090Y-83956247D01* -X60170702Y-83903870D01* -X60083603Y-83867792D01* -X59991138Y-83849400D01* -X59896862Y-83849400D01* -X59804397Y-83867792D01* -X59717298Y-83903870D01* -X59638910Y-83956247D01* -X59572247Y-84022910D01* -X59519870Y-84101298D01* -X59483792Y-84188397D01* -X59465400Y-84280862D01* -X57704612Y-84280862D01* -X57647974Y-84196097D01* -X57535903Y-84084026D01* -X57404121Y-83995973D01* -X57257693Y-83935320D01* -X57102246Y-83904400D01* -X56943754Y-83904400D01* -X56788307Y-83935320D01* -X56641879Y-83995973D01* -X56510097Y-84084026D01* -X56398026Y-84196097D01* -X56309973Y-84327879D01* -X56249320Y-84474307D01* -X56218400Y-84629754D01* -X54416010Y-84629754D01* -X56097724Y-82948040D01* -X56090870Y-82958298D01* -X56054792Y-83045397D01* -X56036400Y-83137862D01* -X56036400Y-83232138D01* -X56054792Y-83324603D01* -X56090870Y-83411702D01* -X56143247Y-83490090D01* -X56209910Y-83556753D01* -X56288298Y-83609130D01* -X56375397Y-83645208D01* -X56467862Y-83663600D01* -X56562138Y-83663600D01* -X56654603Y-83645208D01* -X56741702Y-83609130D01* -X56820090Y-83556753D01* -X56886753Y-83490090D01* -X56939130Y-83411702D01* -X56975208Y-83324603D01* -X56993600Y-83232138D01* -X56993600Y-83137862D01* -X64164400Y-83137862D01* -X64164400Y-83232138D01* -X64182792Y-83324603D01* -X64218870Y-83411702D01* -X64271247Y-83490090D01* -X64337910Y-83556753D01* -X64416298Y-83609130D01* -X64503397Y-83645208D01* -X64595862Y-83663600D01* -X64690138Y-83663600D01* -X64782603Y-83645208D01* -X64869702Y-83609130D01* -X64948090Y-83556753D01* -X65014753Y-83490090D01* -X65067130Y-83411702D01* -X65103208Y-83324603D01* -X65121600Y-83232138D01* -X65121600Y-83137862D01* -X69244400Y-83137862D01* -X69244400Y-83232138D01* -X69262792Y-83324603D01* -X69298870Y-83411702D01* -X69351247Y-83490090D01* -X69417910Y-83556753D01* -X69496298Y-83609130D01* -X69583397Y-83645208D01* -X69675862Y-83663600D01* -X69770138Y-83663600D01* -X69862603Y-83645208D01* -X69949702Y-83609130D01* -X70028090Y-83556753D01* -X70094753Y-83490090D01* -X70147130Y-83411702D01* -X70183208Y-83324603D01* -X70201600Y-83232138D01* -X70201600Y-83137862D01* -X74324400Y-83137862D01* -X74324400Y-83232138D01* -X74342792Y-83324603D01* -X74378870Y-83411702D01* -X74431247Y-83490090D01* -X74497910Y-83556753D01* -X74576298Y-83609130D01* -X74663397Y-83645208D01* -X74755862Y-83663600D01* -X74850138Y-83663600D01* -X74942603Y-83645208D01* -X75029702Y-83609130D01* -X75108090Y-83556753D01* -X75174753Y-83490090D01* -X75227130Y-83411702D01* -X75263208Y-83324603D01* -X75281600Y-83232138D01* -X75281600Y-83137862D01* -X79404400Y-83137862D01* -X79404400Y-83232138D01* -X79422792Y-83324603D01* -X79458870Y-83411702D01* -X79511247Y-83490090D01* -X79577910Y-83556753D01* -X79656298Y-83609130D01* -X79743397Y-83645208D01* -X79835862Y-83663600D01* -X79930138Y-83663600D01* -X80022603Y-83645208D01* -X80109702Y-83609130D01* -X80188090Y-83556753D01* -X80254753Y-83490090D01* -X80307130Y-83411702D01* -X80343208Y-83324603D01* -X80361600Y-83232138D01* -X80361600Y-83137862D01* -X120044400Y-83137862D01* -X120044400Y-83232138D01* -X120062792Y-83324603D01* -X120098870Y-83411702D01* -X120151247Y-83490090D01* -X120217910Y-83556753D01* -X120296298Y-83609130D01* -X120383397Y-83645208D01* -X120475862Y-83663600D01* -X120570138Y-83663600D01* -X120662603Y-83645208D01* -X120749702Y-83609130D01* -X120828090Y-83556753D01* -X120894753Y-83490090D01* -X120947130Y-83411702D01* -X120983208Y-83324603D01* -X121001600Y-83232138D01* -X121001600Y-83137862D01* -X125124400Y-83137862D01* -X125124400Y-83232138D01* -X125142792Y-83324603D01* -X125178870Y-83411702D01* -X125231247Y-83490090D01* -X125297910Y-83556753D01* -X125376298Y-83609130D01* -X125463397Y-83645208D01* -X125555862Y-83663600D01* -X125650138Y-83663600D01* -X125742603Y-83645208D01* -X125829702Y-83609130D01* -X125908090Y-83556753D01* -X125974753Y-83490090D01* -X126027130Y-83411702D01* -X126063208Y-83324603D01* -X126081600Y-83232138D01* -X126081600Y-83137862D01* -X130204400Y-83137862D01* -X130204400Y-83232138D01* -X130222792Y-83324603D01* -X130258870Y-83411702D01* -X130311247Y-83490090D01* -X130377910Y-83556753D01* -X130456298Y-83609130D01* -X130543397Y-83645208D01* -X130635862Y-83663600D01* -X130730138Y-83663600D01* -X130822603Y-83645208D01* -X130909702Y-83609130D01* -X130988090Y-83556753D01* -X131054753Y-83490090D01* -X131107130Y-83411702D01* -X131143208Y-83324603D01* -X131161600Y-83232138D01* -X131161600Y-83137862D01* -X135284400Y-83137862D01* -X135284400Y-83232138D01* -X135302792Y-83324603D01* -X135338870Y-83411702D01* -X135391247Y-83490090D01* -X135457910Y-83556753D01* -X135536298Y-83609130D01* -X135623397Y-83645208D01* -X135715862Y-83663600D01* -X135810138Y-83663600D01* -X135902603Y-83645208D01* -X135989702Y-83609130D01* -X136068090Y-83556753D01* -X136134753Y-83490090D01* -X136187130Y-83411702D01* -X136223208Y-83324603D01* -X136241600Y-83232138D01* -X136241600Y-83137862D01* -X136223208Y-83045397D01* -X136187130Y-82958298D01* -X136134753Y-82879910D01* -X136068090Y-82813247D01* -X135989702Y-82760870D01* -X135902603Y-82724792D01* -X135810138Y-82706400D01* -X135715862Y-82706400D01* -X135623397Y-82724792D01* -X135536298Y-82760870D01* -X135457910Y-82813247D01* -X135391247Y-82879910D01* -X135338870Y-82958298D01* -X135302792Y-83045397D01* -X135284400Y-83137862D01* -X131161600Y-83137862D01* -X131143208Y-83045397D01* -X131107130Y-82958298D01* -X131054753Y-82879910D01* -X130988090Y-82813247D01* -X130909702Y-82760870D01* -X130822603Y-82724792D01* -X130730138Y-82706400D01* -X130635862Y-82706400D01* -X130543397Y-82724792D01* -X130456298Y-82760870D01* -X130377910Y-82813247D01* -X130311247Y-82879910D01* -X130258870Y-82958298D01* -X130222792Y-83045397D01* -X130204400Y-83137862D01* -X126081600Y-83137862D01* -X126063208Y-83045397D01* -X126027130Y-82958298D01* -X125974753Y-82879910D01* -X125908090Y-82813247D01* -X125829702Y-82760870D01* -X125742603Y-82724792D01* -X125650138Y-82706400D01* -X125555862Y-82706400D01* -X125463397Y-82724792D01* -X125376298Y-82760870D01* -X125297910Y-82813247D01* -X125231247Y-82879910D01* -X125178870Y-82958298D01* -X125142792Y-83045397D01* -X125124400Y-83137862D01* -X121001600Y-83137862D01* -X120983208Y-83045397D01* -X120947130Y-82958298D01* -X120894753Y-82879910D01* -X120828090Y-82813247D01* -X120749702Y-82760870D01* -X120662603Y-82724792D01* -X120570138Y-82706400D01* -X120475862Y-82706400D01* -X120383397Y-82724792D01* -X120296298Y-82760870D01* -X120217910Y-82813247D01* -X120151247Y-82879910D01* -X120098870Y-82958298D01* -X120062792Y-83045397D01* -X120044400Y-83137862D01* -X80361600Y-83137862D01* -X80343208Y-83045397D01* -X80307130Y-82958298D01* -X80254753Y-82879910D01* -X80188090Y-82813247D01* -X80109702Y-82760870D01* -X80022603Y-82724792D01* -X79930138Y-82706400D01* -X79835862Y-82706400D01* -X79743397Y-82724792D01* -X79656298Y-82760870D01* -X79577910Y-82813247D01* -X79511247Y-82879910D01* -X79458870Y-82958298D01* -X79422792Y-83045397D01* -X79404400Y-83137862D01* -X75281600Y-83137862D01* -X75263208Y-83045397D01* -X75227130Y-82958298D01* -X75174753Y-82879910D01* -X75108090Y-82813247D01* -X75029702Y-82760870D01* -X74942603Y-82724792D01* -X74850138Y-82706400D01* -X74755862Y-82706400D01* -X74663397Y-82724792D01* -X74576298Y-82760870D01* -X74497910Y-82813247D01* -X74431247Y-82879910D01* -X74378870Y-82958298D01* -X74342792Y-83045397D01* -X74324400Y-83137862D01* -X70201600Y-83137862D01* -X70183208Y-83045397D01* -X70147130Y-82958298D01* -X70094753Y-82879910D01* -X70028090Y-82813247D01* -X69949702Y-82760870D01* -X69862603Y-82724792D01* -X69770138Y-82706400D01* -X69675862Y-82706400D01* -X69583397Y-82724792D01* -X69496298Y-82760870D01* -X69417910Y-82813247D01* -X69351247Y-82879910D01* -X69298870Y-82958298D01* -X69262792Y-83045397D01* -X69244400Y-83137862D01* -X65121600Y-83137862D01* -X65103208Y-83045397D01* -X65067130Y-82958298D01* -X65014753Y-82879910D01* -X64948090Y-82813247D01* -X64869702Y-82760870D01* -X64782603Y-82724792D01* -X64690138Y-82706400D01* -X64595862Y-82706400D01* -X64503397Y-82724792D01* -X64416298Y-82760870D01* -X64337910Y-82813247D01* -X64271247Y-82879910D01* -X64218870Y-82958298D01* -X64182792Y-83045397D01* -X64164400Y-83137862D01* -X56993600Y-83137862D01* -X56975208Y-83045397D01* -X56939130Y-82958298D01* -X56886753Y-82879910D01* -X56820090Y-82813247D01* -X56741702Y-82760870D01* -X56654603Y-82724792D01* -X56562138Y-82706400D01* -X56467862Y-82706400D01* -X56375397Y-82724792D01* -X56288298Y-82760870D01* -X56278040Y-82767724D01* -X56702010Y-82343754D01* -X139657400Y-82343754D01* -X139657400Y-82502246D01* -X139688320Y-82657693D01* -X139748973Y-82804121D01* -X139837026Y-82935903D01* -X139949097Y-83047974D01* -X140080879Y-83136027D01* -X140227307Y-83196680D01* -X140382754Y-83227600D01* -X140541246Y-83227600D01* -X140696693Y-83196680D01* -X140843121Y-83136027D01* -X140974903Y-83047974D01* -X141086974Y-82935903D01* -X141175027Y-82804121D01* -X141235680Y-82657693D01* -X141266600Y-82502246D01* -X141266600Y-82343754D01* -X141235680Y-82188307D01* -X141175027Y-82041879D01* -X141086974Y-81910097D01* -X140974903Y-81798026D01* -X140843121Y-81709973D01* -X140696693Y-81649320D01* -X140541246Y-81618400D01* -X140382754Y-81618400D01* -X140227307Y-81649320D01* -X140080879Y-81709973D01* -X139949097Y-81798026D01* -X139837026Y-81910097D01* -X139748973Y-82041879D01* -X139688320Y-82188307D01* -X139657400Y-82343754D01* -X56702010Y-82343754D01* -X58211140Y-80834624D01* -X58698951Y-80590719D01* -X58705064Y-80589496D01* -X58703400Y-80597862D01* -X58703400Y-80692138D01* -X58721792Y-80784603D01* -X58757870Y-80871702D01* -X58810247Y-80950090D01* -X58876910Y-81016753D01* -X58955298Y-81069130D01* -X59042397Y-81105208D01* -X59134862Y-81123600D01* -X59229138Y-81123600D01* -X59321603Y-81105208D01* -X59408702Y-81069130D01* -X59487090Y-81016753D01* -X59553753Y-80950090D01* -X59606130Y-80871702D01* -X59642208Y-80784603D01* -X59660600Y-80692138D01* -X59660600Y-80597862D01* -X59642208Y-80505397D01* -X59626386Y-80467200D01* -X61658614Y-80467200D01* -X61642792Y-80505397D01* -M02* diff --git a/gerber/GR8RAM-bottom-pos.csv b/gerber/GR8RAM-bottom-pos.csv deleted file mode 100644 index d0e21d1..0000000 --- a/gerber/GR8RAM-bottom-pos.csv +++ /dev/null @@ -1 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side diff --git a/gerber/GR8RAM-bottom.pos b/gerber/GR8RAM-bottom.pos deleted file mode 100644 index aac7d83..0000000 --- a/gerber/GR8RAM-bottom.pos +++ /dev/null @@ -1,6 +0,0 @@ -### Module positions - created on Monday, April 19, 2021 at 04:27:25 AM ### -### Printed by Pcbnew version kicad (5.1.5-0-10_14) -## Unit = mm, Angle = deg. -## Side : bottom -# Ref Val Package PosX PosY Rot Side -## End diff --git a/gerber/GR8RAM-drl_map.ps b/gerber/GR8RAM-drl_map.ps deleted file mode 100644 index 433450c..0000000 --- a/gerber/GR8RAM-drl_map.ps +++ /dev/null @@ -1,9585 +0,0 @@ -%!PS-Adobe-3.0 -%%Creator: PCBNEW -%%CreationDate: Mon Apr 19 04:27:17 2021 -%%Title: /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/GR8RAM/gerber/GR8RAM-drl_map.ps -%%Pages: 1 -%%PageOrder: Ascend -%%BoundingBox: 0 0 596 842 -%%DocumentMedia: A4 595 842 0 () () -%%Orientation: Landscape -%%EndComments -%%BeginProlog -/line { newpath moveto lineto stroke } bind def -/cir0 { newpath 0 360 arc stroke } bind def -/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def -/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def -/arc0 { newpath arc stroke } bind def -/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill - grestore stroke } bind def -/poly0 { stroke } bind def -/poly1 { closepath gsave fill grestore stroke } bind def -/poly2 { closepath gsave fill grestore stroke } bind def -/rect0 { rectstroke } bind def -/rect1 { rectfill } bind def -/rect2 { rectfill } bind def -/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def -/linemode1 { 1 setlinecap 1 setlinejoin } bind def -/dashedline { [200] 100 setdash } bind def -/solidline { [] 0 setdash } bind def -/phantomshow { moveto - /KicadFont findfont 0.000001 scalefont setfont - show } bind def -/textshow { gsave - findfont exch scalefont setfont concat 1 scale 0 0 moveto show - } bind def -/reencodefont { - findfont dup length dict begin - { 1 index /FID ne - { def } - { pop pop } ifelse - } forall - /Encoding ISOLatin1Encoding def - currentdict - end } bind def -/KicadFont /Helvetica reencodefont definefont pop -/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop -/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop -/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop -%%EndProlog -%%Page: 1 1 -%%BeginPageSetup -gsave -0.0072 0.0072 scale -linemode1 -82680 0 translate 90 rotate -88.3855 setlinewidth -%%EndPageSetup -0 0 0 setrgbcolor -104.392 setlinewidth -newpath -32170.3 78337.3 moveto -24038.8 70205.8 lineto -stroke -0 0 0 setrgbcolor -33230.9 76923.1 1767.71 90 126.87 arc0 -0 0 0 setrgbcolor -25453 69145.2 1767.71 143.13 180 arc0 -0 0 0 setrgbcolor -newpath -25453 42364.3 moveto -43395.3 42364.3 lineto -stroke -0 0 0 setrgbcolor -newpath -33230.9 78690.8 moveto -91477 78690.8 lineto -stroke -0 0 0 setrgbcolor -91477 76923.1 1767.71 -0 90 arc0 -0 0 0 setrgbcolor -newpath -88648.7 37061.2 moveto -43748.8 37061.2 lineto -stroke -0 0 0 setrgbcolor -newpath -93244.7 44132 moveto -93244.7 76923.1 lineto -stroke -0 0 0 setrgbcolor -43748.8 37414.7 353.542 180 270 arc0 -0 0 0 setrgbcolor -88648.7 37414.7 353.542 -90 -0 arc0 -0 0 0 setrgbcolor -newpath -43395.3 42364.3 moveto -43395.3 37414.7 lineto -stroke -0 0 0 setrgbcolor -newpath -23685.3 44132 moveto -23685.3 69145.2 lineto -stroke -0 0 0 setrgbcolor -25453 44132 1767.71 180 270 arc0 -0 0 0 setrgbcolor -newpath -91477 42364.3 moveto -89002.2 42364.3 lineto -stroke -0 0 0 setrgbcolor -newpath -89002.2 42364.3 moveto -89002.2 37414.7 lineto -stroke -0 0 0 setrgbcolor -91477 44132 1767.71 -90 -0 arc0 -78.7397 setlinewidth -newpath -24146 69303.1 moveto -24285.2 69163.9 lineto -stroke -newpath -24285.2 69303.1 moveto -24146 69163.9 lineto -stroke -newpath -24146 65149 moveto -24285.2 65009.8 lineto -stroke -newpath -24285.2 65149 moveto -24146 65009.8 lineto -stroke -newpath -24146 61613.6 moveto -24285.2 61474.4 lineto -stroke -newpath -24285.2 61613.6 moveto -24146 61474.4 lineto -stroke -newpath -24146 58078.2 moveto -24285.2 57939 lineto -stroke -newpath -24285.2 58078.2 moveto -24146 57939 lineto -stroke -newpath -24146 54542.8 moveto -24285.2 54403.6 lineto -stroke -newpath -24285.2 54542.8 moveto -24146 54403.6 lineto -stroke -newpath -24146 51007.3 moveto -24285.2 50868.1 lineto -stroke -newpath -24285.2 51007.3 moveto -24146 50868.1 lineto -stroke -newpath -24146 47471.9 moveto -24285.2 47332.7 lineto -stroke -newpath -24285.2 47471.9 moveto -24146 47332.7 lineto -stroke -newpath -24146 44201.6 moveto -24285.2 44062.5 lineto -stroke -newpath -24285.2 44201.6 moveto -24146 44062.5 lineto -stroke -newpath -25383.4 42964.2 moveto -25522.6 42825.1 lineto -stroke -newpath -25522.6 42964.2 moveto -25383.4 42825.1 lineto -stroke -newpath -25913.7 71159.2 moveto -26052.9 71020 lineto -stroke -newpath -26052.9 71159.2 moveto -25913.7 71020 lineto -stroke -newpath -25913.7 63381.3 moveto -26052.9 63242.1 lineto -stroke -newpath -26052.9 63381.3 moveto -25913.7 63242.1 lineto -stroke -newpath -25913.7 59845.9 moveto -26052.9 59706.7 lineto -stroke -newpath -26052.9 59845.9 moveto -25913.7 59706.7 lineto -stroke -newpath -25913.7 56310.5 moveto -26052.9 56171.3 lineto -stroke -newpath -26052.9 56310.5 moveto -25913.7 56171.3 lineto -stroke -newpath -25913.7 52775 moveto -26052.9 52635.9 lineto -stroke -newpath -26052.9 52775 moveto -25913.7 52635.9 lineto -stroke -newpath -25913.7 49239.6 moveto -26052.9 49100.4 lineto -stroke -newpath -26052.9 49239.6 moveto -25913.7 49100.4 lineto -stroke -newpath -27681.4 72926.9 moveto -27820.6 72787.8 lineto -stroke -newpath -27820.6 72926.9 moveto -27681.4 72787.8 lineto -stroke -newpath -27681.4 68684.4 moveto -27820.6 68545.2 lineto -stroke -newpath -27820.6 68684.4 moveto -27681.4 68545.2 lineto -stroke -newpath -27681.4 65149 moveto -27820.6 65009.8 lineto -stroke -newpath -27820.6 65149 moveto -27681.4 65009.8 lineto -stroke -newpath -27681.4 61613.6 moveto -27820.6 61474.4 lineto -stroke -newpath -27820.6 61613.6 moveto -27681.4 61474.4 lineto -stroke -newpath -27681.4 58078.2 moveto -27820.6 57939 lineto -stroke -newpath -27820.6 58078.2 moveto -27681.4 57939 lineto -stroke -newpath -27681.4 54542.8 moveto -27820.6 54403.6 lineto -stroke -newpath -27820.6 54542.8 moveto -27681.4 54403.6 lineto -stroke -newpath -27681.4 51007.3 moveto -27820.6 50868.1 lineto -stroke -newpath -27820.6 51007.3 moveto -27681.4 50868.1 lineto -stroke -newpath -27681.4 47471.9 moveto -27820.6 47332.7 lineto -stroke -newpath -27820.6 47471.9 moveto -27681.4 47332.7 lineto -stroke -newpath -27681.4 42964.2 moveto -27820.6 42825.1 lineto -stroke -newpath -27820.6 42964.2 moveto -27681.4 42825.1 lineto -stroke -newpath -29360.8 45262.3 moveto -29499.9 45123.1 lineto -stroke -newpath -29499.9 45262.3 moveto -29360.8 45123.1 lineto -stroke -newpath -29449.1 74694.7 moveto -29588.3 74555.5 lineto -stroke -newpath -29588.3 74694.7 moveto -29449.1 74555.5 lineto -stroke -newpath -29449.1 70452.1 moveto -29588.3 70313 lineto -stroke -newpath -29588.3 70452.1 moveto -29449.1 70313 lineto -stroke -newpath -29449.1 66916.7 moveto -29588.3 66777.5 lineto -stroke -newpath -29588.3 66916.7 moveto -29449.1 66777.5 lineto -stroke -newpath -29449.1 63381.3 moveto -29588.3 63242.1 lineto -stroke -newpath -29588.3 63381.3 moveto -29449.1 63242.1 lineto -stroke -newpath -29449.1 59845.9 moveto -29588.3 59706.7 lineto -stroke -newpath -29588.3 59845.9 moveto -29449.1 59706.7 lineto -stroke -newpath -29449.1 56310.5 moveto -29588.3 56171.3 lineto -stroke -newpath -29588.3 56310.5 moveto -29449.1 56171.3 lineto -stroke -newpath -29449.1 52775 moveto -29588.3 52635.9 lineto -stroke -newpath -29588.3 52775 moveto -29449.1 52635.9 lineto -stroke -newpath -29449.1 49239.6 moveto -29588.3 49100.4 lineto -stroke -newpath -29588.3 49239.6 moveto -29449.1 49100.4 lineto -stroke -newpath -30774.9 72750.2 moveto -30914.1 72611 lineto -stroke -newpath -30914.1 72750.2 moveto -30774.9 72611 lineto -stroke -newpath -31128.5 54542.8 moveto -31267.7 54403.6 lineto -stroke -newpath -31267.7 54542.8 moveto -31128.5 54403.6 lineto -stroke -newpath -31128.5 51007.3 moveto -31267.7 50868.1 lineto -stroke -newpath -31267.7 51007.3 moveto -31128.5 50868.1 lineto -stroke -newpath -31128.5 47471.9 moveto -31267.7 47332.7 lineto -stroke -newpath -31267.7 47471.9 moveto -31128.5 47332.7 lineto -stroke -newpath -31136.8 65149 moveto -31276 65009.8 lineto -stroke -newpath -31276 65149 moveto -31136.8 65009.8 lineto -stroke -newpath -31136.8 61613.6 moveto -31276 61474.4 lineto -stroke -newpath -31276 61613.6 moveto -31136.8 61474.4 lineto -stroke -newpath -31136.8 58078.9 moveto -31276 57939.7 lineto -stroke -newpath -31276 58078.9 moveto -31136.8 57939.7 lineto -stroke -newpath -31216.9 76462.4 moveto -31356 76323.2 lineto -stroke -newpath -31356 76462.4 moveto -31216.9 76323.2 lineto -stroke -newpath -31216.9 68684.4 moveto -31356 68545.2 lineto -stroke -newpath -31356 68684.4 moveto -31216.9 68545.2 lineto -stroke -newpath -31216.9 42964.2 moveto -31356 42825.1 lineto -stroke -newpath -31356 42964.2 moveto -31216.9 42825.1 lineto -stroke -newpath -31832.8 57765.7 moveto -31972 57626.5 lineto -stroke -newpath -31972 57765.7 moveto -31832.8 57626.5 lineto -stroke -newpath -31832.8 48126.8 moveto -31972 47987.6 lineto -stroke -newpath -31972 48126.8 moveto -31832.8 47987.6 lineto -stroke -newpath -32189.1 74252.7 moveto -32328.3 74113.5 lineto -stroke -newpath -32328.3 74252.7 moveto -32189.1 74113.5 lineto -stroke -newpath -32285.1 54181.6 moveto -32424.3 54042.4 lineto -stroke -newpath -32424.3 54181.6 moveto -32285.1 54042.4 lineto -stroke -newpath -32365.9 70982.5 moveto -32505.1 70843.3 lineto -stroke -newpath -32505.1 70982.5 moveto -32365.9 70843.3 lineto -stroke -newpath -32772.3 48161.6 moveto -32911.5 48022.4 lineto -stroke -newpath -32911.5 48161.6 moveto -32772.3 48022.4 lineto -stroke -newpath -32896.2 45262.3 moveto -33035.4 45123.1 lineto -stroke -newpath -33035.4 45262.3 moveto -32896.2 45123.1 lineto -stroke -newpath -33072.9 78230.1 moveto -33212.1 78090.9 lineto -stroke -newpath -33212.1 78230.1 moveto -33072.9 78090.9 lineto -stroke -newpath -33338.1 72926.9 moveto -33477.3 72787.8 lineto -stroke -newpath -33477.3 72926.9 moveto -33338.1 72787.8 lineto -stroke -newpath -33338.1 69391.5 moveto -33477.3 69252.3 lineto -stroke -newpath -33477.3 69391.5 moveto -33338.1 69252.3 lineto -stroke -newpath -33603.3 75666.9 moveto -33742.5 75527.7 lineto -stroke -newpath -33742.5 75666.9 moveto -33603.3 75527.7 lineto -stroke -newpath -33781.4 59992.7 moveto -33920.6 59853.5 lineto -stroke -newpath -33920.6 59992.7 moveto -33781.4 59853.5 lineto -stroke -newpath -34575.5 52377.3 moveto -34714.7 52238.1 lineto -stroke -newpath -34714.7 52377.3 moveto -34575.5 52238.1 lineto -stroke -newpath -34708.1 47560.3 moveto -34847.3 47421.1 lineto -stroke -newpath -34847.3 47560.3 moveto -34708.1 47421.1 lineto -stroke -newpath -34752.3 42964.2 moveto -34891.5 42825.1 lineto -stroke -newpath -34891.5 42964.2 moveto -34752.3 42825.1 lineto -stroke -newpath -35105.8 78230.1 moveto -35245 78090.9 lineto -stroke -newpath -35245 78230.1 moveto -35105.8 78090.9 lineto -stroke -newpath -35105.8 74694.7 moveto -35245 74555.5 lineto -stroke -newpath -35245 74694.7 moveto -35105.8 74555.5 lineto -stroke -newpath -35105.8 71159.2 moveto -35245 71020 lineto -stroke -newpath -35245 71159.2 moveto -35105.8 71020 lineto -stroke -newpath -35459.4 50344.4 moveto -35598.5 50205.2 lineto -stroke -newpath -35598.5 50344.4 moveto -35459.4 50205.2 lineto -stroke -newpath -35680.3 52907.6 moveto -35819.5 52768.4 lineto -stroke -newpath -35819.5 52907.6 moveto -35680.3 52768.4 lineto -stroke -newpath -35799.7 53520.4 moveto -35938.9 53381.2 lineto -stroke -newpath -35938.9 53520.4 moveto -35799.7 53381.2 lineto -stroke -newpath -35989.7 52465.7 moveto -36128.9 52326.5 lineto -stroke -newpath -36128.9 52465.7 moveto -35989.7 52326.5 lineto -stroke -newpath -36210.6 54277.6 moveto -36349.8 54138.4 lineto -stroke -newpath -36349.8 54277.6 moveto -36210.6 54138.4 lineto -stroke -newpath -36431.6 45262.3 moveto -36570.8 45123.1 lineto -stroke -newpath -36570.8 45262.3 moveto -36431.6 45123.1 lineto -stroke -newpath -36520 49681.5 moveto -36659.2 49542.4 lineto -stroke -newpath -36659.2 49681.5 moveto -36520 49542.4 lineto -stroke -newpath -36520 49151.2 moveto -36659.2 49012 lineto -stroke -newpath -36659.2 49151.2 moveto -36520 49012 lineto -stroke -newpath -36843.6 54842.7 moveto -36982.8 54703.5 lineto -stroke -newpath -36982.8 54842.7 moveto -36843.6 54703.5 lineto -stroke -newpath -36873.5 76462.4 moveto -37012.7 76323.2 lineto -stroke -newpath -37012.7 76462.4 moveto -36873.5 76323.2 lineto -stroke -newpath -36873.5 72926.9 moveto -37012.7 72787.8 lineto -stroke -newpath -37012.7 72926.9 moveto -36873.5 72787.8 lineto -stroke -newpath -36873.5 69391.5 moveto -37012.7 69252.3 lineto -stroke -newpath -37012.7 69391.5 moveto -36873.5 69252.3 lineto -stroke -newpath -37669 60376.2 moveto -37808.2 60237 lineto -stroke -newpath -37808.2 60376.2 moveto -37669 60237 lineto -stroke -newpath -37669 59404 moveto -37808.2 59264.8 lineto -stroke -newpath -37808.2 59404 moveto -37669 59264.8 lineto -stroke -newpath -37890 47560.3 moveto -38029.1 47421.1 lineto -stroke -newpath -38029.1 47560.3 moveto -37890 47421.1 lineto -stroke -newpath -38287.7 42964.2 moveto -38426.9 42825.1 lineto -stroke -newpath -38426.9 42964.2 moveto -38287.7 42825.1 lineto -stroke -newpath -38374.7 63785.7 moveto -38513.9 63646.5 lineto -stroke -newpath -38513.9 63785.7 moveto -38374.7 63646.5 lineto -stroke -newpath -38374.7 57765.7 moveto -38513.9 57626.5 lineto -stroke -newpath -38513.9 57765.7 moveto -38374.7 57626.5 lineto -stroke -newpath -38641.2 78230.1 moveto -38780.4 78090.9 lineto -stroke -newpath -38780.4 78230.1 moveto -38641.2 78090.9 lineto -stroke -newpath -38641.2 74694.7 moveto -38780.4 74555.5 lineto -stroke -newpath -38780.4 74694.7 moveto -38641.2 74555.5 lineto -stroke -newpath -38641.2 71159.2 moveto -38780.4 71020 lineto -stroke -newpath -38780.4 71159.2 moveto -38641.2 71020 lineto -stroke -newpath -39140.2 67230.6 moveto -39279.4 67091.4 lineto -stroke -newpath -39279.4 67230.6 moveto -39140.2 67091.4 lineto -stroke -newpath -39314.2 64725.2 moveto -39453.4 64586 lineto -stroke -newpath -39453.4 64725.2 moveto -39314.2 64586 lineto -stroke -newpath -39314.2 63890 moveto -39453.4 63750.9 lineto -stroke -newpath -39453.4 63890 moveto -39314.2 63750.9 lineto -stroke -newpath -39453.4 56687 moveto -39592.6 56547.8 lineto -stroke -newpath -39592.6 56687 moveto -39453.4 56547.8 lineto -stroke -newpath -39662.2 58426.8 moveto -39801.4 58287.7 lineto -stroke -newpath -39801.4 58426.8 moveto -39662.2 58287.7 lineto -stroke -newpath -39662.2 57452.5 moveto -39801.4 57313.3 lineto -stroke -newpath -39801.4 57452.5 moveto -39662.2 57313.3 lineto -stroke -newpath -39905.8 65386.3 moveto -40045 65247.1 lineto -stroke -newpath -40045 65386.3 moveto -39905.8 65247.1 lineto -stroke -newpath -39905.8 63228.9 moveto -40045 63089.7 lineto -stroke -newpath -40045 63228.9 moveto -39905.8 63089.7 lineto -stroke -newpath -39967 45262.3 moveto -40106.2 45123.1 lineto -stroke -newpath -40106.2 45262.3 moveto -39967 45123.1 lineto -stroke -newpath -40055.4 52775 moveto -40194.6 52635.9 lineto -stroke -newpath -40194.6 52775 moveto -40055.4 52635.9 lineto -stroke -newpath -40055.4 49239.6 moveto -40194.6 49100.4 lineto -stroke -newpath -40194.6 49239.6 moveto -40055.4 49100.4 lineto -stroke -newpath -40323.3 68204.9 moveto -40462.5 68065.7 lineto -stroke -newpath -40462.5 68204.9 moveto -40323.3 68065.7 lineto -stroke -newpath -40323.3 66256.3 moveto -40462.5 66117.1 lineto -stroke -newpath -40462.5 66256.3 moveto -40323.3 66117.1 lineto -stroke -newpath -40408.9 76462.4 moveto -40548.1 76323.2 lineto -stroke -newpath -40548.1 76462.4 moveto -40408.9 76323.2 lineto -stroke -newpath -40408.9 72926.9 moveto -40548.1 72787.8 lineto -stroke -newpath -40548.1 72926.9 moveto -40408.9 72787.8 lineto -stroke -newpath -40408.9 69391.5 moveto -40548.1 69252.3 lineto -stroke -newpath -40548.1 69391.5 moveto -40408.9 69252.3 lineto -stroke -newpath -40427.7 57939.7 moveto -40566.9 57800.5 lineto -stroke -newpath -40566.9 57939.7 moveto -40427.7 57800.5 lineto -stroke -newpath -40427.7 55747.4 moveto -40566.9 55608.2 lineto -stroke -newpath -40566.9 55747.4 moveto -40427.7 55608.2 lineto -stroke -newpath -40497.3 64725.2 moveto -40636.5 64586 lineto -stroke -newpath -40636.5 64725.2 moveto -40497.3 64586 lineto -stroke -newpath -40497.3 63890 moveto -40636.5 63750.9 lineto -stroke -newpath -40636.5 63890 moveto -40497.3 63750.9 lineto -stroke -newpath -41823.1 54542.8 moveto -41962.3 54403.6 lineto -stroke -newpath -41962.3 54542.8 moveto -41823.1 54403.6 lineto -stroke -newpath -41823.1 51007.3 moveto -41962.3 50868.1 lineto -stroke -newpath -41962.3 51007.3 moveto -41823.1 50868.1 lineto -stroke -newpath -41823.1 47471.9 moveto -41962.3 47332.7 lineto -stroke -newpath -41962.3 47471.9 moveto -41823.1 47332.7 lineto -stroke -newpath -41823.1 42964.2 moveto -41962.3 42825.1 lineto -stroke -newpath -41962.3 42964.2 moveto -41823.1 42825.1 lineto -stroke -newpath -42028.4 57348.1 moveto -42167.6 57208.9 lineto -stroke -newpath -42167.6 57348.1 moveto -42028.4 57208.9 lineto -stroke -newpath -42063.2 56339 moveto -42202.4 56199.8 lineto -stroke -newpath -42202.4 56339 moveto -42063.2 56199.8 lineto -stroke -newpath -42176.7 78230.1 moveto -42315.8 78090.9 lineto -stroke -newpath -42315.8 78230.1 moveto -42176.7 78090.9 lineto -stroke -newpath -42176.7 78230.1 moveto -42315.8 78090.9 lineto -stroke -newpath -42315.8 78230.1 moveto -42176.7 78090.9 lineto -stroke -newpath -42176.7 74694.7 moveto -42315.8 74555.5 lineto -stroke -newpath -42315.8 74694.7 moveto -42176.7 74555.5 lineto -stroke -newpath -42176.7 74694.7 moveto -42315.8 74555.5 lineto -stroke -newpath -42315.8 74694.7 moveto -42176.7 74555.5 lineto -stroke -newpath -42176.7 71159.2 moveto -42315.8 71020 lineto -stroke -newpath -42315.8 71159.2 moveto -42176.7 71020 lineto -stroke -newpath -43590.8 52775 moveto -43730 52635.9 lineto -stroke -newpath -43730 52775 moveto -43590.8 52635.9 lineto -stroke -newpath -43590.8 49239.6 moveto -43730 49100.4 lineto -stroke -newpath -43730 49239.6 moveto -43590.8 49100.4 lineto -stroke -newpath -43944.4 76462.4 moveto -44083.6 76323.2 lineto -stroke -newpath -44083.6 76462.4 moveto -43944.4 76323.2 lineto -stroke -newpath -43944.4 72926.9 moveto -44083.6 72787.8 lineto -stroke -newpath -44083.6 72926.9 moveto -43944.4 72787.8 lineto -stroke -newpath -44568.6 63611.7 moveto -44707.8 63472.5 lineto -stroke -newpath -44707.8 63611.7 moveto -44568.6 63472.5 lineto -stroke -newpath -45021 61489 moveto -45160.2 61349.8 lineto -stroke -newpath -45160.2 61489 moveto -45021 61349.8 lineto -stroke -newpath -45093.4 66784.1 moveto -45232.6 66645 lineto -stroke -newpath -45232.6 66784.1 moveto -45093.4 66645 lineto -stroke -newpath -45358.5 54542.8 moveto -45497.7 54403.6 lineto -stroke -newpath -45497.7 54542.8 moveto -45358.5 54403.6 lineto -stroke -newpath -45623.7 67668 moveto -45762.9 67528.8 lineto -stroke -newpath -45762.9 67668 moveto -45623.7 67528.8 lineto -stroke -newpath -45623.7 65944.5 moveto -45762.9 65805.3 lineto -stroke -newpath -45762.9 65944.5 moveto -45623.7 65805.3 lineto -stroke -newpath -45623.7 62585.8 moveto -45762.9 62446.6 lineto -stroke -newpath -45762.9 62585.8 moveto -45623.7 62446.6 lineto -stroke -newpath -45712.1 78230.1 moveto -45851.3 78090.9 lineto -stroke -newpath -45851.3 78230.1 moveto -45712.1 78090.9 lineto -stroke -newpath -45712.1 74694.7 moveto -45851.3 74555.5 lineto -stroke -newpath -45851.3 74694.7 moveto -45712.1 74555.5 lineto -stroke -newpath -45800.5 42964.2 moveto -45939.7 42825.1 lineto -stroke -newpath -45939.7 42964.2 moveto -45800.5 42825.1 lineto -stroke -newpath -45803.9 51502.1 moveto -45943.1 51363 lineto -stroke -newpath -45943.1 51502.1 moveto -45803.9 51363 lineto -stroke -newpath -45803.9 50458.2 moveto -45943.1 50319 lineto -stroke -newpath -45943.1 50458.2 moveto -45803.9 50319 lineto -stroke -newpath -46064.9 45830.2 moveto -46204.1 45691 lineto -stroke -newpath -46204.1 45830.2 moveto -46064.9 45691 lineto -stroke -newpath -46169.3 59714.3 moveto -46308.5 59575.2 lineto -stroke -newpath -46308.5 59714.3 moveto -46169.3 59575.2 lineto -stroke -newpath -46238.9 52267.7 moveto -46378.1 52128.5 lineto -stroke -newpath -46378.1 52267.7 moveto -46238.9 52128.5 lineto -stroke -newpath -46238.9 50736.6 moveto -46378.1 50597.4 lineto -stroke -newpath -46378.1 50736.6 moveto -46238.9 50597.4 lineto -stroke -newpath -46238.9 48196.4 moveto -46378.1 48057.2 lineto -stroke -newpath -46378.1 48196.4 moveto -46238.9 48057.2 lineto -stroke -newpath -46308.5 60619.1 moveto -46447.7 60479.9 lineto -stroke -newpath -46447.7 60619.1 moveto -46308.5 60479.9 lineto -stroke -newpath -46375 67712.2 moveto -46514.2 67573 lineto -stroke -newpath -46514.2 67712.2 moveto -46375 67573 lineto -stroke -newpath -46507.5 62585.8 moveto -46646.7 62446.6 lineto -stroke -newpath -46646.7 62585.8 moveto -46507.5 62446.6 lineto -stroke -newpath -46691.3 46595.7 moveto -46830.5 46456.5 lineto -stroke -newpath -46830.5 46595.7 moveto -46691.3 46456.5 lineto -stroke -newpath -46726.1 52267.7 moveto -46865.3 52128.5 lineto -stroke -newpath -46865.3 52267.7 moveto -46726.1 52128.5 lineto -stroke -newpath -47143.6 46073.7 moveto -47282.8 45934.6 lineto -stroke -newpath -47282.8 46073.7 moveto -47143.6 45934.6 lineto -stroke -newpath -47391.4 62585.8 moveto -47530.6 62446.6 lineto -stroke -newpath -47530.6 62585.8 moveto -47391.4 62446.6 lineto -stroke -newpath -47422 58740 moveto -47561.2 58600.8 lineto -stroke -newpath -47561.2 58740 moveto -47422 58600.8 lineto -stroke -newpath -47479.8 76462.4 moveto -47619 76323.2 lineto -stroke -newpath -47619 76462.4 moveto -47479.8 76323.2 lineto -stroke -newpath -47479.8 72926.9 moveto -47619 72787.8 lineto -stroke -newpath -47619 72926.9 moveto -47479.8 72787.8 lineto -stroke -newpath -47524 67712.2 moveto -47663.2 67573 lineto -stroke -newpath -47663.2 67712.2 moveto -47524 67573 lineto -stroke -newpath -47526.4 45029.8 moveto -47665.6 44890.6 lineto -stroke -newpath -47665.6 45029.8 moveto -47526.4 44890.6 lineto -stroke -newpath -47568.2 42964.2 moveto -47707.4 42825.1 lineto -stroke -newpath -47707.4 42964.2 moveto -47568.2 42825.1 lineto -stroke -newpath -47596 59436 moveto -47735.2 59296.8 lineto -stroke -newpath -47735.2 59436 moveto -47596 59296.8 lineto -stroke -newpath -47596 46595.7 moveto -47735.2 46456.5 lineto -stroke -newpath -47735.2 46595.7 moveto -47596 46456.5 lineto -stroke -newpath -48048.4 46073.7 moveto -48187.6 45934.6 lineto -stroke -newpath -48187.6 46073.7 moveto -48048.4 45934.6 lineto -stroke -newpath -48083.2 43951.1 moveto -48222.4 43811.9 lineto -stroke -newpath -48222.4 43951.1 moveto -48083.2 43811.9 lineto -stroke -newpath -48275.3 67712.2 moveto -48414.5 67573 lineto -stroke -newpath -48414.5 67712.2 moveto -48275.3 67573 lineto -stroke -newpath -48275.3 60862.3 moveto -48414.5 60723.1 lineto -stroke -newpath -48414.5 60862.3 moveto -48275.3 60723.1 lineto -stroke -newpath -48500.7 46595.7 moveto -48639.9 46456.5 lineto -stroke -newpath -48639.9 46595.7 moveto -48500.7 46456.5 lineto -stroke -newpath -48805.6 44334.2 moveto -48944.8 44195 lineto -stroke -newpath -48944.8 44334.2 moveto -48805.6 44195 lineto -stroke -newpath -48918.3 62811.3 moveto -49057.5 62672.1 lineto -stroke -newpath -49057.5 62811.3 moveto -48918.3 62672.1 lineto -stroke -newpath -48918.3 61767.4 moveto -49057.5 61628.2 lineto -stroke -newpath -49057.5 61767.4 moveto -48918.3 61628.2 lineto -stroke -newpath -48953.1 46073.7 moveto -49092.3 45934.6 lineto -stroke -newpath -49092.3 46073.7 moveto -48953.1 45934.6 lineto -stroke -newpath -49092.3 58357.2 moveto -49231.5 58218.1 lineto -stroke -newpath -49231.5 58357.2 moveto -49092.3 58218.1 lineto -stroke -newpath -49247.5 78230.1 moveto -49386.7 78090.9 lineto -stroke -newpath -49386.7 78230.1 moveto -49247.5 78090.9 lineto -stroke -newpath -49247.5 74694.7 moveto -49386.7 74555.5 lineto -stroke -newpath -49386.7 74694.7 moveto -49247.5 74555.5 lineto -stroke -newpath -49301.1 66291.1 moveto -49440.3 66151.9 lineto -stroke -newpath -49440.3 66291.1 moveto -49301.1 66151.9 lineto -stroke -newpath -49335.9 42964.2 moveto -49475.1 42825.1 lineto -stroke -newpath -49475.1 42964.2 moveto -49335.9 42825.1 lineto -stroke -newpath -49405.5 46595.7 moveto -49544.7 46456.5 lineto -stroke -newpath -49544.7 46595.7 moveto -49405.5 46456.5 lineto -stroke -newpath -49440.3 58983.6 moveto -49579.5 58844.4 lineto -stroke -newpath -49579.5 58983.6 moveto -49440.3 58844.4 lineto -stroke -newpath -49509.9 63368.1 moveto -49649.1 63228.9 lineto -stroke -newpath -49649.1 63368.1 moveto -49509.9 63228.9 lineto -stroke -newpath -49509.9 61210.6 moveto -49649.1 61071.5 lineto -stroke -newpath -49649.1 61210.6 moveto -49509.9 61071.5 lineto -stroke -newpath -49614.3 65142.8 moveto -49753.5 65003.6 lineto -stroke -newpath -49753.5 65142.8 moveto -49614.3 65003.6 lineto -stroke -newpath -49753.5 69074.9 moveto -49892.6 68935.7 lineto -stroke -newpath -49892.6 69074.9 moveto -49753.5 68935.7 lineto -stroke -newpath -49788.3 58357.2 moveto -49927.4 58218.1 lineto -stroke -newpath -49927.4 58357.2 moveto -49788.3 58218.1 lineto -stroke -newpath -49857.8 46073.7 moveto -49997 45934.6 lineto -stroke -newpath -49997 46073.7 moveto -49857.8 45934.6 lineto -stroke -newpath -49997 66569.4 moveto -50136.2 66430.3 lineto -stroke -newpath -50136.2 66569.4 moveto -49997 66430.3 lineto -stroke -newpath -49997 65734.3 moveto -50136.2 65595.1 lineto -stroke -newpath -50136.2 65734.3 moveto -49997 65595.1 lineto -stroke -newpath -49997 64551.2 moveto -50136.2 64412 lineto -stroke -newpath -50136.2 64551.2 moveto -49997 64412 lineto -stroke -newpath -49997 63716.1 moveto -50136.2 63576.9 lineto -stroke -newpath -50136.2 63716.1 moveto -49997 63576.9 lineto -stroke -newpath -50101.4 62811.3 moveto -50240.6 62672.1 lineto -stroke -newpath -50240.6 62811.3 moveto -50101.4 62672.1 lineto -stroke -newpath -50310.2 48196.4 moveto -50449.4 48057.2 lineto -stroke -newpath -50449.4 48196.4 moveto -50310.2 48057.2 lineto -stroke -newpath -50310.2 46595.7 moveto -50449.4 46456.5 lineto -stroke -newpath -50449.4 46595.7 moveto -50310.2 46456.5 lineto -stroke -newpath -50449.4 58914 moveto -50588.6 58774.8 lineto -stroke -newpath -50588.6 58914 moveto -50449.4 58774.8 lineto -stroke -newpath -50519 63054.9 moveto -50658.2 62915.7 lineto -stroke -newpath -50658.2 63054.9 moveto -50519 62915.7 lineto -stroke -newpath -50588.6 59610 moveto -50727.8 59470.8 lineto -stroke -newpath -50727.8 59610 moveto -50588.6 59470.8 lineto -stroke -newpath -50693 55190.7 moveto -50832.2 55051.5 lineto -stroke -newpath -50832.2 55190.7 moveto -50693 55051.5 lineto -stroke -newpath -50762.6 47396 moveto -50901.8 47256.9 lineto -stroke -newpath -50901.8 47396 moveto -50762.6 47256.9 lineto -stroke -newpath -51006.2 51432.6 moveto -51145.4 51293.4 lineto -stroke -newpath -51145.4 51432.6 moveto -51006.2 51293.4 lineto -stroke -newpath -51015.2 75843.7 moveto -51154.4 75704.5 lineto -stroke -newpath -51154.4 75843.7 moveto -51015.2 75704.5 lineto -stroke -newpath -51015.2 72838.6 moveto -51154.4 72699.4 lineto -stroke -newpath -51154.4 72838.6 moveto -51015.2 72699.4 lineto -stroke -newpath -51103.6 42964.2 moveto -51242.8 42825.1 lineto -stroke -newpath -51242.8 42964.2 moveto -51103.6 42825.1 lineto -stroke -newpath -51145.4 61523.8 moveto -51284.5 61384.6 lineto -stroke -newpath -51284.5 61523.8 moveto -51145.4 61384.6 lineto -stroke -newpath -51180.2 66743.4 moveto -51319.3 66604.2 lineto -stroke -newpath -51319.3 66743.4 moveto -51180.2 66604.2 lineto -stroke -newpath -51180.2 45704.2 moveto -51319.3 45565 lineto -stroke -newpath -51319.3 45704.2 moveto -51180.2 45565 lineto -stroke -newpath -51214.9 65212.3 moveto -51354.1 65073.2 lineto -stroke -newpath -51354.1 65212.3 moveto -51214.9 65073.2 lineto -stroke -newpath -51214.9 64655.6 moveto -51354.1 64516.4 lineto -stroke -newpath -51354.1 64655.6 moveto -51214.9 64516.4 lineto -stroke -newpath -51214.9 63785.7 moveto -51354.1 63646.5 lineto -stroke -newpath -51354.1 63785.7 moveto -51214.9 63646.5 lineto -stroke -newpath -51214.9 60653.9 moveto -51354.1 60514.7 lineto -stroke -newpath -51354.1 60653.9 moveto -51214.9 60514.7 lineto -stroke -newpath -51214.9 59957.9 moveto -51354.1 59818.7 lineto -stroke -newpath -51354.1 59957.9 moveto -51214.9 59818.7 lineto -stroke -newpath -51354.1 58809.6 moveto -51493.3 58670.4 lineto -stroke -newpath -51493.3 58809.6 moveto -51354.1 58670.4 lineto -stroke -newpath -52015.3 68622.5 moveto -52154.5 68483.3 lineto -stroke -newpath -52154.5 68622.5 moveto -52015.3 68483.3 lineto -stroke -newpath -52015.3 57939.7 moveto -52154.5 57800.5 lineto -stroke -newpath -52154.5 57939.7 moveto -52015.3 57800.5 lineto -stroke -newpath -52189.3 50458.2 moveto -52328.5 50319 lineto -stroke -newpath -52328.5 50458.2 moveto -52189.3 50319 lineto -stroke -newpath -52206.7 51502.1 moveto -52345.9 51363 lineto -stroke -newpath -52345.9 51502.1 moveto -52206.7 51363 lineto -stroke -newpath -52328.5 43916.3 moveto -52467.7 43777.1 lineto -stroke -newpath -52467.7 43916.3 moveto -52328.5 43777.1 lineto -stroke -newpath -52363.3 46526.1 moveto -52502.5 46386.9 lineto -stroke -newpath -52502.5 46526.1 moveto -52363.3 46386.9 lineto -stroke -newpath -52537.3 69701.2 moveto -52676.4 69562 lineto -stroke -newpath -52676.4 69701.2 moveto -52537.3 69562 lineto -stroke -newpath -52641.6 52267.7 moveto -52780.8 52128.5 lineto -stroke -newpath -52780.8 52267.7 moveto -52641.6 52128.5 lineto -stroke -newpath -52641.6 50736.6 moveto -52780.8 50597.4 lineto -stroke -newpath -52780.8 50736.6 moveto -52641.6 50597.4 lineto -stroke -newpath -52641.6 48196.4 moveto -52780.8 48057.2 lineto -stroke -newpath -52780.8 48196.4 moveto -52641.6 48057.2 lineto -stroke -newpath -52711.2 56582.6 moveto -52850.4 56443.4 lineto -stroke -newpath -52850.4 56582.6 moveto -52711.2 56443.4 lineto -stroke -newpath -52780.8 65212.3 moveto -52920 65073.2 lineto -stroke -newpath -52920 65212.3 moveto -52780.8 65073.2 lineto -stroke -newpath -52780.8 64655.6 moveto -52920 64516.4 lineto -stroke -newpath -52920 64655.6 moveto -52780.8 64516.4 lineto -stroke -newpath -52780.8 63785.7 moveto -52920 63646.5 lineto -stroke -newpath -52920 63785.7 moveto -52780.8 63646.5 lineto -stroke -newpath -52782.9 78230.1 moveto -52922.1 78090.9 lineto -stroke -newpath -52922.1 78230.1 moveto -52782.9 78090.9 lineto -stroke -newpath -52782.9 74164.3 moveto -52922.1 74025.2 lineto -stroke -newpath -52922.1 74164.3 moveto -52782.9 74025.2 lineto -stroke -newpath -52815.6 62393.8 moveto -52954.8 62254.6 lineto -stroke -newpath -52954.8 62393.8 moveto -52815.6 62254.6 lineto -stroke -newpath -52871.3 42964.2 moveto -53010.5 42825.1 lineto -stroke -newpath -53010.5 42964.2 moveto -52871.3 42825.1 lineto -stroke -newpath -52989.6 60305.9 moveto -53128.8 60166.7 lineto -stroke -newpath -53128.8 60305.9 moveto -52989.6 60166.7 lineto -stroke -newpath -52989.6 54703.5 moveto -53128.8 54564.3 lineto -stroke -newpath -53128.8 54703.5 moveto -52989.6 54564.3 lineto -stroke -newpath -53128.8 52267.7 moveto -53268 52128.5 lineto -stroke -newpath -53268 52267.7 moveto -53128.8 52128.5 lineto -stroke -newpath -53163.6 44125.1 moveto -53302.8 43985.9 lineto -stroke -newpath -53302.8 44125.1 moveto -53163.6 43985.9 lineto -stroke -newpath -53407.2 45238.6 moveto -53546.4 45099.4 lineto -stroke -newpath -53546.4 45238.6 moveto -53407.2 45099.4 lineto -stroke -newpath -53442 66569.4 moveto -53581.2 66430.3 lineto -stroke -newpath -53581.2 66569.4 moveto -53442 66430.3 lineto -stroke -newpath -53442 65873.5 moveto -53581.2 65734.3 lineto -stroke -newpath -53581.2 65873.5 moveto -53442 65734.3 lineto -stroke -newpath -53442 63437.7 moveto -53581.2 63298.5 lineto -stroke -newpath -53581.2 63437.7 moveto -53442 63298.5 lineto -stroke -newpath -53476.8 65003.6 moveto -53616 64864.4 lineto -stroke -newpath -53616 65003.6 moveto -53476.8 64864.4 lineto -stroke -newpath -53824.8 67822.2 moveto -53963.9 67683 lineto -stroke -newpath -53963.9 67822.2 moveto -53824.8 67683 lineto -stroke -newpath -54033.5 69944.8 moveto -54172.7 69805.6 lineto -stroke -newpath -54172.7 69944.8 moveto -54033.5 69805.6 lineto -stroke -newpath -54103.1 43985.9 moveto -54242.3 43846.7 lineto -stroke -newpath -54242.3 43985.9 moveto -54103.1 43846.7 lineto -stroke -newpath -54137.9 61906.6 moveto -54277.1 61767.4 lineto -stroke -newpath -54277.1 61906.6 moveto -54137.9 61767.4 lineto -stroke -newpath -54550.6 76020.4 moveto -54689.8 75881.2 lineto -stroke -newpath -54689.8 76020.4 moveto -54550.6 75881.2 lineto -stroke -newpath -54555.5 67996.1 moveto -54694.7 67857 lineto -stroke -newpath -54694.7 67996.1 moveto -54555.5 67857 lineto -stroke -newpath -54555.5 59957.9 moveto -54694.7 59818.7 lineto -stroke -newpath -54694.7 59957.9 moveto -54555.5 59818.7 lineto -stroke -newpath -54639 42964.2 moveto -54778.2 42825.1 lineto -stroke -newpath -54778.2 42964.2 moveto -54639 42825.1 lineto -stroke -newpath -54729.5 44333.9 moveto -54868.7 44194.7 lineto -stroke -newpath -54868.7 44333.9 moveto -54729.5 44194.7 lineto -stroke -newpath -54799.1 57661.3 moveto -54938.3 57522.1 lineto -stroke -newpath -54938.3 57661.3 moveto -54799.1 57522.1 lineto -stroke -newpath -54833.9 59262 moveto -54973.1 59122.8 lineto -stroke -newpath -54973.1 59262 moveto -54833.9 59122.8 lineto -stroke -newpath -55147.1 69910 moveto -55286.3 69770.8 lineto -stroke -newpath -55286.3 69910 moveto -55147.1 69770.8 lineto -stroke -newpath -55147.1 46456.5 moveto -55286.3 46317.3 lineto -stroke -newpath -55286.3 46456.5 moveto -55147.1 46317.3 lineto -stroke -newpath -55216.7 68344.1 moveto -55355.8 68204.9 lineto -stroke -newpath -55355.8 68344.1 moveto -55216.7 68204.9 lineto -stroke -newpath -55321 59262 moveto -55460.2 59122.8 lineto -stroke -newpath -55460.2 59262 moveto -55321 59122.8 lineto -stroke -newpath -55355.8 57661.3 moveto -55495 57522.1 lineto -stroke -newpath -55495 57661.3 moveto -55355.8 57522.1 lineto -stroke -newpath -55634.2 69910 moveto -55773.4 69770.8 lineto -stroke -newpath -55773.4 69910 moveto -55634.2 69770.8 lineto -stroke -newpath -55703.8 68344.1 moveto -55843 68204.9 lineto -stroke -newpath -55843 68344.1 moveto -55703.8 68204.9 lineto -stroke -newpath -55877.8 46143.3 moveto -56017 46004.2 lineto -stroke -newpath -56017 46143.3 moveto -55877.8 46004.2 lineto -stroke -newpath -55877.8 43985.9 moveto -56017 43846.7 lineto -stroke -newpath -56017 43985.9 moveto -55877.8 43846.7 lineto -stroke -newpath -56225.8 55782.2 moveto -56365 55643 lineto -stroke -newpath -56365 55782.2 moveto -56225.8 55643 lineto -stroke -newpath -56295.4 45308.2 moveto -56434.6 45169 lineto -stroke -newpath -56434.6 45308.2 moveto -56295.4 45169 lineto -stroke -newpath -56295.4 44542.7 moveto -56434.6 44403.5 lineto -stroke -newpath -56434.6 44542.7 moveto -56295.4 44403.5 lineto -stroke -newpath -56318.3 78230.1 moveto -56457.5 78090.9 lineto -stroke -newpath -56457.5 78230.1 moveto -56318.3 78090.9 lineto -stroke -newpath -56318.3 74164.3 moveto -56457.5 74025.2 lineto -stroke -newpath -56457.5 74164.3 moveto -56318.3 74025.2 lineto -stroke -newpath -56406.7 42964.2 moveto -56545.9 42825.1 lineto -stroke -newpath -56545.9 42964.2 moveto -56406.7 42825.1 lineto -stroke -newpath -56712.9 48196.4 moveto -56852.1 48057.2 lineto -stroke -newpath -56852.1 48196.4 moveto -56712.9 48057.2 lineto -stroke -newpath -56712.9 46595.7 moveto -56852.1 46456.5 lineto -stroke -newpath -56852.1 46595.7 moveto -56712.9 46456.5 lineto -stroke -newpath -57165.3 47396 moveto -57304.5 47256.9 lineto -stroke -newpath -57304.5 47396 moveto -57165.3 47256.9 lineto -stroke -newpath -57408.9 51432.6 moveto -57548.1 51293.4 lineto -stroke -newpath -57548.1 51432.6 moveto -57408.9 51293.4 lineto -stroke -newpath -57652.5 43951.1 moveto -57791.7 43811.9 lineto -stroke -newpath -57791.7 43951.1 moveto -57652.5 43811.9 lineto -stroke -newpath -58000.5 45343 moveto -58139.6 45203.8 lineto -stroke -newpath -58139.6 45343 moveto -58000.5 45203.8 lineto -stroke -newpath -58086.1 76020.4 moveto -58225.2 75881.2 lineto -stroke -newpath -58225.2 76020.4 moveto -58086.1 75881.2 lineto -stroke -newpath -58086.1 72926.9 moveto -58225.2 72787.8 lineto -stroke -newpath -58225.2 72926.9 moveto -58086.1 72787.8 lineto -stroke -newpath -58174.4 42964.2 moveto -58313.6 42825.1 lineto -stroke -newpath -58313.6 42964.2 moveto -58174.4 42825.1 lineto -stroke -newpath -58609.4 51502.1 moveto -58748.6 51363 lineto -stroke -newpath -58748.6 51502.1 moveto -58609.4 51363 lineto -stroke -newpath -58609.4 50458.2 moveto -58748.6 50319 lineto -stroke -newpath -58748.6 50458.2 moveto -58609.4 50319 lineto -stroke -newpath -58661.6 68065.7 moveto -58800.8 67926.5 lineto -stroke -newpath -58800.8 68065.7 moveto -58661.6 67926.5 lineto -stroke -newpath -58800.8 56513 moveto -58940 56373.8 lineto -stroke -newpath -58940 56513 moveto -58800.8 56373.8 lineto -stroke -newpath -58905.2 69910 moveto -59044.4 69770.8 lineto -stroke -newpath -59044.4 69910 moveto -58905.2 69770.8 lineto -stroke -newpath -59044.4 52267.7 moveto -59183.6 52128.5 lineto -stroke -newpath -59183.6 52267.7 moveto -59044.4 52128.5 lineto -stroke -newpath -59044.4 50736.6 moveto -59183.6 50597.4 lineto -stroke -newpath -59183.6 50736.6 moveto -59044.4 50597.4 lineto -stroke -newpath -59044.4 48196.4 moveto -59183.6 48057.2 lineto -stroke -newpath -59183.6 48196.4 moveto -59044.4 48057.2 lineto -stroke -newpath -59183.6 67578.6 moveto -59322.8 67439.4 lineto -stroke -newpath -59322.8 67578.6 moveto -59183.6 67439.4 lineto -stroke -newpath -59357.6 68239.7 moveto -59496.7 68100.5 lineto -stroke -newpath -59496.7 68239.7 moveto -59357.6 68100.5 lineto -stroke -newpath -59531.5 66917.4 moveto -59670.7 66778.2 lineto -stroke -newpath -59670.7 66917.4 moveto -59531.5 66778.2 lineto -stroke -newpath -59531.5 52267.7 moveto -59670.7 52128.5 lineto -stroke -newpath -59670.7 52267.7 moveto -59531.5 52128.5 lineto -stroke -newpath -59670.7 57661.3 moveto -59809.9 57522.1 lineto -stroke -newpath -59809.9 57661.3 moveto -59670.7 57522.1 lineto -stroke -newpath -59705.5 59262 moveto -59844.7 59122.8 lineto -stroke -newpath -59844.7 59262 moveto -59705.5 59122.8 lineto -stroke -newpath -59705.5 46038.9 moveto -59844.7 45899.8 lineto -stroke -newpath -59844.7 46038.9 moveto -59705.5 45899.8 lineto -stroke -newpath -59853.8 78230.1 moveto -59993 78090.9 lineto -stroke -newpath -59993 78230.1 moveto -59853.8 78090.9 lineto -stroke -newpath -59853.8 74164.3 moveto -59993 74025.2 lineto -stroke -newpath -59993 74164.3 moveto -59853.8 74025.2 lineto -stroke -newpath -59942.2 42964.2 moveto -60081.3 42825.1 lineto -stroke -newpath -60081.3 42964.2 moveto -59942.2 42825.1 lineto -stroke -newpath -59949.1 59923.1 moveto -60088.3 59783.9 lineto -stroke -newpath -60088.3 59923.1 moveto -59949.1 59783.9 lineto -stroke -newpath -60018.7 69910 moveto -60157.9 69770.8 lineto -stroke -newpath -60157.9 69910 moveto -60018.7 69770.8 lineto -stroke -newpath -60053.5 68309.3 moveto -60192.7 68170.1 lineto -stroke -newpath -60192.7 68309.3 moveto -60053.5 68170.1 lineto -stroke -newpath -60157.9 67265.4 moveto -60297.1 67126.2 lineto -stroke -newpath -60297.1 67265.4 moveto -60157.9 67126.2 lineto -stroke -newpath -60192.7 59262 moveto -60331.9 59122.8 lineto -stroke -newpath -60331.9 59262 moveto -60192.7 59122.8 lineto -stroke -newpath -60227.5 57661.3 moveto -60366.7 57522.1 lineto -stroke -newpath -60366.7 57661.3 moveto -60227.5 57522.1 lineto -stroke -newpath -60471.1 45238.6 moveto -60610.3 45099.4 lineto -stroke -newpath -60610.3 45238.6 moveto -60471.1 45099.4 lineto -stroke -newpath -60540.7 68309.3 moveto -60679.9 68170.1 lineto -stroke -newpath -60679.9 68309.3 moveto -60540.7 68170.1 lineto -stroke -newpath -60575.5 69910 moveto -60714.7 69770.8 lineto -stroke -newpath -60714.7 69910 moveto -60575.5 69770.8 lineto -stroke -newpath -60888.6 67335 moveto -61027.8 67195.8 lineto -stroke -newpath -61027.8 67335 moveto -60888.6 67195.8 lineto -stroke -newpath -61167 44890.6 moveto -61306.2 44751.4 lineto -stroke -newpath -61306.2 44890.6 moveto -61167 44751.4 lineto -stroke -newpath -61201.8 63054.9 moveto -61341 62915.7 lineto -stroke -newpath -61341 63054.9 moveto -61201.8 62915.7 lineto -stroke -newpath -61201.8 61976.2 moveto -61341 61837 lineto -stroke -newpath -61341 61976.2 moveto -61201.8 61837 lineto -stroke -newpath -61236.6 68309.3 moveto -61375.8 68170.1 lineto -stroke -newpath -61375.8 68309.3 moveto -61236.6 68170.1 lineto -stroke -newpath -61236.6 64829.6 moveto -61375.8 64690.4 lineto -stroke -newpath -61375.8 64829.6 moveto -61236.6 64690.4 lineto -stroke -newpath -61236.6 64133.6 moveto -61375.8 63994.4 lineto -stroke -newpath -61375.8 64133.6 moveto -61236.6 63994.4 lineto -stroke -newpath -61306.2 59644.8 moveto -61445.4 59505.6 lineto -stroke -newpath -61445.4 59644.8 moveto -61306.2 59505.6 lineto -stroke -newpath -61306.2 54425.1 moveto -61445.4 54285.9 lineto -stroke -newpath -61445.4 54425.1 moveto -61306.2 54285.9 lineto -stroke -newpath -61515 69910 moveto -61654.2 69770.8 lineto -stroke -newpath -61654.2 69910 moveto -61515 69770.8 lineto -stroke -newpath -61515 55782.2 moveto -61654.2 55643 lineto -stroke -newpath -61654.2 55782.2 moveto -61515 55643 lineto -stroke -newpath -61621.5 75932.1 moveto -61760.7 75792.9 lineto -stroke -newpath -61760.7 75932.1 moveto -61621.5 75792.9 lineto -stroke -newpath -61621.5 72926.9 moveto -61760.7 72787.8 lineto -stroke -newpath -61760.7 72926.9 moveto -61621.5 72787.8 lineto -stroke -newpath -61709.9 42964.2 moveto -61849.1 42825.1 lineto -stroke -newpath -61849.1 42964.2 moveto -61709.9 42825.1 lineto -stroke -newpath -61828.2 61628.2 moveto -61967.4 61489 lineto -stroke -newpath -61967.4 61628.2 moveto -61828.2 61489 lineto -stroke -newpath -61863 67613.4 moveto -62002.2 67474.2 lineto -stroke -newpath -62002.2 67613.4 moveto -61863 67474.2 lineto -stroke -newpath -61863 66917.4 moveto -62002.2 66778.2 lineto -stroke -newpath -62002.2 66917.4 moveto -61863 66778.2 lineto -stroke -newpath -61863 66221.5 moveto -62002.2 66082.3 lineto -stroke -newpath -62002.2 66221.5 moveto -61863 66082.3 lineto -stroke -newpath -61863 65525.5 moveto -62002.2 65386.3 lineto -stroke -newpath -62002.2 65525.5 moveto -61863 65386.3 lineto -stroke -newpath -61863 64481.6 moveto -62002.2 64342.4 lineto -stroke -newpath -62002.2 64481.6 moveto -61863 64342.4 lineto -stroke -newpath -61863 63785.7 moveto -62002.2 63646.5 lineto -stroke -newpath -62002.2 63785.7 moveto -61863 63646.5 lineto -stroke -newpath -61863 62811.3 moveto -62002.2 62672.1 lineto -stroke -newpath -62002.2 62811.3 moveto -61863 62672.1 lineto -stroke -newpath -61863 62324.2 moveto -62002.2 62185 lineto -stroke -newpath -62002.2 62324.2 moveto -61863 62185 lineto -stroke -newpath -62176.1 56721.8 moveto -62315.3 56582.6 lineto -stroke -newpath -62315.3 56721.8 moveto -62176.1 56582.6 lineto -stroke -newpath -62280.5 69631.6 moveto -62419.7 69492.4 lineto -stroke -newpath -62419.7 69631.6 moveto -62280.5 69492.4 lineto -stroke -newpath -62941.7 44090.3 moveto -63080.9 43951.1 lineto -stroke -newpath -63080.9 44090.3 moveto -62941.7 43951.1 lineto -stroke -newpath -63046.1 58218.1 moveto -63185.3 58078.9 lineto -stroke -newpath -63185.3 58218.1 moveto -63046.1 58078.9 lineto -stroke -newpath -63115.7 48196.4 moveto -63254.9 48057.2 lineto -stroke -newpath -63254.9 48196.4 moveto -63115.7 48057.2 lineto -stroke -newpath -63115.7 46595.7 moveto -63254.9 46456.5 lineto -stroke -newpath -63254.9 46595.7 moveto -63115.7 46456.5 lineto -stroke -newpath -63220.1 52511.3 moveto -63359.3 52372.1 lineto -stroke -newpath -63359.3 52511.3 moveto -63220.1 52372.1 lineto -stroke -newpath -63389.2 78230.1 moveto -63528.4 78090.9 lineto -stroke -newpath -63528.4 78230.1 moveto -63389.2 78090.9 lineto -stroke -newpath -63389.2 74164.3 moveto -63528.4 74025.2 lineto -stroke -newpath -63528.4 74164.3 moveto -63389.2 74025.2 lineto -stroke -newpath -63389.2 71159.2 moveto -63528.4 71020 lineto -stroke -newpath -63528.4 71159.2 moveto -63389.2 71020 lineto -stroke -newpath -63463.7 67961.3 moveto -63602.8 67822.2 lineto -stroke -newpath -63602.8 67961.3 moveto -63463.7 67822.2 lineto -stroke -newpath -63463.7 67265.4 moveto -63602.8 67126.2 lineto -stroke -newpath -63602.8 67265.4 moveto -63463.7 67126.2 lineto -stroke -newpath -63463.7 66569.4 moveto -63602.8 66430.3 lineto -stroke -newpath -63602.8 66569.4 moveto -63463.7 66430.3 lineto -stroke -newpath -63463.7 65873.5 moveto -63602.8 65734.3 lineto -stroke -newpath -63602.8 65873.5 moveto -63463.7 65734.3 lineto -stroke -newpath -63463.7 65177.6 moveto -63602.8 65038.4 lineto -stroke -newpath -63602.8 65177.6 moveto -63463.7 65038.4 lineto -stroke -newpath -63463.7 64481.6 moveto -63602.8 64342.4 lineto -stroke -newpath -63602.8 64481.6 moveto -63463.7 64342.4 lineto -stroke -newpath -63463.7 63785.7 moveto -63602.8 63646.5 lineto -stroke -newpath -63602.8 63785.7 moveto -63463.7 63646.5 lineto -stroke -newpath -63463.7 62846.1 moveto -63602.8 62706.9 lineto -stroke -newpath -63602.8 62846.1 moveto -63463.7 62706.9 lineto -stroke -newpath -63463.7 62289.4 moveto -63602.8 62150.2 lineto -stroke -newpath -63602.8 62289.4 moveto -63463.7 62150.2 lineto -stroke -newpath -63477.6 42964.2 moveto -63616.8 42825.1 lineto -stroke -newpath -63616.8 42964.2 moveto -63477.6 42825.1 lineto -stroke -newpath -63481.1 61175.8 moveto -63620.2 61036.7 lineto -stroke -newpath -63620.2 61175.8 moveto -63481.1 61036.7 lineto -stroke -newpath -63568 47396 moveto -63707.2 47256.9 lineto -stroke -newpath -63707.2 47396 moveto -63568 47256.9 lineto -stroke -newpath -63672.4 44507.9 moveto -63811.6 44368.7 lineto -stroke -newpath -63811.6 44507.9 moveto -63672.4 44368.7 lineto -stroke -newpath -63742 56234.6 moveto -63881.2 56095.4 lineto -stroke -newpath -63881.2 56234.6 moveto -63742 56095.4 lineto -stroke -newpath -63811.6 51432.6 moveto -63950.8 51293.4 lineto -stroke -newpath -63950.8 51432.6 moveto -63811.6 51293.4 lineto -stroke -newpath -64090 60688.7 moveto -64229.2 60549.5 lineto -stroke -newpath -64229.2 60688.7 moveto -64090 60549.5 lineto -stroke -newpath -64229.2 54668.7 moveto -64368.4 54529.5 lineto -stroke -newpath -64368.4 54668.7 moveto -64229.2 54529.5 lineto -stroke -newpath -64264 59140.2 moveto -64403.2 59001 lineto -stroke -newpath -64403.2 59140.2 moveto -64264 59001 lineto -stroke -newpath -64403.2 56826.2 moveto -64542.4 56687 lineto -stroke -newpath -64542.4 56826.2 moveto -64403.2 56687 lineto -stroke -newpath -64403.2 55643 moveto -64542.4 55503.9 lineto -stroke -newpath -64542.4 55643 moveto -64403.2 55503.9 lineto -stroke -newpath -64751.2 64620.8 moveto -64890.4 64481.6 lineto -stroke -newpath -64890.4 64620.8 moveto -64751.2 64481.6 lineto -stroke -newpath -64786 62950.5 moveto -64925.1 62811.3 lineto -stroke -newpath -64925.1 62950.5 moveto -64786 62811.3 lineto -stroke -newpath -64994.7 65490.7 moveto -65133.9 65351.5 lineto -stroke -newpath -65133.9 65490.7 moveto -64994.7 65351.5 lineto -stroke -newpath -64994.7 50458.2 moveto -65133.9 50319 lineto -stroke -newpath -65133.9 50458.2 moveto -64994.7 50319 lineto -stroke -newpath -65012.1 51502.1 moveto -65151.3 51363 lineto -stroke -newpath -65151.3 51502.1 moveto -65012.1 51363 lineto -stroke -newpath -65099.1 44786.2 moveto -65238.3 44647.1 lineto -stroke -newpath -65238.3 44786.2 moveto -65099.1 44647.1 lineto -stroke -newpath -65133.9 57730.9 moveto -65273.1 57591.7 lineto -stroke -newpath -65273.1 57730.9 moveto -65133.9 57591.7 lineto -stroke -newpath -65156.9 75932.1 moveto -65296.1 75792.9 lineto -stroke -newpath -65296.1 75932.1 moveto -65156.9 75792.9 lineto -stroke -newpath -65156.9 72926.9 moveto -65296.1 72787.8 lineto -stroke -newpath -65296.1 72926.9 moveto -65156.9 72787.8 lineto -stroke -newpath -65238.3 56826.2 moveto -65377.5 56687 lineto -stroke -newpath -65377.5 56826.2 moveto -65238.3 56687 lineto -stroke -newpath -65238.3 55643 moveto -65377.5 55503.9 lineto -stroke -newpath -65377.5 55643 moveto -65238.3 55503.9 lineto -stroke -newpath -65245.3 42964.2 moveto -65384.5 42825.1 lineto -stroke -newpath -65384.5 42964.2 moveto -65245.3 42825.1 lineto -stroke -newpath -65447.1 52267.7 moveto -65586.3 52128.5 lineto -stroke -newpath -65586.3 52267.7 moveto -65447.1 52128.5 lineto -stroke -newpath -65447.1 50736.6 moveto -65586.3 50597.4 lineto -stroke -newpath -65586.3 50736.6 moveto -65447.1 50597.4 lineto -stroke -newpath -65447.1 48196.4 moveto -65586.3 48057.2 lineto -stroke -newpath -65586.3 48196.4 moveto -65447.1 48057.2 lineto -stroke -newpath -65621.1 66847.8 moveto -65760.3 66708.6 lineto -stroke -newpath -65760.3 66847.8 moveto -65621.1 66708.6 lineto -stroke -newpath -65655.9 64551.2 moveto -65795.1 64412 lineto -stroke -newpath -65795.1 64551.2 moveto -65655.9 64412 lineto -stroke -newpath -65899.5 56234.6 moveto -66038.7 56095.4 lineto -stroke -newpath -66038.7 56234.6 moveto -65899.5 56095.4 lineto -stroke -newpath -65899.5 50736.6 moveto -66038.7 50597.4 lineto -stroke -newpath -66038.7 50736.6 moveto -65899.5 50597.4 lineto -stroke -newpath -65899.5 48718.4 moveto -66038.7 48579.2 lineto -stroke -newpath -66038.7 48718.4 moveto -65899.5 48579.2 lineto -stroke -newpath -65934.3 52267.7 moveto -66073.5 52128.5 lineto -stroke -newpath -66073.5 52267.7 moveto -65934.3 52128.5 lineto -stroke -newpath -66003.9 60897.5 moveto -66143.1 60758.3 lineto -stroke -newpath -66143.1 60897.5 moveto -66003.9 60758.3 lineto -stroke -newpath -66177.9 66847.8 moveto -66317 66708.6 lineto -stroke -newpath -66317 66847.8 moveto -66177.9 66708.6 lineto -stroke -newpath -66247.5 65108 moveto -66386.6 64968.8 lineto -stroke -newpath -66386.6 65108 moveto -66247.5 64968.8 lineto -stroke -newpath -66282.2 46108.5 moveto -66421.4 45969.4 lineto -stroke -newpath -66421.4 46108.5 moveto -66282.2 45969.4 lineto -stroke -newpath -66317 66151.9 moveto -66456.2 66012.7 lineto -stroke -newpath -66456.2 66151.9 moveto -66317 66012.7 lineto -stroke -newpath -66351.8 48196.4 moveto -66491 48057.2 lineto -stroke -newpath -66491 48196.4 moveto -66351.8 48057.2 lineto -stroke -newpath -66491 44995 moveto -66630.2 44855.8 lineto -stroke -newpath -66630.2 44995 moveto -66491 44855.8 lineto -stroke -newpath -66734.6 66778.2 moveto -66873.8 66639 lineto -stroke -newpath -66873.8 66778.2 moveto -66734.6 66639 lineto -stroke -newpath -66734.6 64377.2 moveto -66873.8 64238 lineto -stroke -newpath -66873.8 64377.2 moveto -66734.6 64238 lineto -stroke -newpath -66804.2 48718.4 moveto -66943.4 48579.2 lineto -stroke -newpath -66943.4 48718.4 moveto -66804.2 48579.2 lineto -stroke -newpath -66924.6 78230.1 moveto -67063.8 78090.9 lineto -stroke -newpath -67063.8 78230.1 moveto -66924.6 78090.9 lineto -stroke -newpath -66924.6 74164.3 moveto -67063.8 74025.2 lineto -stroke -newpath -67063.8 74164.3 moveto -66924.6 74025.2 lineto -stroke -newpath -66924.6 71159.2 moveto -67063.8 71020 lineto -stroke -newpath -67063.8 71159.2 moveto -66924.6 71020 lineto -stroke -newpath -67013 42964.2 moveto -67152.2 42825.1 lineto -stroke -newpath -67152.2 42964.2 moveto -67013 42825.1 lineto -stroke -newpath -67082.6 61732.6 moveto -67221.8 61593.4 lineto -stroke -newpath -67221.8 61732.6 moveto -67082.6 61593.4 lineto -stroke -newpath -67117.4 58879.2 moveto -67256.6 58740 lineto -stroke -newpath -67256.6 58879.2 moveto -67117.4 58740 lineto -stroke -newpath -67187 66325.9 moveto -67326.2 66186.7 lineto -stroke -newpath -67326.2 66325.9 moveto -67187 66186.7 lineto -stroke -newpath -67256.6 48196.4 moveto -67395.8 48057.2 lineto -stroke -newpath -67395.8 48196.4 moveto -67256.6 48057.2 lineto -stroke -newpath -67639.3 64377.2 moveto -67778.5 64238 lineto -stroke -newpath -67778.5 64377.2 moveto -67639.3 64238 lineto -stroke -newpath -67674.1 55434.3 moveto -67813.3 55295.1 lineto -stroke -newpath -67813.3 55434.3 moveto -67674.1 55295.1 lineto -stroke -newpath -67674.1 46108.5 moveto -67813.3 45969.4 lineto -stroke -newpath -67813.3 46108.5 moveto -67674.1 45969.4 lineto -stroke -newpath -67708.9 61384.6 moveto -67848.1 61245.4 lineto -stroke -newpath -67848.1 61384.6 moveto -67708.9 61245.4 lineto -stroke -newpath -67708.9 59436 moveto -67848.1 59296.8 lineto -stroke -newpath -67848.1 59436 moveto -67708.9 59296.8 lineto -stroke -newpath -67708.9 58322.5 moveto -67848.1 58183.3 lineto -stroke -newpath -67848.1 58322.5 moveto -67708.9 58183.3 lineto -stroke -newpath -67708.9 56373.8 moveto -67848.1 56234.6 lineto -stroke -newpath -67848.1 56373.8 moveto -67708.9 56234.6 lineto -stroke -newpath -67708.9 48718.4 moveto -67848.1 48579.2 lineto -stroke -newpath -67848.1 48718.4 moveto -67708.9 48579.2 lineto -stroke -newpath -68022.1 64968.8 moveto -68161.3 64829.6 lineto -stroke -newpath -68161.3 64968.8 moveto -68022.1 64829.6 lineto -stroke -newpath -68230.9 60758.3 moveto -68370.1 60619.1 lineto -stroke -newpath -68370.1 60758.3 moveto -68230.9 60619.1 lineto -stroke -newpath -68230.9 60062.3 moveto -68370.1 59923.1 lineto -stroke -newpath -68370.1 60062.3 moveto -68230.9 59923.1 lineto -stroke -newpath -68230.9 57696.1 moveto -68370.1 57556.9 lineto -stroke -newpath -68370.1 57696.1 moveto -68230.9 57556.9 lineto -stroke -newpath -68230.9 57000.1 moveto -68370.1 56861 lineto -stroke -newpath -68370.1 57000.1 moveto -68230.9 56861 lineto -stroke -newpath -68300.5 67891.8 moveto -68439.7 67752.6 lineto -stroke -newpath -68439.7 67891.8 moveto -68300.5 67752.6 lineto -stroke -newpath -68404.9 61523.8 moveto -68544.1 61384.6 lineto -stroke -newpath -68544.1 61523.8 moveto -68404.9 61384.6 lineto -stroke -newpath -68404.9 59227.2 moveto -68544.1 59088 lineto -stroke -newpath -68544.1 59227.2 moveto -68404.9 59088 lineto -stroke -newpath -68509.3 44960.2 moveto -68648.5 44821 lineto -stroke -newpath -68648.5 44960.2 moveto -68509.3 44821 lineto -stroke -newpath -68613.7 46595.7 moveto -68752.9 46456.5 lineto -stroke -newpath -68752.9 46595.7 moveto -68613.7 46456.5 lineto -stroke -newpath -68692.3 75932.1 moveto -68831.5 75792.9 lineto -stroke -newpath -68831.5 75932.1 moveto -68692.3 75792.9 lineto -stroke -newpath -68692.3 72926.9 moveto -68831.5 72787.8 lineto -stroke -newpath -68831.5 72926.9 moveto -68692.3 72787.8 lineto -stroke -newpath -68780.7 42964.2 moveto -68919.9 42825.1 lineto -stroke -newpath -68919.9 42964.2 moveto -68780.7 42825.1 lineto -stroke -newpath -68961.7 67230.6 moveto -69100.8 67091.4 lineto -stroke -newpath -69100.8 67230.6 moveto -68961.7 67091.4 lineto -stroke -newpath -69066 64899.2 moveto -69205.2 64760 lineto -stroke -newpath -69205.2 64899.2 moveto -69066 64760 lineto -stroke -newpath -69518.4 48196.4 moveto -69657.6 48057.2 lineto -stroke -newpath -69657.6 48196.4 moveto -69518.4 48057.2 lineto -stroke -newpath -69518.4 46595.7 moveto -69657.6 46456.5 lineto -stroke -newpath -69657.6 46595.7 moveto -69518.4 46456.5 lineto -stroke -newpath -69657.6 69979.6 moveto -69796.8 69840.4 lineto -stroke -newpath -69796.8 69979.6 moveto -69657.6 69840.4 lineto -stroke -newpath -69657.6 62637.3 moveto -69796.8 62498.1 lineto -stroke -newpath -69796.8 62637.3 moveto -69657.6 62498.1 lineto -stroke -newpath -69657.6 61523.8 moveto -69796.8 61384.6 lineto -stroke -newpath -69796.8 61523.8 moveto -69657.6 61384.6 lineto -stroke -newpath -69657.6 58183.3 moveto -69796.8 58044.1 lineto -stroke -newpath -69796.8 58183.3 moveto -69657.6 58044.1 lineto -stroke -newpath -69657.6 56513 moveto -69796.8 56373.8 lineto -stroke -newpath -69796.8 56513 moveto -69657.6 56373.8 lineto -stroke -newpath -69657.6 55399.5 moveto -69796.8 55260.3 lineto -stroke -newpath -69796.8 55399.5 moveto -69657.6 55260.3 lineto -stroke -newpath -69970.8 47396 moveto -70110 47256.9 lineto -stroke -newpath -70110 47396 moveto -69970.8 47256.9 lineto -stroke -newpath -70457.9 54981.9 moveto -70597.1 54842.7 lineto -stroke -newpath -70597.1 54981.9 moveto -70457.9 54842.7 lineto -stroke -newpath -70460 78230.1 moveto -70599.2 78090.9 lineto -stroke -newpath -70599.2 78230.1 moveto -70460 78090.9 lineto -stroke -newpath -70460 74164.3 moveto -70599.2 74025.2 lineto -stroke -newpath -70599.2 74164.3 moveto -70460 74025.2 lineto -stroke -newpath -70548.4 42964.2 moveto -70687.6 42825.1 lineto -stroke -newpath -70687.6 42964.2 moveto -70548.4 42825.1 lineto -stroke -newpath -70631.9 45308.2 moveto -70771.1 45169 lineto -stroke -newpath -70771.1 45308.2 moveto -70631.9 45169 lineto -stroke -newpath -70636.8 48179 moveto -70776 48039.8 lineto -stroke -newpath -70776 48179 moveto -70636.8 48039.8 lineto -stroke -newpath -71258.3 69875.2 moveto -71397.5 69736 lineto -stroke -newpath -71397.5 69875.2 moveto -71258.3 69736 lineto -stroke -newpath -71258.3 68761.7 moveto -71397.5 68622.5 lineto -stroke -newpath -71397.5 68761.7 moveto -71258.3 68622.5 lineto -stroke -newpath -71258.3 67648.2 moveto -71397.5 67509 lineto -stroke -newpath -71397.5 67648.2 moveto -71258.3 67509 lineto -stroke -newpath -71258.3 66534.7 moveto -71397.5 66395.5 lineto -stroke -newpath -71397.5 66534.7 moveto -71258.3 66395.5 lineto -stroke -newpath -71258.3 65421.1 moveto -71397.5 65281.9 lineto -stroke -newpath -71397.5 65421.1 moveto -71258.3 65281.9 lineto -stroke -newpath -71258.3 63750.9 moveto -71397.5 63611.7 lineto -stroke -newpath -71397.5 63750.9 moveto -71258.3 63611.7 lineto -stroke -newpath -71258.3 62637.3 moveto -71397.5 62498.1 lineto -stroke -newpath -71397.5 62637.3 moveto -71258.3 62498.1 lineto -stroke -newpath -71258.3 61523.8 moveto -71397.5 61384.6 lineto -stroke -newpath -71397.5 61523.8 moveto -71258.3 61384.6 lineto -stroke -newpath -71258.3 59853.5 moveto -71397.5 59714.3 lineto -stroke -newpath -71397.5 59853.5 moveto -71258.3 59714.3 lineto -stroke -newpath -71258.3 58183.3 moveto -71397.5 58044.1 lineto -stroke -newpath -71397.5 58183.3 moveto -71258.3 58044.1 lineto -stroke -newpath -71258.3 56513 moveto -71397.5 56373.8 lineto -stroke -newpath -71397.5 56513 moveto -71258.3 56373.8 lineto -stroke -newpath -71258.3 55399.5 moveto -71397.5 55260.3 lineto -stroke -newpath -71397.5 55399.5 moveto -71258.3 55260.3 lineto -stroke -newpath -71501.9 50145 moveto -71641.1 50005.9 lineto -stroke -newpath -71641.1 50145 moveto -71501.9 50005.9 lineto -stroke -newpath -71710.7 69318.4 moveto -71849.8 69179.3 lineto -stroke -newpath -71849.8 69318.4 moveto -71710.7 69179.3 lineto -stroke -newpath -71710.7 68204.9 moveto -71849.8 68065.7 lineto -stroke -newpath -71849.8 68204.9 moveto -71710.7 68065.7 lineto -stroke -newpath -71710.7 67091.4 moveto -71849.8 66952.2 lineto -stroke -newpath -71849.8 67091.4 moveto -71710.7 66952.2 lineto -stroke -newpath -71710.7 65977.9 moveto -71849.8 65838.7 lineto -stroke -newpath -71849.8 65977.9 moveto -71710.7 65838.7 lineto -stroke -newpath -71710.7 64864.4 moveto -71849.8 64725.2 lineto -stroke -newpath -71849.8 64864.4 moveto -71710.7 64725.2 lineto -stroke -newpath -71745.4 62080.6 moveto -71884.6 61941.4 lineto -stroke -newpath -71884.6 62080.6 moveto -71745.4 61941.4 lineto -stroke -newpath -71745.4 61036.7 moveto -71884.6 60897.5 lineto -stroke -newpath -71884.6 61036.7 moveto -71745.4 60897.5 lineto -stroke -newpath -71745.4 60340.7 moveto -71884.6 60201.5 lineto -stroke -newpath -71884.6 60340.7 moveto -71745.4 60201.5 lineto -stroke -newpath -71745.4 59366.4 moveto -71884.6 59227.2 lineto -stroke -newpath -71884.6 59366.4 moveto -71745.4 59227.2 lineto -stroke -newpath -71745.4 58670.4 moveto -71884.6 58531.2 lineto -stroke -newpath -71884.6 58670.4 moveto -71745.4 58531.2 lineto -stroke -newpath -71745.4 57696.1 moveto -71884.6 57556.9 lineto -stroke -newpath -71884.6 57696.1 moveto -71745.4 57556.9 lineto -stroke -newpath -71745.4 57000.1 moveto -71884.6 56861 lineto -stroke -newpath -71884.6 57000.1 moveto -71745.4 56861 lineto -stroke -newpath -71745.4 55956.2 moveto -71884.6 55817 lineto -stroke -newpath -71884.6 55956.2 moveto -71745.4 55817 lineto -stroke -newpath -71919.4 50771.4 moveto -72058.6 50632.2 lineto -stroke -newpath -72058.6 50771.4 moveto -71919.4 50632.2 lineto -stroke -newpath -72227.7 75932.1 moveto -72366.9 75792.9 lineto -stroke -newpath -72366.9 75932.1 moveto -72227.7 75792.9 lineto -stroke -newpath -72227.7 72926.9 moveto -72366.9 72787.8 lineto -stroke -newpath -72366.9 72926.9 moveto -72227.7 72787.8 lineto -stroke -newpath -72316.1 42964.2 moveto -72455.3 42825.1 lineto -stroke -newpath -72455.3 42964.2 moveto -72316.1 42825.1 lineto -stroke -newpath -72404.5 51714.4 moveto -72543.7 51575.2 lineto -stroke -newpath -72543.7 51714.4 moveto -72404.5 51575.2 lineto -stroke -newpath -72824.2 50771.4 moveto -72963.4 50632.2 lineto -stroke -newpath -72963.4 50771.4 moveto -72824.2 50632.2 lineto -stroke -newpath -73033 47082.9 moveto -73172.1 46943.7 lineto -stroke -newpath -73172.1 47082.9 moveto -73033 46943.7 lineto -stroke -newpath -73206.9 48961.9 moveto -73346.1 48822.7 lineto -stroke -newpath -73346.1 48961.9 moveto -73206.9 48822.7 lineto -stroke -newpath -73276.5 49797.1 moveto -73415.7 49657.9 lineto -stroke -newpath -73415.7 49797.1 moveto -73276.5 49657.9 lineto -stroke -newpath -73624.5 62637.3 moveto -73763.7 62498.1 lineto -stroke -newpath -73763.7 62637.3 moveto -73624.5 62498.1 lineto -stroke -newpath -73868.1 50458.2 moveto -74007.3 50319 lineto -stroke -newpath -74007.3 50458.2 moveto -73868.1 50319 lineto -stroke -newpath -73868.1 48300.8 moveto -74007.3 48161.6 lineto -stroke -newpath -74007.3 48300.8 moveto -73868.1 48161.6 lineto -stroke -newpath -73995.5 78230.1 moveto -74134.6 78090.9 lineto -stroke -newpath -74134.6 78230.1 moveto -73995.5 78090.9 lineto -stroke -newpath -73995.5 74164.3 moveto -74134.6 74025.2 lineto -stroke -newpath -74134.6 74164.3 moveto -73995.5 74025.2 lineto -stroke -newpath -73995.5 71159.2 moveto -74134.6 71020 lineto -stroke -newpath -74134.6 71159.2 moveto -73995.5 71020 lineto -stroke -newpath -73995.5 53482.1 moveto -74134.6 53342.9 lineto -stroke -newpath -74134.6 53482.1 moveto -73995.5 53342.9 lineto -stroke -newpath -74083.8 42964.2 moveto -74223 42825.1 lineto -stroke -newpath -74223 42964.2 moveto -74083.8 42825.1 lineto -stroke -newpath -74459.7 61523.8 moveto -74598.8 61384.6 lineto -stroke -newpath -74598.8 61523.8 moveto -74459.7 61384.6 lineto -stroke -newpath -74459.7 60688.7 moveto -74598.8 60549.5 lineto -stroke -newpath -74598.8 60688.7 moveto -74459.7 60549.5 lineto -stroke -newpath -74459.7 59853.5 moveto -74598.8 59714.3 lineto -stroke -newpath -74598.8 59853.5 moveto -74459.7 59714.3 lineto -stroke -newpath -74459.7 59018.4 moveto -74598.8 58879.2 lineto -stroke -newpath -74598.8 59018.4 moveto -74459.7 58879.2 lineto -stroke -newpath -74459.7 58183.3 moveto -74598.8 58044.1 lineto -stroke -newpath -74598.8 58183.3 moveto -74459.7 58044.1 lineto -stroke -newpath -74459.7 57348.1 moveto -74598.8 57208.9 lineto -stroke -newpath -74598.8 57348.1 moveto -74459.7 57208.9 lineto -stroke -newpath -74459.7 56513 moveto -74598.8 56373.8 lineto -stroke -newpath -74598.8 56513 moveto -74459.7 56373.8 lineto -stroke -newpath -74459.7 55399.5 moveto -74598.8 55260.3 lineto -stroke -newpath -74598.8 55399.5 moveto -74459.7 55260.3 lineto -stroke -newpath -74459.7 49797.1 moveto -74598.8 49657.9 lineto -stroke -newpath -74598.8 49797.1 moveto -74459.7 49657.9 lineto -stroke -newpath -74459.7 48961.9 moveto -74598.8 48822.7 lineto -stroke -newpath -74598.8 48961.9 moveto -74459.7 48822.7 lineto -stroke -newpath -75294.8 62637.3 moveto -75434 62498.1 lineto -stroke -newpath -75434 62637.3 moveto -75294.8 62498.1 lineto -stroke -newpath -75763.2 76462.4 moveto -75902.4 76323.2 lineto -stroke -newpath -75902.4 76462.4 moveto -75763.2 76323.2 lineto -stroke -newpath -75763.2 72926.9 moveto -75902.4 72787.8 lineto -stroke -newpath -75902.4 72926.9 moveto -75763.2 72787.8 lineto -stroke -newpath -75763.2 51714.4 moveto -75902.4 51575.2 lineto -stroke -newpath -75902.4 51714.4 moveto -75763.2 51575.2 lineto -stroke -newpath -75763.2 48179 moveto -75902.4 48039.8 lineto -stroke -newpath -75902.4 48179 moveto -75763.2 48039.8 lineto -stroke -newpath -75851.5 42964.2 moveto -75990.7 42825.1 lineto -stroke -newpath -75990.7 42964.2 moveto -75851.5 42825.1 lineto -stroke -newpath -76756.3 69318.4 moveto -76895.5 69179.3 lineto -stroke -newpath -76895.5 69318.4 moveto -76756.3 69179.3 lineto -stroke -newpath -77173.9 62080.6 moveto -77313 61941.4 lineto -stroke -newpath -77313 62080.6 moveto -77173.9 61941.4 lineto -stroke -newpath -77173.9 61036.7 moveto -77313 60897.5 lineto -stroke -newpath -77313 61036.7 moveto -77173.9 60897.5 lineto -stroke -newpath -77173.9 60340.7 moveto -77313 60201.5 lineto -stroke -newpath -77313 60340.7 moveto -77173.9 60201.5 lineto -stroke -newpath -77173.9 59366.4 moveto -77313 59227.2 lineto -stroke -newpath -77313 59366.4 moveto -77173.9 59227.2 lineto -stroke -newpath -77173.9 58670.4 moveto -77313 58531.2 lineto -stroke -newpath -77313 58670.4 moveto -77173.9 58531.2 lineto -stroke -newpath -77173.9 57696.1 moveto -77313 57556.9 lineto -stroke -newpath -77313 57696.1 moveto -77173.9 57556.9 lineto -stroke -newpath -77173.9 57000.1 moveto -77313 56861 lineto -stroke -newpath -77313 57000.1 moveto -77173.9 56861 lineto -stroke -newpath -77173.9 55956.2 moveto -77313 55817 lineto -stroke -newpath -77313 55956.2 moveto -77173.9 55817 lineto -stroke -newpath -77208.7 68761.7 moveto -77347.8 68622.5 lineto -stroke -newpath -77347.8 68761.7 moveto -77208.7 68622.5 lineto -stroke -newpath -77208.7 67648.2 moveto -77347.8 67509 lineto -stroke -newpath -77347.8 67648.2 moveto -77208.7 67509 lineto -stroke -newpath -77208.7 66534.7 moveto -77347.8 66395.5 lineto -stroke -newpath -77347.8 66534.7 moveto -77208.7 66395.5 lineto -stroke -newpath -77208.7 65421.1 moveto -77347.8 65281.9 lineto -stroke -newpath -77347.8 65421.1 moveto -77208.7 65281.9 lineto -stroke -newpath -77208.7 64307.6 moveto -77347.8 64168.4 lineto -stroke -newpath -77347.8 64307.6 moveto -77208.7 64168.4 lineto -stroke -newpath -77208.7 63194.1 moveto -77347.8 63054.9 lineto -stroke -newpath -77347.8 63194.1 moveto -77208.7 63054.9 lineto -stroke -newpath -77530.9 78230.1 moveto -77670.1 78090.9 lineto -stroke -newpath -77670.1 78230.1 moveto -77530.9 78090.9 lineto -stroke -newpath -77530.9 74694.7 moveto -77670.1 74555.5 lineto -stroke -newpath -77670.1 74694.7 moveto -77530.9 74555.5 lineto -stroke -newpath -77530.9 49946.7 moveto -77670.1 49807.5 lineto -stroke -newpath -77670.1 49946.7 moveto -77530.9 49807.5 lineto -stroke -newpath -77530.9 46411.3 moveto -77670.1 46272.1 lineto -stroke -newpath -77670.1 46411.3 moveto -77530.9 46272.1 lineto -stroke -newpath -77619.3 42964.2 moveto -77758.5 42825.1 lineto -stroke -newpath -77758.5 42964.2 moveto -77619.3 42825.1 lineto -stroke -newpath -77661 69875.2 moveto -77800.2 69736 lineto -stroke -newpath -77800.2 69875.2 moveto -77661 69736 lineto -stroke -newpath -77661 68204.9 moveto -77800.2 68065.7 lineto -stroke -newpath -77800.2 68204.9 moveto -77661 68065.7 lineto -stroke -newpath -77661 67091.4 moveto -77800.2 66952.2 lineto -stroke -newpath -77800.2 67091.4 moveto -77661 66952.2 lineto -stroke -newpath -77661 65977.9 moveto -77800.2 65838.7 lineto -stroke -newpath -77800.2 65977.9 moveto -77661 65838.7 lineto -stroke -newpath -77661 64864.4 moveto -77800.2 64725.2 lineto -stroke -newpath -77800.2 64864.4 moveto -77661 64725.2 lineto -stroke -newpath -77661 63750.9 moveto -77800.2 63611.7 lineto -stroke -newpath -77800.2 63750.9 moveto -77661 63611.7 lineto -stroke -newpath -77661 62637.3 moveto -77800.2 62498.1 lineto -stroke -newpath -77800.2 62637.3 moveto -77661 62498.1 lineto -stroke -newpath -77661 61523.8 moveto -77800.2 61384.6 lineto -stroke -newpath -77800.2 61523.8 moveto -77661 61384.6 lineto -stroke -newpath -77661 59853.5 moveto -77800.2 59714.3 lineto -stroke -newpath -77800.2 59853.5 moveto -77661 59714.3 lineto -stroke -newpath -77661 58183.3 moveto -77800.2 58044.1 lineto -stroke -newpath -77800.2 58183.3 moveto -77661 58044.1 lineto -stroke -newpath -77661 56513 moveto -77800.2 56373.8 lineto -stroke -newpath -77800.2 56513 moveto -77661 56373.8 lineto -stroke -newpath -77661 55399.5 moveto -77800.2 55260.3 lineto -stroke -newpath -77800.2 55399.5 moveto -77661 55260.3 lineto -stroke -newpath -78461.4 70362.4 moveto -78600.5 70223.2 lineto -stroke -newpath -78600.5 70362.4 moveto -78461.4 70223.2 lineto -stroke -newpath -78461.4 54981.9 moveto -78600.5 54842.7 lineto -stroke -newpath -78600.5 54981.9 moveto -78461.4 54842.7 lineto -stroke -newpath -79261.7 69875.2 moveto -79400.9 69736 lineto -stroke -newpath -79400.9 69875.2 moveto -79261.7 69736 lineto -stroke -newpath -79261.7 62637.3 moveto -79400.9 62498.1 lineto -stroke -newpath -79400.9 62637.3 moveto -79261.7 62498.1 lineto -stroke -newpath -79261.7 61523.8 moveto -79400.9 61384.6 lineto -stroke -newpath -79400.9 61523.8 moveto -79261.7 61384.6 lineto -stroke -newpath -79261.7 59853.5 moveto -79400.9 59714.3 lineto -stroke -newpath -79400.9 59853.5 moveto -79261.7 59714.3 lineto -stroke -newpath -79261.7 56513 moveto -79400.9 56373.8 lineto -stroke -newpath -79400.9 56513 moveto -79261.7 56373.8 lineto -stroke -newpath -79261.7 55677.8 moveto -79400.9 55538.7 lineto -stroke -newpath -79400.9 55677.8 moveto -79261.7 55538.7 lineto -stroke -newpath -79296.5 68831.3 moveto -79435.7 68692.1 lineto -stroke -newpath -79435.7 68831.3 moveto -79296.5 68692.1 lineto -stroke -newpath -79298.6 76462.4 moveto -79437.8 76323.2 lineto -stroke -newpath -79437.8 76462.4 moveto -79298.6 76323.2 lineto -stroke -newpath -79298.6 72926.9 moveto -79437.8 72787.8 lineto -stroke -newpath -79437.8 72926.9 moveto -79298.6 72787.8 lineto -stroke -newpath -79298.6 51714.4 moveto -79437.8 51575.2 lineto -stroke -newpath -79437.8 51714.4 moveto -79298.6 51575.2 lineto -stroke -newpath -79298.6 48179 moveto -79437.8 48039.8 lineto -stroke -newpath -79437.8 48179 moveto -79298.6 48039.8 lineto -stroke -newpath -79298.6 44643.6 moveto -79437.8 44504.4 lineto -stroke -newpath -79437.8 44643.6 moveto -79298.6 44504.4 lineto -stroke -newpath -79387 42964.2 moveto -79526.2 42825.1 lineto -stroke -newpath -79526.2 42964.2 moveto -79387 42825.1 lineto -stroke -newpath -81066.3 78230.1 moveto -81205.5 78090.9 lineto -stroke -newpath -81205.5 78230.1 moveto -81066.3 78090.9 lineto -stroke -newpath -81066.3 74694.7 moveto -81205.5 74555.5 lineto -stroke -newpath -81205.5 74694.7 moveto -81066.3 74555.5 lineto -stroke -newpath -81066.3 71159.2 moveto -81205.5 71020 lineto -stroke -newpath -81205.5 71159.2 moveto -81066.3 71020 lineto -stroke -newpath -81066.3 67623.8 moveto -81205.5 67484.6 lineto -stroke -newpath -81205.5 67623.8 moveto -81066.3 67484.6 lineto -stroke -newpath -81066.3 64088.4 moveto -81205.5 63949.2 lineto -stroke -newpath -81205.5 64088.4 moveto -81066.3 63949.2 lineto -stroke -newpath -81066.3 53482.1 moveto -81205.5 53342.9 lineto -stroke -newpath -81205.5 53482.1 moveto -81066.3 53342.9 lineto -stroke -newpath -81066.3 49946.7 moveto -81205.5 49807.5 lineto -stroke -newpath -81205.5 49946.7 moveto -81066.3 49807.5 lineto -stroke -newpath -81066.3 46411.3 moveto -81205.5 46272.1 lineto -stroke -newpath -81205.5 46411.3 moveto -81066.3 46272.1 lineto -stroke -newpath -81154.7 42964.2 moveto -81293.9 42825.1 lineto -stroke -newpath -81293.9 42964.2 moveto -81154.7 42825.1 lineto -stroke -newpath -82834 76462.4 moveto -82973.2 76323.2 lineto -stroke -newpath -82973.2 76462.4 moveto -82834 76323.2 lineto -stroke -newpath -82834 72926.9 moveto -82973.2 72787.8 lineto -stroke -newpath -82973.2 72926.9 moveto -82834 72787.8 lineto -stroke -newpath -82834 69391.5 moveto -82973.2 69252.3 lineto -stroke -newpath -82973.2 69391.5 moveto -82834 69252.3 lineto -stroke -newpath -82834 65856.1 moveto -82973.2 65716.9 lineto -stroke -newpath -82973.2 65856.1 moveto -82834 65716.9 lineto -stroke -newpath -82834 55249.8 moveto -82973.2 55110.6 lineto -stroke -newpath -82973.2 55249.8 moveto -82834 55110.6 lineto -stroke -newpath -82834 51714.4 moveto -82973.2 51575.2 lineto -stroke -newpath -82973.2 51714.4 moveto -82834 51575.2 lineto -stroke -newpath -82834 44643.6 moveto -82973.2 44504.4 lineto -stroke -newpath -82973.2 44643.6 moveto -82834 44504.4 lineto -stroke -newpath -82922.4 42964.2 moveto -83061.6 42825.1 lineto -stroke -newpath -83061.6 42964.2 moveto -82922.4 42825.1 lineto -stroke -newpath -83806.2 43052.6 moveto -83945.4 42913.4 lineto -stroke -newpath -83945.4 43052.6 moveto -83806.2 42913.4 lineto -stroke -newpath -84601.7 78230.1 moveto -84740.9 78090.9 lineto -stroke -newpath -84740.9 78230.1 moveto -84601.7 78090.9 lineto -stroke -newpath -84601.7 74694.7 moveto -84740.9 74555.5 lineto -stroke -newpath -84740.9 74694.7 moveto -84601.7 74555.5 lineto -stroke -newpath -84601.7 71159.2 moveto -84740.9 71020 lineto -stroke -newpath -84740.9 71159.2 moveto -84601.7 71020 lineto -stroke -newpath -84601.7 67623.8 moveto -84740.9 67484.6 lineto -stroke -newpath -84740.9 67623.8 moveto -84601.7 67484.6 lineto -stroke -newpath -84601.7 64088.4 moveto -84740.9 63949.2 lineto -stroke -newpath -84740.9 64088.4 moveto -84601.7 63949.2 lineto -stroke -newpath -84601.7 53482.1 moveto -84740.9 53342.9 lineto -stroke -newpath -84740.9 53482.1 moveto -84601.7 53342.9 lineto -stroke -newpath -84690.1 42964.2 moveto -84829.3 42825.1 lineto -stroke -newpath -84829.3 42964.2 moveto -84690.1 42825.1 lineto -stroke -newpath -85574 43052.6 moveto -85713.1 42913.4 lineto -stroke -newpath -85713.1 43052.6 moveto -85574 42913.4 lineto -stroke -newpath -85574 43052.6 moveto -85713.1 42913.4 lineto -stroke -newpath -85713.1 43052.6 moveto -85574 42913.4 lineto -stroke -newpath -85927.5 71070.8 moveto -86066.7 70931.7 lineto -stroke -newpath -86066.7 71070.8 moveto -85927.5 70931.7 lineto -stroke -newpath -85927.5 64176.8 moveto -86066.7 64037.6 lineto -stroke -newpath -86066.7 64176.8 moveto -85927.5 64037.6 lineto -stroke -newpath -86369.4 76462.4 moveto -86508.6 76323.2 lineto -stroke -newpath -86508.6 76462.4 moveto -86369.4 76323.2 lineto -stroke -newpath -86369.4 72926.9 moveto -86508.6 72787.8 lineto -stroke -newpath -86508.6 72926.9 moveto -86369.4 72787.8 lineto -stroke -newpath -86369.4 69391.5 moveto -86508.6 69252.3 lineto -stroke -newpath -86508.6 69391.5 moveto -86369.4 69252.3 lineto -stroke -newpath -86369.4 65856.1 moveto -86508.6 65716.9 lineto -stroke -newpath -86508.6 65856.1 moveto -86369.4 65716.9 lineto -stroke -newpath -86369.4 55249.8 moveto -86508.6 55110.6 lineto -stroke -newpath -86508.6 55249.8 moveto -86369.4 55110.6 lineto -stroke -newpath -86369.4 51714.4 moveto -86508.6 51575.2 lineto -stroke -newpath -86508.6 51714.4 moveto -86369.4 51575.2 lineto -stroke -newpath -86457.8 42964.2 moveto -86597 42825.1 lineto -stroke -newpath -86597 42964.2 moveto -86457.8 42825.1 lineto -stroke -newpath -86811.4 71070.8 moveto -86950.5 70931.7 lineto -stroke -newpath -86950.5 71070.8 moveto -86811.4 70931.7 lineto -stroke -newpath -86811.4 64176.8 moveto -86950.5 64037.6 lineto -stroke -newpath -86950.5 64176.8 moveto -86811.4 64037.6 lineto -stroke -newpath -88137.1 78230.1 moveto -88276.3 78090.9 lineto -stroke -newpath -88276.3 78230.1 moveto -88137.1 78090.9 lineto -stroke -newpath -88137.1 74694.7 moveto -88276.3 74555.5 lineto -stroke -newpath -88276.3 74694.7 moveto -88137.1 74555.5 lineto -stroke -newpath -88137.1 71159.2 moveto -88276.3 71020 lineto -stroke -newpath -88276.3 71159.2 moveto -88137.1 71020 lineto -stroke -newpath -88137.1 67623.8 moveto -88276.3 67484.6 lineto -stroke -newpath -88276.3 67623.8 moveto -88137.1 67484.6 lineto -stroke -newpath -88137.1 64088.4 moveto -88276.3 63949.2 lineto -stroke -newpath -88276.3 64088.4 moveto -88137.1 63949.2 lineto -stroke -newpath -88137.1 53482.1 moveto -88276.3 53342.9 lineto -stroke -newpath -88276.3 53482.1 moveto -88137.1 53342.9 lineto -stroke -newpath -88137.1 49946.7 moveto -88276.3 49807.5 lineto -stroke -newpath -88276.3 49946.7 moveto -88137.1 49807.5 lineto -stroke -newpath -88225.5 42964.2 moveto -88364.7 42825.1 lineto -stroke -newpath -88364.7 42964.2 moveto -88225.5 42825.1 lineto -stroke -newpath -89904.9 72926.9 moveto -90044 72787.8 lineto -stroke -newpath -90044 72926.9 moveto -89904.9 72787.8 lineto -stroke -newpath -89904.9 69391.5 moveto -90044 69252.3 lineto -stroke -newpath -90044 69391.5 moveto -89904.9 69252.3 lineto -stroke -newpath -89904.9 65856.1 moveto -90044 65716.9 lineto -stroke -newpath -90044 65856.1 moveto -89904.9 65716.9 lineto -stroke -newpath -89904.9 55249.8 moveto -90044 55110.6 lineto -stroke -newpath -90044 55249.8 moveto -89904.9 55110.6 lineto -stroke -newpath -89904.9 51714.4 moveto -90044 51575.2 lineto -stroke -newpath -90044 51714.4 moveto -89904.9 51575.2 lineto -stroke -newpath -91407.4 78230.1 moveto -91546.6 78090.9 lineto -stroke -newpath -91546.6 78230.1 moveto -91407.4 78090.9 lineto -stroke -newpath -91407.4 42964.2 moveto -91546.6 42825.1 lineto -stroke -newpath -91546.6 42964.2 moveto -91407.4 42825.1 lineto -stroke -newpath -91672.6 74694.7 moveto -91811.8 74555.5 lineto -stroke -newpath -91811.8 74694.7 moveto -91672.6 74555.5 lineto -stroke -newpath -91672.6 71159.2 moveto -91811.8 71020 lineto -stroke -newpath -91811.8 71159.2 moveto -91672.6 71020 lineto -stroke -newpath -91672.6 67623.8 moveto -91811.8 67484.6 lineto -stroke -newpath -91811.8 67623.8 moveto -91672.6 67484.6 lineto -stroke -newpath -91672.6 64088.4 moveto -91811.8 63949.2 lineto -stroke -newpath -91811.8 64088.4 moveto -91672.6 63949.2 lineto -stroke -newpath -91672.6 60553 moveto -91811.8 60413.8 lineto -stroke -newpath -91811.8 60553 moveto -91672.6 60413.8 lineto -stroke -newpath -91672.6 53482.1 moveto -91811.8 53342.9 lineto -stroke -newpath -91811.8 53482.1 moveto -91672.6 53342.9 lineto -stroke -newpath -91672.6 49946.7 moveto -91811.8 49807.5 lineto -stroke -newpath -91811.8 49946.7 moveto -91672.6 49807.5 lineto -stroke -newpath -92644.8 76992.7 moveto -92784 76853.5 lineto -stroke -newpath -92784 76992.7 moveto -92644.8 76853.5 lineto -stroke -newpath -92644.8 72926.9 moveto -92784 72787.8 lineto -stroke -newpath -92784 72926.9 moveto -92644.8 72787.8 lineto -stroke -newpath -92644.8 69391.5 moveto -92784 69252.3 lineto -stroke -newpath -92784 69391.5 moveto -92644.8 69252.3 lineto -stroke -newpath -92644.8 65856.1 moveto -92784 65716.9 lineto -stroke -newpath -92784 65856.1 moveto -92644.8 65716.9 lineto -stroke -newpath -92644.8 62320.7 moveto -92784 62181.5 lineto -stroke -newpath -92784 62320.7 moveto -92644.8 62181.5 lineto -stroke -newpath -92644.8 58785.3 moveto -92784 58646.1 lineto -stroke -newpath -92784 58785.3 moveto -92644.8 58646.1 lineto -stroke -newpath -92644.8 55249.8 moveto -92784 55110.6 lineto -stroke -newpath -92784 55249.8 moveto -92644.8 55110.6 lineto -stroke -newpath -92644.8 55249.8 moveto -92784 55110.6 lineto -stroke -newpath -92784 55249.8 moveto -92644.8 55110.6 lineto -stroke -newpath -92644.8 51714.4 moveto -92784 51575.2 lineto -stroke -newpath -92784 51714.4 moveto -92644.8 51575.2 lineto -stroke -newpath -92644.8 48179 moveto -92784 48039.8 lineto -stroke -newpath -92784 48179 moveto -92644.8 48039.8 lineto -stroke -newpath -92644.8 44201.6 moveto -92784 44062.5 lineto -stroke -newpath -92784 44201.6 moveto -92644.8 44062.5 lineto -stroke -44638.2 51328.2 104.392 cir0 -44638.2 50493 104.392 cir0 -45264.6 52024.1 104.392 cir0 -45264.6 49797.1 104.392 cir0 -51041 50493 104.392 cir0 -51667.3 49797.1 104.392 cir0 -53581.2 70466.8 104.392 cir0 -54033.5 56965.4 104.392 cir0 -54277.1 71093.1 104.392 cir0 -54729.5 56339 104.392 cir0 -55112.3 71093.1 104.392 cir0 -55564.6 56339 104.392 cir0 -56260.6 56965.4 104.392 cir0 -57443.7 50493 104.392 cir0 -58070 49797.1 104.392 cir0 -58452.8 70466.8 104.392 cir0 -58905.2 56965.4 104.392 cir0 -59148.8 71093.1 104.392 cir0 -59601.1 56339 104.392 cir0 -59983.9 71093.1 104.392 cir0 -60436.3 56339 104.392 cir0 -60679.9 70466.8 104.392 cir0 -61132.2 56965.4 104.392 cir0 -63846.4 50493 104.392 cir0 -64472.8 49797.1 104.392 cir0 -64786 61175.8 104.392 cir0 -69066 62985.3 104.392 cir0 -69936 71127.9 104.392 cir0 -69936 54007.6 104.392 cir0 -70527.5 70292.8 104.392 cir0 -70631.9 71754.3 104.392 cir0 -70631.9 53381.2 104.392 cir0 -71467.1 71754.3 104.392 cir0 -71467.1 70501.6 104.392 cir0 -71467.1 54633.9 104.392 cir0 -71467.1 53381.2 104.392 cir0 -72163 71127.9 104.392 cir0 -72163 54007.6 104.392 cir0 -76895.5 71127.9 104.392 cir0 -76895.5 54007.6 104.392 cir0 -77591.4 71754.3 104.392 cir0 -77591.4 70501.6 104.392 cir0 -77591.4 54633.9 104.392 cir0 -77591.4 53381.2 104.392 cir0 -78426.6 71754.3 104.392 cir0 -78426.6 53381.2 104.392 cir0 -79122.5 71127.9 104.392 cir0 -79122.5 54007.6 104.392 cir0 -79957.6 70397.2 104.392 cir0 -79957.6 60932.3 104.392 cir0 -79957.6 60375.5 104.392 cir0 -79957.6 57034.9 104.392 cir0 -80584 56339 104.392 cir0 -80584 55503.9 104.392 cir0 -newpath -79957.6 58211.4 moveto -79957.6 57946.3 lineto -stroke -newpath -79825.1 58078.9 moveto -80090.2 58078.9 lineto -stroke -newpath -80653.6 62526.3 moveto -80653.6 62261.2 lineto -stroke -newpath -80521 62393.8 moveto -80786.2 62393.8 lineto -stroke -newpath -80653.6 61830.4 moveto -80653.6 61565.2 lineto -stroke -newpath -80521 61697.8 moveto -80786.2 61697.8 lineto -stroke -newpath -43140.1 44740.7 moveto -43140.1 44937.6 lineto -42943.3 44937.6 lineto -42943.3 44740.7 lineto -43140.1 44740.7 lineto -poly0 -newpath -43935.6 44033.6 moveto -43935.6 44230.5 lineto -43738.8 44230.5 lineto -43738.8 44033.6 lineto -43935.6 44033.6 lineto -poly0 -newpath -45084.6 44033.6 moveto -45084.6 44230.5 lineto -44887.8 44230.5 lineto -44887.8 44033.6 lineto -45084.6 44033.6 lineto -poly0 -newpath -45880.1 44917.5 moveto -45880.1 45114.3 lineto -45683.3 45114.3 lineto -45683.3 44917.5 lineto -45880.1 44917.5 lineto -poly0 -newpath -51765.7 51995.3 moveto -51765.7 52192.1 lineto -51568.9 52192.1 lineto -51568.9 51995.3 lineto -51765.7 51995.3 lineto -poly0 -newpath -58168.5 51995.3 moveto -58168.5 52192.1 lineto -57971.6 52192.1 lineto -57971.6 51995.3 lineto -58168.5 51995.3 lineto -poly0 -newpath -64258 62782.5 moveto -64258 62979.3 lineto -64061.2 62979.3 lineto -64061.2 62782.5 lineto -64258 62782.5 lineto -poly0 -newpath -64571.2 51995.3 moveto -64571.2 52192.1 lineto -64374.4 52192.1 lineto -64374.4 51995.3 lineto -64571.2 51995.3 lineto -poly0 -newpath -64954 63443.6 moveto -64954 63640.5 lineto -64757.1 63640.5 lineto -64757.1 63443.6 lineto -64954 63443.6 lineto -poly0 -newpath -64954 62121.3 moveto -64954 62318.2 lineto -64757.1 62318.2 lineto -64757.1 62121.3 lineto -64954 62121.3 lineto -poly0 -newpath -68224.9 62260.5 moveto -68224.9 62457.4 lineto -68028.1 62457.4 lineto -68028.1 62260.5 lineto -68224.9 62260.5 lineto -poly0 -newpath -69199.3 60694.6 moveto -69199.3 60891.5 lineto -69002.4 60891.5 lineto -69002.4 60694.6 lineto -69199.3 60694.6 lineto -poly0 -newpath -69199.3 59720.3 moveto -69199.3 59917.2 lineto -69002.4 59917.2 lineto -69002.4 59720.3 lineto -69199.3 59720.3 lineto -poly0 -newpath -69199.3 57319.3 moveto -69199.3 57516.1 lineto -69002.4 57516.1 lineto -69002.4 57319.3 lineto -69199.3 57319.3 lineto -poly0 -newpath -71600.3 44917.5 moveto -71600.3 45114.3 lineto -71403.4 45114.3 lineto -71403.4 44917.5 lineto -71600.3 44917.5 lineto -poly0 -newpath -72395.8 44033.6 moveto -72395.8 44230.5 lineto -72198.9 44230.5 lineto -72198.9 44033.6 lineto -72395.8 44033.6 lineto -poly0 -newpath -73544.8 44033.6 moveto -73544.8 44230.5 lineto -73347.9 44230.5 lineto -73347.9 44033.6 lineto -73544.8 44033.6 lineto -poly0 -newpath -74958.9 44033.6 moveto -74958.9 44230.5 lineto -74762.1 44230.5 lineto -74762.1 44033.6 lineto -74958.9 44033.6 lineto -poly0 -newpath -76108 44033.6 moveto -76108 44230.5 lineto -75911.1 44230.5 lineto -75911.1 44033.6 lineto -76108 44033.6 lineto -poly0 -newpath -76859.2 44917.5 moveto -76859.2 45114.3 lineto -76662.4 45114.3 lineto -76662.4 44917.5 lineto -76859.2 44917.5 lineto -poly0 -newpath -80056.1 68002.1 moveto -80056.1 68199 lineto -79859.2 68199 lineto -79859.2 68002.1 lineto -80056.1 68002.1 lineto -poly0 -newpath -80056.1 63130.5 moveto -80056.1 63327.3 lineto -79859.2 63327.3 lineto -79859.2 63130.5 lineto -80056.1 63130.5 lineto -poly0 -newpath -80056.1 54639.9 moveto -80056.1 54836.7 lineto -79859.2 54836.7 lineto -79859.2 54639.9 lineto -80056.1 54639.9 lineto -poly0 -newpath -80752 69533.2 moveto -80752 69730 lineto -80555.2 69730 lineto -80555.2 69533.2 lineto -80752 69533.2 lineto -poly0 -newpath -80752 68837.3 moveto -80752 69034.1 lineto -80555.2 69034.1 lineto -80555.2 68837.3 lineto -80752 68837.3 lineto -poly0 -newpath -80752 59511.5 moveto -80752 59708.4 lineto -80555.2 59708.4 lineto -80555.2 59511.5 lineto -80752 59511.5 lineto -poly0 -newpath -80752 58815.6 moveto -80752 59012.4 lineto -80555.2 59012.4 lineto -80555.2 58815.6 lineto -80752 58815.6 lineto -poly0 -newpath -81935.1 48341.6 moveto -81935.1 48538.4 lineto -81738.3 48538.4 lineto -81738.3 48341.6 lineto -81935.1 48341.6 lineto -poly0 -newpath -81935.1 47297.6 moveto -81935.1 47494.5 lineto -81738.3 47494.5 lineto -81738.3 47297.6 lineto -81935.1 47297.6 lineto -poly0 -newpath -82770.3 49072.3 moveto -82770.3 49269.1 lineto -82573.4 49269.1 lineto -82573.4 49072.3 lineto -82770.3 49072.3 lineto -poly0 -newpath -82770.3 46427.7 moveto -82770.3 46624.5 lineto -82573.4 46624.5 lineto -82573.4 46427.7 lineto -82770.3 46427.7 lineto -poly0 -newpath -83605.4 48202.4 moveto -83605.4 48399.2 lineto -83408.6 48399.2 lineto -83408.6 48202.4 lineto -83605.4 48202.4 lineto -poly0 -newpath -83605.4 47297.6 moveto -83605.4 47494.5 lineto -83408.6 47494.5 lineto -83408.6 47297.6 lineto -83605.4 47297.6 lineto -poly0 -newpath -84440.6 49072.3 moveto -84440.6 49269.1 lineto -84243.7 49269.1 lineto -84243.7 49072.3 lineto -84440.6 49072.3 lineto -poly0 -newpath -84440.6 46427.7 moveto -84440.6 46624.5 lineto -84243.7 46624.5 lineto -84243.7 46427.7 lineto -84440.6 46427.7 lineto -poly0 -newpath -85310.5 48237.2 moveto -85310.5 48434 lineto -85113.6 48434 lineto -85113.6 48237.2 lineto -85310.5 48237.2 lineto -poly0 -newpath -85310.5 47262.8 moveto -85310.5 47459.7 lineto -85113.6 47459.7 lineto -85113.6 47262.8 lineto -85310.5 47262.8 lineto -poly0 -newpath -85565.2 44917.5 moveto -85565.2 45114.3 lineto -85368.4 45114.3 lineto -85368.4 44917.5 lineto -85565.2 44917.5 lineto -poly0 -newpath -86145.6 49072.3 moveto -86145.6 49269.1 lineto -85948.8 49269.1 lineto -85948.8 49072.3 lineto -86145.6 49072.3 lineto -poly0 -newpath -86145.6 46462.5 moveto -86145.6 46659.3 lineto -85948.8 46659.3 lineto -85948.8 46462.5 lineto -86145.6 46462.5 lineto -poly0 -newpath -86360.7 45801.3 moveto -86360.7 45998.2 lineto -86163.8 45998.2 lineto -86163.8 45801.3 lineto -86360.7 45801.3 lineto -poly0 -newpath -86360.7 44033.6 moveto -86360.7 44230.5 lineto -86163.8 44230.5 lineto -86163.8 44033.6 lineto -86360.7 44033.6 lineto -poly0 -newpath -87509.7 45801.3 moveto -87509.7 45998.2 lineto -87312.8 45998.2 lineto -87312.8 45801.3 lineto -87509.7 45801.3 lineto -poly0 -newpath -88305.2 44917.5 moveto -88305.2 45114.3 lineto -88108.3 45114.3 lineto -88108.3 44917.5 lineto -88305.2 44917.5 lineto -poly0 -newpath -88720.6 48272 moveto -88720.6 48468.8 lineto -88523.8 48468.8 lineto -88523.8 48272 lineto -88720.6 48272 lineto -poly0 -newpath -89555.8 49072.3 moveto -89555.8 49269.1 lineto -89358.9 49269.1 lineto -89358.9 49072.3 lineto -89555.8 49072.3 lineto -poly0 -newpath -90390.9 48202.4 moveto -90390.9 48399.2 lineto -90194.1 48399.2 lineto -90194.1 48202.4 lineto -90390.9 48202.4 lineto -poly0 -newpath -87411.3 43690.1 moveto -87676.4 43955.3 lineto -87411.3 44220.4 lineto -87146.1 43955.3 lineto -87411.3 43690.1 lineto -poly0 -newpath -34468.3 66405.2 moveto -35175.4 65698.1 lineto -stroke -newpath -35175.4 66405.2 moveto -34468.3 65698.1 lineto -stroke -34821.9 66051.7 353.542 cir0 -newpath -34468.3 64637.5 moveto -35175.4 63930.4 lineto -stroke -newpath -35175.4 64637.5 moveto -34468.3 63930.4 lineto -stroke -34821.9 64284 353.542 cir0 -newpath -34468.3 62869.8 moveto -35175.4 62162.7 lineto -stroke -newpath -35175.4 62869.8 moveto -34468.3 62162.7 lineto -stroke -34821.9 62516.2 353.542 cir0 -newpath -34468.3 61102.1 moveto -35175.4 60395 lineto -stroke -newpath -35175.4 61102.1 moveto -34468.3 60395 lineto -stroke -34821.9 60748.5 353.542 cir0 -newpath -34468.3 59334.4 moveto -35175.4 58627.3 lineto -stroke -newpath -35175.4 59334.4 moveto -34468.3 58627.3 lineto -stroke -34821.9 58980.8 353.542 cir0 -newpath -36236 66405.2 moveto -36943.1 65698.1 lineto -stroke -newpath -36943.1 66405.2 moveto -36236 65698.1 lineto -stroke -36589.6 66051.7 353.542 cir0 -newpath -36236 64637.5 moveto -36943.1 63930.4 lineto -stroke -newpath -36943.1 64637.5 moveto -36236 63930.4 lineto -stroke -36589.6 64284 353.542 cir0 -newpath -36236 62869.8 moveto -36943.1 62162.7 lineto -stroke -newpath -36943.1 62869.8 moveto -36236 62162.7 lineto -stroke -36589.6 62516.2 353.542 cir0 -newpath -36236 61102.1 moveto -36943.1 60395 lineto -stroke -newpath -36943.1 61102.1 moveto -36236 60395 lineto -stroke -36589.6 60748.5 353.542 cir0 -newpath -36236 59334.4 moveto -36943.1 58627.3 lineto -stroke -newpath -36943.1 59334.4 moveto -36236 58627.3 lineto -stroke -36589.6 58980.8 353.542 cir0 -newpath -89326.5 44514.8 moveto -90092.1 43749.3 lineto -stroke -newpath -90092.1 44514.8 moveto -89326.5 43749.3 lineto -stroke -newpath -89709.3 44514.8 moveto -89709.3 43749.3 lineto -stroke -newpath -89326.5 44132 moveto -90092.1 44132 lineto -stroke -newpath -33416.5 51636 moveto -34105.9 50946.6 lineto -stroke -newpath -34105.9 51636 moveto -33416.5 50946.6 lineto -stroke -newpath -34005 51047.5 moveto -34005 51535 lineto -33517.5 51535 lineto -33517.5 51047.5 lineto -34005 51047.5 lineto -poly0 -newpath -38719.7 52343.1 moveto -39409.1 51653.7 lineto -stroke -newpath -39409.1 52343.1 moveto -38719.7 51653.7 lineto -stroke -newpath -39308.1 51754.6 moveto -39308.1 52242.1 lineto -38820.6 52242.1 lineto -38820.6 51754.6 lineto -39308.1 51754.6 lineto -poly0 -newpath -38719.7 50928.9 moveto -39409.1 50239.5 lineto -stroke -newpath -39409.1 50928.9 moveto -38719.7 50239.5 lineto -stroke -newpath -39308.1 50340.4 moveto -39308.1 50827.9 lineto -38820.6 50827.9 lineto -38820.6 50340.4 lineto -39308.1 50340.4 lineto -poly0 -newpath -33416.5 51636 moveto -34105.9 50946.6 lineto -stroke -newpath -34105.9 51636 moveto -33416.5 50946.6 lineto -stroke -newpath -34005 51047.5 moveto -34005 51535 lineto -33517.5 51535 lineto -33517.5 51047.5 lineto -34005 51047.5 lineto -poly0 -newpath -38719.7 52343.1 moveto -39409.1 51653.7 lineto -stroke -newpath -39409.1 52343.1 moveto -38719.7 51653.7 lineto -stroke -newpath -39308.1 51754.6 moveto -39308.1 52242.1 lineto -38820.6 52242.1 lineto -38820.6 51754.6 lineto -39308.1 51754.6 lineto -poly0 -newpath -38719.7 50928.9 moveto -39409.1 50239.5 lineto -stroke -newpath -39409.1 50928.9 moveto -38719.7 50239.5 lineto -stroke -newpath -39308.1 50340.4 moveto -39308.1 50827.9 lineto -38820.6 50827.9 lineto -38820.6 50340.4 lineto -39308.1 50340.4 lineto -poly0 -newpath -25052.1 46300.6 moveto -25853.9 45498.9 lineto -stroke -newpath -25853.9 46300.6 moveto -25052.1 45498.9 lineto -stroke -newpath -25453 45498.9 moveto -25853.9 45899.8 lineto -25453 46300.6 lineto -25052.1 45899.8 lineto -25453 45498.9 lineto -poly0 -newpath -31239.1 75733 moveto -32040.9 74931.3 lineto -stroke -newpath -32040.9 75733 moveto -31239.1 74931.3 lineto -stroke -newpath -31640 74931.3 moveto -32040.9 75332.1 lineto -31640 75733 lineto -31239.1 75332.1 lineto -31640 74931.3 lineto -poly0 -newpath -89308.4 77324 moveto -90110.2 76522.2 lineto -stroke -newpath -90110.2 77324 moveto -89308.4 76522.2 lineto -stroke -newpath -89709.3 76522.2 moveto -90110.2 76923.1 lineto -89709.3 77324 lineto -89308.4 76923.1 lineto -89709.3 76522.2 lineto -poly0 -newpath -91076.1 46300.6 moveto -91877.9 45498.9 lineto -stroke -newpath -91877.9 46300.6 moveto -91076.1 45498.9 lineto -stroke -newpath -91477 45498.9 moveto -91877.9 45899.8 lineto -91477 46300.6 lineto -91076.1 45899.8 lineto -91477 45498.9 lineto -poly0 -newpath -25052.1 67778.3 moveto -25853.9 66976.6 lineto -stroke -newpath -25853.9 67778.3 moveto -25052.1 66976.6 lineto -stroke -newpath -25453 66976.6 moveto -25853.9 67377.4 lineto -25453 67778.3 lineto -25052.1 67377.4 lineto -25453 66976.6 lineto -poly0 -newpath -33761.2 53885.4 moveto -33761.2 52232.6 lineto -stroke -newpath -32934.8 53059 moveto -34587.6 53059 lineto -stroke -33761.2 53059 826.405 cir0 -newpath -33761.2 50350 moveto -33761.2 48697.2 lineto -stroke -newpath -32934.8 49523.6 moveto -34587.6 49523.6 lineto -stroke -33761.2 49523.6 826.405 cir0 -newpath -37738.6 53885.4 moveto -37738.6 52232.6 lineto -stroke -newpath -36912.2 53059 moveto -38565 53059 lineto -stroke -37738.6 53059 826.405 cir0 -newpath -37738.6 50350 moveto -37738.6 48697.2 lineto -stroke -newpath -36912.2 49523.6 moveto -38565 49523.6 lineto -stroke -37738.6 49523.6 826.405 cir0 -newpath -33761.2 53885.4 moveto -33761.2 52232.6 lineto -stroke -newpath -32934.8 53059 moveto -34587.6 53059 lineto -stroke -33761.2 53059 826.405 cir0 -newpath -33761.2 50350 moveto -33761.2 48697.2 lineto -stroke -newpath -32934.8 49523.6 moveto -34587.6 49523.6 lineto -stroke -33761.2 49523.6 826.405 cir0 -newpath -37738.6 53885.4 moveto -37738.6 52232.6 lineto -stroke -newpath -36912.2 53059 moveto -38565 53059 lineto -stroke -37738.6 53059 826.405 cir0 -newpath -37738.6 50350 moveto -37738.6 48697.2 lineto -stroke -newpath -36912.2 49523.6 moveto -38565 49523.6 lineto -stroke -37738.6 49523.6 826.405 cir0 -118.11 setlinewidth -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24760.8 35175.5 moveto -24760.8 36356.6 lineto -25042 36356.6 lineto -25210.7 36300.3 lineto -25323.2 36187.9 lineto -25379.4 36075.4 lineto -25435.7 35850.4 lineto -25435.7 35681.7 lineto -25379.4 35456.7 lineto -25323.2 35344.2 lineto -25210.7 35231.7 lineto -25042 35175.5 lineto -24760.8 35175.5 lineto -stroke -newpath -25941.9 35175.5 moveto -25941.9 35962.9 lineto -stroke -newpath -25941.9 35737.9 moveto -25998.1 35850.4 lineto -26054.4 35906.6 lineto -26166.8 35962.9 lineto -26279.3 35962.9 lineto -stroke -newpath -26673 35175.5 moveto -26673 35962.9 lineto -stroke -newpath -26673 36356.6 moveto -26616.8 36300.3 lineto -26673 36244.1 lineto -26729.3 36300.3 lineto -26673 36356.6 lineto -26673 36244.1 lineto -stroke -newpath -27404.2 35175.5 moveto -27291.7 35231.7 lineto -27235.5 35344.2 lineto -27235.5 36356.6 lineto -stroke -newpath -28022.9 35175.5 moveto -27910.4 35231.7 lineto -27854.1 35344.2 lineto -27854.1 36356.6 lineto -stroke -newpath -29372.7 35175.5 moveto -29372.7 36356.6 lineto -29766.4 35512.9 lineto -30160.1 36356.6 lineto -30160.1 35175.5 lineto -stroke -newpath -31228.7 35175.5 moveto -31228.7 35794.2 lineto -31172.5 35906.6 lineto -31060 35962.9 lineto -30835 35962.9 lineto -30722.5 35906.6 lineto -stroke -newpath -31228.7 35231.7 moveto -31116.2 35175.5 lineto -30835 35175.5 lineto -30722.5 35231.7 lineto -30666.3 35344.2 lineto -30666.3 35456.7 lineto -30722.5 35569.2 lineto -30835 35625.4 lineto -31116.2 35625.4 lineto -31228.7 35681.7 lineto -stroke -newpath -31791.1 35962.9 moveto -31791.1 34781.8 lineto -stroke -newpath -31791.1 35906.6 moveto -31903.6 35962.9 lineto -32128.6 35962.9 lineto -32241.1 35906.6 lineto -32297.3 35850.4 lineto -32353.6 35737.9 lineto -32353.6 35400.5 lineto -32297.3 35288 lineto -32241.1 35231.7 lineto -32128.6 35175.5 lineto -31903.6 35175.5 lineto -31791.1 35231.7 lineto -stroke -newpath -32859.8 35288 moveto -32916 35231.7 lineto -32859.8 35175.5 lineto -32803.5 35231.7 lineto -32859.8 35288 lineto -32859.8 35175.5 lineto -stroke -newpath -32859.8 35906.6 moveto -32916 35850.4 lineto -32859.8 35794.2 lineto -32803.5 35850.4 lineto -32859.8 35906.6 lineto -32859.8 35794.2 lineto -stroke -newpath -23493.9 33299.1 moveto -23633.1 33159.9 lineto -stroke -newpath -23633.1 33299.1 moveto -23493.9 33159.9 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24985.7 33876.3 moveto -25098.2 33876.3 lineto -25210.7 33820 lineto -25267 33763.8 lineto -25323.2 33651.3 lineto -25379.4 33426.3 lineto -25379.4 33145.1 lineto -25323.2 32920.1 lineto -25267 32807.7 lineto -25210.7 32751.4 lineto -25098.2 32695.2 lineto -24985.7 32695.2 lineto -24873.2 32751.4 lineto -24817 32807.7 lineto -24760.8 32920.1 lineto -24704.5 33145.1 lineto -24704.5 33426.3 lineto -24760.8 33651.3 lineto -24817 33763.8 lineto -24873.2 33820 lineto -24985.7 33876.3 lineto -stroke -newpath -25885.6 32807.7 moveto -25941.9 32751.4 lineto -25885.6 32695.2 lineto -25829.4 32751.4 lineto -25885.6 32807.7 lineto -25885.6 32695.2 lineto -stroke -newpath -26391.8 33763.8 moveto -26448.1 33820 lineto -26560.5 33876.3 lineto -26841.8 33876.3 lineto -26954.2 33820 lineto -27010.5 33763.8 lineto -27066.7 33651.3 lineto -27066.7 33538.8 lineto -27010.5 33370.1 lineto -26335.6 32695.2 lineto -27066.7 32695.2 lineto -stroke -newpath -27797.9 33876.3 moveto -27910.4 33876.3 lineto -28022.9 33820 lineto -28079.1 33763.8 lineto -28135.3 33651.3 lineto -28191.6 33426.3 lineto -28191.6 33145.1 lineto -28135.3 32920.1 lineto -28079.1 32807.7 lineto -28022.9 32751.4 lineto -27910.4 32695.2 lineto -27797.9 32695.2 lineto -27685.4 32751.4 lineto -27629.2 32807.7 lineto -27572.9 32920.1 lineto -27516.7 33145.1 lineto -27516.7 33426.3 lineto -27572.9 33651.3 lineto -27629.2 33763.8 lineto -27685.4 33820 lineto -27797.9 33876.3 lineto -stroke -newpath -28697.8 32695.2 moveto -28697.8 33482.6 lineto -stroke -newpath -28697.8 33370.1 moveto -28754 33426.3 lineto -28866.5 33482.6 lineto -29035.2 33482.6 lineto -29147.7 33426.3 lineto -29204 33313.8 lineto -29204 32695.2 lineto -stroke -newpath -29204 33313.8 moveto -29260.2 33426.3 lineto -29372.7 33482.6 lineto -29541.4 33482.6 lineto -29653.9 33426.3 lineto -29710.1 33313.8 lineto -29710.1 32695.2 lineto -stroke -newpath -30272.6 32695.2 moveto -30272.6 33482.6 lineto -stroke -newpath -30272.6 33370.1 moveto -30328.8 33426.3 lineto -30441.3 33482.6 lineto -30610 33482.6 lineto -30722.5 33426.3 lineto -30778.8 33313.8 lineto -30778.8 32695.2 lineto -stroke -newpath -30778.8 33313.8 moveto -30835 33426.3 lineto -30947.5 33482.6 lineto -31116.2 33482.6 lineto -31228.7 33426.3 lineto -31284.9 33313.8 lineto -31284.9 32695.2 lineto -stroke -newpath -33590.9 33932.5 moveto -32578.5 32414 lineto -stroke -newpath -35109.5 33876.3 moveto -35222 33876.3 lineto -35334.4 33820 lineto -35390.7 33763.8 lineto -35446.9 33651.3 lineto -35503.2 33426.3 lineto -35503.2 33145.1 lineto -35446.9 32920.1 lineto -35390.7 32807.7 lineto -35334.4 32751.4 lineto -35222 32695.2 lineto -35109.5 32695.2 lineto -34997 32751.4 lineto -34940.7 32807.7 lineto -34884.5 32920.1 lineto -34828.3 33145.1 lineto -34828.3 33426.3 lineto -34884.5 33651.3 lineto -34940.7 33763.8 lineto -34997 33820 lineto -35109.5 33876.3 lineto -stroke -newpath -36009.4 32807.7 moveto -36065.6 32751.4 lineto -36009.4 32695.2 lineto -35953.1 32751.4 lineto -36009.4 32807.7 lineto -36009.4 32695.2 lineto -stroke -newpath -36796.8 33876.3 moveto -36909.2 33876.3 lineto -37021.7 33820 lineto -37078 33763.8 lineto -37134.2 33651.3 lineto -37190.5 33426.3 lineto -37190.5 33145.1 lineto -37134.2 32920.1 lineto -37078 32807.7 lineto -37021.7 32751.4 lineto -36909.2 32695.2 lineto -36796.8 32695.2 lineto -36684.3 32751.4 lineto -36628 32807.7 lineto -36571.8 32920.1 lineto -36515.5 33145.1 lineto -36515.5 33426.3 lineto -36571.8 33651.3 lineto -36628 33763.8 lineto -36684.3 33820 lineto -36796.8 33876.3 lineto -stroke -newpath -37921.6 33876.3 moveto -38034.1 33876.3 lineto -38146.6 33820 lineto -38202.8 33763.8 lineto -38259.1 33651.3 lineto -38315.3 33426.3 lineto -38315.3 33145.1 lineto -38259.1 32920.1 lineto -38202.8 32807.7 lineto -38146.6 32751.4 lineto -38034.1 32695.2 lineto -37921.6 32695.2 lineto -37809.1 32751.4 lineto -37752.9 32807.7 lineto -37696.6 32920.1 lineto -37640.4 33145.1 lineto -37640.4 33426.3 lineto -37696.6 33651.3 lineto -37752.9 33763.8 lineto -37809.1 33820 lineto -37921.6 33876.3 lineto -stroke -newpath -38990.2 33370.1 moveto -38877.7 33426.3 lineto -38821.5 33482.6 lineto -38765.3 33595.1 lineto -38765.3 33651.3 lineto -38821.5 33763.8 lineto -38877.7 33820 lineto -38990.2 33876.3 lineto -39215.2 33876.3 lineto -39327.7 33820 lineto -39383.9 33763.8 lineto -39440.2 33651.3 lineto -39440.2 33595.1 lineto -39383.9 33482.6 lineto -39327.7 33426.3 lineto -39215.2 33370.1 lineto -38990.2 33370.1 lineto -38877.7 33313.8 lineto -38821.5 33257.6 lineto -38765.3 33145.1 lineto -38765.3 32920.1 lineto -38821.5 32807.7 lineto -38877.7 32751.4 lineto -38990.2 32695.2 lineto -39215.2 32695.2 lineto -39327.7 32751.4 lineto -39383.9 32807.7 lineto -39440.2 32920.1 lineto -39440.2 33145.1 lineto -39383.9 33257.6 lineto -39327.7 33313.8 lineto -39215.2 33370.1 lineto -stroke -newpath -39890.1 33876.3 moveto -39890.1 33651.3 lineto -stroke -newpath -40340.1 33876.3 moveto -40340.1 33651.3 lineto -stroke -newpath -42083.6 32245.2 moveto -42027.4 32301.5 lineto -41914.9 32470.2 lineto -41858.6 32582.7 lineto -41802.4 32751.4 lineto -41746.1 33032.6 lineto -41746.1 33257.6 lineto -41802.4 33538.8 lineto -41858.6 33707.5 lineto -41914.9 33820 lineto -42027.4 33988.8 lineto -42083.6 34045 lineto -stroke -newpath -43096 33876.3 moveto -42533.5 33876.3 lineto -42477.3 33313.8 lineto -42533.5 33370.1 lineto -42646 33426.3 lineto -42927.2 33426.3 lineto -43039.7 33370.1 lineto -43096 33313.8 lineto -43152.2 33201.4 lineto -43152.2 32920.1 lineto -43096 32807.7 lineto -43039.7 32751.4 lineto -42927.2 32695.2 lineto -42646 32695.2 lineto -42533.5 32751.4 lineto -42477.3 32807.7 lineto -stroke -newpath -43714.6 32695.2 moveto -43939.6 32695.2 lineto -44052.1 32751.4 lineto -44108.3 32807.7 lineto -44220.8 32976.4 lineto -44277.1 33201.4 lineto -44277.1 33651.3 lineto -44220.8 33763.8 lineto -44164.6 33820 lineto -44052.1 33876.3 lineto -43827.1 33876.3 lineto -43714.6 33820 lineto -43658.4 33763.8 lineto -43602.2 33651.3 lineto -43602.2 33370.1 lineto -43658.4 33257.6 lineto -43714.6 33201.4 lineto -43827.1 33145.1 lineto -44052.1 33145.1 lineto -44164.6 33201.4 lineto -44220.8 33257.6 lineto -44277.1 33370.1 lineto -stroke -newpath -44727 33763.8 moveto -44783.3 33820 lineto -44895.7 33876.3 lineto -45177 33876.3 lineto -45289.4 33820 lineto -45345.7 33763.8 lineto -45401.9 33651.3 lineto -45401.9 33538.8 lineto -45345.7 33370.1 lineto -44670.8 32695.2 lineto -45401.9 32695.2 lineto -stroke -newpath -46808 32695.2 moveto -46808 33876.3 lineto -stroke -newpath -47314.2 32695.2 moveto -47314.2 33313.8 lineto -47257.9 33426.3 lineto -47145.5 33482.6 lineto -46976.7 33482.6 lineto -46864.2 33426.3 lineto -46808 33370.1 lineto -stroke -newpath -48045.4 32695.2 moveto -47932.9 32751.4 lineto -47876.6 32807.7 lineto -47820.4 32920.1 lineto -47820.4 33257.6 lineto -47876.6 33370.1 lineto -47932.9 33426.3 lineto -48045.4 33482.6 lineto -48214.1 33482.6 lineto -48326.6 33426.3 lineto -48382.8 33370.1 lineto -48439.1 33257.6 lineto -48439.1 32920.1 lineto -48382.8 32807.7 lineto -48326.6 32751.4 lineto -48214.1 32695.2 lineto -48045.4 32695.2 lineto -stroke -newpath -49114 32695.2 moveto -49001.5 32751.4 lineto -48945.2 32863.9 lineto -48945.2 33876.3 lineto -stroke -newpath -50013.9 32751.4 moveto -49901.4 32695.2 lineto -49676.4 32695.2 lineto -49563.9 32751.4 lineto -49507.7 32863.9 lineto -49507.7 33313.8 lineto -49563.9 33426.3 lineto -49676.4 33482.6 lineto -49901.4 33482.6 lineto -50013.9 33426.3 lineto -50070.1 33313.8 lineto -50070.1 33201.4 lineto -49507.7 33088.9 lineto -stroke -newpath -50520 32751.4 moveto -50632.5 32695.2 lineto -50857.5 32695.2 lineto -50970 32751.4 lineto -51026.2 32863.9 lineto -51026.2 32920.1 lineto -50970 33032.6 lineto -50857.5 33088.9 lineto -50688.8 33088.9 lineto -50576.3 33145.1 lineto -50520 33257.6 lineto -50520 33313.8 lineto -50576.3 33426.3 lineto -50688.8 33482.6 lineto -50857.5 33482.6 lineto -50970 33426.3 lineto -stroke -newpath -51419.9 32245.2 moveto -51476.2 32301.5 lineto -51588.7 32470.2 lineto -51644.9 32582.7 lineto -51701.1 32751.4 lineto -51757.4 33032.6 lineto -51757.4 33257.6 lineto -51701.1 33538.8 lineto -51644.9 33707.5 lineto -51588.7 33820 lineto -51476.2 33988.8 lineto -51419.9 34045 lineto -stroke -23528.7 31670.4 104.392 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24985.7 32317.2 moveto -25098.2 32317.2 lineto -25210.7 32261 lineto -25267 32204.7 lineto -25323.2 32092.2 lineto -25379.4 31867.3 lineto -25379.4 31586.1 lineto -25323.2 31361.1 lineto -25267 31248.6 lineto -25210.7 31192.4 lineto -25098.2 31136.1 lineto -24985.7 31136.1 lineto -24873.2 31192.4 lineto -24817 31248.6 lineto -24760.8 31361.1 lineto -24704.5 31586.1 lineto -24704.5 31867.3 lineto -24760.8 32092.2 lineto -24817 32204.7 lineto -24873.2 32261 lineto -24985.7 32317.2 lineto -stroke -newpath -25885.6 31248.6 moveto -25941.9 31192.4 lineto -25885.6 31136.1 lineto -25829.4 31192.4 lineto -25885.6 31248.6 lineto -25885.6 31136.1 lineto -stroke -newpath -26335.6 32317.2 moveto -27066.7 32317.2 lineto -26673 31867.3 lineto -26841.8 31867.3 lineto -26954.2 31811 lineto -27010.5 31754.8 lineto -27066.7 31642.3 lineto -27066.7 31361.1 lineto -27010.5 31248.6 lineto -26954.2 31192.4 lineto -26841.8 31136.1 lineto -26504.3 31136.1 lineto -26391.8 31192.4 lineto -26335.6 31248.6 lineto -stroke -newpath -27797.9 32317.2 moveto -27910.4 32317.2 lineto -28022.9 32261 lineto -28079.1 32204.7 lineto -28135.3 32092.2 lineto -28191.6 31867.3 lineto -28191.6 31586.1 lineto -28135.3 31361.1 lineto -28079.1 31248.6 lineto -28022.9 31192.4 lineto -27910.4 31136.1 lineto -27797.9 31136.1 lineto -27685.4 31192.4 lineto -27629.2 31248.6 lineto -27572.9 31361.1 lineto -27516.7 31586.1 lineto -27516.7 31867.3 lineto -27572.9 32092.2 lineto -27629.2 32204.7 lineto -27685.4 32261 lineto -27797.9 32317.2 lineto -stroke -newpath -28697.8 31136.1 moveto -28697.8 31923.5 lineto -stroke -newpath -28697.8 31811 moveto -28754 31867.3 lineto -28866.5 31923.5 lineto -29035.2 31923.5 lineto -29147.7 31867.3 lineto -29204 31754.8 lineto -29204 31136.1 lineto -stroke -newpath -29204 31754.8 moveto -29260.2 31867.3 lineto -29372.7 31923.5 lineto -29541.4 31923.5 lineto -29653.9 31867.3 lineto -29710.1 31754.8 lineto -29710.1 31136.1 lineto -stroke -newpath -30272.6 31136.1 moveto -30272.6 31923.5 lineto -stroke -newpath -30272.6 31811 moveto -30328.8 31867.3 lineto -30441.3 31923.5 lineto -30610 31923.5 lineto -30722.5 31867.3 lineto -30778.8 31754.8 lineto -30778.8 31136.1 lineto -stroke -newpath -30778.8 31754.8 moveto -30835 31867.3 lineto -30947.5 31923.5 lineto -31116.2 31923.5 lineto -31228.7 31867.3 lineto -31284.9 31754.8 lineto -31284.9 31136.1 lineto -stroke -newpath -33590.9 32373.5 moveto -32578.5 30854.9 lineto -stroke -newpath -35109.5 32317.2 moveto -35222 32317.2 lineto -35334.4 32261 lineto -35390.7 32204.7 lineto -35446.9 32092.2 lineto -35503.2 31867.3 lineto -35503.2 31586.1 lineto -35446.9 31361.1 lineto -35390.7 31248.6 lineto -35334.4 31192.4 lineto -35222 31136.1 lineto -35109.5 31136.1 lineto -34997 31192.4 lineto -34940.7 31248.6 lineto -34884.5 31361.1 lineto -34828.3 31586.1 lineto -34828.3 31867.3 lineto -34884.5 32092.2 lineto -34940.7 32204.7 lineto -34997 32261 lineto -35109.5 32317.2 lineto -stroke -newpath -36009.4 31248.6 moveto -36065.6 31192.4 lineto -36009.4 31136.1 lineto -35953.1 31192.4 lineto -36009.4 31248.6 lineto -36009.4 31136.1 lineto -stroke -newpath -36796.8 32317.2 moveto -36909.2 32317.2 lineto -37021.7 32261 lineto -37078 32204.7 lineto -37134.2 32092.2 lineto -37190.5 31867.3 lineto -37190.5 31586.1 lineto -37134.2 31361.1 lineto -37078 31248.6 lineto -37021.7 31192.4 lineto -36909.2 31136.1 lineto -36796.8 31136.1 lineto -36684.3 31192.4 lineto -36628 31248.6 lineto -36571.8 31361.1 lineto -36515.5 31586.1 lineto -36515.5 31867.3 lineto -36571.8 32092.2 lineto -36628 32204.7 lineto -36684.3 32261 lineto -36796.8 32317.2 lineto -stroke -newpath -38315.3 31136.1 moveto -37640.4 31136.1 lineto -stroke -newpath -37977.9 31136.1 moveto -37977.9 32317.2 lineto -37865.4 32148.5 lineto -37752.9 32036 lineto -37640.4 31979.8 lineto -stroke -newpath -38765.3 32204.7 moveto -38821.5 32261 lineto -38934 32317.2 lineto -39215.2 32317.2 lineto -39327.7 32261 lineto -39383.9 32204.7 lineto -39440.2 32092.2 lineto -39440.2 31979.8 lineto -39383.9 31811 lineto -38709 31136.1 lineto -39440.2 31136.1 lineto -stroke -newpath -39890.1 32317.2 moveto -39890.1 32092.2 lineto -stroke -newpath -40340.1 32317.2 moveto -40340.1 32092.2 lineto -stroke -newpath -42083.6 30686.2 moveto -42027.4 30742.4 lineto -41914.9 30911.1 lineto -41858.6 31023.6 lineto -41802.4 31192.4 lineto -41746.1 31473.6 lineto -41746.1 31698.5 lineto -41802.4 31979.8 lineto -41858.6 32148.5 lineto -41914.9 32261 lineto -42027.4 32429.7 lineto -42083.6 32485.9 lineto -stroke -newpath -43096 32317.2 moveto -42533.5 32317.2 lineto -42477.3 31754.8 lineto -42533.5 31811 lineto -42646 31867.3 lineto -42927.2 31867.3 lineto -43039.7 31811 lineto -43096 31754.8 lineto -43152.2 31642.3 lineto -43152.2 31361.1 lineto -43096 31248.6 lineto -43039.7 31192.4 lineto -42927.2 31136.1 lineto -42646 31136.1 lineto -42533.5 31192.4 lineto -42477.3 31248.6 lineto -stroke -newpath -44164.6 31923.5 moveto -44164.6 31136.1 lineto -stroke -newpath -43883.4 32373.5 moveto -43602.2 31529.8 lineto -44333.3 31529.8 lineto -stroke -newpath -45683.1 31136.1 moveto -45683.1 32317.2 lineto -stroke -newpath -46189.3 31136.1 moveto -46189.3 31754.8 lineto -46133.1 31867.3 lineto -46020.6 31923.5 lineto -45851.9 31923.5 lineto -45739.4 31867.3 lineto -45683.1 31811 lineto -stroke -newpath -46920.5 31136.1 moveto -46808 31192.4 lineto -46751.8 31248.6 lineto -46695.5 31361.1 lineto -46695.5 31698.5 lineto -46751.8 31811 lineto -46808 31867.3 lineto -46920.5 31923.5 lineto -47089.2 31923.5 lineto -47201.7 31867.3 lineto -47257.9 31811 lineto -47314.2 31698.5 lineto -47314.2 31361.1 lineto -47257.9 31248.6 lineto -47201.7 31192.4 lineto -47089.2 31136.1 lineto -46920.5 31136.1 lineto -stroke -newpath -47989.1 31136.1 moveto -47876.6 31192.4 lineto -47820.4 31304.8 lineto -47820.4 32317.2 lineto -stroke -newpath -48889 31192.4 moveto -48776.5 31136.1 lineto -48551.5 31136.1 lineto -48439.1 31192.4 lineto -48382.8 31304.8 lineto -48382.8 31754.8 lineto -48439.1 31867.3 lineto -48551.5 31923.5 lineto -48776.5 31923.5 lineto -48889 31867.3 lineto -48945.2 31754.8 lineto -48945.2 31642.3 lineto -48382.8 31529.8 lineto -stroke -newpath -49395.2 31192.4 moveto -49507.7 31136.1 lineto -49732.6 31136.1 lineto -49845.1 31192.4 lineto -49901.4 31304.8 lineto -49901.4 31361.1 lineto -49845.1 31473.6 lineto -49732.6 31529.8 lineto -49563.9 31529.8 lineto -49451.4 31586.1 lineto -49395.2 31698.5 lineto -49395.2 31754.8 lineto -49451.4 31867.3 lineto -49563.9 31923.5 lineto -49732.6 31923.5 lineto -49845.1 31867.3 lineto -stroke -newpath -50295.1 30686.2 moveto -50351.3 30742.4 lineto -50463.8 30911.1 lineto -50520 31023.6 lineto -50576.3 31192.4 lineto -50632.5 31473.6 lineto -50632.5 31698.5 lineto -50576.3 31979.8 lineto -50520 32148.5 lineto -50463.8 32261 lineto -50351.3 32429.7 lineto -50295.1 32485.9 lineto -stroke -newpath -23500.5 30243.9 moveto -23500.5 29978.8 lineto -stroke -newpath -23367.9 30111.4 moveto -23633.1 30111.4 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24985.7 30758.2 moveto -25098.2 30758.2 lineto -25210.7 30701.9 lineto -25267 30645.7 lineto -25323.2 30533.2 lineto -25379.4 30308.2 lineto -25379.4 30027 lineto -25323.2 29802 lineto -25267 29689.5 lineto -25210.7 29633.3 lineto -25098.2 29577.1 lineto -24985.7 29577.1 lineto -24873.2 29633.3 lineto -24817 29689.5 lineto -24760.8 29802 lineto -24704.5 30027 lineto -24704.5 30308.2 lineto -24760.8 30533.2 lineto -24817 30645.7 lineto -24873.2 30701.9 lineto -24985.7 30758.2 lineto -stroke -newpath -25885.6 29689.5 moveto -25941.9 29633.3 lineto -25885.6 29577.1 lineto -25829.4 29633.3 lineto -25885.6 29689.5 lineto -25885.6 29577.1 lineto -stroke -newpath -26335.6 30758.2 moveto -27066.7 30758.2 lineto -26673 30308.2 lineto -26841.8 30308.2 lineto -26954.2 30252 lineto -27010.5 30195.7 lineto -27066.7 30083.2 lineto -27066.7 29802 lineto -27010.5 29689.5 lineto -26954.2 29633.3 lineto -26841.8 29577.1 lineto -26504.3 29577.1 lineto -26391.8 29633.3 lineto -26335.6 29689.5 lineto -stroke -newpath -27741.6 30252 moveto -27629.2 30308.2 lineto -27572.9 30364.5 lineto -27516.7 30477 lineto -27516.7 30533.2 lineto -27572.9 30645.7 lineto -27629.2 30701.9 lineto -27741.6 30758.2 lineto -27966.6 30758.2 lineto -28079.1 30701.9 lineto -28135.3 30645.7 lineto -28191.6 30533.2 lineto -28191.6 30477 lineto -28135.3 30364.5 lineto -28079.1 30308.2 lineto -27966.6 30252 lineto -27741.6 30252 lineto -27629.2 30195.7 lineto -27572.9 30139.5 lineto -27516.7 30027 lineto -27516.7 29802 lineto -27572.9 29689.5 lineto -27629.2 29633.3 lineto -27741.6 29577.1 lineto -27966.6 29577.1 lineto -28079.1 29633.3 lineto -28135.3 29689.5 lineto -28191.6 29802 lineto -28191.6 30027 lineto -28135.3 30139.5 lineto -28079.1 30195.7 lineto -27966.6 30252 lineto -stroke -newpath -28697.8 29577.1 moveto -28697.8 30364.5 lineto -stroke -newpath -28697.8 30252 moveto -28754 30308.2 lineto -28866.5 30364.5 lineto -29035.2 30364.5 lineto -29147.7 30308.2 lineto -29204 30195.7 lineto -29204 29577.1 lineto -stroke -newpath -29204 30195.7 moveto -29260.2 30308.2 lineto -29372.7 30364.5 lineto -29541.4 30364.5 lineto -29653.9 30308.2 lineto -29710.1 30195.7 lineto -29710.1 29577.1 lineto -stroke -newpath -30272.6 29577.1 moveto -30272.6 30364.5 lineto -stroke -newpath -30272.6 30252 moveto -30328.8 30308.2 lineto -30441.3 30364.5 lineto -30610 30364.5 lineto -30722.5 30308.2 lineto -30778.8 30195.7 lineto -30778.8 29577.1 lineto -stroke -newpath -30778.8 30195.7 moveto -30835 30308.2 lineto -30947.5 30364.5 lineto -31116.2 30364.5 lineto -31228.7 30308.2 lineto -31284.9 30195.7 lineto -31284.9 29577.1 lineto -stroke -newpath -33590.9 30814.4 moveto -32578.5 29295.8 lineto -stroke -newpath -35109.5 30758.2 moveto -35222 30758.2 lineto -35334.4 30701.9 lineto -35390.7 30645.7 lineto -35446.9 30533.2 lineto -35503.2 30308.2 lineto -35503.2 30027 lineto -35446.9 29802 lineto -35390.7 29689.5 lineto -35334.4 29633.3 lineto -35222 29577.1 lineto -35109.5 29577.1 lineto -34997 29633.3 lineto -34940.7 29689.5 lineto -34884.5 29802 lineto -34828.3 30027 lineto -34828.3 30308.2 lineto -34884.5 30533.2 lineto -34940.7 30645.7 lineto -34997 30701.9 lineto -35109.5 30758.2 lineto -stroke -newpath -36009.4 29689.5 moveto -36065.6 29633.3 lineto -36009.4 29577.1 lineto -35953.1 29633.3 lineto -36009.4 29689.5 lineto -36009.4 29577.1 lineto -stroke -newpath -36796.8 30758.2 moveto -36909.2 30758.2 lineto -37021.7 30701.9 lineto -37078 30645.7 lineto -37134.2 30533.2 lineto -37190.5 30308.2 lineto -37190.5 30027 lineto -37134.2 29802 lineto -37078 29689.5 lineto -37021.7 29633.3 lineto -36909.2 29577.1 lineto -36796.8 29577.1 lineto -36684.3 29633.3 lineto -36628 29689.5 lineto -36571.8 29802 lineto -36515.5 30027 lineto -36515.5 30308.2 lineto -36571.8 30533.2 lineto -36628 30645.7 lineto -36684.3 30701.9 lineto -36796.8 30758.2 lineto -stroke -newpath -38315.3 29577.1 moveto -37640.4 29577.1 lineto -stroke -newpath -37977.9 29577.1 moveto -37977.9 30758.2 lineto -37865.4 30589.4 lineto -37752.9 30477 lineto -37640.4 30420.7 lineto -stroke -newpath -39383.9 30758.2 moveto -38821.5 30758.2 lineto -38765.3 30195.7 lineto -38821.5 30252 lineto -38934 30308.2 lineto -39215.2 30308.2 lineto -39327.7 30252 lineto -39383.9 30195.7 lineto -39440.2 30083.2 lineto -39440.2 29802 lineto -39383.9 29689.5 lineto -39327.7 29633.3 lineto -39215.2 29577.1 lineto -38934 29577.1 lineto -38821.5 29633.3 lineto -38765.3 29689.5 lineto -stroke -newpath -39890.1 30758.2 moveto -39890.1 30533.2 lineto -stroke -newpath -40340.1 30758.2 moveto -40340.1 30533.2 lineto -stroke -newpath -42083.6 29127.1 moveto -42027.4 29183.4 lineto -41914.9 29352.1 lineto -41858.6 29464.6 lineto -41802.4 29633.3 lineto -41746.1 29914.5 lineto -41746.1 30139.5 lineto -41802.4 30420.7 lineto -41858.6 30589.4 lineto -41914.9 30701.9 lineto -42027.4 30870.7 lineto -42083.6 30926.9 lineto -stroke -newpath -42421.1 30758.2 moveto -43152.2 30758.2 lineto -42758.5 30308.2 lineto -42927.2 30308.2 lineto -43039.7 30252 lineto -43096 30195.7 lineto -43152.2 30083.2 lineto -43152.2 29802 lineto -43096 29689.5 lineto -43039.7 29633.3 lineto -42927.2 29577.1 lineto -42589.8 29577.1 lineto -42477.3 29633.3 lineto -42421.1 29689.5 lineto -stroke -newpath -44558.3 29577.1 moveto -44558.3 30758.2 lineto -stroke -newpath -45064.5 29577.1 moveto -45064.5 30195.7 lineto -45008.2 30308.2 lineto -44895.7 30364.5 lineto -44727 30364.5 lineto -44614.5 30308.2 lineto -44558.3 30252 lineto -stroke -newpath -45795.6 29577.1 moveto -45683.1 29633.3 lineto -45626.9 29689.5 lineto -45570.7 29802 lineto -45570.7 30139.5 lineto -45626.9 30252 lineto -45683.1 30308.2 lineto -45795.6 30364.5 lineto -45964.4 30364.5 lineto -46076.8 30308.2 lineto -46133.1 30252 lineto -46189.3 30139.5 lineto -46189.3 29802 lineto -46133.1 29689.5 lineto -46076.8 29633.3 lineto -45964.4 29577.1 lineto -45795.6 29577.1 lineto -stroke -newpath -46864.2 29577.1 moveto -46751.8 29633.3 lineto -46695.5 29745.8 lineto -46695.5 30758.2 lineto -stroke -newpath -47764.1 29633.3 moveto -47651.7 29577.1 lineto -47426.7 29577.1 lineto -47314.2 29633.3 lineto -47257.9 29745.8 lineto -47257.9 30195.7 lineto -47314.2 30308.2 lineto -47426.7 30364.5 lineto -47651.7 30364.5 lineto -47764.1 30308.2 lineto -47820.4 30195.7 lineto -47820.4 30083.2 lineto -47257.9 29970.8 lineto -stroke -newpath -48270.3 29633.3 moveto -48382.8 29577.1 lineto -48607.8 29577.1 lineto -48720.3 29633.3 lineto -48776.5 29745.8 lineto -48776.5 29802 lineto -48720.3 29914.5 lineto -48607.8 29970.8 lineto -48439.1 29970.8 lineto -48326.6 30027 lineto -48270.3 30139.5 lineto -48270.3 30195.7 lineto -48326.6 30308.2 lineto -48439.1 30364.5 lineto -48607.8 30364.5 lineto -48720.3 30308.2 lineto -stroke -newpath -49170.2 29127.1 moveto -49226.5 29183.4 lineto -49338.9 29352.1 lineto -49395.2 29464.6 lineto -49451.4 29633.3 lineto -49507.7 29914.5 lineto -49507.7 30139.5 lineto -49451.4 30420.7 lineto -49395.2 30589.4 lineto -49338.9 30701.9 lineto -49226.5 30870.7 lineto -49170.2 30926.9 lineto -stroke -newpath -23592.3 28453.9 moveto -23592.3 28650.7 lineto -23395.5 28650.7 lineto -23395.5 28453.9 lineto -23592.3 28453.9 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24985.7 29199.1 moveto -25098.2 29199.1 lineto -25210.7 29142.9 lineto -25267 29086.6 lineto -25323.2 28974.1 lineto -25379.4 28749.2 lineto -25379.4 28468 lineto -25323.2 28243 lineto -25267 28130.5 lineto -25210.7 28074.3 lineto -25098.2 28018 lineto -24985.7 28018 lineto -24873.2 28074.3 lineto -24817 28130.5 lineto -24760.8 28243 lineto -24704.5 28468 lineto -24704.5 28749.2 lineto -24760.8 28974.1 lineto -24817 29086.6 lineto -24873.2 29142.9 lineto -24985.7 29199.1 lineto -stroke -newpath -25885.6 28130.5 moveto -25941.9 28074.3 lineto -25885.6 28018 lineto -25829.4 28074.3 lineto -25885.6 28130.5 lineto -25885.6 28018 lineto -stroke -newpath -26954.2 28805.4 moveto -26954.2 28018 lineto -stroke -newpath -26673 29255.4 moveto -26391.8 28411.7 lineto -27123 28411.7 lineto -stroke -newpath -27797.9 29199.1 moveto -27910.4 29199.1 lineto -28022.9 29142.9 lineto -28079.1 29086.6 lineto -28135.3 28974.1 lineto -28191.6 28749.2 lineto -28191.6 28468 lineto -28135.3 28243 lineto -28079.1 28130.5 lineto -28022.9 28074.3 lineto -27910.4 28018 lineto -27797.9 28018 lineto -27685.4 28074.3 lineto -27629.2 28130.5 lineto -27572.9 28243 lineto -27516.7 28468 lineto -27516.7 28749.2 lineto -27572.9 28974.1 lineto -27629.2 29086.6 lineto -27685.4 29142.9 lineto -27797.9 29199.1 lineto -stroke -newpath -28697.8 28018 moveto -28697.8 28805.4 lineto -stroke -newpath -28697.8 28692.9 moveto -28754 28749.2 lineto -28866.5 28805.4 lineto -29035.2 28805.4 lineto -29147.7 28749.2 lineto -29204 28636.7 lineto -29204 28018 lineto -stroke -newpath -29204 28636.7 moveto -29260.2 28749.2 lineto -29372.7 28805.4 lineto -29541.4 28805.4 lineto -29653.9 28749.2 lineto -29710.1 28636.7 lineto -29710.1 28018 lineto -stroke -newpath -30272.6 28018 moveto -30272.6 28805.4 lineto -stroke -newpath -30272.6 28692.9 moveto -30328.8 28749.2 lineto -30441.3 28805.4 lineto -30610 28805.4 lineto -30722.5 28749.2 lineto -30778.8 28636.7 lineto -30778.8 28018 lineto -stroke -newpath -30778.8 28636.7 moveto -30835 28749.2 lineto -30947.5 28805.4 lineto -31116.2 28805.4 lineto -31228.7 28749.2 lineto -31284.9 28636.7 lineto -31284.9 28018 lineto -stroke -newpath -33590.9 29255.4 moveto -32578.5 27736.8 lineto -stroke -newpath -35109.5 29199.1 moveto -35222 29199.1 lineto -35334.4 29142.9 lineto -35390.7 29086.6 lineto -35446.9 28974.1 lineto -35503.2 28749.2 lineto -35503.2 28468 lineto -35446.9 28243 lineto -35390.7 28130.5 lineto -35334.4 28074.3 lineto -35222 28018 lineto -35109.5 28018 lineto -34997 28074.3 lineto -34940.7 28130.5 lineto -34884.5 28243 lineto -34828.3 28468 lineto -34828.3 28749.2 lineto -34884.5 28974.1 lineto -34940.7 29086.6 lineto -34997 29142.9 lineto -35109.5 29199.1 lineto -stroke -newpath -36009.4 28130.5 moveto -36065.6 28074.3 lineto -36009.4 28018 lineto -35953.1 28074.3 lineto -36009.4 28130.5 lineto -36009.4 28018 lineto -stroke -newpath -36796.8 29199.1 moveto -36909.2 29199.1 lineto -37021.7 29142.9 lineto -37078 29086.6 lineto -37134.2 28974.1 lineto -37190.5 28749.2 lineto -37190.5 28468 lineto -37134.2 28243 lineto -37078 28130.5 lineto -37021.7 28074.3 lineto -36909.2 28018 lineto -36796.8 28018 lineto -36684.3 28074.3 lineto -36628 28130.5 lineto -36571.8 28243 lineto -36515.5 28468 lineto -36515.5 28749.2 lineto -36571.8 28974.1 lineto -36628 29086.6 lineto -36684.3 29142.9 lineto -36796.8 29199.1 lineto -stroke -newpath -38315.3 28018 moveto -37640.4 28018 lineto -stroke -newpath -37977.9 28018 moveto -37977.9 29199.1 lineto -37865.4 29030.4 lineto -37752.9 28917.9 lineto -37640.4 28861.7 lineto -stroke -newpath -39327.7 29199.1 moveto -39102.7 29199.1 lineto -38990.2 29142.9 lineto -38934 29086.6 lineto -38821.5 28917.9 lineto -38765.3 28692.9 lineto -38765.3 28243 lineto -38821.5 28130.5 lineto -38877.7 28074.3 lineto -38990.2 28018 lineto -39215.2 28018 lineto -39327.7 28074.3 lineto -39383.9 28130.5 lineto -39440.2 28243 lineto -39440.2 28524.2 lineto -39383.9 28636.7 lineto -39327.7 28692.9 lineto -39215.2 28749.2 lineto -38990.2 28749.2 lineto -38877.7 28692.9 lineto -38821.5 28636.7 lineto -38765.3 28524.2 lineto -stroke -newpath -39890.1 29199.1 moveto -39890.1 28974.1 lineto -stroke -newpath -40340.1 29199.1 moveto -40340.1 28974.1 lineto -stroke -newpath -42083.6 27568.1 moveto -42027.4 27624.3 lineto -41914.9 27793 lineto -41858.6 27905.5 lineto -41802.4 28074.3 lineto -41746.1 28355.5 lineto -41746.1 28580.4 lineto -41802.4 28861.7 lineto -41858.6 29030.4 lineto -41914.9 29142.9 lineto -42027.4 29311.6 lineto -42083.6 29367.8 lineto -stroke -newpath -43039.7 28805.4 moveto -43039.7 28018 lineto -stroke -newpath -42758.5 29255.4 moveto -42477.3 28411.7 lineto -43208.5 28411.7 lineto -stroke -newpath -43545.9 29199.1 moveto -44333.3 29199.1 lineto -43827.1 28018 lineto -stroke -newpath -45683.1 28018 moveto -45683.1 29199.1 lineto -stroke -newpath -46189.3 28018 moveto -46189.3 28636.7 lineto -46133.1 28749.2 lineto -46020.6 28805.4 lineto -45851.9 28805.4 lineto -45739.4 28749.2 lineto -45683.1 28692.9 lineto -stroke -newpath -46920.5 28018 moveto -46808 28074.3 lineto -46751.8 28130.5 lineto -46695.5 28243 lineto -46695.5 28580.4 lineto -46751.8 28692.9 lineto -46808 28749.2 lineto -46920.5 28805.4 lineto -47089.2 28805.4 lineto -47201.7 28749.2 lineto -47257.9 28692.9 lineto -47314.2 28580.4 lineto -47314.2 28243 lineto -47257.9 28130.5 lineto -47201.7 28074.3 lineto -47089.2 28018 lineto -46920.5 28018 lineto -stroke -newpath -47989.1 28018 moveto -47876.6 28074.3 lineto -47820.4 28186.7 lineto -47820.4 29199.1 lineto -stroke -newpath -48889 28074.3 moveto -48776.5 28018 lineto -48551.5 28018 lineto -48439.1 28074.3 lineto -48382.8 28186.7 lineto -48382.8 28636.7 lineto -48439.1 28749.2 lineto -48551.5 28805.4 lineto -48776.5 28805.4 lineto -48889 28749.2 lineto -48945.2 28636.7 lineto -48945.2 28524.2 lineto -48382.8 28411.7 lineto -stroke -newpath -49395.2 28074.3 moveto -49507.7 28018 lineto -49732.6 28018 lineto -49845.1 28074.3 lineto -49901.4 28186.7 lineto -49901.4 28243 lineto -49845.1 28355.5 lineto -49732.6 28411.7 lineto -49563.9 28411.7 lineto -49451.4 28468 lineto -49395.2 28580.4 lineto -49395.2 28636.7 lineto -49451.4 28749.2 lineto -49563.9 28805.4 lineto -49732.6 28805.4 lineto -49845.1 28749.2 lineto -stroke -newpath -50295.1 27568.1 moveto -50351.3 27624.3 lineto -50463.8 27793 lineto -50520 27905.5 lineto -50576.3 28074.3 lineto -50632.5 28355.5 lineto -50632.5 28580.4 lineto -50576.3 28861.7 lineto -50520 29030.4 lineto -50463.8 29142.9 lineto -50351.3 29311.6 lineto -50295.1 29367.8 lineto -stroke -newpath -23367.9 26728.1 moveto -23633.1 26993.3 lineto -23367.9 27258.4 lineto -23102.8 26993.3 lineto -23367.9 26728.1 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24985.7 27640.1 moveto -25098.2 27640.1 lineto -25210.7 27583.8 lineto -25267 27527.6 lineto -25323.2 27415.1 lineto -25379.4 27190.1 lineto -25379.4 26908.9 lineto -25323.2 26683.9 lineto -25267 26571.4 lineto -25210.7 26515.2 lineto -25098.2 26459 lineto -24985.7 26459 lineto -24873.2 26515.2 lineto -24817 26571.4 lineto -24760.8 26683.9 lineto -24704.5 26908.9 lineto -24704.5 27190.1 lineto -24760.8 27415.1 lineto -24817 27527.6 lineto -24873.2 27583.8 lineto -24985.7 27640.1 lineto -stroke -newpath -25885.6 26571.4 moveto -25941.9 26515.2 lineto -25885.6 26459 lineto -25829.4 26515.2 lineto -25885.6 26571.4 lineto -25885.6 26459 lineto -stroke -newpath -26335.6 27640.1 moveto -27123 27640.1 lineto -26616.8 26459 lineto -stroke -newpath -28079.1 27640.1 moveto -27854.1 27640.1 lineto -27741.6 27583.8 lineto -27685.4 27527.6 lineto -27572.9 27358.8 lineto -27516.7 27133.9 lineto -27516.7 26683.9 lineto -27572.9 26571.4 lineto -27629.2 26515.2 lineto -27741.6 26459 lineto -27966.6 26459 lineto -28079.1 26515.2 lineto -28135.3 26571.4 lineto -28191.6 26683.9 lineto -28191.6 26965.1 lineto -28135.3 27077.6 lineto -28079.1 27133.9 lineto -27966.6 27190.1 lineto -27741.6 27190.1 lineto -27629.2 27133.9 lineto -27572.9 27077.6 lineto -27516.7 26965.1 lineto -stroke -newpath -28697.8 26459 moveto -28697.8 27246.4 lineto -stroke -newpath -28697.8 27133.9 moveto -28754 27190.1 lineto -28866.5 27246.4 lineto -29035.2 27246.4 lineto -29147.7 27190.1 lineto -29204 27077.6 lineto -29204 26459 lineto -stroke -newpath -29204 27077.6 moveto -29260.2 27190.1 lineto -29372.7 27246.4 lineto -29541.4 27246.4 lineto -29653.9 27190.1 lineto -29710.1 27077.6 lineto -29710.1 26459 lineto -stroke -newpath -30272.6 26459 moveto -30272.6 27246.4 lineto -stroke -newpath -30272.6 27133.9 moveto -30328.8 27190.1 lineto -30441.3 27246.4 lineto -30610 27246.4 lineto -30722.5 27190.1 lineto -30778.8 27077.6 lineto -30778.8 26459 lineto -stroke -newpath -30778.8 27077.6 moveto -30835 27190.1 lineto -30947.5 27246.4 lineto -31116.2 27246.4 lineto -31228.7 27190.1 lineto -31284.9 27077.6 lineto -31284.9 26459 lineto -stroke -newpath -33590.9 27696.3 moveto -32578.5 26177.7 lineto -stroke -newpath -35109.5 27640.1 moveto -35222 27640.1 lineto -35334.4 27583.8 lineto -35390.7 27527.6 lineto -35446.9 27415.1 lineto -35503.2 27190.1 lineto -35503.2 26908.9 lineto -35446.9 26683.9 lineto -35390.7 26571.4 lineto -35334.4 26515.2 lineto -35222 26459 lineto -35109.5 26459 lineto -34997 26515.2 lineto -34940.7 26571.4 lineto -34884.5 26683.9 lineto -34828.3 26908.9 lineto -34828.3 27190.1 lineto -34884.5 27415.1 lineto -34940.7 27527.6 lineto -34997 27583.8 lineto -35109.5 27640.1 lineto -stroke -newpath -36009.4 26571.4 moveto -36065.6 26515.2 lineto -36009.4 26459 lineto -35953.1 26515.2 lineto -36009.4 26571.4 lineto -36009.4 26459 lineto -stroke -newpath -36796.8 27640.1 moveto -36909.2 27640.1 lineto -37021.7 27583.8 lineto -37078 27527.6 lineto -37134.2 27415.1 lineto -37190.5 27190.1 lineto -37190.5 26908.9 lineto -37134.2 26683.9 lineto -37078 26571.4 lineto -37021.7 26515.2 lineto -36909.2 26459 lineto -36796.8 26459 lineto -36684.3 26515.2 lineto -36628 26571.4 lineto -36571.8 26683.9 lineto -36515.5 26908.9 lineto -36515.5 27190.1 lineto -36571.8 27415.1 lineto -36628 27527.6 lineto -36684.3 27583.8 lineto -36796.8 27640.1 lineto -stroke -newpath -37584.2 27640.1 moveto -38315.3 27640.1 lineto -37921.6 27190.1 lineto -38090.3 27190.1 lineto -38202.8 27133.9 lineto -38259.1 27077.6 lineto -38315.3 26965.1 lineto -38315.3 26683.9 lineto -38259.1 26571.4 lineto -38202.8 26515.2 lineto -38090.3 26459 lineto -37752.9 26459 lineto -37640.4 26515.2 lineto -37584.2 26571.4 lineto -stroke -newpath -39046.5 27640.1 moveto -39159 27640.1 lineto -39271.4 27583.8 lineto -39327.7 27527.6 lineto -39383.9 27415.1 lineto -39440.2 27190.1 lineto -39440.2 26908.9 lineto -39383.9 26683.9 lineto -39327.7 26571.4 lineto -39271.4 26515.2 lineto -39159 26459 lineto -39046.5 26459 lineto -38934 26515.2 lineto -38877.7 26571.4 lineto -38821.5 26683.9 lineto -38765.3 26908.9 lineto -38765.3 27190.1 lineto -38821.5 27415.1 lineto -38877.7 27527.6 lineto -38934 27583.8 lineto -39046.5 27640.1 lineto -stroke -newpath -39890.1 27640.1 moveto -39890.1 27415.1 lineto -stroke -newpath -40340.1 27640.1 moveto -40340.1 27415.1 lineto -stroke -newpath -42083.6 26009 moveto -42027.4 26065.3 lineto -41914.9 26234 lineto -41858.6 26346.5 lineto -41802.4 26515.2 lineto -41746.1 26796.4 lineto -41746.1 27021.4 lineto -41802.4 27302.6 lineto -41858.6 27471.3 lineto -41914.9 27583.8 lineto -42027.4 27752.5 lineto -42083.6 27808.8 lineto -stroke -newpath -43152.2 26459 moveto -42477.3 26459 lineto -stroke -newpath -42814.8 26459 moveto -42814.8 27640.1 lineto -42702.3 27471.3 lineto -42589.8 27358.8 lineto -42477.3 27302.6 lineto -stroke -newpath -44558.3 26459 moveto -44558.3 27640.1 lineto -stroke -newpath -45064.5 26459 moveto -45064.5 27077.6 lineto -45008.2 27190.1 lineto -44895.7 27246.4 lineto -44727 27246.4 lineto -44614.5 27190.1 lineto -44558.3 27133.9 lineto -stroke -newpath -45795.6 26459 moveto -45683.1 26515.2 lineto -45626.9 26571.4 lineto -45570.7 26683.9 lineto -45570.7 27021.4 lineto -45626.9 27133.9 lineto -45683.1 27190.1 lineto -45795.6 27246.4 lineto -45964.4 27246.4 lineto -46076.8 27190.1 lineto -46133.1 27133.9 lineto -46189.3 27021.4 lineto -46189.3 26683.9 lineto -46133.1 26571.4 lineto -46076.8 26515.2 lineto -45964.4 26459 lineto -45795.6 26459 lineto -stroke -newpath -46864.2 26459 moveto -46751.8 26515.2 lineto -46695.5 26627.7 lineto -46695.5 27640.1 lineto -stroke -newpath -47764.1 26515.2 moveto -47651.7 26459 lineto -47426.7 26459 lineto -47314.2 26515.2 lineto -47257.9 26627.7 lineto -47257.9 27077.6 lineto -47314.2 27190.1 lineto -47426.7 27246.4 lineto -47651.7 27246.4 lineto -47764.1 27190.1 lineto -47820.4 27077.6 lineto -47820.4 26965.1 lineto -47257.9 26852.7 lineto -stroke -newpath -48214.1 26009 moveto -48270.3 26065.3 lineto -48382.8 26234 lineto -48439.1 26346.5 lineto -48495.3 26515.2 lineto -48551.5 26796.4 lineto -48551.5 27021.4 lineto -48495.3 27302.6 lineto -48439.1 27471.3 lineto -48382.8 27583.8 lineto -48270.3 27752.5 lineto -48214.1 27808.8 lineto -stroke -newpath -22926 25787.7 moveto -23633.1 25080.7 lineto -stroke -newpath -23633.1 25787.7 moveto -22926 25080.7 lineto -stroke -23279.5 25434.2 353.542 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -25379.4 24899.9 moveto -24704.5 24899.9 lineto -stroke -newpath -25042 24899.9 moveto -25042 26081 lineto -24929.5 25912.3 lineto -24817 25799.8 lineto -24704.5 25743.5 lineto -stroke -newpath -25885.6 25012.4 moveto -25941.9 24956.1 lineto -25885.6 24899.9 lineto -25829.4 24956.1 lineto -25885.6 25012.4 lineto -25885.6 24899.9 lineto -stroke -newpath -26673 26081 moveto -26785.5 26081 lineto -26898 26024.8 lineto -26954.2 25968.5 lineto -27010.5 25856 lineto -27066.7 25631.1 lineto -27066.7 25349.8 lineto -27010.5 25124.9 lineto -26954.2 25012.4 lineto -26898 24956.1 lineto -26785.5 24899.9 lineto -26673 24899.9 lineto -26560.5 24956.1 lineto -26504.3 25012.4 lineto -26448.1 25124.9 lineto -26391.8 25349.8 lineto -26391.8 25631.1 lineto -26448.1 25856 lineto -26504.3 25968.5 lineto -26560.5 26024.8 lineto -26673 26081 lineto -stroke -newpath -27516.7 25968.5 moveto -27572.9 26024.8 lineto -27685.4 26081 lineto -27966.6 26081 lineto -28079.1 26024.8 lineto -28135.3 25968.5 lineto -28191.6 25856 lineto -28191.6 25743.5 lineto -28135.3 25574.8 lineto -27460.4 24899.9 lineto -28191.6 24899.9 lineto -stroke -newpath -28697.8 24899.9 moveto -28697.8 25687.3 lineto -stroke -newpath -28697.8 25574.8 moveto -28754 25631.1 lineto -28866.5 25687.3 lineto -29035.2 25687.3 lineto -29147.7 25631.1 lineto -29204 25518.6 lineto -29204 24899.9 lineto -stroke -newpath -29204 25518.6 moveto -29260.2 25631.1 lineto -29372.7 25687.3 lineto -29541.4 25687.3 lineto -29653.9 25631.1 lineto -29710.1 25518.6 lineto -29710.1 24899.9 lineto -stroke -newpath -30272.6 24899.9 moveto -30272.6 25687.3 lineto -stroke -newpath -30272.6 25574.8 moveto -30328.8 25631.1 lineto -30441.3 25687.3 lineto -30610 25687.3 lineto -30722.5 25631.1 lineto -30778.8 25518.6 lineto -30778.8 24899.9 lineto -stroke -newpath -30778.8 25518.6 moveto -30835 25631.1 lineto -30947.5 25687.3 lineto -31116.2 25687.3 lineto -31228.7 25631.1 lineto -31284.9 25518.6 lineto -31284.9 24899.9 lineto -stroke -newpath -33590.9 26137.2 moveto -32578.5 24618.7 lineto -stroke -newpath -35109.5 26081 moveto -35222 26081 lineto -35334.4 26024.8 lineto -35390.7 25968.5 lineto -35446.9 25856 lineto -35503.2 25631.1 lineto -35503.2 25349.8 lineto -35446.9 25124.9 lineto -35390.7 25012.4 lineto -35334.4 24956.1 lineto -35222 24899.9 lineto -35109.5 24899.9 lineto -34997 24956.1 lineto -34940.7 25012.4 lineto -34884.5 25124.9 lineto -34828.3 25349.8 lineto -34828.3 25631.1 lineto -34884.5 25856 lineto -34940.7 25968.5 lineto -34997 26024.8 lineto -35109.5 26081 lineto -stroke -newpath -36009.4 25012.4 moveto -36065.6 24956.1 lineto -36009.4 24899.9 lineto -35953.1 24956.1 lineto -36009.4 25012.4 lineto -36009.4 24899.9 lineto -stroke -newpath -36796.8 26081 moveto -36909.2 26081 lineto -37021.7 26024.8 lineto -37078 25968.5 lineto -37134.2 25856 lineto -37190.5 25631.1 lineto -37190.5 25349.8 lineto -37134.2 25124.9 lineto -37078 25012.4 lineto -37021.7 24956.1 lineto -36909.2 24899.9 lineto -36796.8 24899.9 lineto -36684.3 24956.1 lineto -36628 25012.4 lineto -36571.8 25124.9 lineto -36515.5 25349.8 lineto -36515.5 25631.1 lineto -36571.8 25856 lineto -36628 25968.5 lineto -36684.3 26024.8 lineto -36796.8 26081 lineto -stroke -newpath -38202.8 25687.3 moveto -38202.8 24899.9 lineto -stroke -newpath -37921.6 26137.2 moveto -37640.4 25293.6 lineto -38371.6 25293.6 lineto -stroke -newpath -39046.5 26081 moveto -39159 26081 lineto -39271.4 26024.8 lineto -39327.7 25968.5 lineto -39383.9 25856 lineto -39440.2 25631.1 lineto -39440.2 25349.8 lineto -39383.9 25124.9 lineto -39327.7 25012.4 lineto -39271.4 24956.1 lineto -39159 24899.9 lineto -39046.5 24899.9 lineto -38934 24956.1 lineto -38877.7 25012.4 lineto -38821.5 25124.9 lineto -38765.3 25349.8 lineto -38765.3 25631.1 lineto -38821.5 25856 lineto -38877.7 25968.5 lineto -38934 26024.8 lineto -39046.5 26081 lineto -stroke -newpath -39890.1 26081 moveto -39890.1 25856 lineto -stroke -newpath -40340.1 26081 moveto -40340.1 25856 lineto -stroke -newpath -42083.6 24450 moveto -42027.4 24506.2 lineto -41914.9 24674.9 lineto -41858.6 24787.4 lineto -41802.4 24956.1 lineto -41746.1 25237.4 lineto -41746.1 25462.3 lineto -41802.4 25743.5 lineto -41858.6 25912.3 lineto -41914.9 26024.8 lineto -42027.4 26193.5 lineto -42083.6 26249.7 lineto -stroke -newpath -43152.2 24899.9 moveto -42477.3 24899.9 lineto -stroke -newpath -42814.8 24899.9 moveto -42814.8 26081 lineto -42702.3 25912.3 lineto -42589.8 25799.8 lineto -42477.3 25743.5 lineto -stroke -newpath -43883.4 26081 moveto -43995.9 26081 lineto -44108.3 26024.8 lineto -44164.6 25968.5 lineto -44220.8 25856 lineto -44277.1 25631.1 lineto -44277.1 25349.8 lineto -44220.8 25124.9 lineto -44164.6 25012.4 lineto -44108.3 24956.1 lineto -43995.9 24899.9 lineto -43883.4 24899.9 lineto -43770.9 24956.1 lineto -43714.6 25012.4 lineto -43658.4 25124.9 lineto -43602.2 25349.8 lineto -43602.2 25631.1 lineto -43658.4 25856 lineto -43714.6 25968.5 lineto -43770.9 26024.8 lineto -43883.4 26081 lineto -stroke -newpath -45683.1 24899.9 moveto -45683.1 26081 lineto -stroke -newpath -46189.3 24899.9 moveto -46189.3 25518.6 lineto -46133.1 25631.1 lineto -46020.6 25687.3 lineto -45851.9 25687.3 lineto -45739.4 25631.1 lineto -45683.1 25574.8 lineto -stroke -newpath -46920.5 24899.9 moveto -46808 24956.1 lineto -46751.8 25012.4 lineto -46695.5 25124.9 lineto -46695.5 25462.3 lineto -46751.8 25574.8 lineto -46808 25631.1 lineto -46920.5 25687.3 lineto -47089.2 25687.3 lineto -47201.7 25631.1 lineto -47257.9 25574.8 lineto -47314.2 25462.3 lineto -47314.2 25124.9 lineto -47257.9 25012.4 lineto -47201.7 24956.1 lineto -47089.2 24899.9 lineto -46920.5 24899.9 lineto -stroke -newpath -47989.1 24899.9 moveto -47876.6 24956.1 lineto -47820.4 25068.6 lineto -47820.4 26081 lineto -stroke -newpath -48889 24956.1 moveto -48776.5 24899.9 lineto -48551.5 24899.9 lineto -48439.1 24956.1 lineto -48382.8 25068.6 lineto -48382.8 25518.6 lineto -48439.1 25631.1 lineto -48551.5 25687.3 lineto -48776.5 25687.3 lineto -48889 25631.1 lineto -48945.2 25518.6 lineto -48945.2 25406.1 lineto -48382.8 25293.6 lineto -stroke -newpath -49395.2 24956.1 moveto -49507.7 24899.9 lineto -49732.6 24899.9 lineto -49845.1 24956.1 lineto -49901.4 25068.6 lineto -49901.4 25124.9 lineto -49845.1 25237.4 lineto -49732.6 25293.6 lineto -49563.9 25293.6 lineto -49451.4 25349.8 lineto -49395.2 25462.3 lineto -49395.2 25518.6 lineto -49451.4 25631.1 lineto -49563.9 25687.3 lineto -49732.6 25687.3 lineto -49845.1 25631.1 lineto -stroke -newpath -50295.1 24450 moveto -50351.3 24506.2 lineto -50463.8 24674.9 lineto -50520 24787.4 lineto -50576.3 24956.1 lineto -50632.5 25237.4 lineto -50632.5 25462.3 lineto -50576.3 25743.5 lineto -50520 25912.3 lineto -50463.8 26024.8 lineto -50351.3 26193.5 lineto -50295.1 26249.7 lineto -stroke -newpath -22867.5 24257.9 moveto -23633.1 23492.4 lineto -stroke -newpath -23633.1 24257.9 moveto -22867.5 23492.4 lineto -stroke -newpath -23250.3 24257.9 moveto -23250.3 23492.4 lineto -stroke -newpath -22867.5 23875.2 moveto -23633.1 23875.2 lineto -stroke -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -25379.4 23340.8 moveto -24704.5 23340.8 lineto -stroke -newpath -25042 23340.8 moveto -25042 24521.9 lineto -24929.5 24353.2 lineto -24817 24240.7 lineto -24704.5 24184.5 lineto -stroke -newpath -25885.6 23453.3 moveto -25941.9 23397.1 lineto -25885.6 23340.8 lineto -25829.4 23397.1 lineto -25885.6 23453.3 lineto -25885.6 23340.8 lineto -stroke -newpath -27066.7 23340.8 moveto -26391.8 23340.8 lineto -stroke -newpath -26729.3 23340.8 moveto -26729.3 24521.9 lineto -26616.8 24353.2 lineto -26504.3 24240.7 lineto -26391.8 24184.5 lineto -stroke -newpath -27797.9 24521.9 moveto -27910.4 24521.9 lineto -28022.9 24465.7 lineto -28079.1 24409.5 lineto -28135.3 24297 lineto -28191.6 24072 lineto -28191.6 23790.8 lineto -28135.3 23565.8 lineto -28079.1 23453.3 lineto -28022.9 23397.1 lineto -27910.4 23340.8 lineto -27797.9 23340.8 lineto -27685.4 23397.1 lineto -27629.2 23453.3 lineto -27572.9 23565.8 lineto -27516.7 23790.8 lineto -27516.7 24072 lineto -27572.9 24297 lineto -27629.2 24409.5 lineto -27685.4 24465.7 lineto -27797.9 24521.9 lineto -stroke -newpath -28697.8 23340.8 moveto -28697.8 24128.2 lineto -stroke -newpath -28697.8 24015.8 moveto -28754 24072 lineto -28866.5 24128.2 lineto -29035.2 24128.2 lineto -29147.7 24072 lineto -29204 23959.5 lineto -29204 23340.8 lineto -stroke -newpath -29204 23959.5 moveto -29260.2 24072 lineto -29372.7 24128.2 lineto -29541.4 24128.2 lineto -29653.9 24072 lineto -29710.1 23959.5 lineto -29710.1 23340.8 lineto -stroke -newpath -30272.6 23340.8 moveto -30272.6 24128.2 lineto -stroke -newpath -30272.6 24015.8 moveto -30328.8 24072 lineto -30441.3 24128.2 lineto -30610 24128.2 lineto -30722.5 24072 lineto -30778.8 23959.5 lineto -30778.8 23340.8 lineto -stroke -newpath -30778.8 23959.5 moveto -30835 24072 lineto -30947.5 24128.2 lineto -31116.2 24128.2 lineto -31228.7 24072 lineto -31284.9 23959.5 lineto -31284.9 23340.8 lineto -stroke -newpath -33590.9 24578.2 moveto -32578.5 23059.6 lineto -stroke -newpath -35109.5 24521.9 moveto -35222 24521.9 lineto -35334.4 24465.7 lineto -35390.7 24409.5 lineto -35446.9 24297 lineto -35503.2 24072 lineto -35503.2 23790.8 lineto -35446.9 23565.8 lineto -35390.7 23453.3 lineto -35334.4 23397.1 lineto -35222 23340.8 lineto -35109.5 23340.8 lineto -34997 23397.1 lineto -34940.7 23453.3 lineto -34884.5 23565.8 lineto -34828.3 23790.8 lineto -34828.3 24072 lineto -34884.5 24297 lineto -34940.7 24409.5 lineto -34997 24465.7 lineto -35109.5 24521.9 lineto -stroke -newpath -36009.4 23453.3 moveto -36065.6 23397.1 lineto -36009.4 23340.8 lineto -35953.1 23397.1 lineto -36009.4 23453.3 lineto -36009.4 23340.8 lineto -stroke -newpath -36796.8 24521.9 moveto -36909.2 24521.9 lineto -37021.7 24465.7 lineto -37078 24409.5 lineto -37134.2 24297 lineto -37190.5 24072 lineto -37190.5 23790.8 lineto -37134.2 23565.8 lineto -37078 23453.3 lineto -37021.7 23397.1 lineto -36909.2 23340.8 lineto -36796.8 23340.8 lineto -36684.3 23397.1 lineto -36628 23453.3 lineto -36571.8 23565.8 lineto -36515.5 23790.8 lineto -36515.5 24072 lineto -36571.8 24297 lineto -36628 24409.5 lineto -36684.3 24465.7 lineto -36796.8 24521.9 lineto -stroke -newpath -38202.8 24128.2 moveto -38202.8 23340.8 lineto -stroke -newpath -37921.6 24578.2 moveto -37640.4 23734.5 lineto -38371.6 23734.5 lineto -stroke -newpath -38709 24521.9 moveto -39440.2 24521.9 lineto -39046.5 24072 lineto -39215.2 24072 lineto -39327.7 24015.8 lineto -39383.9 23959.5 lineto -39440.2 23847 lineto -39440.2 23565.8 lineto -39383.9 23453.3 lineto -39327.7 23397.1 lineto -39215.2 23340.8 lineto -38877.7 23340.8 lineto -38765.3 23397.1 lineto -38709 23453.3 lineto -stroke -newpath -39890.1 24521.9 moveto -39890.1 24297 lineto -stroke -newpath -40340.1 24521.9 moveto -40340.1 24297 lineto -stroke -newpath -42083.6 22890.9 moveto -42027.4 22947.1 lineto -41914.9 23115.9 lineto -41858.6 23228.4 lineto -41802.4 23397.1 lineto -41746.1 23678.3 lineto -41746.1 23903.3 lineto -41802.4 24184.5 lineto -41858.6 24353.2 lineto -41914.9 24465.7 lineto -42027.4 24634.4 lineto -42083.6 24690.7 lineto -stroke -newpath -43152.2 23340.8 moveto -42477.3 23340.8 lineto -stroke -newpath -42814.8 23340.8 moveto -42814.8 24521.9 lineto -42702.3 24353.2 lineto -42589.8 24240.7 lineto -42477.3 24184.5 lineto -stroke -newpath -44558.3 23340.8 moveto -44558.3 24521.9 lineto -stroke -newpath -45064.5 23340.8 moveto -45064.5 23959.5 lineto -45008.2 24072 lineto -44895.7 24128.2 lineto -44727 24128.2 lineto -44614.5 24072 lineto -44558.3 24015.8 lineto -stroke -newpath -45795.6 23340.8 moveto -45683.1 23397.1 lineto -45626.9 23453.3 lineto -45570.7 23565.8 lineto -45570.7 23903.3 lineto -45626.9 24015.8 lineto -45683.1 24072 lineto -45795.6 24128.2 lineto -45964.4 24128.2 lineto -46076.8 24072 lineto -46133.1 24015.8 lineto -46189.3 23903.3 lineto -46189.3 23565.8 lineto -46133.1 23453.3 lineto -46076.8 23397.1 lineto -45964.4 23340.8 lineto -45795.6 23340.8 lineto -stroke -newpath -46864.2 23340.8 moveto -46751.8 23397.1 lineto -46695.5 23509.6 lineto -46695.5 24521.9 lineto -stroke -newpath -47764.1 23397.1 moveto -47651.7 23340.8 lineto -47426.7 23340.8 lineto -47314.2 23397.1 lineto -47257.9 23509.6 lineto -47257.9 23959.5 lineto -47314.2 24072 lineto -47426.7 24128.2 lineto -47651.7 24128.2 lineto -47764.1 24072 lineto -47820.4 23959.5 lineto -47820.4 23847 lineto -47257.9 23734.5 lineto -stroke -newpath -48214.1 22890.9 moveto -48270.3 22947.1 lineto -48382.8 23115.9 lineto -48439.1 23228.4 lineto -48495.3 23397.1 lineto -48551.5 23678.3 lineto -48551.5 23903.3 lineto -48495.3 24184.5 lineto -48439.1 24353.2 lineto -48382.8 24465.7 lineto -48270.3 24634.4 lineto -48214.1 24690.7 lineto -stroke -newpath -22943.7 22660.8 moveto -23633.1 21971.4 lineto -stroke -newpath -23633.1 22660.8 moveto -22943.7 21971.4 lineto -stroke -newpath -23532.1 22072.4 moveto -23532.1 22559.8 lineto -23044.6 22559.8 lineto -23044.6 22072.4 lineto -23532.1 22072.4 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24985.7 22962.9 moveto -25098.2 22962.9 lineto -25210.7 22906.6 lineto -25267 22850.4 lineto -25323.2 22737.9 lineto -25379.4 22512.9 lineto -25379.4 22231.7 lineto -25323.2 22006.8 lineto -25267 21894.3 lineto -25210.7 21838 lineto -25098.2 21781.8 lineto -24985.7 21781.8 lineto -24873.2 21838 lineto -24817 21894.3 lineto -24760.8 22006.8 lineto -24704.5 22231.7 lineto -24704.5 22512.9 lineto -24760.8 22737.9 lineto -24817 22850.4 lineto -24873.2 22906.6 lineto -24985.7 22962.9 lineto -stroke -newpath -25885.6 21894.3 moveto -25941.9 21838 lineto -25885.6 21781.8 lineto -25829.4 21838 lineto -25885.6 21894.3 lineto -25885.6 21781.8 lineto -stroke -newpath -26504.3 21781.8 moveto -26729.3 21781.8 lineto -26841.8 21838 lineto -26898 21894.3 lineto -27010.5 22063 lineto -27066.7 22288 lineto -27066.7 22737.9 lineto -27010.5 22850.4 lineto -26954.2 22906.6 lineto -26841.8 22962.9 lineto -26616.8 22962.9 lineto -26504.3 22906.6 lineto -26448.1 22850.4 lineto -26391.8 22737.9 lineto -26391.8 22456.7 lineto -26448.1 22344.2 lineto -26504.3 22288 lineto -26616.8 22231.7 lineto -26841.8 22231.7 lineto -26954.2 22288 lineto -27010.5 22344.2 lineto -27066.7 22456.7 lineto -stroke -newpath -27629.2 21781.8 moveto -27854.1 21781.8 lineto -27966.6 21838 lineto -28022.9 21894.3 lineto -28135.3 22063 lineto -28191.6 22288 lineto -28191.6 22737.9 lineto -28135.3 22850.4 lineto -28079.1 22906.6 lineto -27966.6 22962.9 lineto -27741.6 22962.9 lineto -27629.2 22906.6 lineto -27572.9 22850.4 lineto -27516.7 22737.9 lineto -27516.7 22456.7 lineto -27572.9 22344.2 lineto -27629.2 22288 lineto -27741.6 22231.7 lineto -27966.6 22231.7 lineto -28079.1 22288 lineto -28135.3 22344.2 lineto -28191.6 22456.7 lineto -stroke -newpath -28697.8 21781.8 moveto -28697.8 22569.2 lineto -stroke -newpath -28697.8 22456.7 moveto -28754 22512.9 lineto -28866.5 22569.2 lineto -29035.2 22569.2 lineto -29147.7 22512.9 lineto -29204 22400.5 lineto -29204 21781.8 lineto -stroke -newpath -29204 22400.5 moveto -29260.2 22512.9 lineto -29372.7 22569.2 lineto -29541.4 22569.2 lineto -29653.9 22512.9 lineto -29710.1 22400.5 lineto -29710.1 21781.8 lineto -stroke -newpath -30272.6 21781.8 moveto -30272.6 22569.2 lineto -stroke -newpath -30272.6 22456.7 moveto -30328.8 22512.9 lineto -30441.3 22569.2 lineto -30610 22569.2 lineto -30722.5 22512.9 lineto -30778.8 22400.5 lineto -30778.8 21781.8 lineto -stroke -newpath -30778.8 22400.5 moveto -30835 22512.9 lineto -30947.5 22569.2 lineto -31116.2 22569.2 lineto -31228.7 22512.9 lineto -31284.9 22400.5 lineto -31284.9 21781.8 lineto -stroke -newpath -33590.9 23019.1 moveto -32578.5 21500.6 lineto -stroke -newpath -35109.5 22962.9 moveto -35222 22962.9 lineto -35334.4 22906.6 lineto -35390.7 22850.4 lineto -35446.9 22737.9 lineto -35503.2 22512.9 lineto -35503.2 22231.7 lineto -35446.9 22006.8 lineto -35390.7 21894.3 lineto -35334.4 21838 lineto -35222 21781.8 lineto -35109.5 21781.8 lineto -34997 21838 lineto -34940.7 21894.3 lineto -34884.5 22006.8 lineto -34828.3 22231.7 lineto -34828.3 22512.9 lineto -34884.5 22737.9 lineto -34940.7 22850.4 lineto -34997 22906.6 lineto -35109.5 22962.9 lineto -stroke -newpath -36009.4 21894.3 moveto -36065.6 21838 lineto -36009.4 21781.8 lineto -35953.1 21838 lineto -36009.4 21894.3 lineto -36009.4 21781.8 lineto -stroke -newpath -36796.8 22962.9 moveto -36909.2 22962.9 lineto -37021.7 22906.6 lineto -37078 22850.4 lineto -37134.2 22737.9 lineto -37190.5 22512.9 lineto -37190.5 22231.7 lineto -37134.2 22006.8 lineto -37078 21894.3 lineto -37021.7 21838 lineto -36909.2 21781.8 lineto -36796.8 21781.8 lineto -36684.3 21838 lineto -36628 21894.3 lineto -36571.8 22006.8 lineto -36515.5 22231.7 lineto -36515.5 22512.9 lineto -36571.8 22737.9 lineto -36628 22850.4 lineto -36684.3 22906.6 lineto -36796.8 22962.9 lineto -stroke -newpath -37584.2 22962.9 moveto -38315.3 22962.9 lineto -37921.6 22512.9 lineto -38090.3 22512.9 lineto -38202.8 22456.7 lineto -38259.1 22400.5 lineto -38315.3 22288 lineto -38315.3 22006.8 lineto -38259.1 21894.3 lineto -38202.8 21838 lineto -38090.3 21781.8 lineto -37752.9 21781.8 lineto -37640.4 21838 lineto -37584.2 21894.3 lineto -stroke -newpath -38877.7 21781.8 moveto -39102.7 21781.8 lineto -39215.2 21838 lineto -39271.4 21894.3 lineto -39383.9 22063 lineto -39440.2 22288 lineto -39440.2 22737.9 lineto -39383.9 22850.4 lineto -39327.7 22906.6 lineto -39215.2 22962.9 lineto -38990.2 22962.9 lineto -38877.7 22906.6 lineto -38821.5 22850.4 lineto -38765.3 22737.9 lineto -38765.3 22456.7 lineto -38821.5 22344.2 lineto -38877.7 22288 lineto -38990.2 22231.7 lineto -39215.2 22231.7 lineto -39327.7 22288 lineto -39383.9 22344.2 lineto -39440.2 22456.7 lineto -stroke -newpath -39890.1 22962.9 moveto -39890.1 22737.9 lineto -stroke -newpath -40340.1 22962.9 moveto -40340.1 22737.9 lineto -stroke -newpath -42083.6 21331.8 moveto -42027.4 21388.1 lineto -41914.9 21556.8 lineto -41858.6 21669.3 lineto -41802.4 21838 lineto -41746.1 22119.2 lineto -41746.1 22344.2 lineto -41802.4 22625.4 lineto -41858.6 22794.2 lineto -41914.9 22906.6 lineto -42027.4 23075.4 lineto -42083.6 23131.6 lineto -stroke -newpath -43039.7 22962.9 moveto -42814.8 22962.9 lineto -42702.3 22906.6 lineto -42646 22850.4 lineto -42533.5 22681.7 lineto -42477.3 22456.7 lineto -42477.3 22006.8 lineto -42533.5 21894.3 lineto -42589.8 21838 lineto -42702.3 21781.8 lineto -42927.2 21781.8 lineto -43039.7 21838 lineto -43096 21894.3 lineto -43152.2 22006.8 lineto -43152.2 22288 lineto -43096 22400.5 lineto -43039.7 22456.7 lineto -42927.2 22512.9 lineto -42702.3 22512.9 lineto -42589.8 22456.7 lineto -42533.5 22400.5 lineto -42477.3 22288 lineto -stroke -newpath -44558.3 21781.8 moveto -44558.3 22962.9 lineto -stroke -newpath -45064.5 21781.8 moveto -45064.5 22400.5 lineto -45008.2 22512.9 lineto -44895.7 22569.2 lineto -44727 22569.2 lineto -44614.5 22512.9 lineto -44558.3 22456.7 lineto -stroke -newpath -45795.6 21781.8 moveto -45683.1 21838 lineto -45626.9 21894.3 lineto -45570.7 22006.8 lineto -45570.7 22344.2 lineto -45626.9 22456.7 lineto -45683.1 22512.9 lineto -45795.6 22569.2 lineto -45964.4 22569.2 lineto -46076.8 22512.9 lineto -46133.1 22456.7 lineto -46189.3 22344.2 lineto -46189.3 22006.8 lineto -46133.1 21894.3 lineto -46076.8 21838 lineto -45964.4 21781.8 lineto -45795.6 21781.8 lineto -stroke -newpath -46864.2 21781.8 moveto -46751.8 21838 lineto -46695.5 21950.5 lineto -46695.5 22962.9 lineto -stroke -newpath -47764.1 21838 moveto -47651.7 21781.8 lineto -47426.7 21781.8 lineto -47314.2 21838 lineto -47257.9 21950.5 lineto -47257.9 22400.5 lineto -47314.2 22512.9 lineto -47426.7 22569.2 lineto -47651.7 22569.2 lineto -47764.1 22512.9 lineto -47820.4 22400.5 lineto -47820.4 22288 lineto -47257.9 22175.5 lineto -stroke -newpath -48270.3 21838 moveto -48382.8 21781.8 lineto -48607.8 21781.8 lineto -48720.3 21838 lineto -48776.5 21950.5 lineto -48776.5 22006.8 lineto -48720.3 22119.2 lineto -48607.8 22175.5 lineto -48439.1 22175.5 lineto -48326.6 22231.7 lineto -48270.3 22344.2 lineto -48270.3 22400.5 lineto -48326.6 22512.9 lineto -48439.1 22569.2 lineto -48607.8 22569.2 lineto -48720.3 22512.9 lineto -stroke -newpath -49170.2 21331.8 moveto -49226.5 21388.1 lineto -49338.9 21556.8 lineto -49395.2 21669.3 lineto -49451.4 21838 lineto -49507.7 22119.2 lineto -49507.7 22344.2 lineto -49451.4 22625.4 lineto -49395.2 22794.2 lineto -49338.9 22906.6 lineto -49226.5 23075.4 lineto -49170.2 23131.6 lineto -stroke -newpath -51307.4 21331.8 moveto -51251.2 21388.1 lineto -51138.7 21556.8 lineto -51082.5 21669.3 lineto -51026.2 21838 lineto -50970 22119.2 lineto -50970 22344.2 lineto -51026.2 22625.4 lineto -51082.5 22794.2 lineto -51138.7 22906.6 lineto -51251.2 23075.4 lineto -51307.4 23131.6 lineto -stroke -newpath -51757.4 22569.2 moveto -51757.4 21781.8 lineto -stroke -newpath -51757.4 22456.7 moveto -51813.6 22512.9 lineto -51926.1 22569.2 lineto -52094.8 22569.2 lineto -52207.3 22512.9 lineto -52263.6 22400.5 lineto -52263.6 21781.8 lineto -stroke -newpath -52994.7 21781.8 moveto -52882.2 21838 lineto -52826 21894.3 lineto -52769.8 22006.8 lineto -52769.8 22344.2 lineto -52826 22456.7 lineto -52882.2 22512.9 lineto -52994.7 22569.2 lineto -53163.5 22569.2 lineto -53275.9 22512.9 lineto -53332.2 22456.7 lineto -53388.4 22344.2 lineto -53388.4 22006.8 lineto -53332.2 21894.3 lineto -53275.9 21838 lineto -53163.5 21781.8 lineto -52994.7 21781.8 lineto -stroke -newpath -53725.9 22569.2 moveto -54175.8 22569.2 lineto -stroke -newpath -53894.6 22962.9 moveto -53894.6 21950.5 lineto -53950.9 21838 lineto -54063.3 21781.8 lineto -54175.8 21781.8 lineto -stroke -newpath -55469.4 22569.2 moveto -55469.4 21388.1 lineto -stroke -newpath -55469.4 22512.9 moveto -55581.9 22569.2 lineto -55806.9 22569.2 lineto -55919.4 22512.9 lineto -55975.6 22456.7 lineto -56031.9 22344.2 lineto -56031.9 22006.8 lineto -55975.6 21894.3 lineto -55919.4 21838 lineto -55806.9 21781.8 lineto -55581.9 21781.8 lineto -55469.4 21838 lineto -stroke -newpath -56706.8 21781.8 moveto -56594.3 21838 lineto -56538 21950.5 lineto -56538 22962.9 lineto -stroke -newpath -57662.9 21781.8 moveto -57662.9 22400.5 lineto -57606.7 22512.9 lineto -57494.2 22569.2 lineto -57269.2 22569.2 lineto -57156.7 22512.9 lineto -stroke -newpath -57662.9 21838 moveto -57550.4 21781.8 lineto -57269.2 21781.8 lineto -57156.7 21838 lineto -57100.5 21950.5 lineto -57100.5 22063 lineto -57156.7 22175.5 lineto -57269.2 22231.7 lineto -57550.4 22231.7 lineto -57662.9 22288 lineto -stroke -newpath -58056.6 22569.2 moveto -58506.5 22569.2 lineto -stroke -newpath -58225.3 22962.9 moveto -58225.3 21950.5 lineto -58281.6 21838 lineto -58394.1 21781.8 lineto -58506.5 21781.8 lineto -stroke -newpath -59350.2 21838 moveto -59237.7 21781.8 lineto -59012.7 21781.8 lineto -58900.2 21838 lineto -58844 21950.5 lineto -58844 22400.5 lineto -58900.2 22512.9 lineto -59012.7 22569.2 lineto -59237.7 22569.2 lineto -59350.2 22512.9 lineto -59406.4 22400.5 lineto -59406.4 22288 lineto -58844 22175.5 lineto -stroke -newpath -60418.8 21781.8 moveto -60418.8 22962.9 lineto -stroke -newpath -60418.8 21838 moveto -60306.3 21781.8 lineto -60081.3 21781.8 lineto -59968.9 21838 lineto -59912.6 21894.3 lineto -59856.4 22006.8 lineto -59856.4 22344.2 lineto -59912.6 22456.7 lineto -59968.9 22512.9 lineto -60081.3 22569.2 lineto -60306.3 22569.2 lineto -60418.8 22512.9 lineto -stroke -newpath -60868.7 21331.8 moveto -60925 21388.1 lineto -61037.5 21556.8 lineto -61093.7 21669.3 lineto -61150 21838 lineto -61206.2 22119.2 lineto -61206.2 22344.2 lineto -61150 22625.4 lineto -61093.7 22794.2 lineto -61037.5 22906.6 lineto -60925 23075.4 lineto -60868.7 23131.6 lineto -stroke -newpath -22831.4 21157.9 moveto -23633.1 20356.2 lineto -stroke -newpath -23633.1 21157.9 moveto -22831.4 20356.2 lineto -stroke -newpath -23232.2 20356.2 moveto -23633.1 20757 lineto -23232.2 21157.9 lineto -22831.4 20757 lineto -23232.2 20356.2 lineto -poly0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -25379.4 20222.7 moveto -24704.5 20222.7 lineto -stroke -newpath -25042 20222.7 moveto -25042 21403.8 lineto -24929.5 21235.1 lineto -24817 21122.6 lineto -24704.5 21066.4 lineto -stroke -newpath -25885.6 20335.2 moveto -25941.9 20279 lineto -25885.6 20222.7 lineto -25829.4 20279 lineto -25885.6 20335.2 lineto -25885.6 20222.7 lineto -stroke -newpath -27066.7 20222.7 moveto -26391.8 20222.7 lineto -stroke -newpath -26729.3 20222.7 moveto -26729.3 21403.8 lineto -26616.8 21235.1 lineto -26504.3 21122.6 lineto -26391.8 21066.4 lineto -stroke -newpath -28135.3 21403.8 moveto -27572.9 21403.8 lineto -27516.7 20841.4 lineto -27572.9 20897.6 lineto -27685.4 20953.9 lineto -27966.6 20953.9 lineto -28079.1 20897.6 lineto -28135.3 20841.4 lineto -28191.6 20728.9 lineto -28191.6 20447.7 lineto -28135.3 20335.2 lineto -28079.1 20279 lineto -27966.6 20222.7 lineto -27685.4 20222.7 lineto -27572.9 20279 lineto -27516.7 20335.2 lineto -stroke -newpath -28697.8 20222.7 moveto -28697.8 21010.1 lineto -stroke -newpath -28697.8 20897.6 moveto -28754 20953.9 lineto -28866.5 21010.1 lineto -29035.2 21010.1 lineto -29147.7 20953.9 lineto -29204 20841.4 lineto -29204 20222.7 lineto -stroke -newpath -29204 20841.4 moveto -29260.2 20953.9 lineto -29372.7 21010.1 lineto -29541.4 21010.1 lineto -29653.9 20953.9 lineto -29710.1 20841.4 lineto -29710.1 20222.7 lineto -stroke -newpath -30272.6 20222.7 moveto -30272.6 21010.1 lineto -stroke -newpath -30272.6 20897.6 moveto -30328.8 20953.9 lineto -30441.3 21010.1 lineto -30610 21010.1 lineto -30722.5 20953.9 lineto -30778.8 20841.4 lineto -30778.8 20222.7 lineto -stroke -newpath -30778.8 20841.4 moveto -30835 20953.9 lineto -30947.5 21010.1 lineto -31116.2 21010.1 lineto -31228.7 20953.9 lineto -31284.9 20841.4 lineto -31284.9 20222.7 lineto -stroke -newpath -33590.9 21460.1 moveto -32578.5 19941.5 lineto -stroke -newpath -35109.5 21403.8 moveto -35222 21403.8 lineto -35334.4 21347.6 lineto -35390.7 21291.4 lineto -35446.9 21178.9 lineto -35503.2 20953.9 lineto -35503.2 20672.7 lineto -35446.9 20447.7 lineto -35390.7 20335.2 lineto -35334.4 20279 lineto -35222 20222.7 lineto -35109.5 20222.7 lineto -34997 20279 lineto -34940.7 20335.2 lineto -34884.5 20447.7 lineto -34828.3 20672.7 lineto -34828.3 20953.9 lineto -34884.5 21178.9 lineto -34940.7 21291.4 lineto -34997 21347.6 lineto -35109.5 21403.8 lineto -stroke -newpath -36009.4 20335.2 moveto -36065.6 20279 lineto -36009.4 20222.7 lineto -35953.1 20279 lineto -36009.4 20335.2 lineto -36009.4 20222.7 lineto -stroke -newpath -36796.8 21403.8 moveto -36909.2 21403.8 lineto -37021.7 21347.6 lineto -37078 21291.4 lineto -37134.2 21178.9 lineto -37190.5 20953.9 lineto -37190.5 20672.7 lineto -37134.2 20447.7 lineto -37078 20335.2 lineto -37021.7 20279 lineto -36909.2 20222.7 lineto -36796.8 20222.7 lineto -36684.3 20279 lineto -36628 20335.2 lineto -36571.8 20447.7 lineto -36515.5 20672.7 lineto -36515.5 20953.9 lineto -36571.8 21178.9 lineto -36628 21291.4 lineto -36684.3 21347.6 lineto -36796.8 21403.8 lineto -stroke -newpath -38202.8 21010.1 moveto -38202.8 20222.7 lineto -stroke -newpath -37921.6 21460.1 moveto -37640.4 20616.4 lineto -38371.6 20616.4 lineto -stroke -newpath -39383.9 21403.8 moveto -38821.5 21403.8 lineto -38765.3 20841.4 lineto -38821.5 20897.6 lineto -38934 20953.9 lineto -39215.2 20953.9 lineto -39327.7 20897.6 lineto -39383.9 20841.4 lineto -39440.2 20728.9 lineto -39440.2 20447.7 lineto -39383.9 20335.2 lineto -39327.7 20279 lineto -39215.2 20222.7 lineto -38934 20222.7 lineto -38821.5 20279 lineto -38765.3 20335.2 lineto -stroke -newpath -39890.1 21403.8 moveto -39890.1 21178.9 lineto -stroke -newpath -40340.1 21403.8 moveto -40340.1 21178.9 lineto -stroke -newpath -42083.6 19772.8 moveto -42027.4 19829 lineto -41914.9 19997.8 lineto -41858.6 20110.2 lineto -41802.4 20279 lineto -41746.1 20560.2 lineto -41746.1 20785.2 lineto -41802.4 21066.4 lineto -41858.6 21235.1 lineto -41914.9 21347.6 lineto -42027.4 21516.3 lineto -42083.6 21572.6 lineto -stroke -newpath -43096 21403.8 moveto -42533.5 21403.8 lineto -42477.3 20841.4 lineto -42533.5 20897.6 lineto -42646 20953.9 lineto -42927.2 20953.9 lineto -43039.7 20897.6 lineto -43096 20841.4 lineto -43152.2 20728.9 lineto -43152.2 20447.7 lineto -43096 20335.2 lineto -43039.7 20279 lineto -42927.2 20222.7 lineto -42646 20222.7 lineto -42533.5 20279 lineto -42477.3 20335.2 lineto -stroke -newpath -44558.3 20222.7 moveto -44558.3 21403.8 lineto -stroke -newpath -45064.5 20222.7 moveto -45064.5 20841.4 lineto -45008.2 20953.9 lineto -44895.7 21010.1 lineto -44727 21010.1 lineto -44614.5 20953.9 lineto -44558.3 20897.6 lineto -stroke -newpath -45795.6 20222.7 moveto -45683.1 20279 lineto -45626.9 20335.2 lineto -45570.7 20447.7 lineto -45570.7 20785.2 lineto -45626.9 20897.6 lineto -45683.1 20953.9 lineto -45795.6 21010.1 lineto -45964.4 21010.1 lineto -46076.8 20953.9 lineto -46133.1 20897.6 lineto -46189.3 20785.2 lineto -46189.3 20447.7 lineto -46133.1 20335.2 lineto -46076.8 20279 lineto -45964.4 20222.7 lineto -45795.6 20222.7 lineto -stroke -newpath -46864.2 20222.7 moveto -46751.8 20279 lineto -46695.5 20391.5 lineto -46695.5 21403.8 lineto -stroke -newpath -47764.1 20279 moveto -47651.7 20222.7 lineto -47426.7 20222.7 lineto -47314.2 20279 lineto -47257.9 20391.5 lineto -47257.9 20841.4 lineto -47314.2 20953.9 lineto -47426.7 21010.1 lineto -47651.7 21010.1 lineto -47764.1 20953.9 lineto -47820.4 20841.4 lineto -47820.4 20728.9 lineto -47257.9 20616.4 lineto -stroke -newpath -48270.3 20279 moveto -48382.8 20222.7 lineto -48607.8 20222.7 lineto -48720.3 20279 lineto -48776.5 20391.5 lineto -48776.5 20447.7 lineto -48720.3 20560.2 lineto -48607.8 20616.4 lineto -48439.1 20616.4 lineto -48326.6 20672.7 lineto -48270.3 20785.2 lineto -48270.3 20841.4 lineto -48326.6 20953.9 lineto -48439.1 21010.1 lineto -48607.8 21010.1 lineto -48720.3 20953.9 lineto -stroke -newpath -49170.2 19772.8 moveto -49226.5 19829 lineto -49338.9 19997.8 lineto -49395.2 20110.2 lineto -49451.4 20279 lineto -49507.7 20560.2 lineto -49507.7 20785.2 lineto -49451.4 21066.4 lineto -49395.2 21235.1 lineto -49338.9 21347.6 lineto -49226.5 21516.3 lineto -49170.2 21572.6 lineto -stroke -newpath -51307.4 19772.8 moveto -51251.2 19829 lineto -51138.7 19997.8 lineto -51082.5 20110.2 lineto -51026.2 20279 lineto -50970 20560.2 lineto -50970 20785.2 lineto -51026.2 21066.4 lineto -51082.5 21235.1 lineto -51138.7 21347.6 lineto -51251.2 21516.3 lineto -51307.4 21572.6 lineto -stroke -newpath -51757.4 21010.1 moveto -51757.4 20222.7 lineto -stroke -newpath -51757.4 20897.6 moveto -51813.6 20953.9 lineto -51926.1 21010.1 lineto -52094.8 21010.1 lineto -52207.3 20953.9 lineto -52263.6 20841.4 lineto -52263.6 20222.7 lineto -stroke -newpath -52994.7 20222.7 moveto -52882.2 20279 lineto -52826 20335.2 lineto -52769.8 20447.7 lineto -52769.8 20785.2 lineto -52826 20897.6 lineto -52882.2 20953.9 lineto -52994.7 21010.1 lineto -53163.5 21010.1 lineto -53275.9 20953.9 lineto -53332.2 20897.6 lineto -53388.4 20785.2 lineto -53388.4 20447.7 lineto -53332.2 20335.2 lineto -53275.9 20279 lineto -53163.5 20222.7 lineto -52994.7 20222.7 lineto -stroke -newpath -53725.9 21010.1 moveto -54175.8 21010.1 lineto -stroke -newpath -53894.6 21403.8 moveto -53894.6 20391.5 lineto -53950.9 20279 lineto -54063.3 20222.7 lineto -54175.8 20222.7 lineto -stroke -newpath -55469.4 21010.1 moveto -55469.4 19829 lineto -stroke -newpath -55469.4 20953.9 moveto -55581.9 21010.1 lineto -55806.9 21010.1 lineto -55919.4 20953.9 lineto -55975.6 20897.6 lineto -56031.9 20785.2 lineto -56031.9 20447.7 lineto -55975.6 20335.2 lineto -55919.4 20279 lineto -55806.9 20222.7 lineto -55581.9 20222.7 lineto -55469.4 20279 lineto -stroke -newpath -56706.8 20222.7 moveto -56594.3 20279 lineto -56538 20391.5 lineto -56538 21403.8 lineto -stroke -newpath -57662.9 20222.7 moveto -57662.9 20841.4 lineto -57606.7 20953.9 lineto -57494.2 21010.1 lineto -57269.2 21010.1 lineto -57156.7 20953.9 lineto -stroke -newpath -57662.9 20279 moveto -57550.4 20222.7 lineto -57269.2 20222.7 lineto -57156.7 20279 lineto -57100.5 20391.5 lineto -57100.5 20503.9 lineto -57156.7 20616.4 lineto -57269.2 20672.7 lineto -57550.4 20672.7 lineto -57662.9 20728.9 lineto -stroke -newpath -58056.6 21010.1 moveto -58506.5 21010.1 lineto -stroke -newpath -58225.3 21403.8 moveto -58225.3 20391.5 lineto -58281.6 20279 lineto -58394.1 20222.7 lineto -58506.5 20222.7 lineto -stroke -newpath -59350.2 20279 moveto -59237.7 20222.7 lineto -59012.7 20222.7 lineto -58900.2 20279 lineto -58844 20391.5 lineto -58844 20841.4 lineto -58900.2 20953.9 lineto -59012.7 21010.1 lineto -59237.7 21010.1 lineto -59350.2 20953.9 lineto -59406.4 20841.4 lineto -59406.4 20728.9 lineto -58844 20616.4 lineto -stroke -newpath -60418.8 20222.7 moveto -60418.8 21403.8 lineto -stroke -newpath -60418.8 20279 moveto -60306.3 20222.7 lineto -60081.3 20222.7 lineto -59968.9 20279 lineto -59912.6 20335.2 lineto -59856.4 20447.7 lineto -59856.4 20785.2 lineto -59912.6 20897.6 lineto -59968.9 20953.9 lineto -60081.3 21010.1 lineto -60306.3 21010.1 lineto -60418.8 20953.9 lineto -stroke -newpath -60868.7 19772.8 moveto -60925 19829 lineto -61037.5 19997.8 lineto -61093.7 20110.2 lineto -61150 20279 lineto -61206.2 20560.2 lineto -61206.2 20785.2 lineto -61150 21066.4 lineto -61093.7 21235.1 lineto -61037.5 21347.6 lineto -60925 21516.3 lineto -60868.7 21572.6 lineto -stroke -newpath -22806.7 20024.4 moveto -22806.7 18371.6 lineto -stroke -newpath -21980.3 19198 moveto -23633.1 19198 lineto -stroke -22806.7 19198 826.405 cir0 -0 0 0 setrgbcolor -0 0 0 setrgbcolor -newpath -24704.5 19732.3 moveto -24760.8 19788.5 lineto -24873.2 19844.8 lineto -25154.5 19844.8 lineto -25267 19788.5 lineto -25323.2 19732.3 lineto -25379.4 19619.8 lineto -25379.4 19507.3 lineto -25323.2 19338.6 lineto -24648.3 18663.7 lineto -25379.4 18663.7 lineto -stroke -newpath -25885.6 18776.2 moveto -25941.9 18719.9 lineto -25885.6 18663.7 lineto -25829.4 18719.9 lineto -25885.6 18776.2 lineto -25885.6 18663.7 lineto -stroke -newpath -26335.6 19844.8 moveto -27066.7 19844.8 lineto -26673 19394.8 lineto -26841.8 19394.8 lineto -26954.2 19338.6 lineto -27010.5 19282.4 lineto -27066.7 19169.9 lineto -27066.7 18888.7 lineto -27010.5 18776.2 lineto -26954.2 18719.9 lineto -26841.8 18663.7 lineto -26504.3 18663.7 lineto -26391.8 18719.9 lineto -26335.6 18776.2 lineto -stroke -newpath -27460.4 19844.8 moveto -28247.8 19844.8 lineto -27741.6 18663.7 lineto -stroke -newpath -28697.8 18663.7 moveto -28697.8 19451.1 lineto -stroke -newpath -28697.8 19338.6 moveto -28754 19394.8 lineto -28866.5 19451.1 lineto -29035.2 19451.1 lineto -29147.7 19394.8 lineto -29204 19282.4 lineto -29204 18663.7 lineto -stroke -newpath -29204 19282.4 moveto -29260.2 19394.8 lineto -29372.7 19451.1 lineto -29541.4 19451.1 lineto -29653.9 19394.8 lineto -29710.1 19282.4 lineto -29710.1 18663.7 lineto -stroke -newpath -30272.6 18663.7 moveto -30272.6 19451.1 lineto -stroke -newpath -30272.6 19338.6 moveto -30328.8 19394.8 lineto -30441.3 19451.1 lineto -30610 19451.1 lineto -30722.5 19394.8 lineto -30778.8 19282.4 lineto -30778.8 18663.7 lineto -stroke -newpath -30778.8 19282.4 moveto -30835 19394.8 lineto -30947.5 19451.1 lineto -31116.2 19451.1 lineto -31228.7 19394.8 lineto -31284.9 19282.4 lineto -31284.9 18663.7 lineto -stroke -newpath -33590.9 19901 moveto -32578.5 18382.5 lineto -stroke -newpath -35109.5 19844.8 moveto -35222 19844.8 lineto -35334.4 19788.5 lineto -35390.7 19732.3 lineto -35446.9 19619.8 lineto -35503.2 19394.8 lineto -35503.2 19113.6 lineto -35446.9 18888.7 lineto -35390.7 18776.2 lineto -35334.4 18719.9 lineto -35222 18663.7 lineto -35109.5 18663.7 lineto -34997 18719.9 lineto -34940.7 18776.2 lineto -34884.5 18888.7 lineto -34828.3 19113.6 lineto -34828.3 19394.8 lineto -34884.5 19619.8 lineto -34940.7 19732.3 lineto -34997 19788.5 lineto -35109.5 19844.8 lineto -stroke -newpath -36009.4 18776.2 moveto -36065.6 18719.9 lineto -36009.4 18663.7 lineto -35953.1 18719.9 lineto -36009.4 18776.2 lineto -36009.4 18663.7 lineto -stroke -newpath -36796.8 19844.8 moveto -36909.2 19844.8 lineto -37021.7 19788.5 lineto -37078 19732.3 lineto -37134.2 19619.8 lineto -37190.5 19394.8 lineto -37190.5 19113.6 lineto -37134.2 18888.7 lineto -37078 18776.2 lineto -37021.7 18719.9 lineto -36909.2 18663.7 lineto -36796.8 18663.7 lineto -36684.3 18719.9 lineto -36628 18776.2 lineto -36571.8 18888.7 lineto -36515.5 19113.6 lineto -36515.5 19394.8 lineto -36571.8 19619.8 lineto -36628 19732.3 lineto -36684.3 19788.5 lineto -36796.8 19844.8 lineto -stroke -newpath -37752.9 18663.7 moveto -37977.9 18663.7 lineto -38090.3 18719.9 lineto -38146.6 18776.2 lineto -38259.1 18944.9 lineto -38315.3 19169.9 lineto -38315.3 19619.8 lineto -38259.1 19732.3 lineto -38202.8 19788.5 lineto -38090.3 19844.8 lineto -37865.4 19844.8 lineto -37752.9 19788.5 lineto -37696.6 19732.3 lineto -37640.4 19619.8 lineto -37640.4 19338.6 lineto -37696.6 19226.1 lineto -37752.9 19169.9 lineto -37865.4 19113.6 lineto -38090.3 19113.6 lineto -38202.8 19169.9 lineto -38259.1 19226.1 lineto -38315.3 19338.6 lineto -stroke -newpath -38709 19844.8 moveto -39440.2 19844.8 lineto -39046.5 19394.8 lineto -39215.2 19394.8 lineto -39327.7 19338.6 lineto -39383.9 19282.4 lineto -39440.2 19169.9 lineto -39440.2 18888.7 lineto -39383.9 18776.2 lineto -39327.7 18719.9 lineto -39215.2 18663.7 lineto -38877.7 18663.7 lineto -38765.3 18719.9 lineto -38709 18776.2 lineto -stroke -newpath -39890.1 19844.8 moveto -39890.1 19619.8 lineto -stroke -newpath -40340.1 19844.8 moveto -40340.1 19619.8 lineto -stroke -newpath -42083.6 18213.7 moveto -42027.4 18270 lineto -41914.9 18438.7 lineto -41858.6 18551.2 lineto -41802.4 18719.9 lineto -41746.1 19001.1 lineto -41746.1 19226.1 lineto -41802.4 19507.3 lineto -41858.6 19676.1 lineto -41914.9 19788.5 lineto -42027.4 19957.3 lineto -42083.6 20013.5 lineto -stroke -newpath -42702.3 19338.6 moveto -42589.8 19394.8 lineto -42533.5 19451.1 lineto -42477.3 19563.6 lineto -42477.3 19619.8 lineto -42533.5 19732.3 lineto -42589.8 19788.5 lineto -42702.3 19844.8 lineto -42927.2 19844.8 lineto -43039.7 19788.5 lineto -43096 19732.3 lineto -43152.2 19619.8 lineto -43152.2 19563.6 lineto -43096 19451.1 lineto -43039.7 19394.8 lineto -42927.2 19338.6 lineto -42702.3 19338.6 lineto -42589.8 19282.4 lineto -42533.5 19226.1 lineto -42477.3 19113.6 lineto -42477.3 18888.7 lineto -42533.5 18776.2 lineto -42589.8 18719.9 lineto -42702.3 18663.7 lineto -42927.2 18663.7 lineto -43039.7 18719.9 lineto -43096 18776.2 lineto -43152.2 18888.7 lineto -43152.2 19113.6 lineto -43096 19226.1 lineto -43039.7 19282.4 lineto -42927.2 19338.6 lineto -stroke -newpath -44558.3 18663.7 moveto -44558.3 19844.8 lineto -stroke -newpath -45064.5 18663.7 moveto -45064.5 19282.4 lineto -45008.2 19394.8 lineto -44895.7 19451.1 lineto -44727 19451.1 lineto -44614.5 19394.8 lineto -44558.3 19338.6 lineto -stroke -newpath -45795.6 18663.7 moveto -45683.1 18719.9 lineto -45626.9 18776.2 lineto -45570.7 18888.7 lineto -45570.7 19226.1 lineto -45626.9 19338.6 lineto -45683.1 19394.8 lineto -45795.6 19451.1 lineto -45964.4 19451.1 lineto -46076.8 19394.8 lineto -46133.1 19338.6 lineto -46189.3 19226.1 lineto -46189.3 18888.7 lineto -46133.1 18776.2 lineto -46076.8 18719.9 lineto -45964.4 18663.7 lineto -45795.6 18663.7 lineto -stroke -newpath -46864.2 18663.7 moveto -46751.8 18719.9 lineto -46695.5 18832.4 lineto -46695.5 19844.8 lineto -stroke -newpath -47764.1 18719.9 moveto -47651.7 18663.7 lineto -47426.7 18663.7 lineto -47314.2 18719.9 lineto -47257.9 18832.4 lineto -47257.9 19282.4 lineto -47314.2 19394.8 lineto -47426.7 19451.1 lineto -47651.7 19451.1 lineto -47764.1 19394.8 lineto -47820.4 19282.4 lineto -47820.4 19169.9 lineto -47257.9 19057.4 lineto -stroke -newpath -48270.3 18719.9 moveto -48382.8 18663.7 lineto -48607.8 18663.7 lineto -48720.3 18719.9 lineto -48776.5 18832.4 lineto -48776.5 18888.7 lineto -48720.3 19001.1 lineto -48607.8 19057.4 lineto -48439.1 19057.4 lineto -48326.6 19113.6 lineto -48270.3 19226.1 lineto -48270.3 19282.4 lineto -48326.6 19394.8 lineto -48439.1 19451.1 lineto -48607.8 19451.1 lineto -48720.3 19394.8 lineto -stroke -newpath -49170.2 18213.7 moveto -49226.5 18270 lineto -49338.9 18438.7 lineto -49395.2 18551.2 lineto -49451.4 18719.9 lineto -49507.7 19001.1 lineto -49507.7 19226.1 lineto -49451.4 19507.3 lineto -49395.2 19676.1 lineto -49338.9 19788.5 lineto -49226.5 19957.3 lineto -49170.2 20013.5 lineto -stroke -newpath -51307.4 18213.7 moveto -51251.2 18270 lineto -51138.7 18438.7 lineto -51082.5 18551.2 lineto -51026.2 18719.9 lineto -50970 19001.1 lineto -50970 19226.1 lineto -51026.2 19507.3 lineto -51082.5 19676.1 lineto -51138.7 19788.5 lineto -51251.2 19957.3 lineto -51307.4 20013.5 lineto -stroke -newpath -51757.4 19451.1 moveto -51757.4 18663.7 lineto -stroke -newpath -51757.4 19338.6 moveto -51813.6 19394.8 lineto -51926.1 19451.1 lineto -52094.8 19451.1 lineto -52207.3 19394.8 lineto -52263.6 19282.4 lineto -52263.6 18663.7 lineto -stroke -newpath -52994.7 18663.7 moveto -52882.2 18719.9 lineto -52826 18776.2 lineto -52769.8 18888.7 lineto -52769.8 19226.1 lineto -52826 19338.6 lineto -52882.2 19394.8 lineto -52994.7 19451.1 lineto -53163.5 19451.1 lineto -53275.9 19394.8 lineto -53332.2 19338.6 lineto -53388.4 19226.1 lineto -53388.4 18888.7 lineto -53332.2 18776.2 lineto -53275.9 18719.9 lineto -53163.5 18663.7 lineto -52994.7 18663.7 lineto -stroke -newpath -53725.9 19451.1 moveto -54175.8 19451.1 lineto -stroke -newpath -53894.6 19844.8 moveto -53894.6 18832.4 lineto -53950.9 18719.9 lineto -54063.3 18663.7 lineto -54175.8 18663.7 lineto -stroke -newpath -55469.4 19451.1 moveto -55469.4 18270 lineto -stroke -newpath -55469.4 19394.8 moveto -55581.9 19451.1 lineto -55806.9 19451.1 lineto -55919.4 19394.8 lineto -55975.6 19338.6 lineto -56031.9 19226.1 lineto -56031.9 18888.7 lineto -55975.6 18776.2 lineto -55919.4 18719.9 lineto -55806.9 18663.7 lineto -55581.9 18663.7 lineto -55469.4 18719.9 lineto -stroke -newpath -56706.8 18663.7 moveto -56594.3 18719.9 lineto -56538 18832.4 lineto -56538 19844.8 lineto -stroke -newpath -57662.9 18663.7 moveto -57662.9 19282.4 lineto -57606.7 19394.8 lineto -57494.2 19451.1 lineto -57269.2 19451.1 lineto -57156.7 19394.8 lineto -stroke -newpath -57662.9 18719.9 moveto -57550.4 18663.7 lineto -57269.2 18663.7 lineto -57156.7 18719.9 lineto -57100.5 18832.4 lineto -57100.5 18944.9 lineto -57156.7 19057.4 lineto -57269.2 19113.6 lineto -57550.4 19113.6 lineto -57662.9 19169.9 lineto -stroke -newpath -58056.6 19451.1 moveto -58506.5 19451.1 lineto -stroke -newpath -58225.3 19844.8 moveto -58225.3 18832.4 lineto -58281.6 18719.9 lineto -58394.1 18663.7 lineto -58506.5 18663.7 lineto -stroke -newpath -59350.2 18719.9 moveto -59237.7 18663.7 lineto -59012.7 18663.7 lineto -58900.2 18719.9 lineto -58844 18832.4 lineto -58844 19282.4 lineto -58900.2 19394.8 lineto -59012.7 19451.1 lineto -59237.7 19451.1 lineto -59350.2 19394.8 lineto -59406.4 19282.4 lineto -59406.4 19169.9 lineto -58844 19057.4 lineto -stroke -newpath -60418.8 18663.7 moveto -60418.8 19844.8 lineto -stroke -newpath -60418.8 18719.9 moveto -60306.3 18663.7 lineto -60081.3 18663.7 lineto -59968.9 18719.9 lineto -59912.6 18776.2 lineto -59856.4 18888.7 lineto -59856.4 19226.1 lineto -59912.6 19338.6 lineto -59968.9 19394.8 lineto -60081.3 19451.1 lineto -60306.3 19451.1 lineto -60418.8 19394.8 lineto -stroke -newpath -60868.7 18213.7 moveto -60925 18270 lineto -61037.5 18438.7 lineto -61093.7 18551.2 lineto -61150 18719.9 lineto -61206.2 19001.1 lineto -61206.2 19226.1 lineto -61150 19507.3 lineto -61093.7 19676.1 lineto -61037.5 19788.5 lineto -60925 19957.3 lineto -60868.7 20013.5 lineto -stroke -showpage -grestore -%%EOF diff --git a/gerber/GR8RAM-top-pos.csv b/gerber/GR8RAM-top-pos.csv deleted file mode 100644 index b990719..0000000 --- a/gerber/GR8RAM-top-pos.csv +++ /dev/null @@ -1,60 +0,0 @@ -Ref,Val,Package,MidX,MidY,Rot,Side -"C1","10u","C_0805",136.310000,-128.270000,180.000000,top -"C2","10u","C_0805",119.976000,-128.270000,180.000000,top -"C3","10u","C_0805",116.244000,-128.270000,0.000000,top -"C4","10u","C_0805",75.350000,-128.270000,180.000000,top -"C5","2u2","C_0603",113.650000,-90.750000,180.000000,top -"C7","10u","C_0805",140.100000,-124.200000,90.000000,top -"C10","10u","C_0805",132.750000,-124.200000,90.000000,top -"C11","10u","C_0805",130.350000,-124.200000,90.000000,top -"C12","2u2","C_0603",123.650000,-90.750000,180.000000,top -"C13","2u2","C_0603",76.600000,-119.800000,270.000000,top -"C14","2u2","C_0603",85.800000,-119.800000,270.000000,top -"C15","2u2","C_0603",95.000000,-119.800000,270.000000,top -"C16","2u2","C_0603",104.200000,-119.800000,270.000000,top -"C18","2u2","C_0603",82.800000,-103.551000,90.000000,top -"C19","2u2","C_0603",84.350000,-98.000000,90.000000,top -"C20","2u2","C_0603",84.350000,-100.900000,90.000000,top -"C21","2u2","C_0603",90.800000,-111.100000,0.000000,top -"C22","2u2","C_0603",97.800000,-111.100000,0.000000,top -"C23","2u2","C_0603",103.750000,-104.300000,270.000000,top -"C24","2u2","C_0603",103.750000,-100.900000,90.000000,top -"C25","2u2","C_0603",97.150000,-91.700000,180.000000,top -"C26","2u2","C_0603",90.150000,-91.700000,180.000000,top -"C27","2u2","C_0603",105.950000,-98.750000,270.000000,top -"C28","2u2","C_0603",123.650000,-115.350000,180.000000,top -"C29","2u2","C_0603",126.450000,-112.600000,270.000000,top -"C30","2u2","C_0603",126.450000,-107.800000,270.000000,top -"C31","2u2","C_0603",126.450000,-103.800000,270.000000,top -"C32","2u2","C_0603",126.450000,-93.400000,270.000000,top -"C33","2u2","C_0603",110.800000,-104.050000,90.000000,top -"C34","2u2","C_0603",110.850000,-108.700000,90.000000,top -"C35","2u2","C_0603",113.650000,-115.350000,180.000000,top -"C42","2u2","C_0603",117.800000,-122.100000,90.000000,top -"C43","2u2","C_0603",104.800000,-112.250000,0.000000,top -"C44","2u2","C_0603",69.000000,-100.650000,270.000000,top -"FID1","Fiducial","Fiducial",143.002000,-82.423000,270.000000,top -"FID2","Fiducial","Fiducial",48.133000,-93.599000,90.000000,top -"FID3","Fiducial","Fiducial",58.801000,-82.931000,90.000000,top -"FID4","Fiducial","Fiducial",143.002000,-129.540000,0.000000,top -"FID5","Fiducial","Fiducial",48.133000,-129.540000,0.000000,top -"R22","33","R_0603",115.800000,-124.200000,180.000000,top -"R28","22k","R_0603",70.550000,-110.650000,0.000000,top -"R29","22k","R_0603",70.550000,-112.100000,180.000000,top -"R31","33","R_0603",80.950000,-108.500000,90.000000,top -"RN1","4x33","R4_0402",108.200000,-95.150000,0.000000,top -"RN2","4x33","R4_0402",108.450000,-106.250000,270.000000,top -"RN3","4x33","R4_0402",108.450000,-110.650000,270.000000,top -"RN5","4x10k","R4_0402",69.100000,-96.450000,90.000000,top -"SW1","FW","SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm",135.763000,-95.885000,0.000000,top -"U1","EPM240T100C5N","TQFP-100_14x14mm_P0.5mm",94.050000,-101.400000,270.000000,top -"U2","W9825","TSOP-II-54_22.2x10.16mm_P0.8mm",118.650000,-103.050000,180.000000,top -"U3","W25Q128JVSIQ","SOIC-8_5.3mm",79.121000,-100.711000,180.000000,top -"U4","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",90.225000,-122.000000,0.000000,top -"U5","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",108.625000,-122.000000,0.000000,top -"U6","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",81.025000,-122.000000,0.000000,top -"U8","XC6206P332MR","SOT-23",136.250000,-124.200000,180.000000,top -"U9","74AHC245PW","TSSOP-20_4.4x6.5mm_P0.65mm",99.425000,-122.000000,0.000000,top -"U13","25M","Crystal_SMD_3225-4Pin_3.2x2.5mm",107.100000,-102.500000,0.000000,top -"U14","74LVC1G125GW","SOT-353",115.650000,-121.850000,90.000000,top -"U16","74LVC1G125GW","SOT-353",108.200000,-98.850000,270.000000,top diff --git a/gerber/GR8RAM-top.pos b/gerber/GR8RAM-top.pos deleted file mode 100644 index bf64a64..0000000 --- a/gerber/GR8RAM-top.pos +++ /dev/null @@ -1,65 +0,0 @@ -### Module positions - created on Monday, April 19, 2021 at 04:27:25 AM ### -### Printed by Pcbnew version kicad (5.1.5-0-10_14) -## Unit = mm, Angle = deg. -## Side : top -# Ref Val Package PosX PosY Rot Side -C1 10u C_0805 136.3100 -128.2700 180.0000 top -C2 10u C_0805 119.9760 -128.2700 180.0000 top -C3 10u C_0805 116.2440 -128.2700 0.0000 top -C4 10u C_0805 75.3500 -128.2700 180.0000 top -C5 2u2 C_0603 113.6500 -90.7500 180.0000 top -C7 10u C_0805 140.1000 -124.2000 90.0000 top -C10 10u C_0805 132.7500 -124.2000 90.0000 top -C11 10u C_0805 130.3500 -124.2000 90.0000 top -C12 2u2 C_0603 123.6500 -90.7500 180.0000 top -C13 2u2 C_0603 76.6000 -119.8000 270.0000 top -C14 2u2 C_0603 85.8000 -119.8000 270.0000 top -C15 2u2 C_0603 95.0000 -119.8000 270.0000 top -C16 2u2 C_0603 104.2000 -119.8000 270.0000 top -C18 2u2 C_0603 82.8000 -103.5510 90.0000 top -C19 2u2 C_0603 84.3500 -98.0000 90.0000 top -C20 2u2 C_0603 84.3500 -100.9000 90.0000 top -C21 2u2 C_0603 90.8000 -111.1000 0.0000 top -C22 2u2 C_0603 97.8000 -111.1000 0.0000 top -C23 2u2 C_0603 103.7500 -104.3000 270.0000 top -C24 2u2 C_0603 103.7500 -100.9000 90.0000 top -C25 2u2 C_0603 97.1500 -91.7000 180.0000 top -C26 2u2 C_0603 90.1500 -91.7000 180.0000 top -C27 2u2 C_0603 105.9500 -98.7500 270.0000 top -C28 2u2 C_0603 123.6500 -115.3500 180.0000 top -C29 2u2 C_0603 126.4500 -112.6000 270.0000 top -C30 2u2 C_0603 126.4500 -107.8000 270.0000 top -C31 2u2 C_0603 126.4500 -103.8000 270.0000 top -C32 2u2 C_0603 126.4500 -93.4000 270.0000 top -C33 2u2 C_0603 110.8000 -104.0500 90.0000 top -C34 2u2 C_0603 110.8500 -108.7000 90.0000 top -C35 2u2 C_0603 113.6500 -115.3500 180.0000 top -C42 2u2 C_0603 117.8000 -122.1000 90.0000 top -C43 2u2 C_0603 104.8000 -112.2500 0.0000 top -C44 2u2 C_0603 69.0000 -100.6500 270.0000 top -FID1 Fiducial Fiducial 143.0020 -82.4230 270.0000 top -FID2 Fiducial Fiducial 48.1330 -93.5990 90.0000 top -FID3 Fiducial Fiducial 58.8010 -82.9310 90.0000 top -FID4 Fiducial Fiducial 143.0020 -129.5400 0.0000 top -FID5 Fiducial Fiducial 48.1330 -129.5400 0.0000 top -R22 33 R_0603 115.8000 -124.2000 180.0000 top -R28 22k R_0603 70.5500 -110.6500 0.0000 top -R29 22k R_0603 70.5500 -112.1000 180.0000 top -R31 33 R_0603 80.9500 -108.5000 90.0000 top -RN1 4x33 R4_0402 108.2000 -95.1500 0.0000 top -RN2 4x33 R4_0402 108.4500 -106.2500 270.0000 top -RN3 4x33 R4_0402 108.4500 -110.6500 270.0000 top -RN5 4x10k R4_0402 69.1000 -96.4500 90.0000 top -SW1 FW SW_DIP_SPSTx02_Slide_DSHP02TS_P1.27mm 135.7630 -95.8850 0.0000 top -U1 EPM240T100C5N TQFP-100_14x14mm_P0.5mm 94.0500 -101.4000 270.0000 top -U2 W9825 TSOP-II-54_22.2x10.16mm_P0.8mm 118.6500 -103.0500 180.0000 top -U3 W25Q128JVSIQ SOIC-8_5.3mm 79.1210 -100.7110 180.0000 top -U4 74AHC245PW TSSOP-20_4.4x6.5mm_P0.65mm 90.2250 -122.0000 0.0000 top -U5 74AHC245PW TSSOP-20_4.4x6.5mm_P0.65mm 108.6250 -122.0000 0.0000 top -U6 74AHC245PW TSSOP-20_4.4x6.5mm_P0.65mm 81.0250 -122.0000 0.0000 top -U8 XC6206P332MR SOT-23 136.2500 -124.2000 180.0000 top -U9 74AHC245PW TSSOP-20_4.4x6.5mm_P0.65mm 99.4250 -122.0000 0.0000 top -U13 25M Crystal_SMD_3225-4Pin_3.2x2.5mm 107.1000 -102.5000 0.0000 top -U14 74LVC1G125GW SOT-353 115.6500 -121.8500 90.0000 top -U16 74LVC1G125GW SOT-353 108.2000 -98.8500 270.0000 top -## End diff --git a/gerber/GR8RAM.drl b/gerber/GR8RAM.drl deleted file mode 100644 index baa9377..0000000 --- a/gerber/GR8RAM.drl +++ /dev/null @@ -1,759 +0,0 @@ -M48 -; DRILL file {KiCad (5.1.5-0-10_14)} date Monday, April 19, 2021 at 04:27:16 AM -; FORMAT={-:-/ absolute / inch / decimal} -; #@! TF.CreationDate,2021-04-19T04:27:16-04:00 -; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.5-0-10_14) -FMAT,2 -INCH -T1C0.0079 -T2C0.0118 -T3C0.0150 -T4C0.0157 -T5C0.0300 -T6C0.0400 -T7C0.0433 -T8C0.0390 -T9C0.0454 -T10C0.0935 -% -G90 -G05 -T1 -X1.825Y-3.68 -X1.825Y-3.915 -X1.825Y-4.115 -X1.825Y-4.315 -X1.825Y-4.515 -X1.825Y-4.715 -X1.825Y-4.915 -X1.825Y-5.1 -X1.895Y-5.17 -X1.925Y-3.575 -X1.925Y-4.015 -X1.925Y-4.215 -X1.925Y-4.415 -X1.925Y-4.615 -X1.925Y-4.815 -X2.025Y-3.475 -X2.025Y-3.715 -X2.025Y-3.915 -X2.025Y-4.115 -X2.025Y-4.315 -X2.025Y-4.515 -X2.025Y-4.715 -X2.025Y-4.915 -X2.025Y-5.17 -X2.12Y-5.04 -X2.125Y-3.375 -X2.125Y-3.615 -X2.125Y-3.815 -X2.125Y-4.015 -X2.125Y-4.215 -X2.125Y-4.415 -X2.125Y-4.615 -X2.125Y-4.815 -X2.2Y-3.485 -X2.22Y-4.515 -X2.22Y-4.715 -X2.22Y-4.915 -X2.2205Y-3.915 -X2.2205Y-4.115 -X2.2205Y-4.315 -X2.225Y-3.275 -X2.225Y-3.715 -X2.225Y-5.17 -X2.2598Y-4.3327 -X2.2598Y-4.878 -X2.28Y-3.4 -X2.2854Y-4.5354 -X2.29Y-3.585 -X2.313Y-4.876 -X2.32Y-5.04 -X2.33Y-3.175 -X2.345Y-3.475 -X2.345Y-3.675 -X2.36Y-3.32 -X2.3701Y-4.2067 -X2.415Y-4.6375 -X2.4225Y-4.91 -X2.425Y-5.17 -X2.445Y-3.175 -X2.445Y-3.375 -X2.445Y-3.575 -X2.465Y-4.7525 -X2.4775Y-4.6075 -X2.4843Y-4.5728 -X2.495Y-4.6325 -X2.5075Y-4.53 -X2.52Y-5.04 -X2.525Y-4.79 -X2.525Y-4.82 -X2.5433Y-4.498 -X2.545Y-3.275 -X2.545Y-3.475 -X2.545Y-3.675 -X2.59Y-4.185 -X2.59Y-4.24 -X2.6025Y-4.91 -X2.625Y-5.17 -X2.6299Y-3.9921 -X2.6299Y-4.3327 -X2.645Y-3.175 -X2.645Y-3.375 -X2.645Y-3.575 -X2.6732Y-3.7972 -X2.6831Y-3.939 -X2.6831Y-3.9862 -X2.6909Y-4.3937 -X2.7028Y-4.2953 -X2.7028Y-4.3504 -X2.7165Y-3.9016 -X2.7165Y-4.0236 -X2.72Y-5.04 -X2.725Y-4.615 -X2.725Y-4.815 -X2.7402Y-3.7421 -X2.7402Y-3.8524 -X2.745Y-3.275 -X2.745Y-3.475 -X2.745Y-3.675 -X2.7461Y-4.3228 -X2.7461Y-4.4469 -X2.75Y-3.939 -X2.75Y-3.9862 -X2.825Y-4.515 -X2.825Y-4.715 -X2.825Y-4.915 -X2.825Y-5.17 -X2.8366Y-4.3563 -X2.8386Y-4.4134 -X2.845Y-3.175 -X2.845Y-3.175 -X2.845Y-3.375 -X2.845Y-3.375 -X2.845Y-3.575 -X2.925Y-4.615 -X2.925Y-4.815 -X2.945Y-3.275 -X2.945Y-3.475 -X2.9803Y-4.002 -X3.0059Y-4.122 -X3.01Y-3.8225 -X3.025Y-4.515 -X3.04Y-3.7725 -X3.04Y-3.87 -X3.04Y-4.06 -X3.045Y-3.175 -X3.045Y-3.375 -X3.05Y-5.17 -X3.0502Y-4.687 -X3.0502Y-4.7461 -X3.065Y-5.0079 -X3.0709Y-4.2224 -X3.0748Y-4.6437 -X3.0748Y-4.7303 -X3.0748Y-4.874 -X3.0787Y-4.1713 -X3.0825Y-3.77 -X3.09Y-4.06 -X3.1004Y-4.9646 -X3.1024Y-4.6437 -X3.126Y-4.9941 -X3.14Y-4.06 -X3.1417Y-4.2776 -X3.145Y-3.275 -X3.145Y-3.475 -X3.1475Y-3.77 -X3.1476Y-5.0531 -X3.15Y-5.17 -X3.1516Y-4.2382 -X3.1516Y-4.9646 -X3.1772Y-4.9941 -X3.1791Y-5.1142 -X3.19Y-3.77 -X3.19Y-4.1575 -X3.2028Y-4.9646 -X3.22Y-5.0925 -X3.2264Y-4.0472 -X3.2264Y-4.1063 -X3.2283Y-4.9941 -X3.2362Y-4.2992 -X3.245Y-3.175 -X3.245Y-3.375 -X3.248Y-3.8504 -X3.25Y-5.17 -X3.2539Y-4.9646 -X3.2559Y-4.2638 -X3.2598Y-4.0157 -X3.2598Y-4.1378 -X3.2657Y-3.9154 -X3.2736Y-3.6929 -X3.2756Y-4.2992 -X3.2795Y-4.9941 -X3.2874Y-3.8346 -X3.2874Y-3.8819 -X3.2874Y-3.9488 -X3.2874Y-3.9961 -X3.2933Y-4.0472 -X3.3051Y-4.874 -X3.3051Y-4.9646 -X3.313Y-4.2677 -X3.3169Y-4.0335 -X3.3209Y-4.2283 -X3.3268Y-4.4783 -X3.3307Y-4.9193 -X3.3445Y-4.6909 -X3.345Y-3.31 -X3.345Y-3.48 -X3.35Y-5.17 -X3.3524Y-4.1201 -X3.3543Y-3.8248 -X3.3543Y-5.015 -X3.3563Y-3.9114 -X3.3563Y-3.9429 -X3.3563Y-3.9921 -X3.3563Y-4.1693 -X3.3563Y-4.2087 -X3.3642Y-4.2736 -X3.4016Y-3.7185 -X3.4016Y-4.3228 -X3.4114Y-4.7461 -X3.4124Y-4.687 -X3.4193Y-5.1161 -X3.4213Y-4.9685 -X3.4311Y-3.6575 -X3.437Y-4.6437 -X3.437Y-4.7303 -X3.437Y-4.874 -X3.4409Y-4.3996 -X3.4449Y-3.9114 -X3.4449Y-3.9429 -X3.4449Y-3.9921 -X3.445Y-3.175 -X3.445Y-3.405 -X3.4469Y-4.0709 -X3.45Y-5.17 -X3.4567Y-4.189 -X3.4567Y-4.5059 -X3.4646Y-4.6437 -X3.4665Y-5.1043 -X3.4803Y-5.0413 -X3.4823Y-3.8346 -X3.4823Y-3.874 -X3.4823Y-4.0118 -X3.4843Y-3.9232 -X3.5039Y-3.7638 -X3.5157Y-3.6437 -X3.5197Y-5.1122 -X3.5217Y-4.0984 -X3.545Y-3.3 -X3.5453Y-3.7539 -X3.5453Y-4.2087 -X3.55Y-5.17 -X3.5551Y-5.0925 -X3.5591Y-4.3386 -X3.561Y-4.248 -X3.5787Y-3.6457 -X3.5787Y-4.9724 -X3.5827Y-3.7343 -X3.5886Y-4.248 -X3.5906Y-4.3386 -X3.6063Y-3.6457 -X3.6102Y-3.7343 -X3.6201Y-4.9902 -X3.6201Y-5.1122 -X3.6398Y-4.4449 -X3.6437Y-5.0374 -X3.6437Y-5.0807 -X3.645Y-3.175 -X3.645Y-3.405 -X3.65Y-5.17 -X3.6673Y-4.874 -X3.6673Y-4.9646 -X3.6929Y-4.9193 -X3.7067Y-4.6909 -X3.7205Y-5.1142 -X3.7402Y-5.0354 -X3.745Y-3.3 -X3.745Y-3.475 -X3.75Y-5.17 -X3.7746Y-4.687 -X3.7746Y-4.7461 -X3.7776Y-3.75 -X3.7854Y-4.4035 -X3.7913Y-3.6457 -X3.7992Y-4.6437 -X3.7992Y-4.7303 -X3.7992Y-4.874 -X3.8071Y-3.7776 -X3.8169Y-3.7402 -X3.8268Y-3.815 -X3.8268Y-4.6437 -X3.8346Y-4.3386 -X3.8366Y-4.248 -X3.8366Y-4.9961 -X3.845Y-3.175 -X3.845Y-3.405 -X3.85Y-5.17 -X3.8504Y-4.2106 -X3.8543Y-3.6457 -X3.8563Y-3.7362 -X3.8622Y-3.7953 -X3.8642Y-4.248 -X3.8661Y-4.3386 -X3.8799Y-5.0413 -X3.8839Y-3.7362 -X3.8858Y-3.6457 -X3.9035Y-3.7913 -X3.9193Y-5.061 -X3.9213Y-4.0335 -X3.9213Y-4.0945 -X3.9232Y-3.7362 -X3.9232Y-3.9331 -X3.9232Y-3.9724 -X3.9272Y-4.2264 -X3.9272Y-4.5217 -X3.939Y-3.6457 -X3.939Y-4.4449 -X3.945Y-3.305 -X3.945Y-3.475 -X3.95Y-5.17 -X3.9567Y-4.1142 -X3.9587Y-3.7756 -X3.9587Y-3.815 -X3.9587Y-3.8543 -X3.9587Y-3.8937 -X3.9587Y-3.9528 -X3.9587Y-3.9921 -X3.9587Y-4.0472 -X3.9587Y-4.0748 -X3.9764Y-4.3917 -X3.9823Y-3.6614 -X4.0197Y-5.1063 -X4.0256Y-4.3071 -X4.0295Y-4.874 -X4.0295Y-4.9646 -X4.0354Y-4.6299 -X4.045Y-3.175 -X4.045Y-3.405 -X4.045Y-3.575 -X4.0492Y-3.7559 -X4.0492Y-3.7953 -X4.0492Y-3.8346 -X4.0492Y-3.874 -X4.0492Y-3.9134 -X4.0492Y-3.9528 -X4.0492Y-3.9921 -X4.0492Y-4.0453 -X4.0492Y-4.0768 -X4.05Y-5.17 -X4.0502Y-4.1398 -X4.0551Y-4.9193 -X4.061Y-5.0827 -X4.065Y-4.4193 -X4.0689Y-4.6909 -X4.0846Y-4.1673 -X4.0925Y-4.5079 -X4.0945Y-4.2549 -X4.1024Y-4.3858 -X4.1024Y-4.4528 -X4.122Y-3.9449 -X4.124Y-4.0394 -X4.1358Y-3.8957 -X4.1358Y-4.7461 -X4.1368Y-4.687 -X4.1417Y-5.0669 -X4.1437Y-4.3346 -X4.145Y-3.305 -X4.145Y-3.475 -X4.1496Y-4.3858 -X4.1496Y-4.4528 -X4.15Y-5.17 -X4.1614Y-4.6437 -X4.1614Y-4.7303 -X4.1614Y-4.874 -X4.1713Y-3.8189 -X4.1732Y-3.9488 -X4.187Y-4.4193 -X4.187Y-4.7303 -X4.187Y-4.8445 -X4.189Y-4.6437 -X4.1929Y-4.1555 -X4.2028Y-3.8189 -X4.2067Y-3.9173 -X4.2087Y-4.9921 -X4.2106Y-3.8583 -X4.2126Y-4.874 -X4.2205Y-5.0551 -X4.2343Y-3.8228 -X4.2343Y-3.9587 -X4.2382Y-4.8445 -X4.245Y-3.175 -X4.245Y-3.405 -X4.245Y-3.575 -X4.25Y-5.17 -X4.2539Y-4.1083 -X4.2559Y-4.2697 -X4.2598Y-3.8484 -X4.2638Y-4.874 -X4.2854Y-3.9587 -X4.2874Y-4.4646 -X4.2874Y-4.9921 -X4.2894Y-4.128 -X4.2894Y-4.2382 -X4.2894Y-4.3012 -X4.2894Y-4.4114 -X4.2894Y-4.8445 -X4.3071Y-3.9252 -X4.3189Y-4.1634 -X4.3189Y-4.2028 -X4.3189Y-4.3366 -X4.3189Y-4.376 -X4.3228Y-3.7598 -X4.3287Y-4.1201 -X4.3287Y-4.25 -X4.3346Y-5.0571 -X4.3406Y-4.9646 -X4.345Y-3.305 -X4.345Y-3.475 -X4.35Y-5.17 -X4.3602Y-3.7972 -X4.3661Y-3.9291 -X4.3917Y-4.874 -X4.3917Y-4.9646 -X4.3996Y-3.6417 -X4.3996Y-4.0571 -X4.3996Y-4.1201 -X4.3996Y-4.3091 -X4.3996Y-4.4035 -X4.3996Y-4.4665 -X4.4173Y-4.9193 -X4.4449Y-4.4902 -X4.445Y-3.175 -X4.445Y-3.405 -X4.45Y-5.17 -X4.4547Y-5.0374 -X4.455Y-4.875 -X4.4902Y-3.6476 -X4.4902Y-3.7106 -X4.4902Y-3.7736 -X4.4902Y-3.8366 -X4.4902Y-3.8996 -X4.4902Y-3.9941 -X4.4902Y-4.0571 -X4.4902Y-4.1201 -X4.4902Y-4.2146 -X4.4902Y-4.3091 -X4.4902Y-4.4035 -X4.4902Y-4.4665 -X4.5039Y-4.7638 -X4.5157Y-3.6791 -X4.5157Y-3.7421 -X4.5157Y-3.8051 -X4.5157Y-3.8681 -X4.5157Y-3.9311 -X4.5177Y-4.0886 -X4.5177Y-4.1476 -X4.5177Y-4.187 -X4.5177Y-4.2421 -X4.5177Y-4.2815 -X4.5177Y-4.3366 -X4.5177Y-4.376 -X4.5177Y-4.435 -X4.5276Y-4.7283 -X4.545Y-3.305 -X4.545Y-3.475 -X4.55Y-5.17 -X4.555Y-4.675 -X4.5787Y-4.7283 -X4.5906Y-4.937 -X4.6004Y-4.8307 -X4.6043Y-4.7835 -X4.624Y-4.0571 -X4.6378Y-4.7461 -X4.6378Y-4.8681 -X4.645Y-3.175 -X4.645Y-3.405 -X4.645Y-3.575 -X4.645Y-4.575 -X4.65Y-5.17 -X4.6713Y-4.1201 -X4.6713Y-4.1673 -X4.6713Y-4.2146 -X4.6713Y-4.2618 -X4.6713Y-4.3091 -X4.6713Y-4.3563 -X4.6713Y-4.4035 -X4.6713Y-4.4665 -X4.6713Y-4.7835 -X4.6713Y-4.8307 -X4.7185Y-4.0571 -X4.745Y-3.275 -X4.745Y-3.475 -X4.745Y-4.675 -X4.745Y-4.875 -X4.75Y-5.17 -X4.8012Y-3.6791 -X4.8248Y-4.0886 -X4.8248Y-4.1476 -X4.8248Y-4.187 -X4.8248Y-4.2421 -X4.8248Y-4.2815 -X4.8248Y-4.3366 -X4.8248Y-4.376 -X4.8248Y-4.435 -X4.8268Y-3.7106 -X4.8268Y-3.7736 -X4.8268Y-3.8366 -X4.8268Y-3.8996 -X4.8268Y-3.9626 -X4.8268Y-4.0256 -X4.845Y-3.175 -X4.845Y-3.375 -X4.845Y-4.775 -X4.845Y-4.975 -X4.85Y-5.17 -X4.8524Y-3.6476 -X4.8524Y-3.7421 -X4.8524Y-3.8051 -X4.8524Y-3.8681 -X4.8524Y-3.9311 -X4.8524Y-3.9941 -X4.8524Y-4.0571 -X4.8524Y-4.1201 -X4.8524Y-4.2146 -X4.8524Y-4.3091 -X4.8524Y-4.4035 -X4.8524Y-4.4665 -X4.8976Y-3.6201 -X4.8976Y-4.4902 -X4.9429Y-3.6476 -X4.9429Y-4.0571 -X4.9429Y-4.1201 -X4.9429Y-4.2146 -X4.9429Y-4.4035 -X4.9429Y-4.4508 -X4.9449Y-3.7067 -X4.945Y-3.275 -X4.945Y-3.475 -X4.945Y-4.675 -X4.945Y-4.875 -X4.945Y-5.075 -X4.95Y-5.17 -X5.045Y-3.175 -X5.045Y-3.375 -X5.045Y-3.575 -X5.045Y-3.775 -X5.045Y-3.975 -X5.045Y-4.575 -X5.045Y-4.775 -X5.045Y-4.975 -X5.05Y-5.17 -X5.145Y-3.275 -X5.145Y-3.475 -X5.145Y-3.675 -X5.145Y-3.875 -X5.145Y-4.475 -X5.145Y-4.675 -X5.145Y-5.075 -X5.15Y-5.17 -X5.2Y-5.165 -X5.245Y-3.175 -X5.245Y-3.375 -X5.245Y-3.575 -X5.245Y-3.775 -X5.245Y-3.975 -X5.245Y-4.575 -X5.25Y-5.17 -X5.3Y-5.165 -X5.3Y-5.165 -X5.32Y-3.58 -X5.32Y-3.97 -X5.345Y-3.275 -X5.345Y-3.475 -X5.345Y-3.675 -X5.345Y-3.875 -X5.345Y-4.475 -X5.345Y-4.675 -X5.35Y-5.17 -X5.37Y-3.58 -X5.37Y-3.97 -X5.445Y-3.175 -X5.445Y-3.375 -X5.445Y-3.575 -X5.445Y-3.775 -X5.445Y-3.975 -X5.445Y-4.575 -X5.445Y-4.775 -X5.45Y-5.17 -X5.545Y-3.475 -X5.545Y-3.675 -X5.545Y-3.875 -X5.545Y-4.475 -X5.545Y-4.675 -X5.63Y-3.175 -X5.63Y-5.17 -X5.645Y-3.375 -X5.645Y-3.575 -X5.645Y-3.775 -X5.645Y-3.975 -X5.645Y-4.175 -X5.645Y-4.575 -X5.645Y-4.775 -X5.7Y-3.245 -X5.7Y-3.475 -X5.7Y-3.675 -X5.7Y-3.875 -X5.7Y-4.075 -X5.7Y-4.275 -X5.7Y-4.475 -X5.7Y-4.475 -X5.7Y-4.675 -X5.7Y-4.875 -X5.7Y-5.1 -T2 -X2.9803Y-4.6929 -X2.9803Y-4.7402 -X3.0157Y-4.6535 -X3.0157Y-4.7795 -X3.3425Y-4.7402 -X3.378Y-4.7795 -X3.4862Y-3.6102 -X3.5118Y-4.374 -X3.5256Y-3.5748 -X3.5512Y-4.4094 -X3.5728Y-3.5748 -X3.5984Y-4.4094 -X3.6378Y-4.374 -X3.7047Y-4.7402 -X3.7402Y-4.7795 -X3.7618Y-3.6102 -X3.7874Y-4.374 -X3.8012Y-3.5748 -X3.8268Y-4.4094 -X3.8484Y-3.5748 -X3.874Y-4.4094 -X3.8878Y-3.6102 -X3.9134Y-4.374 -X4.0669Y-4.7402 -X4.1024Y-4.7795 -X4.1201Y-4.1358 -X4.3622Y-4.0335 -X4.4114Y-3.5728 -X4.4114Y-4.5413 -X4.4449Y-3.6201 -X4.4508Y-3.5374 -X4.4508Y-4.5768 -X4.498Y-3.5374 -X4.498Y-3.6083 -X4.498Y-4.5059 -X4.498Y-4.5768 -X4.5374Y-3.5728 -X4.5374Y-4.5413 -X4.8051Y-3.5728 -X4.8051Y-4.5413 -X4.8445Y-3.5374 -X4.8445Y-3.6083 -X4.8445Y-4.5059 -X4.8445Y-4.5768 -X4.8917Y-3.5374 -X4.8917Y-4.5768 -X4.9311Y-3.5728 -X4.9311Y-4.5413 -X4.9783Y-3.6142 -X4.9783Y-4.1496 -X4.9783Y-4.1811 -X4.9783Y-4.3701 -X5.0138Y-4.4094 -X5.0138Y-4.4567 -T3 -X4.9783Y-4.311 -X5.0177Y-4.0669 -X5.0177Y-4.1063 -T4 -X2.89Y-5.06 -X2.935Y-5.1 -X3.0Y-5.1 -X3.045Y-5.05 -X3.378Y-4.6496 -X3.7402Y-4.6496 -X4.0846Y-4.0394 -X4.1024Y-4.6496 -X4.124Y-4.002 -X4.124Y-4.0768 -X4.3091Y-4.0689 -X4.3642Y-4.1575 -X4.3642Y-4.2126 -X4.3642Y-4.3484 -X4.5Y-5.05 -X4.545Y-5.1 -X4.61Y-5.1 -X4.69Y-5.1 -X4.755Y-5.1 -X4.7975Y-5.05 -X4.9783Y-3.7441 -X4.9783Y-4.0197 -X4.9783Y-4.5 -X5.0177Y-3.6575 -X5.0177Y-3.6969 -X5.0177Y-4.2244 -X5.0177Y-4.2638 -X5.0846Y-4.8563 -X5.0846Y-4.9154 -X5.1319Y-4.815 -X5.1319Y-4.9646 -X5.1791Y-4.8642 -X5.1791Y-4.9154 -X5.2264Y-4.815 -X5.2264Y-4.9646 -X5.2756Y-4.8622 -X5.2756Y-4.9173 -X5.29Y-5.05 -X5.3228Y-4.815 -X5.3228Y-4.9626 -X5.335Y-5.0 -X5.335Y-5.1 -X5.4Y-5.0 -X5.445Y-5.05 -X5.4685Y-4.8602 -X5.5157Y-4.815 -X5.563Y-4.8642 -T5 -X5.4Y-5.11 -T6 -X2.425Y-3.86 -X2.425Y-3.96 -X2.425Y-4.06 -X2.425Y-4.16 -X2.425Y-4.26 -X2.525Y-3.86 -X2.525Y-3.96 -X2.525Y-4.06 -X2.525Y-4.16 -X2.525Y-4.26 -T7 -X5.53Y-5.1 -T8 -X2.365Y-4.695 -X2.665Y-4.655 -X2.665Y-4.735 -X2.365Y-4.695 -X2.665Y-4.655 -X2.665Y-4.735 -T9 -X1.895Y-5.0 -X2.245Y-3.335 -X5.53Y-3.245 -X5.63Y-5.0 -X1.895Y-3.785 -T10 -X2.365Y-4.595 -X2.365Y-4.795 -X2.59Y-4.595 -X2.59Y-4.795 -X2.365Y-4.595 -X2.365Y-4.795 -X2.59Y-4.595 -X2.59Y-4.795 -T0 -M30 diff --git a/sym-lib-table b/sym-lib-table deleted file mode 100644 index ac81023..0000000 --- a/sym-lib-table +++ /dev/null @@ -1,6 +0,0 @@ -(sym_lib_table - (lib (name GW_RAM)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_RAM.lib)(options "")(descr "")) - (lib (name GW_PLD)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_PLD.lib)(options "")(descr "")) - (lib (name GW_Logic)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_Logic.lib)(options "")(descr "")) - (lib (name GW_Power)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_Power.lib)(options "")(descr "")) -)